PMID- 29632622
TI - Negative and positive control ranges in the bacterial reverse mutation test:
JEMS/BMS collaborative study.
AB - A large-scale study was conducted by multiple laboratories affiliated with the
Japanese Environmental Mutagen Society and the Bacterial Mutagenicity Study Group
to investigate possible proficiency indicators for the bacterial reverse mutation
test with a preincubation procedure. Approximately 30 laboratories generated
negative and positive control count data and dose-response curves of the positive
control articles for the bacterial reverse mutation test, with assays conducted
annually from 2013 to 2016. Overall, the majority of the negative and positive
control counts for Salmonella Typhimurium strains TA100, TA1535, TA98, and
TA1537, and Escherichia coli strain WP2uvrA, with and without S9 mix, were within
the range of the means +/-2* standard deviation. The negative counts were
normally distributed (strains TA100, TA98, and WP2uvrA) or followed Poisson
distribution (strains TA1535 and TA1537), and the positive control counts for all
strains were approximately normally distributed. In addition, the distribution of
the negative and positive control counts was relatively constant over the 4
years. The number of revertant colonies increased in a dose-dependent linear or
exponential fashion up to the recommended doses for the respective positive
control articles in Japan. These data are valuable for determining the acceptance
criteria and an estimation of the laboratory proficiency for the bacterial
reverse mutation test.
PMID- 29632623
TI - ?
PMID- 29632624
TI - ?
AB - We here report the case of a 58-year old female patient with no particular past
medical history, presenting with a 5-year history of vulvar pruritis, drought and
vulvodynia at the Department of Dermatology. Clinical examination showed diffuse
porcelaine lesions at the level of the vulva, with a yellowish hemispheric
nodular lesion measuring 1 cm in diameter on the whitish plates and some
excoriated lesions due to scratching. A skin biopsy was performed at the level of
the whitish lesions and at the level of the nodular lesion. Histological
examination suggested epidermoid carcinoma for the budding lesion and atrophic
vulvar lichen sclerosus for the porcelaine lesions. Surgical treatment was
recommended associated with radiation therapy. This study aims to highlight the
risk for atrophic vulvar lichen sclerosu degeneration.
PMID- 29632625
TI - ?
AB - We here report the case of a16-year old patient, with no particular past medical
history, presenting, with skin swelling at the level of the forefoot evolving for
02 years, at the Department of Dermatology. Clinical examination showed hard
budding cutaneous mass painless on palpation covering the 2nd, the 3rd and the
4th, right toes, measuring 4 cm in large diameter. The remainder of the clinical
examination was normal, without adenopathies. Skin biopsy was performed at the
level of the tumor and histological examination showed carcinoma in situ.
Surgical treatment was recommended. Bowen's disease is a relatively rare squamous
intraepithelial carcinoma in situ. It mainly affects adults at any age, with
predominance in women. The diagnosis is suspected on the basis of clinical
examination, but it is confirmed by the anatomo-pathological examination.
PMID- 29632626
TI - ?
AB - Giant urinary bladder stone is defined as a stone with a weight higher than 100
g. It is rare in women, accounting for approximately 2%. Its occurrence in the
urinary bladder does not exceed 5% of the whole of the urinary tract. In women,
the occurrence of urinary bladder stone is often secondary to a predisposing
factor including intravesical foreign body, neurogenic bladder, repeated urinary
infections, a history of surgery treating stress urinary incontinence. Therefore,
there are some patients with a bladder stones without any obvious cause, such as
primary idiopathic stone. We here report the case of a 31-year old patient with
giant urinary bladder stone affecting the superior part of the urinary tract
causing acute renal failure. The patient initially underwent bilateral
nephrostomies to drain the superior part of the urinary tract; then giant urinary
bladder stone was removed by open cystolithotomy. Etiological assessment didn't
show any obvious cause.
PMID- 29632627
TI - ?
AB - Necrobiosis lipoidica is a rare granulomatous dermatosis. It occurs in 0.3-1.2%
of diabetic patients mainly at the level of the leg. The lesions appear as
erythematous plaques with central depressions. We here report the case of a 21
year old female patient with a 6-year history of type 1 diabetes presenting with
a 1-year history of well circumscribed, symmetrical and asymptomatic erythematous
plaques with atrophic yellowish center at the level of the anterior compartments
of the legs (A, B). The diagnosis of necrobiosis lipoidica was suspected, a
biopsy was performed which confirmed the diagnosis. The patient had a
granulomatous inflammatory reaction with palisade-like border disposed around
poorly delimited foci of altered connective tissue on the entire dermis layer.
The inflammatory reaction was also characterized by multinucleate giant cells,
dendrocytes and lipid deposits. Local corticosteroid therapy was prescribed.
Patient's evolution was marked by the persistence of the lesions.
PMID- 29632628
TI - ?
AB - This study aimed to describe the practice of electroconvulsivotherapy (ECT) at
the University Hospital of Monastir (Tunisia). We conducted a retrospective study
of all patients treated by ECT at the University Hospital of Monastir between
2002 and 2013. 80 patients were enrolled in the study (60 men and 20 women, with
an average age of 42.1+/-15.7 years), accounting for 1.4% of all patients
hospitalized in Psychiatry Department during the study period. The total number
of ECT sessions was 784. In 50% of patients, the primary diagnosis was a major
isolated or recurring depressive disorder. The majority of patients (78.8%) had
undergone only one ECT session, with an average number of sessions of 8.1 +/-
4.9. The most used anesthetic product was the propofol (97.4%). In 71% of cases
the energy delivered was between 40 and 80 joules and it was positively
correlated with patients' age. The average duration of the motor seizure was 22.3
+/- 7.2 seconds and it was negatively correlated with patients' age. The highest
response rate was found in depression scores (64.3%). Immediate adverse effects
occurred in 51.2% of patients. Finally, 20% of patients continued to undergo
maintenance ECT sessions on a weekly or a biweekly basis. The practice of ECT at
the University Hospital of Monastir has been little developed in terms of number
of patients and ECT sessions. Efforts should be made to promote the use of this
method.
PMID- 29632629
TI - [Peripartum cardiomyopathy].
AB - Peripartum cardiomyopathy (PP-CMP) or Meadows' syndrom, is a dilated
cardiomyopathy which occurs during or following pregnancy. It is characterized by
heart failure with a systolic left ventricle ejection fraction of less than 45%.
It is rare and its pathophysiologic mechanism is poorly elucidated. From a
clinical point of view, it is characterized by unespected heart failure with
rapid onset of symptoms, unpredictable evolution and risk of refractory
cardiogenic shock, justifying patient's management in Cardiovascular Unit. PP-CMP
requires no specific treatment compared to other causes of heart failure. We here
report the case of a 29-year old primip at 32 weeks of amenorrhea presenting with
congestive heart failure associated with peripartum cardiomyopathy. The aim of
this study was to highlight the features of this heart disease which, despite its
rarity, should not be disregarded by obstetricians.
PMID- 29632630
TI - The physician-scientists: rare species in Africa.
AB - There is paucity of physician-scientists in Africa, resulting in overt dependence
of clinical practice on research findings from advanced "first world" countries.
Physician-scientists include individuals with a medical degree alone or combined
with other advanced degrees (e.g. MD/MBChB and PhD) with a career path in
biomedical/ translational and patient-oriented/evaluative science research. The
paucity of clinically trained research scientists in Africa could result in dire
consequences as exemplified in the recent Ebola virus epidemic in West Africa,
where shortage of skilled clinical scientists, played a major role in disease
progression and mortality. Here we contextualise the role of physician-scientist
in health care management, highlight factors limiting the training of physician
scientist in Africa and proffer implementable recommendations to address these
factors.
PMID- 29632631
TI - About a subcutaneous calcification.
PMID- 29632632
TI - Man with a swollen mass on sacrococcygeal region.
PMID- 29632633
TI - ?
PMID- 29632634
TI - Outcome after neoadjuvant chemotherapy in elderly breast cancer patients - a
pooled analysis of individual patient data from eight prospectively randomized
controlled trials.
AB - Introduction: Recent studies showed the high and independent impact of age (<40
years) on pathologic complete response (pCR) and prognosis for patients
undergoing neoadjuvant chemotherapy (NACT). Some physicians might not consider
elderly patients (>65 years) for NACT due to poor prognosis or higher toxicity.
The aim of this analysis is to help selecting appropriately elderly women who
would benefit from NACT. Secondly, survival parameters are investigated in
several histological subgroups. Methods: From 1998 to 2010, eight prospectively
randomized German Breast Group (GBG) trials of anthracycline- and taxane-based
NACT were performed and analyzed in this study. Results: Compared to the overall
average, elderly women had significant larger tumors and more overall lymph node
involvement. Histologically, they had more G2 tumors, more estrogen-receptor
positive tumors. pCR (ypT0 ypN0) was strongly associated with age. The
multivariable logistic regression analysis of clinical parameters showed that
young age, clinical stage T4, invasive ductal cancer and poor differentiated
breast cancer are predictive for high pCR. The multivariate analyses of molecular
subgroups showed that age >65years is a predictor of significant lower pCR in
HER2- breast cancers. Nonetheless, HER2+ patients showed pCR rates as high- and
HR+/HER2+ even higher - pCR rates compared to younger patients. Discussion: This
study underlines the unfavorable impact of higher age on pCR, but it shows a
realistic chance for pCR if NACT is applied - especially for HER2+ patients.
Furthermore, elderly patients with non-TNBC showed a good prognosis (comparable
to younger patients) regarding overall survival, even if they do not have pCR.
PMID- 29632635
TI - Verification of the methodology for evaluating tumor-infiltrating lymphocytes in
colorectal cancer.
AB - Background: The density of tumor-infiltrating lymphocytes (TILs) have been
reported to reflect antitumor immune response and correlate with prognosis in
malignancy. However, the methodology for evaluating the density of TILs by an
immunohistochemical analysis differs among reports. The aim of this study was to
verify the methodology for evaluating the density of TILs by immunohistochemical
analysis and thereby identify the optimum methodology in clinical setting.
Methods: Three-hundred-thirteen patients who underwent curative operation for
stage II/III colorectal cancer were enrolled. We retrospectively examined the
density of TILs using immunohistochemical staining according to each method as
follows: 1) subset of lymphocytes (i.e. CD4+/CD8+), 2) selected fields (i.e. at
random or focusing on hot spots), 3) location in low-power field (i.e. the
invasive margin [TILsIM] or the center of the tumor [TILsCT] or the surface of
the tumor [TILsST]), and 4) location in high-power field (i.e. in tumor stroma
[sTILs] or intra-tumor cells [iTILs] or total TILs [tTILs: sTILs+iTILs]). We then
assessed the prognostic value of the density of TILsIM evaluated as described
above. We also evaluated the correlation between the density of TILsIM and that
of TILsCT/TILsST. Results: Only the densities of CD8+sTILsIM and CD8+tTILsIM
evaluated in randomly selected fields were significantly associated with the
survival. Furthermore, the density of CD8+TILsIM was significantly associated
with that of CD8+TILsCT and CD8+TILsST. Conclusions: We concluded that best and
easiest way to evaluate the density of TILs in the clinical setting may be to
assess the density of CD8+tTILsIM in randomly selected fields.
PMID- 29632636
TI - miR-145 expression enhances integrin expression in SK-GT-4 cell line by down
regulating c-Myc expression.
AB - Adenocarcinoma of the esophagus is increasing in frequency and is the 6th most
common cause of cancer death in North America. In adenocarcinoma cell lines, we
have previously demonstrated that expression of miR-145, leads to enhanced
invasion, resistance to anoikis and better attachment to fibronectin in
esophageal adenocarcinoma. In contrast, expression of miR-145 acts as a tumor
suppressor in squamous cell carcinoma. The molecular mechanisms responsible for
the oncogenic effects of miR-145 were investigated. In this report, we
demonstrate that we can partially recreate the miR-145 effects in EAC by knock
down of the expression of c-Myc, which is one of the targets of miR-145. Knocking
down of c-Myc expression resulted in upregulation of integrin subunits alpha5 and
beta3. Finally, we demonstrated that integrin alpha5 expression correlates to
fibronectin attachment potential whereas integrin beta3 expression correlates
with resistance to anoikis and invasion potential. Finally, we demonstrate that
expression of miR-145 in esophageal adenocarcinoma cell line (SK-GT-4) enhances
tumor growth and metastasis in a NOD/SCID xenograft model. Overall, the oncogenic
potential of miR-145 in EAC appears to be mediated by downregulation of c-Myc
leading to the expression of integrins subunits alpha5 and beta3.
PMID- 29632637
TI - RPN2 is effective biomarker to predict the outcome of combined chemotherapy
docetaxel and cisplatin for advanced gastric cancer.
AB - Preoperative chemotherapy, often using docetaxel and cisplatin, is a famous
treatment option for advanced gastric cancer in Japan. But, there are no
effective biomarkers that predict the therapeutic outcome on gastric cancer.
Ribophorin II (RPN2) silencing, which decreases glycosylation of P-glycoprotein
(P-gp) and membrane localization, restores the sensitivity to docetaxel and
cisplatin. We inquired whether RPN2 expression in advanced gastric cancer biopsy
tissues may be a predictive biomarker for docetaxel and cisplatin combination
preoperative chemotherapy. We judged RPN2 expression immunohistochemically in
upper endoscopic biopsy tissues from 40 advanced gastric cancer patients, who
received the combination preoperative chemotherapy of docetaxel and cisplatin and
gastrectomy with D2 resection during 2008-2014, and compared clinicopathological
effects between RPN2-positive and RPN2-negative groups. We also examined
sensitivity of RPN2-knockout gastric cancer cells by genome editing to docetaxel
and cisplatin. RPN2 expression was observed in 19 of 40 gastric cancer cases. The
RPN2-negative group had better clinicopathological responses to docetaxel and
cisplatin combination chemotherapy than the RPN2-positive group, especially, in
assessment of the histopathological criteria to preoperative chemotherapy. And
RPN2-negative group had a significantly higher overall survival and progression
free survival compared to the RPN2-positive group. We also found RPN2-knockout to
change docetaxel and cisplatin sensitivity in vitro. RPN2 expression in upper
endoscopic biopsy tissues can be an effective predictive biomarker for the
treatment outcome to docetaxel and cisplatin combination preoperative
chemotherapy in advanced gastric cancer.
PMID- 29632638
TI - Early hypertension is associated with better clinical outcomes in gastric cancer
patients treated with ramucirumab plus paclitaxel.
AB - Anti-vascular endothelial growth factor (VEGF) therapeutics such as bevacizumab,
which are widely used in cancer treatment, commonly leads to hypertension.
Moreover, bevacizumab-induced hypertension is associated with improved clinical
outcomes in several cancers. We retrospectively analyzed 89 patients with
histologically confirmed advanced gastric cancer who received the human
monoclonal anti-VEGF receptor-2 antibody ramucirumab plus paclitaxel at our
hospital between June 2015 and October 2016 to evaluate the impact of treatment
associated hypertension occurring within the first two treatment cycles ("early
hypertension") on outcome. The objective response rate was 40%, median
progression-free survival was 5.4 months, and overall survival was 10.4 months,
which is similar to previous reports. Early hypertension in patients who received
more than two cycles of ramucirumab + paclitaxel was associated with longer
progression-free and overall survival. Objective response rates were also higher
in patients with early hypertension. These data indicate that early hypertension
may be predictive of better outcomes in gastric cancer patients who receive
ramucirumab + paclitaxel treatment.
PMID- 29632639
TI - Reduced expression of alpha-L-Fucosidase-1 (FUCA-1) predicts recurrence and
shorter cancer specific survival in luminal B LN+ breast cancer patients.
AB - Background: The lysosomal enzyme alpha-L-Fucosidase-1 (FUCA-1) catalyzes the
hydrolytic cleavage of terminal fucose residues. FUCA-1 gene is down-regulated in
highly aggressive and metastatic human tumors as its inactivation perturbs the
fucosylation of proteins involved in cell adhesion, migration and metastases.
Results: Negativity to FUCA-1 was significantly related to the development of
later recurrences in breast cancer patients with lymph node involvement at
diagnosis. Cancer specific survival of luminal B LN+ patients was influenced by
FUCA-1 expression as luminal B LN+ patients with positive expression had a longer
cancer specific survival. FUCA-1 mRNA expression was inversely related to cancer
stage and lymph node involvement. WB and qPCR analysis of FUCA-1 expression in
breast cancer-derived cell lines confirmed an inverse relationship with tumor
aggressiveness. Conclusions: This study shows that, within LN+ breast cancer
patients, FUCA-1 is able to identify a sub-set of non recurrent patients
characterized by the positive expression of FUCA-1 and that, within luminal B LN+
patients, the expression of FUCA-1 predicts longer cancer specific survival.
Methods: We have analyzed FUCA-1 in 305 breast cancer patients by
Immunohistochemistry (IHC), and by qPCR in breast cancer patients and in breast
cancer cell lines.
PMID- 29632640
TI - The Wnt-beta-catenin signaling regulated MRTF-A transcription to activate
migration-related genes in human breast cancer cells.
AB - MRTF-A is a transcriptional co-activator being critical for multiple processes
including tissue fibrosis and cancer metastasis. The Rho-actin signaling
stimulates the nuclear translocation and transcriptional activity of MRTF-A with
little effect on the expression of MRTF-A gene. High expression of MRTF-A was
observed in pancreatic cancer tissues and in TGF-beta treated breast cancer
cells. However, the mechanism for the upregulation of MRTF-A gene remains
unclear. In this study, we showed that the transcription of MRTF-A was regulated
by the Wnt-beta-catenin signaling in breast cancer cells. LiCl treatment, Wnt3a
treatment or beta-catenin overexpression enhanced the transcription of MRTF-A
gene. In agreement, depletion of beta-catenin with siRNA diminished MRTF-A
transcription. With ChIP assays, beta-catenin was identified to interact with the
MRTF-A promoter whereby it increased histone H4 acetylation and RNA polymerase II
association. Further, results of RT-qPCR and Western-blotting supported that the
transcriptional co-activator activity of MRTF-A was controlled by both the Rho
actin and the Wnt-beta-catenin signaling pathways. MRTF-A was required for cell
migration stimulated by the Wnt-beta-catenin signaling. Taken together, our
results suggest that MRTF-A integrates the Rho-actin and the Wnt-beta-catenin
signaling to regulate migration-related genes and consequently increases the
mobility of breast cancer cells.
PMID- 29632641
TI - MEIS-1 level in unresectable hepatocellular carcinoma can predict the post
treatment outcomes of radiofrequency ablation.
AB - Radiofrequency ablation (RFA) is a local-ablative therapy for unresectable
hepatocellular carcinoma (HCC). At present, there is no predictive marker for RFA
treatment outcomes. This work aimed to valuate myeloid ecotropic viral
integration site 1 (MEIS-1) in predicting post-RFA treatment outcomes of
unresectable HCC patients. The time to progression (TTP) and overall survival
(OS) of 81 HCC patients who received RFA treatment were measured. The protein
level of MEIS-1 in tumor specimens was measured by western blot. The role of MEIS
1 in RFA-treating HCC in vivo growth nude mouse model was examined via PET/CT
imaging. Higher level of MEIS-1 in tumor tissue is associated with better RFA
treatment outcomes. The median TTP was 9.0 (95% confidence interval [CI]: 6.8
11.3) months in patients with high MEIS-1 expression (n = 43) versus 6.0 (95% CI:
4.6-7.4) months in patients with low MEIS-1 expression (n = 38). Moreover, in
rodent HCC model we found overexpression of MEIS-1 enhanced the anti-tumor effect
of RFA treatment. We conclude that high level of MEIS-1 expression predicts
better RFA treatment outcome in HCC.
PMID- 29632642
TI - PIK3CA and KRAS mutations in cell free circulating DNA are useful markers for
monitoring ovarian clear cell carcinoma.
AB - Ovarian clear cell carcinoma (OCCC) exhibits distinct phenotypes, such as
resistance to chemotherapy, poor prognosis and an association with endometriosis.
Biomarkers and imaging techniques currently in use are not sufficient for
reliable diagnosis of this tumor or prediction of therapeutic response. It has
recently been reported that analysis of somatic mutations in cell-free
circulating DNA (cfDNA) released from tumor tissues can be useful for tumor
diagnosis. In the present study, we attempted to detect mutations in PIK3CA and
KRAS in cfDNA from OCCC patients using droplet digital PCR (ddPCR). Here we show
that we were able to specifically detect PIK3CA-H1047R and KRAS-G12D in cfDNA
from OCCC patients and monitor their response to therapy. Furthermore, we found
that by cleaving wild-type PIK3CA using the CRISPR/Cas9 system, we were able to
improve the sensitivity of the ddPCR method and detect cfDNA harboring PIK3CA
H1047R. Our results suggest that detection of mutations in cfDNA by ddPCR would
be useful for the diagnosis of OCCC, and for predicting its recurrence.
PMID- 29632643
TI - Analysis of the 9p21.3 sequence associated with coronary artery disease reveals a
tendency for duplication in a CAD patient.
AB - Tandem segmental duplications (SDs) greater than 10 kb are widespread in complex
genomes. They provide material for gene divergence and evolutionary adaptation,
while formation of specific de novo SDs is a hallmark of cancer and some human
diseases. Most SDs map to distinct genomic regions termed 'duplication blocks'.
SDs organization within these blocks is often poorly characterized as they are
mosaics of ancestral duplicons juxtaposed with younger duplicons arising from
more recent duplication events. Structural and functional analysis of SDs is
further hampered as long repetitive DNA structures are underrepresented in
existing BAC and YAC libraries. We applied Transformation-Associated
Recombination (TAR) cloning, a versatile technique for large DNA manipulation, to
selectively isolate the coronary artery disease (CAD) interval sequence within
the 9p21.3 chromosome locus from a patient with coronary artery disease and
normal individuals. Four tandem head-to-tail duplicons, each ~50 kb long, were
recovered in the patient but not in normal individuals. Sequence analysis
revealed that the repeats varied by 10-15 SNPs between each other and by 82 SNPs
between the human genome sequence (version hg19). SNPs polymorphism within the
junctions between repeats allowed two junction types to be distinguished, Type 1
and Type 2, which were found at a 2:1 ratio. The junction sequences contained an
Alu element, a sequence previously shown to play a role in duplication. Knowledge
of structural variation in the CAD interval from more patients could help link
this locus to cardiovascular diseases susceptibility, and maybe relevant to other
cases of regional amplification, including cancer.
PMID- 29632644
TI - Pomalidomide promotes chemosensitization of pancreatic cancer by inhibition of NF
kappaB.
AB - Introduction: Nuclear factor kappaB (NF-kappaB) plays an important role in cancer
progression and causes therapeutic resistance to chemotherapy. Pomalidomide, a
third-generation immunomodulating drug derived from thalidomide, has been
approved for uncontrolled multiple myeloma. We hypothesized that pomalidomide may
inhibit the anticancer agent-induced NF-kappaB activity and enhance
chemosensitization of combination chemotherapy with gemcitabine and S1 (Gem/S1)
in pancreatic cancer. Methods: In vitro, we assessed NF-kappaB activity,
induction of caspase cascade, cell apoptosis and cell proliferation using human
pancreatic cancer cell lines (MIA PaCa-2 and PANC-1). In vivo, we established an
orthotopic xenograft mouse model for human pancreatic cancer by injection of PANC
1 cells. At 5 weeks after injection, the animals were randomly divided into four
groups and treated with Gem (100 mg/kg) /S1 (10 mg/kg), with oral administration
of pomalidomide (0.5 mg/kg), with combination of gemcitabine, S1, and
pomalidomide or vehicle only. Results: Although chemotherapeutic agents induced
NF-kappaB activation in pancreatic cancer cells, pomalidomide inhibited
anticancer agent-induced NF-kappaB activation (p < 0.01). Of the four groups
tested for the apoptosis-related caspase signals and apoptosis under both in
vitro and in vivo conditions, Gem/S1/Pomalidomide group demonstrated the
strongest activation of the caspase signals and proapoptotic effect. In
Gem/S1/Pomalidomide group, cell proliferation and tumor growth were slower than
those in other groups both in vitro and in vivo (p < 0.01). There were no obvious
adverse effects except for thrombocytosis by using pomalidomide. Conclusions:
Pomalidomide promotes chemosensitization of pancreatic cancer by inhibiting
chemotherapeutic agents-induced NF-kappaB activation.
PMID- 29632645
TI - Differential clinicopathological and molecular features within late-onset
colorectal cancer according to tumor location.
AB - Background: Since there is a predilection of some clinical and molecular features
for a given tumor location, we assessed whether this can be confirmed in late
onset colorectal cancer (LOCRC). Results: Right colon cancers showed features
associated with sporadic Microsatellite Instability: predominance of female cases
and BRAF mutations, and an important mucinous component. Left colon cancers
developed a higher number of polyps and multiple primary CRCs, showed the
strongest familial component, and had better prognosis. Rectal cancers showed a
predominantly sporadic phenotype, with worse prognosis and a CpG Island
Methylator Phenotype (CIMP)-High. No copy number alterations (CNAs) greater than
or equal to 50% were observed in this LOCRC group, and the most recurrent
alterations were losses at 5q13 and 14q11, and gains at 7q11, 7q21-q22, 19p13
p12, 19q13 and 20p11-q11. KRAS and PIK3CA were the only mutated genes showing
differences according to the tumor location, mainly for right colon cancers.
Materials and Methods: We analyzed clinical and molecular characteristics of
LOCRC at different tumor locations in order to determine if there are
differential phenotypes related with the location in the colon. Conclusions:
Categorizing LOCRC according to tumor location appears to be an adequate first
step to resolving the heterogeneity of this subset of CRC.
PMID- 29632646
TI - Stimulation of medulloblastoma stem cells differentiation by a peptidomimetic
targeting neuropilin-1.
AB - Medulloblastoma (MB) is the most common malignant pediatric brain tumor. Despite
the progress of new treatments, the risk of recurrence, morbidity, and death
remains important. The neuropilin-1 (NRP-1) receptor has recently been implicated
in tumor progression of MB, which seems to play an important role in the
phenotype of cancer stem cells. Targeting this receptor appears as an interesting
strategy to promote MB stem cells differentiation. Cancer stem-like cells of 3 MB
cell lines (DAOY, D283-Med and D341-Med), classified in the more pejorative
molecular subgroups, were obtained by in vitro enrichment. These models were
characterized by an increase of NRP-1 and cancer stem cell markers (CD15, CD133
and Sox2), meanwhile a decrease of the differentiated cell marker Neurofilament-M
(NF-M) was observed. Our previous work investigated potential innovative
peptidomimetics that specifically target NRP-1 and showed that MR438 had a good
affinity for NRP-1. This small molecule decreased the self-renewal capacity of MB
stem cells for the 3 cell lines and reduced the invasive ability of DAOY and D283
stem cells while NRP-1 expression and cancer stem cell markers decreased at the
same time. Possible molecular mechanisms were explored and showed that the
activation of PI3K/AKT and MAPK pathways significantly decreased for DAOY cells
after treatment. Finally, our results highlighted that targeting NRP-1 with MR438
could be a potential new strategy to differentiate MB stem cells and could limit
medulloblastoma progression.
PMID- 29632647
TI - Metabolic consequences of HIF silencing in a triple negative human breast cancer
xenograft.
AB - Hypoxia is frequently encountered in tumors and results in the stabilization of
hypoxia inducible factors (HIFs). These factors transcriptionally activate genes
that allow cells to adapt to hypoxia. In cancers, hypoxia and HIFs have been
associated with increased invasion, metastasis, and resistance to chemo and
radiation therapy. Here we have characterized the metabolic consequences of
silencing HIF-1alpha and HIF-2alpha singly or combined in MDA-MB-231 triple
negative human breast cancer xenografts, using non-invasive proton magnetic
resonance spectroscopic imaging (1H MRSI) of in vivo tumors, and high-resolution
1H MRS of tumor extracts. Tumors from all three sublines showed a significant
reduction of growth rate. We identified new metabolic targets of HIF, and
demonstrated the divergent consequences of silencing HIF-1alpha and HIF-2alpha
individually on some of these targets. These data expand our understanding of the
metabolic pathways regulated by HIFs that may provide new insights into the
adaptive metabolic response of cancer cells to hypoxia. Such insights may lead to
novel metabolism based therapeutic targets for triple negative breast cancer.
PMID- 29632648
TI - Outcomes of ALK positive lung cancer patients treated with crizotinib or second
generation ALK inhibitor: a monoinstitutional experience.
AB - Rearrangement in the anaplastic lymphoma kinase (ALK) gene is one of the
oncogenic drivers in non-small cell lung cancer (NSCLC) patients. Several ALK
inhibitors (ALKis) have been developed and have demonstrated their efficacy,
however the best treatment strategy for ALK positive NSCLC patients has yet to be
determined. Our retrospective study has investigated the outcome of 40 ALK
rearranged NSCLC patients treated with two different sequential strategies in our
Institute; a "classical group", treated with crizotinib followed by second or
third generation ALKis, and the "experimental group", treated upfront with a
second generation ALK inhibitor. The primary endpoints investigated were
Progression-free survival (PFS) and intracranial activity. The analysis has
revealed a significant improvement in PFS (p = 0.050) in the experimental group,
furthermore none of these patients developed brain metastasis. There was no
statistically significant difference in OS, but all patients in the experimental
group were still alive after a median follow up of 15 months. Our retrospective
analysis suggests that systemic and intracranial efficacy tends to be better in
the experimental group; randomized prospective studies could confirm our
observations.
PMID- 29632649
TI - Circulating miR-106b-3p, miR-101-3p and miR-1246 as diagnostic biomarkers of
hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is the most common liver cancer and second leading
cause of cancer related death worldwide. Most HCCs occur in a damaged cirrhotic
background and it may be difficult to discriminate between regenerative nodules
and early HCCs. No dependable molecular biomarker exists for the early detection
of HCC. MicroRNAs (miRNAs) have attracted attention as potential blood-based
biomarkers. To identify circulating miRNAs with diagnostic potential in HCC, we
performed preliminary RNAseq studies on plasma samples from a small set of HCC
patients, cirrhotic patients and healthy controls. Then, out of the identified
miRNAs, we investigated miR-101-3p, miR-106b-3p, miR-1246 and miR-411-5p in
plasma of independent HCC patients' cohorts. The use of droplet digital PCR
(ddPCR) confirmed the aberrant levels of these miRNAs. The diagnostic
performances of each miRNA and their combinations were measured using Receiver
Operating Characteristic (ROC) curve analyses: a classifier consisting of miR-101
3p, miR-1246 and miR-106b-3p produced the best diagnostic precision in plasma of
HCC vs. cirrhotic patients (AUC = 0.99). A similar performance was found when the
levels of miRNAs of HCC patients were compared to healthy controls (AUC = 1.00).
We extended the analyses of the same miRNAs to serum samples. In serum of HCC vs.
cirrhotic patients, the combination of miR-101-3p and miR-106b-3p exhibited the
best diagnostic accuracy with an AUC = 0.96. Thus, circulating miR-101-3p, miR
106b-3p and miR-1246, either individually or in combination, exhibit a
considerable potential value as diagnostic biomarkers of HCC.
PMID- 29632650
TI - Association between polymorphism rs12722 in COL5A1 and musculoskeletal soft
tissue injuries: a systematic review and meta-analysis.
AB - The rs12722 polymorphism in COL5A1 gene has been implicated in the etiology of
musculoskeletal soft tissue injuries in several association studies with limited
sample size and conflicting results. The purpose of the present systematic review
and meta-analysis was to evaluate and synthesize the currently available data on
the association between rs12722 and musculoskeletal soft tissue injuries. Five
electronic databases including Pubmed, EMBASE, ISI Web of Science, CNKI and
Wanfang were searched to identify relevant studies published before 15 May, 2017.
Summary odds ratios (ORs) and corresponding 95% confidence intervals (95% CIs)
were estimated using the RevMan 5.3 software. Nine studies comprising 1140 cases
and 1410 healthy controls met the eligibility criteria. Recessive model was
confirmed to be the optimum model (TT vs TC + CC). The results indicated that
rs12722 SNP was significantly associated with musculoskeletal soft tissue
injuries (OR 1.58, 95% CI 1.33, 1.89; P < 0.00001). When stratified by injury
sites, modest but statistically significant association was found in Achilles
tendon pathology (ATP), anterior cruciate ligament injuries (ACLI) and tennis
elbow (TE). Subgroup-analysis by ethnicity suggested that TT genotype of rs12722
was associated with tendon and ligament injuries in Caucasians (OR 1.59, 95% CI
1.33, 1.90; P < 0.00001) but not in Asians (OR 1.46, 95% CI 0.46, 4.60; P =
0.52). Our findings indicated that rs12722 of COL5A1 was positively associated
with tendon and ligament injuries, especially in Caucasian subjects. Individuals
with TT genotype were predisposed to higher risk of ATP, ACLI and TE.
PMID- 29632651
TI - Cancer risks in recipients of renal transplants: a meta-analysis of cohort
studies.
AB - Renal transplantation is associated with an increased risk of cancers at multiple
sites; however, the relationships between increased cancer risk and participant
characteristics remain unclear. We searched PubMed, Embase, and the Cochrane
Library to identify prospective observational studies performed up to July 2017.
Totally 11 prospective studies reported data on 79,988 renal transplant
recipients were included. Renal transplant recipients were found to display a
higher risk of all cancers (standard incidence ratio [SIR]: 2.89; 95% CI: 2.13
3.91; P < 0.001), gastric cancer (SIR: 1.93; 95% CI: 1.60-2.34; P < 0.001), colon
cancer (SIR: 1.85; 95% CI: 1.53-2.23; P < 0.001), pancreatic cancer (SIR: 1.53;
95% CI: 1.23-1.91; P < 0.001), hepatocellular carcinoma (SIR: 2.45; 95% CI: 1.63
3.66; P < 0.001), lung cancer (SIR: 1.68; 95% CI: 1.29-2.19; P < 0.001), thyroid
cancer (SIR: 5.04; 95% CI: 3.79-6.71; P < 0.001), urinary bladder cancer (SIR:
3.52; 95% CI: 1.48-8.37; P = 0.004), renal cell cancer (SIR: 10.77; 95% CI: 6.40
18.12; P < 0.001), non-melanoma skin cancer (SIR: 12.14; 95% CI: 6.37-23.13; P <
0.001), melanoma (SIR: 2.48; 95% CI: 1.08-5.67; P = 0.032), Hodgkin's lymphoma
(SIR: 4.90; 95% CI: 3.09-7.78; P < 0.001), non-Hodgkin lymphoma (SIR: 10.66; 95%
CI: 8.54-13.31; P < 0.001), lip cancer (SIR: 29.45; 95% CI: 17.85-48.59; P <
0.001), breast cancer (SIR: 1.11; 95% CI: 1.00-1.24; P = 0.046), and ovarian
cancer (SIR: 1.60; 95% CI: 1.23-2.07; P < 0.001). However, renal transplantation
did not significantly influence the risks of uterine cancer (P = 0.171), and
prostate cancers (P = 0.188). Our findings suggest that patients who receive
renal transplantation have an increased risk of cancer at most sites, apart from
uterine and prostate cancers patients.
PMID- 29632652
TI - Prevalence of human papillomavirus genotypes and relative risk of cervical cancer
in China: a systematic review and meta-analysis.
AB - High-risk HPV (hrHPV) is related to cervical carcinogenesis, although clinical
data comparing the natural history and carcinogenic potential of type-specific
HPV remain limited. Furthermore, the nationwide prevalence rates of overall and
type-specific HPV among women with cervical precancerous lesions and cancer have
not been reported. Here, a meta-analysis was performed for type-specific HPV
distribution among 30,165 HPV-positive women, including 12,094 invasive cervical
cancers (ICCs), 10,026 cervical intraepithelial neoplasia grade 2/3 (CIN2/3),
3246 CIN1, and 4799 normal cervices from 45 PCR-based studies. We found that
HPV16 was the most common hrHPV type involved in cervical disease. The HPV16
positivity rate varied little across normal (22.7%) and CIN1 individuals (23.6%)
but increased through the CIN2 (37.6%) and CIN3 patients (51.9%) to 65.6% in ICC
cases. HPV16, 18, 35, 39, 45, and 59 were more frequent in ICC than CIN3, with
ICC:CIN3 ratios ranging from 2.3 for HPV18 to 1.1 for HPV35/45. HPV31, 33, 52,
and 58 were more frequent in CIN3 compared with normal cervices but less common
in ICC compared with CIN3 (ICC:CIN3 ratios ranging from 0.6 for HPV58 and 0.4 for
HPV52). The ICC:normal ratios were particularly high for HPV18, 52 and 58 in West
China (4.1, 3.9 and 2.9, respectively) and for HPV45 and 59 in North China (1.6
and 1.1, respectively). In summary, this study is the most comprehensive analysis
of type-specific HPV distribution in cervical carcinogenesis and could be
valuable for HPV-based cervical cancer screening strategies and vaccination
policies in China.
PMID- 29632653
TI - The therapeutic effect of splenectomy plus selective pericardial
devascularization versus conventional pericardial devascularization on portal
hypertension in China: a meta-analysis.
AB - Background: To systematically review perioperative outcomes and postoperative
complications between splenectomy plus s-EGDV and n-sEGDV for portal hypertension
complicated with thoracic esophageal varices and bleeding by a meta-analysis.
Method: We searched the databases of PubMed, the Cochrane Library, Web of
Science, EMBASE, TCGA, Chinese Biomedicine Database from January 2000 to June
2017, and included studies that compared perioperative outcomes and postoperative
complications between s-EGDV and n-sEGDV. These included studies were assessed by
two independent investigators. Results: Seven randomized controlled trials (RCTs)
and seven non-randomized observational clinical studies (OCS) were included. The
s-EGDV was more beneficial than n-sEGDV in reducing the PVF (OR = 4.26; 95% CI,
2.81-5.71; P < 0.00001; I2 = 97% for heterogeneity), portal vein flow (OR =
111.75; 95% CI, -197.13-26.38; P = 0.01; I2 = 90% for heterogeneity), portal
hypertensive gastropathy(OR = 0.38; 95% CI, 0.28-0.51; P < 0.00001; I2 = 0% for
heterogeneity), hepatic encephalopathy (OR = 0.40; 95% CI, 0.23-0.71; P = 0.002;
I2 = 22% for heterogeneity), postoperative re-bleeding (OR = 0.43; 95% CI, 0.29
0.63; P < 0.0001; I2 = 9% for heterogeneity), postoperative mortality (OR = 0.52;
95% CI, 0.32-0.85; P = 0.009; I2 = 0% for heterogeneity) and in increasing
hepatic artery flow (OR = 92.53; 95% CI, 9.60-175.46; P = 0.03; I2 = 95% for
heterogeneity). Conclusion: sEGDV offers a more effective surgical approach with
fewer complications to treat portal hypertension than n-sEGDV. Upon further
detailed analysis of the surgical indications and hemodynamic and postoperative
major complications of selective devascularization, sEGDV likely will provide us
with a new direction in the choice of surgical approach for portal hypertension.
PMID- 29632654
TI - Clinical features and management of nonfunctioning giant pituitary adenomas
causing hydrocephalus.
AB - We evaluated the features of clinically nonfunctioning giant pituitary adenomas
(NFGPAs) causing hydrocephalus to highlight the timing of hydrocephalus
management and surgical approaches. A total of 24 patients with NFGPAs and
hydrocephalus were included. Eighteen patients underwent endoscopic
transsphenoidal surgery. Ten patients received pterional surgery, including 6
patients as first treatment and 4 cases with recurrence after transsphenoidal
approach. Gross total resection was achieved in 10 patients, including 6 cases
(6/18, 33.3%) with endoscopic transsphenoidal surgery and 4 cases (4/10, 40%)
with pterional surgery. All patients were divided into preoperative EVD group and
non-preopoerative EVD group. The proportion of patients receiving postoperative
EVD or shunt was significantly higher in non-preoperative EVD group than that in
preoperative EVD group (9/15 vs. 1/9, P = 0.033). Visual impairment score (VIS)
was evaluated for each patient. We detected significant vision improvement
according to the preoperative and postoperative VIS (median, interquartile range:
62, 48.25-77 vs. 36.5, 0-50.75, P < 0.001). Conclusively, for patients with
NFGPAs and hydrocephalus, preoperative EVD might reduce the need for a second
shunt or EVD. Surgical approach should be decided based on the
clinicoradiological features and surgeons' experience for individualized
treatment, and endoscopic transsphenoidal resection of pituitary adenomas was
suggested for most NFGPAs.
PMID- 29632656
TI - Correction: Efficacy of transcatheter arterial chemoembolization for liver
metastases arising from pancreatic cancer.
AB - [This corrects the article DOI: 10.18632/oncotarget.14642.].
PMID- 29632655
TI - Novel approaches against epidermal growth factor receptor tyrosine kinase
inhibitor resistance.
AB - Background: The identification and characterization of molecular biomarkers has
helped to revolutionize non-small-cell lung cancer (NSCLC) management, as it
transitions from target-focused to patient-based treatment, centered on the
evolving genomic profile of the individual. Determination of epidermal growth
factor receptor (EGFR) mutation status represents a critical step in the
diagnostic process. The recent emergence of acquired resistance to "third
generation" EGFR tyrosine kinase inhibitors (TKIs) via multiple mechanisms serves
to illustrate the important influence of tumor heterogeneity on prognostic
outcomes in patients with NSCLC. Design: This literature review examines the
emergence of TKI resistance and the course of disease progression and,
consequently, the clinical decision-making process in NSCLC. Results: Molecular
markers of acquired resistance, of which T790M and HER2 or MET amplifications are
the most common, help to guide ongoing treatment past the point of progression.
Although tissue biopsy techniques remain the gold standard, the emergence of
liquid biopsies and advances in analytical techniques may eventually allow "real
time" monitoring of tumor evolution and, in this way, help to optimize targeted
treatment approaches. Conclusions: The influence of inter- and intra-tumor
heterogeneity on resistance mechanisms should be considered when treating
patients using resistance-specific therapies. New tools are necessary to analyze
changes in heterogeneity and clonal composition during drug treatment. The
refinement and standardization of diagnostic procedures and increased
accessibility to technology will ultimately help in personalizing the management
of NSCLC.
PMID- 29632657
TI - Ordering Protein Contact Matrices.
AB - Numerous biophysical approaches provide information about residues spatial
proximity in proteins. However, correct assignment of the protein fold from this
proximity information is not straightforward if the spatially close protein
residues are not assigned to residues in the primary sequence. Here, we propose
an algorithm to assign such residue numbers by ordering the columns and lines of
the raw protein contact matrix directly obtained from proximity information
between unassigned amino acids. The ordering problem is formatted as the search
of a trail within a graph connecting protein residues through the nonzero contact
values. The algorithm performs in two steps: (i) finding the longest trail of the
graph using an original dynamic programming algorithm, (ii) clustering the
individual ordered matrices using a self-organizing map (SOM) approach. The
combination of the dynamic programming and self-organizing map approaches
constitutes a quite innovative point of the present work. The algorithm was
validated on a set of about 900 proteins, representative of the sizes and
proportions of secondary structures observed in the Protein Data Bank. The
algorithm was revealed to be efficient for noise levels up to 40%, obtaining
average gaps of about 20% at maximum between ordered and initial matrices. The
proposed approach paves the ways toward a method of fold prediction from noisy
proximity information, as TM scores larger than 0.5 have been obtained for ten
randomly chosen proteins, in the case of a noise level of 10%. The methods has
been also validated on two experimental cases, on which it performed
satisfactorily.
PMID- 29632658
TI - Unicentric epithelioid hemangioendothelioma of the calcaneus: a case report and
review of literature.
AB - Background: This review of the literature combined with a clinical case will
allow the illustration of a favorable outcome of this variable low grade
malignancy, display a role for limb salvage surgery with intralesional treatment,
and offer a clinical example of epithelioid hemangioendothelioma, a rare
malignancy. Case presentation: The case report presents a case of solitary
epithelioid hemangioendothelioma (EHE) of the calcaneus in a 60-year-old male.
Primary vascular tumors of the bone are rare; however, EHE is one of the most
common primary malignant vascular tumors to occur in bone. A review of the
literature found few cases that involved the calcaneus; those cases found that
involved the calcaneus were either part of a multifocal or metastatic disease
process. Our case presents a 45-month clinical follow-up of solitary EHE in the
calcaneus treated with surgical excision by curettage and cementing. Conclusion:
This case has clinical follow-up greater than 2 years post-operatively and could
be a guide for treatment of a rare disorder with a substantial paucity of
literature.
PMID- 29632659
TI - INAVA promotes aggressiveness of papillary thyroid cancer by upregulating MMP9
expression.
AB - Background: Innate immunity activator (INAVA) has been shown to be elevated in
lung adenocarcinoma. However, its expression pattern and function in papillary
thyroid cancer (PTC) are unknown. This study aimed to identify the clinical,
biological, and mechanistic impacts of INAVA on PTC. Methods: Using The Cancer
Genome Atlas dataset, real time PCR, and immunohistochemistry, the expression of
INAVA in PTC was analyzed. Gain- and loss-of-function assays were performed to
investigate the role of INAVA in PTC cell invasion, migration, and metastasis. We
explored the molecular mechanisms underlying the roles of INAVA in PTC cells
using transcriptome resequencing, real time PCR, western blotting and
immunohistochemistry. Results: We found that INAVA expression was significantly
upregulated in PTC and was significantly associated with lymph node metastasis.
Loss- and gain-of-function experiments demonstrated that INAVA promoted the
aggressive phenotype of PTC cells in vitro and in vivo. Mechanistic study
suggested that upregulation of INAVA resulted in elevated fibroblast growth
factor 1 (FGF1), which in turn increased the expression level of matrix
metalloproteinases 9 (MMP9). We further identified that the level of INAVA was
positively correlated with the levels of FGF1 and MMP9 in clinical PTC specimens.
Conclusion: These data establish a novel role for INAVA in promoting PTC
progression and suggest that INAVA may represent a therapeutic target for the
disease.
PMID- 29632660
TI - Ghrelin therapy mitigates bone marrow injury and splenocytopenia by sustaining
circulating G-CSF and KC increases after irradiation combined with wound.
AB - Background: Radiation injury combined wound (CI) enhances acute radiation
syndrome and subsequently mortality as compared to radiation injury alone (RI).
We previously reported that ghrelin (a 28-amino-acid-peptide secreted from the
stomach) treatment significantly increased a 30-day survival, mitigated
hematopoietic death, circulating white blood cell (WBC) depletion and
splenocytopenia and accelerated skin-wound healing on day 30 after CI. Herein, we
aimed to study the ghrelin efficacy at early time points after CI. Methods:
B6D2F1/J female mice were exposed to 60Co-gamma-photon radiation at 9.5 Gy
(LD50/30) followed by a 15% total-body-surface-area skin wound. Several endpoints
were measured at 4-5 h, days 1, 3, 7 and 15. Results: Histological analysis of
sternums on day 15 showed that CI induced more adipocytes and less megakaryocytes
than RI. Bone marrow cell counts from femurs also indicated CI resulted in lower
bone marrow cell counts on days 1, 7 and 15 than RI. Ghrelin treatment mitigated
these CI-induced adverse effects. RI and CI decreased WBCs within 4-5 h and
continued to decrease to day 15. Ghrelin treatment mitigated decreases in CI
mice, mainly from all types of WBCs, but not RBCs, hemoglobin levels and
hematocrit values. Ghrelin mitigated the CI-induced thrombocytopenia and
splenocytopenia. CI increased granulocyte-colony stimulating factor (G-CSF) and
keratinocyte chemoattractant (KC) in blood and bone marrow. Ghrelin therapy was
able to enhance and sustain the increases in serum on day 15, probably
contributed by spleen and ileum, suggesting the correlation between G-CSF and KC
increases and the neutropenia mitigation. Activated caspase-3 levels in bone
marrow cells were significantly mitigated by ghrelin therapy on days 3 and 15.
Conclusions: Our novel results are the first to suggest that ghrelin therapy
effectively decreases hematopoietic death and splenocytopenia by sustaining
circulating G-CSF and KC increases after CI. These results demonstrate efficacy
of ghrelin as a radio-mitigator/therapy agent for CI.
PMID- 29632661
TI - Effect of chiropractic treatment on primary or early secondary prevention: a
systematic review with a pedagogic approach.
AB - Introduction: The chiropractic vitalistic approach to the concept of
'subluxation' as a cause of disease lacks both biological plausibility and
possibly proof of validity. Nonetheless, some chiropractors purport to prevent
disease in general through the use of chiropractic care. Evidence of its effect
is needed to be allowed to continue this practice. The objective of this
systematic review was therefore to investigate if there is any evidence that
spinal manipulations/chiropractic care can be used in primary prevention (PP)
and/or early secondary prevention in diseases other than musculoskeletal
conditions. Method: We searched PubMed, Embase, Index to Chiropractic Literature,
and some specialized chiropractic journals, from inception to October 2017, using
terms including: "chiropractic", "subluxation", "wellness", "prevention", "spinal
manipulation", "mortality". Included were English language articles that
indicated that they studied the clinical preventive effect of or benefit from
manipulative therapy/chiropractic treatment in relation to PP and/or early
treatment of physical diseases/morbidity in general, other than musculoskeletal
disorders. Also, population studies were eligible. Checklists were designed in
relation to the description of the reviewed articles and some basic quality
criteria. Outcomes of studies were related to their methodological quality,
disregarding results from those unable to answer the research questions on effect
of treatment. Results: Of the 13.099 titles scrutinized, 13 articles were
included (eight clinical studies and five population studies). These studies
dealt with various disorders of public health importance such as diastolic blood
pressure, blood test immunological markers, and mortality. Only two clinical
studies could be used for data synthesis. None showed any effect of spinal
manipulation/chiropractic treatment. Conclusion: We found no evidence in the
literature of an effect of chiropractic treatment in the scope of PP or early
secondary prevention for disease in general. Chiropractors have to assume their
role as evidence-based clinicians and the leaders of the profession must accept
that it is harmful to the profession to imply a public health importance in
relation to the prevention of such diseases through manipulative
therapy/chiropractic treatment.
PMID- 29333230
TI - Funding knowledgebases: Towards a sustainable funding model for the UniProt use
case.
AB - Millions of life scientists across the world rely on bioinformatics data
resources for their research projects. Data resources can be very expensive,
especially those with a high added value as the expert-curated knowledgebases.
Despite the increasing need for such highly accurate and reliable sources of
scientific information, most of them do not have secured funding over the near
future and often depend on short-term grants that are much shorter than their
planning horizon. Additionally, they are often evaluated as research projects
rather than as research infrastructure components. In this work, twelve funding
models for data resources are described and applied on the case study of the
Universal Protein Resource (UniProt), a key resource for protein sequences and
functional information knowledge. We show that most of the models present
inconsistencies with open access or equity policies, and that while some models
do not allow to cover the total costs, they could potentially be used as a
complementary income source. We propose the Infrastructure Model as a sustainable
and equitable model for all core data resources in the life sciences. With this
model, funding agencies would set aside a fixed percentage of their research
grant volumes, which would subsequently be redistributed to core data resources
according to well-defined selection criteria. This model, compatible with the
principles of open science, is in agreement with several international
initiatives such as the Human Frontiers Science Program Organisation (HFSPO) and
the OECD Global Science Forum (GSF) project. Here, we have estimated that less
than 1% of the total amount dedicated to research grants in the life sciences
would be sufficient to cover the costs of the core data resources worldwide,
including both knowledgebases and deposition databases.
PMID- 29560253
TI - Evaluation of Trichoderma spp., Pseudomonasfluorescens and Bacillus subtilis for
biological control of Ralstonia wilt of tomato.
AB - Background:Ralstonia spp. is a major pathogenic microbe for tomato, which invades
the roots of diverse plant hosts and colonizes xylem vessels causing wilt,
especially in tropical, subtropical and warm-temperate regions. Ralstonia spp.
produces several virulence factors helping it to invade the plant's natural
defense mechanism. Native isolates of Trichoderma spp., Pseudomonas fluorescens
and Bacillus subtilis can be used as biocontrol agents to control the bacterial
wilt and combined application of these beneficial microbes can give better
results. Methods: Bacterial wilt infection in the field was identified by field
experts and the infected plant part was used to isolate Ralstonia spp. in CPG
media and was positively identified. Subsequently, the efficacy of the biocontrol
agents was tested and documented using agar well diffusion technique and digital
microscopy. 2ml of the microbial concentrate (10 9 cells/ml) was mixed in one
liter of water and was applied in the plant root at the rate of 100 ml per plant
as a treatment method. Results: It was observed that the isolated Trichoderma
spp. AA2 and Pseudomonas fluorescens PFS were most potent in inhibiting the
growth of Ralstonia spp. , showing ZOI 20.67 mm and 22.33 mm, respectively.
Digital microscopy showed distinct inhibitory effect on the growth and survival
of Ralstonia spp . The results from the field data indicated that Trichoderma
spp. and Pseudomonas fluorescens alone were able to prevent 92% and 96% of the
infection and combination of both were more effective, preventing 97% of
infection. Chemical control methods prevented 94% of infection. Bacillus subtilis
could only prevent 84 % of the infection. Conclusions: Antagonistic effect
against Ralstonia spp. shown by native isolates of Trichoderma spp. and P.
fluorescens manifested the promising potential as biocontrol agents. Combined
application gave better results. Results shown by Bacillus subtilis were not
significant.
PMID- 29623194
TI - Case Report: Dermoscopic features of oral lichen planus - the evolution of
mucoscopy.
AB - Dermoscopy, a non-invasive technique for cutaneous diagnosis is being
increasingly studied in various disorders of the skin, nails and scalp. However,
it has been under-utilized for the diagnosis and characterization of mucosal
disorders. The dermoscopic characterization of cutaneous lichen planus and its
variants has been well documented with Wickham's striae constituting the hallmark
of the condition. However, the dermoscopic features of oral lichen planus with
hand-held or videodermoscopy remain to be elucidated. We present the case of a
young adult man who presented with asymptomatic white lacy lesions over a bluish
black background over the tongue, patchy hyperpigmentation of the buccal mucosae
and gingivae, and longitudinal melanonychia involving some nails. History of
intake of any drugs preceding the lesions, smoking, chewing of betel nut and
dental implants was negative. Family history was non-contributory. There were no
cutaneous lesions suggestive of lichen planus. Mucoscopy (dermoscopy of the
mucosa, oral in this case) and onychoscopy were done followed by biopsy from the
tongue that confirmed the diagnosis of lichen planus. Oral mucoscopy of the
tongue revealed a tri-colored pattern with structureless veil-like grey-white
areas (modified Wickham's striae), well-demarcated red glossy erosions, and
violaceous-to-brown clods. Additionally, vascular pattern of dotted and linear to
curved vessels along the borders of leukoplakia-like areas and erosions were
observed. Onychoscopy confirmed lichen planus-associated melanonychia. Dermoscopy
also proved useful in conveniently ruling out other disorders typified by mucosal
and nail pigmentation such as Laugier Hunziker syndrome and drug-induced changes.
Although direct oral microscopy has been used in defining features of oral lichen
planus, to the best of our knowledge this case is the first report on mucoscopy
or dermoscopy of oral lichen planus.
PMID- 29632665
TI - An in vitro comparison of standard cleaning to a continuous passive disinfection
cap for the decontamination of needle-free connectors.
AB - Background: The optimal decontamination method for needle-free connectors is
still unresolved. The objective of this study was to determine if a continuous
passive disinfection cap is as effective as standard cleaning for the microbial
decontamination of injection ports of two types of needle-free connectors.
Methods: The injection ports of needle-free connectors were inoculated with
Staphylococcus aureus and allowed to dry. Disinfection caps containing 70% (v/v)
isopropyl alcohol (IPA) were attached to the connectors for one, three or 7 days
and were compared with needle-free connectors cleaned with 2% (w/v) chlorhexidine
gluconate (CHG) in 70% (v/v) IPA. The number of S. aureus remaining on the
injection ports was evaluated. Median log10 reductions and 95% confidence
interval (CI) were calculated and data analyzed using the Mann-Whitney test.
Results: The application of the disinfection cap resulted in a significantly
higher reduction in S. aureus than the 2% (w/v) CHG in 70% (v/v) IPA wipe,
achieving a > 5 Log10 reduction in CFU at each time point. Conclusions: The
disinfection caps resulted in a significantly higher reduction in S.aureus on the
injection ports when compared to the use of a 2% (w/v) CHG in 70% (v/v) IPA wipe.
This offers an explanation for the lower rates of central-line associated
bloodstream infection (CLABSI) associated with the use of disinfection caps
reported in clinical studies.
PMID- 29632666
TI - Isolation of bacteria from fermented food and grass carp intestine and their
efficiencies in improving nutrient value of soybean meal in solid state
fermentation.
AB - Background: Soybean meal is an excellent and cost-effective protein source;
however, its usage is limited in the piglet due to the presence of anti
nutritional factors and the antigens glycinin and beta-conglycinin. The objective
of the current study was to screen and select for bacteria that can be
efficiently adopted to ferment soybean meal in order to solve this problem.
Results: Bacteria were isolated from fermented soy foods and the grass carp
intestine, and strains selected for high protease, cellulase and amylase
activities. The isolated bacteria were characterized as Bacillus cereus, Bacillus
subtilis and Bacilus amyloliquefacien, respectively. Fermentation with food
derived Isolate-2 and fish-derived F-9 increased crude protein content by 5.32%
and 8.27%, respectively; improved the amino acid profile by increasing certain
essential amino acids, broke down larger soy protein to 35 kDa and under,
eliminated antigenicity against glycinin and beta-conglycinin, and removed
raffinose and stachyose in the soybean meal following a 24-h fermentation.
Conclusions: Our results suggest these two B. amyloliquefaciens bacteria can
efficiently solid state ferment soybean meal and ultimately produce a more
utilizable food source for growing healthy piglets.
PMID- 29632667
TI - Immune regulation of the unfolded protein response at the mucosal barrier in
viral infection.
AB - Protein folding in the endoplasmic reticulum (ER) is subject to stringent quality
control. When protein secretion demand exceeds the protein folding capacity of
the ER, the unfolded protein response (UPR) is triggered as a consequence of ER
stress. Due to the secretory function of epithelial cells, UPR plays an important
role in maintaining epithelial barrier function at mucosal sites. ER stress and
activation of the UPR are natural mechanisms by which mucosal epithelial cells
combat viral infections. In this review, we discuss the important role of UPR in
regulating mucosal epithelium homeostasis. In addition, we review current
insights into how the UPR is involved in viral infection at mucosal barriers and
potential therapeutic strategies that restore epithelial cell integrity following
acute viral infections via cytokine and cellular stress manipulation.
PMID- 29632668
TI - Prevalence of cytopenias in both HAART and HAART naive HIV infected adult
patients in Ethiopia: a cross sectional study.
AB - Background: In individuals infected with HIV, hematological abnormalities are
common and are associated with increased risk of disease progression and death.
However, the profile of hematological abnormalities in HIV infected adult
patients is not known in Ethiopia. Thus, the aim of this study was to assess the
hematological manifestations of HIV infection and to identify the factors
associated with cytopenias in both HAART and HAART naive HIV infected adult
patients in Ethiopia. Method: We conducted a cross-sectional quantitative study
of HIV-infected adult patients attending the ART follow-up clinic of Jimma
University Specialized Hospital in Jimma, Ethiopia, from July 2012 to September
2012. We used a structured questionnaire to collect socio-demographic and
clinical information. After interviewing, 4 ml of venous blood was drawn from
each study subject for hematologic and immunologic parameters. Result: The
prevalence of anemia, leucopenia, thrombocytopenia and lymphopenia among the
study individuals were 51.5%, 13%, 11.1% and 5% respectively. Presence of
opportunistic infection (p = 0.001), use of CPT (p = 0.04) and CD4 count < 200
cells/MUl (p = 0.002) were associated with an increased risk of anemia.
Conclusion: Hematologic abnormalities were common in HIV infected adult patients.
Of the cytopenias anemia was the most common. Use of CPT was independently
associated with increased risk of anemia and leucopenia. Therefore, large scale
and longitudinal studies, giving emphasis on the association of CPT and
cytopenia, are recommended to strengthen and explore the problem in depth.
PMID- 29632669
TI - Insights into early stage of antibiotic development in small- and medium-sized
enterprises: a survey of targets, costs, and durations.
AB - Background: Antibiotic innovation has dwindled to dangerously low levels in the
past 30 years. Since resistance continues to evolve, this innovation deficit can
have perilous consequences on patients. A number of new incentives have been
suggested to stimulate greater antibacterial drug innovation. To design effective
solutions, a greater understanding is needed of actual antibiotic discovery and
development costs and timelines. Small and medium-sized enterprises (SMEs)
undertake most discovery and early phase development for antibiotics and other
drugs. This paper attempts to gather a better understanding of SMEs' targets,
costs, and durations related to discovery and early phase development of
antibacterial therapies. Methods: DRIVE-AB, a project focused on developing new
economic incentives to stimulate antibacterial innovation, held a European
stakeholder meeting in February 2015. All SMEs invited to this meeting (n = 44)
were subsequently sent a survey to gather more data regarding their areas of
activity, completed and expected development costs and timelines, and business
models. Results: Twenty-five companies responded to the survey. Respondents were
primarily small companies each focusing on developing 1 to 3 new antibiotics,
focused on pathogens of public health importance. Most have not yet completed any
clinical trials. They have reported ranges of discovery and development out-of
pocket costs that appear to be less expensive than other studies of general
pharmaceutical research and development (R&D) costs. The duration ranges reported
for completing each phase of R&D are highly variable when compared to previously
published general pharmaceutical innovation average durations. However, our
sample population is small and may not be fully representative of all relevant
antibiotic SMEs. Conclusions: The data collected by this study provide important
insights and estimates about R&D in European SMEs focusing on antibiotics, which
can be combined with other data to design incentives to stimulate antibacterial
innovation. The variation implies that costs and durations are difficult to
generalize due to the unique characteristics of each antibiotic project and
depend on individual business strategies and circumstances.
PMID- 29632670
TI - Tracheal growth assessment in mongrel puppies (dogs) through multidetector CT.
AB - The aim of this study was to describe the tracheal growth pattern and its
zoometric relationship in related medium-sized mongrel puppies through adulthood.
Fourteen puppies were studied. CT monitoring was performed monthly, starting in
the 1st month of life through the 7th month and subsequently at the 9th and 12th
months. Additionally, six zoometric measurements were performed. Dorsoventral
(DV) and transverse (TV) diameters and the luminal area from C1 to T2 were
obtained. The global tracheal growth pattern revealed an increase up to 13 times
its initial size, reaching a plateau phase during the last trimester. The
relationship between the DV and the TV internal diameters of the tracheal lumen
did not change during growth. As previously reported, the cranial tracheal area
was wider, while the caudal part gradually decreased towards T1-T2; this
consideration is important since the more distal an endotracheal tube is
inserted, the greater the risk that injury may occur. The linear correlation
between the zoometric measurements and the tracheal ring areas was positive. This
study provides evidence for the evaluation of the morphometry of the canine
trachea during physiological growth using helicoidal CT as a non-invasive,
accurate tool.
PMID- 29632671
TI - Cross-sectional area and fat content in dachshund epaxial muscles: an MRI and CT
reliability study.
AB - MRI and CT are frequently used to diagnose spinal diseases in dogs. These
modalities have detected epaxial muscle degeneration in dachshunds with
intervertebral disc herniation. However, research on the reliability of epaxial
muscular measurements is limited in veterinary medicine. The aims of the study
were to assess the intrarater and inter-rater reliability of epaxial muscle cross
sectional area (CSA) and fat content measurements on MRI and CT images in
dachshunds, and to compare the CSA measurement between the two modalities. MRI
and CT images of 10 healthy dachshunds were evaluated. Two blinded observers
assessed MRI CSA, MRI fat content, CT CSA and CT muscle attenuation of three
thoracolumbar epaxial muscles using OsiriX. The results showed 'substantial' to
'almost perfect' intrarater reliability (intraclass correlation coefficient (ICC)
0.828-0.998) and inter-rater reliability (ICC 0.685-0.854) for all variables.
When individual spinal segments were analysed, the intrarater and inter-rater
reliability decreased and the confidence intervals increased. There was positive
correlation (r= 0.719-0.841, P=0.001) and high agreement (0.824-0.894) for the
measured CSA between MRI and CT. Epaxial muscle CSA and fat content can be
reliably measured on MRI and CT, bearing in mind that measurement of certain
segments requires adequate training.
PMID- 29632672
TI - Radiographic analysis of the angle in the lateromedial projection of the
metacarpophalangeal joint and the distal interphalangeal joint in
metacarpophalangeal flexural deformities in calves.
AB - The bone alignment of the metacarpophalangeal joint (MPJ) of the distal
interphalangeal joint (DIPJ) in metacarpophalangeal flexural deformity (MPFD) in
calves was evaluated by radiography. This study was designed by retrospective
study of radiographs. Lateral to medial radiographs of distal forelimbs were
taken from 19 MPFD affected calves (35 forelimbs) and 21 normal calves (42
forelimbs). Based on the radiographs, the lateral angles of MPJ were measured
from the metacarpal bone axis and proximal phalanx axis, and lateral angles of
DIPJ were measured from the middle phalanx axis and distal phalanx axis. Mean
lateral angle of MPJ in the normal limbs was 175.9 (95% CI 174.5 to 177.4). Mean
lateral angles of MPJ in MPFD were as follows: mild: 167.1 (158.9-175.2),
moderate: 165.1 (158.5-171.7) and severe: 150.6 (146-155.1). MPJ angle in MPFD
limbs was narrower than that in the normal limbs (mild, moderate and severe:
P=0.017, P=0.003 and P<0.001, respectively). Mean lateral angle of DIPJ in the
normal limbs was 211.9 (210.7-213.2). Mean lateral angles of DIPJ in moderate:
200.6 (195.2-206.1) and severe: 204.9 (203.3-206.5) MPFD were narrower than that
in the normal limbs (both P<0.001). There was no significant difference between
the normal limbs and mild: 210.3 (206.9-213.7) MPFD limbs (P=0.7). The clinical
severity of MPFD corresponded well with the lateral angle of MPJ. The flexion of
DIPJ in moderate and severe MPFD was similar to the flexion of MPJ in MPFD. This
suggested that the lateral to medial radiographs accurately reflected the MPJ
flexion and the DIPJ in MPFD in calves, providing useful information for the
treatment of MPFD.
PMID- 29632673
TI - Clinical presentation of CIED infection following initial implant versus
reoperation for generator change or lead addition.
AB - Objective: To explore differences in clinical manifestations and outcomes in
those patients who develop infection after undergoing initial implantation versus
reoperation. Methods: We compared cases of cardiac implantable electronic device
(CIED) infection based on initial implantation versus reoperation from 11
centres. Results: There were 432 patients with CIED infection, 178 occurring
after initial device placement and 254 after repeat reoperation. No differences
were seen in age, sex or device type. Those with infection after initial implant
had a higher Charlson Comorbidity Score (median 3 (IQR 2-6) vs 2 (IQR 1-4),
p<0.001), shorter time since last procedure (median 8.9 months (IQR 0.9-33.3) vs
19.5 months (IQR 1.1-62.9), p<0.0001) and fewer leads (2.0+/-0.6vs 2.5+/-0.9,
p<0.001). Pocket infections were more likely to occur after a reoperation
(70.1%vs48.9%, p<0.001) and coagulase negative staphylococci (CoNS) was the most
frequently isolated organism in this group (p=0.029). In contrast, initial
implant infections were more likely to present with higher white cell count
(10.5+/-5.1 g/dL vs 9.5+/-5.4 g/dL, p=0.025), metastatic foci of infection
(16.9%vs8.7%, p=0.016) and sepsis (30.9%vs19.3%, p=0.006). There were no
differences in in-hospital (7.9%vs5.2%, p=0.31) or 6-month mortality
(21.9%vs14.0%, p=0.056). Conclusions: CIED infections after initial device
implant occur earlier, more aggressively, and often due to Staphylococcus aureus.
In contrast, CIED infections after reoperation occur later, are due to CoNS, and
have more indolent manifestations with primary localisation to the pocket.
PMID- 29632674
TI - Randomised trial of the comparison of drug-eluting stents in patients with
diabetes: OCT DES trial.
AB - Background: To date, there have been limited comparisons performed between
everolimus-eluting stents (EES) and zotarolimus-eluting stents (ZES) in patients
with diabetes mellitus (DM). The objectives of this study was to assess the use
of second-generation drug-eluting stents in patients with DM, using optical
coherence tomography (OCT) to compare the level of stent coverage of Boston
Scientific Promus Element EES compared with Medtronic Resolute Integrity
ZES.(Clinicaltrials.gov number NCT02060357). Methods: This is a single-centre
randomised blinded trials assessing two commercially available stents in 60
patients with diabetes (ZES: n=30, EES: n=30). Patients underwent intracoronary
assessment at 6 months with OCT assessing stent coverage, malapposition,
neointimal thickness and percentage of in-stent restenosis (ISR). Results: Of the
60 patients randomised, 46 patients underwent OCT analysis. There was no
difference in baseline characteristics between the two groups. Both Promus
Element and Resolute Integrity had low rates of uncovered struts at 6 months with
no significant difference between the two groups (2.44% vs 1.24%, respectively;
P=0.17). Rates of malapposition struts (3.9% vs 2.5%, P=0.25) and percentage of
luminal loss did not differ between stent types. In addition, there was no
significant difference in major adverse cardiovascular events (P=0.24) between
the stent types. Conclusions: This study is the first randomised trial to
evaluate OCT at 6 months for ZES and EES in patients with diabetes. Both stents
showed comparable strut coverage at 6 months, with no difference in ISR rates at
6 months.
PMID- 29632675
TI - Early metabolic response to acute myocardial ischaemia in patients undergoing
elective coronary angioplasty.
AB - Objective: Balloon-induced transient coronary ischaemia represents a model of
myocardial ischaemia and reperfusion. We are interested in the very early
systemic metabolic response to this event. Methods: Blood samples of patients
with stable angina (SA) were collected before and after coronary angioplasty.
Serum metabolic profiles were obtained using nuclear magnetic resonance
spectroscopy. Univariate and multivariate analyses were used to investigate
changes in metabolite concentrations. Results: Thirty-four consecutive patients
with SA, undergoing elective coronary angioplasty at Policlinico Umberto I of
Rome, were included in this study. Changes in metabolites concentration induced
by balloon occlusion in venous and arterial sera were detected. In both serum
types, a significant increase in ketone bodies, 2-hydroxybutyrate, glutamine and
O-acetylcarnitine concentration is observed, while alanine, lactate,
phenylalanine and tyrosine decreased after intervention. Most significant
metabolic changes were detected in arterial serum. Conclusions: Our study points
out two main global metabolic changes in peripheral blood after balloon-induced
coronary ischaemia: ketone bodies increase and lactate decrease. Both could be
related to compensation mechanisms finalised to fulfil heart's needs after short
period of myocardial ischaemia and probably after reperfusion.
PMID- 29632676
TI - Role of ivabradine in management of stable angina in patients with different
clinical profiles.
AB - In chronic stable angina, elevated heart rate contributes to the development of
symptoms and signs of myocardial ischaemia by increasing myocardial oxygen demand
and reducing diastolic perfusion time. Accordingly, heart rate reduction is a
well-known strategy for improving both symptoms of myocardial ischaemia and
quality of life (QOL). The heart rate-reducing agent ivabradine, a direct and
selective inhibitor of the If current, decreases myocardial oxygen consumption
while increasing diastolic time, without affecting myocardial contractility or
coronary vasomotor tone. Ivabradine is indicated for treatment of stable angina
and chronic heart failure (HF). This review examines available evidence regarding
the efficacy and safety of ivabradine in stable angina, when used as monotherapy
or in combination with beta-blockers, in particular angina subgroups and in
patients with stable angina with left ventricular systolic dysfunction (LVSD) or
HF. Trials involving more than 45 000 patients receiving treatment with
ivabradine have shown that this agent has antianginal and anti-ischaemic effects,
regardless of age, sex, severity of angina, revascularisation status or
comorbidities. This heart rate-lowering agent might also improve prognosis,
reduce hospitalisation rates and improve QOL in angina patients with chronic HF
and LVSD.
PMID- 29632677
TI - GP perceptions of the adequacy of community-based care for patients with advanced
heart failure in a UK region (NI): a qualitative study.
AB - Objective: To assess the adequacy of community-based services available in
Northern Ireland (NI) and to meet the multidimensional needs of patients living
with New York Heart Association Stage III and IV heart failure (HF), as
experienced and perceived by general practitioners (GP). Methods: Semistructured
interviews were conducted with GPs recruited via the University Department of
General Practice and Northern Ireland Medical and Dental Agency. Interviews were
transcribed, independently coded and analysed using a six-step thematic analysis
approach. Results: Twenty semistructured interviews were conducted. GPs reported
managing patients in a 'reactive rather than proactive' way, responding only to
acute medical needs, with hospital admission the default option due to lack of
community-based expertise and services. Care provided by HF specialists was
highly regarded but 'access and coordination' were lacking, related to inequity
of access to Heart Failure Nursing Teams, lack of access to specialist advice and
inadequate handover of information to GPs. Conversations regarding current and
future care needs and preferences were important, but GPs described 'neglecting
conversations with the patient', due to time constraints, prognostic uncertainty
and fear of causing distress. They expressed the view that 'specialist palliative
care (SPC) is only a credible option in end stages' related to limited
understanding of the scope of SPC, a perception that timing of referral must
depend on prognosis and concern that SPC services are cancer-focused.
Conclusions: Despite the extensive body of research which evidences the unmet
multidimensional needs of patients with advanced HF, and more recent evidence for
the effectiveness of integrated SPC in improving quality of life for patients
with HF, health and social care services within NI have not adapted to assess and
meet these needs.
PMID- 29632678
TI - Rise and fall of NT-proBNP in aortic valve intervention.
AB - Objectives: To describe the dynamics of N-terminal pro-B-type natriuretic peptide
(NT-proBNP) from preoperative evaluation to 6-month follow-up in patients
undergoing aortic valve intervention, and to evaluate NT-proBNP with regard to 1
year mortality. Methods: At preoperative evaluation, we prospectively included
462 patients accepted for aortic valve intervention. The median time to surgical
aortic valve replacement (SAVR; n=336) or transcatheter aortic valve implantation
(TAVI; n=126) was 4 months. NT-proBNP was measured at enrolment for preoperative
evaluation, on the day of surgery, postoperatively on day 1, day 3 and at the 6
month follow-up. Subgroups of patients undergoing SAVR with aortic regurgitation
and aortic stenosis with and without coronary artery bypass were also analysed.
Results: NT-proBNP remained stable in all subgroups during the preoperative
waiting period, but displayed a substantial transient early postoperative
increase with a peak on day 3 except in the TAVI group, which peaked on day 1. At
the 6-month follow-up, NT-proBNP had decreased to or below the preoperative level
in all groups. In the SAVR group, NT-proBNP preoperatively and on postoperative
days 1 and 3 revealed significant discriminatory power with regard to 1-year
mortality (area under the curve (AUC)=0.79, P=0.0001; AUC=0.71, P=0.03; and
AUC=0.79, P=0.002, respectively). This was not found in the TAVI group, which had
higher levels of NT-proBNP both preoperatively and at the 6-month follow-up
compared with the SAVR group. Conclusions: The dynamic profile of NT-proBNP
differed between patients undergoing TAVI and SAVR. NT-proBNP in the
perioperative course was associated with increased risk of 1-year mortality in
SAVR but not in TAVI.
PMID- 29632679
TI - CT coronary angiography: a paradigm shift for functional imaging tests.
AB - The UK National Institute for Health and Care Excellence (NICE) have just updated
their guideline on new-onset stable chest pain, recommending that all patients
should be investigated with a CT coronary angiography (CTCA). In a separate
guideline, NICE recommended CT fractional flow reserve (CT-FFR), to assess
coronary stenoses, found on CTCA, stating that this would reduce the need for
invasive coronary angiography and hence reduce cost. We discuss the evidence base
for CT-FFR and emphasise that we already have established functional imaging
tests, with extensive evidence base for efficacy and prognosis and that CT-FFR
should be compared with this standard of care and not with the much more
expensive and invasive fractional flow reserve undertaken during invasive
coronary angiography.
PMID- 29632680
TI - Gender differences in the factors predicting initial engagement at cardiac
rehabilitation.
AB - Objective: To determine whether there are gender differences in the factors that
predict attendance at the initial cardiac rehabilitation baseline assessment (CR
engagement) after referral. Methods: Using data from the National Audit of
Cardiac Rehabilitation, we analysed data on 95 638 patients referred to CR
following a cardiovascular diagnosis/treatment between 2013 and 2016. Eighteen
factors that have been shown in previous research to be important predictors of
CR participation were investigated and grouped into four categories:
sociodemographic factors, cardiac risk factors, patient medical status and
service-level factors. Logistic binary regression models were built for male
patients and female patients, assessing the likelihood for CR engagement. Each
included predictors such as age, number of comorbidities and social deprivation
score. Results: There were no important differences in the factors that predict
the likelihood of CR engagement in men and women. Seven factors associated with a
reduced probability of CR engagement, and eight factors associated with increased
probability, were identified. Fourteen of the 15 factors identified as predicting
the likelihood for engagement/non-engagement were the same for both men and
women. Increasing age, being South Asian or non-white ethnicity (other than
Black) and being single were all associated with a reduced likelihood of
attending an initial CR baseline assessment in both men and women. Male patients
with diabetes were 11% less likely to engage with CR; however, there was no
significant association in women. Results showed that the overwhelmingly
important determinant of CR engagement observed in both men and women was
receiving an invitation to attend an assessment session (OR 4.223 men/4.033women;
p<0.05). Conclusions: Consideration of gender differences in predictors of CR
uptake should probably be more nuanced and informed by the stage of the patient
care pathway.
PMID- 29632681
TI - Conduction disorders using the Evolut R prosthesis compared with the CoreValve:
has anything changed?
AB - Aim: We compared early postprocedural and midterm evolution of atrioventricular
and intraventricular conduction disorders following implantation of the new
generation Evolut R (ER) prosthesis in comparison with the previous generation
CoreValve (CV) system using routinely recorded ECG up to 6-month follow-up.
Methods: All consecutive patients treated by transcathether aortic valve
implantation (TAVI) using the Medtronic self-expanding devices for symptomatic
severe aortic stenosis in a single centre between October 2011 and February 2016
were considered for inclusion. ECGs recorded at baseline, day 1 after TAVI,
discharge and 6 months were retrospectively analysed. At each time-point,
intrinsic rhythm, PR interval, QRS axis and duration, and atrioventricular and
intraventricular conduction were analysed. Atrioventricular and intraventricular
conduction following TAVI at discharge and at 6 months were compared intrasubject
at the different time intervals and between patients receiving the ER versus the
CV prosthesis. Results: Among the 113 patients included in the analysis (51%
female, 83.3+/-6.2 years), 60 (53%) patients received the CV and 53 (47%)
patients received the ER. Compared with patients in the CV group, those in the ER
group had a lower Society of Thoracic Surgeons score (6.3+/-3.1vs 4.8+/-3.6,
P=0.02). Patients in the ER group in comparison with those in the CV group more
frequently had postprocedural PR interval (57%vs23%, respectively, P=0.004) and
QRS prolongation (76%vs50%, P=0.03) at discharge. Incidence of complete
atrioventricular block was similar between both groups (9%vs18%, P=0.3) up to 6
month follow-up. No difference in term of new left bundle branch block (LBBB)
(34%vs28%, P=0.8) or permanent pacemaker implantation rates (32.1%vs31.7%, P=1.0)
was reported. Conclusions: Patients with the ER had greater postprocedural
atrioventricular and intraventricular conduction delays than those with the CV at
discharge, with however similar incidence of high-degree atrioventricular block,
new LBBB and permanent pacemaker implantation up to 6-month follow-up.
PMID- 29632682
TI - A systematic review of interventions targeting men's alcohol use and family
relationships in low- and middle-income countries.
AB - Background: Problem drinking accounts for 9.6% of disability-adjusted life years
worldwide. It disproportionally affects men and has disabling physical,
psychological, and behavioral consequences. These can lead to a cascade of
negative effects on men's families, with documented ties to intimate partner
violence (IPV) and child maltreatment. These multi-level problems are often
exacerbated where poverty rates are high, including low and middle-income
countries (LMICs). In contexts where strong patriarchal norms place men in
positions of power, family-level consequences are often even more pronounced.
Methods: We conducted a systematic review of the literature on interventions in
LMICs targeting men's problem drinking and any family-related outcomes. Cochrane
and PRISMA procedures guided the review. The search was conducted in PsychInfo,
PubMed, and Web of Science. Results: The search yielded 1357 publications. Nine
studies from four different countries met inclusion criteria. Of those, only one
had the primary goal of simultaneously improving drinking and a related family
level outcome (IPV). Six of the studies documented modest improvements on both
drinking and couples or family outcomes. Strategies common to these included
cognitive-behavioral techniques, communication skills training, narrative
therapy, and participatory learning. Gender-transformative approaches were
associated with reduced IPV and more equitable gender norms, and motivational
interviewing and behavioral approaches were beneficial for reducing alcohol use.
Conclusions: Findings highlight the scarcity of interventions addressing men's
drinking and its effects on families, particularly for parent-child outcomes.
However, results point to strategies that, combined with other evidence-based
family interventions can guide the development and rigorous evaluation of
integrated programs.
PMID- 29632683
TI - Community strengthening and mental health system linking after flooding in two
informal human settlements in Peru: a model for small-scale disaster response.
AB - Background: Mental health is an important factor in responding to natural
disasters. Observations of unmet mental health needs motivated the subsequent
development of a community-based mental health intervention following one such
disaster affecting Peru in 2017. Methods: Two informal human settlements on the
outskirts of Lima were selected for a mental health intervention that included:
(1) screening for depression and domestic violence, (2) children's activities to
strengthen social and emotional skills and diminish stress, (3) participatory
theater activities to support conflict resolution and community resilience, and
(4) community health worker (CHW) accompaniment to government health services.
Results: A total of 129 people were screened across both conditions, of whom
12/116 (10%) presented with depression and 21/58 (36%) reported domestic
violence. 27 unique individuals were identified with at least one problem.
Thirteen people (48%) initially accepted CHW accompaniment to government-provided
services. Conclusions: This intervention provides a model for a small-scale
response to disasters that can effectively and acceptably identify individuals in
need of mental health services and link them to a health system that may
otherwise remain inaccessible.
PMID- 29632684
TI - Surgery for hip preservation-let the patient decide.
PMID- 29632686
TI - Lateral acetabular coverage as a predictor of femoroacetabular cartilage
thickness.
AB - To investigate the correlation between femoroacetabular cartilage thickness and
lateral acetabular coverage in patients undergoing hip arthroscopy for a variety
of indications. Articular cartilage at the hip is hypothesized to undergo
adaptive change secondary to unique patterns of pathomechanical loading which
results in a direct relationship between acetabular coverage and femoroacetabular
cartilage thickness. A cohort of 252 patients presenting to our dedicated hip
preservation service between June 2013 and June 2015 were retrospectively
analysed. Preoperative radiographs and MRI studies were obtained for all
symptomatic hips and classified according to radiographic lateral center edge
angle (LCEA) as follows: normal acetabular coverage (25-40 degrees ), acetabular
overcoverage (>=40 degrees ), borderline dysplasia (20-24.9 degrees ) and frank
dysplasia (<20 degrees ). Femoroacetabular cartilage thickness was measured on a
preoperative MRI-scan at the fovea, middle sourcil, and lateral sourcil. In all
groups, cartilage thickness was maximized at the lateral sourcil relative to the
middle sourcil or fovea (P < 0.001). Furthermore, articular cartilage thickness
was significantly increased when comparing one group to successive groups with
diminished lateral acetabular coverage. Indeed, multivariate analyses confirmed
LCEA to be the strongest determinant of femoroacetabular cartilage thickness
compared with age, gender, body-mass index or presence of cam/pincer lesions.
Patients with borderline and frank dysplasia exhibit increased values of
femoroacetabular cartilage thickness in the weight-bearing zone, potentially
indicating a compensatory reaction to the lack of bony coverage. Articular
cartilage thickness may serve as an instability marker and inform clinical
decision-making for patients with borderline dysplasia.
PMID- 29632685
TI - Imaging of femoroacetabular impingement-current concepts.
AB - Following the recognition of femoroacetabular impingement (FAI) as a clinical
entity, diagnostic tools have continuously evolved. While the diagnosis of FAI is
primarily made based on the patients' history and clinical examination, imaging
of FAI is indispensable. Routine diagnostic work-up consists of a set of plain
radiographs, magnetic resonance imaging (MRI) and MR-arthrography. Recent
advances in MRI technology include biochemically sensitive sequences bearing the
potential to detect degenerative changes of the hip joint at an early stage prior
to their appearance on conventional imaging modalities. Computed tomography may
serve as an adjunct. Advantages of CT include superior bone to soft tissue
contrast, making CT applicable for image-guiding software tools that allow
evaluation of the underlying dynamic mechanisms causing FAI. This article
provides a summary of current concepts of imaging in FAI and a review of the
literature on recent advances, and their application to clinical practice.
PMID- 29632687
TI - Outcomes of joint preservation surgery: comparison of patients with developmental
dysplasia of the hip and femoroacetabular impingement.
AB - Femoroacetabular impingement (FAI) and developmental dysplasia of the hip (DDH)
are fundamentally and structurally different hip abnormalities yet their clinical
presentation can often be very similar. We asked whether adult patients
surgically treated for DDH when compared with FAI patients achieve (i) better
outcome as reflected by the WOMAC Osteoarthritis Index, (ii) higher physical
activity as reflected by the UCLA physical activity scale. Five hundred fifty-six
patients treated by periacetabular osteotomy for DDH and 540 patients treated for
FAI (cam, pincer, or combined) in nine high-volume centers, between 2008 and 2011
were matched using propensity analysis, based on age and BMI. After exclusions,
144 pairs were evaluated on WOMAC, SF-12 Health Survey, modified Harris Hip Score
(mHHS) and UCLA scale at pre and post-operations. At pre-operative evaluation,
FAI patients showed lower scores on WOMAC (total, stiffness, function) and SF-12
physical. Statistically significant improvements in the outcome scores were
observed from pre to post-operative time points in both treatment groups. Once
FAI and DDH patients were compared, FAI patients showed lower scores on most of
the outcome measures. However, these differences diminished in time, with only SF
12 mental and mHHS scores remaining significantly lower at 2-year follow-up.
Because of more advanced disease at presentation, patients with FAI had an
inferior clinical outcome compared with patients with DDH after surgical
correction. Further prospective studies are required to better describe the long
term clinical benefits of hip joint preservation surgery.
PMID- 29632688
TI - Prospective study of nerve injuries associated with hip arthroscopy in the
lateral position using the modified portals.
AB - To access the central compartment of the hip, distraction is essential in hip
arthroscopy (HA); nerve injuries have long been accepted as a complication of
this surgical procedure, with an incidence ranging from 0 to 46%. Only one
previous article collected data prospectively, and the authors utilized a supine
technique, with a modified mid-anterior portal. Our study also used prospectively
collected data, from a group of 200 consecutive patients who had HA performed in
the lateral position using the paratrochanteric portals. Our results were that
four patients (2%) reported symptoms of neurological deficits after surgery,
three patients with traction times ranging from 20 to 41 min, their neurological
deficits resolved completely over a time from 6 to 9 weeks. The fourth patient
who had the longest traction time of 73 min, and also greater than usual
traction, his neurological deficit resolved at 12 weeks. Our hypothesis of 200
hip arthroscopies, performed in the lateral position by the modified
paratrochanteric portals, the incidence of nerve injuries would be lower than
46%. We found an incidence of 2%, all affecting the perineum and genitals and all
occurring in men, no differences between the age, surgery side or type of surgery
performed on the patient were found to have statistical differences. Traction
times with <31.5 min were related with fewer incidences of neurological symptoms.
On the basis of this study, all patients with traction times below 73 min can be
confidently reassured that any deficit will recover within 3 months.
PMID- 29632689
TI - The incidence of proximal deep vein thrombosis after elective hip arthroscopy: a
prospective cohort study in low risk patients.
AB - Prospectively assess the incidence of deep venous thrombosis (DVT) using Doppler
Ultrasound, in patients receiving elective hip arthroscopy without
pharmacologic/mechanical prophylaxis. One hundred and fifteen consecutive
patients (mean 35.4 years, SD = 10.3) underwent elective hip arthroscopy.
Patients with previous major risk factors for DVT were excluded. Signs/symptoms
of DVT/pulmonary embolism were assessed at 2-week post-operatively. A bilateral
whole leg Duplex color (Doppler) Ultrasonography was scheduled between 10- and 22
day post-op. The primary outcome was frequency of DVT. Secondary outcomes
assessed surgical risk factors. One hundred and ten patients (mean = 34.3 years,
SD = 10.1) did not get a DVT. Five patients (mean = 43.8 years, SD = 12.1) were
diagnosed with a DVT, 2- to 22-day post-operatively. All DVT patients received
arthroscopy in the supine position (n = 76), versus no patients in the lateral
position (n = 39). Average traction time was 38 (SD = 4) and 61 (SD = 4) minutes
for patients with and without a DVT, respectively. All other a priori defined
risk factors were similar. Four out of five patients presented with symptoms of a
DVT, confirmed by ultrasound. One patient was without symptoms/clinical findings.
Four patients had a DVT restricted to the calf veins; one patient had involvement
of the popliteal vein. No patients had proximal extension into the thigh or
pelvis. No pulmonary emboli were suspected or occurred. The incidence of deep
venous thromboembolism is 4.3%. The majority of patients had symptomatic and
distal venous thromboembolic events. This study provides supportive evidence that
routine prophylaxis and/or screening may not be necessary in low risk patients
undergoing elective hip arthroscopy.
PMID- 29632690
TI - The feasibility of conducting a randomised controlled trial comparing
arthroscopic hip surgery to conservative care for patients with femoroacetabular
impingement syndrome: the FASHIoN feasibility study.
AB - To determine whether it was feasible to perform a randomized controlled trial
(RCT) comparing arthroscopic hip surgery to conservative care in patients with
femoroacetabular impingement (FAI). This study had two phases: a pre-pilot and
pilot RCT. In the pre-pilot, we conducted interviews with clinicians who treated
FAI and with FAI patients to determine their views about an RCT. We developed
protocols for operative and conservative care. In the pilot RCT, we determined
the rates of patient eligibility, recruitment and retention, to investigate the
feasibility of the protocol and we established methods to assess treatment
fidelity. In the pre-pilot phase, 32 clinicians were interviewed, of which 26
reported theoretical equipoise, but in example scenarios 7 failed to show
clinical equipoise. Eighteen patients treated for FAI were also interviewed, the
majority of whom felt that surgery and conservative care were acceptable
treatments. Surgery was viewed by patients as a 'definitive solution'. Patients
were motivated to participate in research but were uncomfortable about
randomization. Randomization was more acceptable if the alternative was available
at the end of the trial. In the pilot phase, 151 patients were assessed for
eligibility. Sixty were eligible and invited to take part in the pilot RCT; 42
consented to randomization. Follow-up was 100% at 12 months. Assessments of
treatment fidelity were satisfactory. An RCT to compare arthroscopic hip surgery
with conservative care in patients with FAI is challenging but feasible.
Recruitment has started for a full RCT.
PMID- 29632691
TI - Hip arthroscopy for intra-capsular benign tumors: a case series.
AB - The purpose of this study is to demonstrate the assessment of intra-capsular
femoral head and neck tumors, and to describe the arthroscopic surgical technique
used to resect and fill the bone defects. Three cases of benign femoral head and
neck lesions are presented. Two benign enchondromas and one benign osteochondroma
were resected arthroscopically. Traction was used in one case. Modified Harris
Hip Score improved in all three cases to scores of 95 or greater with an average
improvement of 16 points with a minimum follow up of 15 months. Arthroscopic
surgical resection of intra-capsular femoral hip lesions offers an effective
alternative to open resection. This technique offered good outcomes in the
limited cohort. We suggest that arthroscopic resection of intra-capsular femoral
hip lesions be considered in relevant cases as an alternative to open resection.
PMID- 29632692
TI - Multicenter outcomes of arthroscopic surgery for femoroacetabular impingement in
the community hospital setting.
AB - The purpose of this study is to determine multi-center outcomes from arthroscopic
surgery for femoroacetabular impingement in the community hospital setting. A
prospective design with 2-year minimum follow-up using the nonarthritic hip score
(NAHS), a 100-point scale of perceived post-operative change for pain, activities
of daily living, sports activities, and patient satisfaction was implemented at
three community hospitals. Of 150 enrolled patients (159 hips) with mean age of
40 years (range, 12-73), there was 81% participation. Mean NAHS at preoperative
was 54.9, 3 months: 66.6, 12 months: 74.9 and 24 months: 75.4. This represents a
20.5-point improvement in NAHS (P < 0.001). On the 100-point scale, pain was
rated +73.5, ADL's: +76.2 and sports: +68.6. 64% of patients were satisfied with
their surgical outcome. Conversion arthroplasty rate was 8.8% and complication
rate was 2.5%. In conclusion, arthroscopic surgery for symptomatic
femoroacetabular impingement in the community setting provides safe and
successful outcomes.
PMID- 29632693
TI - The morphologic characteristics and range of motion in the hips of athletes and
non-athletes.
AB - The cam deformity may cause impingement and probably leads to osteoarthritis of
the hip. The aetiology of the cam deformity is incompletely understood. Vigorous
training during skeletal growth can lead to the development of cam and symptoms
of femoro-acetabular impingement and subsequent osteoarthritis of the hip. The
purpose of this study was to compare the radiographic characteristics and range
of motion between a group of athletes and a non-athletic control group. Thirty
two male athletes (17 soccer players and 15 ice-hockey players) and thirty non
athletes, used as a control group, were examined clinically and radiographically.
Hip range of motion was measured and the FADIR and FABER tests were performed.
Standard radiographs of both hips were taken. The centre-edge angle, alpha angle,
caput-collum-diaphysis angle, head-neck offset and Tonnis grade were registered.
The athletes had a higher Tonnis grade (right P = 0.009, left P = 0.004), more
pain on the FADIR test (right P = 0.006, left P = 0.001) and lower ROM in
internal (right P = 0.003, left P = 0.025) and external rotation (P < 0.001). A
superiorly placed cam deformity (seen on an AP pelvis view) was correlated with
reduced external rotation (right P = 0.001, left P = 0.004) and mild
osteoarthritis (Tonnis grade 1), (P = 0.015, left P = 0.020), while a more
anteriorly placed cam deformity (seen on a modified Lauenstein view) was
correlated with reduced internal rotation (right P = 0.029, left P = 0.013). A
lower range of motion, more osteoarthritic changes and more pain were found in
the athletes than the controls. The control group had more cam deformities than
previously reported.
PMID- 29632694
TI - Does the femoral head/neck contour in the skeletally mature change over time?
AB - The purpose of this study was to determine whether anterior/anterolateral femoral
head/neck contour of the hip is static or dynamic over time within the context of
the cam deformity. From a previously published cohort of 200 asymptomatic
patients who had a magnetic resonance imaging (MRI) of their hips, 23 patients
were randomly selected: 10 with a cam lesion and 13 with no evidence of a cam
lesion in either hip. There were 7 females and 16 males with a mean age of 37.5
years (range 30-56 years). A repeat MRI or computed tomography scan was
performed. Femoral head/neck contour was assessed with alpha angle measurements
at the 3 and 1:30 positions. At mean time of 5.3 years (range 2.5-7.2 years)
between the two time points, the mean alpha angle for the entire cohort was not
significantly different with alpha angle of 43.4 degrees /53.7 degrees
(3:00/1:30 positions) at first visit and 46.1 degrees /54.2 degrees (3:00/1:30
positions) at second visit, respectively. Subdividing the cohort into cam
negative and cam positive groups, there are no clinically relevant differences
(i.e. <5 degrees ) between the two alpha angle measurements. Inter-observer
reliability had an intra-class coefficient at 0.96 (95% CI: 0.94-0.97). Neither
group of patients demonstrated clinically relevant change in the alpha angle.
Consequently, screening at time of skeletal of maturity would be an efficient
means of identifying individuals for a possible cam deformity.
PMID- 29632695
TI - Pre-operative lumbar plexus block provides superior post-operative analgesia when
compared with fascia iliaca block or general anesthesia alone in hip arthroscopy.
AB - The objective of this study is to retrospectively examine the effects of pre
operative fascia iliaca (FI) and lumbar plexus (LPB) nerve blocks on post
operative pain and secondary post-operative variables following arthroscopic
surgery of the hip. Subjects undergoing arthroscopic surgery of the hip received
one of three pre-operative anesthetic techniques; general anesthesia only,
general anesthesia with FI or general anesthesia with LPB. Patient reported pain
scores (0-10) were recorded at 0, 30, 60, 90 and 120 min after admission to the
post-anesthesia care unit (PACU). Secondary variables examined include time spent
in the PACU, morphine equivalent administered, presence of nausea, persistent
numbness, parasthesia, weakness, increased narcotic usage for rebound pain, ED
visits and hospital admissions. The mean pain scores over all time points were
significantly lower in the LPB group (2.38) than the FI group (4.08, P < 0.001)
and the Gen group (3.55, P < 0.001). Patients receiving a lumbar plexus block
exhibited significantly decreased pain at t = 0 (P = 0.019), t = 30 (P = 0.038),
t = 60 (P = 0.013), t = 90 (P = 0.017) and t = 120 (P = 0.001) after admission to
PACU. The FI group showed no improvement in any post-operative variable when
compared with general anesthesia alone. There was no difference in the time to
discharge or in morphine equivalent administered between groups. One patient
receiving a lumbar plexus block experienced a seizure lasting about 10 s.
However, there were no medium or long-term complications. Lumbar plexus block
administered in concert with general anesthesia provides clinically important and
statistically significant post-operative pain relief when compared with general
anesthesia alone or general anesthesia plus fascia iliaca block.
PMID- 29632696
TI - Defining the greater trochanter-ischial space: a potential source of extra
articular impingement in the posterior hip region.
AB - The purpose of this study was to describe greater trochanteric-ischial
impingement and the relative position of the hip joint where impingement occurs.
Twenty-three hips from 13 embalmed cadavers (seven males and six females) with a
lifespan ranging between 46 and 91 years were used for this study. The pelvic
region of each cadaver was skeletonized leaving only the hip capsule and the
sciatic nerve. From 90 degrees of flexion, the hip was extended while
maintaining a position of 30 degrees abduction and 60 degrees external
rotation. The position of hip flexion was recorded when there was contact between
the greater trochanter and the ischium. The procedure was repeated in 0 degrees
abduction. A Flexion-Abduction-External Rotation (FABER) test was then performed
on all specimens with a positive finding defined as contact between the greater
trochanter and the ischium. In 30 degrees abduction, contact of the ischium and
the greater trochanter occurred in 87% (20/23) of the hips at an average of 47
degrees of flexion (SD 10; range 20-60 degrees ). In 0 degrees abduction, a
positive finding was noted in 39% (9/23) of hips at an average of 59 degrees
flexion (SD 6; range 52-70 degrees ). A positive finding in the FABER test
position was noted in 96% (22/23) of hips. The greater trochanter can impinge on
the ischium when the hip is extended from 90 degrees flexion in a 60 degrees
externally rotated position. This impingement occurred more commonly when the hip
was in 30 degrees abduction compared with neutral abduction. The FABER test
position consistently created greater trochanteric-ischial impingement.
PMID- 29632698
TI - What the papers say.
PMID- 29632697
TI - Arthroscopic reconstruction of the Ligamentum Teres: a case series in four
patients with connective tissue disorders and generalized ligamentous laxity.
AB - This study presents the results of four Ligamentum Teres (LT) reconstruction
procedures for hip instability with an average of 21.4 months follow-up (range
16.4-27.8). The indication for reconstruction was patients who complained of hip
instability (hip giving way on gait or activities of daily living) on a
background of a connective tissue disorder and generalized ligamentous laxity.
The following data were recorded: age, sex, body mass index, hip range of motion,
impingement signs, acetabular coverage (lateral center edge angle and acetabular
inclination), acetabular retroversion (ischial spine sign and a crossover sign),
femoral alpha angles and femoral neck shaft angles. Four patient recorded
outcomes (PROs) were collected at 3 months, 12 months and 24 months. Three
patients were female. Three out of four procedures had an improvement in PROs.
One patient with bilateral procedures had an improvement in PROs on one side at 1
year but a failure of the graft on the contralateral side. There were no
complications reported with the technique. LT reconstruction and concomitant
capsular plication in this case series is associated with an improvement in
outcomes in three out of four of the patients with hip instability associated
with a full thickness tear of the LT and who presented with hip instability on a
background of generalized ligamentous laxity and a connective tissue disorder.
However, the physical examination, radiographic and intra-operative findings
which may help predict who would benefit from LT reconstruction require further
investigation.
PMID- 29632699
TI - Implementing the World Health Organization safe childbirth checklist in a
district Hospital in Rwanda: a pre- and post-intervention study.
AB - Background: Worldwide maternal mortality remains high, with approximately 830
maternal deaths occurring each day. About 90% of these deaths occur in low-income
countries. Evidenced-based essential birth practices administered during routine
obstetrical care and childbirth are key to reducing maternal and neonatal deaths.
The WHO Safe Childbirth Checklist (SCC) is a low-cost tool designed to ensure
birth attendants perform 29 essential birth practices (EBP) at four critical
periods in the birth continuum. This study aimed to evaluate compliance with EBP
in Masaka District Hospital both before and after the implementation of the WHO
SCC. Methods: This quality improvement project took place in the Masaka District
Hospital in Rwanda. Observations of the 29 EBPs were done before and after WHO
SCC implementation. The implementation process consisted of providing training in
the use of the checklist to all clinical staff and posting SCC posters at
different locations in the maternity unit. Results: A total 391 birth events were
observed pre-intervention and 389 post-intervention. The overall EBP compliance
rate increased from 46% pre-intervention to 56% post-intervention (P = 0.005).
Significant improvements were seen in 11 out of 29 EBPs. Conclusion: The
implementation of the WHO SCC improved the overall EBP compliance rate in Masaka
District Hospital. Determining the root cause of low compliance rate of some EBP
may allow for more successful implementation of EBP interventions in the future.
After further study, the SCC should be considered for scale up.
PMID- 29632700
TI - Zika virus infection in a pregnant Canadian traveler with congenital fetal
malformations noted by ultrasonography at 14-weeks gestation.
AB - Background: Following emergence of Zika virus in the Americas, a devastating new
congenital syndrome has been documented, leading to significant morbidity among
Zika-infected fetuses and neonates. Case presentation: A 29-year-old pregnant
woman infected with Zika virus at 9-weeks gestation in Trinidad presented with
one-month of fever, headache, and myalgia with persistent viremia. Significant
fetal abnormalities were identified at 14-week ultrasound, which is the earliest
ultrasound to describe a severely affected fetus following Zika virus infection
to our knowledge. Conclusions: We discuss the implications of prolonged maternal
viremia and the spectrum of congenital Zika syndrome detectable by fetal
ultrasound.
PMID- 29632701
TI - Viral and bacterial investigations on the aetiology of recurrent pig neonatal
diarrhoea cases in Spain.
AB - Background: Neonatal diarrhoea represents a major disease problem in the early
stages of animal production, increasing significantly pre-weaning mortality and
piglets weaned below the target weight. Enteric diseases in newborn piglets are
often of endemic presentation, but may also occur as outbreaks with high
morbidity and mortality. The objective of this study was to assess the frequency
of different pathogens involved in cases of recurrent neonatal diarrhoea in
Spain. Results: A total of 327 litters from 109 sow farms located in Spain with
neonatal recurrent diarrhoea were sampled to establish a differential diagnosis
against the main enteric pathogens in piglets. In total, 105 out of 109 (96.3%)
case submissions were positive to one of the examined enteric organisms
considered potentially pathogenic (Escherichia coli, Clostridium perfringens
types A and C, Transmissible gastroenteritis virus [TGEV], Porcine epidemic
diarrhoea virus [PEDV] or Rotavirus A [RVA]). Fifty-eight out of 109 (53.2%)
submissions were positive for only one of these pathogens, 47 out of 109 (43.1%)
were positive for more than one pathogen and, finally, 4 out of 109 (3.7%) were
negative for all these agents. Escherichia coli strains were isolated from all
submissions tested, but only 11 of them were classified into defined pathotypes.
Clostridium perfringens type A was detected in 98 submissions (89.9%) and no C.
perfringens type C was found. Regarding viruses, 47 (43.1%) submissions were
positive for RVA, 4 (3.7%) for PEDV and none of them for TGEV. Conclusion: In
conclusion, C. perfringens type A, E. coli and RVA were the main pathogens found
in faeces of neonatal diarrheic piglets in Spain.
PMID- 29632702
TI - Knowledge, attitudes and practices of hypertensive patients towards prevention
and early detection of chronic kidney disease: a cross sectional study from
Palestine.
AB - Background: Hypertension is the second most common cause of chronic kidney
disease (CKD). Therefore, the aims of the study were to assess the knowledge,
attitudes and practices (KAP) of hypertensive patients towards prevention and
early detection of CKD, and to determine the clinical and socio-demographic
factors, which affect the KAP regarding prevention of CKD. Methods: A cross
sectional study was held using the CKD screening Index to assess the KAP of 374
hypertensive patients who were selected from multiple primary healthcare centers
in Nablus, Palestine. The CKD Screening Index is formed of three scales. First,
the knowledge scale was a dichotomous scale of 30 items, while the attitude scale
used 5-point Likert-type scale for 18 items and finally the practice scale was
measured using 4-point Likert-type scale for 12 items. Multiple linear regression
analysis was used to determine the association between clinical and socio
demographic factors and practices. Results: In total, 374 hypertensive patients
participated in the study. The mean age of participants was 59.14 +/- 10.4 years,
(range 26-85). The median (interquartile range) of the knowledge, attitude, and
practice scores of hypertensive patients towards prevention and early detection
of CKD were 20 (16-23), 69 (65-72), and 39 (36-42), respectively. In multiple
linear regression analysis, patients age < 65 years (p < 0.001) and patients with
high education level (p = 0.009) were the only factors significantly associated
with higher knowledge scores. Additionally, patients age < 65 years (p = 0.007),
patients with high income (p = 0.005), and patients with high knowledge score (p
< 0.001) were the only factors significantly associated with higher attitude
scores. Furthermore, regression analysis showed that patients with higher total
knowledge (p = 0.001) as well as higher total attitudes scores towards CKD
prevention (p < 0.001), male gender (p = 0.048), and patients with normal body
mass index (BMI) (p = 0.026) were statistically significantly associated with
higher practice score towards CKD prevention. Conclusions: Among hypertensive
patients, higher scores for total knowledge and attitudes toward prevention, male
sex, and normal BMI were associated with modestly higher scores for prevention
practices. Finally the findings may encourage healthcare workers to give better
counseling to improve knowledge.
PMID- 29632703
TI - Intravitreal dexamethasone implant versus triamcinolone acetonide for macular
oedema of central retinal vein occlusion: quantifying efficacy and safety.
AB - Purpose: Among the retinal vascular diseases, burden of retinal vein occlusion is
most common immediately after diabetic retinopathy. Intravitreal corticosteroids
are gaining popularity in managing macular edema (ME) of RVO. Our study compares
efficacy and safety of intravitreal triamcinolone (IVTA) and dexamethasone
implant (IVD) over 6 months. Methods: This comparative, prospective, randomized
study on 40 patients of non-ischemic central RVO with significant ME (> 330 MUm)
of < 3 months duration. Study was done at Army Research Hospital between Sep-2012
and May-2014 in accordance to Helsinki Declaration. IVD group (n = 20) received
Ozurdex(r) while IVTA group (n = 20) received triamcinolone-acetonide (4 mg/0.1
ml), followed up at day-1 and weeks 4, 8, 12, 24. Results: At 6 months, mean
improvement in best corrected visual acuity and retinal thickness (CMT) in the
IVD group was 0.43 logmar and 323 MUm and in IVTA group was 0.49 logmar and 322
MUm respectively. Proportion of patients achieving >= 15 letters was about 40% in
both groups. IOP rise was significantly higher in IVTA group at 12 and 24 weeks.
In IVTA group >= 10 mmHg IOP rise was seen in 60% of patients, 41.6% among them
had > 35 mmHg and 66% needed combination treatment and failed to reach baseline
line IOP at 6 months. In IVD group, 5 pts had IOP rise with all being < 26 mmHg
and were easily managed with single agent with IOP reaching baseline by 6th month
in all pts. Relative risk of IOP rise with IVTA is 2.4 times higher compared to
IVD. Cataract progression and cataract surgeries were required at significantly
higher rates in IVTA group. In IVTA group, cataract progression was seen in 35%
patients, with 71.5% requiring cataract surgery at 6 months. IVD group, 10%
patients had cataract progression while none required surgery at 6 months.
Relative risk of cataract progression with IVTA is 3.5 times higher compared to
IVD. Conclusion: Intravitreal steroids are effective in managing macular edema of
retinal vein occlusion, while newer formulation of sustained release
dexamethasone implant is significantly safer than IVTA.
PMID- 29632704
TI - Effective coverage of primary care services in eight high-mortality countries.
AB - Introduction: Measurement of effective coverage (quality-corrected coverage) of
essential health services is critical to monitoring progress towards the
Sustainable Development Goal for health. We combine facility and household
surveys from eight low-income and middle-income countries to examine effective
coverage of maternal and child health services. Methods: We developed indices of
essential clinical actions for antenatal care, family planning and care for sick
children from existing guidelines and used data from direct observations of
clinical visits conducted in Haiti, Kenya, Malawi, Namibia, Rwanda, Senegal,
Tanzania and Uganda between 2007 and 2015 to measure quality of care delivered.
We calculated healthcare coverage for each service from nationally representative
household surveys and combined quality with utilisation estimates at the
subnational level to quantify effective coverage. Results: Health facility and
household surveys yielded over 40 000 direct clinical observations and over 100
000 individual reports of healthcare utilisation. Coverage varied between
services, with much greater use of any antenatal care than family planning or
sick-child care, as well as within countries. Quality of care was poor, with few
regions demonstrating more than 60% average performance of basic clinical
practices in any service. Effective coverage across all eight countries averaged
28% for antenatal care, 26% for family planning and 21% for sick-child care.
Coverage and quality were not strongly correlated at the subnational level;
effective coverage varied by as much as 20% between regions within a country.
Conclusion: Effective coverage of three primary care services for women and
children in eight countries was substantially lower than crude service coverage
due to major deficiencies in care quality. Better performing regions can serve as
examples for improvement. Systematic increases in the quality of care delivered
not just utilisation gains-will be necessary to progress towards truly beneficial
universal health coverage.
PMID- 29632705
TI - Integrating Foundational Sciences in a Clinical Context in the Post-Clerkship
Curriculum.
AB - Purpose: To design, implement, and launch courses that integrate foundational
science learning and clinical application in a post-clerkship undergraduate
medical school curriculum. Method: In Academic Year (AY) 15-16, as part of a
comprehensive curricular revision, Vanderbilt University School of Medicine
(VUSM) formally implemented "Integrated Science Courses" (ISCs) that combined
rigorous training in the foundational sciences with meaningful clinical
experiences. These courses integrated foundational sciences that could be
leveraged in the clinical environment, utilized a variety of instructional
modalities, and included quantitative and qualitative (competency-based
milestones) student assessments. Each ISC underwent a rigorous quality
improvement process that required input on foundational science content, student
experience, and student performance assessment. Results: Eleven ISCs were
delivered to 173 students in AY15-16, with some students taking more than one
ISC. Immediately after completing each course, 93% (n=222) of ISC enrollees
completed a course evaluation. Students (91%; n=201) 'agreed' or 'strongly
agreed' that foundational science learning informed and enriched the clinical
experiences. Furthermore, 94% (n=209) of students thought that the clinical
experiences informed and enriched the foundational science learning. Ninety-four
percent of the students anticipated using the foundational science knowledge
acquired in future clinical training and practice. Conclusion: The teaching of
foundational sciences in the clinical workplace in the post-clerkship medical
curriculum is challenging and resource-intensive, yet feasible. Additional
experience with the model will inform the mix of courses as well as the breadth
and depth of foundational science instruction that is necessary to foster
scientifically-based clinical reasoning skills in each student.
PMID- 29632706
TI - Shuffling the deck with CTLA-4 therapy: Deep sequencing of rearranged TCRB genes
demonstrates T cell repertoire remodeling in cancer patients.
AB - CTLA-4 inhibition produces durable T cell-driven antitumor responses, but
understanding which patients achieve a long-term benefit remains unclear. Deep
sequencing of rearranged T cell receptor beta (TCRbeta) genes can monitor the
effects of CTLA-4 inhibition and potentially identify patients with long-term
survival.
PMID- 29632707
TI - STINGing the Tumor's immune evasion mechanism.
AB - In order to enhance the STING dependent type I interferon (IFN) response, we
formulated cyclic dinucleotides (CDN) with cancer vaccines to develop STINGVAX.
Interestingly, tumors from STINGVAX treated mice demonstrated dramatic PD-L1
upregulation. When combined with PD-1 blockade, STINGVAX induced regression of
established tumors that did not respond to PD-1 blockade alone.
PMID- 29632709
TI - A targeted immunotherapy approach for HER2/neu transformed tumors by coupling an
engineered effector domain with interferon-gamma.
AB - Despite substantial clinical progress with targeted therapies, current antibody
based approaches have limited efficacy at controlling HER2/neu-positive breast
cancers, especially in the absence of chemotherapies. Previously, we showed that
the combination of IFNgamma and anti-HER2/neu antibody synergistically reduces
tumor growth in an in vivo implanted mammary tumor model. Here, we report a
recombinant approach to produce an anti-HER2/neu scFv and IFNgamma fusion protein
using an engineered effector domain (EED) scaffold. The new molecule induces in
vitro apoptosis in an IFNgamma receptor-dependent manner. At a very low dose in
the in vivo xenografted tumor models, the new EED-IFNgamma fusion protein
demonstrates superior activity over the anti-HER2/neu antibody and is even active
on tumors that are resistant to anti-HER2/neu antibody therapy. Examination of
tumor infiltrated macrophages and lymphocytes reveals that the fusion protein can
induce changes in tumor microenvironment to support immune reactivity against
tumors. Our studies have defined a targeted immunotherapy approach for the
treatment of cancers.
PMID- 29632708
TI - S100A4 blockage alleviates agonistic anti-CD137 antibody-induced liver pathology
without disruption of antitumor immunity.
AB - Liver-related autoimmune toxicities triggered by agonistic anti-CD137 antibodies
have greatly limited their use in clinical applications. Here, we found that anti
CD137 monoclonal antibody (mAb) treatment in mice induced the infiltration of a
large number of S100A4+ macrophages into the liver. Depletion of these cells or
deficiency of S100A4 decreased inflammatory cytokine profiles and drastically
reduced the number of liver pathogenic CD8+ T cells. Mechanistically, soluble
S100A4 directly activated the Akt pathway and specifically prolonged CD8+ T cell
survival. Interestingly, one S100A4 neutralizing mAb selectively alleviated liver
abnormalities but did not affect the antitumor immunity induced by anti-CD137 mAb
therapy. Thus, our study presents a novel molecular link to the liver pathology
induced by an immune stimulatory antibody and proposes that combinational
immunotherapies targeting those pathways could potentially elicit optimal
antitumor immunity with minimal side effects.
PMID- 29632710
TI - A phase 1 trial extension to assess immunologic efficacy and safety of prime
boost vaccination with VXM01, an oral T cell vaccine against VEGFR2, in patients
with advanced pancreatic cancer.
AB - VXM01 is a first-in-kind orally applied tumor vaccine based on live attenuated
Salmonella typhi carrying an expression plasmid encoding VEGFR2, an antigen
expressed on tumor vasculature and a stable and accessible target for anti
angiogenic intervention. A recent randomized, placebo-controlled, phase I dose
escalation trial in advanced pancreatic cancer patients demonstrated safety,
immunogenicity and transient, T-cell response-related anti-angiogenic activity of
four priming vaccinations applied within one week. We here evaluated whether
monthly boost vaccinations are safe and can sustain increased frequencies of
vaccine-specific T cells. Patients with advanced pancreatic cancer were randomly
assigned at a ratio of 2:1 to priming with VXM01 followed by up to six monthly
boost vaccinations, or placebo treatment. Vaccinations were applied orally at two
alternative doses of either 106 colony-forming units (CFU) or 107 CFU, and
concomitant treatment with standard-of-care gemcitabine during the priming phase,
and any treatment thereafter, was allowed in the study. Immunomonitoring involved
interferon-gamma (IFNgamma) ELIspot analysis with long overlapping peptides
spanning the entire VEGFR2 sequence. A total of 26 patients were treated.
Treatment-related adverse events preferentially associated with VXM01 were
decreases in lymphocyte numbers in the blood, increased frequencies of
neutrophils and diarrhea. Eight out of 16 patients who received at least one
boosting vaccination responded with pronounced, i.e. at least 3-fold, increase in
VEGFR2-specific T cell response over baseline levels. In the VXM01 vaccination
group, VEGFR2-specific T cells peaked preferentially during the boosting phase
with an average 4-fold increase over baseline levels. In conclusion, prime/boost
vaccination with VXM01 was safe and immunogenic and increased vaccine specific T
cell responses compared with placebo treatment.
PMID- 29632711
TI - HLA ligandome analysis of primary chronic lymphocytic leukemia (CLL) cells under
lenalidomide treatment confirms the suitability of lenalidomide for combination
with T-cell-based immunotherapy.
AB - Recent studies suggest that CLL is an immunogenic disease, which might be
effectively targeted by antigen-specific T-cell-based immunotherapy. However, CLL
is associated with a profound immune defect, which might represent a critical
limitation for mounting clinically effective antitumor immune responses. As
several studies have demonstrated that lenalidomide can reinforce effector T-cell
responses in CLL, the combination of T-cell-based immunotherapy with the
immunomodulatory drug lenalidomide represents a promising approach to overcome
the immunosuppressive state in CLL. Antigen-specific immunotherapy also requires
the robust presentation of tumor-associated HLA-presented antigens on target
cells. We thus performed a longitudinal study of the effect of lenalidomide on
the HLA ligandome of primary CLL cells in vitro. We showed that lenalidomide
exposure does not affect absolute HLA class I and II surface expression levels on
primary CLL cells. Importantly, semi-quantitative mass spectrometric analyses of
the HLA peptidome of three CLL patient samples found only minor qualitative and
quantitative effects of lenalidomide on HLA class I- and II-restricted peptide
presentation. Furthermore, we confirmed stable presentation of previously
described CLL-associated antigens under lenalidomide treatment. Strikingly, among
the few HLA ligands showing significant modulation under lenalidomide treatment,
we identified upregulated IKZF-derived peptides, which may represent a direct
reflection of the cereblon-mediated effect of lenalidomide on CLL cells. Since we
could not observe any relevant influence of lenalidomide on the established CLL
associated antigen targets of anticancer T-cell responses, this study validates
the suitability of lenalidomide for the combination with antigen-specific T-cell
based immunotherapies.
PMID- 29632713
TI - CD47 is a direct target of SNAI1 and ZEB1 and its blockade activates the
phagocytosis of breast cancer cells undergoing EMT.
AB - We report that CD47 was upregulated in different EMT-activated human breast
cancer cells versus epithelial MCF7 cells. Overexpression of SNAI1 or ZEB1 in
epithelial MCF7 cells activated EMT and upregulated CD47 while siRNA-mediated
targeting of SNAI1 or ZEB1 in mesenchymal MDA-MB-231 cells reversed EMT and
strongly decreased CD47. Mechanistically, SNAI1 and ZEB1 upregulated CD47 by
binding directly to E-boxes in the human CD47 promoter. TCGA and METABRIC data
sets from breast cancer patients revealed that CD47 correlated with SNAI1 and
Vimentin. At functional level, different EMT-activated breast cancer cells were
less efficiently phagocytosed by macrophages vs. MCF7 cells. The phagocytosis of
EMT-activated cells was rescued by using CD47 blocking antibody or by genetic
targeting of SNAI1, ZEB1 or CD47. These results provide a rationale for an
innovative preclinical combination immunotherapy based on PD-1/PD-L1 and CD47
blockade along with EMT inhibitors in patients with highly aggressive,
mesenchymal, and metastatic breast cancer.
PMID- 29632712
TI - Tailoring CD19xCD3-DART exposure enhances T-cells to eradication of B-cell
neoplasms.
AB - Many patients with B-cell malignancies can be successfully treated, although
tumor eradication is rarely achieved. T-cell-directed killing of tumor cells
using engineered T-cells or bispecific antibodies is a promising approach for the
treatment of hematologic malignancies. We investigated the efficacy of CD19xCD3
DART bispecific antibody in a broad panel of human primary B-cell malignancies.
The CD19xCD3 DART identified 2 distinct subsets of patients, in which the
neoplastic lymphocytes were eliminated with rapid or slow kinetics. Delayed
responses were always overcome by a prolonged or repeated DART exposure. Both CD4
and CD8 effector cytotoxic cells were generated, and DART-mediated killing of
CD4+ cells into cytotoxic effectors required the presence of CD8+ cells. Serial
exposures to DART led to the exponential expansion of CD4 + and CD8 + cells and
to the sequential ablation of neoplastic cells in absence of a PD-L1-mediated
exhaustion. Lastly, patient-derived neoplastic B-cells (B-Acute Lymphoblast
Leukemia and Diffuse Large B Cell Lymphoma) could be proficiently eradicated in a
xenograft mouse model by DART-armed cytokine induced killer (CIK) cells.
Collectively, patient tailored DART exposures can result in the effective
elimination of CD19 positive leukemia and B-cell lymphoma and the association of
bispecific antibodies with unmatched CIK cells represents an effective modality
for the treatment of CD19 positive leukemia/lymphoma.
PMID- 29632714
TI - Potentiating tumor immunity using aptamer-targeted RNAi to render CD8+ T cells
resistant to TGFbeta inhibition.
AB - TGFbeta secreted by tumor cells and/or tumor infiltrating stromal cells is a key
mediator of tumor growth and immune suppression at the tumor site. Nonetheless,
clinical trials in cancer patients targeting the TGFbeta pathway exhibited at
best a modest therapeutic benefit. A likely reason, a common limitation of many
cancer drugs, is that the physiologic roles of TGFbeta in tissue homeostasis,
angiogenesis, and immune regulation precluded the dose escalation necessary to
achieve a profound clinical response. Murine studies have suggested that
countering immune suppressive effects of TGFbeta may be sufficient to inhibit
tumor growth. Here we describe an approach to render vaccine-activated CD8+ T
cells transiently resistant to TGFbeta inhibition using an siRNA against Smad4 to
inhibit a key step in the canonical TGFbeta signaling pathway. The siRNA was
targeted to vaccine activated CD8+ T cells in the mouse by conjugation to a 4-1BB
binding oligonucleotide (ODN) aptamer ligand (4-1BB-Smad4 conjugate). In vitro
the 4-1BB-Smad4 conjugate rendered T cells partially resistant to TGFbeta
inhibition, and treatment of tumor bearing mice with systemically administered 4
1BB-Smad4 conjugate enhanced vaccine- and irradiation-induced antitumor immunity.
Limiting the inhibitory effects of TGFbeta to tumor-specific T cells will not
interfere with its multiple physiologic roles and hence reduce the risk of
toxicity.
PMID- 29632715
TI - Biglycan-mediated upregulation of MHC class I expression in HER-2/neu-transformed
cells.
AB - The extracellular matrix protein biglycan (BGN) has oncogenic or tumor
suppressive potential depending on the cellular origin. HER-2/neu overexpression
in murine fibroblasts and human model systems is inversely correlated with BGN
expression. Upon its restoration BGNhigh HER-2/neu+ fibroblasts were less
tumorigenic in immune competent mice when compared to BGNlow/neg HER-2/neu+
cells, which was associated with enhanced immune cell responses and higher
frequencies of immune effector cells in tumors and peripheral blood. The
increased immunogenicity of BGNhigh HER-2/neu+ fibroblasts appears to be due to
upregulated MHC class I surface antigens and reduced expression levels of
transforming growth factor (TGF)-beta isoforms and the TGF-beta receptor 1
suggesting a link between BGN, TGF-beta pathway and HER-2/neu-mediated
downregulation of MHC class I antigens. Treatment of BGNlow/neg HER-2/neu+ cells
with recombinant BGN or an inhibitor of TGF-beta enhanced MHC class I surface
antigens in BGNlow/neg HER-2/neu-overexpressing murine fibroblasts, which was
mediated by a transcriptional upregulation of major MHC class I antigen
processing components. Furthermore, BGN expression in HER-2/neu+ cells was
accompanied by an increased expression of the proteoglycan decorin (DCN). Since
recombinant DCN also elevated MHC class I surface expression in BGNlow/neg HER
2/neu+ cells, both proteoglycans might act synergistically. This was in
accordance with in silico analyses of mRNA data obtained from The Cancer Genome
Atlas (TCGA) dataset available for breast cancer (BC) patients. Thus, our data
provide for the first time evidence that proteoglycan signatures are modulated by
HER-2/neu and linked to MHC class I-mediated immune escape associated with an
altered TGF-beta pathway.
PMID- 29632716
TI - Activated human primary NK cells efficiently kill colorectal cancer cells in 3D
spheroid cultures irrespectively of the level of PD-L1 expression.
AB - Haploidentical Natural Killer (NK) cells have been shown as an effective and safe
alternative for the treatment of haematological malignancies with poor prognosis
for which traditional therapies are ineffective. In contrast to haematological
cancer cells, that mainly grow as single suspension cells, solid carcinomas are
characterised by a tridimensional (3D) architecture that provide specific
surviving advantages and resistance against chemo- and radiotherapy. However,
little is known about the impact of 3D growth on solid cancer immunotherapy
especially adoptive NK cell transfer. We have recently developed a protocol to
activate ex vivo human primary NK cells using B lymphoblastic cell lines, which
generates NK cells able to overcome chemoresistance in haematological cancer
cells. Here we have analysed the activity of these allogeneic NK cells against
colorectal (CRC) human cell lines growing in 3D spheroid culture and correlated
with the expression of some of the main ligands regulating NK cell activity. Our
results indicate that activated NK cells efficiently kill colorectal tumour cell
spheroids in both 2D and 3D cultures. Notably, although 3D CRC cell cultures
favoured the expression of the inhibitory immune checkpoint PD-L1, it did not
correlate with increased resistance to NK cells. Finally, we have analysed in
detail the infiltration of NK cells in 3D spheroids by microscopy and found that
at low NK cell density, cell death is not observed although NK cells are able to
infiltrate into the spheroid. In contrast, higher densities promote tumoural cell
death before infiltration can be detected. These findings show that highly dense
activated human primary NK cells efficiently kill colorectal carcinoma cells
growing in 3D cultures independently of PD-L1 expression and suggest that the use
of allogeneic activated NK cells could be beneficial for the treatment of
colorectal carcinoma.
PMID- 29632717
TI - Anti-CD47 treatment enhances anti-tumor T-cell immunity and improves
immunosuppressive environment in head and neck squamous cell carcinoma.
AB - Head and neck squamous cell carcinoma (HNSCC) is considered as an
immunosuppressive disease, with impaired tumor-infiltrating T lymphocytes and
increased suppressive immune cells. The efficacy of CD47 antibodies in immune
checkpoint therapy is not clearly understood in HNSCC. In this study, human
tissue microarrays and immunocompetent transgenic mouse models were used to
explore the expression of CD47 and the use of CD47 antibodies in HNSCC. We
identified overexpression of CD47 in HNSCC as compared with the control normal
human tissue and also in HNSCC mouse models. The expression of CD47 also
correlated with clinicopathological parameters as well as outcome. Furthermore,
inhibition of CD47 delayed tumor growth and improved tumor microenvironment by
stimulating effector T cells and decreasing suppressive immune cells and
regulating the function of CD11b+ Ly6G+ MDSC. Our data suggest that CD47 blockade
may be a potential immunotherapeutic target in human HNSCC.
PMID- 29632719
TI - Combination therapy with PD-1/PD-L1 blockade: An overview of ongoing clinical
trials.
AB - Monoclonal antibodies (mAbs) that block the programmed death 1 (PD-1) or
programmed death-ligand 1 (PD-L1) receptors are the most clinically advanced
tumor immunotherapies. Given the broad antitumor efficacy and novel mechanism of
action, numerous combinatorial approaches incorporating PD-1/PD-L1 blockade have
been suggested; herein we present a comprehensive analysis of these clinical
trials. We queried clinicaltrials.gov for all PD-1/PD-L1 mAbs administered for
cancer therapy with an end date of 4/30/2017. A total of 1,218 clinical trials
met our search criteria. These trials have a planned enrollment of 227,190
patients, and approximately half (493) were initiated in 2016 alone. Of these
over 1,200 trials, 916 combine PD-1/PD-L1 blockade with at least one additional
therapy, ranging from traditional treatment modalities like surgery and
chemoradiation to newer therapies like small molecule inhibitors and other
immunotherapies. The staggering proliferation of clinical trials combining PD
1/PD-L1 blockade with disparate treatments necessitates careful accounting to
maximize efficiency and highlight areas of unmet needs. We believe our analysis
provides this data and expect it will facilitate the design of future clinical
trials in this burgeoning area of oncology research.
PMID- 29632718
TI - OX40 expression in hepatocellular carcinoma is associated with a distinct immune
microenvironment, specific mutation signature, and poor prognosis.
AB - Immunotherapy's effect against hepatocellular carcinoma (HCC) is hampered by
immunosuppressive mechanisms in the tumor microenvironment. We assessed the
clinicopathologic and biologic relevance of OX40, a costimulatory molecular
expressed by regulatory T cells (Tregs), in HCC. We analyzed the
immunohistochemistry data of 316 patients treated at West China Hospital (WCH)
and the RNA sequencing data of 370 patients in The Cancer Genome Atlas (TCGA) to
determine the clinicopathologic significance of OX40 in HCC. We also assessed
associations between OX40 and multiple immune-related markers. Using the TCGA
data, we further characterized the transcriptome, immune cell functions, and
mutation signature related to OX40. We found that OX40 expression was higher in
HCC than in adjacent liver tissue. In the WCH set, 136 (43%) patients had high
OX40 expression, whereas in the TCGA set, 247 (67%) patients had high-OX40
expression as determined by the X-tile program. High-OX40 expression was
associated with high serum alpha-fetoprotein level, vascular invasion, and
shorter survival. The prognostic significance of OX40 was validated in additional
cohorts. OX40 expression was also associated with CD8A, CD68, LAG3, TIM-3, and PD
1 expression. High-OX40 expression tumors were characterized by upregulated
cytokines and exhaustion-specific markers. Analysis of the enrichment data of
immune cell types indicated that OX40 expression was associated with the
functions of macrophages, plasmacytoid dendritic cells, and co-inhibitory T
cells. Finally, high-and low-OX40 expressions were associated with mutations in
AKT/mTOR and Wnt/beta-catenin signaling, respectively. These results indicate
that high-OX40 expression represents the activation of multiple immunosuppressive
pathways and provide a rationale for the therapeutic targeting OX40 in HCC
patients.
PMID- 29632720
TI - Blockade of Tumor-Expressed PD-1 promotes lung cancer growth.
AB - Anti-PD-1 immunotherapy is the standard of care for treating many patients with
non-small cell lung cancer (NSCLC), yet mechanisms of treatment failure are
emerging. We present a case of NSCLC, who rapidly progressed during a trial
(NCT02318771) combining palliative radiotherapy and pembrolizumab. Planned tumor
biopsy demonstrated PD-1 expression by NSCLC cells. We validated this observation
by detecting PD-1 transcript in lung cancer cells and by co-localizing PD-1 and
lung cancer-specific markers in resected lung cancer tissues. We further
investigated the biological role of cancer-intrinsic PD-1 in a mouse lung cancer
cell line, M109. Knockout or antibody blockade of PD-1 enhanced M109 viability in
vitro, while PD-1 overexpression and exposure to recombinant PD-L1 diminished
viability. PD-1 blockade accelerated growth of M109-xenograft tumors with
increased proliferation and decreased apoptosis in immune-deficient mice. This
represents a first-time report of NSCLC-intrinsic PD-1 expression and a potential
mechanism by which PD-1 blockade may promote cancer growth.
PMID- 29632721
TI - The Toll like receptor 4 ligand cold-inducible RNA-binding protein as vaccination
platform against cancer.
AB - Tumor infiltrating lymphocytes have been associated with a better prognostic and
with higher response rates in patients treated with checkpoint inhibiting
antibodies, suggesting that strategies promoting tumor inflammation may enhance
the efficacy of these currently available therapies. Our aim was thus to develop
a new vaccination platform based on cold-inducible RNA binding protein (CIRP), an
endogenous TLR4 ligand generated during inflammatory processes, and characterize
whether it was amenable to combination with checkpoint inhibitors. In vitro, CIRP
induced dendritic cell activation, migration and enhanced presentation of CIRP
bound antigens to T-cells. Accordingly, antigen conjugation to CIRP conferred
immunogenicity, dependent on immunostimulatory and antigen-targeting capacities
of CIRP. When applied in a therapeutic setting, vaccination led to CD8-dependent
tumor rejection in several tumor models. Moreover, immunogenicity of this
vaccination platform was enhanced not only by combination with additional
adjuvants, but also with antibodies blocking PD-1/PD-L1, CTLA-4 and IL-10,
immunosuppressive molecules usually present in the tumor environment and also
induced by the vaccine. Therefore, priming with a CIRP-based vaccine combined
with immune checkpoint-inhibiting antibodies rejected established B16-OVA tumors.
Finally, equivalent activation and T-cell stimulatory effects were observed when
using CIRP in vitro with human cells, suggesting that CIRP-based vaccination
strategies could be a valuable clinical tool to include in combinatorial
immunotherapeutic strategies in cancer patients.
PMID- 29632722
TI - NK cell activation and recovery of NK cell subsets in lymphoma patients after
obinutuzumab and lenalidomide treatment.
AB - Obinutuzumab (OBZ) shows stronger antibody-dependent cell cytotoxicity (ADCC)
compared to rituximab and improved clinical activity for treating certain CD20+
neoplasia. However, the efficacy of monoclonal antibody (mAb) as a monotherapy is
limited. Natural Killer (NK) cells are mediators of ADCC. Hematological cancer
patients possess antitumor NK cells that are unable to control disease, possibly
because they are dysfunctional. The immunomodulatory drug lenalidomide (LEN)
could be a treatment to restore exhausted NK cell cytotoxic functions. The
clinical trial GALEN is a Phase Ib/II study of OBZ combined with LEN for the
treatment of relapsed/refractory follicular and aggressive (DLBCL and MCL) B-cell
Lymphoma. During treatment, we analyzed specific aspects of NK cell biology.
Treatment reversed the immature NK phenotype of patients and increased expression
of NK activating receptors. Inhibitory receptors were either unchanged or
decreased. There was a strong NK response at the end of the 1st cycle: NK number
and intracellular granzyme B (GrzB) expression decreased, degranulation increased
and NK responded better to allogeneic target challenge. Moreover, the interaction
of NK cells with B cell targets, measured by trogocytosis, decreased during
treatment. At the end of treatment, when target cells had been wiped out, the
proportion of reactive NK cells (CD69+, CD45RARO+, CD107a+, CD19+) strongly
decreased. Because all patients received LEN and OBZ, it was uncertain which drug
was responsible of our observations, or even if a combination of both products
was necessary for the described effects on this lymphocyte lineage.
PMID- 29632723
TI - Enhanced protection of C57 BL/6 vs Balb/c mice to melanoma liver metastasis is
mediated by NK cells.
AB - The B16F10 murine melanoma cell line displays a low expression of MHC class I
molecules favoring immune evasion and metastases in immunocompetent C57 BL/6 wild
type mice. Here, we generated metastases to the liver, an organ that is skewed
towards immune tolerance, by intrasplenic injection of B16F10 cells in syngeneic
C57 BL/6 compared to allogeneic Balb/c mice. Surprisingly, Balb/c mice, which
usually display a pronounced M2 macrophage and Th2 T cell polarization, were ~3
times more susceptible to metastasis than C57 BL/6 mice, despite a much higher M1
and Th1 T cell immune response. The anti-metastatic advantage of C57 BL/6 mice
could be attributed to a more potent NK-cell mediated cytotoxicity against B16F10
cells. Our findings highlight the role of NK cells in innate anti-tumor immunity
in the context of the liver - particularly against highly aggressive MHC I
deficient cancer cells. Moreover, the B16F10 model of melanoma liver metastasis
is suited for developing novel therapies targeting innate NK cell related
immunity in liver metastases and liver cancer.
PMID- 29632724
TI - Improved migration of tumor ascites lymphocytes to ovarian cancer
microenvironment by CXCR2 transduction.
AB - Chemokines are essential mediators of cellular trafficking, interactions and
tumor development. Though adoptive cell therapy (ACT) has been a tremendous
success in the treatment of metastatic melanoma (MM), a major obstacle for
successful ACT, is limited homing of effector T cells to immune suppressive tumor
sites. We hypothesized that equipping T cells with chemokine receptors matching
the chemokines of the tumor microenvironment, could improve tumor homing of T
cells. T cells from malignant ascites (n = 13); blood from ovarian cancer (OC)
patients (n = 14); and healthy donors (n = 13) were analyzed by flow cytometry.
We found that FoxP3+ regulatory T cells accumulation in patients with OC
associates with CCR4 expression. We characterized a chemokine profile of ascites
chemokines, and expression of corresponding receptors on circulating T cells and
tumor ascites lymphocytes (TALs). CCL22, CXCL9, CXCL10 and CXCL12 associated with
enrichment of CCR4+, CCR5+, CXCR3+ and CXCR4+ T cells in ascites. Circulating T
cells and TALs however did not express CXCR2, identifying CXCR2 as candidate for
chemokine receptor transduction. TALs readily expressed IFNgamma and TNFalpha
upon stimulation despite the frequency decreasing with in vitro expansion.
Lentiviral transduction of TALs (n = 4) with chemokine receptor CXCR2
significantly increased transwell migration of TALs towards rhIL8 and autologous
ascites. The majority of expanded and transduced TALs were of a T effector memory
subtype. This proof of concept study shows that chemokine receptor engineering
with CXCR2 is feasible and improves homing of transduced TALs towards the OC
microenvironment.
PMID- 29632725
TI - SALL4 oncogene is an immunogenic antigen presented in various HLA-DR contexts.
AB - Purpose: To investigate the immunoprevalence of SALL4-derived peptides in healthy
volunteers and cancer patients. Experimental Design: A multistep approach
including prediction algorithms was used to design in silico SALL4-derived
peptides theoretically able to bind on common HLA-DR and HLA-A/B molecules. The
presence of T-cell responses after a long term T-cell assay (28 days) against
SALL4 was monitored in 14 healthy donors and the presence of T-cell responses
after a short term T-cell assay (10 days) was monitored in 67 cancer patients
using IFN-gamma ELISPOT assay. A T-cell clone specific for the immunoprevalent
A18 K-derived peptide was isolated, characterized and used as a tool to
characterize the natural processing of A18 K. Results: A SALL4 specific T-cell
repertoire was present in healthy donors (8/14) and cancer patients (29/67) after
short term T-cell assay. We further identified two immunoprevalant SALL4-derived
peptides, R18 A and A18 K, which bind MHC-class II. In parallel, an A18 K
specific Th1 clone recognized monocyte derived Dendritic Cell (moDC) loaded with
SALL4 containing cell lysate. The level of IFN-gamma secreted by specific T-cell
clone was greater in presence of moDC loaded with SALL4 containing cell lysate
(49.23 +/- 14.02%) than with moDC alone (18.03 +/- 3.072%) (p = 0.0477)
Conclusion: These results show for the first time immunogenicity of SALL4
oncogenic protein-derived peptides, especially A18 K and R18 A peptides and make
them potential targets for personalized medicine. Thus, SALL4 possess major
characteristics of a tumor antigen.
PMID- 29632726
TI - Modulation of CD8+ memory stem T cell activity and glycogen synthase kinase 3beta
inhibition enhances anti-tumoral immunity in gastric cancer.
AB - The potential contributions of CD8+ memory stem T cells to anti-tumor immunity
and immunotherapy responses in gastric cancer has not been demonstrated. We found
that CD8+ memory stem T cell frequencies were increased in the peripheral blood
of gastric cancer patients compared to healthy donors and declined in frequency
with disease progression. Despite minimal in vitro cytotoxic activity, the
adoptive transfer of CD8+ memory stem T cells into Rag1-/- tumor bearing mice
enhanced tumor regression compared to CD8+ central or effector memory T cell
counterparts. This effect was associated with an increase in splenic, draining
lymph node and tumor infiltrating CD8+ T cell numbers and the development of an
altered CD8+ T cell phenotype not seen during homeostasis. GSK-3beta inhibition
is known to promote memory stem T cell accumulation by arresting effector T cell
differentiation in vivo. Surprisingly however, GSK-3beta inhibition conversely
increased the cytotoxic capacity of CD8+ memory stem T cells in vitro, and this
was associated with the induction of effector T cell-associated effector proteins
including FasL. Finally, FasL neutralization following GSK-3beta inhibition
directly attenuated the anti-tumoral capacity of CD8+ memory stem T cells both in
vitro and in vivo. Altogether, our findings identify the therapeutic potential of
modulating CD8+ memory stem T cells for improved anti-tumoral responses against
gastric cancer.
PMID- 29632727
TI - Survival gain in glioblastoma patients treated with dendritic cell immunotherapy
is associated with increased NK but not CD8+ T cell activation in the presence of
adjuvant temozolomide.
AB - In a two-stage phase II study, 24 patients with first diagnosis of glioblastoma
(GBM) were treated with dendritic cell (DC) immunotherapy associated to standard
radiochemotherapy with temozolomide (TMZ) followed by adjuvant TMZ. Three
intradermal injections of mature DC loaded with autologous GBM lysate were
administered before adjuvant TMZ, while 4 injections were performed during
adjuvant TMZ. According to a two-stage Simon design, to proceed to the second
stage progression-free survival (PFS) 12 months after surgery was expected in at
least 8 cases enrolled in the first stage. Evidence of immune response and
interaction with chemotherapy were investigated. After a median follow up of 17.4
months, 9 patients reached PFS12. In these patients (responders, 37.5%), DC
vaccination induced a significant, persistent activation of NK cells, whose
increased response was significantly associated with prolonged survival. CD8+ T
cells underwent rapid expansion and priming but, after the first administration
of adjuvant TMZ, failed to generate a memory status. Resistance to TMZ was
associated with robust expression of the multidrug resistance protein ABCC3 in NK
but not CD8+ T cells. The negative effect of TMZ on the formation of T cell
associated antitumor memory deserves consideration in future clinical trials
including immunotherapy.
PMID- 29632728
TI - Glioblastoma stem cell-derived exosomes induce M2 macrophages and PD-L1
expression on human monocytes.
AB - Exosomes can mediate a dynamic method of communication between malignancies,
including those sequestered in the central nervous system and the immune system.
We sought to determine whether exosomes from glioblastoma (GBM)-derived stem
cells (GSCs) can induce immunosuppression. We report that GSC-derived exosomes
(GDEs) have a predilection for monocytes, the precursor to macrophages. The GDEs
traverse the monocyte cytoplasm, cause a reorganization of the actin
cytoskeleton, and skew monocytes toward the immune suppresive M2 phenotype,
including programmed death-ligand 1 (PD-L1) expression. Mass spectrometry
analysis demonstrated that the GDEs contain a variety of components, including
members of the signal transducer and activator of transcription 3 (STAT3) pathway
that functionally mediate this immune suppressive switch. Western blot analysis
revealed that upregulation of PD-L1 in GSC exosome-treated monocytes and GBM
patient-infiltrating CD14+ cells predominantly correlates with increased
phosphorylation of STAT3, and in some cases, with phosphorylated p70S6 kinase and
Erk1/2. Cumulatively, these data indicate that GDEs are secreted GBM-released
factors that are potent modulators of the GBM-associated immunosuppressive
microenvironment.
PMID- 29632729
TI - Repolarizing heterogeneous leukemia-associated macrophages with more M1
characteristics eliminates their pro-leukemic effects.
AB - Macrophages exhibit phenotypic heterogeneity under both physiological and
pathological conditions. Applications targeting M2-like tumor-associated
macrophages (TAMs) improve outcome in solid tumors. Considerable differences are
detected between leukemia-associated macrophages (LAMs) and TAMs. However,
application to induce M1 characteristics in heterogeneous LAMs has not been
established. Here we analyzed clinical relevance of macrophage phenotypes in
human acute myeloid leukemia (AML), studied phenotypic evolution of bone marrow
(BM) and spleen (SP) LAMs in mouse AML and T cell acute lymphoblastic leukemia (T
ALL) models, explored mechanism leading to different LAM phenotypes and tried to
eliminate pro-leukemic effects by inducing M1 characteristics. The results showed
that more M2-like LAMs but not total LAMs correlated with worse prognosis in AML
patients. Heterogeneity of LAM activation in tissue-specific leukemic
microenvironments was observed in both AML and ALL models, i.e. SP LAMs evolved
with more M2 characteristics while BM LAMs with more M1 characteristics.
Furthermore, IRF7 contributed to M1 characteristics through the activation of
SAPK/JNK pathway. Moreover, targeting IRF7-SAPK/JNK pathway to induce M1
characteristics in LAMs contributed to prolonged survival in leukemia mice. Our
study provides the potential target for macrophage based immuno-therapy strategy
against leukemia.
PMID- 29632730
TI - Evaluation of programmed cell death protein 1 (PD-1) expression as a prognostic
biomarker in patients with clear cell renal cell carcinoma.
AB - Programmed cell death protein 1 (PD-1) immune checkpoint inhibitors have shown
activity in patients with advanced renal cell carcinoma (RCC). However, the role
of PD-1 expression in tumor-infiltrating lymphocytes (TILs) as a biomarker for
poor outcome is not clear. In this study, we evaluated the prognostic value of
TIL PD-1 expression in patients with clear cell RCC (ccRCC). 82 patients who
underwent nephrectomy for localized or metastatic ccRCC and followed up for at
least four years were searched from our database and retrospectively enrolled.
Their fixed primary tumor specimens were stained with anti-PD-1 (NAT105). The
specimens were classified as negative or positive for PD-1 expression, and the
positive specimens were further scored in 10% increments. 37 (45.12%) patients
were negative (<1% stained), 26 (31.71%) patients were low (<10 and 10%), and 19
(23.17%) patients were high (20-50%) for PD-1 expression. The prognostic value of
TIL PD-1 expression was evaluated by univariate Cox proportional hazards
regression on overall and recurrence-free survivals. Higher TIL PD-1 expression
was not associated with increased risk of death (P = 0.336) or with increased
risk of recurrence (P = 0.572). Higher primary tumor stage was associated with
increased risk of recurrence (P = 0.003), and higher Fuhrman nuclear grade was
associated with increased risk of death (P <0.001) and with increased risk of
recurrence (P <0.001). Our study shows that TIL PD-1 expression by
immunohistochemistry (IHC) does not correlate with poor clinical outcome in
patients with ccRCC and is inferior to established prognosticating tools.
PMID- 29632731
TI - A novel MDSC-induced PD-1-PD-L1+ B-cell subset in breast tumor microenvironment
possesses immuno-suppressive properties.
AB - Myeloid-derived suppressor cells (MDSCs) are a heterogeneous group of myeloid
cells that suppress T-cell activity in a tumor microenvironment. However, the
suppressive function of MDSCs on B cells and its underlying mechanism remain
unclear. Here, we show that in 4T1 breast cancer mice, a significantly increased
number of MDSCs, in parallel with splenic B cells, are accumulated when compared
to normal mice. In the presence of MDSCs, the surface molecules of B cells are
remolded, with checkpoint-related molecules such as PD-1 and PD-L1 changing
prominently. MDSCs also emerge as vital regulators in B-cell immune functions
such as proliferation, apoptosis and the abilities to secrete antibodies and
cytokines. Our study further identifies that MDSCs can transform normal B cells
to a subtype of immuno- regulatory B cells (Bregs) which inhibit T-cell response.
Furthermore, we identified a novel kind of Bregs with a specific phenotype PD-1
PD-L1+CD19+, which exert the greatest suppressive effects on T cells in
comparison with the previously reported Bregs characterized as CD1d+CD5+CD19+,
CD5+CD19+ and Interleukin (IL)-10-secreting B cells. Our results highlight that
MDSCs regulate B-cell response and may serve as a therapeutic approach in anti
tumor treatment. Investigation of this new Breg subtype extends our understanding
of regulation of T-cell response and sheds new light on anti-tumor immunity and
immune therapy.
PMID- 29632732
TI - The immunocytokine L19-IL2: An interplay between radiotherapy and long-lasting
systemic anti-tumour immune responses.
AB - Recently, we have shown that the administration of the tumour-targeted antibody
based immunocytokine L19-IL2 after radiotherapy (RT) resulted in synergistic anti
tumour effect. Here we show that RT and L19-IL2 can activate a curative abscopal
effect, with a long-lasting immunological memory. Ionizing radiation (single dose
of 15Gy, 5 * 2Gy or 5 * 5Gy) was delivered to primary C51 colon tumour-bearing
immunocompetent mice in combination with L19-IL2 and response of secondary non
irradiated C51 or CT26 colon tumours was evaluated. 15Gy + L19-IL2 triggered a
curative (20%) abscopal effect, which was T cell dependent. Moreover, 10Gy + L19
IL2 treated and cured mice were re-injected after 150 days with C51 tumour cells
and tumour uptake was assessed. Age-matched controls (matrigel injected mice
treated with 10Gy + L19-IL2, mice cured after treatment with surgery + L19-IL2
and mice cured after high dose RT 40Gy + vehicle) were included. Several
immunological parameters in blood, tumours, lymph nodes and spleens were
investigated. Treatment with 10Gy + L19-IL2 resulted in long-lasting
immunological memory, associated with CD44+CD127+ expression on circulating T
cells. This combination treatment can induce long-lasting curative abscopal
responses, and therefore it has also great potential for treatment of metastatic
disease. Preclinical findings have led to the initiation of a phase I clinical
trial (NCT02086721) in our institute investigating stereotactic ablative
radiotherapy with L19-IL2 in patients with oligometastatic solid tumours.
PMID- 29632733
TI - Interleukin 7-expressing fibroblasts promote breast cancer growth through
sustenance of tumor cell stemness.
AB - The tumor microenvironment harbors cancer-associated fibroblasts that function as
major modulators of cancer progression. Here, we assessed to which extent
distinct cancer-associated fibroblast subsets impact mammary carcinoma growth and
cancer cell stemness in an orthotopic murine model. We found that fibroblasts
expressing the Cre recombinase under the control of the interleukin 7 promoter
occupied mainly the tumor margin where they physically interacted with tumor
cells. Intratumoral ablation of interleukin 7-expressing fibroblasts impaired
breast tumor growth and reduced the clonogenic potential of cancer cells.
Moreover, cDNA expression profiling revealed a distinct oncogenic signature of
interleukin 7-producing fibroblasts. In particular, Cxcl12 expression was
strongly enhanced in interleukin 7-producing fibroblasts and cell type-specific
genetic ablation and systemic pharmacological inhibition revealed that the
CXCL12/CXCR4 axis impacts breast tumor cell stemness. Elevated expression of
CXCL12 and other stem cell factors in primary human breast cancer-associated
fibroblasts indicates that certain fibroblast populations support tumor cell
stemness and thereby promote breast cancer growth.
PMID- 29632734
TI - Bladder cancer-associated cancer-testis antigen-derived long peptides
encompassing both CTL and promiscuous HLA class II-restricted Th cell epitopes
induced CD4+ T cells expressing converged T-cell receptor genes in vitro.
AB - DEP domain containing 1 (DEPDC1) and M-phase phosphoprotein 1 (MPHOSPH1) are
human cancer testis antigens that are frequently overexpressed in urinary bladder
cancer. In a phase I/II clinical trial, a DEPDC1- and MPHOSPH1-derived short
peptide vaccine demonstrated promising efficacy in preventing bladder cancer
recurrence. Here, we aimed to identify long peptides (LPs) derived from DEPDC1
and MPHOSPH1 that induced both T-helper (Th) cells and tumor-reactive cytotoxic T
lymphocytes (CTLs). Stimulation of peripheral blood mononuclear cells (PBMCs)
from healthy donors with the synthetic DEPDC1- and MPHOSPH1-LPs predicted to bind
to promiscuous human leukocyte antigen (HLA) class II molecules by a computer
algorithm induced specific CD4+ T cells as revealed by interferon-gamma enzyme
linked immunospot assays. Three of six LPs encompassed HLA-A2- or -A24-restricted
CTL epitopes or both, and all six LPs stimulated DEPDC1- or MPHOSPH1-specific Th
cells restricted by promiscuous and frequently observed HLA class II molecules in
the Japanese population. Some LPs are naturally processed from the proteins in
DCs, and the capacity of these LPs to cross-prime CTLs was confirmed in vivo
using HLA-A2 or -A24 transgenic mice. The LP-specific and HLA class II-restricted
T-cell responses were also observed in PBMCs from patients with bladder cancer.
Repeated stimulation of PBMCs with DEPDC1-LPs and MPHOSPH1-LPs yielded clonal Th
cells expressing specific T-cell receptor (TCR)-alpha and beta genes. These
DEPDC1- or MPHOSPH1-derived LPs may have applications in immunotherapy in
patients with bladder cancer, and the TCR genes identified may be useful for
monitoring of Th cells specific to LPs in vivo.
PMID- 29632735
TI - Dynamic changes of the normal B lymphocyte repertoire in CLL in response to
ibrutinib or FCR chemo-immunotherapy.
AB - Using next-generation immunoglobulin (IGH) sequencing and flow cytometry, we
characterized the composition, diversity and dynamics of non-malignant B cells in
patients undergoing treatment with the Bruton tyrosine kinase (BTK) inhibitor
ibrutinib or chemo-immunotherapy with fludarabine, cyclophosphamide, and
rituximab (FCR). During ibrutinib therapy, non-malignant B cell numbers declined,
but patients maintained stable IGH diversity and constant fractions of IGH
mutated B cells. This indicates partial preservation of antigen-experienced B
cells during ibrutinib therapy, but impaired replenishment of the normal B cell
pool with naive B cells. In contrast, after FCR we noted a recovery of normal B
cells with a marked predominance of B cells with unmutated IGH. This pattern is
compatible with a deletion of pre-existing antigen-experienced B cells followed
by repertoire renewal with antigen-naive B cells. These opposite patterns in B
cell dynamics may result in different responses towards neoantigens versus recall
antigens, which need to be further defined.
PMID- 29632736
TI - Safety and activity of PD-1 blockade-activated DC-CIK cells in patients with
advanced solid tumors.
AB - Cytokine-induced killer (CIK) cells that are stimulated using mature dendritic
cells (DCs), referred to as (DC-CIK cells) exhibit superior anti-tumor potency.
Anti-programmed death-1 (PD-1) antibodies reinvigorate T cell-mediated antitumor
immunity. This phase I study aimed to assess the safety and clinical activity of
immunotherapy with PD-1 blockade (pembrolizumab)-activated autologous DC-CIK
cells in patients with advanced solid tumors. Patients with selected types of
advanced solid tumors received a single intravenous infusion of activated
autologous DC-CIK cells weekly for the first month and every 2 weeks thereafter.
The primary end points were safety and adverse event (AE) profiles. Antitumor
responses, overall survival (OS), progression-free survival (PFS) and cytolytic
activity were secondary end points. Treatment-related AEs occurred in 20/31
patients. Grade 3 or 4 toxicities, including fever and chills, were observed in
two patients. All treatment-related AEs were reversible or controllable. The
cytotoxicity of DC-CIK cells induced up-regulation of PD-L1 expression on
autologous tumor cells. When activated using pembrolizumab ex vivo, DC-CIK cells
exerted superior antitumor properties and elevated IFN-gamma secretion. Objective
responses (complete or partial responses) were observed in 7 of the
31patients.These responses were durable, with 6 of 7 responses lasting more than
5 months. The overall disease control rate in the patients was 64.5%. At the time
of this report, the median OS and PFS were 270 and 162 days, respectively. In
conclusions, treatment with pembrolizumab-activated autologous DC-CIK cells was
safe and exerted encouraging antitumor activity in advanced solid tumors. A
larger phase II trial is warranted.
PMID- 29632737
TI - Anti-Melanoma immunity and local regression of cutaneous metastases in melanoma
patients treated with monobenzone and imiquimod; a phase 2 a trial.
AB - Vitiligo development in melanoma patients during immunotherapy is a favorable
prognostic sign and indicates breakage of tolerance against melanocytic/melanoma
antigens. We investigated a novel immunotherapeutic approach of the skin
depigmenting compound monobenzone synergizing with imiquimod in inducing
antimelanoma immunity and melanoma regression. Stage III-IV melanoma patients
with non-resectable cutaneous melanoma metastases were treated with monobenzone
and imiquimod (MI) therapy applied locally to cutaneous metastases and adjacent
skin during 12 weeks, or longer. Twenty-one of 25 enrolled patients were
evaluable for clinical assessment at 12 weeks. MI therapy was well-tolerated.
Partial regression of cutaneous metastases was observed in 8 patients and stable
disease in 1 patient, reaching the statistical endpoint of treatment efficacy.
Continued treatment induced clinical response in 11 patients, including complete
responses in three patients. Seven patients developed vitiligo-like
depigmentation on areas of skin that were not treated with MI therapy, indicating
a systemic effect of MI therapy. Melanoma-specific antibody responses were
induced in 7 of 17 patients tested and melanoma-specific CD8+T-cell responses in
11 of 15 patients tested. These systemic immune responses were significantly
increased during therapy as compared to baseline in responding patients. This
study shows that MI therapy induces local and systemic anti-melanoma immunity and
local regression of cutaneous metastases in 38% of patients, or 52% during
prolonged therapy. This study provides proof-of-concept of MI therapy, a low
cost, broadly applicable and well-tolerated treatment for cutaneous melanoma
metastases, attractive for further clinical investigation.
PMID- 29632738
TI - A blood dendritic cell vaccine for acute myeloid leukemia expands anti-tumor T
cell responses at remission.
AB - Only modest advances in AML therapy have occurred in the past decade and relapse
due to residual disease remains the major challenge. The potential of the immune
system to address this is evident in the success of allogeneic transplantation,
however this leads to considerable morbidity. Dendritic cell (DC) vaccination can
generate leukemia-specific autologous immunity with little toxicity. Promising
results have been achieved with vaccines developed in vitro from purified
monocytes (Mo-DC). We now demonstrate that blood DC (BDC) have superior function
to Mo-DC. Whilst BDC are reduced at diagnosis in AML, they recover following
chemotherapy and allogeneic transplantation, can be purified using CMRF-56
antibody technology, and can stimulate functional T cell responses. While most
AML patients in remission had a relatively normal T cell landscape, those who had
received fludarabine as salvage therapy have persistent T cell abnormalities
including reduced number, altered subset distribution, failure to expand, and
increased activation-induced cell death. Furthermore, PD-1 and TIM-3 are
increased on CD4T cells in AML patients in remission and their blockade enhances
the expansion of leukemia-specific T cells. This confirms the feasibility of a
BDC vaccine to consolidate remission in AML and suggests it should be tested in
conjunction with checkpoint blockade.
PMID- 29632739
TI - Interaction of a dinoflagellate neurotoxin with voltage-activated ion channels in
a marine diatom.
AB - Background: The potent neurotoxins produced by the harmful algal bloom species
Karenia brevis are activators of sodium voltage-gated channels (VGC) in animals,
resulting in altered channel kinetics and membrane hyperexcitability. Recent
biophysical and genomic evidence supports widespread presence of homologous
sodium (Na+) and calcium (Ca2+) permeable VGCs in unicellular algae, including
marine phytoplankton. We therefore hypothesized that VGCs of these phytoplankton
may be an allelopathic target for waterborne neurotoxins produced by K. brevis
blooms that could lead to ion channel dysfunction and disruption of signaling in
a similar manner to animal Na+ VGCs. Methods: We examined the interaction of
brevetoxin-3 (PbTx-3), a K. brevis neurotoxin, with the Na+/Ca2+ VGC of the non
toxic diatom Odontella sinensis using electrophysiology. Single electrode current
and voltage- clamp recordings from O. sinensis in the presence of PbTx-3 were
used to examine the toxin's effect on voltage gated Na+/Ca2+ currents. In silico
analysis was used to identify the putative PbTx binding site in the diatoms. We
identified Na+/Ca2+ VCG homologs from the transcriptomes and genomes of 12
diatoms, including three transcripts from O. sinensis and aligned them with site
5 of Na+ VGCs, previously identified as the PbTx binding site in animals.
Results: Up to 1 uM PbTx had no effect on diatom resting membrane potential or
membrane excitability. The kinetics of fast inward Na+/Ca2+ currents that
underlie diatom action potentials were also unaffected. However, the peak inward
current was inhibited by 33%, delayed outward current was inhibited by 25%, and
reversal potential of the currents shifted positive, indicating a change in
permeability of the underlying channels. Sequence analysis showed a lack of
conservation of the PbTx binding site in diatom VGC homologs, many of which share
molecular features more similar to single-domain bacterial Na+/Ca2+ VGCs than the
4-domain eukaryote channels. Discussion: Although membrane excitability and the
kinetics of action potential currents were unaffected, the permeation of the
channels underlying the diatom action potential was significantly altered in the
presence of PbTx-3. However, at environmentally relevant concentrations the
effects of PbTx- on diatom voltage activated currents and interference of cell
signaling through this pathway may be limited. The relative insensitivity of
phytoplankton VGCs may be due to divergence of site-5 (the putative PbTx binding
site), and in some cases, such as O. sinensis, resistance to toxin effects may be
because of evolutionary loss of the 4-domain eukaryote channel, while retaining a
single domain bacterial-like VGC that can substitute in the generation of fast
action potentials.
PMID- 29632740
TI - Reference gene selection for qRT-PCR assays in Stellera chamaejasme subjected to
abiotic stresses and hormone treatments based on transcriptome datasets.
AB - Background: Stellera chamaejasme Linn, an important poisonous plant of the China
grassland, is toxic to humans and livestock. The rapid expansion of S.
chamaejasme has greatly damaged the grassland ecology and, consequently,
seriously endangered the development of animal husbandry. To draft efficient
prevention and control measures, it has become more urgent to carry out research
on its adaptive and expansion mechanisms in different unfavorable habitats at the
genetic level. Quantitative real-time polymerase chain reaction (qRT-PCR) is a
widely used technique for studying gene expression at the transcript level;
however, qRT-PCR requires reference genes (RGs) as endogenous controls for data
normalization and only through appropriate RG selection and qRT-PCR can we
guarantee the reliability and robustness of expression studies and RNA-seq data
analysis. Unfortunately, little research on the selection of RGs for gene
expression data normalization in S. chamaejasme has been reported. Method: In
this study, 10 candidate RGs namely, 18S, 60S, CYP, GAPCP1, GAPDH2, EF1B, MDH,
SAND, TUA1, and TUA6, were singled out from the transcriptome database of S.
chamaejasme, and their expression stability under three abiotic stresses
(drought, cold, and salt) and three hormone treatments (abscisic acid, ABA;
gibberellin, GA; ethephon, ETH) were estimated with the programs geNorm,
NormFinder, and BestKeeper. Result: Our results showed that GAPCP1 and EF1B were
the best combination for the three abiotic stresses, whereas TUA6 and SAND, TUA1
and CYP, GAPDH2 and 60S were the best choices for ABA, GA, and ETH treatment,
respectively. Moreover, GAPCP1 and 60S were assessed to be the best combination
for all samples, and 18S was the least stable RG for use as an internal control
in all of the experimental subsets. The expression patterns of two target genes
(P5CS2 and GI) further verified that the RGs that we selected were suitable for
gene expression normalization. Discussion: This work is the first attempt to
comprehensively estimate the stability of RGs in S. chamaejasme. Our results
provide suitable RGs for high-precision normalization in qRT-PCR analysis,
thereby making it more convenient to analyze gene expression under these
experimental conditions.
PMID- 29632741
TI - Electrophysiological evidence for temporal dynamics associated with attentional
processing in the zoom lens paradigm.
AB - Background: Visuospatial processing requires wide distribution or narrow focusing
of attention to certain regions in space. This mechanism is described by the zoom
lens model and predicts an inverse correlation between the efficiency of
processing and the size of the attentional scope. Little is known, however, about
the exact timing of the effects of attentional scaling on visual searching and
whether or not additional processing phases are involved in this process. Method:
Electroencephalographic recordings were made while participants performed a
visual search task under different attentional scaling conditions. Two concentric
circles of different sizes, presented to the participants at the center of a
screen modulated the attentional scopes, and search arrays were distributed in
the space areas indicated by these concentric circles. To ensure consistent
eccentricity of the search arrays across different conditions, we limited our
studies to the neural responses evoked by the search arrays distributed in the
overlapping region of different attentional scopes. Results: Consistent with the
prediction of the zoom lens model, our behavioral data showed that reaction times
for target discrimination of search arrays decreased and the associated error
rates also significantly decreased, with narrowing the attentional scope. Results
of the event-related potential analysis showed that the target-elicited amplitude
of lateral occipital N1, rather than posterior P1, which reflects the earliest
visuospatial attentional processing, was sensitive to changes in the scaling of
visuospatial attention, indicating that the modulation of the effect of changes
in the spatial scale of attention on visual processing occurred after the delay
period of P1. The N1 generator exhibited higher activity as the attentional scope
narrowed, reflecting more intensive processing resources within the attentional
focus. In contrast to N1, the amplitude of N2pc increased with the expansion of
the attentional focus, suggesting that observers might further redistribute
attentional resources according to the increased task difficulty. Conclusion:
These findings provide electrophysiological evidence that the neural activity of
the N1 generator is the earliest marker of the zoom lens effect of visual spatial
attention. Furthermore, evidence from N2pc shows that there is also a
redistribution of attentional resources after the action of the zoom lens
mechanism, which allows for better perform of the search task in the context of
low attentional resolution. On the basis of the timing of P1, N1, and N2pc, our
findings provide compelling evidence that visuospatial attention processing in
the zoom lens paradigm involves multi-stage dynamic processing.
PMID- 29632742
TI - Serpin functions in host-pathogen interactions.
AB - Serpins are a broadly distributed superfamily of protease inhibitors that are
present in all kingdoms of life. The acronym, serpin, is derived from their
function as potent serine proteases inhibitors. Early studies of serpins focused
on their functions in haemostasis since modulating serine proteases activities
are essential for coagulation. Additional research has revealed that serpins
function in infection and inflammation, by modulating serine and cysteine
proteases activities. The aim of this review is to summarize the accumulating
findings and current understanding of the functions of serpins in host-pathogen
interactions, serving as host defense proteins as well as pathogenic factors. We
also discuss the potential crosstalk between host and pathogen serpins. We
anticipate that future research will elucidate the therapeutic value of this
novel target.
PMID- 29632743
TI - No tears in heaven: did the media create the pseudo-phenomenon "altitude-adjusted
lachrymosity syndrome (AALS)"?
AB - Objective: In the media, numerous public figures have reported involuntary
emotional outbursts arising from watching films on planes, resembling
neurological phenomena such as pseudobulbar affect. Putative risk factors put
forward include altitude, mild hypoxia, or alcohol. Our objective was to
determine whether watching a film on an airplane is really more likely to induce
involuntary, uncontrollable, or surprising crying than watching one on the
ground, described in some social media as "altitude-adjusted lachrymosity
syndrome" (AALS), or whether this is a pseudo-phenomena. Methods: Amazon
Mechanical Turk survey participants (N = 1,084) living in the United States who
had watched a film on a plane in the past 12 months were invited to complete an
online survey. The main outcome measures were likelihood of crying in a logistic
regression model including location of viewing, age, gender, genre of film,
subjective film rating, annual household income, watching a "guilty pleasure"
film, drinking alcohol, feeling tired or jetlagged, or having a recent emotional
life event. Results: About one in four films induced crying. Watching a film on a
plane per se does not appear to induce involuntary crying. Significant predictors
of crying included dramas or family films, a recent life event, watching a
"guilty pleasure", high film ratings, and female gender. Medical conditions, age,
income, alcohol use, and feeling tired or jetlagged were not significant.
Conclusion: People reporting the pseudo-phenomena of AALS are most likely
experiencing "dramatically heightened exposure", watching as many films on a
plane in a week's return trip as they would in a year at the cinema. Such
perceptions are probably magnified by confirmation bias and further mentions in
social media.
PMID- 29632744
TI - Oligotrophic wetland sediments susceptible to shifts in microbiomes and mercury
cycling with dissolved organic matter addition.
AB - Recent advances have allowed for greater investigation into microbial regulation
of mercury toxicity in the environment. In wetlands in particular, dissolved
organic matter (DOM) may influence methylmercury (MeHg) production both through
chemical interactions and through substrate effects on microbiomes. We conducted
microcosm experiments in two disparate wetland environments (oligotrophic
unvegetated and high-C vegetated sediments) to examine the impacts of plant
leachate and inorganic mercury loadings (20 mg/L HgCl2) on microbiomes and MeHg
production in the St. Louis River Estuary. Our research reveals the greater
relative capacity for mercury methylation in vegetated over unvegetated
sediments. Further, our work shows how mercury cycling in oligotrophic
unvegetated sediments may be susceptible to DOM inputs in the St. Louis River
Estuary: unvegetated microcosms receiving leachate produced substantially more
MeHg than unamended microcosms. We also demonstrate (1) changes in microbiome
structure towards Clostridia, (2) metagenomic shifts toward fermentation, and (3)
degradation of complex DOM; all of which coincide with elevated net MeHg
production in unvegetated microcosms receiving leachate. Together, our work shows
the influence of wetland vegetation in controlling MeHg production in the Great
Lakes region and provides evidence that this may be due to both enhanced
microbial activity as well as differences in microbiome composition.
PMID- 29632745
TI - Herbarium specimens can reveal impacts of climate change on plant phenology; a
review of methods and applications.
AB - Studies in plant phenology have provided some of the best evidence for large
scale responses to recent climate change. Over the last decade, more than thirty
studies have used herbarium specimens to analyze changes in flowering phenology
over time, although studies from tropical environments are thus far generally
lacking. In this review, we summarize the approaches and applications used to
date. Reproductive plant phenology has primarily been analyzed using two summary
statistics, the mean flowering day of year and first-flowering day of year, but
mean flowering day has proven to be a more robust statistic. Two types of
regression models have been applied to test for associations between flowering,
temperature and time: flowering day regressed on year and flowering day regressed
on temperature. Most studies analyzed the effect of temperature by averaging
temperatures from three months prior to the date of flowering. On average,
published studies have used 55 herbarium specimens per species to characterize
changes in phenology over time, but in many cases fewer specimens were used.
Geospatial grid data are increasingly being used for determining average
temperatures at herbarium specimen collection locations, allowing testing for
finer scale correspondence between phenology and climate. Multiple studies have
shown that inferences from herbarium specimen data are comparable to findings
from systematically collected field observations. Understanding phenological
responses to climate change is a crucial step towards recognizing implications
for higher trophic levels and large-scale ecosystem processes. As herbaria are
increasingly being digitized worldwide, more data are becoming available for
future studies. As temperatures continue to rise globally, herbarium specimens
are expected to become an increasingly important resource for analyzing plant
responses to climate change.
PMID- 29632746
TI - Variation in the diversity and richness of parasitoid wasps based on sampling
effort.
AB - Parasitoid wasps are a mega-diverse, ecologically dominant, but poorly studied
component of global biodiversity. In order to maximise the efficiency and reduce
the cost of their collection, the application of optimal sampling techniques is
necessary. Two sites in Auckland, New Zealand were sampled intensively to
determine the relationship between sampling effort and observed species richness
of parasitoid wasps from the family Ichneumonidae. Twenty traps were deployed at
each site at three different times over the austral summer period, resulting in a
total sampling effort of 840 Malaise-trap-days. Rarefaction techniques and non
parametric estimators were used to predict species richness and to evaluate the
variation and completeness of sampling. Despite an intensive Malaise-trapping
regime over the summer period, no asymptote of species richness was reached. At
best, sampling captured two-thirds of parasitoid wasp species present. The
estimated total number of species present depended on the month of sampling and
the statistical estimator used. Consequently, the use of fewer traps would have
caught only a small proportion of all species (one trap 7-21%; two traps 13-32%),
and many traps contributed little to the overall number of individuals caught.
However, variation in the catch of individual Malaise traps was not explained by
seasonal turnover of species, vegetation or environmental conditions surrounding
the trap, or distance of traps to one another. Overall the results demonstrate
that even with an intense sampling effort the community is incompletely sampled.
The use of only a few traps and/or for very short periods severely limits the
estimates of richness because (i) fewer individuals are caught leading to a
greater number of singletons; and (ii) the considerable variation of individual
traps means some traps will contribute few or no individuals. Understanding how
sampling effort affects the richness and diversity of parasitoid wasps is a
useful foundation for future studies.
PMID- 29632747
TI - Bleeding in Locally Invasive Pelvic Malignancies: Is Hypofractionated Radiation
Therapy a Safe and Effective Non-Invasive Option for Securing Hemostasis? A
Single Institution Perspective.
AB - INTRODUCTION: Control of bleeding due to locally invasive disease is of
paramount importance in the management of cancer patients. This study was
undertaken to explore the outcomes of palliative intent hypofractionated
radiation therapy (HRT) in advanced stage pelvic malignancies that presented with
bleeding. METHODS: This study enrolled patients treated with palliative intent
hypofractionated radiation therapy from July 2015 to November 2017. In the
inclusion criteria, all these patients had the common presenting complaint of
bleeding from the tumor. These patients were not treated with radiation therapy
before for the same indication. Patients with known bleeding disorders and those
undergoing parallel interventions for bleeding control were excluded from the
study. Bleeding was categorized based on the World Health Organization (WHO)
scale for the classification of bleeding. Response assessment was classified into
a complete response, partial response and no response. A comparison was made for
the bleeding scale before and after HRT using the Wilcoxon signed rank test. The
comparison of mean hemoglobin levels before and after the HRT was calculated by
paired t-test. RESULTS: Forty-two patients with advanced pelvic malignancies
qualified for inclusion in the study after applying the inclusion/exclusion
criteria. Among those analyzed, the median age was 67 years (range 37 - 95
years). The male and female proportion was 38% and 62% respectively. Different
cancers included uterine cancer 31%, cervical cancer 24%, bladder cancer 21%,
rectal cancer 17% and vulvar cancer in 7%. The baseline bleeding scale in these
cases was found to be grade 1 in 12%, grade 2 in 55% and grade 3 in 33% cases.
The median dose in our cohort was 20 Gy in five fractions over one week (range
was 8 Gy to 40 Gy). Following HRT, the WHO bleeding score at one month was
recorded as grade 0 in 57%, grade 1 in 31%, grade 2 in 7%, grade 3 in 5% and
grade 4 in none. Toxicity profile did not show any grade 3 or above acute
toxicity in the study. Response rates were 57% complete response, 36% partial
response and 7% no response. The mean hemoglobin level post-treatment versus pre
treatment was found to be 9.6 g/dL versus 7.3 g/dL. CONCLUSIONS:
Hypofractionated radiotherapy was found to be a safe and effective non-invasive
palliative treatment modality for securing hemostasis in advanced pelvic
malignancies that presented with bleeding.
PMID- 29632748
TI - Development of Growth Charts of Pakistani Children Aged 4-15 Years Using Quantile
Regression: A Cross-sectional Study.
AB - Background Growth charts are essential tools used by pediatricians as well as
public health researchers in assessing and monitoring the well-being of pediatric
populations. Development of these growth charts, especially for children above
five years of age, is challenging and requires current anthropometric data and
advanced statistical analysis. These growth charts are generally presented as a
series of smooth centile curves. A number of modeling approaches are available
for generating growth charts and applying these on national datasets is important
for generating country-specific reference growth charts. Objective To demonstrate
that quantile regression (QR) as a viable statistical approach to construct
growth reference charts and to assess the applicability of the World Health
Organization (WHO) 2007 growth standards to a large Pakistani population of
school-going children. Methodology This is a secondary data analysis using
anthropometric data of 9,515 students from a Pakistani survey conducted between
2007 and 2014 in four cities of Pakistan. Growth reference charts were created
using QR as well as the LMS (Box-Cox transformation (L), the median (M), and the
generalized coefficient of variation (S)) method and then compared with WHO 2007
growth standards. Results Centile values estimated by the LMS method and QR
procedure had few differences. The centile values attained from QR procedure of
BMI-for-age, weight-for-age, and height-for-age of Pakistani children were lower
than the standard WHO 2007 centile. Conclusion QR should be considered as an
alternative method to develop growth charts for its simplicity and lack of
necessity to transform data. WHO 2007 standards are not suitable for Pakistani
children.
PMID- 29632749
TI - Commissioning and Evaluation of an Electronic Portal Imaging Device-Based In-Vivo
Dosimetry Software.
AB - This study reports on our experience with the in-vivo dose verification software,
EPIgray(r) (DOSIsoft, Cachan, France). After the initial commissioning process,
clinical experiments on phantom treatments were evaluated to assess the level of
accuracy of the electronic portal imaging device (EPID) based in-vivo dose
verification. EPIgray was commissioned based on the company's instructions. This
involved ion chamber measurements and portal imaging of solid water blocks of
various thicknesses between 5 and 35 cm. Field sizes varied between 2 x 2 cm2 and
20 x 20 cm2. The determined conversion factors were adjusted through an
additional iterative process using treatment planning system calculations.
Subsequently, evaluation was performed using treatment plans of single and
opposed beams, as well as intensity modulated radiotherapy (IMRT) plans, based on
recommendations from the task group report TG-119 to test for dose reconstruction
accuracy. All tests were performed using blocks of solid water slabs as a
phantom. For single square fields, the dose at isocenter was reconstructed within
3% accuracy in EPIgray compared to the treatment planning system dose. Similarly,
the relative deviation of the total dose was accurately reconstructed within 3%
for all IMRT plans with points placed inside a high-dose region near the
isocenter. Predictions became less accurate than < 5% when the evaluation point
was outside the treatment target. Dose at points 5 cm or more away from the
isocenter or within an avoidance structure was reconstructed less reliably.
EPIgray formalism accuracy is adequate for an efficient error detection system
with verifications performed in high-dose volumes. It provides immediate intra
fractional feedback on the delivery of treatment plans without affecting the
treatment beam. Besides the EPID, no additional hardware is required. The
software evaluates local point dose measurements to verify treatment plan
delivery and patient positioning within 5% accuracy, depending on the placement
of evaluation points.
PMID- 29632750
TI - Use of Femoral and Sciatic Nerve Block Combination in Severe Emphysematous Lung
Disease for Femoral Popliteal Arterial Bypass Surgery.
AB - Regional anesthesia is a widely used anesthesia technique for high-risk patients
with severe vascular or pulmonary diseases in which general anesthesia is
considered harmful and should be avoided. In this case, we present the use of
femoral-sciatic nerve block combination for a 65-year-old, ASA IV, male patient
who had severe emphysematous lung disease and was planned for a right femoral
popliteal arterial bypass surgery. He had severe pulmonary disease, hypertension,
peripheral vascular disease, and was on clopidogrel treatment. Due to his
existing comorbidities, regional anesthesia was considered the right method. The
combination of femoral and sciatic nerve block was successfully used for the
operation, which lasted for one hour and fifty minutes under sedation, and was
continuously supplied with a propofol infusion. The patient was safely discharged
to the surgical ward with no pain. The femoral block and sciatic block
combination is described as one of the most useful, and at the same time, the
most ignored anesthetic method. This technique is considered a standard technique
and is often taught early in training; however, its use seems to be
underestimated as there are only a few cases documented in Turkey. The aim of
this case is to serve as a reminder of its significant value in patients who are
not appropriate for general anesthesia and neuraxial blocks.
PMID- 29632751
TI - Staged Image-guided Robotic Radiosurgery and Deferred Chemotherapy to Treat a
Malignant Glioma During and After Pregnancy.
AB - A 26-year-old pregnant woman with a fast-growing malignant deep-seated brain
glioma was offered a therapeutic abortion to allow subsequent surgical resection.
This option was refused by the mother, but the fast tumor growth placed the life
of both mother and child at risk. A staged CyberKnife radiosurgery treatment was
then planned, aiming to provide at least temporary tumor growth control and allow
a safe delivery while keeping the doses received by the fetus well below the
allowed doses. Growth control and the safe delivery of a healthy child were
achieved after this first treatment. An intensive chemotherapy program based on
the combination of Avastin, irinotecan, and Temodal was then started. Recurring
tumor growth was treated with a second CyberKnife procedure while continuing the
above chemotherapy protocol. At 43 months after the second CyberKnife procedure,
the tumor had disappeared on magnetic resonance imaging. Neither mother nor child
showed the neurological sequelae. Staged radiosurgery and deferred chemotherapy
proved to be a safe and effective treatment to allow the delivery of a healthy
child and the long-term control of an aggressive brain glioma.
PMID- 29632752
TI - Celiac Disease and Concomitant Conditions: A Case-based Review.
AB - Celiac disease is a chronic autoimmune disease with genetic predisposition,
triggered by the ingestion of gluten. It has a wide range of clinical
manifestations ranging from asymptomatic forms to classic presentation of
malabsorption with diarrhea and abdominal cramps. Celiac disease can also present
with several other concomitant disorders (at the time of diagnosis or during the
course of celiac disease) such as: type 1 diabetes, inflammatory bowel disease,
rheumatoid arthritis, thyroid disorders, nutritional deficiencies, and gram
negative sepsis. We present a 57-year-old female with past medical history of
rheumatoid arthritis, who presented to the emergency department with a complaint
of chronic diarrhea, complicated by gram-negative sepsis. The family history of
the patient was significant for celiac disease, type 1 diabetes, and rheumatoid
arthritis. The patient was closely monitored and treated appropriately. In this
case-based review, we explore different associated conditions of celiac disease
in the literature, as well as the patient's risk of developing malignancy.
PMID- 29632753
TI - Treatment of Trigeminal Neuralgia with Anti-viral Therapy for Zoster: A Case
Report.
AB - Varicella zoster virus can infect the trigeminal ganglion, but viral infection is
not frequently considered as a cause of trigeminal neuralgia. This is a case of a
woman whose trigeminal neuralgia remitted after being treated with valacyclovir
for a thoracic zoster rash and later resolved entirely following administration
of the zoster vaccine. Successful treatment of trigeminal neuralgia with anti
viral therapies has not previously been reported. Zoster vaccination is currently
recommended for adults over age 60, so patients with trigeminal neuralgia,
especially those over the age of 60, should be encouraged to receive the
varicella zoster vaccine.
PMID- 29632754
TI - Efficacy of Homologous, Platelet-rich Plasma Dressing in Chronic Non-healing
Ulcers: An Observational Study.
AB - Background Chronic non-healing ulcers are a frequent problem in developing
countries and represent a heavy burden to the patients because they lack the
necessary growth factors (GFs) to maintain the healing process and are frequently
complicated by super, added infections. Traditional therapies, such as regular
dressings and wound debridement, cannot provide satisfactory results since these
treatments are not able to provide the necessary GFs. Platelet-rich plasma (PRP)
helps in enhancing the wound healing by releasing various GFs. The aim was to
evaluate the efficacy of PRP dressing in the treatment of chronic non-healing
ulcers. Methods Patients attending the outpatient department on a regular basis
and those admitted as inpatients for chronic wound management were included in
the study. It was an observational study done in a tertiary health center for a
period of one year. All patients with eligible criteria were treated with PRP at
twice-weekly intervals for a maximum of 10 dressings. At the end of the five
weeks period, the reduction in the size of the ulcers (area and volume) was
assessed. Results A total of 104 cases with chronic non-healing ulcers of various
causes were treated with homologous PRP twice weekly for a maximum of 10
dressings. In those 104 patients, non-healing ulcers in 85 patients (81.73%) were
healed at the end of the last dressing. Non-healing ulcers in 13 patients (12.5%)
were healed with skin grafting. Among those patients, the baseline mean ulcer
area was 5.03 cm2. For each visit, there was a reduction in the ulcer area. At
the end of the last visit, the mean ulcer area was 1.69 cm2, which was
significant in this study. Conclusion Due to the lack of necessary GFs in chronic
non-healing ulcers, PRP is safe and enhances the healing rates of chronic wounds,
thereby reducing overall hospital stay and morbidity.
PMID- 29632755
TI - Characterization of a Novel Revolving Radiation Collimator.
AB - Introduction The ZAP-X is a novel self-contained and first-of-its-kind self
shielded therapeutic radiation device dedicated to brain and head and neck
radiosurgery. By utilizing a 2.7-MV linear accelerator and incorporating a design
in which a beam stop and major mechanical elements serve a radiation shielding
function, the Zap-X does not typically require a radiation bunker. The unique
collimator design of the Zap-X is especially critical to the performance of the
overall system. The collimator consists of a shielded tungsten wheel oriented
with its rotational axis perpendicular to the beam's central axis; the goal of
this design is to minimize radiation leakage. Beam selection is accomplished by
rotating the wheel within its tungsten-shielded housing. We investigated
radiation leakage from the Zap-X collimator to determine its compliance with
internationally accepted standards using direct radiation measurements. Materials
and methods To measure collimator leakage in the plane of the patient,
equidistant measurement stations were defined in a plane perpendicular to the
central beam axis (cax) 1 m from this axis (1 m from the radiation focal spot).
To measure leakage alongside and adjacent to the accelerator, equidistant
measurement stations were located 1 m from the cax along a line parallel to the
cax in the plane of the collimator wheel and along a line parallel to the cax 90
degrees offset from the first line of stations. Results Radiation leakage
emanating from the collimating head of the linear accelerator in the patient
plane ranged between 4.0 and 10.4 mR. Radiation along the linear accelerator
(1000 R delivered in the primary beam) varied between 1.7 and 6.8 mR and
constituted between 0.00017% to 0.00068% of the primary beam. The former
radiation originated from X-ray target leakage, while the latter is produced
directly by the linear accelerator and both contributed to the overall leakage
radiation that would reach a patient. Discussion Due to the large diameter of the
Zap-X tungsten collimator wheel and the massive Zap-X tungsten cylindrical
collimator shield, the overall patient leakage is 0.00104% of the primary beam at
a 1-m distance from the beam central axis in the patient plane. Leakage radiation
in the patient plane is limited by the International Electrotechnical Commission
(IEC) to 0.1% of the total primary radiation. Radiation leakage along the linear
accelerator and the collimator housing was determined to be 0.00068% of primary
radiation intensity. This leakage value is lower than the 0.1% leakage limit
stipulated by IEC by more than a factor of 100. Conclusions Typically, an MV
radiation therapy system minimizes exposure by utilizing a combination of device
and structural shielding. However, the Zap-X has been uniquely designed to
minimize the need for structural shielding. Our results indicate radiation
leakage from the collimator meets internationally accepted standards as defined
by the IEC.
PMID- 29632756
TI - A Case of IgG4-related Sclerosing Mesenteritis.
AB - A 60-year-old African-American male presented to the emergency department with
abdominal pain and distention associated with decreased appetite and weight loss
for several weeks. A computed tomography (CT) scan of the abdomen and pelvis
showed an 8 cm mesenteric mass with surrounding stranding and poorly defined
borders. The patient underwent exploratory laparotomy and complete resection of
the mass since the frozen section could not give a definite diagnosis.
Histopathology showed fibro-adipose tissue with lymphoid hyperplasia, vague
nodular collections of foamy histiocytes with giant cell reaction, marked chronic
inflammation, fat necrosis, and prominent sclerosis/fibrosis. Methenamine silver
and acid-fast stains were negative for fungal and mycobacterial organisms
respectively. Examination of tissue with immunohistostains showed increased
immunoglobulin G4 (IgG4)-positive plasma cells. Other features observed were
scattered areas of phlebitis, pockets of tissue eosinophilia, and focal storiform
fibrosis leading to the diagnosis of IgG4-related sclerosing mesenteritis. The
patient did not require steroids after the surgical resection and was disease
free at six-month follow up.
PMID- 29632757
TI - Constriction of the Stomach by an Unusual Peritoneal Band.
AB - Compression of intraabdominal contents can occur due to anomalous congenital
bands. Herein, we describe, to our knowledge, the first case of compression of
the stomach by an anomalous band extending from the lesser omentum to the greater
omentum. Relevant literature is reviewed and the clinical implications of such a
case are described.
PMID- 29632758
TI - Late-Onset Inflammatory Response to Hyaluronic Acid Dermal Fillers.
AB - Objective: Even though injectable hyaluronic acid (HA)-based fillers are
considered safe, rare complications, such as late-onset inflammatory reactions
have been reported. Possible causes and effective treatments have not been
formally described, so this work aims to discuss these and offer a formal
protocol for treatment. Methods: This article presents 5 clinical cases of late
onset inflammatory response occurring at least 3 months after uneventful
injection of HA dermal filler. Results: Inflammation appeared spontaneously,
usually 4-5 months after the last injection, but in 1 patient, almost 14 months
later. One patient was injected at the same time with fillers manufactured by 2
different technologies. In this case, all areas treated with the same filler
showed diffuse swelling of inflammatory nature, whereas the lips, treated with
the second filler brand, remained unaffected. Four patients reported a flu-like
illness or gastrointestinal upset a few days before the onset of dermal filler
inflammation. Conclusion: Late-onset inflammatory reactions to HA fillers may be
self-limiting but are easily and rapidly treatable with oral steroids, and with
hyaluronidase in the case of lumps. It is likely these reactions are due to a
Type IV delayed hypersensitivity response. Delayed inflammation associated with
HA fillers is nonbrand specific. However, the case where 2 different brands were
injected during the same session, but only 1 brand triggered a hypersensitivity
reaction, suggests that the technology used in the manufacturing process, and the
subsequent differing products of degradation, may have an influence on potential
allergic reactions to HA fillers.
PMID- 29632759
TI - Vascularized Thymosternal Composite Tissue Allo- and Xenotransplantation in
Nonhuman Primates: Initial Experience.
AB - Background: Vascularized composite allotransplantation is constrained by
complications associated with standard immunosuppressive strategies. Vascularized
thymus and bone marrow have been shown to promote prolonged graft survival in
composite organ and soft-tissue vascularized composite allotransplantation
models. We report development of a nonhuman primate vascularized thymosternal
composite tissue transplant model as a platform to address donor-specific immune
tolerance induction strategies. Methods: Vascularized thymosternal allograft
(skin, muscle, thymus, sternal bone) was transplanted between MHC-mismatched
rhesus monkeys (feasibility studies) and baboons (long-term survival studies),
with end-to-side anastomoses of the donor aorta and SVC to the recipient common
femoral vessels. A male allograft was transplanted to a female's lower abdominal
wall, and clinically applicable immunosuppression was given. Skin biopsies and
immunological assays were completed at regular intervals, and chimerism was
quantified using polymerase chain reaction specific for baboon Y chromosome.
Results: Four allo- and 2 xenotransplants were performed, demonstrating
consistent technical feasibility. In 1 baboon thymosternal allograft recipient
treated with anti-CD40-based immunosuppression, loss of peripheral blood
microchimerism after day 5 was observed and anticipated graft rejection at 13
days. In the second allograft, when cutaneous erythema and ecchymosis with
allograft swelling was treated with anti-thymocyte globulin starting on day 6,
microchimerism persisted until immunosuppression was reduced after the first
month, and the allograft survived to 87 days, 1 month after cessation of
immunosuppression treatment. Conclusions: We established both allo- and xeno-
composite vascularized thymosternal transplant preclinical models, which will be
useful to investigate the role of primarily vascularized donor bone marrow and
thymus.
PMID- 29632760
TI - Novel Pressure-Sensing Smart Insole System Used for the Prevention of Pressure
Ulceration in the Insensate Foot.
AB - Wounds of the foot challenge reconstructive surgeons to manage multiple factors:
sensibility, stability, and durability. In this article, we focus on the
insensate foot, which poses challenges to wound prevention with its propensity to
develop pressure ulceration. The authors present the innovative use of a pressure
sensing smart insole system (SurroSense Rx, Orpyx Medical Technologies Inc.,
Calgary, Canada) in the management of the insensate foot in a patient following
foot reconstruction. The pressure-sensing smart insole system provided unique
feedback to both patient and provider in ways that contributed to the prevention
of pressure ulcer recurrence, as well as highlight the importance of prescribed
footwear in both the affected and unaffected foot. Wearable real-time monitoring
and feedback faces the challenge of patient adherence. Future studies are
indicated to examine the specific behaviors that are associated with favorable
outcomes and long-term behavior changes.
PMID- 29632761
TI - Consensus Recommendations for Treatment Strategies in Indians Using Botulinum
Toxin and Hyaluronic Acid Fillers.
AB - Background: Indians constitute one of the largest population groups in the world.
Facial anthropometry, morphology, and age-related changes in Indians differ from
those of other ethnic groups, necessitating a good understanding of their facial
structure and the required aesthetic treatment strategies. However, published
recommendations specific to Indians are few, particularly regarding combination
treatment. Methods: The Indian Facial Aesthetics Expert Group (19 dermatologists,
plastic surgeons, and aesthetic physicians with a mean 15.5 years' aesthetic
treatment experience) met to develop consensus recommendations for the cosmetic
facial use of botulinum toxin and hyaluronic acid fillers, alone and in
combination, in Indians. Treatment strategies and dosage recommendations (agreed
by >= 75% of the group) were based on results of a premeeting survey, peer
reviewed literature, and the experts' clinical experience. Results: The need for
combination treatment increases with age. Tear trough deficiency is the most
common midface indication in Indian women aged 20-40 years. In older women, malar
volume loss and jowls are the most common aesthetic concerns. Excess medial soft
tissue on a relatively smaller midface precedes age-related sagging. Hence, in
older Indians, fillers should be used peripherally to achieve lift and
conservatively in the medial zones to avoid adding bulk medially. The shorter,
wider lower face requires 3-dimensional correction, including chin augmentation,
to achieve increased facial height and the oval shape desired by most Indian
women. Conclusions: These recommendations give physicians treating Indians
worldwide a better understanding of their unique facial characteristics and
provide treatment strategies to achieve optimal aesthetic outcomes.
PMID- 29632762
TI - A Histological Comparison of Two Human Acellular Dermal Matrix Products in
Prosthetic-Based Breast Reconstruction.
AB - Background: Acellular dermal matrices (ADMs) are an integral component of breast
reconstruction. The ideal matrix would be relatively immuno-inert, allow rapid
vascularization, and be affordable. The purpose of this study was to
histologically compare 2 commonly used ADM products. Methods: This is a
prospective histological study of 17 patients (20 breasts) following prosthetic
based breast reconstruction with ADM: Alloderm (LifeCell Corp, Branchburg, N.J.)
or Cortiva (RTI Surgical, Alachua Fla.). Biopsies were taken from the dermal
matrix and natural capsules surrounding the expander/implant during secondary
surgery [Range, 72-694 days (mean, 217 days)]. Biopsy specimens were prepared via
hematoxylin and eosin, Masson's trichrome, elastin, and transforming growth
factor (TGF)-1 stains. Quantitative analysis of staining was performed with
ImageJ software. The clinical outcome of each patient is analyzed in relation to
capsule architecture and ADM performance. Results: There were 7 breasts in the
Alloderm group and 13 in the Cortiva group. Both groups had similar demographic,
aesthetic results, and complication profiles. The TGF-1 staining demonstrated
significantly lower levels in the Cortiva capsules (P = 0.0139). The percentage
of elastin and collagen are similar in the Cortiva, Alloderm, and natural peri
implant capsules. The native capsules show a significantly greater number of
blood vessels when compared with Cortiva and Alloderm (P = 0.0371 and P = 0.0347,
respectively); however, there is no difference in vascular pattern between the 2
dermal matrices. Discussion: Postoperatively, Cortiva demonstrates equal
vascularity with less TGF-1 activation compared with Alloderm. The clinical
success and complication profile were similar between the Alloderm and Cortiva
patients.
PMID- 29632763
TI - The Superiorly Based Partial Rectus Abdominis and External Oblique Flap: A New
Technique for Breast Asymmetry Reconstruction.
AB - Breast asymmetry has a wide spectrum of presentations with several employable
strategies for surgical correction. Historically, the external oblique muscle has
proven to be a versatile flap option for the reconstruction of both local and
distant defects. It has also been described for use in breast reconstruction for
coverage of the lower pole of implant prostheses. The external oblique muscle
flap can be harvested in several ways to capture overlying fat and skin. In this
study, we describe the use of a superiorly based partial rectus and external
oblique flap for surgical correction of lower pole breast hypoplasia. This flap
provides vascularized autologous volume to the lower pole of the breast with
minimal donor morbidity. Other advantages of this flap are that it can increase
the nipple to inframammary fold distance and lower the inframammary fold. This
technique represents an evolution of an under-utilized flap and is the first
study describing the use of the external oblique flap in the management of breast
asymmetry.
PMID- 29632765
TI - Venous Anastomosis for Prevention of Venous Congestion in Distally Based Flaps.
AB - Background: Distally based flaps are useful for the treatment of skin defects of
the extremities. However, congestion in the peripheral part of the flap due to
reverse flow can cause partial flap necrosis. Previously, we reported on the
effectiveness of venous anastomoses to rescue peripheral congestion of distally
based flaps and applied this idea in a clinical setting. In this report, we
present clinical cases of distally based flaps with venous supercharge
anastomoses for changing the reverse venous flow into physiological flow, thereby
reducing venous congestion. Methods: Four patients with skin defects of the
extremities (2 cases with defects of the knee and the upper third of the lower
leg, 1 case of the lower third of the lower leg, and 1 case of the distal third
of the forearm) were treated with local flaps (2 cases with distally based
greater saphenous venoadipofascial sartorius muscle combined flaps, 1 case with a
distally based lesser saphenous venoadipofascial flap, and 1 case with a distally
based ulnar artery perforator flap). In each reconstruction, 1 or 2 veins in the
flaps were anastomosed with superficial veins in the recipient area to change the
reverse venous flow into a normal, physiologic flow. Result: All flaps healed
completely without any obvious venous congestion or flap necrosis. The coverage
quality provided by these defects was satisfactory. Conclusions: Adding venous
anastomoses may reduce the risk of venous congestion and improve the outcomes of
the distally based flaps.
PMID- 29632764
TI - Silicone Migration after Buttock Augmentation.
AB - We present the case of a 30-year-old woman who presented with enlarged inguinal
lymph nodes and sacral hyperpigmentation 4 months after gluteal augmentation with
silicone implants. Inguinal lymph node biopsy revealed granulomatous
lymphadenitis due to foreign material. Upon right buttock implant revision, a 1.5
cm-thick capsule was noted with the absence of peri-implant inflammatory fluid
and no macroscopic implant defects. Analysis of the implant by the manufacturer
revealed a microscopic silicone leak. The patient's recovery was uneventful, and
her symptoms resolved shortly after her reoperation.
PMID- 29632766
TI - Quantification of Collagen Organization after Nerve Repair.
AB - Background: Clinical outcomes after nerve injury and repair remain suboptimal.
Patients may be plagued by poor functional recovery and painful neuroma at the
repair site, characterized by disorganized collagen and sprouting axons. Collagen
deposition during wound healing can be intrinsically imaged using second harmonic
generation (SHG) microscopy. The purpose of this study was to develop a protocol
for SHG imaging of nerves and to assess whether collagen alignment can be
quantified after nerve repair. Methods: Sciatic nerve transection and epineural
repair was performed in male rats. The contralateral nerves were used as intra
animal controls. Ten-millimeter nerve segments were harvested and fixed onto
slides. SHG images were collected using a 20* objective on a multiphoton
microscope. Collagen fiber alignment was calculated using CurveAlign software.
Alignment was calculated on a scale from 0 to 1, where 1 represents perfect
alignment. Statistical analysis was performed using a linear mixed-effects model.
Results: Eight male rats underwent right sciatic nerve repair using 9-0 Nylon
suture. There were gross variations in collagen fiber organization in the
repaired nerves compared with the controls. Quantitatively, collagen fibers were
more aligned in the control nerves (mean alignment 0.754, SE 0.055) than in the
repairs (mean alignment 0.413, SE 0.047; P < 0.001). Conclusions: SHG microscopy
can be used to quantitate collagen after nerve repair via fiber alignment. Given
that the development of neuroma likely reflects aberrant wound healing, ex vivo
and/or in vivo SHG imaging may be useful for further investigation of the
variables predisposing to neuroma.
PMID- 29632767
TI - Prompt Referral in the Nonoperative Treatment of Obstetrical Brachial Plexus
Injuries.
AB - Background: Prompt physical and occupational therapy is crucial in managing
nonsurgical candidates with obstetrical brachial plexus injuries (OBPI). The
objective of our study was to identify newborns suffering from nonoperative OBPI
in need of a "fast-track" evaluation by a multidisciplinary team. Methods: This
is a retrospective review of patients with OBPI from June 1995 to June 2015. All
nonsurgical candidates (Narakas class 1) were included in the study. The Gilbert
score and the Medical Research Council grading system were used to measure
shoulder and elbow function, respectively. The relationship between shoulder and
elbow functional outcomes and time delay to consultation was studied using
analysis of variance and Welch's tests. Various subgroups were studied based on
OBPI risk factors: maternal diabetes, birth weight >4 kg, use of forceps,
asphyxia, multiple comorbidities, and Apgar score at 1 and 5 minutes. Results: A
total of 168 patients were included in this study. Mean follow-up time was 313.8
weeks (minimum: 52; maximum: 1072; SD: 228.1). A total of 19 patients had an
Apgar scores <7 at 5 minutes. Time delay between birth and the first consult to
our clinic had an impact on shoulder outcome in the subgroup of newborns with
Apgar scores <7 at 5 minutes. Conclusions: The subgroup of newborns with an Apgar
score <7 at 5 minutes shows improved long-term shoulder function when promptly
examined by an OBPI clinic. We recommend a "fast-track" referral for this time
sensitive population.
PMID- 29632768
TI - Examining Length of Hospital Stay after Microsurgical Breast Reconstruction:
Evaluation in a Case-Control Study.
AB - Background: While possessing numerous benefits, microsurgical breast
reconstruction is associated with longer operative times and post-operative
hospital length of stay compared to implant-based reconstruction. We therefore
evaluate factors associated with increased length of stay (LOS) after
microsurgical breast reconstruction with a case-control study design. Methods:
All patients undergoing immediate or delayed abdominally-based microsurgical
breast reconstruction over a two-year time period were identified. Risk factors
associated with LOS greater than or equal to 5 days were identified. Results: A
total of 116 patients undergoing immediate or delayed abdominally-based
microsurgical breast reconstruction were identified. Of these, 86 (74.1%) had a
LOS of 4 days or less (mean: 3.70 days) while 30 (25.9%) had a LOS of 5 days or
greater (mean: 5.50 days).With regards to patient demographics and intra
operative factors, patients with a LOS of 5 days or greater were significantly
more likely to have diabetes mellitus (p < 0.0001), undergo bilateral
reconstruction (p = 0.0003) and total mastectomy (p < 0.0001), and have a longer
operative time (p < 0.0001) while significantly less likely to undergo post
operative radiation (p = 0.0421). Notably, there was no significant difference
between the groups in terms of follow-up time, or time since breast
reconstruction (p = 0.0600).With regards to reconstructive complications,
patients with LOS of 5 days of greater were significantly more likely to
experience abdominal donor site abscess (p < 0.0001), breast hematoma (p =
0.0186), and return to the operating room for flap compromise (p < 0.0001).
Conclusions: Multiple patient-specific, intra-operative, and post-operative
outcomes factors are associated with increased length of stay with immediate and
delayed microsurgical breast reconstruction.
PMID- 29632769
TI - A Modified Bilhaut-Cloquet Procedure for Zigzag Thumb Polydactyly Types III and
IV.
AB - Background: A review of the English literature over the least 43 years revealed
only a total of 53 cases of Wassel types III, IV, and VII treated by the Bilhaut
Cloquet (B-C) procedure. Furthermore, the detailed results and range of motion
were only given in 2 series (a total of 9 cases). Methods: Four cases of Wassel
types III and IV thumb duplications with zigzag deformity were treated with a
modified B-C procedure. The main modification was minimal central resections at
the joints aiming for joint preservation to improve the postoperative range of
motion of the new thumb. Results: The results showed an excellent overall
functional score (Tada score of 5 of 5 in all cases). The mean range of motion at
the metacarpophalangeal joint was excellent (60 degrees ), but the mean at the
interphalangeal joint was only 20 degrees . Cosmetically, all thumbs were "too
wide" and a panel of 3 hand surgeons scored the cosmetic result (0-10 scoring
system) between 5.7 and 6.7. No secondary procedures were done, and all parents
were "very satisfied" despite the wide thumbs and split nails. This was
attributed to the presence of an ugly preoperative zigzag appearance, and hence
the comparative postoperative appearance was satisfactory. Conclusion: The
modified B-C procedure gives a satisfactory function, but the cosmetic outcome is
suboptimal.
PMID- 29632770
TI - Laterality and Left-sidedness in the Nose, Face, and Body: A New Finding.
AB - Background: Asymmetry is a common occurrence in bilaterian animals, particularly
human beings. Through examination of patients and their photographs during
rhinoplasty, we noted wider left-sided nasal and facial features in most
patients. This observation led us to hypothesize that this might be consistent to
the whole body. Methods: We conducted a study in 3 parts to test the question
above. First, we analyzed operating notes of 50 rhinoplasty patients to determine
the wider side of the upper, middle, and lower thirds of the nose. Second, we
analyzed the width of the face and chest wall in 31 patients to discern any
correlation between facial and bodily asymmetry. Third, computerized tomographic
scans of the thorax and body of 48 patients were studied to measure the width of
the hemithorax and hemipelvic bone. Results: (1) Upper vault width was wider on
left side (78%). Left middle vault width was wider (88%). The lower lateral
cartilage, lateral crura convexity was more prominent on left side (48%), and a
wider scroll area was found and trimmed in 21 (left) and 0 (right) cases. The
alar base was wider on left side (56%). (2) In the body and face analysis, 64.5%
had a wider left-sided face and body. (3) In the computed tomographic scan
analysis, same-sided thorax and pelvis asymmetry was seen (85.35%), 33 and 7 of
which were left- and right-sided, respectively. Conclusion: We observed
generalized asymmetry of the face and body with left-sided predominance.
PMID- 29632771
TI - Progressive Tightening of Pulley Sutures for Primary Repair of Large Scalp
Wounds.
AB - Scalp defects greater than 2 cm in diameter are not usually amenable to primary
closure and require local tissue rearrangement, grafting, tissue expansion, or
prolonged second intention healing. Scalp flap reconstruction is a significant
undertaking that requires elevation of a total flap surface area that is 3-6
times the size of the defect, often involves profuse bleeding, and can be
challenging to perform without conscious sedation or general anesthesia.
Anticoagulated and medically complex patients pose additional challenges and
limit options for treatment. The pulley suture uses the mechanical advantage of
the pulley to distribute tension across a wound and is useful in areas of high
tension such as scalp wounds. For scalp wounds greater than 2 cm, pulley sutures
are placed along the length of the wound. An assistant exerts equal tension on
the pulley sutures, and the surgeon sequentially ties the sutures. The sutures
are tightened and retied weekly until complete scalp closure is achieved. The
pulley sutures can be used for rapid primary closure of scalp wounds up to 2.5
3.0 cm in diameter under local anesthesia. For scalp wounds larger than 3 cm, we
have also found that pulley sutures can be progressively tightened yielding
additional tissue expansion every week. Scalp wounds greater than 3.0 cm can be
easily closed via primary repair and weekly tightening of pulley sutures without
the need for flap reconstruction, traditional tissue expander placement, or
second intention healing.
PMID- 29632772
TI - No Vertical Scar Breast Weight Transfer.
AB - Women desire beautiful breasts that are functional, pain free, and without
foreign material. Vertical scars, insufficient elevation, inadequate upper pole
fullness, nipple numbness, and loss of breast feeding are undesirable. Relieving
pain attributed to enlarged breasts has required significant tissue removal.
Software analysis of chest images, physical measurements, and desires are
combined to generate a surgical blueprint. The breast is divided horizontally
into two components preserving the neurovascular supply and major lactiferous
ducts. The skin flap cephalad to the areola provides external coverage. The
areola remains attached to a deepithelialized mound, which is rotated into a
cone. Dermal straps originating from the base of the cone are looped through the
pectoralis major muscle and the cone repeatedly. Weight transfer to the
pectoralis major muscles eliminates pain in 54% and decreases pain in 38%.
Incisions are concealed at the areola cutaneous junction and in the shadow of the
breast. Upper pole fullness increased in 86% without implants or fat transfer.
Nipple sensation was increased in 37% and unchanged in 44%. Overall results were
excellent in 50% and good in 36%. Complications consisted of dog-ears,
periareolar infection, and fat necrosis. No patient required a return to the
operation room. Combing computer-aided design with plastic surgical principles
creates beautiful, functional breasts without foreign material. Vertical scars
are avoided, and weight transfer relieves pain.
PMID- 29632773
TI - Descriptive Analysis of the Arterial Supply to the Auricle in Patients with
Unilateral Microtia.
AB - Background: Microtia is a congenital auricular deformity that occurs in 1:5,000
10,000 births. It can cause severe impairment to the patient's self-esteem and
problems regarding social integration. Multiple measures have been described in
attempt to better operative outcomes of these patients. We used computed
tomography (CT) angiography to analyze the vascular pattern of the auricular
region before surgery. Methods: Fourteen patients with unilateral microtia were
included. All underwent CT angiogram plus tridimensional reconstruction. Both
healthy and microtic auricles were analyzed descriptively in terms of main
arterial supply, pattern, diameter of subbranches, and angulation. The sample was
divided in 2 age groups for better understanding of the data. Results: Blood
supply to the auricle was found to depend on 2 main vessels: temporal superficial
artery (TSA) and its subbranches (superior, middle, and lower branch) and
posterior auricular (PA) artery. In the microtic group, TSA was the dominant
artery in 13 of 14 cases (92%). Superior, middle, and inferior branches were
present in 4, 3, and 0 cases, respectively. Three of the microtic auricles
presented supply from PA artery, from which in 1 case, it represented the only
supply to the region. Conclusions: There is wide variability in the blood supply
of both healthy and microtic auricles; however, we were able to identify some
tendencies in our sample. Further research is needed to prove the benefit of a
preoperative imaging study in these patients. Still, in our experience, we found
it useful as a complement for surgical planning.
PMID- 29632774
TI - Split Tolerance in a Murine Model of Heterotopic En Bloc Chest Wall
Transplantation.
AB - Background: Congenital and acquired chest wall deformities represent a
significant challenge to functional reconstruction and may impact feasibility of
heart transplantation for patients with end-stage organ failure. In the recent
past, the concept of replacing like-with-like tissue by using vascularized
composite allografts (VCA) has been enthusiastically employed for reconstruction
of complex tissue defects. Methods: In this study, we introduce a novel murine
model for en bloc chest wall, heart, and thymus transplantation and thereby the
use of complex tissue allografts for reconstruction of both chest wall defects
and also end-stage organ failure. Additionally, this model allows us to study the
features of combined vascularized bone marrow (VBM), thymus, and heart
transplantation on allograft survival and function. Heterotopic chest wall,
thymus, and heart transplants were performed in untreated syngeneic and
allogeneic combinations and in allogeneic combinations treated with costimulation
blockade (CTLA4-Ig and MR-1). Results: Indefinite (ie, 150 d, N = 3) graft
survival was observed in syngeneic controls. In untreated recipients of
allogeneic grafts, the skin component was rejected after 10 (+/-1) days, whereas
rejection of the heart occurred after 13 (+/- 1) days (N = 3). Costimulation
blockade treatment prolonged survival of the heart and chest wall component (130
d, N = 3) as well as the VBM niche as evidenced by donor-specific chimerism
(average: 2.35 +/- 1.44%), whereas interestingly, the skin component was rejected
after 13 (+/-1) days. Conclusion: Thus, this novel microsurgical model of VCA
combined with solid organ transplantation is technically feasible and results in
split tolerance when treated with costimulatory blockade.
PMID- 29632775
TI - A Systematic Review of Autologous Platelet-Rich Plasma and Fat Graft Preparation
Methods.
AB - Background: The addition of platelet-rich plasma (PRP) to adipose tissue may
improve fat graft survival, although graft retention rates vary markedly between
studies. To what extent this outcome heterogeneity reflects differing
methodological factors remains unknown. This systematic review aims to synthesize
and critically review methodological approaches to autologous PRP and fat
cotransplantation in both human and animal studies. Methods: In accordance with
PRISMA guidelines, Ovid MEDLINE, Scopus, and Cochrane Library databases were
searched from inception to April 2017. Data were extracted from all in vivo
studies involving autologous PRP and fat cotransplantation. A secondary aim was
to assess reporting of technical detail; authors were not contacted to provide
missing data. Results: From 335 articles, 23 studies were included in the
qualitative synthesis. Some 21 were performed in humans and 2 in rabbits. Six
studies were randomized control trials; the remainder reported on observational
data. Methods of PRP extraction and activation varied markedly between studies.
Fat graft preparation was comparatively more consistent. Methods of PRP and fat
mixing differed significantly, especially with regards to relative volume/volume
ratios. Conclusions: Our study represents the first systematic review of
methodological factors in autologous PRP and fat cotransplantation. It
demonstrates that technical factors in graft preparation and administration vary
significantly between in vivo studies. Such methodological heterogeneity may
explain observed differences in experimental and clinical outcomes. Reporting of
key procedural information is inconsistent and often inadequate. These issues
make meaningful evaluation of the PRP-enhanced fat grafting literature difficult
and may limit its translation into clinical practice.
PMID- 29632776
TI - Active Voluntary Contraction of the Ruptured Muscle Tendon during the Wide-awake
Tendon Reconstruction.
AB - Purpose: The purpose of this study was to measure the active voluntary
contraction distances of ruptured musculotendinous units during wide-awake tendon
reconstruction surgery and to investigate the relationships between active
contraction distance and the passive distraction distance and the time elapsed
before reconstruction. Methods: The passive distraction distance and active
contraction distance of 36 tendons of 22 patients who underwent forearm tendon
transfer or tendon graft during wide-awake surgery were measured. Results: The
passive distraction distance was significantly related to the active contraction
distance of the ruptured musculotendinous unit (r = 0.60, P < 0.05). The passive
distraction distance accounted for approximately half of the total excursion of
the musculotendinous unit. There was no significant correlation between each
distance and the time elapsed before reconstruction. Conclusions: The passive
distraction distance was significantly related to the active contraction distance
of the ruptured musculotendinous unit in vivo during wide-awake surgery. Active
musculotendinous unit contraction distance may be a useful index to evaluate
ruptured musculotendinous unit degeneration and donor muscle function in standard
tendon reconstruction. This study suggested that the advantages of the wide-awake
approach were not just as a clinical tool but also as an in vivo research tool.
PMID- 29632777
TI - Successfully Closing an Acquired Palatal-fistula Using a Turnover Flap from a
Previously Transferred Forearm-free-flap.
AB - Palatal fistula between the oral and nasal cavities occurs in about 20% of
palatal repairs after oncologic resection. Although healing by secondary
intention may be employed as an initial strategy, persistent nonhealing
symptomatic fistula necessitates intervention. Folded free flap used for primary
repair of palatectomy defects enables placement of epithelialized tissue on both
the oral and nasal cavities. In case of acquired palatal fistula, a turnover flap
can be easily created, based on the free margin of the folded forearm free flap
to serve as a reconstructive lifeboat.
PMID- 29632778
TI - Efficacy of Tensed and Straight Free Jejunum Transfer for the Reduction of
Postoperative Dysphagia.
AB - Background: Free jejunal transfer (FJT) is a standard method of reconstruction
after total pharyngo-laryngo-cervical esophagectomy (TPLE) in patients with
advanced head and neck cancer. However, it is related to various degrees of
postoperative swallowing dysfunction. This study aimed to assess whether the
tensed and straight FJT method results in a reduced rate of postoperative
dysphagia compared with historical controls. Methods: Patients who were
undergoing FJT after TPLE for squamous cell carcinoma of the hypopharynx or
cervical esophagus were enrolled. The primary endpoint was the rate of not
developing dysphagia within 6 months of the surgery, and we compared this value
with that obtained from historical data of patients who underwent FJT. The
secondary endpoint was the rate of developing surgical complications. Results:
Although 128 patients were registered between August 2012 and July 2015, 7 were
excluded based on the exclusion criteria. Of the remaining 121 patients, FJT with
the craniocaudally tensed and straight method was performed in all patients. The
rate of not developing dysphagia and its 95% confidence interval (CI) were 66.1%
and 57.0-74.5%, respectively. The lower limit of the CI was higher than the
prespecified threshold value of 50.0%. The rate of developing complications of
total necrosis of the jejunum was 3.3%, cervical infection was 9.9%, and major
anastomotic leakage was 4.1%. Conclusions: Our findings revealed that the
proportion of postoperative dysphagia decreased in patients who underwent tensed
and straight FJT. This method may become the standard surgical method in
reconstruction of defects after TPLE.
PMID- 29632779
TI - Vascular Reconstruction in a Neonate after Iatrogenic Injury during Cardiac
Catheterization.
AB - As technology and interventional techniques continue to evolve, both the volume
and complexity of cardiac catheterizations will increase, leading to a rise in
the number of complications. One of the most morbid complications of cardiac
catheterization is vascular injury. We report the case of a 31-day-old, 3.0-kg
infant with hypoplastic left heart syndrome who experienced a left common iliac
artery disruption during cardiac catheterization resulting in a retroperitoneal
hemorrhage. The extent of the vascular injury combined with the vessel caliber
posed a technically challenging surgical scenario. Ultimately, the vascular
supply to the left lower extremity was reconstructed by the plastic surgery team
with a reverse autologous vein graft. To our knowledge, this multidisciplinary
approach with the involvement of plastic surgery represents a unique case.
PMID- 29632780
TI - Internal Mammary Vessels' Impact on Abdominal Skin Perfusion in Free Abdominal
Flap Breast Reconstruction.
AB - Background: Wound healing problems at the donor site in free abdominal flap
breast reconstruction cause significant morbidity to patients. No studies have
investigated what impact the use of the internal mammary artery in free abdominal
flap breast reconstruction has on abdominal skin perfusion. We hypothesized that
harvesting the internal mammary vessels (IMV) has a negative effect on abdominal
skin perfusion. Methods: The abdomen and anterior thoracic wall of 17 patients
scheduled for secondary free abdominal flap breast reconstruction using IMV was
pre-, intra-, and postoperatively examined with dynamic infrared thermography.
Qualitative and quantitative analyses of the rate and pattern of recovery in
Huger's vascular zones were made with each patient being its own control. Zone
III on the side where IMV were used was numbered zone IV. The contralateral zone
III was used as reference. Results: Postoperative abdominal skin perfusion in
zone IV was always significantly reduced compared with zone III (1-tailed t test,
P < 0.05). The difference between zones II and III was statistically significant
for day 1 and 3, but not for day 6 (2-tailed t test, P < 0.05). Skin perfusion in
zones II and IV increased during consecutive postoperative days with an increase
of hot spots in these areas. Conclusions: Using the IMV in free abdominal flap
breast reconstruction had a significant effect on abdominal skin perfusion and
may contribute to abdominal wound healing problems. The reperfusion of the
abdominal skin was a dynamic process showing an increase in perfusion in the
affected areas during the postoperative days.
PMID- 29632781
TI - Combining Autologous and Prosthetic Techniques: The Breast Reconstruction Scale
Principle.
AB - Background: The latissimus dorsi (LD) flap remains a good option for immediate or
delayed breast reconstruction. The main limitation of this flap is the small
volume provided. To improve the reconstructed breast volume, the LD flap is
usually combined with a breast implant. Recently, fat grafting to the LD flap was
described to maximize flap volume and obtain a totally autologous breast
reconstruction. We report our experience with hybrid breast reconstruction using
both breast implants and fat-enriched latissimus dorsi (FELD) flaps. Methods:
Between 2013 and 2016, 74 patients underwent breast reconstruction with FELD
flaps only or FELD flaps combined with a breast implant. The LD flap was
harvested as previously described. Donor sites for fat harvesting were chosen
according to each patient's natural fat distribution. Fat was harvested,
centrifuged, and injected into the LD flap. After fat grafting, breast sizers
were employed to determine the final breast volume when the addition of an
implant was indicated. Results: Good cosmetic outcomes were achieved in all
cases, with a mean follow-up of 2.1 years. No patients had cancer reoccurrences.
Four patients experienced a seroma of the LD donor site, 1 had a breast hematoma,
and 1 developed Baker grade III capsular contracture. One year postoperatively, a
clinically relevant area of fat necrosis was observed in 1 patient and was
surgically treated. Additional fat grafting sessions were required in 3 cases.
Conclusion: In elected cases, a FELD flap alone or in combination with a small
implant is a valuable technique for breast reconstruction surgery.
PMID- 29632782
TI - Ultrasound Diagnosis and Treatment of Breast Lumps after Breast Augmentation with
Autologous Fat Grafting.
AB - Background: Breast augmentation with autologous fat has been performed in Japan
for over 30 years. However, complications include breast lumps and oil cysts.
Such breast lumps greatly reduce patient satisfaction, and are currently
difficult to diagnose and treat for many cosmetic surgery clinics. This study
aimed to elucidate the effectiveness of ultrasound diagnosis and treatment of
patients with breast lumps after breast augmentation with autologous fat
grafting. Methods: We used diagnostic and therapeutic ultrasound to examine 256
patients with breast lumps between April 2012 and April 2017. We determined the
nature, size, and location of the maximal lump. Breast lumps were classified into
five types: cystic, complex, solid, calcification, and unclassifiable. The method
of treatment (including fine-needle aspiration, VASER liposuction, lumpectomy,
and extended lumpectomy) was selected according to the lump type, and the
efficacy of treatment was determined by postoperative palpation and ultrasound.
Results: A total of 198 patients (198/256, 77%) requested treatment. Cystic lumps
(79/256, 31%) were treated by fine-needle aspiration. VASER liposuction was used
to treat complex (64/256, 25%) and solid lumps (50/256, 19%). Calcification
(58/256, 23%) and unclassifiable lumps (5/256, 2%) were removed via periareolar
incision. There were no serious complications. In all cases, the lumps were no
longer palpable after treatment, and ultrasound showed that they had either
contracted or disappeared. Conclusions: The appropriate treatment for breast
lumps after breast augmentation with autologous fat grafting must be selected
according to the nature of the lumps. Ultrasound is essential for diagnosing the
breast lump type and determining the best treatment.
PMID- 29632783
TI - Intentional Lower Pole Rotation of Anatomic Breast Implants in Chest Wall
Deformities.
AB - Several methods have been described for the correction of congenital thoracic
wall deformities. Our aim was to investigate the feasibility and clinical results
of using standard anatomic breast implants with modified anatomic positioning
according to the defect in congenital thoracic wall deformities. Between 2014 and
2015, 5 patients diagnosed with pectus excavatum (PE, n = 4) or pectus carinatum
(PC, n = 1) and breast asymmetry or hypoplasia were evaluated. In all patients, a
submammary incision and dual-plane subpectoral placement of texturized, anatomic
implants were performed. In patients with PE, the lower pole of the implant was
positioned medially to compensate for the caved chest. In patients with PC, the
lower pole of the anatomic implant was positioned laterally to compensate for the
prominent sternum. Outcome measures were satisfaction, minor and major
complications, and morbidity. The mean surgery time was 95 +/- 14 minutes, and
the mean implant volume was 287 +/- 56 cm3 (273 +/- 60 cm3 on the right side and
305 +/- 60 cm3 on the left side). After a median follow-up of 25 months (range: 2
35), all patients healed uneventfully, and a satisfactory correction of the
thoracic wall deformity was achieved. Thus, by adjusting the lower pole of
anatomic breast implants in a horizontal plane according to the thoracic defect,
we showed satisfactory results. Our technique has a low complication rate and can
be recommended for the correction of mild to moderate PE or PC.
PMID- 29632784
TI - Autologous Fat Transfer for Facial Rejuvenation: A Systematic Review on
Technique, Efficacy, and Satisfaction.
AB - Background: Parallel to the steady decline in surgical aesthetic procedures to
the face, dermal fillers seem to have gained a more prominent place in facial
rejuvenation over the last couple of years. As a dermal, facial filler,
autologous fat transfer (AFT) seems to have real potential because of the
biocompatibility of adipose tissue besides being a procedure with few and
primarily minor complications. This systematic review aims to evaluate the
available evidence regarding the safety and effectiveness of AFT for facial
rejuvenation. Method: A systematic review after the Preferred-Reporting-Items-for
Systematic-Reviews-and-Meta-Analysis (PRISMA) statement was conducted. MEDLINE,
Embase, and Cochrane Library were searched up to December 2016, with no language
restrictions imposed. Case series, cohort studies, and randomized controlled
trials (RCTs) reporting on relevant outcomes were included. Results: Eighteen
clinical articles were included, reporting on 3,073 patients in total over a mean
follow-up period of 13.9 months. Meta-analysis showed an overall complication
rate of 6% (95% CI 3.0-14.0), with hematoma/ecchymosis (5%), fat necrosis/oil
cysts (2%), and irregular fat distribution and scars (both 2%) being among the
most reported. No major complications were reported, and the overall patient
satisfaction rate was 81%. Conclusion: Although the evidence in this systematic
review is still limited and plagued by heterogeneity between studies, AFT seems
to be a promising method in facial rejuvenation with fewer complications than
other fillers and high patient satisfaction rates. Further large-cohort,
preferably multicenter, RCTs should substantiate these results through
quantifiable volumetric assessment tools and validated patient questionnaires,
while adhering to predetermined nomenclature in terms of complications.
PMID- 29632785
TI - Complete Lower Lip Reconstruction with a Large Lip Switch Flap and a Composite
Modiolus Advancement Flap.
AB - Total loss of the lower lip is debilitating and poses a reconstructive challenge.
Aiming to restore oral continence and function and also cosmetic appearance, a
successful reconstruction has a huge impact on the quality of life for the
individual patient. Early sources of local tissue rearrangement for lip
reconstruction date back 3000 years, with earliest reports of lip switch
procedures more than 2 centuries ago in Europe, when noma was still endemic in
Europe, indicating that the anatomy was better understood by the barber surgeons
of the past than we like to acknowledge. We are still faced with such challenging
cases all over the world where resources are limited. Our current understanding
of perforator anatomy and blood supply makes more frequent revisits to flaps of
the past with modern advances. Innovative solutions are imperative for salvage,
and old ideas tend to reappear when they prove to be useful. Herein, we describe
in open access a new reconstructive method where we combined a large lip switch
flap together with a composite advancement modiolus flap to reconstruct a whole
lower lip and the donor defect of the upper lip all at once, a procedure that is
simple to perform and works in settings where it is greatly needed.
PMID- 29632786
TI - Aesthetic Refinements after Radial Free Flap Phalloplasty: Optimizing the Donor
Site and the Phallus.
AB - Background: Phalloplasty with radial forearm flap is the gold standard for female
to-male sex reassignment surgery. However, it leaves a stigmatizing forearm scar,
and as the new phallus is created with the forearm's skin and fat, it does not
look like that of a biological man. The aesthetic appearance of the donor site
and the neophallus can be optimized after phalloplasty. In this study, we review
refinement techniques (RTs) performed after radial forearm flap phalloplasty.
Methods: We present a historical cohort of patients who underwent the following
RTs: forearm fat grafting and localized laser in the forearm's scars, and
micropigmentation of the neophallus. Patient's aesthetic satisfaction was
evaluated using the Patient and Observer Scar Assessment Scale for the forearm's
refinements and the Male Genital Self-Image Scale for the neophallus. Results:
Between January 2014 and January 2016, 8 patients underwent forearm fat grafting
with localized laser, and 7 patients micropigmentation of the neophallus after
radial flap phalloplasties. All Patient and Observer Scar Assessment Scale
parameters showed a statistically significant reduction between preoperative and
postoperative values. Male Genital Self-Image Scale survey showed that all
patients felt positively about their genitals after micropigmentation. Patients
who underwent refinement procedures were highly satisfied with the aesthetic
outcome and felt more confident. They also claim that they would choose to have
the refinement procedure done again. Conclusions: The proposed RTs are minimally
invasive interventions to complement and enhance female-to-male sex reassignment
surgery with very few complications and excellent aesthetic results of both the
forearm scars and the constructed phallus.
PMID- 29632787
TI - Foreign Body Reaction Mimicking Sarcoma.
AB - Foreign body reaction can sometimes mimic the features of sarcoma. A 42-year-old
patient was referred to our department for a rapidly growing subcutaneous mass in
the posteromedial aspect of the lower leg. Ultrasonography and magnetic resonance
imaging were highly suggestive for soft tissue sarcoma. The patient underwent
surgical resection. The histho-pathologic diagnosis found that the supposed
sarcoma was an inflammatory pseudo-tumor, also referred to as "gossypiboms".
Surgeons must be aware that, in some cases, the imaging cannot reliably
distinguish between sarcoma and foreign body reaction.
PMID- 29632788
TI - Biodynamic Excisional Skin Tension Lines for Excisional Surgery of the Lower Limb
and the Technique of Using Parallel Relaxing Incisions to Further Reduce Wound
Tension.
AB - Background: Closing of defects of the lower limb after skin cancer excision poses
a challenge in lower limb skin. Although the concept of relaxed skin tension
lines has been established as ideal on the face, on the lower limb there remains
confusion between Langer's lines and wrinkle lines, and this study was done with
the task of identifying and mapping biodynamic excisional skin tension (BEST)
lines on the lower limb. Methods: Following studies on BEST lines elsewhere on
the body, the author investigated BEST lines on the lower limb. Using a specially
designed tensiometer, inherent skin tension (pretension) and wound-closing
tension were studied along with the force needed to bring the wound edges
together after excision of a lesion in 23 cases with defects ranging from 1.5 to
4.5 cm in diameter. Skin tension measurements of skin lines (relaxed skin tension
lines (RSTL) versus BEST) and vertical closure (BEST) versus vertical closure
with a parallel relaxing incision were undertaken and followed by statistical
analyses utilizing the paired t test and the unpaired Student's t tests. Results:
BEST lines run in the vertical direction on the lower limb, that is, closure in a
vertical direction resulted in a statistically significant reduction in closing
tension when compared with other directions. Using a parallel relaxing incision
can offer further reduction in tension, if needed. Conclusion: BEST lines of the
lower limb are discussed, and a new technique of using parallel relaxing
incisions to achieve closure of larger defects is also presented as an
alternative to cutaneous flaps or grafts in the lower limb.
PMID- 29632789
TI - Changes in Sunken Eyes Combined with Blepharoptosis after Levator Resection.
AB - Background: This study aims to report the changes in sunken eyes combined with
blepharoptosis after levator resection. Methods: Analysis involved 60 eyes from
32 patients with sunken eyes combined with blepharoptosis. Advancement of the
levator aponeurosis and the Muller's muscle complex (levator resection) was
performed in these patients. Area of upper eyelid sulcus (AES) was defined as the
area of the upper eyelid shadow. The digital images were converted to black and
white using image-processing software (Adobe Photoshop), and the AES was
calculated using ImageJ software. In addition, margin reflex distance, eyebrow
height (EBH), and AES were measured before and 3 months after surgery to assess
the changes in the eyelids. Results: Preoperative AES was significantly
correlated to age (P < 0.0001; r = 0.8062). Sunken eyes were remarkably improved
after levator resection in all patients. Mean margin reflex distance
significantly increased, whereas mean EBH and mean AES significantly decreased at
3 months after surgery (P < 0.0001). The AES change was significantly correlated
to the EBH change (P < 0.0001; r = 0.5184). Conclusions: The principal aim of
levator resection is to improve upper eyelid height and visual fields; however,
this technique can alter the location of the eyebrow and upper orbital fat. The
effects fill the hollowness of the upper eyelid and can remarkably improve sunken
eyes.
PMID- 29632790
TI - Use of Multidirectional Cranial Distraction Osteogenesis for Cranial Expansion in
Syndromic Craniosynostosis.
AB - Patients with syndromic craniosynostosis often require a large amount of cranial
expansion to avoid intracranial hypertension, but the surgical procedure remains
controversial. A patient of severe syndromic craniosynostosis with multiple bony
defects and anomalous venous drainage at the occipital region was treated by
multidirectional cranial distraction osteogenesis (MCDO) at the age of 8 months.
Distraction started 5 days after surgery and ceased on postoperative day 16. The
distraction devices were removed 27 days after completing distraction. After
device removal, the increase of intracranial volume was 155 ml and the cephalic
index was improved from 115.5 to 100.5. The resultant cranial shape was well
maintained with minimal relapse at postoperative 9 months. In cases of syndromic
craniosynostosis with multiple bony defects and/or anomalous venous drainage at
the occipital region, expansion of the anterior cranium by MCDO is a viable
alternative to conventional methods.
PMID- 29632791
TI - A Simple and Inexpensive Postoperative Shield Dressing in Simultaneous
Reconstruction of Nipple-Areola Complex.
PMID- 29632792
TI - Qualitative and Quantitative Analysis of Smile Excursion in Facial Reanimation: A
Systematic Review and Meta-analysis of 1- versus 2-stage Procedures.
AB - Background: Free functional muscle transfer has become a common treatment
modality for smile restoration in long-lasting facial paralysis, but the
selection of surgical strategy between a 1-stage and a 2-stage procedure has
remained a matter of debate. The aim of this study was to compare the
quantitative and qualitative outcomes of smile excursion between 1-stage and 2
stage free muscle transfers in the literature. Methods: A comprehensive review of
the published literature between 1975 and end of January 2017 was conducted.
Results: The abstracts or titles of 2,743 articles were screened. A total of 24
articles met our inclusion criteria of performing a quantitative or qualitative
evaluation of a free-functioning muscle transfer for smile restoration. For the
purpose of meta-analysis, 7 articles providing quantitative data on a total of
254 patients were included. When comparing muscle excursion between 1-stage and 2
stage procedures, the average range of smile excursion was 11.5 mm versus 6.6 mm,
respectively. For the purpose of systematic review, 17 articles were included.
The result of the systematic review suggested a tendency toward superior
functional results for the 1-stage procedure when comparing the quality of smile.
Conclusions: The results of this review must be interpreted with great caution.
Quantitative analysis suggests that 1-stage procedures produce better excursion
than 2-stage procedures. Qualitative analysis suggests that 1-stage procedures
might also produce superior results when based on excursion and symmetry alone,
but these comparisons do not include one important variable dictating the quality
of a smile-the spontaneity of the smile. The difficulty in comparing published
results calls for a consensus classification system for facial palsy.
PMID- 29632793
TI - Surgical Management of Massive Lower Extremity Lymphedema Secondary to
Castleman's Disease.
PMID- 29632795
TI - A Giant Neurovascular Lower Limb Fillet Flap Can Simultaneously Cover Pelvic and
Abdominal Defects.
AB - The first description of simultaneous pelvic and abdominal soft-tissue
reconstruction with a giant lower limb fillet flap after hip exarticulation and
open abdomen is presented. The unfortunate circumstances of a 67-year-old female
patient are described leading to soft-tissue necrosis over a periprosthetic femur
fracture and open abdomen after emergency implantation of an aortic bifemoral Y
prosthesis because of thrombotic obliteration of the aortic bifurcation. After
removal of the hip prosthesis, the neurovascular pedicled myocutaneous fillet
flap of the entire left leg was raised and folded proximally at the level of the
exarticulated joint of the hip and set into the pelvic and abdominal defect. A
giant pedicled neurovascular myocutaneous fillet flap raised over the entire
lower extremity is a safe, effective, durable, and sensation-preserving treatment
to reconstruct combined pelvic and abdominal soft-tissue defects.
PMID- 29632794
TI - Efficacy of Vancomycin-based Continuous Triple Antibiotic Irrigation in
Immediate, Implant-based Breast Reconstruction.
AB - Background: Single irrigation of the peri-implant space with a cefazolin-based
triple antibiotic solution is a routine antibiotic prophylaxis measure during
implant-based breast augmentation and reconstruction. Cefazolin, however, is less
efficacious against resistant Staphylococcus species, which are the predominant
bacterial species isolated from the peri-implant space. Vancomycin is effective
against resistant Staphylococcus species and may be a more appropriate
prophylactic agent. The availability of single-injection long-acting anesthetic
agents allows the novel use of the elastomeric infusion pump for continuous
irrigation of antibiotic solution into the peri-implant space. The efficacy of
continuous irrigation with a vancomycin-based solution is evaluated here.
Methods: Study patients (N = 163; group 1) who underwent immediate, direct-to
implant breast reconstruction received continuous infusion of a vancomycin-based
triple antibiotic solution. Patients also received a single injection of
liposomal bupivacaine in the pectoralis major/minor muscles for pain control. A
historic control group (N = 113; group II) received ropivacaine local anesthetic
via the infusion pump and a single intraoperative irrigation of the peri-implant
space with the vancomycin-based triple antibiotic solution. Incidence of
postsurgical infection during the 6 weeks after surgery was compared between the
groups. Results: Group I patients had a statistically significant lower incidence
of infections (1.9%) than group II patients (6.4%) (P = 0.007). There were no
vancomycin-related adverse effects. Conclusions: Continuous breast irrigation
with a vancomycin-based triple antibiotic solution is a safe and effective
accompaniment for immediate implant reconstruction. Use of intramuscular
anesthetic injection for postoperative pain control allows the elastomeric
infusion pump to be available for local tissue antibiotic irrigation.
PMID- 29632796
TI - State of Plastic Surgery in Brazil.
PMID- 29632797
TI - A New Pattern of the Sural Nerve Added to "Anatomy of the Sural Nerve: Cadaver
Study and Literature Review".
PMID- 29632798
TI - Monobloc Reconstruction of Dome, Medial Crura, and Columella with Gamma-Shaped
Costal Cartilage Graft.
AB - In severe nasal deformities, the original cartilages are removed, or they become
unusable because of previous operations. Costal cartilage (CC) is one of the most
important tools for the replacement of deficient nasal osteocartilaginous
framework. In 4 secondary and 1 tertiary rhinoplasty cases with severe
deformities of medial and lateral crura of the lower lateral cartilages, we have
prepared a long strut graft from a CC and then split the graft tip 5-6 mm
vertically into 2 equal halves to create a gamma (Upsilon)-shaped strut graft. We
have sutured the base of this graft to the nasal spine and/or the bases of the
medial crural remnants. Then, we have prepared lateral crural grafts and secured
the grafts over lateral crural remnants. Then we curved the split tip winglets of
the Upsilon-shaped strut graft to both sides and sutured them to lateral crural
grafts in order to create a new dome. Splitting of the CC strut graft reduces the
need for extensive suturing at the tip, obtains smoother contours and ensures
graft economy, and provides an original and stable dome shape. The bending
capacity of the CC is limited in middle-aged patients. Costal allografts from a
young cadaver can be a good alternative. Upsilon-shaped costal crural graft is
useful for medial crural and domal monobloc reconstruction in secondary and
tertiary cases.
PMID- 29632799
TI - Prepectoral Implant-Based Breast Reconstruction and Postmastectomy Radiotherapy:
Short-Term Outcomes.
AB - Background: Prosthetic breast reconstruction in the setting of radiotherapy is
associated with poor outcomes. Until recently, prosthetic breast reconstruction
was predominantly performed by placing the prosthesis in a subpectoral space.
Placement of the prosthesis in a prepectoral space is currently emerging as a
simpler, alternative approach to subpectoral placement. The impact of
postmastectomy radiotherapy (PMRT) on prepectoral reconstruction has not yet been
specifically assessed. This study compared the outcomes of patients who underwent
immediate, direct-to-implant, or 2-staged, prepectoral breast reconstruction
followed by PMRT with those from patients who did not receive PMRT. Methods:
Patients with well-perfused skin flaps and without contraindications, including
uncontrolled diabetes-mellitus, previous irradiation, and current tobacco use,
were offered the prepectoral approach. Following implant or expander placement,
patients underwent planned or unplanned radiotherapy. Complications after each
stage of reconstruction were recorded. Results: Thirty-three patients underwent
52 breast reconstructions via the prepectoral approach. Sixty-five percentage of
the breasts were irradiated, including 21% after expander and 44% after implant
placement. Patients were followed for a mean of 25.1 +/- 6.4 months. Complication
rate in irradiated breasts was 5.9% (1 incidence of seroma and 1 incidence of
wound dehiscence followed by expander removal) and 0% in nonirradiated breasts.
Capsular contracture rate was 0% in both irradiated and nonirradiated breasts.
Conclusions: Immediate implant-based prepectoral breast reconstruction followed
by PMRT appears to be well tolerated, with no excess risk of adverse outcomes, at
least in the short term. Longer follow-up is needed to better understand the risk
of PMRT in prepectorally reconstructed breasts.
PMID- 29632800
TI - Unilateral Straight Hair-A Symptom of Acquired Horner's Syndrome in a Neonate.
AB - A multicystic tumor of the right neck was detected in a girl at 29 weeks of
gestation by fetal ultrasound and magnetic resonance imaging (MRI). The baby was
delivered by cesarean section at week 37 of gestation. The newborn adapted well,
with minimal compromise of breathing and drinking. Postnatal ultrasound and MRI
revealed a cervical lymphangioma measuring 60.5 * 60.6 * 41.2 mm. We performed
subtotal resection of the tumor when the girl was 34 days. As a complication of
surgical resection, the girl developed ipsilateral Horner's syndrome. In the
postoperative period, her curled hair turned straight at the side of the head
affected by Horner's syndrome. At the age of 2.5 years, ultrasonic imaging
revealed the presence of three cysts measuring 3 mm in diameter each. Horner's
syndrome had improved, and the texture of the girl's hair had become curly again
on both sides.
PMID- 29632801
TI - Cilostazol for the prevention of pneumonia: a systematic review.
AB - Background: Pneumonia is a very common disease, especially among the elderly.
Various drugs' preventive effects against pneumonia have been reported. The
antiplatelet drug cilostazol is used to prevent pneumonia, but the robustness of
its efficacy is unclear. This review estimates the effectiveness of cilostazol
for preventing pneumonia in elderly individuals. Methods: The following databases
were searched from the earliest record to January 2016, without language
restriction (the secondary search was conducted on February 2017): MEDLINE,
Cochrane Library, CINAHL, and Ichushi-Web. Studies were included if they were
published randomized controlled trials investigating the preventive effect of
cilostazol on pneumonia in the elderly. The outcome was the incidence of
pneumonia. Results: Two trials were identified that met the search criteria (1423
participants). Both trials compared cilostazol with no antiplatelet in patients
with a history of cerebral infarction. A meta-analysis was not performed because
of the small number of trials and the heterogeneity of the data. Both trials
suggested that cilostazol reduced the incidence of pneumonia (risk ratio [RR]
0.40; 95% confidence interval [CI] 0.22-0.73 in one trial, RR 0.20; 95% CI 0.06
0.69 in the other) and the recurrence of cerebral infarction (0.43; 0.21-0.90,
0.53; 0.34-0.81, respectively). The quality of evidence provided by the trials
was very low, mainly because of the high risk of bias. Conclusions: It is
difficult to draw conclusions on the basis of two trials. Moreover, in the two
trials, cilostazol could have reduced the incidence of pneumonia via a reduction
of the recurrence of cerebral infarction, which suggests that other antiplatelets
could also have the same effects. Stronger evidence is required from large trials
assessing the effectiveness of cilostazol for the prevention of pneumonia. Trial
registration: PROSPERO (CRD42016036724).
PMID- 29632802
TI - Environment perception and leisure-time physical activity in Portuguese high
school students.
AB - This study aims to analyze the association between perceived environmental
factors and leisure-time physical activity (PA) among adolescents of both
genders. Data were collected in autumn of 2011 from 866 adolescents (412 girls
and 454 boys) 12- to 18-years-old, from four Portuguese cities. Perception of
environmental factors was assessed by Assessing Levels of Physical Activity and
Fitness (ALPHA) questionnaire (Spittaels et al., 2010, IJBNPA). PA was assessed
by a questionnaire that evaluated PA during leisure-time and participants were
assigned into the following categories: sedentary; low active; moderate active;
and very active. Boys presented higher levels of structured PA, frequency and
intensity of PA, and level of sport competition than girls (p < 0.001). Distance
to local facilities (p = 0.001 for girls; p < 0.001 for boys) and home
environment (p = 0.004 for girls; p = 0.015 for boys) were negatively associated
with PA. Total, cycling and walking infrastructures (p < 0.001), as well as
cycling and walking network (p = 0.049) and connectivity (p = 0.034) showed a
positive association with PA in boys. Analysis of variance showed significant
differences between girls' PA regarding aesthetics (p = 0.013), study environment
(p = 0.023), home environment (p = 0.014) and whether it is pleasant or not (p =
0.023). Differences between boys' PA were observed for distance to local
facilities (p = 0.003), total, cycling and walking infrastructures (p < 0.001)
and home environment (p = 0.002). This study results support that some
environmental factors are associated with adolescents' PA levels, with relevant
differences between genders. Therefore, these gender differences must be taken
into account, in order to increase levels of PA in Portuguese youth, especially
in girls.
PMID- 29632803
TI - Monitoring how changes in pedagogical practices have improved student interest
and performance for an introductory biochemistry course.
AB - This study describes feedback on the effects of changes introduced in our
teaching practices for an introductory biochemistry course in the Life Sciences
curriculum. Students on this course have diverse educational qualifications and
are taught in large learning groups, creating challenges for the management of
individual learning. We used the constructive alignment principle, refining the
learning contract and re-drafting the teaching program to introduce active
learning and an organization of activities that promotes the participation of all
the students and helps their understanding. We also created teaching resources
available through the university virtual work environment. Our research aimed to
measure the effects of those changes on the students' success. Monitoring of the
student performance showed a continuous increase in the percentage of students
who passed the course, from 2.13% to 33.5% in 4 years. Analysis of student
perceptions highlighted that the teaching methodology was greatly appreciated by
the students, whose attendance also improved. The recent introduction of clickers
questions constituted a complementary leverage. The active involvement of the
students and better results for summative assessments are altogether a strong
motivation for teaching staff to continue to make improvements.
PMID- 29632804
TI - MicroRNA profiling during directed differentiation of cortical interneurons from
human-induced pluripotent stem cells.
AB - Induced pluripotent stem cells (iPSCs) are useful for modeling neuron development
and related diseases. Cortical interneurons are essential players in
neuropsychiatric diseases such as autism. miRNAs are a class of pivotal
regulators in neural differentiation. Using a previously established model of
cortical interneuron differentiation from human embryonic stem cells, we profiled
miRNAs involved in differentiation from human iPSCs. A number of miRNAs were
modulated in the differentiation process. This study captured the temporal in
vitro neurogenesis from iPSCs to mature cortical interneurons. The specific
miRNAs identified at each stage of differentiation are of potential use for drug
discovery and prospective clinical applications.
PMID- 29632805
TI - Inhibition of endothelial nitric oxide synthase in cholangiocarcinoma cell lines
a new strategy for therapy.
AB - The isoform of nitric oxide synthase (NOS) found in endothelial cells (eNOS)
plays a crucial role in vasodilation. We recently reported the activation of eNOS
in cholangiocarcinoma (CCA) tissues and cell lines. Moreover, we also reported
that the abundance of eNOS and phosphorylated eNOS (p-eNOS), as well as its
upstream regulator proteins, is significantly associated with the metastatic
status of CCA patients. However, the function of eNOS in CCA progression has not
been addressed. Therefore, the present study aimed to investigate the function of
eNOS involved in the migration and invasion ability of CCA cell lines. The
results reveal that eNOS activation significantly increases migration and
invasion ability of CCA cells via the up-regulation of phosphorylated vasodilator
stimulated protein (p-VASP). A combination treatment with recombinant human
vascular endothelial growth factor C and eNOS inhibitor (Nomega-nitro-l-arginine
methyl ester hydrochloride) resulted in the down-regulation of p-VASP, as well as
a decreased migration and invasion ability of the CCA cell line. Thus, this work
suggests that eNOS can serve as an attractive target to inhibit the progression
of CCA.
PMID- 29632806
TI - A small-molecule PAI-1 inhibitor prevents bone loss by stimulating bone formation
in a murine estrogen deficiency-induced osteoporosis model.
AB - Osteoporosis is a progressive bone disease caused by an imbalance between bone
resorption and formation. Recently, plasminogen activator inhibitor-1 (PAI-1) was
shown to play an important role in bone metabolism using PAI-1-deficient mice. In
this study, we evaluated the therapeutic benefits of novel, orally available
small-molecule PAI-1 inhibitor (iPAI-1) in an estrogen deficiency-induced
osteoporosis model. Eight-week-old C57BL/6J female mice were divided into three
groups: a sham + vehicle (Sham), ovariectomy + vehicle (OVX + v), and OVX + iPAI
1 (OVX + i) group. iPAI-1 was administered orally each day for 6 weeks starting
the day after the operation. Six weeks of iPAI-1 treatment prevented OVX-induced
trabecular bone loss in both the femoral bone and lumbar spine. Bone formation
activity was significantly higher in the OVX + i group than in the OVX + v and
Sham groups. Unexpectedly, OVX-induced osteoclastogenesis was partially, but
significantly reduced. Fluorescence-activated cell sorting analyses indicated
that the number of bone marrow stromal cells was higher in the OVX + i group than
that in the OVX + v group. A colony-forming unit-osteoblast assay indicated
enhanced mineralized nodule formation activity in bone marrow cells isolated from
iPAI-1-treated animals. Bone marrow ablation analysis indicated that the
remodeled trabecular bone volume was significantly higher in the iPAI-1-treated
group than that in the control group. In conclusion, our results suggest PAI-1
blockade via a small-molecule inhibitor is a new therapeutic approach for the
anabolic treatment of postmenopausal osteoporosis.
PMID- 29632807
TI - PSMD7 downregulation induces apoptosis and suppresses tumorigenesis of esophageal
squamous cell carcinoma via the mTOR/p70S6K pathway.
AB - PSMD7, a 19S proteasome subunit, is overexpressed in most carcinoma cells. It
forms a dimer with PSMD14 that functions in the removal of attached ubiquitin
chain. However, there is little knowledge about the cellular mechanism of PSMD7
and its exact biological function, especially in cancer cells. In this study, we
explored the role of PSMD7 in proliferation, cell cycle, apoptosis, and
proteasomal proteolysis in the esophageal squamous cell carcinoma (ESCC) cell
line EC9706. Our results showed that PSMD7 was highly expressed in ESCC cells.
Downregulation of PSMD7 by lentivirus-mediated shRNA led to decreased
proliferation, increased cell apoptosis, and reduced proteasomal function.
Notably, lower expression level of mTOR and p70S6K and suppressed activity of
mTOR/p70S6K pathway were detected after PSMD7 downregulation. By contrast,
increased expression of p-mTORSer2448 and p-p70S6KThr421/Ser424 was discovered
upon PSMD7 overexpression in Het-1A cells. Furthermore, PSMD7 downregulation
contributed to decelerated tumor growth, inhibition of proteasomal function,
induced cell apoptosis and attenuated activity of mTOR/p70S6K pathway in vivo.
These findings suggest that PSMD7 and the mTOR/p70S6K pathway may be a promising
candidate for developing therapies for ESCC.
PMID- 29632808
TI - Profiling expression of coding genes, long noncoding RNA, and circular RNA in
lung adenocarcinoma by ribosomal RNA-depleted RNA sequencing.
AB - : Noncoding RNA play important roles in various biological processes and
diseases, including cancer. The expression profile of circular RNA (circRNA) has
not been systematically investigated in lung adenocarcinoma (LUAD). In this
study, we performed genomewide transcriptome profiling of coding genes, long
noncoding RNA (lncRNA), and circRNA in paired LUAD and nontumor tissues by
ribosomal RNA-depleted RNA sequencing. The detected reads were first mapped to
the human genome to analyze expression of coding genes and lncRNA, while the
unmapped reads were subjected to a circRNA prediction algorithm to identify
circRNA candidates. We identified 1282 differentially expressed coding genes in
LUAD. Expression of 19 023 lncRNA was detected, of which 244 lncRNAs were
differentially expressed in LUAD. AFAP1-AS1, BLACAT1, LOC101928245, and FENDRR
were most differentially expressed lncRNAs in LUAD. Also identified were 9340
circRNA candidates with >= 2 backspliced, including 3590 novel circRNA
transcripts. The median length of circRNA was ~ 530 nt. CircRNA are often of low
abundance, and more than half of circRNAs we identified had < 10 reads. Agarose
electrophoresis and Sanger sequencing were used to confirm that four candidate
circRNA were truly circular. Our results characterized the expression profile of
coding genes, lncRNA, and circRNA in LUAD; 9340 circRNAs were detected,
demonstrating that circRNA are widely expressed in LUAD. Database: The raw RNA
sequencing data have been submitted to Gene Expression Omnibus (GEO) database and
can be accessed with the ID GEO:
http://www.ncbi.nlm.nih.gov/geo/query/acc.cgi?acc=GSE104854.
PMID- 29632809
TI - Salivary proteome profiling of oral squamous cell carcinoma in a Hungarian
population.
AB - Oral squamous cell carcinoma (OSCC) is the seventh most common malignancy and the
ninth most frequent cause of cancer death in Europe. Within Europe, Hungary has
one of the highest rates of OSCC incidence and mortality. Thus, there is an
urgent need to improve early detection. Saliva, as a readily available body
fluid, became an increasingly important substance for the detection of biomarkers
for many diseases. Different research groups have identified salivary biomarkers
specific for OSCC for different countries. In this study, saliva samples of
Hungarian patients with OSCC were studied to discover disease-specific and
perhaps region-specific biomarkers. LC-mass spectrometry (MS)/MS analysis on a
linear ion trap-Orbitrap mass spectrometer was used for qualitative and
quantitative salivary protein profiling. More than 500 proteins were identified
from saliva by shotgun proteomics. The up- and downregulated proteins in the
saliva of patients with OSCC highlighted the importance of protein-protein
interaction networks involving the immune system and proteolysis in disease
development. Two potential biomarkers from our shotgun analysis and a third
candidate reported earlier by a Taiwanese group were further examined by ELISA on
a larger reference set of samples. Resistin, a biomarker reported in Taiwan but
not validated in our study, highlights the necessity of application of
standardized analysis methods in different ethnic or geographical populations to
identify biomarkers with sufficient specificity and sensitivity.
PMID- 29632810
TI - Tropomyosin isoforms have specific effects on the transcriptome of
undifferentiated and differentiated B35 neuroblastoma cells.
AB - : Tropomyosins, a family of actin-associated proteins, bestow actin filaments
with distinct biochemical and physical properties which are important for
determining cell shape and regulating many cellular processes in eukaryotic
cells. Here, we used RNA-seq to investigate the effect of four tropomyosin
isoforms on gene expression in undifferentiated and differentiated rat B35
neuroblastoma cells. In undifferentiated cells, overexpression of tropomyosin
isoforms Tpm1.12, Tpm2.1, Tpm3.1, and Tpm4.2 differentially regulates a vast
number of genes, clustering into several gene ontology terms. In differentiated
cells, tropomyosin overexpression exerts a much weaker influence on overall gene
expression. Our findings are particularly compelling because they demonstrate
that tropomyosin-dependent changes are attenuated once the cells are induced to
follow a defined path of differentiation. Database: Sequence data for public
availability are deposited in the European Nucleotide Archive under the accession
number PRJEB24136.
PMID- 29632811
TI - Inhibition of DNA methyltransferase leads to increased genomic 5
hydroxymethylcytosine levels in hematopoietic cells.
AB - 5-Hydroxymethylcytosine (5hmC) is produced from 5-methylcytosine (5mC) by Ten
eleven translocation (TET) dioxygenases. The epigenetic modification 5hmC has
crucial roles in both cellular development and differentiation. The 5hmC level is
particularly high in the brain. While 5mC is generally associated with gene
silencing/reduced expression, 5hmC is a more permissive epigenetic mark. To
understand its physiological function, an easy and accurate quantification method
is required. Here, we have developed a novel LC-MS/MS-based approach to quantify
both genomic 5mC and 5hmC contents. The method is based on the liberation of
nucleobases by formic acid. Applying this method, we characterized the levels of
DNA methylation and hydroxymethylation in mouse brain and liver, primary
hepatocytes, and various cell lines. Using this approach, we confirm that the
treatment of different cell lines with the DNA methyltransferase inhibitor 5-aza
2'-deoxycytidine leads to a decrease in 5mC content. This decrease was
accompanied by an increase in 5hmC levels in cell lines of hematopoietic origin.
Finally, we showed that ascorbate elevates the levels of 5hmC and augments the
effect of 5-aza-2'-deoxycytidine without significantly influencing 5mC levels.
PMID- 29632812
TI - Identification of NADPH oxidase family members associated with cold stress in
strawberry.
AB - NADPH oxidase is encoded by a small gene family (Respiratory burst oxidase
homologs, Rbohs) and plays an important role in regulating various biological
processes. However, little information about this gene family is currently
available for strawberry. In this study, a total of seven Rboh genes were
identified from strawberry through genomewide analysis. Gene structure analysis
showed the number of exons ranged from 10 to 23, implying that this variation
occurred in FvRboh genes by the insertion and distribution of introns; the order
and approximate size of exons were relatively conserved. FvRbohC was predicted to
localize to the thylakoid membrane of the chloroplast, while other members were
computed to localize to the plasma membrane, indicating different functions.
Amino acid sequence alignment, conserved domain, and motif analysis showed that
all identified FvRbohs had typical features of plant Rbohs. Phylogenetic analysis
of Rbohs from strawberry, grape, Arabidopsis, and rice suggested that the FvRbohs
could be divided into five subgroups and showed a closer relationship with those
from grape and Arabidopsis than those from rice. The expression patterns of
FvRboh genes in root, stem, leaf, flower, and fruit revealed robust tissue
specificity. The expression levels of FvRbohA and FvRbohD were quickly induced by
cold stress, followed by an increase in NADPH oxidase activity, leading to O2-
accumulation and triggering the antioxidant reaction by the transient increases
in SOD activity. This suggested these two genes may be involved in cold stress
and defense responses in strawberry.
PMID- 29632813
TI - Kinetics and inhibition studies of the L205R mutant of cAMP-dependent protein
kinase involved in Cushing's syndrome.
AB - Overproduction of cortisol by the hypothalamus-pituitary-adrenal hormone system
results in the clinical disorder known as Cushing's syndrome. Genomics studies
have identified a key mutation (L205R) in the alpha-isoform of the catalytic
subunit of cAMP-dependent protein kinase (PKACalpha) in adrenal adenomas of
patients with adrenocorticotropic hormone-independent Cushing's syndrome. Here,
we conducted kinetics and inhibition studies on the L205R-PKACalpha mutant. We
have found that the L205R mutation affects the kinetics of both Kemptide and ATP
as substrates, decreasing the catalytic efficiency (kcat/KM) for each substrate
by 12-fold and 4.5-fold, respectively. We have also determined the IC 50 and Ki
for the peptide substrate-competitive inhibitor PKI(5-24) and the ATP-competitive
inhibitor H89. The L205R mutation had no effect on the potency of H89, but causes
a > 250-fold loss in potency for PKI(5-24). Collectively, these data provide
insights for the development of L205R-PKACalpha inhibitors as potential
therapeutics.
PMID- 29632814
TI - The TNF-alpha-induced expression of miR-130b protects cervical cancer cells from
the cytotoxicity of TNF-alpha.
AB - Tumour necrosis factor alpha (TNF-alpha) is a multifunctional cytokine and has
the capacity both to promote cell growth and to kill tumour cells by inducing
cell apoptosis. However, many tumour cells develop resistance to the toxic
effects of TNF-alpha. Thus, understanding the mechanism underlying the resistance
of tumours to TNF-alpha toxicity and finding ways to overcome this resistance are
urgently needed. In this study, we discovered that two cervical cancer cell
lines, Hela and Siha, showed null responses to TNF-alpha cytotoxicity. However,
in these cell lines, TNF-alpha stimulation promoted the expression of miR-130b
and downregulated the expression of PTEN gene, which encodes a dual-specificity
phosphatase that acts as a tumour suppressor. Blockade of miR-130b function or
overexpression of PTEN gene sensitized cells to TNF-alpha cytotoxicity.
Regression analyses revealed that there were reverse relationships between the
cellular levels of miR-130b and PTEN mRNA in cervical cancer cells. Gain- and
loss-of-function assays demonstrated that there were causal relationships between
the increase in miR-130b levels and the reduction in PTEN mRNA or PTEN protein
levels. In silico analysis revealed that there were two miR-130b target sites
within the 3'UTR of PTEN mRNA and experimental evidences demonstrated that miR
130b repressed the expression of PTEN gene by binding directly to the 3'UTR of
PTEN mRNA. These data suggest miR-130b expression as a target to be inhibited to
make tumour cells more sensitive to the toxic impact of TNF-alpha.
PMID- 29632816
TI - The anti-human cytomegalovirus drug tricin inhibits cyclin-dependent kinase 9.
AB - 4',5,7-trihydroxy-3',5'-dimethoxyflavone (tricin), derived from Sasa albo
marginata, has been reported to suppress significantly human cytomegalovirus
(HCMV) replication in human embryonic lung (HEL) fibroblast cells. However, the
target protein of tricin remains unclear. This study focused on the anti-HCMV
activity of tricin in terms of its binding affinity to cyclin-dependent kinase 9
(CDK9). A molecular docking study predicted that tricin binds well to the ATP
binding site of CDK9. Experimental measurements then revealed that tricin
inhibits the kinase activity of CDK9 and affects the phosphorylation of the
carboxy-terminal domain of RNA polymerase II. Based on these results, we conclude
that CDK9 is one of the target proteins of tricin. We also found that tricin
possesses anti-HCMV activity with no cytotoxicity against HEL cells.
PMID- 29632815
TI - Characterization of thiol-based redox modifications of Brassica napusSNF1-related
protein kinase 2.6-2C.
AB - Sucrose nonfermenting 1-related protein kinase 2.6 (SnRK2.6), also known as Open
Stomata 1 (OST1) in Arabidopsis thaliana, plays a pivotal role in abscisic acid
(ABA)-mediated stomatal closure. Four SnRK2.6 paralogs were identified in the
Brassica napus genome in our previous work. Here we studied one of the paralogs,
BnSnRK2.6-2C, which was transcriptionally induced by ABA in guard cells.
Recombinant BnSnRK2.6-2C exhibited autophosphorylation activity and its
phosphorylation sites were mapped. The autophosphorylation activity was inhibited
by S-nitrosoglutathione (GSNO) and by oxidized glutathione (GSSG), and the
inhibition was reversed by reductants. Using monobromobimane (mBBr) labeling, we
demonstrated a dose-dependent modification of BnSnRK2.6-2C by GSNO. Furthermore,
mass spectrometry analysis revealed previously uncharacterized thiol-based
modifications including glutathionylation and sulfonic acid formation. Of the six
cysteine residues in BnSnRK2.6-2C, C159 was found to have different types of
thiol modifications, suggesting its high redox sensitivity and versatility. In
addition, mBBr labeling on tyrosine residues was identified. Collectively, these
data provide detailed biochemical characterization of redox-induced modifications
and changes of the BnSnRK2.6-2C activity.
PMID- 29632817
TI - Disruption of the mouse Slc39a14 gene encoding zinc transporter ZIP14 is
associated with decreased bone mass, likely caused by enhanced bone resorption.
AB - Osteoclasts are bone-resorbing cells that play an essential role in maintaining
bone homeostasis. Zinc (Zn) has been reported to inhibit osteoclast-mediated bone
resorption, but the mechanism of this action has not been clarified. Zn
homeostasis is tightly controlled by the coordinated actions of many Zn
transporters. The Zn transporter ZIP14/Slc39a14 is involved in various
physiological functions; hence, Zip14-knockout (KO) mice exhibit multiple
phenotypes. In this study, we thoroughly investigated the bone phenotypes of
Zip14-KO mice, demonstrating that the KO mice exhibited osteopenia in both
trabecular and cortical bones. In Zip14-KO mice, bone resorption was increased,
whereas the bone formation rate was unchanged. Zip14 mRNA was expressed in normal
osteoclasts both in vivo and in vitro, but receptor activator of NF-kappaB ligand
(RANKL)-induced osteoclastogenesis was not impaired in bone marrow-derived
macrophages prepared from Zip14-KO mice. These results suggest that ZIP14
regulates bone homeostasis by inhibiting bore resorption and that in Zip14-KO
mice, bone resorption is increased due to the elimination of this inhibitory
regulation. Further studies are necessary to conclude whether the enhancement of
bone resorption in Zip14-KO mice is due to a cell-autonomous or a non-cell
autonomous osteoclast defect.
PMID- 29632818
TI - Obesity-associated glomerular inflammation increases albuminuria without renal
histological changes.
AB - Obesity is one of risk factors for chronic kidney disease (CKD), but the precise
mechanism involved is unclear. This study characterizes the effect of obesity
induced glomerular inflammation, oxidative stress, and albuminuria in obese rats.
Glomerular samples were collected from fatty (ZF) and lean (ZL) Zucker rats.
After 2 months of feeding, body weight and albuminuria were significantly
increased in ZF rats when compared to ZL rats. Expression of the inflammatory
markers TNF-alpha and CCR2 was significantly increased in the glomeruli of ZF
rats. However, expression of IL-6 mRNA was not increased. Analysis of renal
pathology showed no glomerular expansion. As inflammatory and oxidative stress
markers are associated with NF-kappaB, we evaluated whether NF-kappaB activation
was increased in the glomeruli of mice on a high-fat diet. Immunohistochemistry
showed increased NF-kappaB activation in the glomeruli when transgenic mice
overexpressing an NF-kappaB-dependent enhanced green fluorescent protein were fed
with a high-fat diet. These results suggest that obesity of only 2 months
duration can cause albuminuria, due to increased inflammation or oxidative
stress, but may not be long enough to develop renal pathological changes.
PMID- 29632819
TI - Helicobacter pylori induces somatic mutations in TP53 via overexpression of CHAC1
in infected gastric epithelial cells.
AB - Infection with Helicobacter pylori is known to decrease the level of glutathione
in gastric epithelial cells and increase the production of reactive oxygen
species (ROS), which can lead to DNA damage and the development of gastric
cancer. Cation transport regulator 1 (CHAC1) has gamma-glutamylcyclotransferase
activity that degrades glutathione. We found that cagA-positive H. pylori
infection triggered CHAC1 overexpression in human gastric epithelial (AGS) cells
leading to glutathione degradation and the accumulation of ROS. Nucleotide
alterations in the TP53 tumour suppressor gene were induced in AGS cells
overexpressing CHAC1, whereas no mutations were detected in cells overexpressing
a catalytically inactive mutant of CHAC1. A high frequency of TP53 mutations
occurred in H. pylori-infected AGS cells, but this was prevented in cells
transfected with CHAC1 siRNA. These findings indicate that H. pylori-mediated
CHAC1 overexpression degrades intracellular glutathione, allowing the
accumulation of ROS which subsequently causes mutations that could contribute to
the development of gastric cancer.
PMID- 29632820
TI - Crystal structures of FMN-bound and FMN-free forms of dihydroorotate
dehydrogenase from Trypanosoma brucei.
AB - Dihydroorotate dehydrogenase (DHODH) is a flavin-binding enzyme essential for
pyrimidine biosynthesis, which converts dihydroorotate to orotate. Three
dimensional structures of cytosolic DHODH of parasitic protozoa are of interest
in drug discovery for neglected tropical diseases, especially because these
enzymes possess significantly different structural and functional properties from
the membrane-associated human enzyme. The existing crystal structures of the
flavin mononucleotide (FMN)-bound DHODHs reveal a number of interactions
stabilizing FMN. However, to understand the binding mechanism correctly, it is
necessary to compare the structures of the FMN-bound and FMN-free forms, because
the protein moiety of the former is not necessarily the same as the latter. Here,
we prepared the FMN-free DHODH of Trypanosoma brucei using an Escherichia coli
overexpression system. Although this apoform lacks enzymatic activity, simple
incubation with FMN activated the enzyme. It was stable enough to be
crystallized, enabling us to determine its structure by X-ray crystallography at
1.6 A resolution. We also determined the FMN-bound form at 1.8 A resolution.
Although the two structures have essentially the same scaffold, we observed
flipping of a peptide-bond plane in the vicinity of the FMN-binding site,
accompanied by an alternative hydrogen-bonding pattern. Comparisons of B factors
of the protein main chain revealed that binding of FMN decreased flexibility of
most of the residues at the FMN-binding site, but increased flexibility of a lid
like loop structure over the active center. This increase was ascribed to a
conformational change in an FMN-contacting residue, Asn195, which induced a
rearrangement of a hydrogen-bond network of the residues comprising the lid.
PMID- 29632821
TI - Cytoprotective activity of mitochondrial uncoupling protein-2 in lung and spleen.
AB - Mitochondrial uncoupling protein-2 (UCP2) mediates free fatty acid (FA)-dependent
H+ translocation across the inner mitochondrial membrane (IMM), which leads to
acceleration of respiration and suppression of mitochondrial superoxide
formation. Redox-activated mitochondrial phospholipase A2 (mt-iPLA2gamma) cleaves
FAs from the IMM and has been shown to acts in synergy with UCP2. Here, we tested
the mechanism of mt-iPLA2gamma-dependent UCP2-mediated antioxidant protection
using lipopolysaccharide (LPS)-induced pro-inflammatory and pro-oxidative
responses and their acute influence on the overall oxidative stress reflected by
protein carbonylation in murine lung and spleen mitochondria and tissue
homogenates. We provided challenges either by blocking the mt-iPLA 2gamma
function by the selective inhibitor R-bromoenol lactone (R-BEL) or by removing
UCP2 by genetic ablation. We found that the basal levels of protein carbonyls in
lung and spleen tissues and isolated mitochondria were higher in UCP2-knockout
mice relative to the wild-type (wt) controls. The administration of R-BEL
increased protein carbonyl levels in wt but not in UCP2-knockout (UCP2-KO) mice.
LPS further increased the protein carbonyl levels in UCP2-KO mice, which
correlated with protein carbonyl levels determined in wt mice treated with R-BEL.
These results are consistent with the UCP2/mt-iPLA 2gamma antioxidant mechanisms
in these tissues and support the existence of UCP2-synergic mt-iPLA 2gamma
dependent cytoprotective mechanism in vivo.
PMID- 29632822
TI - ADAM17 is essential for ectodomain shedding of the EGF-receptor ligand
amphiregulin.
AB - The epidermal growth factor (EGF)-receptor ligand amphiregulin (AREG) is a potent
growth factor implicated in proliferative skin diseases and in primary and
metastatic epithelial cancers. AREG, synthesized as a propeptide, requires
conversion to an active peptide by metalloproteases by a process known as
ectodomain shedding. Although (ADAM17) a disintegrin and metalloprotease 17 is a
key sheddase of AREG, ADAM8-, ADAM15-, and batimastat (broad metalloprotease
inhibitor)-sensitive metalloproteases have also been implicated in AREG shedding.
In the present study, using a curly bare (Rhbdf2cub ) mouse model that shows loss
of-hair, enlarged sebaceous gland, and rapid cutaneous wound-healing phenotypes
mediated by enhanced Areg mRNA and protein levels, we sought to identify the
principal ectodomain sheddase of AREG. To this end, we generated Rhbdf2cub mice
lacking ADAM17 specifically in the skin and examined the above phenotypes of
Rhbdf2cub mice. We find that ADAM17 deficiency in the skin of Rhbdf2cub mice
restores a full hair coat, prevents sebaceous gland enlargement, and impairs the
rapid wound-healing phenotype observed in Rhbdf2cub mice. Furthermore, in vitro,
stimulated shedding of AREG is abolished in Rhbdf2cub mouse embryonic
keratinocytes lacking ADAM17. Thus, our data support previous findings
demonstrating that ADAM17 is the major ectodomain sheddase of AREG.
PMID- 29632823
TI - MucR binds multiple target sites in the promoter of its own gene and is a heat
stable protein: Is MucR a H-NS-like protein?
AB - The protein MucR from Brucella spp. is involved in the expression regulation of
genes necessary for host interaction and infection. MucR is a member of the
Ros/MucR family, which comprises prokaryotic zinc-finger proteins and includes
Ros from Agrobacterium tumefaciens and the Ml proteins from Mesorhizobium loti.
MucR from Brucella spp. can regulate the expression of virulence genes and
repress its own gene expression. Despite the well-known role played by MucR in
the repression of its own gene, no target sequence has yet been identified in the
mucR promoter gene. In this study, we provide the first evidence that MucR from
Brucella abortus binds more than one target site in the promoter region of its
own gene, suggesting a molecular mechanism by which this protein represses its
own expression. Furthermore, a circular dichroism analysis reveals that MucR is a
heat-stable protein. Overall, the results of this study suggest that MucR might
resemble a H-NS protein.
PMID- 29632824
TI - Citrulline counteracts overweight- and aging-related effects on adiponectin and
leptin gene expression in rat white adipose tissue.
AB - We recently demonstrated that citrulline (CIT) reduced the expression of
inflammatory genes in cultured explants from retroperitoneal (RET) white adipose
tissue (WAT) from young (2-4 months) but not old (25 months) rats. Here we show
that in RET WAT from old rats and high-fat-diet-fed (HFD) young rats, the basal
expression of the leptin gene was increased (275-345%) whereas that of the
adiponectin gene was decreased (48-60%), when compared to those from control-diet
fed (CD) young rats. We show also that in RET WAT from old rats, a diet
supplemented with CIT for 3 months reduced macrophage (F4/80, CD68) and
inflammation (interleukin-6, tumor necrosis factor-alpha) marker genes 23-97%.
CIT supplementation lowered leptin mRNA 62% and increased adiponectin mRNA 232%.
In cultured explants of RET WAT from 4 month-old CD, 4 month-old HFD and 25-month
old CD rats, the exposure to 2.5 mmol/L CIT for 24 h up-regulated adiponectin
gene expression 151%, 362% and 216% respectively. In contrast, leptin gene
expression was down-regulated 66% selectively in CIT-treated explants from 25
month-old CD rats. These results further support the proposed beneficial effect
of CIT to counteract the deleterious effects of aging and overweight on the
metabolic, inflammatory and endocrine functions of WAT.
PMID- 29632825
TI - Albumin removal from human fibrinogen preparations for manufacturing human fibrin
based biomaterials.
AB - Commercially available two component human fibrin sealants are commonly used to
manufacture human fibrin-based biomaterials. However, this method is costly and
allows little room for further tuning of the biomaterial. Human fibrinogen
solutions offer a more cost-effective and versatile alternative to manufacture
human fibrin-based biomaterials. Yet, human fibrinogen is highly unstable and
contains certain impurities like human albumin. Within the context of
biomaterials and tissue engineering we offer a simple yet novel solution based on
classical biochemical techniques to significantly reduce albumin in human
fibrinogen solutions. This method can be used for various tissue engineering and
biomedical applications as an initial step in the manufacturing of human fibrin
based biomaterials to optimise their regenerative application.
PMID- 29632826
TI - Palmitoyl-ceramide accumulation with necrotic cell death in A549 cells, followed
by a steep increase in sphinganine content.
AB - Ceramides (Cers) have recently been identified as key signaling molecules that
mediate biological functions such as cell growth, differentiation, senescence,
apoptosis, and autophagy. However, the functions of Cer accumulation in necrotic
cell death remain unknown. The aim of this study was to clarify the relationship
between Cer accumulation with inhibition of the conversion pathway of Cer and
concomitant necrotic cell death. In order to minimize the effect of apoptosis
against necrotic cell death, A549 cells having the inhibiting effect of caspase 9
by survivin were used in this study. Consequently, Cer accumulation in A549 cells
would likely be associated with a pathway other than the mitochondrial caspase
dependent pathway of apoptosis. Here, we showed that the dual addition of a
glucosyl-Cer synthase inhibitor and a ceramidase inhibitor to A549 cell culture
induced palmitoyl-Cer accumulation with Cer synthase 5 expression and necrotic
cell death with lysosomal rupture together with leakage of cathepsin
B/alkalization after 2-3 h, although it is unknown in this study whether the
necrotic cell death was caused by the lysosomal rupture. This Cer accumulation
was followed by a steep increase in sphinganine base levels via the activation of
serine palmitoyltransferase activity brought about by the increase in palmitoyl
coenzyme A concentration as a substrate after 5-6 h. The increase in palmitoyl
coenzyme A concentration was achieved by activation of the fatty acid synthetic
pathway from acetyl coenzyme A.
PMID- 29632827
TI - Proline substitutions in a Mip-like peptidyl-prolyl cis-trans isomerase severely
affect its structure, stability, shape and activity.
AB - FKBP22, an Escherichia coli-specific peptidyl-prolyl cis-trans isomerase, shows
substantial homology with the Mip-like virulence factors. Mip-like proteins are
homodimeric and possess a V-shaped conformation. Their N-terminal domains form
dimers, whereas their C-terminal domains bind protein/peptide substrates and
distinct inhibitors such as rapamycin and FK506. Interestingly, the two domains
of the Mip-like proteins are separated by a lengthy, protease-susceptible alpha
helix. To delineate the structural requirement of this domain-connecting region
in Mip-like proteins, we have investigated a recombinant FKBP22 (rFKBP22) and its
three point mutants I65P, V72P and A82P using different probes. Each mutant
harbors a Pro substitution mutation at a distinct location in the hinge region.
We report that the three mutants are not only different from each other but also
different from rFKBP22 in structure and activity. Unlike rFKBP22, the three
mutants were unfolded by a non-two state mechanism in the presence of urea. In
addition, the stabilities of the mutants, particularly I65P and V72P, differed
considerably from that of rFKBP22. Conversely, the rapamycin binding affinity of
no mutant was different from that of rFKBP22. Of the mutants, I65P showed the
highest levels of structural/functional loss and dissociated partly in solution.
Our computational study indicated a severe collapse of the V-shape in I65P due to
the anomalous movement of its C-terminal domains. The alpha-helical nature of the
domain-connecting region is, therefore, critical for the Mip-like proteins.
PMID- 29632828
TI - Method for estimating protein binding capacity of polymeric systems.
AB - Composite biomaterials made from synthetic and protein-based polymers are
extensively researched in tissue engineering. To successfully fabricate a protein
polymer composite, it is critical to understand how strongly the protein binds to
the synthetic polymer, which occurs through protein adsorption. Currently, there
is no cost-effective and simple method for characterizing this interfacial
binding. To characterize this interfacial binding, we introduce a simple three
step method that involves: 1) synthetic polymer surface characterisation, 2) a
quick, inexpensive and robust novel immuno-based assay that uses protein
extraction compounds to characterize protein binding strength followed by 3) an
in vitro 2D model of cell culture to confirm the results of the immuno-based
assay. Fibrinogen, precursor of fibrin, was adsorbed (test protein) on three
different polymeric surfaces: silicone, poly(acrylic acid)-coated silicone and
poly(allylamine)-coated silicone. Polystyrene surface was used as a reference.
Characterisation of the different surfaces revealed different chemistry and
roughness. The novel immuno-based assay showed significantly stronger binding of
fibrinogen to both poly(acrylic acid) and poly(allylamine) coated silicone.
Finally, cell studies showed that the strength of the interaction between the
protein and the polymer had an effect on cell growth. This novel immuno-based
assay is a valuable tool in developing composite biomaterials of synthetic and
protein-based polymers with the potential to be applied in other fields of
research where protein adsorption onto surfaces plays an important role.
PMID- 29632830
TI - Editorial.
PMID- 29632829
TI - Argan oil prevents down-regulation induced by endotoxin on liver fatty acid
oxidation and gluconeogenesis and on peroxisome proliferator-activated receptor
gamma coactivator-1alpha, (PGC-1alpha), peroxisome proliferator-activated
receptor alpha (PPARalpha) and estrogen related receptor alpha (ERRalpha).
AB - In patients with sepsis, liver metabolism and its capacity to provide other
organs with energetic substrates are impaired. This and many other
pathophysiological changes seen in human patients are reproduced in mice injected
with purified endotoxin (lipopolysaccharide, LPS). In the present study, down
regulation of genes involved in hepatic fatty acid oxidation (FAOx) and
gluconeogenesis in mice exposed to LPS was challenged by nutritional intervention
with Argan oil. Mice given a standard chow supplemented or not with either 6%
(w/w) Argan oil (AO) or 6% (w/w) olive oil (OO) prior to exposure to LPS were
explored for liver gene expressions assessed by mRNA transcript levels and/or
enzyme activities. AO (or OO) food supplementation reveals that, in LPS-treated
mice, hepatic expression of genes involved in FAOx and gluconeogenesis was
preserved. This preventive protection might be related to the recovery of the
gene expressions of nuclear receptors peroxisome proliferator-activated receptor
alpha (PPARalpha) and estrogen related receptor alpha (ERRalpha) and their
coactivator peroxisome proliferator-activated receptor gamma coactivator-1alpha,
(PGC-1alpha). These preventive mechanisms conveyed by AO against LPS-induced
metabolic dysregulation might add new therapeutic potentialities in the
management of human sepsis.
PMID- 29632831
TI - Identification of a nicotinamide/nicotinate mononucleotide adenylyltransferase in
Giardia lamblia (GlNMNAT).
AB - Giardia lamblia is an intestinal protozoan parasite that causes giardiasis, a
disease of high prevalence in Latin America, Asia and Africa. Giardiasis leads to
poor absorption of nutrients, severe electrolyte loss and growth retardation. In
addition to its clinical importance, this parasite is of special biological
interest due to its basal evolutionary position and simplified metabolism, which
has not been studied thoroughly. One of the most important and conserved
metabolic pathways is the biosynthesis of nicotinamide adenine dinucleotide
(NAD). This molecule is widely known as a coenzyme in multiple redox reactions
and as a substrate in cellular processes such as synthesis of Ca2+ mobilizing
agents, DNA repair and gene expression regulation. There are two pathways for NAD
biosynthesis, which converge at the step catalyzed by nicotinamide/nicotinate
mononucleotide adenylyltransferase (NMNAT, EC 2.7.7.1/18). Using bioinformatics
tools, we found two NMNAT sequences in Giardia lamblia (glnmnat-a and glnmnat-b).
We first verified the identity of the sequences in silico. Subsequently, glnmnat
a was cloned into an expression vector. The recombinant protein (His-GlNMNAT) was
purified by nickel-affinity binding and was used in direct in vitro enzyme assays
assessed by C18-HPLC, verifying adenylyltransferase activity with both
nicotinamide (NMN) and nicotinic acid (NAMN) mononucleotides. Optimal reaction pH
and temperature were 7.3 and 26 degrees C. Michaelis-Menten kinetics were
observed for NMN and ATP, but saturation was not accomplished with NAMN, implying
low affinity yet detectable activity with this substrate. Double-reciprocal plots
showed no cooperativity for this enzyme. This represents an advance in the study
of NAD metabolism in Giardia spp.
PMID- 29632832
TI - Uncovering the role of the flexible C-terminal tail: A model study with Strep
tagged GFP.
AB - Recently, it has been recognized that, much like an electric current in an
electric circuit, dynamic disruptions from flexible, unstructured regions distal
to the active region are transferred through the contact network to the active
site and influence protein stability and/or function. As transmembrane proteins
frequently possess the beta-barrel structure, studies of proteins with this
topology are required. The unstructured lid segments of the beta-barrel GFP
protein are conserved and could play a role in the backbone stabilization
required for chromophore function. A study of the disordered C-terminus and the
function within the lid is necessary. In this study, we entirely truncated the
flexible C-terminal tail and investigated the N-terminal Strep-tagged GFP by
fluorescence spectroscopy, and the temperature- and GdnHCl-induced unfolding by
circular dichroism. The introduction of the unstructured Strep-tag itself changed
the unfolding pathway. Truncating the entire flexible tail did not decrease the
fluorescence intensity to a large extent; however, the protein stability changed
dramatically. The temperature for half-denaturation T1/2 changed significantly
from 79 degrees C for the wild-type to 72.8 degrees C for the mutant. Unfolding
kinetics at different temperatures have been induced by 4 M GdnHCl, and the
apparent Arrhenius activation energy decreased by 40% as compared to the wild
type.
PMID- 29632833
TI - The peptidyl-prolyl cis-trans isomerase activity of the wheat cyclophilin, TaCypA
1, is essential for inducing thermotolerance in Escherichia coli.
AB - Growth at high temperatures is one of the desired features for industrial
applications of microbes, as it results in decrease in contamination and enhanced
solubility of certain substrates. In this study, it is demonstrated that
heterologous expression of a wheat cyclophilin, TaCypA-1, confers thermotolerance
to Escherichia coli. The TaCypA-1 possesses peptidyl-prolyl cis-trans isomerase
(PPIase) activity that catalyses cis to trans isomerization of the peptidyl
prolyl bonds, a rate limiting step in protein folding. Expression of deleted
mutants of TaCypA-1, that lacked PPIase activity, resulted in abrogation of
thermotolerance, providing the first evidence that this activity plays a key role
in stress tolerance of cells and can be exploited for industrial applications.
Further, we also demonstrate that TaCypA-1 interacts with calmodulin (CaM), and
the CaM-binding domain is localized to amino acid residues 51-71 in the N
terminus region.
PMID- 29632834
TI - Assessing Na+/H+ exchange and cell effector functionality in metastatic breast
cancer.
AB - Metastasis is the leading cause of mortality in patients with breast cancer. In
triple-negative breast cancer, high recurrence rates, increased invasive capacity
of cells, and their aggressive ability to metastasize at secondary sites dictate
patient survival. The Na+/H+ exchanger isoform 1 (NHE1) plays a critical role in
controlling the metastatic potential of these cells. Its activity results in an
elevation of intracellular pH and in extracellular acidification, a key step in
the establishment of the tumor microenvironment. Here, we describe assays for
characterization of Na+/H+ exchanger activity and its related downstream
physiological effects on triple-negative breast cancer cells. Na+/H+ exchanger
activity can be routinely and rapidly measured in live cells with a fluorometric
assay that assesses changes in intracellular pH. Characterization of downstream
cell effector function as a result of Na+/H+ exchanger activation can be
evaluated by measuring directed cell migration and invasion. Cell migration is
assessed with wound-healing assays, where a gap is introduced in a confluent
monolayer of cells and the rate of gap closure is measured over time. Cell
invasion is assessed in the short-term by transwell invasion assays that track
cell movement through an extracellular matrix. Long-term invasiveness, growth and
proliferation can be assessed with 3-D invasion assays using transwell inserts
fitted with specialized scaffolds optimized for 3-D cell culture. Taken together
these assays provide powerful tools for testing the effects of altering Na+/H+
exchanger activity with chemical inhibition on the metastatic capacity of breast
cancer cells.
PMID- 29632835
TI - A spinach O-acetylserine(thiol)lyase homologue, SoCSaseLP, suppresses cysteine
biosynthesis catalysed by other enzyme isoforms.
AB - An enzyme, O-acetylserine(thiol)lyase (OASTL), also known as O-acetylserine
sulfhydrylase or cysteine synthase (CSase), catalyses the incorporation of
sulfide into O-acetylserine and produces cysteine. We previously identified a
cDNA encoding an OASTL-like protein from Spinacia oleracea, (SoCSaseLP), but a
recombinant SoCSaseLP produced in Escherichia coli did not show OASTL activity.
The exon-intron structure of the SoCSaseLP gene shared conserved structures with
other spinach OASTL genes. The SoCSaseLP and a Beta vulgaris homologue protein,
KMT13462, comprise a unique clade in the phylogenetic tree of the OASTL family.
Interestingly, when the SoCSaseLP gene was expressed in tobacco plants, total
OASTL activity in tobacco leaves was reduced. This reduction in total OASTL
activity was most likely caused by interference by SoCSaseLP with cytosolic
OASTL. To investigate the possible interaction of SoCSaseLP with a spinach
cytosolic OASTL isoform SoCSaseA, a pull-down assay was carried out. The
recombinant glutathione S-transferase (GST)-SoCSaseLP fusion protein was
expressed in E. coli together with the histidine-tagged SoCSaseA protein, and the
protein extract was subjected to glutathione affinity chromatography. The
histidine-tagged SoCSaseA was co-purified with the GST-SoCSaseLP fusion protein,
indicating the binding of SoCSaseLP to SoCSaseA. Consistent with this
interaction, the OASTL activity of the co-purified SoCSaseA was reduced compared
with the activity of SoCSaseA that was purified on its own. These results
strongly suggest that SoCSaseLP negatively regulates the activity of other
cytosolic OASTL family members by direct interaction.
PMID- 29632836
TI - Screening of endophytic sources of exopolysaccharides: Preliminary
characterization of crude exopolysaccharide produced by submerged culture of
Diaporthe sp. JF766998 under different cultivation time.
AB - Endophytic fungi have been described as producers of important bioactive
compounds; however, they remain under-exploited as exopolysaccharides (EPS)
sources. Therefore, this work reports on EPS production by submerged cultures of
eight endophytes isolated from Piper hispidum Sw., belonging to genera Diaporthe,
Marasmius, Phlebia, Phoma, Phyllosticta and Schizophyllum. After fermentation for
96 h, four endophytes secreted EPS: Diaporthe sp. JF767000, Diaporthe sp.
JF766998, Diaporthe sp. JF767007 and Phoma herbarumJF766995. The EPS from
Diaporthe sp. JF766998 differed statistically from the others, with a higher
percentage of carbohydrate (91%) and lower amount of protein (8%). Subsequently,
this fungus was grown under submerged culture for 72, 96 and 168 h (these EPS
were designated EPSD1-72, EPSD1-96 and EPSD1-168) and the differences in
production, monosaccharide composition and apparent molecular were compared. The
EPS yields in mg/100 mL of culture medium were: 3.0 +/- 0.4 (EPSD1-72), 15.4 +/-
2.2 (EPSD1-96) and 14.8 +/- 1.8 (EPSD1-168). The EPSD1-72 had high protein
content (28.5%) and only 71% of carbohydrate; while EPSD1-96 and EPSD1-168 were
composed mainly of carbohydrate (~95 and 100%, respectively), with low protein
content (~5%) detected at 96 h. Galactose was the main monosaccharide component
(30%) of EPSD1-168. Differently, EPSD1-96 was rich in glucose (51%), with
molecular weight of 46.6 kDa. It is an important feature for future
investigations, because glucan-rich EPS are reported as effective antitumor
agents.
PMID- 29632837
TI - Nitric oxide overcomes Cd and Cu toxicity in in vitro-grown tobacco plants
through increasing contents and activities of rubisco and rubisco activase.
AB - Toxic heavy metals such as cadmium (Cd) and copper (Cu) are global problems that
are a growing threat to the environment. Despite some heavy metals are required
for plant growth and development, others are considered toxic elements and do not
play any known physiological role in plant cells. Elevated doses of Cd or Cu
cause toxicity in plants and generate damages due to the stress condition and
eventually cause a significant reduction in quantity and quality of crop plants.
The nitric oxide (NO) donor sodium nitroprusside (SNP) is reported to alleviate
the toxicity of some heavy metals like Cd and Cu. In the current study, the role
of NO in alleviating stresses of Cd and Cu was investigated in in vitro-grown
tobacco (Nicotiana tabacum) Based on plant growth, total chlorophyll contents,
contents and activities of rubisco and rubisco activase. According to the results
of this study, the growth and total chlorophyll contents of Cd/Cu stressed plants
were hugely decreased in the absence of SNP, while the supplementation of SNP
resulted in a significant increase of both fresh weight and total chlorophyll
contents. Remarkable reductions of Rubisco and rubisco activase contents and
activities were observed in Cd and Cu-induced plants. SNP supplementation showed
the highest contents and activities of rubisco and rubisco activase compared to
the control and Cu/Cd-stressed plants. Taken together, our findings suggest that
SNP could play a protective role in regulation of plant responses to abiotic
stresses such as Cd and Cu by enhancing Rubisco and Rubisco activase.
PMID- 29632838
TI - Association of human mitochondrial lysyl-tRNA synthetase with HIV-1 GagPol does
not require other viral proteins.
AB - In human, the cytoplasmic (cLysRS) and mitochondrial (mLysRS) species of lysyl
tRNA synthetase are encoded by a single gene. Following HIV-1 infection, mLysRS
is selectively taken up into viral particles along with the three tRNALys
isoacceptors. The GagPol polyprotein precursor is involved in this process. With
the aim to reconstitute in vitro the HIV-1 tRNA3Lys packaging complex, we first
searched for the putative involvement of another viral protein in the selective
viral hijacking of mLysRS only. After screening all the viral proteins, we
observed that Vpr and Rev have the potential to interact with mLysRS, but that
this association does not take place at the level of the assembly of mLysRS into
the packaging complex. We also show that tRNA3Lys can form a ternary complex with
the two purified proteins mLysRS and the Pol domain of GagPol, which mimicks its
packaging complex.
PMID- 29632839
TI - Anti-inflammatory and antinociceptive activities of Bauhinia monandra leaf
lectin.
AB - A galactose-specific lectin from Bauhinia monandra leaves (BmoLL) has been
purified through ammonium sulfate fractionation followed by guar gel affinity
chromatography column. This study aimed to evaluate the potential anti
inflammatory and antinociceptive activity of pure BmoLL in mice. Anti
inflammatory activity was evaluated by 1% carrageenan-induced inflammation in
mice treated with BmoLL. Acetic acid-induced abdominal writhing and hot plate
methods evaluated antinociceptive activity. BmoLL significantly inhibited the
carrageenan-induced paw edema by 47% (30 mg/kg) and 60.5% (60 mg/kg);
acetylsalicylic acid (ASA, 100 mg/kg) showed inhibition of 70.5%, in comparison
to controls. Leukocyte migration, an immune response to the inflammation process,
was significantly reduced in presence of BmoLL; in mice treated with ASA the
decrease in leukocyte migration was similar to 15 mg/kg of the lectin. BmoLL at
doses of 15, 30 and 60 mg/kg significantly reduced the number of animal
contortions by 43.1, 50.1 and 71.3%, respectively. BmoLL leukocyte migration was
significantly reduced; in mice treated with ASA the decrease in leukocyte
migration was similar to 15 mg/kg of the lectin. BmoLL at doses of 15, 30 and 60
mg/kg significantly reduced the number of animal contortions by 43.1, 50.1 and
71.3%, respectively. The lectin (30 and 60 mg/kg) showed a significant effect in
the hot plate assay. BmoLL anti-inflammatory and antinociceptive effects were
dose-dependent. The search for new and natural compounds, with minimal side
effects, to control pain and inflammation, is constantly increasing. BmoLL has
great potential as a natural anti-inflammatory product that can be explored for
pharmacological purposes.
PMID- 29632840
TI - The proto-oncogenic protein TAL1 controls TGF-beta1 signaling through interaction
with SMAD3.
AB - TGF-beta1 is involved in many aspects of tissue development and homeostasis
including hematopoiesis. The TAL1 transcription factor is also an important
player of this latter process and is expressed very early in the myeloid and
erythroid lineages. We previously established a link between TGF-beta1 signaling
and TAL1 by showing that the cytokine was able to induce its proteolytic
degradation by the ubiquitin proteasome pathway. In this manuscript we show that
TAL1 interacts with SMAD3 that acts in the pathway downstream of TGF-beta1
association with its receptor. TAL1 expression strengthens the positive or
negative effect of SMAD3 on various genes. Both transcription factors activate
the inhibitory SMAD7 factor through the E box motif present in its
transcriptional promoter. DNA precipitation assays showed that TAL1 present in
Jurkat or K562 cells binds to this SMAD binding element in a SMAD3 dependent
manner. SMAD3 and TAL1 also inhibit several genes including ID1, hTERT and TGF
beta1 itself. In this latter case TAL1 and SMAD3 can impair the positive effect
exerted by E47. Our results indicate that TAL1 expression can modulate TGF-beta1
signaling by interacting with SMAD3 and by increasing its transcriptional
properties. They also suggest the existence of a negative feedback loop between
TAL1 expression and TGF-beta1 signaling.
PMID- 29632841
TI - The effectiveness and safety of Iranian herbal medicines for treatment of
premenstrual syndrome: A systematic review.
AB - Objective: Premenstrual syndrome (PMS) is one of the most common problems among
women of reproductive age. The popularity of complementary/alternative therapies
has grown in recent years, and these treatments have been more commonly used by
women (48.9%) than men (37.8%). The aim of this systematic review was to assess
effectiveness and safety of Iranian herbal medicines for treatment of
premenstrual syndrome. Methods: PubMed, Scopus, Cochrane, and Google Scholar were
searched along with SID, Magiran and Irandoc up to Dec 2017.Inclusion criteria
consist of Iranian, published, randomized controlled trials (RCTs) using Iranian
herbal medicine for treatment of reproductive age women with PMS. Eventually
Eighteen RCTs met the inclusion criteria. Results: Overall, studies have shown
that Vitex agnuscastus, Hypericum perforatum,Matricaria chamomilla, saffron,
Curcumin, Melissa officinalis, Zataria multiflora,Wheat Germ Extract, Echinophora
platyloba, Foeniculum vulgare, Valerian root extract,Citrus sinensis, Zingiber
officinale and Flax seed might alleviate symptoms of PMS. Conclusion: This
research demonstrated efficacy and safety of Iranian herbal medicines in
alleviating PMS. Therefore, herbal medicine can be regarded as an alternative
treatment for women suffering from PMS.
PMID- 29632842
TI - The field efficacy of Nigella sativa and Berberis vulgaris methanolic extracts
against Haemoproteus columbae.
AB - Objective: The methanolic extracts of Nigella sativaL. seeds (MENS) and Berberis
vulgaris L.(MEBV) were investigated for treatment of Haemoproteus columbae
infected pigeons (Columba livia domestica). Materials and Methods: One hundred
twenty naturally-infected pigeons were randomly divided into four groups of
thirty each. Two groups were treated separately with the extracts, while the
positive and negative control groups were given buparvaquone (Butalex(r)) and
distilled water, respectively. The parasitaemia rate was calculated in all groups
before and after the experiment at four-day intervals for16 days. Results: The
results showed a high therapeutic effect for MENS with a progressive decrease in
average parasitaemia rate from 18.17% before treatment to 0.73% at the end of
treatment (p<0.05), while Butalex(r) was able to suppress the parasitemia rate
from 18.90% before treatment to 0.23% at the end of experiment (p<0.05). However,
no significant changes in parasitemia rate were evident in groups treated with
MEBV (p>0.05). Conclusion: Methanolic extracts of N. sativa showed therapeutic
effects against H. columbae and may be regarded as a suitable choice for further
studies to develop new drugs against blood parasites, in both animals and human
beings.
PMID- 29632843
TI - Evaluation of cytotoxic effects and acute and chronic toxicity of aqueous extract
of the seeds of Calycotome villosa (Poiret) Link (subsp. intermedia) in rodents.
AB - Objective: The present investigation was carried out to evaluate the safety of an
aqueous extract of the seeds of Calycotome villosa (Poiret) Link (subsp.
intermedia) by determining its cytotoxicity and potential toxicity after acute
and sub-chronic administration in rodents. Materials and Methods: Cytotoxic
activity was tested in cancer and non-cancer cell lines HeLa, Mel-5, HL-60 and
3T3. Acute toxicity tests were carried out in mice by a single oral
administration of Calycotome seed-extract (0 - 12 g/kg) as well as
intraperitoneal doses of 0 - 5 g/kg. Sub-chronic studies were conducted in Wistar
rats by administration of oral daily doses for up to 90 days. Changes in body and
vital organ weights, mortality, haematology, clinical biochemistry and histologic
morphology were evaluated. Results: The lyophilized aqueous extract of C. villosa
exhibited a low cytotoxicity in all cell lines tested with an IC50 > 100 ug/ml.
In the acute study in mice, intra-peritoneal administration caused dose-dependent
adverse effects and mortality with an LD50 of 4.06 +/- 0.01 g/kg. In the chronic
tests, neither mortality nor visible signs of lethality was seen in rats. Even
AST and ALT were not affected while a significant decrease in serum glucose
levels, at 300 and 600 mg/kg was detected. Histopathological examination of the
kidney and liver did not show any alteration or inflammation at the end of
treatment. Conclusion: In conclusion, the aqueous extract of C. villosa seed
appeared to be non-toxic and did not produce mortality or clinically significant
changes in the haematological and biochemical parameters in rats.
PMID- 29632844
TI - In vitro and in vivo evaluations of Pelargonium roseum essential oil activity
against Trichomonas gallinae.
AB - Objective: Pelargonium roseum Willd. (Geraniaceae) is widely grown as an
ornamental plant due to its strong pleasant rose-like odor. The present study
evaluates the antitrichomonal effect of P. roseum essential oil (EO) against
Trichomonas gallinae both in vitro and in vivo and compares it to that of
metronidazole (MTZ) as a standard antitrichomonal drug. Materials and Methods: In
vitro assays were accomplished in multi-well plates containing MTZ and EO at
final concentrations of 2.5, 5, 10, 20, 50, and 100 MUg/mL. In vivo assay was
carried out on 40 experimentally infected pigeons receiving MTZ and EO at doses
of 25 and 50 mg/kg. Results: The 24-hr MIC of MTZ was 10 ug/mL, while for EO it
was 20 ug/mL. Treatment with MTZ 50 mg/kg after 4 days led to full recovery of
infected pigeons however EO 50 mg/kg resulted in the same outcome after 5 days.
No mortality or clinical side effects were seen in treated birds. Conclusion: The
present study introduced P. roseum EO as a potent natural antitrichomonal agent
effective against T. gallinae. Bioactive components of P. roseum can be used as
potential therapeutic compounds in development of novel antitrichomonal drugs.
PMID- 29632845
TI - Protective effects of long-term administration of Ziziphus jujuba fruit extract
on cardiovascular responses in L-NAME hypertensive rats.
AB - Objective: Ziziphus jujuba stimulates the release of nitric oxide (NO). Because
NO is involved in cardiovascular regulations, in this study the effects of
hydroalcoholic extract of Z. jujuba on cardiovascular responses in acute NG-nitro
L-arginine methyl ester (L-NAME) hypertensive rats were evaluated. Materials and
Methods: Rats were divided into 6 group (n=6): 1) saline, 2) L-NAME received
(10mg/kg) intravenously, 3) sodium nitroprusside (SNP) (50ug/kg)+L-NAME group
received SNP before L-NAME and 4-6) three groups of Z. jujuba (100, 200 and
400mg/kg) that treated for four weeks and on the 28th day, L-NAME was injected.
Femoral artery and vein were cannulated for recording cardiovascular responses
and drug injection, respectively. Systolic blood pressure (SBP), Mean arterial
pressure (MAP) and heart rate (HR) were recorded continuously. Maximal changes
(?) of SBP, MAP and HR were calculated and compared to control and L-NAME groups.
Results: In L-NAME group, maximal DeltaSBP (L-NAME: 44.15+/-4.0 mmHg vs control:
0.71+/-2.1 mmHg) and DeltaMAP (L-NAME: 40.8+/-4.0 mmHg vs control: 0.57+/-1.6
mmHg) significantly increased (p<0.001 in both) but ?HR was not significant as
compared to control (p>0.05). All doses of Z. jujuba attenuated maximal ?SBP and
?MAP induced by L-NAME but only the lowest dose (100 mg/kg) had significant
effects (DeltaSBP: 20.36+/-5.6 mmHg vs L-NAME: 44.1+/-4.0 mmHg and DeltaMAP:
20.8+/-4.5 mmHg vs L-NAME: 40.8+/-3.8 mmHg (p<0.05 to p<0.01)). The ?HR at three
doses was not significantly different from that of L-NAME group (p>0.05).
Conclusion: Because long-term consumption of Z. jujuba extract, especially its
lowest dose, attenuated cardiovascular responses induced by L-NAME, we suggest
that Z. jujuba has potential beneficial effects in prevention of hypertension
induced by NO deficiency.
PMID- 29632846
TI - Effects of IMODTM on angiogenesis, miR-503 and CDC25 expression levels in heart
tissue of diabetic male rats.
AB - Objective: Diabetes is associated with vascular complications and impaired
angiogenesis. Since angiogenesis plays a crucial role in vascular homeostasis in
ischemic heart diseases, in this study, the effect of IMODTM on miR-503 and CDC25
expression level which are altered in impaired angiogenesis were investigated in
heart tissue of diabetic rats. Materials and Methods: Forty male Wistar rats (200
250 g) were randomly classified into 4 groups: control (C), IMODTM (I), diabetes
(D), and diabetes+IMODTM (D+I). For induction of experimental diabetes in
animals, a single dose of streptozotocin (STZ; 60mg/kg) was injected
intraperitoneally. After 8 weeks of treatment with IMODTM (20 mg/kg/day), heart
tissue samples were removed and used for measurement of miR-503 and CDC25
expression level as well as histological studies. Results: Results of this study
showed that diabetes decreased heart tissue angiogenesis which was associated
with increased miR-503 and reduced CDC25 expression levels (p<0.05) and IMODTM
could reduce the expression of miR-503 and increase the expression of CDC25
(p<0.05). Moreover, IMODTM extensively induced angiogenesis in the heart tissue
of diabetic group. However, IMODTM had no significant effect on expressions of
miR-503 and CDC25, or angiogenesis in healthy rats. Conclusion: This study showed
that IMODTM is able to increase angiogenesis in the heart tissue of diabetic
rats. The angiogenic effect of IMODTM is associated with reduction of miR-503
expression and increased expression of CDC25.
PMID- 29632847
TI - A comparison of the effects of Portulaca oleracea seeds hydro-alcoholic extract
and Vitamin C on biochemical, hemodynamic and functional parameters in cardiac
tissue of rats with subclinical hyperthyroidism.
AB - Objective: The present study was performed to evaluate the effects of hydro
alcoholic extract of Portulaca oleracea (P. oleracea) seeds and Vitamin C on
biochemical and hemodynamic parameters in cardiac tissue of rats with subclinical
hyperthyroidism. Materials and Methods: Forty eight male rats were divided into
six groups of 8 and treated for 4 weeks. T4 group received daily injection of
levothyroxine sodium (20 MUg/kg) and control group was given daily injection of
saline. T4-Po groups were given T4 plus 100, 200, and 400 mg/kg of P. oleracea
seeds extract in drinking water daily. T4-Vit C group received T4 plus daily
injection of Vitamin C (100 mg/kg). At the end of the experiment, body weight,
serum free T4 level, left ventricular developed pressure (LVDP), malondialdehyde
(MDA) and total thiol levels were measured. Results: Free T4 levels were
increased in all groups that were treated with T4. Weight gain was decreased in
T4 and T4-Po100 groups compared to control group (p<0.001 and p<0.05). However,
body weight was increased in T4-Po (200 and 400) and T4-Vit C groups compared to
T4 group. LVDP was increased in T4 group compared to control group but, LVDP was
decreased in T4-Po and T4-Vit C groups. Malondialdehyde was decreased in T4-Po
groups and T4-Vit C group compared to T4 group. Total thiol groups were increased
in T4-Po (200 and 400) and T4-Vit C groups compared to T4 group. Conclusion: The
results showed that P. oleracea extract has a protective effect on cardiac
dysfunction due to subclinical hyperthyroidism induced by levothyroxine sodium in
rats.
PMID- 29632848
TI - Preventive effects of the aqueous extract of Cichorium intybus L. flower on
ethylene glycol-induced renal calculi in rats.
AB - Objective: Urolithiasis remains a global problem. Despite the availability of
numerous methods, no definite therapeutic agent has been yet introduced for the
prevention or treatment of kidney stones. In this study, we evaluated the
possible preventive effects of aqueous extract of Cichorium intybus L. (chicory)
flowers on ethylene glycol-induced renal calculi in rats. Materials and Methods:
A total of 24 Wistar rats were randomly divided into four groups and were treated
for 30 days. Group A received drinking tap water, while groups B, C, and D were
administered with 1% ethylene glycol for induction of calcium oxalate stone
formation. Rats in groups C and D received intraperitoneal injections of the
aqueous extract of chicory flowers (50 and 200 mg/kg, respectively) since the
first day of the experiment. The urine volume, urine pH, and urinary levels of
oxalate, citrate, calcium, uric acid, and creatinine as well as serum levels of
calcium, uric acid, and creatinine were measured. After 30 days, the rats'
kidneys were removed and prepared for histological evaluation of calcium oxalate
deposits. One-way analysis of variance (ANOVA), followed by Tukey's test, was
performed, using SPSS version 20. Results: The number of calcium oxalate crystals
was significantly higher in group B (ethylene glycol-only treated animals),
compared to group A (control), group C (50 mg/kg of aqueous extract), and group D
(200 mg/kg of aqueous extract) (p<0.05). On day 30, the urine level of citrate,
oxalate (p>0.05), and creatinine (p<0.05), as well as urine pH (p<0.05) decreased
in groups C and D, compared to group B. Also, urine calcium level, urine uric
acid (p>0.05), and urine volume (p<0.05) were higher in group D, compared to
group B. In addition, the serum level of calcium, creatinine (p<0.05), and uric
acid (p<0.001) decreased in groups C and D. Conclusion: The aqueous extract of
chicory flower (50 mg/kg) could reduce the number of calcium oxalate deposits in
the urine and reduce the level of serum parameters.
PMID- 29632849
TI - Doxorubicin-induced renal inflammation in rats: Protective role of Plantago
major.
AB - Objective: The aim of the present study was to evaluate the possible protective
effect of Plantago major (P. major) extract against doxorubicin (DXR)-induced
renal inflammation in rats. Materials and Methods: 80 male albino rats were
randomly divided into 8 groups as follows: control, DXR, Ext (extract) 600,
Ext1200, dexamethasone+DXR, vitamin E+DXR, Ext600+DXR, and Ext1200+DXR. Duration
of the study was 35 days and DXR was intravenously injected on the 7th day of the
experiment. Tumor necrosis factor-alpha (TNF-alpha) production and monocyte
chemoattractant protein-1 (MCP-1) expression levels were assessed in the left
kidney. Serum creatinine concentration and osmolarity were determined on the 1st,
14th, 21st, 28th and 35th days of the experiment. Results: DXR caused a
significant increase in renal expression of MCP-1 and TNF-alpha production
compared to control animals. Administration of dexamethasone, vitamin E and P.
major extract significantly improved the expression of these inflammatory
mediators compared to DXR group. Compared to day 1 in DXR group, serum osmolarity
showed a significant increase on days 21, 28 and 35. Also, on these days, serum
osmolarity in DXR group was significantly higher than that on the same days in
control group. In Vit E+DXR and Ext 1200+DXR groups, there was no significant
changes in serum osmolarity among different days of the study. However, in these
groups, serum osmolarity on days 21, 28 and 35 showed a significant decrease
compared to the same days in DXR group. Conclusion: Present results suggest that
hydroethanolic extract of P. major protected renal tissue against DXR-induced
renal inflammation.
PMID- 29632850
TI - Intraoperative Radiotherapy With INTRABEAM: Technical and Dosimetric
Considerations.
AB - Purpose: We evaluate dose characteristics and clinical applications of treatment
accessories used in intraoperative radiotherapy (IORT) and make site-specific
recommendations for their optimal use. Methods and materials: Dose measurements
were performed for a low energy (50 kV) X-ray INTRABEAM source. For spherical,
flat, surface, and needle applicators, the following dosimetric parameters were
measured: depth-dose (DD) profiles, surface dose (Ds), output factors (OF), and
target dose homogeneity (DH). Optical density versus exposure calibration films
were employed to obtain 2-dimensional dose distributions in planes parallel and
perpendicular to beam direction. Film results were verified via repeat dose
measurements with a parallel-plate ionization chamber in a custom water tank. The
impact of applicator design on dose distributions was evaluated. Results:
Spherical applicators are commonly used for treating the inner-surface of breast
lumpectomy cavity. Flat and surface applicators provide uniform planar dose for
head and neck, abdomen, and pelvis targets. Needle applicators are designed for
kypho-IORT of spinal metastasis. Typically, larger applicators produce a more
homogeneous target dose region with lower surface dose, but require longer
treatment times. For 4-cm diameter spherical, flat, and surface applicators, dose
rates (DR) at their respective prescription points were found to be: 0.8, 0.3,
and 2.2 Gy/min, respectively. The DR for a needle applicator was 7.04 Gy/min at 5
mm distance from the applicator surface. Overall, film results were in excellent
agreement with ion-chamber data. Conclusion: IORT may be delivered with a variety
of site-specific applicators. Appropriate applicator use is paramount for safe,
effective, and efficient IORT delivery. Results of this study should help
clinicians assure optimized target dose coverage and reduced normal tissue
exposure.
PMID- 29632851
TI - Treatment of Genetic Forms of Nephrotic Syndrome.
AB - Idiopathic steroid-resistant nephrotic syndrome (SRNS) is most frequently
characterized by focal segmental glomerulosclerosis (FSGS) but also other
histological lesions, such as diffuse mesangial sclerosis. In the past two
decades, a multitude of genetic causes of SRNS have been discovered raising the
question of effective treatment in this cohort. Although no controlled studies
are available, this review will discuss treatment options including pharmacologic
interventions aiming at the attenuation of proteinuria in genetic causes of SRNS,
such as inhibitors of the renin-angiotensin-aldosterone system and indomethacin.
Also, the potential impact of other interventions to improve podocyte stability
will be addressed. In this respect, the treatment with cyclosporine A (CsA) is of
interest, since a podocyte stabilizing effect has been demonstrated in various
experimental models. Although clinical response to CsA in children with genetic
forms of SRNS is inferior to sporadic SRNS, some recent studies show that partial
and even complete response can be achieved even in individual patients inherited
forms of nephrotic syndrome. Ideally, improved pharmacologic and molecular
approaches to induce partial or even complete remission will be available in the
future, thus slowing or even preventing the progression toward end-stage renal
disease.
PMID- 29632852
TI - Monitoring Circulation During Transition in Extreme Low Gestational Age Newborns:
What's on the Horizon?
AB - Echocardiography and near-infrared spectroscopy have significantly changed our
view on hemodynamic transition of the extreme preterm infant. Instead of focusing
on maintaining an arbitrary target value of blood pressure, we aim for
circulatory well-being by a comprehensive holistic assessment of markers of
cardiovascular instability. Most of these clinical and biochemical indices are
influenced by transition itself and remain poor discriminators to identify
patients with a potential need for therapeutic intervention. At the same time,
the evolution in data capturing and storage has led to a change in our approach
to monitor vital parameters. Continuous trend monitoring has become more and more
relevant. By using signal extraction methods, changes in trends over time can be
quantified. In this review, we will discuss the impact of these innovations on
the current monitoring practices and explore some of the potential benefits these
techniques may have in improving real-time detection of extreme low birth weight
infants at risk for morbidity related to impaired hemodynamic transition.
PMID- 29632853
TI - Effects of a School-Based Sports Program on Physical Fitness, Physical Activity,
and Cardiometabolic Health in Youth With Physical Disabilities: Data From the
Sport-2-Stay-Fit Study.
AB - Objective: To investigate the effects of a school-based once-a-week sports
program on physical fitness, physical activity, and cardiometabolic health in
children and adolescents with a physical disability. Methods: This controlled
clinical trial included 71 children and adolescents from four schools for special
education [mean age 13.7 (2.9) years, range 8-19, 55% boys]. Participants had
various chronic health conditions including cerebral palsy (37%), other
neuromuscular (44%), metabolic (8%), musculoskeletal (7%), and cardiovascular
(4%) disorders. Before recruitment and based on the presence of school-based
sports, schools were assigned as sport or control group. School-based sports were
initiated and provided by motivated experienced physical educators. The sport
group (n = 31) participated in a once-a-week school-based sports program for 6
months, which included team sports. The control group (n = 40) followed the
regular curriculum. Anaerobic performance was assessed by the Muscle Power Sprint
Test. Secondary outcome measures included aerobic performance, VO2 peak,
strength, physical activity, blood pressure, arterial stiffness, body
composition, and the metabolic profile. Results: A significant improvement of 16%
in favor of the sport group was found for anaerobic performance (p = 0.003). In
addition, the sport group lost 2.8% more fat mass compared to the control group
(p = 0.007). No changes were found for aerobic performance, VO2 peak, physical
activity, blood pressure, arterial stiffness, and the metabolic profile.
Conclusion: Anaerobic performance and fat mass improved following a school-based
sports program. These effects are promising for long-term fitness and health
promotion, because sports sessions at school eliminate certain barriers for
sports participation and adding a once-a-week sports session showed already
positive effects for 6 months. Clinical Trial Registration: This trial was
registered with the Dutch Trial Registry (NTR4698).
PMID- 29632854
TI - Vaginal Microbiomes Associated With Aerobic Vaginitis and Bacterial Vaginosis.
AB - A healthy vaginal microbiota is considered to be significant for maintaining
vaginal health and preventing infections. However, certain vaginal bacterial
commensal species serve an important first line of defense of the body. Any
disruption of this microbial barrier might result in a number of urogenital
conditions including aerobic vaginitis (AV) and bacterial vaginosis (BV). The
health of the vagina is closely associated with inhabitant microbiota.
Furthermore, these microbes maintain a low vaginal pH, prevent the acquisition of
pathogens, stimulate or moderate the local innate immune system, and further
protect against complications during pregnancies. Therefore, this review will
focus on vaginal microbial "health" in the lower reproductive tract of women and
on the physiological characteristics that determine the well-being of
reproductive health. In addition, we explore the distinct versus shared
characteristics of BV and AV, which are commonly associated with increased risk
for preterm delivery.
PMID- 29632856
TI - E-Cigarette Use Among Adolescents: An Overview of the Literature and Future
Perspectives.
AB - Background: Electronic cigarettes (e-cigarettes) are rapidly emerging into a new
trend among adolescents, signaling a new epoque, that of vapers. E-cigarettes are
battery-powered nicotine delivery devices that heat a typically flavoring liquid
solution into an aerosol mist that users inhale, allowing them to imitate the act
of conventional smoking. There are concerns about the impact of e-cigarettes at
both individual and public health level. Aim: To discuss the characteristics of
the most vulnerable, to become e-cigarette users, group of adolescents and to
further highlight their behaviors and characteristics. Methods: An electronic
search in PubMed, EMBASE, and Google Scholar databases was conducted, using
combinations of the following keywords: adolescents, teenagers, e-cigarettes,
vaping. The search included all types of articles written in English until August
2017. A total of 100 articles were found, and 25 were finally included in the
present review. Results: Older age, male gender, conventional smokers, peer
influence, daily smoking, and heavier smoking are the most common characteristics
of adolescent e-cigarette users. Conclusion: E-cigarette use is common,
especially between certain subgroups in the adolescent population. Since e
cigarette use is increasing and considering that the long term health effects are
still under investigation, targeted interventions towards more susceptible
individuals may be an effective prevention strategy.
PMID- 29632855
TI - Immune-Modulating Perspectives for Low Frequency Electromagnetic Fields in Innate
Immunity.
AB - In recent years, the effects of electromagnetic fields (EMFs) on the immune
system have received a considerable interest, not only to investigate possible
negative health impact but also to explore the possibility to favorably modulate
immune responses. To generate beneficial responses, the immune system should
eradicate pathogens while "respecting" the organism and tolerating irrelevant
antigens. According to the current view, damage-associated molecules released by
infected or injured cells, or secreted by innate immune cells generate danger
signals activating an immune response. These signals are also relevant to the
subsequent activation of homeostatic mechanisms that control the immune response
in pro- or anti-inflammatory reactions, a feature that allows modulation by
therapeutic treatments. In the present review, we describe and discuss the
effects of extremely low frequency (ELF)-EMF and pulsed EMF on cell signals and
factors relevant to the activation of danger signals and innate immunity cells.
By discussing the EMF modulating effects on cell functions, we envisage the use
of EMF as a therapeutic agent to regulate immune responses associated with wound
healing.
PMID- 29632857
TI - Leveraging Citizen Science for Healthier Food Environments: A Pilot Study to
Evaluate Corner Stores in Camden, New Jersey.
AB - Over the last 6 years, a coordinated "healthy corner store" network has helped an
increasing number of local storeowners stock healthy, affordable foods in Camden,
New Jersey, a city with high rates of poverty and unemployment, and where most
residents have little or no access to large food retailers. The initiative's
funders and stakeholders wanted to directly engage Camden residents in evaluating
this effort to increase healthy food access. In a departure from traditional
survey- or focus group-based evaluations, we used an evidence-based community
engaged citizen science research model (called Our Voice) that has been deployed
in a variety of neighborhood settings to assess how different features of the
built environment both affect community health and wellbeing, and empower
participants to create change. Employing the Our Voice model, participants
documented neighborhood features in and around Camden corner stores through geo
located photos and audio narratives. Eight adult participants who lived and/or
worked in a predefined neighborhood of Camden were recruited by convenience
sample and visited two corner stores participating in the healthy corner store
initiative (one highly-engaged in the initiative and the other less-engaged), as
well as an optional third corner store of their choosing. Facilitators then
helped participants use their collected data (in total, 134 images and 96 audio
recordings) to identify and prioritize issues as a group, and brainstorm and
advocate for potential solutions. Three priority themes were selected by
participants from the full theme list (n = 9) based on perceived importance and
feasibility: healthy product selection and display, store environment, and store
outdoor appearance and cleanliness. Participants devised and presented a set of
action steps to community leaders, and stakeholders have begun to incorporate
these ideas into plans for the future of the healthy corner store network. Key
elements of healthy corner stores were identified as positive, and other
priorities, such as improvements to safety, exterior facades, and physical
accessibility, may find common ground with other community development
initiatives in Camden. Ultimately, this pilot study demonstrated the potential of
citizen science to provide a systematic and data-driven process for public health
stakeholders to authentically engage community residents in program evaluation.
PMID- 29632858
TI - Combined in Vitro and in Silico Studies for the Anticholinesterase Activity and
Pharmacokinetics of Coumarinyl Thiazoles and Oxadiazoles.
AB - In a continuation of our previous work for the exploration of novel enzyme
inhibitors, two new coumarin-thiazole 6(a-o) and coumarin-oxadiazole 11(a-h)
hybrids have been designed and synthesized. All the compounds were characterized
by 1H- and 13C-NMR spectroscopy and elemental analysis. New hybrid analogs were
evaluated against acetylcholinesterase (AChE) and butyrylcholinesterase (BuChE)
in order to know their potential for the prevention of Alzheimer's disease (AD).
In coumarinyl thiazole series, compound 6b was found as the most active member
against AChE having IC50 value of 0.87 +/- 0.09 MUM, while the compound 6j
revealed the same efficacy against BuChE with an IC50 value of 11.01 +/- 3.37
MUM. In case of coumarinyl oxadiazole series, 11a was turned out to be the lead
candidate against AChE with an IC50 value of 6.07 +/- 0.23 MUM, whereas compound
11e was found significantly active against BuChE with an IC50 value of 0.15 +/-
0.09 MUM. To realize the binding interaction of these compounds with AChE and
BuChE, the molecular docking studies were performed. Compounds from coumarinyl
thiazole series with potent AChE activity (6b, 6h, 6i, and 6k) were found to
interact with AChE in the active site with MOE score of -10.19, -9.97, -9.68, and
-11.03 Kcal.mol-1, respectively. The major interactions include hydrogen bonding,
pi-pi stacking with aromatic residues, and interaction through water bridging.
The docking studies of coumarinyl oxadiazole derivatives 11(a-h) suggested that
the compounds with high anti-butyrylcholinesterase activity (11e, 11a, and 11b)
provided MOE score of -9.9, -7.4, and -8.2 Kcal.mol-1, respectively, with the
active site of BuChE building pi-pi stacking with Trp82 and water bridged
interaction.
PMID- 29632859
TI - Mechanisms for .[Formula: see text] and .OH Production on Flowerlike BiVO4
Photocatalysis Based on Electron Spin Resonance.
AB - Many studies have focused on the use of BiVO4 as a photocatalyst, but few have
investigated the production of free radicals during the photocatalytic process.
Following synthesis of flowerlike BiVO4 and characterization by X-ray diffraction
(XRD), Raman spectroscopy, Scanning electron microscopy (SEM) Scanning electron
microscopy (EDX), UV-Vis and XPS, we successfully prepared BiVO4. Then we used
electron spin resonance (ESR) to determine the production and degradation of
individual active free radicals, including the superoxide radical (.[Formula: see
text]) and the hydroxyl radical (.OH). In the first experiment, we used ESR to
detect the signals of free radicals (.[Formula: see text] and .OH) under varying
oxygen conditions. The results shown that in addition to production by .[Formula:
see text], .OH could also be produced by oxidation of h+ to OH-. In the next
experiment, we detected .OH under varying pH to identify the result of the first
experiment, and found that signal intensities increased with increasing pH,
indicating the mechanism for .OH production. Finally, we conducted a trapping
experiment to examine free radical degradation mechanisms. We identified .OH and
h+ as the main active free radicals and showed the complete production about .OH.
These results improve current knowledge of free radical production mechanisms,
which can be used to enhance the photocatalytic performance of BiVO4.
PMID- 29632860
TI - Discovery of Novel Bovine Viral Diarrhea Inhibitors Using Structure-Based Virtual
Screening on the Envelope Protein E2.
AB - Bovine viral diarrhea virus (BVDV) is a member of the genus Pestivirus within the
family Flaviviridae. BVDV causes both acute and persistent infections in cattle,
leading to substantial financial losses to the livestock industry each year. The
global prevalence of persistent BVDV infection and the lack of a highly effective
antiviral therapy have spurred intensive efforts to discover and develop novel
anti-BVDV therapies in the pharmaceutical industry. Antiviral targeting of virus
envelope proteins is an effective strategy for therapeutic intervention of viral
infections. We performed prospective small-molecule high-throughput docking to
identify molecules that likely bind to the region delimited by domains I and II
of the envelope protein E2 of BVDV. Several structurally different compounds were
purchased or synthesized, and assayed for antiviral activity against BVDV. Five
of the selected compounds were active displaying IC50 values in the low- to mid
micromolar range. For these compounds, their possible binding determinants were
characterized by molecular dynamics simulations. A common pattern of interactions
between active molecules and aminoacid residues in the binding site in E2 was
observed. These findings could offer a better understanding of the interaction of
BVDV E2 with these inhibitors, as well as benefit the discovery of novel and more
potent BVDV antivirals.
PMID- 29632861
TI - Compatibility of High-Moisture Storage for Biochemical Conversion of Corn Stover:
Storage Performance at Laboratory and Field Scales.
AB - Wet anaerobic storage of corn stover can provide a year-round supply of feedstock
to biorefineries meanwhile serving an active management approach to reduce the
risks associated with fire loss and microbial degradation. Wet logistics systems
employ particle size reduction early in the supply chain through field-chopping
which removes the dependency on drying corn stover prior to baling, expands the
harvest window, and diminishes the biorefinery size reduction requirements. Over
two harvest years, in-field forage chopping was capable of reducing over 60% of
the corn stover to a particle size of 6 mm or less. Aerobic and anaerobic storage
methods were evaluated for wet corn stover in 100 L laboratory reactors. Of the
methods evaluated, traditional ensiling resulted in <6% total solid dry matter
loss (DML), about five times less than the aerobic storage process and slightly
less than half that of the anaerobic modified-Ritter pile method. To further
demonstrate the effectiveness of the anaerobic storage, a field demonstration was
completed with 272 dry tonnes of corn stover; DML averaged <5% after 6 months.
Assessment of sugar release as a result of dilute acid or dilute alkaline
pretreatment and subsequent enzymatic hydrolysis suggested that when anaerobic
conditions were maintained in storage, sugar release was either similar to or
greater than as-harvested material depending on the pretreatment chemistry used.
This study demonstrates that wet logistics systems offer practical benefits for
commercial corn stover supply, including particle size reduction during harvest,
stability in storage, and compatibility with biochemical conversion of
carbohydrates for biofuel production. Evaluation of the operational efficiencies
and costs is suggested to quantify the potential benefits of a fully-wet biomass
supply system to a commercial biorefinery.
PMID- 29632862
TI - Metabolic Engineering of the Shikimate Pathway for Production of Aromatics and
Derived Compounds-Present and Future Strain Construction Strategies.
AB - The aromatic nature of shikimate pathway intermediates gives rise to a wealth of
potential bio-replacements for commonly fossil fuel-derived aromatics, as well as
naturally produced secondary metabolites. Through metabolic engineering, the
abundance of certain intermediates may be increased, while draining flux from
other branches off the pathway. Often targets for genetic engineering lie beyond
the shikimate pathway, altering flux deep in central metabolism. This has been
extensively used to develop microbial production systems for a variety of
compounds valuable in chemical industry, including aromatic and non-aromatic
acids like muconic acid, para-hydroxybenzoic acid, and para-coumaric acid, as
well as aminobenzoic acids and aromatic alpha-amino acids. Further, many natural
products and secondary metabolites that are valuable in food- and pharma-industry
are formed outgoing from shikimate pathway intermediates. (Re)construction of
such routes has been shown by de novo production of resveratrol, reticuline,
opioids, and vanillin. In this review, strain construction strategies are
compared across organisms and put into perspective with requirements by industry
for commercial viability. Focus is put on enhancing flux to and through shikimate
pathway, and engineering strategies are assessed in order to provide a guideline
for future optimizations.
PMID- 29632863
TI - Activators and Effectors of the Small G Protein Arf1 in Regulation of Golgi
Dynamics During the Cell Division Cycle.
AB - When eukaryotic cells divide, they must faithfully segregate not only the genetic
material but also their membrane-bound organelles into each daughter cell. To
assure correct partitioning of cellular contents, cells use regulatory mechanisms
to verify that each stage of cell division has been correctly accomplished before
proceeding to the next step. A great deal is known about mechanisms that regulate
chromosome segregation during cell division, but we know much less about the
mechanisms by which cellular organelles are partitioned, and how these processes
are coordinated. The Golgi apparatus, the central sorting and modification
station of the secretory pathway, disassembles during mitosis, a process that
depends on Arf1 and its regulators and effectors. Prior to total disassembly, the
Golgi ribbon in mammalian cells, composed of alternating cisternal stacks and
tubular networks, undergoes fission of the tubular networks to produce individual
stacks. Failure to carry out this unlinking leads to cell division arrest at late
G2 prior to entering mitosis, an arrest that can be relieved by inhibition of
Arf1 activation. The level of active Arf1-GTP drops during mitosis, due to
inactivation of the major Arf1 guanine nucleotide exchange factor at the Golgi,
GBF1. Expression of constitutively active Arf1 prevents Golgi disassembly, and
leads to defects in chromosome segregation and cytokinesis. In this review, we
describe recent advances in understanding the functions of Arf1 regulators and
effectors in the crosstalk between Golgi structure and cell cycle regulation.
PMID- 29632864
TI - Circulating Cell-Free DNA in Hepatocellular Carcinoma: Current Insights and
Outlook.
AB - Over the past decade, the advancements in massively parallel sequencing have
provided a new paradigm in biomedical research to uncover the genetic basis of
human diseases. Integration of 'omics information has begun transforming clinical
management of cancer patients in terms of diagnostics and treatment options,
giving rise to the era of precision medicine. Currently, nucleic acids for
molecular profiling for patients diagnosed with hepatocellular carcinoma (HCC)
are typically obtained from resected tumor materials or transplanted neoplastic
liver and occasionally from biopsies. Given the intrinsic risks associated with
such invasive procedures, circulating cell-free DNA (cfDNA) has been proposed as
an alternative source for tumor DNA. Circulating cfDNA is a type of cell-free
nucleic acid that derives from apoptotic, necrotic, as well as living eukaryotic
cells. Importantly, the detection of abnormal forms of circulating cfDNA that
originate from cancer cells provides a new tool for cancer detection, disease
monitoring, and molecular profiling. Currently, cfDNA is beginning to be adopted
into clinical practice as a non-invasive tool to monitor disease by tracking the
evolution of disease-specific genetic alterations in several major cancer types.
Moreover, cfDNA is demonstrating potential clinical value as a surrogate to
assess the molecular makeup of tumors and to overcome the sampling biases
inherent to intra-tumor genetic heterogeneity, especially in the metastatic
setting. With the improvements in 'omics and molecular biology techniques,
coupled with the increasing understanding in the molecular pathogenesis of
cancer, it can be anticipated that the detection and analysis of cfDNA will
become more specific and sensitive and thus enable cfDNA analysis to be used as a
diagnostic aid in patients with early-stage disease and perhaps even in a
screening setting. In this review, we provide an overview of the latest findings
on the role and potential utility of cfDNA analysis in the diagnosis, management,
and screening of HCC.
PMID- 29632865
TI - Pregnancy and Cardiomyopathy After Anthracyclines in Childhood.
AB - With advances in cancer therapy, there has been a remarkable increase in survival
in children diagnosed with malignancies. Many of these children are treated with
anthracyclines which are well known to cause cardiotoxicity. As more childhood
cancer survivors reach childbearing age, many will choose to become pregnant. At
this time, the factors associated with development of cardiomyopathy after
anthracycline treatment are not clearly identified. It is possible that cardiac
stress could predispose to cardiac deterioration in a patient with reduced
functional reserve from prior anthracycline exposure. Pregnancy is one form of
cardiovascular stress. The cardiac outcomes of pregnancy in childhood cancer
survivors must be considered. In view of limited data, guidelines for pregnancy
planning, management, and monitoring after cardiotoxic cancer therapy have not
been established. This review summarizes the limited data available on the topic
of pregnancy after anthracyclines in childhood.
PMID- 29632867
TI - Comparison of Tracheal Wash and Bronchoalveolar Lavage Cytology in 154 Horses
With and Without Respiratory Signs in a Referral Hospital Over 2009-2015.
AB - Most equine lower respiratory diseases present as increased airway neutrophilia,
which can be detected in tracheal wash (TW) or bronchoalveolar lavage fluid
(BALF) cytology samples. The aim was to compare the TW and BALF results in a
population of client-owned horses with and without clinical respiratory disease
signs. A secondary aim was to determine the sensitivity (Se) and specificity (Sp)
of TW and BALF neutrophilia in detecting respiratory disease. The cutoff values
for neutrophils were also evaluated. Retrospective data from 154 horses of
various breeds that had been subject to both TW and bronchoalveolar lavage (BAL)
sampling at rest during 2009-2015 were used. The horses were divided into three
groups based on the presenting signs, physical examination, and endoscopy mucus
score. Neutrophil counts of >20% in TW and >5% in BAL were considered abnormal.
Cytology results between groups, correlations between TW and BALF cell types, and
tracheal mucus score were analyzed. Two graph receiving operating characteristic
(ROC) curves of the neutrophil percentage values of TW and BALF were created to
determine the optimal cutoff values and to calculate the diagnostic Se and Sp for
diagnosing airway inflammation in horses with and without clinical respiratory
signs. The Se and Sp of TW and BALF neutrophil percentages were further estimated
using a two-test one-population Bayesian latent class model. The two tests showed
substantial agreement, and only 17.5% of the horses were classified differently
(healthy vs. diseased). The neutrophil percentage was found to correlate between
TW and BALF. The Se and Sp of TW were generally higher than for BAL when
estimated with area under the curve or Bayesian model. Cutoff values of 17.7% for
TW and 7% for BALF were indicated by the ROCs. We conclude that TW is a more
sensitive and specific method in our patient population. We suggest that the
current neutrophil cutoff values of 20% for TW and 5% for BALF would still be
appropriate to use in clinical diagnosis of airway inflammation. However, further
studies with other cell types and in other populations are warranted to determine
the best sampling method for individual horses.
PMID- 29632866
TI - Cell Phenotype Transitions in Cardiovascular Calcification.
AB - Cardiovascular calcification was originally considered a passive, degenerative
process, however with the advance of cellular and molecular biology techniques it
is now appreciated that ectopic calcification is an active biological process.
Vascular calcification is the most common form of ectopic calcification, and
aging as well as specific disease states such as atherosclerosis, diabetes, and
genetic mutations, exhibit this pathology. In the vessels and valves, endothelial
cells, smooth muscle cells, and fibroblast-like cells contribute to the formation
of extracellular calcified nodules. Research suggests that these vascular cells
undergo a phenotypic switch whereby they acquire osteoblast-like characteristics,
however the mechanisms driving the early aspects of these cell transitions are
not fully understood. Osteoblasts are true bone-forming cells and differentiate
from their pluripotent precursor, the mesenchymal stem cell (MSC); vascular cells
that acquire the ability to calcify share aspects of the transcriptional programs
exhibited by MSCs differentiating into osteoblasts. What is unknown is whether a
fully-differentiated vascular cell directly acquires the ability to calcify by
the upregulation of osteogenic genes or, whether these vascular cells first de
differentiate into an MSC-like state before obtaining a "second hit" that induces
them to re-differentiate down an osteogenic lineage. Addressing these questions
will enable progress in preventative and regenerative medicine strategies to
combat vascular calcification pathologies. In this review, we will summarize what
is known about the phenotypic switching of vascular endothelial, smooth muscle,
and valvular cells.
PMID- 29632868
TI - Detection of Risky Driving Behaviors in the Naturalistic Environment in Healthy
Older Adults and Mild Alzheimer's Disease.
AB - Analyzing naturalistic driving behavior recorded with in-car cameras is an
ecologically valid method for measuring driving errors, but it is time intensive
and not easily applied on a large scale. This study validated a semi-automated,
computerized method using archival naturalistic driving data collected for
drivers with mild Alzheimer's disease (AD; n = 44) and age-matched healthy
controls (HC; n = 16). The computerized method flagged driving situations where
safety concerns are most likely to occur (i.e., rapid stops, lane deviations,
turns, and intersections). These driving epochs were manually reviewed and rated
for error type and severity, if present. Ratings were made with a standardized
scoring system adapted from DriveCam(r). The top eight error types were applied
as features to train a logistic model tree classifier to predict diagnostic
group. The sensitivity and specificity were compared among the event-based
method, on-road test, and composite ratings of two weeks of recorded driving. The
logistic model derived from the event-based method had the best overall accuracy
(91.7%) and sensitivity (97.7%) and high specificity (75.0%) compared to the
other methods. Review of driving situations where risk is highest appears to be a
sensitive data reduction method for detecting cognitive impairment associated
driving behaviors and may be a more cost-effective method for analyzing large
volumes of naturalistic data.
PMID- 29632869
TI - Excitatory Neuronal Responses of Ca2+ Transients in Interstitial Cells of Cajal
in the Small Intestine.
AB - Interstitial cells of Cajal (ICC) regulate smooth muscle excitability and
motility in the gastrointestinal (GI) tract. ICC in the deep muscular plexus (ICC
DMP) of the small intestine are aligned closely with varicosities of enteric
motor neurons and thought to transduce neural responses. ICC-DMP generate Ca2+
transients that activate Ca2+ activated Cl- channels and generate
electrophysiological responses. We tested the hypothesis that excitatory
neurotransmitters regulate Ca2+ transients in ICC-DMP as a means of regulating
intestinal muscles. High-resolution confocal microscopy was used to image Ca2+
transients in ICC-DMP within murine small intestinal muscles with cell-specific
expression of GCaMP3. Intrinsic nerves were stimulated by electrical field
stimulation (EFS). ICC-DMP exhibited ongoing Ca2+ transients before stimuli were
applied. EFS caused initial suppression of Ca2+ transients, followed by escape
during sustained stimulation, and large increases in Ca2+ transients after
cessation of stimulation. Basal Ca2+ activity and the excitatory phases of Ca2+
responses to EFS were inhibited by atropine and neurokinin 1 receptor (NK1)
antagonists, but not by NK2 receptor antagonists. Exogenous ACh and substance P
(SP) increased Ca2+ transients, atropine and NK1 antagonists decreased Ca2+
transients. Neurokinins appear to be released spontaneously (tonic excitation) in
small intestinal muscles and are the dominant excitatory neurotransmitters.
Subcellular regulation of Ca2+ release events in ICC-DMP may be a means by which
excitatory neurotransmission organizes intestinal motility patterns.
PMID- 29632870
TI - Dopaminergic Drug Effects on Probability Weighting during Risky Decision Making.
AB - Dopamine has been associated with risky decision-making, as well as with
pathological gambling, a behavioral addiction characterized by excessive risk
taking behavior. However, the specific mechanisms through which dopamine might
act to foster risk-taking and pathological gambling remain elusive. Here we test
the hypothesis that this might be achieved, in part, via modulation of subjective
probability weighting during decision making. Human healthy controls (n = 21) and
pathological gamblers (n = 16) played a decision-making task involving choices
between sure monetary options and risky gambles both in the gain and loss
domains. Each participant played the task twice, either under placebo or the
dopamine D2/D3 receptor antagonist sulpiride, in a double-blind counterbalanced
design. A prospect theory modelling approach was used to estimate subjective
probability weighting and sensitivity to monetary outcomes. Consistent with
prospect theory, we found that participants presented a distortion in the
subjective weighting of probabilities, i.e., they overweighted low probabilities
and underweighted moderate to high probabilities, both in the gain and loss
domains. Compared with placebo, sulpiride attenuated this distortion in the gain
domain. Across drugs, the groups did not differ in their probability weighting,
although gamblers consistently underweighted losing probabilities in the placebo
condition. Overall, our results reveal that dopamine D2/D3 receptor antagonism
modulates the subjective weighting of probabilities in the gain domain, in the
direction of more objective, economically rational decision making.
PMID- 29632872
TI - A Conversation with Jas Pal Badyal.
PMID- 29632873
TI - Battling a Deadly Bat Fungus.
PMID- 29632871
TI - Brain Activity during Methamphetamine Anticipation in a Non-Invasive Self
Administration Paradigm in Mice.
AB - The ability to sense time and anticipate events is critical for survival. Learned
responses that allow anticipation of the availability of food or water have been
intensively studied. While anticipatory behaviors also occur prior to
availability of regularly available rewards, there has been relatively little
work on anticipation of drugs of abuse, specifically methamphetamine (MA). In the
present study, we used a protocol that avoided possible CNS effects of stresses
of handling or surgery by testing anticipation of MA availability in animals
living in their home cages, with daily voluntary access to the drug at a fixed
time of day. Anticipation was operationalized as the amount of wheel running
prior to MA availability. Mice were divided into four groups given access to
either nebulized MA or water, in early or late day. Animals with access to MA,
but not water controls, showed anticipatory activity, with more anticipation in
early compared to late day and significant interaction effects. Next, we explored
the neural basis of the MA anticipation, using c-FOS expression, in animals
euthanized at the usual time of nebulization access. In the dorsomedial
hypothalamus (DMH) and orbitofrontal cortex (OFC), the pattern of c-FOS
expression paralleled that of anticipatory behavior, with significant main and
interaction effects of treatment and time of day. The results for the lateral
septum (LS) were significant for main effects and marginally significant for
interaction effects. These studies suggest that anticipation of MA is associated
with activation of brain regions important in circadian timing, emotional
regulation, and decision making.
PMID- 29632874
TI - Lipoxygenases-Killers against Their Will?
PMID- 29632875
TI - For CO2 Reduction, Hydrogen-Bond Donors Do the Trick.
PMID- 29632876
TI - A DNA-Based Magnetic Sensor.
PMID- 29632877
TI - Can Metal-Organic Framework Composites Contain the Water Contamination Crisis?
PMID- 29632879
TI - Biodegradable Polymeric Materials in Degradable Electronic Devices.
AB - Biodegradable electronics have great potential to reduce the environmental
footprint of devices and enable advanced health monitoring and therapeutic
technologies. Complex biodegradable electronics require biodegradable substrates,
insulators, conductors, and semiconductors, all of which comprise the fundamental
building blocks of devices. This review will survey recent trends in the
strategies used to fabricate biodegradable forms of each of these components.
Polymers that can disintegrate without full chemical breakdown (type I), as well
as those that can be recycled into monomeric and oligomeric building blocks (type
II), will be discussed. Type I degradation is typically achieved with engineering
and material science based strategies, whereas type II degradation often requires
deliberate synthetic approaches. Notably, unconventional degradable linkages
capable of maintaining long-range conjugation have been relatively unexplored,
yet may enable fully biodegradable conductors and semiconductors with
uncompromised electrical properties. While substantial progress has been made in
developing degradable device components, the electrical and mechanical properties
of these materials must be improved before fully degradable complex electronics
can be realized.
PMID- 29632878
TI - Recent Development of Inorganic Nanoparticles for Biomedical Imaging.
AB - Inorganic nanoparticle-based biomedical imaging probes have been studied
extensively as a potential alternative to conventional molecular imaging probes.
Not only can they provide better imaging performance but they can also offer
greater versatility of multimodal, stimuli-responsive, and targeted imaging.
However, inorganic nanoparticle-based probes are still far from practical use in
clinics due to safety concerns and less-optimized efficiency. In this context, it
would be valuable to look over the underlying issues. This outlook highlights the
recent advances in the development of inorganic nanoparticle-based probes for
MRI, CT, and anti-Stokes shift-based optical imaging. Various issues and
possibilities regarding the construction of imaging probes are discussed, and
future research directions are suggested.
PMID- 29632880
TI - Rapid, Selective Heavy Metal Removal from Water by a Metal-Organic
Framework/Polydopamine Composite.
AB - Drinking water contamination with heavy metals, particularly lead, is a
persistent problem worldwide with grave public health consequences. Existing
purification methods often cannot address this problem quickly and economically.
Here we report a cheap, water stable metal-organic framework/polymer composite,
Fe-BTC/PDA, that exhibits rapid, selective removal of large quantities of heavy
metals, such as Pb2+ and Hg2+, from real world water samples. In this work, Fe
BTC is treated with dopamine, which undergoes a spontaneous polymerization to
polydopamine (PDA) within its pores via the Fe3+ open metal sites. The PDA,
pinned on the internal MOF surface, gains extrinsic porosity, resulting in a
composite that binds up to 1634 mg of Hg2+ and 394 mg of Pb2+ per gram of
composite and removes more than 99.8% of these ions from a 1 ppm solution,
yielding drinkable levels in seconds. Further, the composite properties are well
maintained in river and seawater samples spiked with only trace amounts of lead,
illustrating unprecedented selectivity. Remarkably, no significant uptake of
competing metal ions is observed even when interferents, such as Na+, are present
at concentrations up to 14 000 times that of Pb2+. The material is further shown
to be resistant to fouling when tested in high concentrations of common organic
interferents, like humic acid, and is fully regenerable over many cycles.
PMID- 29632881
TI - Development of an Efficacious, Semisynthetic Glycoconjugate Vaccine Candidate
against Streptococcus pneumoniae Serotype 1.
AB - Infections with Streptococcus pneumoniae are a major health burden.
Glycoconjugate vaccines based on capsular polysaccharides (CPSs) successfully
protect from infection, but not all pneumococcal serotypes are covered with equal
potency. Marketed glycoconjugate vaccines induce low levels of functional
antibodies against the highly invasive serotype 1 (ST1), presumably due to the
obscuring of protective epitopes during chemical activation and conjugation to
carrier proteins. Synthetic oligosaccharide antigens can be designed to carry
linkers for site-selective protein conjugation while keeping protective epitopes
intact. Here, we developed an efficacious semisynthetic ST1 glycoconjugate
vaccine candidate. A panel of synthetic oligosaccharides served to reveal a
critical role of the rare aminosugar, 2-acetamido-4-amino-2,4,6-trideoxy-d
galactose (d-AAT), for ST1 immune recognition. A monovalent ST1 trisaccharide
carrying d-AAT at the nonreducing end induced a strong antibacterial immune
response in rabbits and outperformed the ST1 component of the multivalent
blockbuster vaccine Prevenar 13, paving the way for a more efficacious vaccine.
PMID- 29632882
TI - Shuttling Rates, Electronic States, and Hysteresis in a Ring-in-Ring Rotaxane.
AB - The trisradical recognition motif between a 4,4'-bipyridinium radical cation and
a cyclo-bis-4,4'-bipyridinium diradical dication has been employed previously in
rotaxanes to control their nanomechanical and electronic properties. Herein, we
describe the synthesis and characterization of a redox-active ring-in-ring
[2]rotaxane BBR.8PF6 that employs a tetraradical variant of this recognition
motif. A square-shaped bis-4,4'-bipyridinium cyclophane is mechanically
interlocked around the dumbbell component of this rotaxane, and the dumbbell
itself incorporates a smaller bis-4,4'-bipyridinium cyclophane into its
covalently bonded structure. This small cyclophane serves as a significant
impediment to the shuttling of the larger ring across the dumbbell component of
BBR8+ , whereas reduction to the tetraradical tetracationic state BBR4(+*)
results in strong association of the two cyclophanes driven by two radical
pairing interactions. In these respects, BBR.8PF6 exhibits qualitatively similar
behavior to its predecessors that interconvert between hexacationic and
trisradical tricationic states. The rigid preorganization of two bipyridinium
groups within the dumbbell of BBR.8PF6 confers, however, two distinct properties
upon this rotaxane: (1) the rate of shuttling is reduced significantly relative
to those of its predecessors, resulting in marked electrochemical hysteresis
observed by cyclic voltammetry for switching between the BBR8+/BBR4(+*) states,
and (2) the formally tetraradical form of the rotaxane, BBR4(+*) , exhibits a
diamagnetic ground state, which, as a result of the slow shuttling motions within
BBR4(+*) , has a long enough lifetime to be characterized by 1H NMR spectroscopy.
PMID- 29632883
TI - Diverse Engineered Heme Proteins Enable Stereodivergent Cyclopropanation of
Unactivated Alkenes.
AB - Developing catalysts that produce each stereoisomer of a desired product
selectively is a longstanding synthetic challenge. Biochemists have addressed
this challenge by screening nature's diversity to discover enzymes that catalyze
the formation of complementary stereoisomers. We show here that the same approach
can be applied to a new-to-nature enzymatic reaction, alkene cyclopropanation via
carbene transfer. By screening diverse native and engineered heme proteins, we
identified globins and serine-ligated "P411" variants of cytochromes P450 with
promiscuous activity for cyclopropanation of unactivated alkene substrates. We
then enhanced their activities and stereoselectivities by directed evolution:
just 1-3 rounds of site-saturation mutagenesis and screening generated enzymes
that transform unactivated alkenes and electron-deficient alkenes into each of
the four stereoisomeric cyclopropanes with up to 5,400 total turnovers and 98%
enantiomeric excess. These fully genetically encoded biocatalysts function in
whole Escherichia coli cells in mild, aqueous conditions and provide the first
example of enantioselective, intermolecular iron-catalyzed cyclopropanation of
unactivated alkenes.
PMID- 29632884
TI - Defining the Structure of a Protein-Spherical Nucleic Acid Conjugate and Its
Counterionic Cloud.
AB - Protein-spherical nucleic acid conjugates (Pro-SNAs) are an emerging class of
bioconjugates that have properties defined by their protein cores and dense shell
of oligonucleotides. They have been used as building blocks in DNA-driven crystal
engineering strategies and show promise as agents that can cross cell membranes
and affect both protein and DNA-mediated processes inside cells. However, ionic
environments surrounding proteins can influence their activity and conformational
stability, and functionalizing proteins with DNA substantively changes the
surrounding ionic environment in a nonuniform manner. Techniques typically used
to determine protein structure fail to capture such irregular ionic
distributions. Here, we determine the counterion radial distribution profile
surrounding Pro-SNAs dispersed in RbCl with 1 nm resolution through in situ
anomalous small-angle X-ray scattering (ASAXS) and classical density functional
theory (DFT). SAXS analysis also reveals the radial extension of the DNA and the
linker used to covalently attach the DNA to the protein surface. At the
experimental salt concentration of 50 mM RbCl, Rb+ cations compensate ~90% of the
negative charge due to the DNA and linker. Above 75 mM, DFT calculations predict
overcompensation of the DNA charge by Rb+. This study suggests a method for
exploring Pro-SNA structure and function in different environments through
predictions of ionic cloud densities as a function of salt concentration, DNA
grafting density, and length. Overall, our study demonstrates that solution X-ray
scattering combined with DFT can discern counterionic distribution and
submolecular features of highly charged, complex nanoparticle constructs such as
Pro-SNAs and related nucleic acid conjugate materials.
PMID- 29632885
TI - Resolving the Role of Lipoxygenases in the Initiation and Execution of
Ferroptosis.
AB - Lipoxygenases (LOXs) have been implicated as central players in ferroptosis, a
recently characterized cell death modality associated with the accumulation of
lipid hydroperoxides: the products of LOX catalysis. To provide insight on their
role, human embryonic kidney cells were transfected to overexpress each of the
human isoforms associated with disease, 5-LOX, p12-LOX, and 15-LOX-1, which
yielded stable cell lines that were demonstrably sensitized to ferroptosis.
Interestingly, the cells could be rescued by less than half of a diverse
collection of known LOX inhibitors. Furthermore, the cytoprotective compounds
were similarly potent in each of the cell lines even though some were clearly
isoform-selective LOX inhibitors. The cytoprotective compounds were subsequently
demonstrated to be effective radical-trapping antioxidants, which protect lipids
from autoxidation, the autocatalytic radical chain reaction that produces lipid
hydroperoxides. From these data (and others reported herein), a picture emerges
wherein LOX activity may contribute to the cellular pool of lipid hydroperoxides
that initiate ferroptosis, but lipid autoxidation drives the cell death process.
PMID- 29632886
TI - Pendant Hydrogen-Bond Donors in Cobalt Catalysts Independently Enhance CO2
Reduction.
AB - The bioinspired incorporation of pendant proton donors into transition metal
catalysts is a promising strategy for converting environmentally deleterious CO2
to higher energy products. However, the mechanism of proton transfer in these
systems is poorly understood. Herein, we present a series of cobalt complexes
with varying pendant secondary and tertiary amines in the ligand framework with
the aim of disentangling the roles of the first and second coordination spheres
in CO2 reduction catalysis. Electrochemical and kinetic studies indicate that the
rate of catalysis shows a first-order dependence on acid, CO2, and the number of
pendant secondary amines, respectively. Density functional theory studies explain
the experimentally observed trends and indicate that pendant secondary amines do
not directly transfer protons to CO2, but instead bind acid molecules from
solution. Taken together, these results suggest a mechanism in which
noncooperative pendant amines facilitate a hydrogen-bonding network that enables
direct proton transfer from acid to the activated CO2 substrate.
PMID- 29632887
TI - A Compass at Weak Magnetic Fields Using Thymine Dimer Repair.
AB - How birds sense the variations in Earth's magnetic field for navigation is poorly
understood, although cryptochromes, proteins homologous to photolyases, have been
proposed to participate in this magnetic sensing. Here, in electrochemical
studies with an applied magnetic field, we monitor the repair of cyclobutane
pyrimidine dimer lesions in duplex DNA by photolyase, mutants of photolyase, and
a modified cryptochrome. We find that the yield of dimer repair is dependent on
the strength and angle of the applied magnetic field even when using magnetic
fields weaker than 1 gauss. This high sensitivity to weak magnetic fields depends
upon a fast radical pair reaction on the thymines leading to repair. These data
illustrate chemically how cyclobutane pyrimidine dimer repair may be used in a
biological compass informed by variations in Earth's magnetic field.
PMID- 29632888
TI - Nematic Order Imposes Molecular Weight Effect on Charge Transport in Conjugated
Polymers.
AB - Nematic order, in the bulk or at interfaces, is ubiquitous for semiflexible
conjugated polymers. Nevertheless, the effect of liquid crystalline order on
charge transport remains unclear. Using an analytical model, we demonstrate that
nematic order leads to an enhancement in charge mobilities when compared to
isotropic chains. Furthermore, we predict a quadratic dependence of the charge
mobility on molecular weight of the chains. Analysis of the probability of
forming hairpin defects also shows how the persistence length affects charge
transport in conjugated polymers. We speculate that the prevalence of nematic
order in conjugated polymers explains the reported increase in charge mobilities
with molecular weight.
PMID- 29632889
TI - Nonlinear fracture toughness measurement and crack propagation resistance of
functionalized graphene multilayers.
AB - Despite promising applications of two-dimensional (2D) materials, one major
concern is their propensity to fail in a brittle manner, which results in a low
fracture toughness causing reliability issues in practical applications. We show
that this limitation can be overcome by using functionalized graphene multilayers
with fracture toughness (J integral) as high as ~39 J/m2, measured via a
microelectromechanical systems-based in situ transmission electron microscopy
technique coupled with nonlinear finite element fracture analysis. The measured
fracture toughness of functionalized graphene multilayers is more than two times
higher than graphene (~16 J/m2). A linear fracture analysis, similar to that
previously applied to other 2D materials, was also conducted and found to be
inaccurate due to the nonlinear nature of the stress-strain response of
functionalized graphene multilayers. A crack arresting mechanism of
functionalized graphene multilayers was experimentally observed and identified as
the main contributing factor for the higher fracture toughness as compared to
graphene. Molecular dynamics simulations revealed that the interactions among
functionalized atoms in constituent layers and distinct fracture pathways in
individual layers, due to a random distribution of functionalized carbon atoms in
multilayers, restrict the growth of a preexisting crack. The results inspire
potential strategies for overcoming the relatively low fracture toughness of 2D
materials through chemical functionalization.
PMID- 29632890
TI - Geoelectrochemical CO production: Implications for the autotrophic origin of
life.
AB - Wachtershauser's proposal of the autotrophic origin of life theory and subsequent
laboratory demonstrations of relevant organic reactions have opened a new gate
for the exploration of the origin of life. However, this scenario remains
controversial because, at present, it requires a high pressure of CO as a source
of carbon and reducing energy, although CO must have been a trace C species on
the Hadean Earth. We show that, simulating a geoelectrochemical environment in
deep-sea hydrothermal fields, CO production with up to ~40% Faraday efficiency
was attainable on CdS in CO2-saturated NaCl solution at <=-1 V (versus the
standard hydrogen electrode). The threshold potential is readily generated in the
H2-rich, high-temperature, and alkaline hydrothermal vents that were probably
widespread on the early komatiitic and basaltic ocean crust. Thus,
Wachtershauser's scenario starting from CO2 was likely to be realized in the
Hadean ocean hydrothermal systems.
PMID- 29632891
TI - Organic fertilizer as a vehicle for the entry of microplastic into the
environment.
AB - The contamination of the environment with microplastic, defined as particles
smaller than 5 mm, has emerged as a global challenge because it may pose risks to
biota and public health. Current research focuses predominantly on aquatic
systems, whereas comparatively little is known regarding the sources, pathways,
and possible accumulation of plastic particles in terrestrial ecosystems. We
investigated the potential of organic fertilizers from biowaste fermentation and
composting as an entry path for microplastic particles into the environment.
Particles were classified by size and identified by attenuated total reflection
Fourier transform infrared spectroscopy. All fertilizer samples from plants
converting biowaste contained plastic particles, but amounts differed
significantly with substrate pretreatment, plant, and waste (for example,
household versus commerce) type. In contrast, digestates from agricultural energy
crop digesters tested for comparison contained only isolated particles, if any.
Among the most abundant synthetic polymers observed were those used for common
consumer products. Our results indicate that depending on pretreatment, organic
fertilizers from biowaste fermentation and composting, as applied in agriculture
and gardening worldwide, are a neglected source of microplastic in the
environment.
PMID- 29632892
TI - Whole-genome sequencing of the blue whale and other rorquals finds signatures for
introgressive gene flow.
AB - Reconstructing the evolution of baleen whales (Mysticeti) has been problematic
because morphological and genetic analyses have produced different scenarios.
This might be caused by genomic admixture that may have taken place among some
rorquals. We present the genomes of six whales, including the blue whale
(Balaenoptera musculus), to reconstruct a species tree of baleen whales and to
identify phylogenetic conflicts. Evolutionary multilocus analyses of 34,192
genome fragments reveal a fast radiation of rorquals at 10.5 to 7.5 million years
ago coinciding with oceanic circulation shifts. The evolutionarily enigmatic gray
whale (Eschrichtius robustus) is placed among rorquals, and the blue whale genome
shows a high degree of heterozygosity. The nearly equal frequency of conflicting
gene trees suggests that speciation of rorqual evolution occurred under gene
flow, which is best depicted by evolutionary networks. Especially in marine
environments, sympatric speciation might be common; our results raise questions
about how genetic divergence can be established.
PMID- 29632893
TI - Implantation of hyaluronic acid hydrogel prevents the pain phenotype in a rat
model of intervertebral disc injury.
AB - Painful intervertebral disc degeneration is mediated by inflammation that
modulates glycosylation and induces hyperinnervation and sensory sensitization,
which result in discogenic pain. Hyaluronic acid (HA) used as a therapeutic
biomaterial can reduce inflammation and pain, but the effects of HA therapy on
glycosylation and pain associated with disc degeneration have not been previously
determined. We describe a novel rat model of pain induced by intervertebral disc
injury, with validation of the pain phenotype by morphine treatment. Using this
model, we assessed the efficacy of HA hydrogel for the alleviation of pain,
demonstrating that it reduced nociceptive behavior, an effect associated with
down-regulation of nociception markers and inhibition of hyperinnervation.
Furthermore, HA hydrogel altered glycosylation and modulated key inflammatory and
regulatory signaling pathways, resulting in attenuation of inflammation and
regulation of matrix components. Our results suggest that HA hydrogel is a
promising clinical candidate for the treatment of back pain caused by degenerated
discs.
PMID- 29632894
TI - A low-barrier hydrogen bond mediates antibiotic resistance in a noncanonical
catalytic triad.
AB - One group of enzymes that confer resistance to aminoglycoside antibiotics through
covalent modification belongs to the GCN5-related N-acetyltransferase (GNAT)
superfamily. We show how a unique GNAT subfamily member uses a previously
unidentified noncanonical catalytic triad, consisting of a glutamic acid, a
histidine, and the antibiotic substrate itself, which acts as a nucleophile and
attacks the acetyl donor molecule. Neutron diffraction studies allow for
unambiguous identification of a low-barrier hydrogen bond, predicted in canonical
catalytic triads to increase basicity of the histidine. This work highlights the
role of this unique catalytic triad in mediating antibiotic resistance while
providing new insights into the design of the next generation of aminoglycosides.
PMID- 29632895
TI - The Warrior Wellness Study: A Randomized Controlled Exercise Trial for Older
Veterans with PTSD.
AB - Posttraumatic stress disorder (PTSD) affects up to 30% of military veterans.
Older veterans, many of whom have lived with PTSD symptoms for several decades,
report a number of negative health outcomes. Despite the demonstrated benefits of
regular exercise on physical and psychological health, no studies have explored
the impact of exercise in older veterans with PTSD. This paper describes the
development, design, and implementation of the Warrior Wellness exercise pilot
study for older veterans with PTSD. Veterans aged >=60 with a Diagnostic and
Statistical Manual of Mental Disorders (DSM-V) diagnosis of PTSD will be
recruited and randomized to (a) Warrior Wellness, a 12-week supervised, facility
based exercise intervention, or (b) usual care for 12 weeks. Warrior Wellness is
a theory- and evidence-based behavioral intervention that involves 3 sessions per
week of multi-component exercise training that targets strength, endurance,
balance, and flexibility. Warrior Wellness focuses on satisfaction with outcomes,
self-efficacy, self-monitoring, and autonomy. Factors associated with program
adherence, defined as the number of sessions attended during the 12 weeks, will
be explored. Primary outcomes include PTSD symptoms and cardiovascular endurance,
assessed at baseline and 12 weeks. Compared to those in usual care, it is
hypothesized that those in the Warrior Wellness condition will improve on these
efficacy outcomes. The Warrior Wellness study will provide evidence on whether a
short-term exercise intervention is feasible, acceptable, and effective among
older veterans with PTSD, and explore factors associated with program adherence.
ClinicalTrials.gov Identifier: NCT02295995.
PMID- 29632896
TI - Increasing women's access to skilled pregnancy care to reduce maternal and
perinatal mortality in rural Edo State, Nigeria: a randomized controlled trial.
AB - Background: Nigeria presently has the second highest absolute number of maternal
deaths and perinatal deaths (stillbirth and neonatal deaths) in the world. The
country accounts for up to 14% of global maternal deaths and is second only to
India in the number of women who die during childbirth. Although all parts of the
country are worsened by these staggering statistics, several lines of evidence
show that most maternal, and perinatal deaths occur in the north-east and north
west geo-political zones where women have limited access to evidence-based
maternal and neonatal health services. The proposed project intends to identify
the demand and supply factors that prevent women from using PHCs for maternal and
early new-born care in Nigeria, and to test innovative and community relevant
interventions for improving women's access to PHC services, and thus, ultimately,
to prevent maternal and perinatal deaths. Methods: An open-labelled, randomized
controlled trial will is carried out in two local government areas selected based
on three criteria (i) maternal mortality rates (ii) PHC utilization rates and
(iii) and geographic localization. The study will be conducted over 54-months in
six communities, with PHCs in six communities of similar status serving as
control sites. Surveys about quality of care and maternal health services
utilization will be carried out at baseline, at midterm and at end of the project
to test the effectiveness of the intervention, alongside conventional
epidemiological measures of maternal and perinatal mortality. Ethical approval
for the study has been granted (reference no. NHREC/01/01/2007). The findings
will be published in compliance with reporting guidelines for randomized
controlled trials. Discussion: The current Federal Government in Nigeria has
identified PHC as its main strategy for increasing access to health in Nigeria.
However, despite numerous efforts, there are persisting concerns that there is
currently no scientific evidence on which to base the improvement of PHCs. The
results of this study will identify barriers in the use of PHCs and will provide
scientific evidence for effective and innovative interventions for improving PHCs
that can be rolled out throughout the country. Trial registration: Clinical
Trials.gov NCT02643953.
PMID- 29632897
TI - Evaluation of Photochemistry Reaction Kinetics to Pattern Bioactive Proteins on
Hydrogels for Biological Applications.
AB - Bioactive signals play many important roles on cell function and behavior. In
most biological studies, soluble biochemical cues such as growth factors or
cytokines are added directly into the media to maintain and/or manipulate cell
activities in vitro. However, these methods cannot accurately mimic certain in
vivo biological signaling motifs, which are often immobilized to extracellular
matrix and also display spatial gradients that are critical for tissue
morphology. Besides biochemical cues, biophysical properties such as substrate
stiffness can influence cell behavior but is not easy to manipulate under
conventional cell culturing practices. Recent development in photocrosslinkable
hydrogels provides new tools that allow precise control of spatial biochemical
and biophysical cues for biological applications, but doing so requires a
comprehensive study on various hydrogel photochemistry kinetics to allow thorough
photocrosslink reaction while maintain protein bioactivities at the same time. In
this paper, we studied several photochemistry reactions and evaluate key
photochemical parameters, such as photoinitiators and ultra-violet (UV) exposure
times, to understand their unique contributions to undesired protein damage and
cell death. Our data illustrates the retention of protein function and minimize
of cell health during photoreactions requires careful selection of photoinitiator
type and concentration, and UV exposure times. We also developed a robust method
based on thiol-norbornene chemistry for independent control of hydrogel stiffness
and spatial bioactive patterns. Overall, we highlight a class of bioactive
hydrogels to stiffness control and site specific immobilized bioactive
proteins/peptides for the study of cellular behavior such as cellular attraction,
repulsion and stem cell fate.
PMID- 29632899
TI - Editorial - Delivering and transforming, but many questions and challenges
remain.
AB - Acute Medicine remains a specialty in its infancy and, as such, faces many
challenges associated with developing new ways of working. As the Society for
Acute Medicine celebrates its 18th birthday the extraordinary role of Acute
Medicine in both maintaining and indeed enhancing the care and welfare of
patients is increasingly evident. However, scepticism still persists among some
colleagues with regards to its effectiveness - a perception heightened by the
difficult environment that currently pervades in acute and emergency care in the
UK which mirrors the experience of many countries internationally.
PMID- 29632898
TI - LIFETIME PHYSICAL INACTIVITY IS ASSOCIATED WITH LUNG CANCER RISK AND MORTALITY.
AB - INTRODUCTION: Investigations of the independent associations of physical
inactivity with cancer endpoints have been mounting in the epidemiological
literature, in part due to the high prevalence of physical inactivity among
cancer patients and to evidence that inactivity associates with carcinogenesis
via pathways independent of obesity. Yet, physical inactivity is not currently
recognized as a well-established risk or prognostic factor for lung cancer. As
such, we examined the associations of lifetime physical inactivity with lung
cancer risk and mortality in a hospital-based, case-control study. PRESENTATION
OF CASE: Materials and Methods: The analyses included data from 660 lung cancer
patients and 1335 matched cancer-free controls. Multivariable logistic regression
analyses were utilized to assess the association between lifetime physical
inactivity and lung cancer risk, and Cox proportional hazards models were
utilized to estimate the association between lifetime physical inactivity and
mortality among lung cancer cases.Results: We observed a significant positive
association between lifetime physical inactivity and lung cancer risk: [Odds
ratio (OR)=2.23, 95% confidence interval (CI): 1.77-2.81]; the association
remained significant among never smokers (OR=3.00, 95% CI:1.33-6.78) and non
smokers (OR=2.33, 95% CI: 1.79-3.02). We also observed a significant positive
association between lifetime physical inactivity and lung cancer mortality
[Hazard ratio (HR)=1.40, 95% CI: 1.14-1.71]; the association remained significant
in non-smokers (HR=1.51, 95% CI: 1.16-1.95). DISCUSSION/CONCLUSION: These data
add to the body of evidence suggesting that physical inactivity is an independent
risk and prognostic factor for cancer. Additional research utilizing
prospectively collected data is needed to substantiate the current findings.
PMID- 29632900
TI - A photoluminescence "switch-on" nanosensor composed of nitrogen and sulphur co
doped carbon dots and gold nanoparticles for discriminative detection of
glutathione.
AB - Biological thiols play a key role in biological processes and are involved in a
variety of diseases. The discriminative detection of biological thiols is still
challenging. In this contribution, a platform, an energy transfer-based quenching
system composed of nitrogen and sulphur co-doped carbon dots (N, S-CDs) and gold
nanoparticles (AuNPs), was established to discriminate glutathione (GSH) from
other competitive biothiols including cysteine (Cys) and homocysteine (Hcy) based
on a photoluminescence (PL) "switch-on" signal readout. The presence of GSH can
encapsulate AuNPs in priority because of the strong affinity towards AuNPs and
the steric hindrance effect of GSH, leaving little chance for the N, S-CDs
binding on the surface of AuNPs and thus resulting in the PL recovery of N, S
CDs. Compared with the nitrogen-doped carbon dots (N-CDs), the N, S-CDs can
enhance 10 times sensitivity for the designed PL "switch-on" sensing strategy.
The proposed method has a detection limit of 3.6 nM and can be successfully
applied for the detection of GSH in human serum.
PMID- 29632901
TI - A narrow open tubular column for high efficiency liquid chromatographic
separation.
AB - We report a great feature of open tubular liquid chromatography when it is run
using an extremely narrow (e.g., 2 MUm inner diameter) open tubular column: more
than 10 million plates per meter can be achieved in less than 10 min and under an
elution pressure of ca. 20 bar. The column is coated with octadecylsilane and
both isocratic and gradient separations are performed. We reveal a focusing
effect that may be used to interpret the efficiency enhancement. We also
demonstrate the feasibility of using this technique for separating complex
peptide samples. This high-resolution and fast separation technique is promising
and can lead to a powerful tool for trace sample analysis.
PMID- 29632902
TI - Polyelectrolyte complex films influence the formation of polycrystalline micro
structures.
AB - Silica-carbonate biomorphs are inorganic materials composed of thousands of
crystalline nanorods that assemble complex morphologies such as helices, vessels,
and sheets. We investigate the effect on biomorph crystallization of
polyelectrolyte complex films that are prepared using the layer-by-layer
deposition technique and post-processed to obtain three stable, chemically
distinct films. Biomorph growth on poly(diallyldimethylammonium)-dominated
substrates (cationic) shows polycrystalline helical and sheet structures bounded
by large witherite prisms. Crystallization on poly(styrenesulfonate)-dominated
(anionic) and stoichiometric substrates follows a qualitatively different
pathway. We observe islands of radial mineral films that over several days extend
at a remarkably constant velocity of 0.48 MUm h-1 and eventually mineralize the
whole substrate. Our work opens exciting avenues for the use of polyelectrolyte
films as tunable substrates for biomimetic crystallization.
PMID- 29632903
TI - Self-trapping relaxation decay investigated by time-resolved photoelectron
spectroscopy.
AB - The present work combines time-resolved photoelectron spectroscopy on isolated
species with high-level data processing to address an issue which usually
pertains to materials science: the electronic relaxation dynamics towards the
formation of a self-trapped exciton (STE). Such excitons are common excited
states in ionic crystals, silica and rare gas matrices. They are associated with
a strong local deformation of the matrix. Argon clusters were taken as a model.
They are excited initially to a Wannier exciton at 14 eV and their evolution
towards the formation of an STE has showed an unusual type of vibronic relaxation
where the electronic excitation of the cluster decreases linearly as a function
of time with a 0.59 +/- 0.06 eV ps-1 rate. The decay was followed for 3.0 ps, and
the STE formation occurred in ~5.1 +/- 0.7 ps.
PMID- 29632904
TI - Conformational sampling of the intrinsically disordered dsRBD-1 domain from
Arabidopsis thaliana DCL1.
AB - DCL1 is the ribonuclease that carries out miRNA biogenesis in plants. Substrate
pri-miRNA recognition by DCL1 requires two double stranded RNA binding domains
located at the C-terminus of the protein. We have previously shown that the first
of these domains, DCL1-A, is intrinsically disordered and folds upon binding pri
miRNA. Integrating NMR and SAXS data, we study here the conformational landscape
of free DCL1-A through an ensemble description. Our results reveal that secondary
structure elements, corresponding to the folded form of the protein, are
transiently populated in the unbound state. The conformation of one of the dsRNA
binding regions in the free protein shows that, at a local level, RNA recognition
proceeds through a conformational selection mechanism. We further explored the
stability of the preformed structural elements via temperature and urea
destabilization. The C-terminal helix is halfway on the folding pathway in free
DCL1-A, constituting a potential nucleation site for the final folding of the
protein. In contrast, the N-terminal helix adopts stable non-native structures
that could hinder the correct folding of the protein in the absence of RNA. This
description of the unfolded form allows us to understand details of the mechanism
of binding-induced folding of the protein.
PMID- 29632905
TI - Interactions of vanadium(iv) with amidoxime ligands: redox reactivity.
AB - The use of amidoxime-functionalized polymer fibers as a sorbent for uranium has
attracted recent interest for the extraction of uranium from seawater. Vanadium
is one of the main competing ions for uranium sorption as V(v) species, however,
vanadium is also present as V(iv) in seawater. In the present study, the
interactions of V(iv) with amidoxime and similar ligands were explored. Attempts
were made to synthesize V(iv) complexes of glutaroimide-dioxime, a molecular
analogue of polymer sorbents. However, V(iv) was found to react irreversibly with
glutaroimide-dioxime and other oxime groups, oxidizing to the V(v) oxidation
state. We have explored the reactions and propose mechanisms, as well as
characterized the redox behavior of the vanadium-glutaroimide-dioxime complex.
PMID- 29632906
TI - Simple preparation and highly selective detection of silver ions using an
electrochemical sensor based on sulfur-doped graphene and a 3,3',5,5'
tetramethylbenzidine composite modified electrode.
AB - A novel electrochemical sensor based on sulfur (S)-doped graphene (S-Gr) and a
3,3',5,5'-tetramethylbenzidine (TMB) composite (S-Gr-TMB) modified glassy carbon
(GCE) electrode for highly selective quantitative detection of silver ions (Ag+)
were fabricated. The S-Gr-TMB composite was first prepared via electrostatic
interaction between TMB and S-Gr and then, the composite was coated on the
surface of GCE. The resultant S-Gr-TMB/GCE electrode showed a significant
voltammetric response to Ag+ at 0.3 V vs. Ag/AgCl due to the synergistic effect
of S-Gr and TMB. The sensor showed good linearity from 50 MUM to 400 MUM with a
detection limit of 2.15 MUM towards the determination of Ag+. In addition, after
the addition of Fe3+ and other metal ions, including Al3+, Ca2+, Cd2+, Co2+,
Cu2+, K+, Mg2+, Na+, Ni2+, Pb2+ and Zn2+, in the same concentration, the current
signal remained almost unchanged, revealing that the proposed electrochemical
sensor exhibited a high selectivity for Ag+, which solves the nonselective
problem of TMB as a spectral probe. This enhanced detection performance is
attributed to two factors: (1) S-Gr has excellent electrical conductivity; (2)
the coupling interactions between Ag-S are speculated to result in strengthened
enrichment for Ag and good selective performance.
PMID- 29632907
TI - Stereodivergent trifluoromethylation of N-sulfinylimines by fluoroform with
either organic-superbase or organometallic-base.
AB - Here we have successfully demonstrated the first stereodivergent direct
nucleophilic trifluoromethylation of N-sulfinylimines using the potent greenhouse
gas "HFC-23, fluoroform" with an organic-superbase or an organometallic-base in
high yields and selectivity.
PMID- 29632908
TI - DNA-based nanoscaffolds as vehicles for 5-fluoro-2'-deoxyuridine oligomers in
colorectal cancer therapy.
AB - Fluoropyrimidines, such as 5-fluorouracil (5-FU) and related prodrugs, are
considered one of the most successful agents in the treatment of colorectal
cancer, yet poor specificity and tumor cell resistance remain the major limiting
bottlenecks. Here, we exploited for the first time the ability of two DNA
nanoscaffolds, a DNA tetrahedron (Td) and rectangle DNA origami, to incorporate 5
fluoro-2'-deoxyuridine (FdUn) oligomers. In addition, cholesterol moieties were
synthetically attached to Td and DNA origami staples to enhance cellular uptake.
DNA nanostructures functionalized with FdUn exhibited an enhanced cytotoxicity
and higher ability to trigger apoptosis in colorectal cancer cells relative to
conventional 5-FU and FdU, especially having cholesterol as an internalization
helper. The cholesterol content mostly correlates with the increase of the FdUn
nanostructure cytotoxicity. DNA nanoscaffolds bearing FdUn were able to
circumvent the low sensitivity of colorectal cancer cells towards 5-FU. Both DNA
nanostructures attained a comparable cytotoxic effect yet Td displays higher
antiproliferative action. The ability to reduce the proliferation of cancer cells
is mainly related to the concentration of DNA nanostructures. The present work
suggests that self-assembled DNA nanoparticles are privileged vehicles for
delivering fluoropyrimidines, opening new avenues to the development of promising
therapeutics for cancer treatment.
PMID- 29632909
TI - Raspberry anthocyanin consumption prevents diet-induced obesity by alleviating
oxidative stress and modulating hepatic lipid metabolism.
AB - Evidence indicates that raspberries have beneficial effects on chronic diseases.
The objective of this study was to examine the beneficial effects of raspberry
anthocyanin (RA) on high fat diet-induced obesity and investigate the underlying
molecular mechanism. C57BL/6 mice were administered a low-fat diet, high-fat
diet, and high-fat diet supplemented with RA at a dose of 200 mg kg-1 of food for
12 weeks. It was found that RA reduced the body weight gain by 63.7%.
Furthermore, RA significantly elevated serum superoxide dismutase (SOD) and
glutathione peroxidase (GSH-PX) activities and fecal butyric acid level,
remarkably reduced the serum and hepatic lipid profiles, and markedly down
regulated the expression of the tumor necrosis factor alpha (TNFalpha),
interleukin-6 (IL-6), and nuclear factor kappaB (NF-kappaB) genes. Metabolomics
analysis conducted using gas chromatography time-of-flight mass spectrometry (GC
TOF/MS) indicated that RA administration promoted the recovery of metabolites
involved in glycerophospholipid metabolism, insulin signaling pathway, and
glutathione metabolism in the livers of obese mice. These findings suggest that
RA may ameliorate diet-induced obesity by alleviating oxidative stress and
modulating lipid metabolism.
PMID- 29632910
TI - Intramolecular vibrational energy redistribution in HCCCH2X (X = Cl, Br, I)
measured by femtosecond pump-probe experiments in a hollow waveguide.
AB - From the analysis of high resolution overtone spectra it is well established that
intramolecular vibrational energy redistribution (IVR) from an initially excited
CH-stretching vibration is strongly influenced by its chemical environment. Due
to a pronounced Fermi resonance between the CH-stretching and CH-bending
vibrations a vibrational energy redistribution on the subpicosecond time scale
(~100 fs) is found for alkyl (sp3) CH-chromophores, whereas this doorway for
energy flow is blocked for the acetylenic (sp) CH-stretching vibration because of
the much lower CH-bending frequency. From the analysis of the high resolution
spectra lifetimes for the initial CH-vibrational excitation of 10-100 ps or
longer have been derived. In the present work we have investigated the IVR
process for HCCCH2Br, HCCCH2Cl, and HCCCH2I after excitation of the first
overtone of the CH-stretching vibration of the CH2X- and the CCH-group by time
resolved femtosecond pump-probe experiments in a hollow waveguide. For HCCCH2Br
and HCCCH2Cl a clearly different IVR behavior was found for the two different
chemical environments. For the excitation of the alkyl CH-chromophore very fast
initial relaxation times were found together with a slower relaxation process
with tau2 = 15-40 ps, whereas for the acetylenic CH-stretching vibration a
relaxation time tau3 = 70-200 ps has been determined. For HCCCH2I also for the
excitation of the CCH-group a relatively fast relaxation process with a time
constant tau2 = 6 ps could be identified which might result from a not yet
identified strong vibrational coupling between the excited first overtone of the
acetylenic CH-stretching vibrations with a combination state including the CI
stretching vibration.
PMID- 29632912
TI - Isomeric structures of isolated ammonium nitrate and its hydrogenated species
identified through PES experiments and DFT calculations.
AB - Anion photoelectron spectroscopic (PES) experiments in conjunction with density
functional theory (DFT) calculations shed light on the electronic and geometric
structures of gas phase, isolated ammonium nitrate related anionic species, as
well as their hydrogenated species with up to five added hydrogens. These species
are directly generated by laser ablation and cooled in a supersonic expansion.
Their vertical detachment energies (VDE: Eneutral - Eanion, both at the anionic
geometry) are experimentally determined and the corresponding anionic structures
are characterized and assigned through calculations. Based on the experimentally
evaluated calculation algorithm, the corresponding neutral structures are also
determined. The parent anionic species exists as (NH2OH.HONO)- in the gas phase
with the extra electron valence bound. Crystal structure anion NH4NO3- is not
present in our experiments, as within this structure the extra electron is dipole
bound (electron affinity ~ 0 eV). The isomerization must therefore occur for
ammonium nitrate upon capturing an extra electron or during the laser ablation
process itself. The ammonium nitrate anion is apparently a very reactive species.
The calculated global minimum for the isolated parent neutral species has an
HNO3.NH3 structure, different from the crystal structure in the bulk phase. The
hydrogenated cluster anions can evolve from the parent (NH2OH.HONO)- species and
exhibit moieties, which bind together as a single unit through interactions
between noncovalently bonded species and are stable on the experimental
timescale. The hydrogenation process forms stable moieties in the cluster anions,
including water (H2O), nitroxyl (HNO), ammonia (NH3), or (HNOH). The calculated
global minimum structures for hydrogenated cluster neutrals (NH4NO3 + nH, n =
1,...,5) contain ammonia and water, along with stable moieties (HONO, NO, and
HNO). These stable moieties, along with intermediate species NO2H2 and ONH2,
offer new insights into the behavior of ammonium nitrate energetic materials.
PMID- 29632913
TI - Selective trapping of CO2 gas and cage occupancy in CO2-N2 and CO2-CO mixed gas
hydrates.
AB - Hydrate-based CO2 trapping from CO2-N2 and CO2-CO gas mixtures is shown by Raman
spectroscopy - the results are of interest for new separation and capture
technology. A better trapping efficiency is measured for low CO2 concentrations
and N2-based gas mixtures. Moreover, it is observed that CO molecules would
impede hydrate formation from ice when a CO-enriched gas mixture is considered.
PMID- 29632911
TI - Structural and stereochemical diversity in prenylated indole alkaloids containing
the bicyclo[2.2.2]diazaoctane ring system from marine and terrestrial fungi.
AB - Covering: up to February 2017 Various fungi of the genera Aspergillus,
Penicillium, and Malbranchea produce prenylated indole alkaloids possessing a
bicyclo[2.2.2]diazaoctane ring system. After the discovery of distinct
enantiomers of the natural alkaloids stephacidin A and notoamide B, from A.
protuberus MF297-2 and A. amoenus NRRL 35660, another fungi, A. taichungensis,
was found to produce their diastereomers, 6-epi-stephacidin A and versicolamide
B, as major metabolites. Distinct enantiomers of stephacidin A and 6-epi
stephacidin A may be derived from a common precursor, notoamide S, by enzymes
that form a bicyclo[2.2.2]diazaoctane core via a putative intramolecular hetero
Diels-Alder cycloaddition. This review provides our current understanding of the
structural and stereochemical homologies and disparities of these alkaloids.
Through the deployment of biomimetic syntheses, whole-genome sequencing, and
biochemical studies, a unified biogenesis of both the dioxopiperazine and the
monooxopiperazine families of prenylated indole alkaloids constituted of
bicyclo[2.2.2]diazaoctane ring systems is presented.
PMID- 29632914
TI - The halogen effect on the 13C NMR chemical shift in substituted benzenes.
AB - Recent research [Chem. Sci., 2017, 8, 6570-6576] showed for R-substituted
benzenes with R = NH2, NO2 that the substitution effects on the 13C NMR chemical
shifts are correlated with changes in the sigma-bonding framework and do not
follow directly the electron-donating or -withdrawing effects on the pi orbitals.
In the present work we extend the study to halogen (X = F, Cl, Br or I)
substituted R-benzenes. The effect of X and R groups on 13C NMR chemical shifts
in X-R-benzenes are investigated by density functional calculations and localized
molecular orbital analyses. Deshielding effects caused by the X atom on the
directly bonded carbon nucleus are observed for F and Cl derivatives due to a
paramagnetic coupling between occupied pi orbitals and unoccupied antibonding
orbitals. The SO coupling plays an important role in the carbon magnetic
shielding of Br and I derivatives, as is well known, and the nature of X also
modulates the 13C paramagnetic shielding contributions. Overall, the X and R
substituent effects are approximately additive.
PMID- 29632915
TI - Surfactant-free one-pot synthesis of CeO2, TiO2 and Ti@Ce oxide nanoparticles for
the ultrafast removal of Cr(vi) from aqueous media.
AB - Cerium oxide (CeO2), titanium oxide (TiO2) and titanium oxide impregnated with
cerium oxide (Ti@Ce oxide) nanoparticles were synthesized using a simple one-pot
surfactant-free method. The synthesized adsorbents were tested against the
removal of Cr(vi) from aqueous medium. Comprehensive characterization methods
like BET, XRD, SEM, EDAX, HR-TEM, SAED, HR-XPS and FT-IR were performed at
different stages of the adsorption process and synthesis. A N2-BET study revealed
the large surface area (268 m2 g-1) and pore size (6.8 nm) of CeO2 nanoparticles,
which decreased after impregnation of titania. An XRD study demonstrated the
phase transformation of TiO2 from the anatase phase to the rutile phase after the
impregnation with CeO2 by lowering the phase transformation temperature from >550
degrees C to 400 degrees C. Ti0.3@Ce0.7 oxide nanoparticles showed 81% removal
of Cr(vi) within 2.5 min of initiating the adsorption process while more than 92%
removal of Cr(vi) was achieved within 10 min of adsorption. A HR-XPS study
indicated the dual oxidation states of ceria and titania metals, which helped to
convert the more toxic Cr(vi) ions to less toxic Cr(iii) ions during the
adsorption process. The adsorption pattern depicted the monolayer behavior of
Cr(vi) obeying the Redlich-Peterson isotherm and followed pseudo second-order
kinetics. An intraparticle diffusion model disclosed the surface and pore
resistance diffusion of Cr(vi) on the surface of adsorbents.
PMID- 29632916
TI - Correction: A luminescent bimetallic iridium(iii) complex for ratiometric
tracking intracellular viscosity.
AB - Correction for 'A luminescent bimetallic iridium(iii) complex for ratiometric
tracking intracellular viscosity' by Fengyu Liu et al., Chem. Commun., 2018, 54,
1371-1374.
PMID- 29632917
TI - Recent advances in spirocyclization of indole derivatives.
AB - Spiroindolines and spiroindoles are an important class of spirocyclic compounds
present in a wide range of pharmaceuticals and biologically important natural
alkaloids. Various spiroindolines and spiroindoles possess versatile reactivity
which enables them to act as precursors for other privileged heterocycles. In
view of the importance of this scaffold, many researchers focused their efforts
to develop facile and mild synthetic methods for spirocyclization of indoles.
However, the synthesis of spiroindolines and spiroindoles is known to be
difficult due to rapid 1,2-migration to restore aromaticity. This review aims to
briefly discuss the latest developments to access highly functionalized
spiroindolines and spiroindoles to stimulate further research in the field to
find new and efficient methodologies for accessing new spiroindolines and
spiroindoles.
PMID- 29632918
TI - Quantum-dot light-emitting diodes with a perfluorinated ionomer-doped copper
nickel oxide hole transporting layer.
AB - Herein, we report all solution-processed green quantum-dot light-emitting diodes
(G-QLEDs) by introducing a perfluorinated ionomer (PFI, Nafion 117) into quantum
dots (QDs) to improve hole injection. To reduce the energy level mismatch between
the hole transporting layer (HTL) and QDs and exciton quenching on the metal
oxide surface, a PFI-mixed copper-doped nickel oxide (Cu-NiO) HTL was introduced
for G-QLEDs. Mixing Cu-NiO with a PFI increases the work function and induces
phase separation between Cu-NiO and PFI; thus, energy band bending occurs on the
surface such that effective hole injection can be possible. The phase-separated
PFI molecules on HTL affect the thickness and compactness of G-QDs and make a
smooth interface between G-QDs and HTL. The G-QLED with a PFI and Cu-NiO mixture
HTL exhibits the maximum current efficiency (CEmax), power efficiency (PEmax),
and external quantum efficiency (EQEmax) of 7.3 cd A-1, 2.1 lm W-1, and 2.14%,
respectively, which are about 4 times those of the QLED with a Cu-NiO HTL.
PMID- 29632919
TI - Bundle formation of supramolecular fibers of amphiphilic diarylethene by
depletion force.
AB - Supramolecular nanofibers composed of the closed-ring isomer of a diarylethene
formed bundles in a methylcellulose aqueous solution by depletion force while the
spheres composed of the open-ring isomer were not coagulated. Upon irradiation
with UV light to the suspension of the open-ring isomer, fibers were found to be
generated and the formed submillimeter-sized bundles showed a photoinduced
shrinking of more than 100 MUm under visible light irradiation.
PMID- 29632920
TI - Metal organic framework-derived CoPS/N-doped carbon for efficient
electrocatalytic hydrogen evolution.
AB - Electrocatalytic hydrogen evolution has attracted a great deal of attention due
to the urgent need for clean energy. Herein, we demonstrate the synthesis of
ternary pyrite-type cobalt phosphosulphide (CoPS) nanoparticles supported on a
nitrogen-doped carbon matrix, CoPS/N-C, through carbonization and subsequent
phosphosulfurization of Co-based zeolitic imidazolate frameworks (ZIF-67), as
promising hydrogen evolution reaction (HER) electrocatalysts in both acidic and
alkaline solutions. The polyhedral structure of ZIF-67 can be well maintained in
the as-prepared CoPS/N-C nanocomposites. In particular, CoPS/N-C provides a
geometric catalytic current density of -10 mA cm-2 at overpotentials of -80 and
148 mV vs. a reversible hydrogen electrode (RHE) and a Tafel slope of 68 and 78
mV dec-1 in 0.5 M H2SO4 and 1 M KOH, respectively, which is superior to most of
the transition metal phosphosulfide materials. This MOF-derived synthesis of a
transition metal phosphosulfide supported heteroatom-doped carbon matrix provides
a promising opportunity for the development of highly efficient electrocatalysts
for renewable energy devices.
PMID- 29632921
TI - Carbon-sulfur bond formation by reductive elimination of gold(iii) thiolates.
AB - Whereas the reaction of the gold(iii) pincer complex (C^N^C)AuCl with 1-adamantyl
thiol (AdSH) in the presence of base affords (C^N^C)AuSAd, the same reaction in
the absence of base leads to formation of aryl thioethers as the products of
reductive elimination of the Au-C and Au-S ligands (C^N^C = dianion of 2-6
diphenylpyridine or 2-6-diphenylpyrazine). Although high chemical stability is
usually taken as a characteristic of pincer complexes, results show that thiols
are capable of cleaving one of the pincer Au-C bonds. This reaction is not simply
a function of S-H acidity, since no cleavage takes place with other more acidic X
H compounds, such as carbazole, amides, phenols and malonates. The reductive C-S
elimination follows a second-order rate law, -d[1a]/dt = k[1a][AdSH]. Reductive
elimination is enabled by displacement of the N-donor by thiol; this provides the
conformational flexibility necessary for C-S bond formation to occur.
Alternatively, reductive C-S bond formation can be induced by reaction of pre
formed thiolates (C^N^C)AuSR with a strong Bronsted acid, followed by addition of
SMe2 as base. On the other hand, treatment of (C^N^C)AuR (R = Me, aryl, alkynyl)
with thiols under similar conditions leads to selective C-C rather than C-S bond
formation. The reaction of (C^N^C)AuSAd with H+ in the absence of a donor ligand
affords the thiolato-bridged complex [{(C^N-CH)Au(MU-SAd)}2]2+ which was
crystallographically characterised.
PMID- 29632922
TI - Gold(i)- and rhodium(iii)-catalyzed formal regiodivergent C-H alkynylation of 1
arylpyrazolones.
AB - Formal regiodivergent C-H alkynylation of 1-aryl-5-pyrazolones has been realized
under the catalysis of Rh(iii) and Au(i) complexes by using a hypervalent iodine
reagent as the alkyne source. Mechanistic studies indicate that the
regioselectivity is ascribed to not only the choice of the catalyst but also the
nature of the substrate. The substrate scope and functional group compatibility
have been fully examined.
PMID- 29632924
TI - Sodium triethylborohydride as a catalyst for the dehydrogenative silylation of
terminal alkynes with hydrosilanes.
AB - The first example of sodium triethylborohydride-catalyzed C(sp)-H bond silylation
is reported. The reaction of aromatic and aliphatic alkynes with aromatic
hydrosilanes and hydrosiloxanes proceeded in a highly selective manner to afford
dehydrocoupling products. Competitive hydrosilylation of the terminal alkyne did
not occur as a side-reaction. In view of the above it is remarkable that NaHBEt3
is commonly used as a reducing agent generating active transition-metal catalysts
in situ in other hydrosilylation reactions.
PMID- 29632923
TI - Anti-anemia effects of ginsenoside Rk3 and ginsenoside Rh4 on mice with ribavirin
induced anemia.
AB - Ginsenoside Rk3 (Rk3) and ginsenoside Rh4 (Rh4) have been reported to have
therapeutic effects on a variety of diseases including some hematological
diseases. The aim of the present study was to treat ribavirin-induced anemia in
mice with Rk3 and Rh4 and explore the therapeutic mechanisms. All the
experimental mice were randomly divided into 10 groups (the normal group, the
control group, the model group, the erythropoietin (EPO) group, and 6 groups of
different doses of Rk3 and Rh4, n = 10 per group). The changes in the hemograms,
the content of cytokines, the number of hematopoietic cells and viscera damage
were observed in the experiment. The results showed that the red blood cell
count, the hemoglobin amount and the hematocrit of mice in the ginsenoside groups
could be restored to normal values in a short time, and the effects of both Rk3
and Rh4 are obviously better than those of EPO. Moreover, some positive
regulators (EPO, erythroid transcription factor-1, and interleukin-3) related to
hematopoiesis increased and some negative regulators (interferon-gamma and tumour
necrosis factor-alpha) decreased in vivo after the injection of Rk3 or Rh4. These
two ginsenosides did little damage to viscera and produced different degrees of
increase in the hematopoietic cells in the bone marrow and spleen, which
indicated that Rk3 promoted bone marrow and extramedullary haematopoiesis while
Rh4 promoted extramedullary hematopoiesis. Therefore, both Rk3 and Rh4 could be
considered new therapeutic drugs for treating anemia.
PMID- 29632925
TI - Zr-Based MOFs integrated with a chromophoric ruthenium complex for specific and
reversible Hg2+ sensing.
AB - A novel metal-organic framework of RuUiO-67 was successfully fabricated and
exploited as a chemical sensor for the colorimetric detection of Hg2+. The
chromophoric Ru complex in RuUiO-67 was designed as a Hg2+ recognition site and a
signal reporter. The elaborated probe exhibited a rapid colorimetric response,
high selectivity, and sub-micromolar sensitivity for Hg2+ detection.
PMID- 29632926
TI - Binding-promoted chemical reaction in the nanospace of a binding site: effects of
environmental constriction.
AB - Chemical reactions in a confined nanospace can be very different from those in
solution. Imine formation between molecular amines and an aldehyde inside a
molecularly imprinted receptor was promoted strongly by the binding. Although how
well the amine fit in the binding pocket and its electronic nature both
influenced the reaction, the freedom of movement for the amine was the most
important factor determining the binding-normalized reactivity.
PMID- 29632927
TI - Impact of different sequences of mechanical and thermal processing on the
rheological properties of Porphyridium cruentum and Chlorella vulgaris as
functional food ingredients.
AB - Microalgae are a promising and sustainable source for enhancing the nutritional
value of food products. Moreover, incorporation of the total biomass might
contribute to the structural properties of the enriched food product. Our
previous study demonstrated the potential of Porphyridium cruentum and Chlorella
vulgaris as multifunctional food ingredients, as they displayed interesting
rheological properties after applying a specific combination of mechanical and
thermal processing. The aim of the current study was to investigate the impact of
a different sequence of high pressure homogenization (HPH) and thermal processing
on the thickening and gelling potential of these microalgal biomasses in aqueous
suspensions. Thermal processing largely increased the gel strength and viscosity
of both microalgae, which was ascribed to larger and stronger aggregates as a
result of partial solubilization of polymers, while subsequent HPH generally
reduced the rheological properties. Interestingly, large amounts of intact cells
were still observed for both microalgae when HPH was performed after a thermal
treatment, irrespective of the applied homogenization pressure, implying that
cell disruption was hindered by the preceding thermal treatment. Although thermal
processing was regarded as the most effective processing technique to obtain
increased rheological properties, the combination with a preceding HPH treatment
should still be considered when cell disruption is desired, for instance to
increase the bioavailability of intracellular components. Finally, biomass of P.
cruentum showed the largest potential for use as a structuring agent, as the gel
strength and viscosity in thermally treated suspensions of this microalga were
about 10 times higher than for C. vulgaris.
PMID- 29632928
TI - Stereoselective and regioselective 5-exo-dig cyclization of 8-alkynylnaphthalen-1
amines for the synthesis of (E)-2-(arylthio)alkylene-1,2-dihydrobenzo[cd]indoles.
AB - A palladium and iodine-cocatalyzed 5-exo-dig aza-thiocyclization of 8
alkynylnaphthalen-1-amines for the synthesis of (E)-2-alkylene-1,2
dihydrobenzo[cd]indole thioethers is reported. As a result of broad reaction
scope, simple operation, mild conditions, and high stereoselectivity and
regioselectivity, this reaction should have potential utility in organic
synthesis.
PMID- 29632929
TI - A coarse grained molecular dynamics simulation study on the structural properties
of carbon nanotube-dendrimer composites.
AB - By employing coarse grained (CG) molecular dynamics (MD) simulation, the effect
of the size and hydrophilic/hydrophobic properties of the interior/exterior
structures of the dendrimers in carbon nanotube (CNT)-dendrimer composites has
been studied, to find a stable composite with high solubility in water and the
capability to be used in drug delivery applications. For this purpose, composites
consisting of core-shell dendrimer complexes including: [PPI{core}-PAMAM{shell}],
[PAMAM{core}-polyethyleneglycol (PEG){shell}] and [PAMAM{core}-fattyacid
(FTA){shell}] were constructed. A new CG model for the fatty acid (FTA) molecules
as functionalized to the dendrimer was developed, which, unlike the previous
models, could generate the structural conformations of the FTA properly. The
obtained results indicated that the dendrimer complexes with short FTA chains can
form stable composites with the CNT. Also, it was found that the pristine PAMAM
and PPI-PAMAM with small PPI, and PAMAM-PEG dendrimers with short PEG chains, can
distribute their chains into the water medium and interact with the CNT
efficiently, to form a stable water-soluble CNT-dendrimer composite. The results
demonstrated that the structural difference between the interior and exterior of
a core-shell dendrimer complex can prevent the core and the interior layers of
the dendrimer complex from interacting with the CNT. An overall analysis of the
results manifested that the CNT-PAMAM:4-PEG:4 is the most stable composite, due
to strong binding of the dendrimer with the CNT while also having high solubility
in water, and its core retains its structure properly and unchanged, suitable for
encapsulating drugs in the targeted delivery applications.
PMID- 29632930
TI - Disordered langasites La3Ga5MO14 : Eu3+ (M = Si, Ge, Ti) as red-emitting LED
phosphors.
AB - Polycrystalline powders of La3Ga5SiO14 (LGSi), La3Ga5GeO14 (LGGe), and
La3Ga5TiO14 (LGTi) doped with Eu3+ were studied with respect to their use as
luminescent materials in solid state lighting based on light-emitting diodes. The
langasites were synthesized with up to 35% of trivalent europium to achieve the
highest possible doping amount. According to diffuse reflection measurements the
undoped compounds have band gap energies of 4.51 eV (LGSi), 4.54 eV (LGGe) and
4.07 eV (LGTi). The luminescence behavior between 77 K and 500 K was
investigated, including excitation, emission and lifetime measurements to analyze
the impact of the structural differences between the three langasites on the
spectroscopic properties of the materials. Depending on the excitation
wavelengths, 300 nm (charge transfer) and 394 nm (4f levels, i.e.7F0 -> 5L6),
different quenching temperatures were achieved for LGSi : Eu3+ 20% (TQ,300 = 438
K, TQ,394 = 422 K), LGGe : Eu3+ 20% (TQ,300 = 325 K, TQ,394 = 441 K) and LGTi :
Eu3+ 20% (TQ,300 = 500 K, TQ,394 = 467 K). The quenching observed can be
explained by three semi-quantitative configurational coordinate diagrams.
Independent from the excitation wavelength and the temperature (77-300 K) decay
times of 1.1 ms were measured. At room temperature and with an excitation
wavelength of 394 nm maximum quantum efficiencies of 40% for LGSi : Eu, of 80%
for LGGe : Eu, and of 81% for LGTi : Eu were reached. Finally, to prove the
applicability as red LED phosphors, the langasites were built into LEDs with
(In,Ga)N chips emitting at 394 nm.
PMID- 29632931
TI - Bias-dependent transport properties of passivated tilted black phosphorene
nanoribbons.
AB - Using the density functional theory incorporated with a non-equilibrium Green's
function (NEGF) technique, we explored the bias-dependent transport of tilted
phosphorene nanoribbons. Herein, we considered three types of nanoribbons: self
passivated (TPNRself), H-passivated (TPNRH), and O-passivated (TPNRO) systems.
The TPNRself showed an indirect band gap of 0.53 eV, whereas the TPNRH displayed
a direct band gap of 1.32 eV. In TPNRO, we observed a spin-polarized band
structure with a spin-dependent band gap. We found that the bias-dependent I-V
curve was dependent on the passivation effect. In TPNRself and TPNRH, the current
monotonically increased with an external bias, but the magnitude of the current
in TPNRself was more than 10 times than that in TPNRself. Unlike the I-V
characteristics in TPNRself and TPNRH, the current in TPNRO almost vanished
beyond an external bias of 1.7 V. Mostly, the bias-dependent I-V was interpreted
based on the band structure in the lead parts. However, we found that this
conventional approach was not sufficient to analyze the I-V curve. Indeed, we
showed that the detailed I-V curve could be understood by calculating the bias
dependent density of states in the scattering part related to the transmission
channel. It was also found that the electron flow channel was dependent on the
passivation effect and uniformly distributed over the entire nanoribbon in
TPNRself and TPNRH. In contrast, the electron flowed mostly along the edge line
in TPNRO. Moreover, we have found that spin polarization in the conduction
current can be manipulated by an external bias, and this may suggest that the
TPNRO can be utilized for potential spintronic applications.
PMID- 29632932
TI - A headgroup linker perturbs pKavia acyl chain migration: designing base-labile
supramolecular assemblies.
AB - Acyl chain transfer, which perturbs the protonation equilibrium of amine and
reduces the apparent pKa by 2.0-2.5 units, is used to develop a liposome-based
drug delivery system.
PMID- 29632933
TI - Influence of Cassia grandis galactomannan on the properties of sponge cakes: a
substitute for fat.
AB - Here we have proposed to evaluate potential replacers of fat in sponge cake
formulations. Our investigation consisted initially of monitoring the physical
chemical changes in sponge cake batters caused by gradually replacing the
vegetable fat/margarine of a control sample (standard sponge cake recipe) with
galactomannan extracted from the seeds of Cassia grandis. Several samples were
prepared where a 100% concentration of vegetable fat was substituted with
galactomannan in different concentrations. We then compared both microscopic and
macroscopic characteristics of pure fat cake batter formulations and formulations
with controlled fat/galactomannan mixtures. At this first stage, rheometry and
optical microscopy were employed to characterize the rheological features and air
bubble distribution in the batters. In the second stage, the effects of fat
substitution with galactomannan, now for the final baked cakes, were also
monitored. Scanning electron microscopy (SEM) and standard sensorial tests were
performed in order to correlate the final color, texture, and taste
characteristics of the final sponge cake and those characteristics obtained
initially for the batter. According to the statistical analysis of the data, a
75% fat replacement with galactomannan at only 1.0% concentration was achieved,
while successfully maintaining surface microstructure, sensory acceptance, and
rheological behavior similar to the original formulation containing only fat.
Regarding vegetable fat substitution with galactomannan, our results allow us to
conclude that rheometry and bubble distribution tests on the initial batters are
useful indicators of the final cake quality.
PMID- 29632934
TI - Nano-assemblies of cationic mPEG brush block copolymers with gadolinium
polyoxotungstate [Gd(W5O18)2]9- form stable, high relaxivity MRI contrast agents.
AB - Polyoxometalates (POMs) incorporating paramagnetic ions, such as gadolinium, show
promise as contrast agents for application in magnetic resonance imaging (MRI).
Specifically, [Gd(W5O18)2]9- (denoted as GdWO) has been reported to have a higher
relaxivity than commercially available contrast agents, but it's clinical utility
has been limited by the intrinsic instability of POMs at physiological pH (7.4).
In the current report we present a stability study on neat GdWO and nano
assemblies of block copolymers with GdWO in the pH range 5.0-7.4 to assess their
suitability as MRI contrast agents. Neat GdWO only maintained structural
stability between pH 5.4 and 6.4, and demonstrated poor MRI contrast at pH 7.4.
To address this pH instability, GdWO was self-assembled with cationic mPEG brush
block copolymers containing 20 or 40 units derived from the cationic monomer, 2
dimethylaminoethyl methacrylate (DMAEMA). Nano-assemblies with different charge
ratios were synthesised and characterised according to their size, stability,
contrasting properties and toxicity. The longitudinal relaxivity (r1) of the nano
assemblies was found to be dependent on the charge ratio, but not on the length
of the cationic polymer block. Further investigation of PDMAEMA20 nano-assemblies
demonstrated that they were stable over the pH range 5.0-7.4, exhibiting a higher
r1 than either neat GdWO (2.77 s-1 mM-1) or clinical MRI contrast agent Gd-DTPA
(4.1 s-1 mM-1) at pH 7.4. Importantly, the nano-assembly with the lowest charge
ratio (0.2), showed the highest r1 (12.1 s-1 mM-1) whilst, stabilising GdWO over
the pH range studied, eliciting low toxicity with MDA-MB231 cells.
PMID- 29632935
TI - The side effects of platinum-based chemotherapy drugs: a review for chemists.
AB - The platinum-based drugs cisplatin, carboplatin and oxaliplatin are regularly
prescribed in the treatment of cancer and while they are effective, their use is
limited by their severe, dose-limiting side effects (also referred to as adverse
effects/events). In total, a cancer patient can experience any combination of
around 40 specific side effects. The dose-limiting side effect for cisplatin is
nephrotoxicity, for carboplatin it is myelosuppression, and for oxaliplatin it is
neurotoxicity. Other common side effects include anaphylaxis, cytopenias
(including leukopenia and neutropenia, thrombocytopenia, and anaemia),
hepatotoxicity, ototoxicity, cardiotoxicity, nausea and vomiting, diarrhea,
mucositis, stomatitis, pain, alopecia, anorexia, cachexia, and asthenia. The side
effects may require patients to be prescribed dose reductions in their platinum
drugs of between 25 and 100%. Furthermore, patients require extensive monitoring
of their biochemistries, kidney and liver function, and depending on the drug,
hearing tests. Finally, patients are commonly co-prescribed additional non
chemotherapy based drugs to treat the side effects which can include antiemetics,
antibiotics and myeloid growth factors, mannitol, propafenone, saline
hyperhydration, magnesium supplements, monoclonal antibody cytokine blockers, and
antioxidants.
PMID- 29632936
TI - [18F]fluoro-benziodoxole: a no-carrier-added electrophilic fluorinating reagent.
Rapid, simple radiosynthesis, purification and application for fluorine-18
labelling.
AB - Operationally simple radiosynthesis and purification of [18F]fluoro-benziodoxole
was developed starting from a cyclotron produced [18F]F- precursor, [18F]TBAF,
and tosyl-benziodoxole. The synthetic utility of [18F]fluoro-benziodoxole was
demonstrated by electrophilic fluorocyclization of o-styrilamides proceeding with
high RCC (typically 50-90%) and high molar activity (up to 396 GBq MUmol-1).
PMID- 29632937
TI - A potential method to improve the in vitro cytotoxicity of half-sandwich Os(ii)
complexes against A2780 cells.
AB - The [Os(eta6-pcym)(dpa)(VP)]PF6 (1-VP) complex contains the histone deacetylase
(HDAC) inhibitor valproate (2-propylpentanoate; VP) as a monodentate O-donor
ligand and shows ca. 3-fold higher in vitro cytotoxicity against A2780 human
ovarian carcinoma cells than its chlorido analogue [Os(eta6-pcym)(dpa)Cl]PF6 (1
Cl); pcym = 1-methyl-4-(propan-2-yl)benzene (p-cymene), dpa = 2,2'
dipyridylamine. The complex 1-VP showed promising selectivity towards the A2780
ovarian carcinoma cell line (IC50 = 20.9 MUM) over normal human hepatocytes (IC50
> 200.0 MUM). Moreover, the complex 1-VP was found to be inactive against MCF-7
(breast adenocarcinoma), PANC-1 (pancreatic adenocarcinoma) and HT-29 (colon
carcinoma) up to a concentration of 100 MUM. Detailed flow cytometry studies
indicated that treatment of A2780 cells with complex 1-VP led to induction of
apoptosis, production of reactive oxygen species (ROS) and superoxide (SO) anion
radicals, as well as mitochondrial membrane potential depletion and cell cycle
perturbations. The microscopic assessment (standard hematoxylin/eosin staining)
revealed signs of morphological changes associated with the progression of
apoptosis in A2780 cells treated with the IC50 concentration of the complex 1-VP.
Consistent with the intracellular production of ROS and SO, the complex 1-VP
induced hydroxyl radical formation, as proved by EPR spin trapping experiments.
This case study suggests that replacement of the chlorido ligand of half-sandwich
Os(ii) complexes by a releasable monodentate biologically active ligand (e.g., VP
used in this study) is an effective strategy for the development of novel non
platinum cytotoxic agents.
PMID- 29632938
TI - Beneficial effects of Cirsium japonicum var. maackii on menopausal symptoms in
ovariectomized rats.
AB - In women, menopause refers to a series of physiological and mental symptoms of
distress that result from a decrease in 17beta-estradiol. In addition to the loss
of fertility, the symptoms include facial flushing, depression, osteoporosis,
sexual dysfunction, and genitourinary atrophy. Cirsium japonicum var. maackii is
a perennial herbaceous species found in the mountains and fields of Korea, China,
and Japan. The medicinal uses of C. japonicum include antioxidant, antidiabetic,
antitumor, antifungal, and anti-inflammatory activities. We investigated the
effect of C. japonicum extract in a rat model of menopause that exhibited rapid
estrogen decline induced by ovariectomy (OVX rats). The rats were treated with C.
japonicum extract for 10 weeks and the following parameters were measured: food
intake, feed efficiency, body weight, total cholesterol, triglyceride, LDL
cholesterol, HDL-cholesterol, liver weight, 17beta-estradiol, uterus weight, AST,
ALT, bone mineral density (BMD), bone alkaline phosphatase, calcitonin, and
osteocalcin. In OVX rats, the administration of 50 and 100 mg kg-1C. japonicum
extract significantly decreased body weight, total cholesterol, triglyceride, HDL
cholesterol, and LDL-cholesterol and significantly increased 17beta-estradiol and
BMD. During the light/dark box test, the C. japonicum treatment group (100 mg kg
1) spent more time in the light chamber than in the dark area, which was
reflective of their diurnal nature. Using a molecular docking simulation, we
predicted the plausible binding mode of the active compounds of C. japonicum with
the ligand binding domain of estrogen receptor (ER)-alpha and ER-beta. These
results showed that C. japonicum extract can treat the symptoms before and after
the menopause.
PMID- 29632939
TI - Confined metal Ge quantum dots in carbon nanofibers for stable rechargeable
batteries.
AB - As a promising alloy-type anode material for rechargeable batteries, the metal
germanium (Ge) shows high capacity, but it suffers from a fast capacity fading
problem caused by a large volume expansion during lithiation/delithiation cycles.
In this study, via a facile electrospinning method, we optimized the combination
structure of Ge quantum dots (QDs) and carbon nanofibers (CNFs). Specifically, Ge
QDs with a diameter of 4-7 nm were highly dispersed in porous (pore size 10-150
nm) CNFs. The hybridized Ge/CNF nanocomposite exhibited remarkable Li storage
performances such as high reversible capacity (1204 mA h g-1 at a current density
of 200 mA g-1), high capacity retention (87.1% after 100 cycles) and excellent
rate property (760 mA h g-1 at 3000 mA g-1). The improved electrochemical
performance was due to the synergistic effects of Ge QDs and carbon nanofibers;
this effectively alleviated the volume expansion problem, prevented the
agglomeration of Ge, maintained the structural stability of the nanocomposite,
and improved the electrode kinetics of diffusion of Li ions.
PMID- 29632940
TI - Anti-Abeta drug candidates in clinical trials and plasmonic nanoparticle-based
drug-screen for Alzheimer's disease.
AB - Alzheimer's disease (AD) is the most common cause of neurodegenerative disorder
in elderly people, and has become a social problem in aging societies globally.
Amyloid-beta (Abeta) aggregates (i.e., Abeta fibrils and plaques) present in the
brains of AD patients are hallmarks of AD. Although various promising anti-Abeta
drugs have been tested in pre-clinical and randomized controlled trials, the
trial results have not yet been translated into clinical practice due to
increasing time and cost of drug development. Recent investigations have
addressed how the formation of Abeta aggregates is influenced by the surface of
gold nanoparticles (AuNPs) to obtain a detailed understanding of the in vivo
process of amyloid formation. Particularly, AuNPs catalytically provide
nucleation sites to accelerate the formation of Abeta aggregates. Moreover, AuNPs
have great potential as a sensing tool due to their optical property. Employing
this dual function (i.e., catalytic and optical property), AuNP-based colorimetry
is highlighted as a simple and innovative method for monitoring the efficacy of
anti-Abeta reagents. In this review, we briefly survey important developments and
designs of anti-Abeta drugs. The significance and perspectives of AuNP-based drug
screening in pharmacologic research are also discussed.
PMID- 29632941
TI - Activating [4 + 4] photoreactivity in the solid-state via complexation: from 9
(methylaminomethyl)anthracene to its silver(i) complexes.
AB - The [4 + 4] photoreactivity of the anthracene derivative 9
(methylaminomethyl)anthracene (MAMA) has been investigated in solution, gel
medium and in the solid state. While quantitative formation of the cyloaddition
photoproduct was achieved upon irradiation at lambda = 365 nm of ethanol
solutions of MAMA, only partial and slow conversion was detected in gels of low
molecular weight gelators, and solid-state reactivity was not observed due to the
unfavourable relative orientation of the anthracene moieties in the crystal. In
hexafluorophosphate, tetrafluoroborate and nitrate silver(i) complexes, however,
9-(methylaminomethyl)anthracene exhibits a more favourable mutual orientation for
the aromatic fragments, and [4 + 4] photoreactivity resulted. All compounds were
structurally characterized via single crystal and/or X-ray powder diffraction and
by Raman spectroscopy; this last technique proved effective in detection of the
photoproduct in all solid state complexes.
PMID- 29632942
TI - Effect of divalent versus monovalent cations on the MS2 retention capacity of
amino-functionalized ceramic filters.
AB - Ceramic capillary membranes conditioned for virus filtration via
functionalization with n-(3-trimethoxysilylpropyl)diethylenetriamine (TPDA) are
analyzed with respect to their virus retention capacity when using feed solutions
based on monovalent and divalent salts (NaCl, MgCl2). The log reduction value
(LRV) by operating in dead-end mode using the model bacteriophage MS2 with a
diameter of 25 nm and an IEP of 3.9 is as high as 9.6 when using feeds containing
MgCl2. In contrast, a lesser LRV of 6.4 is observed for feed solutions based on
NaCl. The TPDA functionalized surface is simulated at the atomistic scale using
explicit-solvent molecular dynamics in the presence of either Na+ or Mg2+ ions.
Computational prediction of the binding free energy reveals that the Mg2+ ions
remain preferentially adsorbed at the surface, whereas Na+ ions form a weakly
bound dissolved ionic layer. The charge shielding between surface and amino
groups by the adsorbed Mg2+ ions leads to an upright orientation of the TPDA
molecules as opposed to a more tilted orientation in the presence of Na+ ions.
The resulting better accessibility of the TPDA molecules is very likely
responsible for the enhanced virus retention capacity using a feed solution with
Mg2+ ions.
PMID- 29632943
TI - Nail dryer devices: a measured spectral irradiance and labelling review.
AB - The popularity of nail beauty has increased during the last few years in Spain,
as well as in other countries. Nowadays there are a large number of nail dryer
devices in the market with ultraviolet (UV) fluorescent lamps, light emitting
diodes (LED) or a combination of both. The different spectral emissions of each
individual nail dryer device require particular nail polishes, which are
polymerized by specific wavelengths and a controlled exposure time to achieve the
desired results. We have measured and analyzed the emission of 28 nail dryer
devices currently in use in Spanish beauty centers. The emission of each
individual nail dryer device showed a particular spectral distribution and
maximum intensity, especially those with fluorescent lamps or LED/UV
combinations. About 30% of the devices emitted more UV-A radiation than that
received in Barcelona at solar noon in summer. Nevertheless, in all cases the
erythemal irradiance was low, similarly to ambient values at solar noon in winter
or when the solar altitude is low. The erythemal doses corresponding to a typical
session were, therefore, lower than those received from sunlight at summer midday
at equivalent exposure times. The biological irradiances for photoaging (skin
sagging and elastosis) showed high variability depending on the device,
especially for fluorescent lamps. Since the emission of LED-based devices is
centered in the visible region, erythemal and photoaging irradiances were low. An
analysis of the labelling showed that 85% of the devices had a visible label,
although 23% contained some errors, according to the EN 60335-1 guideline.
PMID- 29632944
TI - Dietary supplementation with omega-3 polyunsaturated fatty acid-rich oils
protects against visible-light-induced retinal damage in vivo.
AB - The effects of administering omega-3 (omega-3) polyunsaturated fatty acid (PUFA)
rich oils on visible-light-induced retinal damage were investigated in rabbits.
The mole percentages of alpha-linolenic acid in sea buckthorn berry oil, sea
buckthorn oil (SO), sea buckthorn seed oil and flaxseed oil (FO) were 2.12%,
12.98%, 31.56% and 55.41%, respectively. Algal oil (AO) contains 33.34%
docosahexaenoic acid. SO has the highest total phenolic content (63.42 +/- 0.59
mg SAE per 100 g) amongst these oils. The administration of SO, FO and AO
provided structural and functional protection to the retina. In the retina, we
observed a significant increase in the levels of DHA in the AO group compared
with the normal group. The mechanism of retinal protection by SO, FO and AO
involves up-regulating the expression of nuclear factor erythroid-2 related
factor 2 and haem oxygenase-1. The levels of interleukin-1 beta, tumour necrosis
factor-alpha, interleukin-8, and cyclooxygenase 2 in the retina were
significantly reduced with AO treatment. The administration of AO resulted in the
down-regulation of nuclear factor kappa B mRNA expression. In addition, the
treatment with AO significantly attenuated the light-induced apoptosis and
angiogenesis in the retina. These results suggest that dietary omega-3 PUFA-rich
oils protect against visible-light-induced retinal damage.
PMID- 29632945
TI - Nonconductive layered hexagonal boron nitride exfoliation by bipolar
electrochemistry.
AB - Boron nitride (h-BN), which is an isoelectronic analogue of graphite, has
received immense attention due to its unique physical and chemical properties.
Numerous methods have been developed to isolate few-layered h-BN nanosheets.
These include chemical vapour deposition, solution-based exfoliation and ball
milling amongst others. The bipolar electrochemical method is one of the popular,
scalable and water based exfoliation methods which has been applied to graphite,
layered transition metal dichalcogenides and black phosphorus. This method was
not applied to insulators as this has been assumed to be an impossible task. In
this study, we report a solution-based, scalable and time efficient bipolar
electrochemical method for the direct exfoliation of bulk insulator, layered h-BN
into few-layered h-BN nanosheets based on bipolar electrochemistry. The
electrochemical exfoliation of nonconductive materials, h-BN, opens the way to
the application of this scalable method to the whole spectrum of non-conductive
layered materials. This facile method offers an alternative platform for h-BN
electrochemical exfoliation in wide-ranging fields encompassing electronics and
biomedical science.
PMID- 29632946
TI - Critical strain for Sn incorporation into spontaneously graded Ge/GeSn core/shell
nanowires.
AB - We address the role of non-uniform composition, as measured by energy-dispersive
x-ray spectroscopy, in the elastic properties of core/shell nanowires for the
Ge/GeSn system. In particular, by finite element method simulations and
transmission electron diffraction measurements, we estimate the residual misfit
strain when a radial gradient in Sn and a Ge segregation at the nanowire facet
edges are present. An elastic stiffening of the structure with respect to the
uniform one is concluded, particularly for the axial strain component. More
importantly, refined predictions linking the strain and the Sn percentage at the
nanowire facets enable us to quantitatively determine the maximum compressive
strain value allowing for additional Sn incorporation into a GeSn alloy. The
progressive incorporation with increasing shell thickness, under constant growth
conditions, is specifically induced by the nanowire configuration, where a larger
elastic relaxation of the misfit strain takes place.
PMID- 29632947
TI - Relevance of ion clusters for Li transport at elevated salt concentrations in
[Pyr12O1][FTFSI] ionic liquid-based electrolytes.
AB - In binary ionic liquid/Li salt mixtures with the novel asymmetric anion FTFSI,
electrophoretic mobility MUi values of all ion species were determined using
electrophoretic NMR. Li was determined to migrate in negatively charged Li-anion
clusters towards the anode. This vehicular transport mechanism was shown to have
decreasing relevance at elevated salt concentrations.
PMID- 29632948
TI - Gold(i)-catalyzed cross-coupling reactions of aryldiazonium salts with
organostannanes.
AB - Gold(i)-catalyzed cross-coupling reactions of aryldiazonium salts with
organostannanes are described. This redox neutral strategy offers an efficient
approach to diverse biaryls, vinyl arenes and arylacetylenes. Monitoring the
reaction with NMR and ESI-MS provided strong evidence for the in situ formation
of Ph3PAuIR (R = aryl, vinyl and alkynyl) species which is crucial for the
activation of aryldiazonium salts.
PMID- 29632949
TI - Designed Learning: Missing Data in Clinical Research.
PMID- 29632950
TI - We Should Measure What Matters in Bundled Payment Programs.
PMID- 29632951
TI - Diffuse Idiopathic Pulmonary Neuroendocrine Cell Hyperplasia Syndrome Treated
With Sirolimus.
PMID- 29632952
TI - The Effect of Telehealth on Spending: Thinking Through the Numbers.
PMID- 29632953
TI - U.S. Food and Drug Administration Precertification Pilot Program for Digital
Health Software: Weighing the Benefits and Risks.
AB - In 2017, the U.S. Food and Drug Administration (FDA) announced a new program for
software classified as a medical device. The Digital Health Software
Precertification (Pre-Cert) Program is designed to expedite regulatory review for
companies that demonstrate quality and organizational excellence in software
development. Although Pre-Cert is intended to promote the worthy goals of access
and innovation in digital health, many questions have been raised. In particular,
Pre-Cert may reduce incentives for developers to study the safety and
effectiveness of their software products before patients start to rely on them.
Although postmarket surveillance can mitigate risks of these products, the FDA
does not have as much authority after a product's widespread use to enforce data
collection deadlines. Pre-Cert may also create confusion for patients and
physicians, who may believe that marketed products were subject to rigorous
study.
PMID- 29632954
TI - Achieving 100% compliance to perioperative antibiotic administration: a quality
improvement initiative.
AB - INTRODUCTION: Timely administration of prophylactic antibiotic within 60 minutes
before surgical incision is important for reducing surgical site infections. This
quality-improvement initiative aimed to work toward achieving 100% compliance to
perioperative antibiotic administration. METHODS: We examined the workflow in our
Anaesthesia Information Management System (AIMS) and proposed interventions using
cause-and-effect analysis on anonymised anaesthetic records of eligible surgical
cases extracted from it. This ultimately led to the implementation of an
antibiotic pop-up reminder. The overall process was done in a few small plan-do
study-act cycles. These involved raising awareness, education and reorganisation
of AIMS before actual implementation of the antibiotic pop-up reminder. There was
ongoing data analysis from August 2014 to September 2016. Compliance was defined
as documented antibiotic administration within 60 minutes before surgical
incision or documented reason for omission. RESULTS: Median monthly compliance
rate, for 33,038 cases before and 28,315 cases after the reminder was
implemented, increased from 67.0% at baseline to 94.5%. This increase was
consistent and sustained for a year despite frequent personnel turnover.
Documentation of antibiotic administration also improved from 81.7% to 99.3%.
This allowed us to identify and address novel problems that were not apparent
initially, resulting in several department recommendations. These included
administering antibiotics later for cases with foreseeably longer-than-expected
preparation times, and bringing forward antibiotic administration in lower
segment Caesarean sections. CONCLUSION: The use of information technology and
implementation of antibiotic pop-up reminder on AIMS streamlined our work
processes and brought us closer to achieving 100% on-time compliance with
perioperative antibiotic administration.
PMID- 29632955
TI - In-stent restenosis assessed by frequency-domain optical coherence tomography
shows smooth coronary arterial healing process in second-generation drug-eluting
stents.
AB - INTRODUCTION: The pathophysiology and mechanism of in-stent restenosis (ISR)
after implantation of second-generation drug-eluting stents (DESs) are not fully
clear. We compared the morphological characteristics of ISR between first- and
second-generation DESs using frequency-domain optical coherence tomography (OCT).
METHODS: Patients who underwent follow-up coronary angiography (CAG) after first-
(Cypher and Taxus) and second- (Nobori, Promus Element, Resolute Integrity and
Xience) generation DES implantations were examined. ISR was defined as lesions of
over 50% diameter stenosis at follow-up CAG. Frequency-domain OCT was performed
at the time of revascularisation of ISR. Tissue morphology was assessed at
minimum lumen area. OCT images of DESs at both early (<= 1 year) and late (> 1
year) follow-ups were compared. RESULTS: On qualitative OCT assessment, ratios of
homogeneous, layered, heterogeneous without attenuation and heterogeneous with
attenuation morphologies were 57.1% 17.1%, 20.0% and 5.7%, respectively, for
second-generation DES ISR (n = 35), and 16.7%, 25.0%, 25.0% and 33.3%,
respectively, for first-generation DES ISR (n = 36). At late follow-up,
homogeneous morphology was significantly higher for second-generation DES ISR
(second-generation DES ISR: 50.0% vs. first-generation DES ISR: 8.0%; p < 0.01)
while heterogeneous with attenuation morphology was significantly higher for
first-generation DES ISR (second-generation DES ISR: 5.6% vs. first-generation
DES ISR: 44.0%; p < 0.01). CONCLUSION: Homogeneous tissue morphology was more
frequently found for second-generation DES ISR than first-generation DES ISR,
especially in the late phase. This finding suggested that neointimal hyperplasia
was the main mechanism in second-generation DES ISR and the neointima was
stabilised much like after bare metal stent implantation.
PMID- 29632957
TI - Making theory from knowledge syntheses useful for public health.
PMID- 29632958
TI - [Edge filters : Medical indications and clinical application].
AB - Edge filters are used in aphakic eyes as a substitute of the natural cut-off
filter of the intact eye or in other causes of a lack of light absorption in the
eye itself. Furthermore, they can remedy light adaptation disturbances,
especially in hereditary retinal diseases and retinal dystrophy. They enhance
contrast and reduce glare. In order to choose an adequate cut-off filter it is
necessary to let the participants test various filters before prescription.
Because of the (absolute) absorption of blue light, cut-off filters normally lead
to a loss of ability to drive.
PMID- 29632956
TI - Expression analysis of toll-like receptors of Dengue-infected cornea by real-time
polymerase chain reaction.
AB - BACKGROUND: Toll-like receptors (TLRs) play a significant role based on innate
immune mechanism during viral infection. TLR signaling mechanism designates to
protect the cells from invading viruses. The expression of TLRs during dengue
virus (DENV) infection not yet well explained. This study evaluates the TLR gene
expression from DENV-infected patient's cornea. METHODS: Reverse transcriptase
PCR was performed for the detection and genotyping of viral nucleic acid from
corneal grafts and DENV-infected cell suspension. TLR expression studies were
done on DENV-infected cornea by real-time RT2 Profiler PCR Array. RESULTS: The
reverse transcriptase PCR and genotyping confirmed the presence of DENV-3. TLR
expression studies revealed the upregulated expression of TLR4, TLR7, TLR9 and
TLR10. CONCLUSION: Molecular testing of DENV reveals that serological positivity
induces transmission of the virus through cornea and stimulates the expression of
TLR4, TLR7, TLR9 and TLR10, which may lead to up-regulation of innate pro
inflammatory response in the cornea.
PMID- 29632959
TI - Impact of Fetal Somatic Growth on Pulmonary Valve Annulus Z-Scores During
Gestation and Through Birth in Patients with Tetralogy of Fallot.
AB - Previous studies have suggested reduced pulmonary valve annulus (PVA) growth and
progression of pulmonary outflow obstruction in fetuses with tetralogy of Fallot
(TOF). The goals of this study were to (1) investigate the trajectory of PVA
growth in utero, and (2) compare two methods of z-score determination for fetal
and postnatal PVA size by echocardiography in order to improve prenatal
counseling for patients with TOF. Fetal echocardiograms (FE) at a single
institution with a diagnosis of TOF between 8/2008 and 12/2015 were
retrospectively reviewed. Patients included had at least 2 FEs and 1 immediate
postnatal echocardiogram (TTE). Fetal and postnatal demographic, clinical, and
echocardiographic data were collected. Fetal body surface area (BSA) was
calculated by estimating fetal weight and height; z-scores were determined based
on fetal gestational age (GA) and BSA for both FEs and TTEs. Fetal PVA z-scores
by GA or BSA were then compared to postnatal PVA z-scores by BSA. Twenty-two
patients with 44 FEs and 22 TTEs were included. GA at the first FE was 23 weeks
+/- 3.4 and 32 weeks +/- 3.1 at the second FE. There was no difference in PVA z
scores (by BSA) between the first and second FE (p = 0.34), but a decrease in PVA
z-scores (by BSA) between the second FE and TTE (- 1.6 +/- 0.5 vs. - 2.0 +/- 0.7;
p = 0.01). Repeat comparison with fetal PVA z-scores indexed to GA revealed no
difference in z-scores between the first and second FE, but an increase in PVA z
scores between the second FE (by GA) and TTE (by BSA) (- 4.1 +/- 1.0 vs. - 2.0 +/
0.7; p < 0.0001). The rate of PVA growth between the two FEs (23 um/day +/- 9.8)
and between the second FE and TTE (28 um/day +/- 42) remained comparable (p =
0.57); however, the rate of BSA increase was greater in later gestation (9
cm2/day +/- 3 vs. 20 cm2/day +/- 11; p = 0.001). In patients with TOF, the rate
of PVA growth appears to remain consistent through gestation; however, somatic
growth rate increases in late gestation. Fetal PVA z-scores indexed to GA are
thus inaccurate in predicting postnatal PVA z-scores typically indexed to BSA.
This observation should be considered during prenatal consultation and delivery
planning.
PMID- 29632960
TI - Prognostic Value of Serum Apelin Level in Children with Heart Failure Secondary
to Congenital Heart Disease.
AB - Apelin is an endogenous inotrope that decreased in heart failure (HF). We aimed
to evaluate the prognostic value of its level in children with HF due to
congenital heart disease (CHD). Sixty children with HF due to CHD were included
as a patient group. Sixty healthy children matched for age, sex, and weight
served as a control group. Chest X-ray, electrocardiogram (ECG),
echocardiography, and laboratory investigations such as complete blood count, c
reactive protein, and serum apelin levels were performed for all included
children at admission. All children were followed up for 3 months. Serum apelin
level was significantly decreased in patients with HF at admission than healthy
control group and this decrease went with advanced stage of HF. Serum apelin
levels were significantly decreased in patients with unfavorable prognosis than
those with favorable prognosis. At a cutoff lower than 126 ng/l, the sensitivity
of serum apelin to predict unfavorable prognosis in children with HF was 96% with
a specificity of 82%. Serum apelin level had a significant positive correlation
with left ventricular systolic function (P < 0.05). Moreover, it had a
significant negative correlation with heart rate, respiratory rate, Ross
classification, c-reactive protein, cardiothoracic ratio, and both left and right
ventricular dimensions (P < 0.05). Serum apelin level has a good predictive value
for adverse outcome in children with HF due to CHD.
PMID- 29632961
TI - Introduction of the second-generation direct-acting antivirals (DAAs) in chronic
hepatitis C: a register-based study in Sweden.
AB - PURPOSE: Introduction of the direct-acting antivirals (DAAs) for treatment of
chronic hepatitis C (CHC) infection has been challenging in all health systems.
In Sweden, a national protocol for managed introduction was developed. It was
optional, but all county councils agreed to implement and follow it. The purpose
of this study was to study (a) cure rates among all patients initiated on
treatment in 2014-2015, (b) prescribers' adherence to the drug recommendations
and treatment eligibility criteria in the protocol, and (c) introduction rate in
the six Swedish healthcare regions. METHOD: A cross-sectional study where
national data from the Prescribed Drug Register and the quality register InfCare
Hepatitis defined the study population, and clinical data from the Patient
Register and InfCare Hepatitis were used to monitor outcomes. Descriptive
statistics were used. RESULTS: A total of 3447 patients were initiated on
treatment during 2014-2015. The overall cure rate, based on data from 85% of the
cohort, was 96%, with variation between genotypes. Adherence to drug
recommendations increased over time and varied between 43.2 and 94.2%. Adherence
to the treatment eligibility criteria was initially 80% and increased to 87% when
treatment restrictions were widened. The introduction rate differed initially
between the regions and reached stable levels 15-18 months after the launch of
the first DAA. CONCLUSION: The estimated overall cure rate was 96%, with some
variations between genotypes. A high level of adherence to the introduction
protocol as well as similar introduction rates in the health care regions
indicate that the introduction protocol, alongside with other measures taken,
contributed considerably to a rapid uptake and equal distribution of DAAs in
Sweden.
PMID- 29632962
TI - Policies and availability of orphan medicines in outpatient care in 24 European
countries.
AB - PURPOSE: To assess pricing and reimbursement policies specific to orphan
medicines and the availability and distribution settings of ten recently
authorised medicinal products suitable for outpatient care with orphan status and
centralised marketing authorisation in Europe, and whether patients receive these
products free of charge or have to pay some or all of the costs themselves.
METHODS: Web survey to authorities and representatives of third party payers in
the Pharmaceutical Pricing and Reimbursement Information (PPRI) network in April
2016. RESULTS: In most of the 24 countries, special policies were not implemented
in the assessment of reimbursement status (22 countries) or in the pricing (20
countries) of orphan medicines. An average of five of the ten recently authorised
products per country were available for outpatient care. Products were dispensed
from community pharmacies in eight countries and from health care units in five
countries. In four countries, both distribution settings were used. When products
were dispensed from community pharmacies, patients typically paid some of the
price themselves. Products dispensed from health care units were often free of
charge for patients. CONCLUSIONS: Most European countries had not implemented
pricing and reimbursement policies specific to orphan medicines. The availability
of orphan products varied between countries. It is important to discuss whether
orphan medicines should be considered as a separate group in the reimbursement
regulations in order to secure patient access to these medicines.
PMID- 29632963
TI - Pacinian corpuscles: an explanation for subcutaneous palmar nodules routinely
encountered on MR examinations.
AB - Pacinian corpuscles, the main touch receptors to pressure and vibration, are
ubiquitous in the deep dermis and hypodermis of the fingers and palms.
Nevertheless, their existence is largely unknown to most radiologists. We
frequently noted hyperintense nodules in the palms of patients on water-sensitive
MRI sequences, but were unable to explain their etiology. We recently encountered
two patients who had Pacinian corpuscles identified at surgical exploration and
pathological analysis. Pre-operative MRI examinations in these patients showed T2
hyperintense subcutaneous palmar nodules corresponding to these corpuscles in a
pattern identical to those seen incidentally in other patients. Descriptions from
the dermatopathological and orthopedic literature closely correspond to our MRI
observations. Based on these data, we hypothesize that the MRI finding that we
previously noted represents normal Pacinian corpuscles.
PMID- 29632964
TI - Symptom development in originally asymptomatic CPAM diagnosed prenatally: a
systematic review.
AB - PURPOSE: For the approximately three quarters of patients with a prenatal
diagnosis of congenital pulmonary airway malformation (CPAM) who are asymptomatic
at birth, the chance of eventually developing symptoms is unknown. We sought to
explore the natural history of asymptomatic CPAM. METHODS: We searched EMBASE,
MEDLINE, and the first 50 results from Google Scholar. Studies describing the
natural history of prenatally diagnosed, initially asymptomatic CPAM were
included. For asymptomatic patients initially managed non-surgically, we
tabulated the proportion who went on to develop symptoms as well as the median
age at symptom development. RESULTS: We included data from 19 retrospective
studies on 353 patients. Of the 128 patients managed expectantly, 31 (24.2%)
developed symptoms requiring surgical intervention. The median age at symptom
development was 7.5 months (range 15 days-5 years). CONCLUSION: The risk for
developing respiratory symptoms exists with originally asymptomatic CPAM
patients, but the exact risk is difficult to predict. Parents may be given the
value of approximately 1 in 4 as an estimate of the proportion of asymptomatic
CPAM patients who go on to develop symptoms, which will help them make an
informed decision regarding the option of elective surgery.
PMID- 29632965
TI - A morphometric analysis of the suitability of the transverse cervical artery as a
recipient artery in head and neck free flap microvascular reconstruction.
AB - PURPOSE: Gold standard recipient arteries in head and neck free flap
microvascular reconstruction are currently branches of the external carotid.
However, these arteries can be compromised by neck dissection or radiotherapy,
resulting in 'vessel-depleted neck' and 'frozen neck' respectively. In such
cases, the transverse cervical artery (TCA) may be a suitable recipient artery.
METHODS: The origin, course and diameter of the TCA were determined in 46 sides
of neck from 23 cadavers. The distances from the origin of the TCA to the angle
of the mandible, floor of the mouth and mandibular symphysis were measured to
determine the pedicle length required for free flap anastomosis. RESULTS: The TCA
was present bilaterally in all subjects investigated and its course across the
posterior triangle of the neck was constant between individuals. The mean
distances from the origin of the TCA to the angle of mandible, floor of mouth and
mandibular symphysis were 10.0, 9.2 and 12.6 cm, respectively. There were no
significant differences in these distances between the left and right sides of
the neck (p > 0.05 for all comparisons). The distances from the TCA origin to the
angle of the mandible and floor of the mouth were significantly longer in males
than in females (p = 0.004) and correlated directly with the greater height of
males compared to females (p = 0.0004). The mean diameter of the TCA measured 2
cm from its origin was 2.2 mm. CONCLUSION: The TCA is a suitable and reliable
recipient artery for free flap microvascular reconstruction, when branches of the
external carotid artery are unavailable.
PMID- 29632967
TI - [Fluid resuscitation in adults : Balanced crystalloids vs. saline].
PMID- 29632966
TI - The functional analysis of SlNCED1 in tomato pollen development.
AB - Abscisic acid (ABA) regulates plant growth and development, but the role of ABA
in the development of reproductive organs in tomato has rarely been addressed. In
the present study, the role of ABA in the regulation of male and female
gametogenesis as well as pollen development and germination is tested in tomato.
qRT-PCR and in situ hybridization analysis of 9-cis-epoxycarotenoid dioxygenase
(SlNCED1), a key enzyme in the ABA biosynthetic pathway, showed high expression
of SlNCED1 primarily in the meristem during gametogenesis and mainly in ovule,
stigma, anther/pollen and vascular tissues during floral organ development.
SlNCED1 expression and ABA accumulation in anther peak at stages 13-14,
suggesting that ABA plays a role in the primary formation of pollen grains. Over
expression and suppression of SlNCED1 led to the abnormal development of
anther/pollen, especially in SlNCED1-OE lines, which have serious pollen
deterioration. The percentage of pollen germination in wild type is 91.47%,
whereas it is 6.85% in OE transgenic lines and 38.4% at anthesis in RNAi lines.
RNA-Seq of anthers shows that SlNCED1-OE can significantly enhance the expression
of SlPP2Cs and down-regulate the expression of SlMYB108 and SlMYB21, which are
anther/flower-specific transcriptional factors in tomato. Finally, anther
transcriptome data indicate that SlNCED1 is involved in ABA-mediated regulation
in pollen/anther metabolism, cell wall modification, and transcription levels.
These results support an important role for ABA in the development of
reproductive organs in tomato and contribute to the elucidation of the underlying
regulatory mechanisms.
PMID- 29632969
TI - Emergency ultrasound and echocardiography in patients with infarct-related
cardiogenic shock : A survey among members of the German Society of Medical
Intensive Care and Emergency Medicine.
AB - Current international and national guidelines promote the use of emergency
echocardiography in patients with cardiogenic shock. We assessed whether these
recommendations are followed in clinical practice of infarct-related cardiogenic
shock patients. For this purpose we conducted a web-based survey among all
members of the German Society of Medical Intensive Care and Emergency Medicine
(DGIIN); 40% of the DGIIN members completed the survey. Participants reported
that in their department emergency echocardiography/ultrasound is performed on
most patients in infarct-related cardiogenic shock presenting to the emergency
department/chest pain unit or intensive care unit (58.6% versus 81.4%). Only 33%
stated that on patients admitted directly to the catheterization laboratory
emergency ultrasound/echocardiography is applied in their institution. Local
availability of a standardized algorithm was lacking in the majority of
departments (77.2%). A great proportion (38.3%) of participants stated that they
personally had no formal training in emergency ultrasound. In order to meet the
demands of the current guidelines, in addition to integration of ultrasound
examinations into diagnostic algorithms, a structured training of all emergency
and intensive care physicians is necessary.
PMID- 29632968
TI - [Organ assist devices in the future : Limits and perspectives].
AB - In the last decade, extracorporeal organ assist devices (extracorporeal membrane
oxygenation [ECMO]) have been increasingly applied to treat the most severe forms
of respiratory failure and cardiogenic shock, although the underlying scientific
evidence is still limited and the methods carry a high risk of complications
despite all technical improvements. The selection of those patients who most
benefit from these devices is still a great challenge for intensivists and all
other involved disciplines. Besides the severity of the acute organ failure, it
is important to thoroughly evaluate etiology and treatment options of the
underlying disease, comorbidities, and the functional status of the patients in
an interdisciplinary team. This also includes ethical challenges. Because of the
complexity of the treatment and the high organizational demands it is reasonable
to concentrate ECMO treatments in specifically qualified centers and to promote a
comprehensive scientific analysis of the treatment data.
PMID- 29632970
TI - [Are the initial pH and the lactate values after cardiopulmonary resuscitation
always crucial?]
AB - A 52-year-old man suffered an out-of-hospital cardiac arrest (OHCA) and bystander
reanimation was immediately started. The initial electrocardiogram indicated
ventricular fibrillation. After repetitive defibrillations as well as intravenous
administration of amiodarone, a temporary return of spontaneous circulation
(ROSC) could be established. Due to unstable cardiovascular conditions with
recurrence of ventricular fibrillation, mechanical resuscitation with the help of
the LUCASTM device was initiated, and the patient was admitted to our hospital
for emergency coronary angiography after a cumulative period of approximately 90
min. The initial blood gas analysis displayed a significant lactate acidosis with
a pH value of 6.7. Therefore, in a multidisciplinary team, the decision was made
against an extracorporeal membrane oxygenation and for a coronary angiography
under continuation of mechanical resuscitation. After multiple stenting of the
right coronary artery and left anterior descending coronary artery, permanent
ROSC could be established. The patient was admitted to our intensive care unit,
where he was further treated according to the S3-guideline for infarct-related
cardiogenic shock. In the course of time, the patient was quickly extubated
without any neurological deficits.
PMID- 29632971
TI - Simultaneous determination of sulfur compounds from the sulfur pathway in rat
plasma by liquid chromatography tandem mass spectrometry: application to the
study of the effect of Shao Fu Zhu Yu decoction.
AB - A sensitive, accurate, and time-saving approach was developed for the
simultaneous quantification of eight sulfur compounds in the sulfur pathway,
which could reflect the status of an organism, including oxidative stress, signal
transduction, enzyme reaction, and so on. In order to overcome the instability of
highly reactive sulfhydryl compounds, N-ethylmaleimide derivatization was adopted
to effectively protect sulfhydryl-containing samples. Using isotope-labeled
glutathione (GSH-13C2, 15N), the validated method was demonstrated to offer
satisfactory linearity, accuracy, and precision. Separation was done by UHPLC,
using a BEH amide column. Accordingly, 0.1% formic acid acetonitrile was selected
as the precipitant. A tandem mass spectrometer was coupled to the chromatographic
system and afforded a detection limit of 0.2 ng/mL. Good linearity was maintained
over a wide concentration range (r2 > 0.994), and the accuracy was in the range
of 86.6-114% for all the studied compounds. The precision, expressed in RSD%,
ranged from 1.1% to 9.4% as intraday variability and less than 13% as interday
precision for all of the analytes. The approach was applied to study the
potential therapeutic mechanism of a well-known traditional Chinese medicine,
Shao Fu Zhu Yu decoction. The results suggested that Shao Fu Zhu Yu decoction
might protect against oxidative damage by increasing the concentrations of
sulfhydryl compounds. Graphical abstract An approach to quantitatively
determining sulfur compounds in the sulfur pathway simultaneously wasestablished
and applied to the study of the effect of Shao Fu Zhu Yu decoction.
PMID- 29632972
TI - [C5 palsy after multi-segmental cervical decompression : How can it be avoided?]
AB - BACKGROUND: Anterior cervical discectomy and fusion (ACDF) as well as posterior
instrumentation of the cervical spine are frequently performed surgeries for
cervical disc prolapse or spinal stenosis. Surgery itself harbors a very low risk
of adverse events. Postoperative palsy of the C5 nerve root, however, is a severe
complication and its origin is still not fully understood. The risk of such a C5
palsy is reported to be between 0 and 30%; 5% on average according to the
literature. OBJECTIVES: To describe underlying pathomechanisms and to recommend
strategies for risk reduction. MATERIALS AND METHODS: An extensive literature
research via Medline was performed. RESULTS: Potential risk factors are male
gender, sagittal diameter below 5.6 mm, anterior approach, and higher age.
CONCLUSIONS: Currently available data only originates from retrospective or
anatomical studies. A prospective register study with the goal to put light on
the pathogenesis is currently being performed.
PMID- 29632973
TI - [Primary fracture protheses and reverse shoulder arthroplasty in complex humeral
head fractures : An alternative to joint-preserving osteosynthesis?]
AB - BACKGROUND: The surgical management of complex humeral head fractures has adapted
dynamically over the course of the last decade. The primary use of reverse
shoulder arthroplasty in elderly patients has gained in relevance due to
promising short and middle-term results. Long-term results, however, are still
pending. The appliance of anatomical hemiarthroplasty, on the other hand, has
lost in significance in favour of osteosynthesis and reverse shoulder
arthroplasty. INDICATIONS: This review article follows the question as to under
which circumstances primary fracture arthroplasty reflects an alternative or even
a preference to joint-preserving osteosynthesis in the treatment of complex
proximal humeral fractures. It also specifies spectrums of indications for
anatomical hemiarthroplasty and reverse shoulder arthroplasty.
PMID- 29632974
TI - [Surface modifications of implants. Part 1 : Material technical and biological
principles].
AB - The biological effects of implants in vivo are not only dependent on the
implantation site and patient-specific factors but are also influenced by the
physicochemical composition and the surface topography of the biomaterial. In
cases of permanent implants applied to bone, primary stability, the promotion of
rapid osteointegration and antimicrobial properties of the implant are strived
for; however, surface modifications are also found on biomaterials which only
temporarily come into contact with tissue. These include not only osteosynthesis
materials, jig or implant templates but also surgical instruments. This article
summarizes the relevant technical principles of materials for the assessment of
implant surfaces. Besides technical material-specific and biological principles,
different surface modifications for targeted clinical applications are presented.
Furthermore, current developmental strategies are outlined.
PMID- 29632976
TI - Do pulmonary findings of granulomatosis with polyangiitis respond to anti
tuberculosis treatment?
AB - Granulomatosis with polyangiitis (GPA) involves upper and lower respiratory
tracts and kidneys. Lung involvement is among the most important organ
involvements in GPA. GPA's lung involvement might be confused with other
granulomatous conditions with lung involvement. In this report, we presented
clinical features of two cases with GPA who had been diagnosed as tuberculosis
(TBC) and well treated with anti-tuberculosis (anti-TBC) drugs. However, one of
two cases had ear-nose-throat (ENT) manifestations before the diagnosis of TBC
and her extrapulmonary findings related with GPA have added to clinical features
in the following years. In the second case, the manifestations of GPA appeared
after 13 months of anti-TBC treatment. We speculated that lung involvement in
these cases may be due to GPA rather than TBC. Our aim was to highlight
difficulties in the differential diagnosis between GPA and TBC and suggest the
possible beneficial effect of anti-TBC drugs on the lung involvement due to GPA
in light of the literature data.
PMID- 29632975
TI - Agromyces mangrovi sp. nov., a Novel Actinobacterium Isolated from Mangrove Soil.
AB - A novel, Gram-stain-positive, microaerophilic to aerobic, non-endospore-forming,
no-motile and rod-shaped bacterium designated Q14T was isolated from mangrove
soil samples collected on chengmai, Hainan province, China. Strain Q14T was able
to grow at 10-40 degrees C (optimum 30 degrees C), pH 5.5-10.0 (optimum 6.5
8.0) and with 0.5-6% (w/v) NaCl (optimum 1%). The genomic DNA G+C content was
70.1%. The chemotaxonomic analysis showed that the predominant isoprenoid quinone
was MK-12 and the major fatty acids were anteiso-C15:0, iso-C17:0 and anteiso
C17:0. The major polar lipids of strain Q14T were diphosphatidylglycerol,
phosphatidylglycerol and one glycolipid. The strain Q14T contained 2,4
diaminobutylic acid (A2bu), alanine acid, glutamic acid and glycine in the
peptidoglycans. The phylogenetic analysis and DNA-DNA hybridization, along with
the phenotypic and chemotaxonomic characteristics, indicate that strain Q14T as a
novel species of the genus Agromyces, for which the name Agromyces mangrovi sp.
nov. is proposed. The type strain is Q14T (= MCCC 1K03191T = KCTC 39814T).
PMID- 29632977
TI - Deformity correction with total knee arthroplasty for severe knee osteoarthritis
accompanying extra-articular femoral deformity: the results are promising.
AB - PURPOSE: This study aimed to evaluate patients with severe degenerative
osteoarthritis of the knee and extra-articular femoral deformities treated with
total knee arthroplasty (TKA) and acute deformity correction. METHODS: Ten
patients with severe degenerative osteoarthritis of the knee and extra-articular
femoral deformities were included, and the median age was 63 years (range 38-67).
The etiology was post-traumatic malunion in four patients, rickets sequelae in
four patients, and surgical sequelae due to multiple epiphyseal dysplasia in two
patients. The severity of degenerative osteoarthritis and deformity analyses were
assessed according to the Kellgren-Lawrence and Paley criteria, respectively. The
median number of previous operations the patients had undergone was two (range 0
3), and the median Oxford Knee Society score was 9 (range 5-13) before treatment.
All patients were treated with primary TKA and deformity correction in the same
surgery. The TKA was completed first, followed by an osteotomy at the apex of the
deformity. Finally, a retrograde intramedullary nail was inserted. RESULTS: The
median follow-up period was 44 (31-60) months. A stable and functional knee
joint, a physiological mechanical axis, and solid osseous union were achieved in
all patients. Late prosthetic failure was seen in one patient due to deep
infection. The median Oxford Knee Society score was 42 (range 37-47) at the final
follow-up. CONCLUSIONS: Combining several procedures in single setting for the
treatment of severe knee osteoarthritis accompanied by extra-articular deformity
may eliminate the need for multiple surgeries. Furthermore, a proper
physiological mechanical axis can be obtained without causing substantial bone
loss. LEVEL OF EVIDENCE: IV.
PMID- 29632978
TI - The arthroscopic Bankart repair procedure enables complete quantitative labrum
restoration in long-term assessments.
AB - PURPOSE: The restoration of the labrum complex and the influence on secondary
osteoarthritis after arthroscopic Bankart repair on magnetic resonance imaging
(MRI) remain unclear. METHODS: Twenty-one patients were retrospectively followed
after unilateral primary arthroscopic Bankart repair with knot-tying suture
anchors (8.8 +/- 2.5 years after surgery, age 25.3 +/- 6.3 years). Bilateral
structural MRI was performed to assess labrum-glenoid restoration by measurements
of the labrum slope angle, height index, and labrum interior morphology according
to the Randelli classification. Osteoarthritic status was bilaterally assessed by
a modified assessment based on the Samilson-Prieto classification. RESULTS: MRI
assessment revealed full labrum-glenoid complex restoration with equivalent
parameters for anterior slope angle (mean +/- SD: 21.3 degrees +/- 2.6 degrees
after Bankart repair vs. 21.9 degrees +/- 2.6 degrees control) and height index
(2.34 +/- 0.4 vs. 2.44 +/- 0.4), as well as the inferior slope angle (23.1
degrees +/- 2.9 degrees vs. 23.3 degrees +/- 2.1 degrees ) and height index
(2.21 +/- 0.3 vs. 2.21 +/- 0.3) (all n.s.). The labrum morphology showed only for
the anterior labrum significant alterations (1.4 +/- 0.9 vs. 0.6 +/- 0.7, p <
0.05), the inferior labrum occurred similarly (1.3 +/- 0.8 vs. 0.8 +/- 0.5,
n.s.). Osteoarthritic changes were significantly increased after Bankart repair
compared to the uninjured shoulder (4.8 +/- 5.1 mm vs. 2.5 +/- 1.0 mm; p < 0.05),
with a significant correlation of osteoarthritis status between both shoulders (p
< 0.05). Scores generally decreased after Bankart repair (constant 84.6 +/- 9.5
vs. 94.5 +/- 4.9 control, p < 0.05; Rowe 84.5 +/- 6.5 vs. 96.2 +/- 4.2, p < 0.05;
Walch-Duplay 82.4 +/- 7.0 vs. 94.3 +/- 4.0, p < 0.05) with a strong correlation
with osteoarthritis status (p < 0.05). CONCLUSIONS: Arthroscopic Bankart repair
enabled good clinical outcomes and complete quantitative labrum restoration
parameters. Next to several well-known parameters, secondary osteoarthritis after
arthroscopic Bankart repair significantly correlated with osteoarthritic status
of the uninjured contralateral shoulder but was not influenced by quantitative
labrum restoration. The recommendation for arthroscopic Bankart repair should be
based on clinical parameters and not on prevention of secondary osteoarthritis.
STUDY DESIGN: Case series. LEVEL OF EVIDENCE: IV.
PMID- 29632980
TI - [Penile cancer].
PMID- 29632979
TI - [Local treatment of penile cancer].
AB - In recent decades, the local treatment of penile cancer has focused primary on
the removal of the primary tumor. Due to the significant psycho-oncological
effects of treating the primary tumor, the guidelines on penile cancer now
contain a clear recommendation for preserving the target organ and prior to each
surgical procedure histological examination should be performed to confirm the
penile cancer. For more advanced tumors, reconstructive plastic surgery should
also be considered. The treatment of the primary tumor should be based on the
stage and the local extent and size of the tumor. The aim of this article is to
highlight current standards in the local treatment of penile cancer.
PMID- 29632988
TI - Small bowel obstruction and the gastrografin challenge.
AB - The "gastrografin challenge" has been used for decades in the evaluation of small
bowel obstruction (SBO). This type of study involves enteric administration of a
water-soluble contrast followed by serial abdominal radiographs. While its
diagnostic role is well established, its therapeutic role remains controversial.
Following an algorithm for gastrografin challenge cases can help with
interpretation. An understanding of the appearance of diluted contrast in the
small bowel, the concentrating effect of contrast in the colon, and knowledge of
surgical history and anatomy is paramount for diagnosis. In this article, we
review the approach to acute SBO and the use of gastrografin along with reviewing
image interpretation of cases of partial and complete SBO. Gastrografin use in
adynamic ileus along with other potential future uses is also discussed.
PMID- 29632989
TI - Advanced ultrasound applications in the assessment of renal transplants: contrast
enhanced ultrasound, elastography, and B-flow.
AB - Ultrasound is routinely used as the first imaging exam for evaluation of renal
transplants and can identify most major surgical complications and evaluate
vascularity with color Doppler. Ultrasound is limited, however, in the detection
of parenchymal disease processes and Doppler evaluation is also prone to
technical errors. Multiple new ultrasound applications have been developed and
are under ongoing investigation which could add additional diagnostic capability
to the routine ultrasound exam with minimal additional time, cost, and patient
risk. Contrast-enhanced ultrasound (CEUS) can be used off-label in the transplant
kidney, and can assist in detection of infection, trauma, and vascular
complications. CEUS also can demonstrate perfusion of the transplant assessed
quantitatively with generation of time-intensity curves. Future directions of
CEUS include monitoring treatment response and microbubble targeted medication
delivery. Elastography is an ultrasound application that can detect changes in
tissue elasticity, which is useful to diagnose diffuse parenchymal disease, such
as fibrosis, otherwise unrecognizable with ultrasound. Elastography has been
successfully applied in other organs including the liver, thyroid, and breast;
however, it is still under development for use in the transplant kidney. Unique
properties of the transplant kidney including its heterogeneity, anatomic
location, and other technical factors present challenges in the development of
reference standard measurements. Lastly, B-flow imaging is a flow application
derived from B-mode. This application can show the true lumen size of a vessel
which is useful to depict vascular anatomy and bypasses some of the pitfalls of
color Doppler such as demonstration of slow flow.
PMID- 29632990
TI - Hepatopulmonary shunting on Tc99m-MAA liver mapping: correlation with dynamic
cross-sectional imaging and description of different shunting patterns.
AB - PURPOSE: The purpose of the study was to correlate lung shunt fraction (LSF)
calculated by intra-arterial injection of Technetium-99m (Tc-99m)-labeled
macroaggregated albumin (MAA) in a hepatic artery branch with the presence of
certain patterns of vascular shunts on dynamic CT or MRI of the liver. METHODS:
This retrospective study was approved by the institutional review board and
informed consent was waived. We reviewed 523 MAA scans in 453 patients (301 men,
152 women) performed from July 2007 to June 2015 and their correlative cross
sectional imaging. Patterns of vascular shunts on dynamic CT or MRI performed
within 3 months of the MAA study and that potentially divert hepatic arterial
inflow to the systemic venous return were defined as "target shunts." Dynamic CT
or MRI was classified into three groups with target shunt present, absent, or
indeterminate. The mean LSF was compared across the first and second groups using
paired t test. RESULTS: 342 CT and MRI studies met inclusion criteria: target
shunts were present in 63 studies, absent in 271 studies, and 8 studies were
indeterminate. When target shunts were visualized, the mean LSF on corresponding
MAA scans was 12.9 +/- 10.36% (95% CI 10.29-15.15%) compared to 4.3 +/- 3.17%
(95% CI 3.93-4.68%) when no target shunt was visualized. The difference was
statistically significant (p value < 0.001). Identified target shunts were either
direct (arteriohepatic venous shunt) or indirect (arterioportal shunt combined
with a portosystemic shunt). CONCLUSIONS: Visualizing certain patterns of
vascular shunting on a dynamic CT or MRI scan is associated with high LSF.
PMID- 29632991
TI - Magnetic resonance imaging (MRI) of the renal sinus.
AB - This article presents methods to improve MR imaging approach of disorders of the
renal sinus which are relatively uncommon and can be technically challenging.
Multi-planar Single-shot T2-weighted (T2W) Fast Spin-Echo sequences are
recommended to optimally assess anatomic relations of disease. Multi-planar 3D
T1W Gradient Recalled Echo imaging before and after Gadolinium administration
depicts the presence and type of enhancement and relation to arterial, venous,
and collecting system structures. To improve urographic phase MRI, concentrated
Gadolinium in the collecting systems should be diluted. Diffusion-Weighted
Imaging (DWI) should be performed before Gadolinium administration to minimize
T2* effects. Renal sinus cysts are common but can occasionally be confused for
dilated collecting system or calyceal diverticula, with the latter communicating
with the collecting system and filling on urographic phase imaging. Vascular
lesions (e.g., aneurysm, fistulas) may mimic cystic (or solid) lesions on non
enhanced MRI but can be suspected by noting similar signal intensity to the blood
pool and diagnosis can be confirmed with MR angiogram/venogram. Multilocular
cystic nephroma commonly extends to the renal sinus, however, to date are
indistinguishable from cystic renal cell carcinoma (RCC). Solid hilar tumors are
most commonly RCC and urothelial cell carcinoma (UCC). Hilar RCC are
heterogeneous, hypervascular with epicenter in the renal cortex compared to UCC
which are centered in the collecting system, homogeneously hypovascular, and show
profound restricted diffusion. Diagnosis of renal sinus invasion in RCC is
critically important as it is the most common imaging cause of pre-operative
under-staging of disease. Fat is a normal component of the renal sinus; however,
amount of sinus fat correlates with cardiovascular disease and is also seen in
lipomatosis. Fat-containing hilar lesions include lipomas, angiomyolipomas, and
less commonly other tumors which engulf sinus fat. Mesenchymal hilar tumors are
rare. MR imaging diagnosis is generally not possible, although anatomic relations
should be described to guide diagnosis by percutaneous biopsy or surgery.
PMID- 29632992
TI - Utility of the portal venous phase for diagnosing pancreatic necrosis in acute
pancreatitis using the CT severity index.
AB - PURPOSE: The purpose of the study was to evaluate the value of portal venous
phase (PVP) images in the diagnosis of pancreatic necrosis in patients with acute
pancreatitis using computed tomography severity index (CTSI). METHODS: This
retrospective study was approved by our Institutional Review Board, and written
informed consent was waived. Dynamic contrast-enhanced CT images, with the
pancreatic parenchymal phase (PPP) and the PVP, were obtained from 56 consecutive
patients with acute pancreatitis. Two radiologists reviewed two sets of images,
namely PPP images alone (image set A) and combined PPP and PVP images (image set
B) to evaluate the CTSI. Cases were categorized as necrotizing pancreatitis if
ensuing walled-off necrosis formation was identified 4 weeks after onset of
symptoms. The relationship between pancreatic necrosis and CTSI was compared
between image sets A and B. Logistic regression analysis was performed to
evaluate the significance of clinical and radiological factors associated with
the diagnosis of pancreatic necrosis. RESULTS: Pancreatic necrosis was confirmed
in 14 out of 56 (25%) patients. The area under the receiver-operating
characteristic curve (AUC) for the diagnosis of pancreatic necrosis was 0.70 and
0.78 for image sets A and B, respectively. The AUC for image set B was
significantly greater than that for image set A (P = 0.0002). Logistic regression
analysis demonstrated that among clinical and radiological factors tested, CTSI
for image set B was independently correlated with pancreatic necrosis (P =
0.025). CONCLUSIONS: Combined PPP and PVP images significantly improved the
diagnostic accuracy of pancreatic necrosis following acute pancreatitis.
PMID- 29632993
TI - Contrast-enhanced ultrasonography in interventional oncology.
AB - Contrast-enhanced ultrasound (CEUS) has evolved from the use of agitated saline
to second generation bioengineered microbubbles designed to withstand insonation
with limited destruction. While only one of these newer agents is approved by the
Food and Drug Administration for use outside echocardiography, interventional
radiologists are increasingly finding off-label uses for ultrasound contrast
agents. Notably, these agents have an extremely benign safety profile with no
hepatic or renal toxicities and no radiation exposure. Alongside diagnostic
applications, CEUS has begun to develop its own niche within the realm of
interventional oncology. Certainly, the characterization of focal solid organ
lesions (such as hepatic and renal lesions) by CEUS has been an important
development. However, interventional oncologists are finding that the dynamic and
real-time information afforded by CEUS can improve biopsy guidance, ablation
therapy, and provide early evidence of tumor viability after locoregional
therapy. Even more novel uses of CEUS include lymph node mapping and sentinel
lymph node localization. Critical areas of research still exist. The purpose of
this article is to provide a narrative review of the emerging roles of CEUS in
interventional oncology.
PMID- 29632994
TI - The bacterial replisome has factory-like localization.
AB - DNA replication is essential to cellular proliferation. The cellular-scale
organization of the replication machinery (replisome) and the replicating
chromosome has remained controversial. Two competing models describe the
replication process: In the track model, the replisomes translocate along the DNA
like a train on a track. Alternately, in the factory model, the replisomes form a
stationary complex through which the DNA is pulled. We summarize the evidence for
each model and discuss a number of confounding aspects that complicate
interpretation of the observations. We advocate a factory-like model for
bacterial replication where the replisomes form a relatively stationary and
weakly associated complex that can transiently separate.
PMID- 29632995
TI - Efficacy and safety of trimodulin, a novel polyclonal antibody preparation, in
patients with severe community-acquired pneumonia: a randomized, placebo
controlled, double-blind, multicenter, phase II trial (CIGMA study).
AB - PURPOSE: The CIGMA study investigated a novel human polyclonal antibody
preparation (trimodulin) containing ~ 23% immunoglobulin (Ig) M, ~ 21% IgA, and ~
56% IgG as add-on therapy for patients with severe community-acquired pneumonia
(sCAP). METHODS: In this double-blind, phase II study (NCT01420744), 160 patients
with sCAP requiring invasive mechanical ventilation were randomized (1:1) to
trimodulin (42 mg IgM/kg/day) or placebo for five consecutive days. Primary
endpoint was ventilator-free days (VFDs). Secondary endpoints included 28-day all
cause and pneumonia-related mortality. Safety and tolerability were monitored.
Exploratory post hoc analyses were performed in subsets stratified by baseline C
reactive protein (CRP; >= 70 mg/L) and/or IgM (<= 0.8 g/L). RESULTS: Overall,
there was no statistically significant difference in VFDs between trimodulin
(mean 11.0, median 11 [n = 81]) and placebo (mean 9.6; median 8 [n = 79]; p =
0.173). Twenty-eight-day all-cause mortality was 22.2% vs. 27.8%, respectively (p
= 0.465). Time to discharge from intensive care unit and mean duration of
hospitalization were comparable between groups. Adverse-event incidences were
comparable. Post hoc subset analyses, which included the majority of patients (58
78%), showed significant reductions in all-cause mortality (trimodulin vs.
placebo) in patients with high CRP, low IgM, and high CRP/low IgM at baseline.
CONCLUSIONS: No significant differences were found in VFDs and mortality between
trimodulin and placebo groups. Post hoc analyses supported improved outcome
regarding mortality with trimodulin in subsets of patients with elevated CRP,
reduced IgM, or both. These findings warrant further investigation. TRIAL
REGISTRATION: NCT01420744.
PMID- 29632998
TI - [Epigenetics: more interesting than relevant for clinicians?]
PMID- 29632997
TI - Human-relevant potency threshold (HRPT) for ERalpha agonism.
AB - The European Commission has recently proposed draft criteria for the
identification of endocrine disrupting chemicals (EDCs) that pose a significant
hazard to humans or the environment. Identifying and characterizing toxic hazards
based on the manner by which adverse effects are produced rather than on the
nature of those adverse effects departs from traditional practice and requires a
proper interpretation of the evidence regarding the chemical's ability to produce
physiological effect(s) via a specific mode of action (MoA). The ability of any
chemical to produce a physiological effect depends on its pharmacokinetics and
the potency by which it acts via the various MoAs that can lead to the particular
effect. A chemical's potency for a specific MoA-its mechanistic potency-is
determined by two properties: (1) its affinity for the functional components that
comprise the MoA, i.e., its specific receptors, enzymes, transporters,
transcriptional elements, etc., and (2) its ability to alter the functional state
of those components (activity). Using the agonist MoA via estrogen receptor
alpha, we illustrate an empirical method for determining a human-relevant potency
threshold (HRPT), defined as the minimum level of mechanistic potency necessary
for a chemical to be able to act via a particular MoA in humans. One important
use for an HRPT is to distinguish between chemicals that may be capable of,
versus those likely to be incapable of, producing adverse effects in humans via
the specified MoA. The method involves comparing chemicals that have different
ERalpha agonist potencies with the ability of those chemicals to produce ERalpha
mediated agonist responses in human clinical trials. Based on this approach, we
propose an HRPT for ERalpha agonism of 1E-04 relative to the potency of the
endogenous estrogenic hormone 17beta-estradiol or the pharmaceutical estrogen,
17alpha-ethinylestradiol. This approach provides a practical way to address
Hazard Identification according to the draft criteria for identification of EDCs
recently proposed by the European Commission.
PMID- 29632999
TI - MR-based radiomics signature in differentiating ocular adnexal lymphoma from
idiopathic orbital inflammation.
AB - OBJECTIVES: To assess the value of the MR-based radiomics signature in
differentiating ocular adnexal lymphoma (OAL) and idiopathic orbital inflammation
(IOI). METHODS: One hundred fifty-seven patients with pathology-proven OAL (84
patients) and IOI (73 patients) were divided into primary and validation cohorts.
Eight hundred six radiomics features were extracted from morphological MR images.
The least absolute shrinkage and selection operator (LASSO) procedure and linear
combination were used to select features and build radiomics signature for
discriminating OAL from IOI. Discriminating performance was assessed by the area
under the receiver-operating characteristic curve (AUC). The predictive results
were compared with the assessment of radiologists by chi-square test. RESULTS:
Five radiomics features were included in the radiomics signature, which
differentiated OAL from IOI with an AUC of 0.74 and 0.73 in the primary and
validation cohorts respectively. There was a significant difference between the
classification results of the radiomics signature and those of a radiology
resident (p < 0.05), although there was no significant difference between the
results of the radiomics signature and those of a more experienced radiologist (p
> 0.05). CONCLUSIONS: Radiomics features have the potential to differentiate OAL
from IOI. KEY POINTS: * Clinical and imaging findings of OAL and IOI often
overlap, which makes diagnosis difficult. * Radiomics features can potentially
differentiate OAL from IOI non invasively. * The radiomics signature
discriminates OAL from IOI at the same level as an experienced radiologist.
PMID- 29633000
TI - Inter-operator variability and source of errors in tumour response assessment for
hepatocellular carcinoma treated with sorafenib.
AB - OBJECTIVES: To assess the inter-operator concordance and the potential sources of
discordance in defining response to sorafenib in hepatocellular carcinoma (HCC).
METHODS: All patients who received sorafenib between September 2008 and February
2015 were scrutinised for this retrospective study. Images were evaluated
separately by three radiologists with different expertise in liver imaging
(operator 1, >10 years; operator 2, 5 years; operator 3, no specific training in
liver imaging), according to: response evaluation radiological criteria in solid
tumours (RECIST) 1.1, modified RECIST (mRECIST) and response evaluation criteria
in cancer of the liver (RECICL). RESULTS: The overall response concordance
between the more expert operators was good, irrespective of the criteria (RECIST
1.1, K = 0.840; mRECIST, K = 0.871; RECICL, K = 0.819). Concordance between the
less expert operator and the other colleagues was lower. The most evident
discordance was in target lesion response assessment, with expert operators
disagreeing mostly on lesion selection and less expert operators on lesion
measurement. As a clinical correlate, overall survival was more tightly related
with "progressive disease" as assessed by the expert compared to the same
assessment performed by operator 3. CONCLUSIONS: Decision on whether a patient is
a responder or progressor under sorafenib may vary among different operators,
especially in case of a non-specifically trained radiologist. Regardless of the
adopted criteria, patients should be evaluated by experienced radiologists to
minimise variability in this critical instance. KEY POINTS: * Inter-operator
variability in the assessment of response to sorafenib is poorly known. * The
concordance between operators with expertise in liver imaging was good. * Target
lesions selection was the main source of discordance between expert operators. *
Concordance with non-specifically trained operator was lower, independently from
the response criteria. * The non-specifically trained operator was mainly
discordant in measurements of target lesions.
PMID- 29633001
TI - CT diagnosis of gallbladder adenomyomatosis: importance of enhancing mucosal
epithelium, the "cotton ball sign".
AB - OBJECTIVES: To determine the diagnostic value of the cotton ball sign and other
CT features in patients with gallbladder (GB) wall thickenings (WTs). METHODS:
Three blinded readers reviewed the preoperative CT and MR images of 101 patients
with pathologically confirmed GB adenomyomatosis (GA) (n = 34) and other benign
(n = 29), malignant (n = 41), and premalignant (n = 2) GBWTs. Three readers
analysed the morphological features of GBWT and presence of the "cotton ball
sign", defined as fuzzy grey dots in GBWT or a dotted outer border of the inner
enhancing layer on contrast-enhanced (CE) CT. In addition, the "pearl necklace
sign" on MR was analysed. RESULTS: In the GA group (n = 34), prevalence of the
cotton ball sign and pearl necklace sign was 74% (25/34) and 44% (15/34),
respectively. Presence of the cotton ball sign, smooth contour of the mucosa,
double-layering enhancement, and enhancement degree weaker than the renal cortex
on CT images were significant predictors of benign GBWT (p < 0.01). When
differentiating GA from GB malignancy or premalignancy, accuracy of the cotton
ball sign and pearl necklace sign was 81% (62/77) and 74% (57/77), respectively.
CONCLUSION: The cotton ball sign on CE-CT showed higher sensitivity and
comparable specificity to those of the pearl necklace sign in differentiating GA
from malignancy. KEY POINTS: * Prevalence of the cotton ball sign on CT was 74%
in gallbladder adenomyomatosis. * The cotton ball sign was useful in
differentiating gallbladder adenomyomatosis from gallbladder cancer. * The cotton
ball sign was more sensitive than the pearl necklace sign for adenomyomatosis
diagnosis.
PMID- 29633002
TI - Identification of high-risk plaque features in intracranial atherosclerosis:
initial experience using a radiomic approach.
AB - OBJECTIVES: To evaluate a quantitative radiomic approach based on high-resolution
magnetic resonance imaging (HR-MRI) to differentiate acute/sub-acute symptomatic
basilar artery plaque from asymptomatic plaque. METHODS: Ninety-six patients with
basilar artery stenosis underwent HR-MRI between January 2014 and December 2016.
Patients were scanned with T1- and T2-weighted imaging, as well as T1 imaging
following gadolinium-contrast injection (CE-T1). The stenosis value, plaque
area/burden, lumen area, minimal luminal area (MLA), intraplaque haemorrhage
(IPH), contrast enhancement ratio and 94 quantitative radiomic features were
extracted and compared between acute/sub-acute and asymptomatic patients. Multi
variate logistic analysis and a random forest model were used to evaluate the
diagnostic performance. RESULTS: IPH, MLA and enhancement ratio were
independently associated with acute/subacute symptoms. Radiomic features in T1
and CE-T1 images were associated with acute/subacute symptoms, but the features
from T2 images were not. The combined IPH, MLA and enhancement ratio had an area
under the curve (AUC) of 0.833 for identifying acute/sub-acute symptomatic
plaques, and the combined T1 and CE-T1 radiomic approach had a significantly
higher AUC of 0.936 (p = 0.01). Combining all features achieved an AUC of 0.974
and accuracy of 90.5%. CONCLUSIONS: Radiomic analysis of plaque texture on HR-MRI
accurately distinguished between acutely symptomatic and asymptomatic basilar
plaques. KEY POINTS: * High-resolution magnetic resonance imaging can assess
basilar artery atherosclerotic plaque. * Radiomic features in T1 and CE-T1 images
are associated with acute symptoms. * Radiomic analysis can accurately
distinguish between acute symptomatic and asymptomatic plaque. * The highest
accuracy may be achieved by combining radiomic and conventional features.
PMID- 29633003
TI - Facial nerve tractography: A new tool for the detection of perineural spread in
parotid cancers.
AB - OBJECTIVES: To determine whether facial nerve MR tractography is useful in
detecting PeriNeural Spread in parotid cancers. METHODS: Forty-five participants
were enrolled. Thirty patients with surgically managed parotid tumors (15
malignant, 15 benign) were compared with 15 healthy volunteers. All of them had
undergone 3T-MRI with diffusion acquisition and post-processing constrained
spherical deconvolution-based tractography. Parameters of diffusion-weighted
sequences were b-value 1,000 s/mm2, 32 directions. Two radiologists performed a
blinded visual reading of tractographic maps and graded the facial nerve average
pathlength and fractional anisotropy (FA). We also compared diagnostic accuracy
of tractography with morphological MRI sequences to detect PeriNeural Spread. Non
parametric methods were used. RESULTS: Average pathlength was significantly
higher in cases with PeriNeural Spread (39.86 mm [Quartile1: 36.27; Quartile3:
51.19]) versus cases without (16.23 mm [12.90; 24.90]), p<0.001. The threshold
above which there was a significant association with PeriNeural Spread was set at
27.36 mm (Se: 100%; Sp: 84%; AUC: 0.96, 95% CI 0.904-1). There were no
significant differences in FA between groups. Tractography map visual analyses
directly displayed PeriNeural Spread in distal neural ramifications with
sensitivity of 75%, versus 50% using morphological sequences. CONCLUSIONS:
Tractography could be used to identify facial nerve PeriNeural Spread by parotid
cancers. KEY POINTS: * Tractography could detect facial nerve PeriNeural Spread
in parotid cancers. * The average pathlength parameter is increased in case of
PeriNeural Spread. * Tractography could map PeriNeural Spread more precisely than
conventional imaging.
PMID- 29633005
TI - A phase II study of modified FOLFIRINOX for chemotherapy-naive patients with
metastatic pancreatic cancer.
AB - BACKGROUND: We evaluated the efficacy and safety of a modified FOLFIRINOX regimen
for chemotherapy-naive patients with metastatic pancreatic cancer. METHODS:
Patients with untreated metastatic pancreatic cancer (MPC) received modified
FOLFIRINOX (intravenous oxaliplatin 85 mg/m2, irinotecan 150 mg/m2, 5-FU infusion
2400 mg/m2 over 46 h, no bolus 5-FU). The primary endpoints were overall survival
and the incidence of grade 3 or higher neutropenia. No patients received
prophylactic pegfilgrastim. RESULTS: Sixty-nine pts. were enrolled from 39
institutions in Japan. The median overall survival was 11.2 months [95%
confidence interval (CI) 9.0-]. The median progression-free survival was 5.5
months (95% CI 4.1-6.7). The response rate was 37.7% (95% CI 26.3-50.2), and the
disease control rate was 78.3% (95% CI 66.7-87.3). The incidence of grade 3 or
higher neutropenia was 47.8%. Serious adverse events occurred in six patients
(8.7%). All AE proportions were less than those in the previous Japanese full
dose phase II study. One patient died due to interstitial pneumonia related to
treatment. CONCLUSION: This is the first prospective study of modified FOLFIRINOX
in Asia. Modified FOLFIRINOX in this study has an improved safety profile with
maintained efficacy in MPC without prophylactic pegfilgrastim.
PMID- 29633004
TI - Multiparametric MRI for Suspected Recurrent Prostate Cancer after HIFU:Is DCE
still needed?
AB - PURPOSE: To assess the added value of the dynamic contrast-enhanced sequence
(DCE) to combination T2-weighted imaging (T2w) + diffusion-weighted imaging (DWI)
in detecting prostate cancer (PCa) recurrence after HIFU (high-intensity focused
ultrasound). METHODS: Forty-five males with clinical and biological suspected PCa
recurrence were retrospectively selected. All underwent multi-parametric MRI
(mpMRI) before biopsies. Two readers independently assigned a Likert score of
cancer likelihood on T2w + DWI + DCE and T2w + DWI images. Prostatic biopsies
were taken as the gold standard. RESULTS: Recurrent PCa was identified at biopsy
for 37 patients (82%). Areas under the receiver-operating curve of T2w + DWI and
T2w + DWI + DCE imaging were not significantly different for both readers. Using
a Likert score >= 3 for the PCa diagnosis threshold, sensitivity at the lobe
level for the (1) senior and (2) junior reader for T2w +DWI +DCE sensitivity was
(1) 0.97 and (2) 0.94 vs. (1) 0.94 and (2) 0.97 for T2w + DWI. CONCLUSION:
Accuracy of mpMRI was not significantly improved by adding DCE to T2w + DWI.
Sensitivity was high for T2w + DWI + DCE and T2w + DWI with no significant
difference for either the junior or senior reader. KEY POINTS: * MpMRI has the
capability to detect PCa recurrence in post-HIFU monitoring. * The sensitivity of
T2w and DWI for detecting PCa recurrence was not improved by DCE. * Readers with
different degrees of experience did not improve their performance with DCE.
PMID- 29633006
TI - Multikinase inhibitor sorafenib induces skin toxicities in tumor-bearing mice.
AB - OBJECTIVES: To investigate the pathologic changes and pathogenesis of multikinase
inhibitor (MKI)-induced skin lesions in an animal model. METHODS: Tumor-bearing
nude mice and BDF1 mice were treated with different doses (30-240 mg/kg, Bid) of
sorafenib. The pathology and severity of the skin lesions was assessed and
evaluated. The concentration of sorafenib in the skin was also determined.
RESULTS: Sorafenib transiently induced skin rash at high doses (120-240 mg/kg).
The induced skin lesions had pathological manifestations resembling the
observations in human patients. The skin of mice treated with sorafenib had
significantly increased pathological scores and thickness of the stratum spinosum
compared with the control, and induced more severe cutaneous lesions in nude mice
than in BDF1 mice. The severity of skin lesions was correlated with the local
concentration of sorafenib in the skin, which was significantly higher in nude
mice than in BDF1 mice. Sorafenib treatment significantly increased the
expression of F4-80, Ly6G, tumor growth factor (TGF)-1beta, Smad2/3, alpha-smooth
muscle actin, and proliferating cell nuclear antigen. CONCLUSIONS: The severity
of skin lesions was positively correlated with the concentration of sorafenib in
the skin. Our results suggested the involvement of the TGF-beta1/Smads signaling
pathway in the skin reaction induced by MKIs.
PMID- 29633007
TI - The source of cell-free mitochondrial DNA in trauma and potential therapeutic
strategies.
AB - Mitochondria play a key role in the pathophysiology of post-injury inflammation.
Cell-free mitochondrial DNA (cf-mtDNA) is now understood to catalyse sterile
inflammation after trauma. Observations in trauma cohorts have identified high cf
mtDNA in patients with systemic inflammatory response syndrome and multiple organ
failure as well as following major surgery. The source of cf-mtDNA can be various
cells affected by mechanical and hypoxic injury (passive mechanism) or induced by
inflammatory mechanisms (active mechanism). Multiple forms of cf-mtDNA exist;
mtDNA fragments, mtDNA in microparticles/vesicles and cell-free mitochondria.
Trauma to cells that are rich in mitochondria are believed to release more cf
mtDNA. This review describes the current understanding of the mechanisms of cf
mtDNA release, its systemic effects and the potential therapeutic implications
related to its modification. Although current understanding is insufficient to
change trauma management, focussed research goals have been identified to pave
the way for monitoring and manipulation of cf-mtDNA release and effects in
trauma.
PMID- 29633009
TI - Time dependency of the SNARC effect for different number formats: evidence from
saccadic responses.
AB - In line with the suggestion that the strength of the spatial numerical
association of response codes (SNARC) effect was time dependent, the aim of the
present study was to assess whether the association strength depends on the
processing time of numerical quantity and/or of the time to initiate responses.
More specifically, we examined whether and how the SNARC effect could be
modulated by number format and effector type. Experiment 1 compared the effect
induced by Arabic numbers and number words on the basis of saccadic responses in
a parity judgment task. Indeed, previous studies have shown that Arabic numbers
lead to faster processing than number words. The results replicated the SNARC
effect with Arabic numbers, but not with number words. Experiment 2 was similar
to Experiment 1, but this time manual responses (i.e., responses far slower than
saccadic ones) were recorded. A strong SNARC effect was observed for both number
formats. Further analyses revealed a correlation between mean individual response
times and the strength of the SNARC effect. We proposed that the initiation times
for saccadic responses may be too short for the SNARC effect to appear, in
particular with the written number format for which activation of magnitude takes
time. We conclude in terms of time variations resulting from processing
specificities related with number format, effector type and also individual
reaction and processing speed.
PMID- 29633008
TI - Comorbidities, repeated hospitalizations, and age >= 80 years as indicators of
anemia development in the older population.
AB - Anemia represents a common condition among the elderly; however, its prevalence
and causes are not well known. This retrospective analysis was performed on 981
patients aged >= 60 in Poland over 2013-2014. The prevalence of anemia was 17.2%
and increased with age. The predominant causes of anemia were the following:
anemia of chronic disease (33.1%), unexplained anemia (28.4%), deficiency anemia
(22.5%, including iron deficiency 13%), and chemo-/radiotherapy-induced anemia
(8.9%). In the multivariate logistic regression model, factors increasing the
risk of anemia were the following: age >= 80 years (OR 2.29; 95%CI 1.19-4.42; P =
0.013), the number of comorbidities (two diseases OR 2.85; 95%CI 1.12-7.30; P =
0.029, three diseases OR 6.28; 95%CI 2.22-17.76; P = 0.001, four diseases OR
4.64; 95%CI 1.27-17.01; P = 0.021), and hospitalizations (OR 1.34; 95%CI 1.13
1.58; P = 0.001). After a 2-year follow-up, the cumulative survival among
patients without anemia in relation to the group with anemia was 90.76 vs. 78.08%
(P < 0.001). In the multivariate model, anemia (HR 3.33, 95%CI 1.43-7.74, P =
0.005), heart failure (HR 2.94, 95%CI 1.33-6.50, P = 0.008), and cancer (HR 3.31,
95%CI 1.47-7.49, P < 0.004) were all significantly correlated with mortality. In
patients >= 60 years, the incidence of anemia increases with age, number of
comorbidities, and frequency of hospitalizations and has an adverse impact on
survival.
PMID- 29633010
TI - Evaluation of combined growth media for in vitro cultivation of oropharyngeal
biofilms on prosthetic silicone.
AB - In the upper aerodigestive tract, biofilm deposits by oropharyngeal microbes can
cause failure of medical polymer devices like voice prostheses. Previous studies
on testing of inhibitive strategies still lack of comparability due to varying
study protocols concerning growth media, microbial species and growth conditions.
Goal of the study was therefore to test cultivation of a mixed biofilm of
isolated oropharyngeal microbes under in vitro growth conditions using mixtures
of common growth media. Mixtures of yeast peptone dextrose medium (YPD), fetal
bovine serum (FBS), RPMI 1640, Yeast nitrogen base medium (YNB) and brain heart
infusion (BHI) were tested to grow mixed biofilm deposits of Candida albicans,
Candida tropicalis, Staphylococcus aureus, Streptococcus epidermidis, Rothia
dentocariosa and Lactobacillus gasseri on medical grade silicone. Periodic
assessment of living biofilm was performed over 22 days by a digital microscope
and the cultivated biofilm structures were analyzed by scanning electron
microscopy after completion of the study. Mixtures of BHI, YPD and FBS improved
microscopic growth of multispecies biofilm deposits over time, while addition of
RPMI and YNB resulted in reduction of visible biofilm deposit sizes. A mixtures
of FBS 30% + YPD 70% and BHI 30% + YPD 70% showed enhanced support of permanent
surface growth on silicone. Growth kinetics of in vitro multispecies biofilms can
be manipulated by using mixtures of common growth media. Using mixtures of growth
media can improve growth of longterm multispecies oropharyngeal biofilm models
used for in vitro testing of antibiofilm materials or coatings for voice
prostheses.
PMID- 29633011
TI - Gluten-free-rendered products contribute to imbalanced diets in children and
adolescents with celiac disease.
AB - PURPOSE: As well as adhering to the safe limit for gluten intake, a suitable
gluten-free (GF) diet must also be nutritionally balanced. However, malnutrition
has been observed in the population with celiac disease (CD). This is even more
important in the case of children and adolescents, whose GF diet must also ensure
their proper growth. The aim of the present study was to assess the diet quality
of children and adolescents with CD to attain optimal nutritional status,
determining the most relevant factors that affect a balanced diet. METHODS:
Eighty-three children and adolescents with CD (9.2 +/- 3.8 years) took part in
the study. Height, weight and body composition were measured. An analysis of
energy consumption and of the macronutrient distribution of their diet was
carried out. Adherence to Mediterranean diet by KIDMED index was analyzed, and
energy and nutrients intake. RESULTS: The diet of participants was not balanced,
containing more fat and less carbohydrate than recommended. Most children and
adolescents revealed adequate body mass index and suitable body fat percentage.
Two-thirds of them showed moderate or poor KIDMED index, the case of girls being
remarkable. When the GF diet, containing GF-rendered foodstuffs, was compared to
a similar type of diet but substituting GF products with their analogs containing
gluten, important nutritional differences were revealed. CONCLUSIONS: Even though
celiac children and adolescents' diet is unhealthy due to its inappropriate
dietary pattern, following a diet based on GF products raises extra difficulty in
complying with the nutritional recommendations.
PMID- 29633012
TI - CANOMAD and other chronic ataxic neuropathies with disialosyl antibodies (CANDA).
AB - INTRODUCTION: CANOMAD/CANDA are syndromes characterized by ataxic neuropathy,
ophthalmoplegia, monoclonal gammopathy, cold agglutinins and disialosyl
antibodies. METHODS: A retrospective review of our neuromuscular autoantibody
panel database was performed. Anti-GD1b seropositive patients with ataxia were
included. RESULTS: Eleven patients were identified. Median age at onset was 56
years. Median disease duration was 6 years. All patients had gait disorders. Nine
had ocular motility abnormalities. Most had a monoclonal protein and all had
elevated serum IgM. Electrodiagnostic studies showed a mixed axonal/demyelinating
pattern (6), an axonal pattern (4), or a pure demyelinating pattern (1).
Ultrasounds showed nerve enlargement patterns consistent with acquired
demyelination. A nerve biopsy showed near complete loss of myelinated axons with
preservation of smaller axons. Rituximab was the most effective immunotherapy.
CONCLUSION: CANOMAD/CANDA are rare and debilitating disorders with characteristic
clinical and diagnostic findings. In our cohort, nerve ultrasound showed regional
nerve enlargement and rituximab was the most effective immunomodulatory therapy.
PMID- 29633013
TI - Clinical impact of intratumoral HER2 heterogeneity on trastuzumab efficacy in
patients with HER2-positive gastric cancer.
AB - BACKGROUND: There is growing interest in the clinical significance of
intratumoral HER2 heterogeneity. Its prognostic and predictive impacts on
trastuzumab efficacy were demonstrated in breast cancer. However, its clinical
significance in gastric cancer is still unclear. METHODS: Twenty-eight HER2
positive gastric cancer patients who had gastrectomy prior to trastuzumab-based
chemotherapy were consecutively enrolled. Intratumoral HER heterogeneity was
evaluated using whole-tissue sections by immunohistochemistry. When all tumor
cells overexpressed HER2 protein, the tumor was defined as homogeneously HER2
(Homo-HER2)-positive group. The others were defined as heterogeneously HER2
(Hetero-HER2)-positive group. RESULTS: There was no significant difference in
clinicopathological features between the two groups. The median progression-free
survival (PFS) and overall survival (OS) in the Homo-HER2-positive group were
significantly longer than those in the Hetero-HER2-positive group (PFS; 20.0
months [95% CI 17.8-22.2] vs. 6.0 months [95% CI 2.3-9.7]; HR 0.11; 95% CI 0.03
0.41; p < 0.001, OS; not reached vs. 14.0 months [95% CI 11.9-16.1]; HR 0.18; 95%
CI 0.06-0.61; p = 0.003). In the multivariate analysis, these associations
remained significant both in PFS (HR 0.12; 95% CI 0.03-0.46, p = 0.002) and OS
(HR 0.21; 95% CI 0.06-0.72, p = 0.013). With respect to response rate, no
statistical difference was found between two groups. However, deeper tumor
shrinkage was obtained in the Homo-HER2-positive group compared with the Hetero
HER2-positive group (p = 0.046). CONCLUSIONS: Intratumoral HER2 heterogeneity may
have robust clinical impact on trastuzumab efficacy in patients with HER2
positive gastric cancer. These findings should be validated by larger independent
cohorts and further molecular correlative analyses are warranted.
PMID- 29633014
TI - Living interfaces watched with new tools.
PMID- 29633015
TI - Expression of IL33 and IL35 in oral lichen planus.
AB - Oral lichen planus (OLP) is a complex immunological disorder, mediated in part by
the release of cytokines by activated T-cells. Recently, the role of novel
cytokines including IL33 and IL35 has been described in various chronic
inflammatory diseases. IL33, a member of the IL-1 superfamily of cytokines,
functions as an 'alarmin' released after cell necrosis to alert the immune system
to tissue damage or stress. IL35, a member of IL12 cytokine family, is produced
by regulatory T-cells and suppresses the immune response. The expression of IL33
and IL35 is yet to be investigated in OLP. The aim of this study was to determine
the presence and topographical distribution of IL33 and IL35 in OLP using
immunohistochemistry and quantitative real-time reverse transcriptase polymerase
chain reaction (qPCR). For IHC, formalin-fixed paraffin-embedded archival
specimens of OLP (n = 10) and a non-specific inflammatory (NSI) control group (n
= 9) were used. A double-labelling immunofluorescence technique was used to
determine the expression of IL33 and IL35 on CD3+ T-cells. In addition, 12 fresh
tissue samples (OLP n = 6 and NSI controls n = 6) were used to determine the gene
expression of IL33 and EBI3 (one chain of the dimeric IL35). Quantitative and
qualitative analysis was performed with statistical significance set at p < 0.05.
IHC showed positive immunostaining with IL33 and IL35 in both OLP and NSI.
Comparison of the numbers of IL33+ and IL35+ cells in OLP and NSI did not show
any significant difference. In OLP, there were significantly more IL33+ cells in
the deeper connective tissue region than at the epithelial-connective tissue
interface. Interestingly, all IL35+ cells observed in both OLP and NSI tissues
showed ovoid/plasmacytoid morphology. Double-labelling immunofluorescence showed
that IL33 and IL35 expression was not localized within CD3+ T-cells. The gene
expression experiments showed significantly higher expression of EBI3 (fold
regulation 14.02) in OLP when compared to the inflammatory controls. IL33 gene
expression was not different between the groups. However, within the OLP tissues,
there was a significantly higher expression of IL33 than EBI3. Our data
demonstrate the expression of IL33 and IL35 in OLP lesions. Further studies are
needed to understand the functional role of these cytokines in OLP pathogenesis.
PMID- 29633016
TI - Delay in weight bearing in surgically treated tibial shaft fractures is
associated with impaired healing: a cohort analysis of 166 tibial fractures.
AB - BACKGROUND: The relation between timing of weight bearing after a fracture and
the healing outcome is yet to be established, thereby limiting the implementation
of a possibly beneficial effect for our patients. The current study was
undertaken to determine the effect of timing of weight bearing after a surgically
treated tibial shaft fracture. MATERIALS AND METHODS: Surgically treated
diaphyseal tibial fractures were retrospectively studied between 2007 and 2015.
The timing of initial weight bearing (IWB) was analysed as a predictor for
impaired healing in a multivariate regression. RESULTS: Totally, 166 diaphyseal
tibial fractures were included, 86 cases with impaired healing and 80 with normal
healing. The mean age was 38.7 years (range 16-89). The mean time until IWB was
significantly shorter in the normal fracture healing group (2.6 vs 7.4 weeks, p <
0.001). Correlation analysis yielded four possible confounders: infection
requiring surgical intervention, fracture type, fasciotomy and open fractures.
Logistic regression identified IWB as an independent predictor for impaired
healing with an odds ratio of 1.13 per week delay (95% CI 1.03-1.25).
CONCLUSIONS: Delay in initial weight bearing is independently associated with
impaired fracture healing in surgically treated tibial shaft fractures. Unlike
other factors such as fracture type or soft tissue condition, early resumption of
weight bearing can be influenced by the treating physician and this factor
therefore has a direct clinical relevance. This study indicates that early
resumption of weight bearing should be the treatment goal in fracture fixation.
LEVEL OF EVIDENCE: 3b.
PMID- 29633018
TI - Diversity of the genus Bunodera Railliet, 1896 (Trematoda: Allocreadiidae) in the
northern part of Eastern Europe and North-eastern Asia, estimated from 28S rDNA
sequences, with a description of Bunodera vytautasi sp. nov.
AB - Phylogenetic relationship reconstruction and taxonomical analysis of trematodes
of the genus Bunodera was carried out using 28S rDNA partial sequences along with
a description and molecular characterisation of a new species, B. vytautasi sp.
nov. A new species is reported from the intestine of Pungitius pungitius
(Linnaeus, 1758), collected from Magadan Region, Russia. The diagnostic
characters of B. vytautasi sp. nov. are the extension of vitelline fields from
the oral sucker or posterior edge of the pharynx to the posterior extremity of
the body, the confluence of vitelline fields within the forebody, the extension
of the cirrus-sac to the posterior third of ventral sucker or further backwards
with an outlet beyond the posterior edge of the sucker, and the presence of a
unipartite internal seminal vesicle. Both Bayesian and Maximum Likelihood trees
shared the same tree topology, in which the genus Bunodera was shown to be
monophyletic. Representatives of the genus Bunodera were distributed into three
well-supported clades: percid-infecting species (Eurasian species B. luciopercae
and B. acerinae and North American B. luciopercae s.l.), gasterosteid-infecting
species (amphi-Pacific B. mediovitellata and North American B. inconstans and B.
eucaliae) and percid/gasterosteid-infecting species (Asiatic B. vytautasi sp.
nov. and North American B. sacculata). Eurasian B. luciopercae and B. acerinae
were more closely related to each other than to North American B. luciopercae
s.l.
PMID- 29632996
TI - Resolved versus confirmed ARDS after 24 h: insights from the LUNG SAFE study.
AB - PURPOSE: To evaluate patients with resolved versus confirmed ARDS, identify
subgroups with substantial mortality risk, and to determine the utility of day 2
ARDS reclassification. METHODS: Our primary objective, in this secondary LUNG
SAFE analysis, was to compare outcome in patients with resolved versus confirmed
ARDS after 24 h. Secondary objectives included identifying factors associated
with ARDS persistence and mortality, and the utility of day 2 ARDS
reclassification. RESULTS: Of 2377 patients fulfilling the ARDS definition on the
first day of ARDS (day 1) and receiving invasive mechanical ventilation, 503
(24%) no longer fulfilled the ARDS definition the next day, 52% of whom initially
had moderate or severe ARDS. Higher tidal volume on day 1 of ARDS was associated
with confirmed ARDS [OR 1.07 (CI 1.01-1.13), P = 0.035]. Hospital mortality was
38% overall, ranging from 31% in resolved ARDS to 41% in confirmed ARDS, and 57%
in confirmed severe ARDS at day 2. In both resolved and confirmed ARDS, age, non
respiratory SOFA score, lower PEEP and P/F ratio, higher peak pressure and
respiratory rate were each associated with mortality. In confirmed ARDS, pH and
the presence of immunosuppression or neoplasm were also associated with
mortality. The increase in area under the receiver operating curve for ARDS
reclassification on day 2 was marginal. CONCLUSIONS: ARDS, whether resolved or
confirmed at day 2, has a high mortality rate. ARDS reclassification at day 2 has
limited predictive value for mortality. The substantial mortality risk in severe
confirmed ARDS suggests that complex interventions might best be tested in this
population. TRIAL REGISTRATION: ClinicalTrials.gov NCT02010073.
PMID- 29633017
TI - Influence of subject presentation on interpretation of body composition change
after 6 months of self-selected training and diet in athletic males.
AB - PURPOSE: High precision body composition assessment methods accurately monitor
physique traits in athletes. The acute impact of subject presentation (ad libitum
food and fluid intake plus physical activity) on body composition estimation
using field and laboratory methods has been quantified, but the impact on
interpretation of longitudinal change is unknown. This study evaluated the impact
of athlete presentation (standardised versus non-standardised) on interpretation
of change in physique traits over time. Thirty athletic males (31.2 +/- 7.5
years; 182.2 +/- 6.5 cm; 91.7 +/- 10.3 kg; 27.6 +/- 2.6 kg/m2) underwent two
testing sessions on 1 day including surface anthropometry, dual-energy X-ray
absorptiometry (DXA), bioelectrical impedance spectroscopy (BIS) and air
displacement plethysmography (via the BOD POD), with combinations of these used
to establish three-compartment (3C) and four-compartment (4C) models. METHODS:
Tests were conducted after an overnight fast (BASEam) and ~ 7 h later after ad
libitum food/fluid and physical activity (BASEpm). This procedure was repeated 6
months later (POSTam and POSTpm). Magnitude of changes in the mean was assessed
by standardisation. RESULTS: After 6 months of self-selected training and diet,
standardised presentation testing (BASEam to POSTam) identified trivial changes
from the smallest worthwhile effect (SWE) in fat-free mass (FFM) and fat mass
(FM) for all methods except for BIS (FM) where there was a large change (7.2%)
from the SWE. Non-standardised follow-up testing (BASEam to POSTpm) showed
trivial changes from the SWE except for small changes in FFM (BOD POD) of 1.1%,
and in FM (3C and 4C models) of 6.4 and 3.5%. Large changes from the SWE were
found in FFM (BIS, 3C and 4C models) of 2.2, 1.8 and 1.8% and in FM (BIS) of
6.4%. Non-standardised presentation testing (BASEpm to POSTpm) identified trivial
changes from the SWE in FFM except for BIS which was small (1.1%). A moderate
change from the SWE was found for BOD POD (3.3%) and large for BIS (9.4%) in FM
estimations. CONCLUSIONS: Changes in body composition utilising non-standardised
presentation were more substantial and often in the opposite direction to those
identified using standardised presentation, causing misinterpretation of change
in physique traits. Standardised presentation prior to body composition
assessment for athletic populations should be advocated to enhance interpretation
of true change.
PMID- 29633019
TI - Quality control and improvement of cancer care: what is needed? 4th European
Roundtable Meeting (ERTM) May 5th, 2017, Berlin, Germany.
AB - PURPOSE: National Cancer Control Plans (NCCPs) often describe structural
requirements for high quality cancer care. During the fourth European Roundtable
Meeting (ERTM) participants shared learnings from their own national setting to
formulate best practice in optimizing communication strategies between parties
involved in clinical cancer registries, cancer centers and guideline groups.
RESULTS: A decentralized model of data collection close to the patient and
caregiver enhances timely completion and the quality of the data captured.
Nevertheless, central coordination is necessary to define datasets, indicators,
standard settings, education, training and quality control to maintain standards
across the network. In particular, interaction of parties in cancer care network
has to be established and maintained on a regular basis. CONCLUSION: After
establishing the structural requirements of cancer care networks, communication
between the different components and parties is required to analyze outcome data,
provide regular reporting to all and develop strategies for continuous
improvement of quality across the network.
PMID- 29633020
TI - Biodiesel production from algae grown on food industry wastewater.
AB - Algae have an ample potential to produce biodiesel from spent wash of food
industry. In addition, it is cheaper and presents an environment friendly way to
handle food industry wastewater. This study was conducted to optimize the growth
of microalgal strains and to assess biodiesel production potential of algae using
untreated food industry wastewater as a source of nutrients. The food industry
wastewater was collected and analyzed for its physicochemical characteristics.
Different dilutions (10, 20, 40, 80, and 100%) of this wastewater were made with
distilled water, and growth of two microalgal strains (Cladophora sp. and
Spyrogyra sp.) was recorded. Each type of wastewater was inoculated with
microalgae, and biomass was harvested after 7 days. The growth of both strains
was also evaluated at varying temperatures, pH and light periods to optimize the
algal growth for enhanced biodiesel production. After optimization, biodiesel
production by Spyrogyra sp. was recorded in real food industry wastewater. The
algal biomass increased with increasing level of food industry wastewater and was
at maximum with 100% wastewater. Moreover, statistically similar results were
found with algal growth on 100% wastewater and also on Bristol's media. The
Cladophora sp. produced higher biomass than Spyrogyra sp. while growing on food
industry wastewater. The optimal growth of both microalgal strains was observed
at temperature 30 degrees C, pH: 8, light 24 h. Cladophora sp. was further
evaluated for biodiesel production while growing on 100% wastewater and found
that this strain produced high level of oil and biodiesel. Algae have an ample
potential to produce biodiesel from spent wash of food industry. In addition, it
is cheaper and presents an environment friendly way to handle food industry
wastewater.
PMID- 29633022
TI - Association between mutant IDHs and tumorigenesis in gliomas.
AB - To become immortalized, cells need to maintain the telomere length via the
activation of telomerase or alternative lengthening of telomere. Mutations in
IDH1/2 are strongly associated with the early stage of gliomagenesis. Previous
work has shown that the accumulation of 2-HG, which is induced by mutant IDH1/2,
inhibits alpha-KG-dependent deoxygenase and leads to genome-wide histone and DNA
methylation alterations. These alterations are believed to contribute to
tumorigenesis. H-Ras can transform human astrocytes with the inactivation of
p53/pRb and expression of hTERT; however, mutant IDH1 can also transform cells.
Moreover, mutant IDH1 can drive the immortalization and transformation of p53
/pRb-deficient astrocytes by reactivating telomerase and stabilizing telomeres in
combination with increased histone lysine methylation and c-Myc/Max binding at
the TERT promoter. It remains unclear whether mutant IDH1/2 acts only as the
initial driver of gliomagenesis or it maintains transformed cells. Clinical
studies are being performed to assess the use of mutant IDH1/2 inhibitors for
treating gliomas.
PMID- 29633021
TI - Risk Prevention of Spreading Emerging Infectious Diseases Using a
HybridCrowdsensing Paradigm, Optical Sensors, and Smartphone.
AB - The risk of spreading diseases within (ad-hoc)crowds and the need to pervasively
screen asymptomatic individuals to protect the population against emerging
infectious diseases, request permanentcrowd surveillance., particularly in high
risk regions. Thecase of Ebola epidemic in West Africa in recent years has shown
the need for pervasive screening. The trend today in diseases surveillance is
consisting of epidemiological data collection about emerging infectious diseases
using social media, wearable sensors systems, or mobile applications and data
analysis. This approach presents various limitations. This paper proposes a novel
approach for diseases monitoring and risk prevention of spreading infectious
diseases. The proposed approach, aiming at overcoming the limitation of existing
disease surveillance approaches, combines the hybrid crowdsensing paradigm with
sensing individuals' bio-signals using optical sensors for monitoring any risks
of spreading emerging infectious diseases in any (ad-hoc) crowds. A proof-of
concept has been performed using a drone armed with a cat s60 smartphone
featuring a Forward Looking Infra-Red (FLIR) camera. According to the results of
the conducted experiment, the concept has the potential to improve the
conventional epidemiological data collection. The measurement is reliable, and
the recorded data are valid. The measurement error rates are about 8%.
PMID- 29633023
TI - Cortical processing of speech in individuals with auditory neuropathy spectrum
disorder.
AB - OBJECTIVE: Auditory neuropathy spectrum disorder (ANSD) is a condition where
cochlear amplification function (involving outer hair cells) is normal but neural
conduction in the auditory pathway is disordered. This study was done to
investigate the cortical representation of speech in individuals with ANSD and to
compare it with the individuals with normal hearing. DESIGN: Forty-five
participants including 21 individuals with ANSD and 24 individuals with normal
hearing were considered for the study. Individuals with ANSD had hearing
thresholds ranging from normal hearing to moderate hearing loss. Auditory
cortical evoked potentials-through odd ball paradigm-were recorded using 64
electrodes placed on the scalp for /ba/-/da/ stimulus. Onset cortical responses
were also recorded in repetitive paradigm using /da/ stimuli. Sensitivity and
reaction time required to identify the oddball stimuli were also obtained.
RESULT: Behavioural results indicated that individuals in ANSD group had
significantly lower sensitivity and longer reaction times compared to individuals
with normal hearing sensitivity. Reliable P300 could be elicited in both the
groups. However, a significant difference in scalp topographies was observed
between the two groups in both repetitive and oddball paradigms. Source
localization using local auto regressive analyses revealed that activations were
more diffuses in individuals with ANSD when compared to individuals with normal
hearing sensitivity. CONCLUSION: Results indicated that the brain networks and
regions activated in individuals with ANSD during detection and discrimination of
speech sounds are different from normal hearing individuals. In general, normal
hearing individuals showed more focused activations while in individuals with
ANSD activations were diffused.
PMID- 29633024
TI - FDG-PET/CT and diffusion-weighted imaging for resected lung cancer: correlation
of maximum standardized uptake value and apparent diffusion coefficient value
with prognostic factors.
AB - Diffusion-weighted magnetic resonance imaging (DWI) is useful for detecting
malignant tumors and the assessment of lymph nodes, as FDG-PET/CT is. But it is
not clear how DWI influences the prognosis of lung cancer patients. The focus of
this study is to evaluate the correlations between maximum standardized uptake
value (SUVmax) of FDG-PET/CT and apparent diffusion coefficient (ADC) value of
DWI with known prognostic factors in resected lung cancer. A total of 227
patients with resected lung cancers were enrolled in this study. FEG-PET/CT and
DWI were performed in each patient before surgery. There were 168 patients with
adenocarcinoma, 44 patients with squamous cell carcinoma, and 15 patients with
other cell types. SUVmax was a factor that was correlated to T factor, N factor,
or cell differentiation. ADC of lung cancer was a factor that was not correlated
to T factor, or N factor. There was a significantly weak inverse relationship
between SUVmax and ADC (Correlation coefficient r = - 0.227). In analysis of
survival, there were significant differences between the categories of sex, age,
pT factor, pN factor, cell differentiation, cell type, and SUVmax. Univariate
analysis revealed that SUVmax, pN factor, age, cell differentiation, cell type,
sex, and pT factor were significant factors. Multivariate analysis revealed that
SUVmax and pN factor were independent significant prognostic factors. SUVmax was
a significant prognostic factor that is correlated to T factor, N factor, or cell
differentiation, but ADC was not. SUVmax may be more useful for predicting the
prognosis of lung cancer than ADC values.
PMID- 29633025
TI - Effect of cervical priming with misoprostol on cervical entry in women undergoing
vaginoscopic hysteroscopy for evaluation of abnormal uterine bleeding: a
randomized controlled trial.
AB - OBJECTIVE: To study the effect of cervical priming with misoprostol on cervical
entry in women undergoing diagnostic hysteroscopy for evaluation of abnormal
uterine bleeding. METHODS: Randomized controlled trial conducted in teaching
hospital and tertiary referral center on 122 women requiring diagnostic
hysteroscopy for evaluation of abnormal uterine bleeding. Women were randomized
into two equal groups (n = 122). Study group received 200-MUg vaginal
misoprostol, 3 h prior to vaginoscopic hysteroscopy. No drug was used in control
group. No analgesia or anesthesia was used in either group. Outcome measure
included (i) ease of cervical entry (Likert scale), and (ii) pain scoring (Visual
Analog Scale) and procedural entry time. RESULTS: Forty-six (75.41%) patients in
the study group and 17 (27.87%) in control group had easy or very easy entry
(Likert scale-4 and 5) (p < 0.001). Median pain scoring by VAS and the median
procedural entry time was significantly lower in the study group compared to the
control group (p < 0.001). CONCLUSION: Use of 200-MUg vaginal misoprostol,
administered vaginally 3 h before diagnostic vaginoscopic hysteroscopy, was found
to be simple and effective method of cervical priming in facilitating cervical
entry with minimal side effects. Clinical Trial registry of India (CTRI):
(CTRI/2015/04/005666) (website: http://ctri.nic.in ).
PMID- 29633026
TI - Correction to: Escherichia coli persistence kinetics in dairy manure at moderate,
mesophilic, and thermophilic temperatures under aerobic and anaerobic
environments.
AB - Escherichia coli persistence kinetics in dairy manure at moderate, mesophilic,
and thermophilic temperatures.
PMID- 29633028
TI - Star paper session.
PMID- 29633029
TI - Free Papers.
PMID- 29633031
TI - Evaluation of new lesions and symptoms after gamma knife radiosurgery for brain
metastases: a retrospective cohort study.
AB - BACKGROUND: Symptomatic new lesions that appear after gamma knife radiosurgery
(GKRS) for brain metastases have not been thoroughly described. METHODS: Among
238 patients who underwent a single session of GKRS without whole-brain
radiotherapy or surgery for brain metastases between 2009 and 2014, a total of
165 (69.3%) patients underwent follow-up magnetic resonance imaging (MRI). Their
electrical health records were reviewed retrospectively. The median age was 68
years, and 62.4% patients were men. The median number of brain metastases was 2.
The most frequent primary organ site was the lung (71.5%). Then, we evaluated
predictors for the symptoms of new lesions. RESULTS: New lesions and
leptomeningeal dissemination were observed in 101 (61.2%) and 23 (14.2%)
patients, respectively. The median number of new lesions was 2; moreover, 20 of
101 patients (19.8%) with new lesions had tumours with the largest diameters of >
1 cm. Among 101 patients with new lesions, 13 were symptomatic (12.9%). Patients
with larger new lesions (> 1 cm of the largest diameter) experienced symptoms
more frequently (odds ratio 7.6, P < 0.01). Symptoms resolved after salvage GKRS
in seven of 11 patients who abided by the recommended follow-up MRI schedule. No
significant risk factors were found for symptoms of new lesions. CONCLUSIONS: The
incidence of symptomatic new lesions that appeared after GKRS was low, and more
than half of the patients showed improvements in their symptoms after salvage
GKRS. However, careful MRI-based assessments and salvage GKRS are critical for
the quality of life.
PMID- 29633032
TI - An assessment of nutrients and sedimentation in the St. Thomas East End Reserves,
US Virgin Islands.
AB - Nutrients and sedimentation were monitored for approximately 2 years at six sites
in the St. Thomas East End Reserves (STEER), St. Thomas, USVI, as part of a NOAA
project to develop an integrated environmental assessment. Concentrations of
ammonium (NH4+) and dissolved inorganic nitrogen (DIN) were higher in Mangrove
Lagoon and Benner Bay in the western portion of STEER than in the other sites
further east (i.e., Cowpet Bay, Rotto Cay, St. James, and Little St. James).
There was no correlation between rainfall and nutrient concentrations. Using a
set of suggested nutrient thresholds that have been developed to indicate the
potential for the overgrowth of algae on reefs, approximately 60% of the samples
collected in STEER were above the threshold for orthophosphate (HPO4=), while 55%
of samples were above the DIN threshold. Benner Bay had the highest sedimentation
rate of any site monitored in STEER, including Mangrove Lagoon. There was also an
east to west and a north to south gradient in sedimentation, indicative of higher
sedimentation rates in the western, more populated areas surrounding STEER, and
sites closer to the shore of the main island of St. Thomas. Although none of the
sites had a mean or average sedimentation rate above a suggested sedimentation
threshold, the mean sedimentation rate in Benner Bay was just below the
threshold.
PMID- 29633033
TI - [Antibiotic consumption and antimicrobial resistance in human and veterinary
medicine : An overview of established national surveillance systems in Germany].
AB - The German Antimicrobial Resistance Strategy (DART) assigns a key role in
combatting and reducing the further development and spread of antimicrobial
resistance to the setup and development of instruments for the monitoring and
surveillance of antimicrobial resistance and antibiotic consumption. The strategy
follows the One Health approach, which targets human and veterinary medicine
alike. An ongoing collection of appropriate data on antimicrobial resistance and
antibiotic consumption and its distribution in time and space, will provide the
basis for the identification of problems, the deduction of interventions, and
finally the evaluation of their effectiveness. This article presents an overview
of established surveillance systems in human and veterinary medicine with a
national scope, including those that enable Germany to meet its own legal
commitments as well as those within European and international action plans.
PMID- 29633034
TI - [Rational prescription of antibiotics in human medicine].
AB - Rational prescription of antibiotics has become a priority in undergraduate and
continued professional medical education and in quality management systems. Areas
for optimization have been identified, above all, in critically establishing the
indication for therapy, in increasing targeted therapy, and shortening treatment
duration, and affect both outpatient and inpatient settings. They are partly
related to deficiencies in clinical research, but aberrations in the development
of the postgraduate training system, in the infrastructure, and in the
reimbursement system of the various healthcare sectors in Germany contribute to
problems in these areas. "Antibiotic stewardship" (ABS) programmes at different
levels are capable of efficiently combining interventions to improve the quality
of prescription. Progress has been made and experience gained in the professional
training of physicians and pharmacists in antibiotic prescribing and with the
hospital-wide establishment of ABS teams and specialist infectious disease
consultation services. Close interaction and collaboration with diagnostic
microbiology services are important and greatly enhance the impact of ABS
programmes on the quality of prescription. Political support and investment are
required for this new infrastructures to be sustainable and to further develop it
for the cross-section between inpatients and outpatients, and for the outpatient
setting.
PMID- 29633035
TI - [Erratum to: Prevalence of dementia of insured persons with and without German
citizenship : A study based on statutory health insurance data].
PMID- 29633036
TI - [Antibiotic resistance : A challenge for society].
AB - Without doubt, drug resistance is now one of the greatest health threats of our
time. Not even 100 years after the discovery of the first antibiotics that saved
human lives, we find ourselves threatened by the thought of a post-antibiotic
era. Currently it is estimated that around 700,000 people die annually as a
consequence of drug-resistant infections. Antibiotic resistance is a natural
phenomenon with bacteria having devised several ways of combating the antibiotic
attack. Rather than being a spontaneous event, resistance becomes an integral
part of the microbe's genetic make-up, spreading further between and across
species. However, the misuse and overuse of antibiotics over the years has in
fact allowed for resistant bacteria to thrive, while slowly wiping out sensitive
bacteria. Spreading awareness and proper information in the community about the
risks and consequences of a rapidly developing antibiotic resistance is essential
in tackling this global problem. In working together as an entire community, we
can find long-lasting solutions. The One Health concept includes human and
veterinary medical sectors, the environmental sector, as well as various decision
making bodies that include individual action as well as national and
international policymakers. The role of stakeholders like pharmaceutical
companies and agriculturists must be given importance, too, thinking all the
while in a global context. Only this way will we find solutions for sustainable
healthcare and together help slow down the process of antibiotic resistance.
PMID- 29633037
TI - [The environment as a reservoir for antimicrobial resistance : A growing problem
for public health?]
AB - Antimicrobial resistance (AMR) is a threat to public and animal health on the
global scale. The origin of the genes associated with resistance has long been
unknown. Recently, there is a growing body of evidence demonstrating that
environmental bacteria are resistant to a multitude of antibiotic substances and
that this environmental reservoir of AMR is still growing. The analysis of the
genomes of bacterial pathogens indicates that they have acquired their resistance
profiles by incorporating different genetic elements through horizontal gene
transfer. The ancestors of pathogenic bacteria, as well as the origin of
resistance determinants, lay most likely in the environmental microbiota. Indeed,
there is some evidence that at least some clinically relevant resistance genes
have originated in environmental bacterial species. Thus, feasible measures are
required to reduce the risks posed by AMR genes and resistant bacteria that occur
in the environment. It has been shown that a concurrence of factors, such as high
concentrations of antibiotics or heavy metals used as biocides and high bacterial
densities, promote development and spread of antimicrobial resistance. For this
purpose, it is essential to restrict the use of antibiotics for the treatment of
livestock and humans to medical necessity, as well as to reduce the application
of biocides and heavy metals in animal husbandry. Moreover, it is important to
further develop sanitary measures at the interface between the environment and
clinical settings or livestock farming.
PMID- 29633038
TI - [Effective management of an outbreak with multiresistent Klebsiella pneumoniae in
a neurorehabilitation unit].
AB - BACKGROUND: In addition to acute care hospitals, rehabilitation centres are
increasingly confronted with multi-resistant pathogens. Long durations of stay
and intensive treatments impose special hygienic challenges. MATERIAL AND
METHODS: We investigated an extended spectrum beta-lactamase-Klebsiella
pneumoniae (ESBL-K. pneumoniae) outbreak in a neurorehabilitation centre. We
defined confirmed cases as patients who stayed in the centre during the outbreak
period and from whom ESBL-K. pneumoniae was isolated with the outbreak sequence
type. Probable cases had an epidemiological link to at least one confirmed case
but no isolate for typing. Next generation sequencing (NGS) was performed on 53
isolates from patients. Environmental sampling was performed. Systematic
microbiological screening was implemented and ESBL-K. pneumoniae-positive
patients were cohorted in a designated ward. RESULTS: We identified 30 confirmed
and 6 probable cases. NGS revealed three genetic clusters: Cluster 1 - the
outbreak cluster - with isolates of 30 cases (sequence type ST15), Cluster 2 with
7 patients (ST405) and Cluster 3 with 8 patients (ST414). In two patients, the
outbreak strain developed further antibiotic resistance, one with colistin
resistance and the other carbapenem resistance. The outbreak ceased after strict
isolation measures. DISCUSSION: Epidemiology and NGS results paired with the
effectiveness of cohorting suggest that transmission occurred mainly from person
to person in this outbreak. There was an apparent association of the probability
to acquire ESBL-K. pneumoniae and treatment intensity, whereas infection rate was
related to morbidity. The identification of the outbreak clone and additional
clusters plus the development of additional antibiotic resistance shows the
relevance of NGS and highlights the need for timely and efficient outbreak
management.
PMID- 29633041
TI - Long-term survival with extended lateral lymphadenectomy for lateral lymph node
recurrence after laparoscopic abdominoperineal resection for rectal adenosquamous
carcinoma: a case report.
AB - The patient was a 54-year-old female who presented with the chief complaint of
melena. Lower gastrointestinal endoscopy detected a type 1 tumor extending from
the anal canal to the rectum. CT did not detect any distant metastasis. Proximal
D3 lymphadenectomy with laparoscopic abdominoperineal resection was performed for
stage IA rectal cancer. In the histopathological examination, the tumor was
identified as stage IIIa adenosquamous carcinoma. Although the patient underwent
postoperative adjuvant chemotherapy with S-1, a recurrent left lateral lymph node
tumor was detected on CT and PET 12 months later. The patient underwent the
treatment with mFOLFOX + bevacizumab for 6 months. However, the tumor continued
to progress, and therefore, extended lateral lymphadenectomy was performed 21
months after the first surgery. The patient did not undergo postoperative
adjuvant therapy and is alive without recurrence 90 months after the first
surgery and 70 months after the reoperation. Adenosquamous carcinoma of the
rectum is a rare histological type of colorectal cancer for which there is no
effective treatment besides surgical resection, and its prognosis is known to be
worse than that of adenocarcinoma. Since there has been no report of long-term
survival after extended lateral lymphadenectomy for recurrent lateral lymph node
tumors following surgery for adenosquamous carcinoma of the rectum, herein, we
report the case with a review of the literature.
PMID- 29633040
TI - Thai Hom Mali Rice: Origin and Breeding for Subsistence Rainfed Lowland Rice
System.
AB - The world-renowned Thai Hom Mali Rice has been the most important aromatic rice
originating in Thailand. The aromatic variety was collected from Chachoengsao, a
central province, and after pure-line selection, it was officially named as Khao
Dawk Mali 105, (KDML105). Because of its superb fragrance and cooking quality,
KDML105 has been a model variety for studying genes controlling grain quality and
aroma. The aromatic gene was cloned in KDML105, as an amino aldehyde
dehydrogenase (AMADH) or better known as BADH2 located on chromosome 8. Later on,
all other aromatic rice genes were discovered as allelic to the AMADH. As a
selection of local landrace variety found in rainfed areas, the Thai Jasmine rice
showed adaptive advantages over improved irrigated rice in less fertile lowland
rainfed conditions. Because KDML105 was susceptible to most diseases and insect
pests, marker-assisted backcross selection (MABC) was used for the genetic
improvement since 2000. After nearly 17 years of MABC for integrating new traits
into KDML105, a new generation of KDML105, designated HM84, was developed which
maintains the cooking quality and fragrance, and has gained advantages during
flash flooding, disease, and insect outbreak.
PMID- 29633039
TI - Molecular composition of the human primary visual cortex profiled by multimodal
mass spectrometry imaging.
AB - The primary visual cortex (area V1) is an extensively studied part of the
cerebral cortex with well-characterized connectivity, cellular and molecular
architecture and functions (for recent reviews see Amunts and Zilles, Neuron
88:1086-1107, 2015; Casagrande and Xu, Parallel visual pathways: a comparative
perspective. The visual neurosciences, MIT Press, Cambridge, pp 494-506, 2004).
In humans, V1 is defined by heavily myelinated fibers arriving from the radiatio
optica that form the Gennari stripe in cortical layer IV, which is further
subdivided into laminae IVa, IVb, IVcalpha and IVcbeta. Due to this unique
laminar pattern, V1 represents an excellent region to test whether multimodal
mass spectrometric imaging could reveal novel biomolecular markers for a
functionally relevant parcellation of the human cerebral cortex. Here we analyzed
histological sections of three post-mortem brains with matrix-assisted laser
desorption/ionization mass spectrometry imaging and laser ablation inductively
coupled plasma mass spectrometry imaging to investigate the distribution of
lipids, proteins and metals in human V1. We identified 71 peptides of 13
different proteins by in situ tandem mass spectrometry, of which 5 proteins show
a differential laminar distribution pattern revealing the border between V1 and
V2. High-accuracy mass measurements identified 123 lipid species, including
glycerolipids, glycerophospholipids and sphingolipids, of which at least 20
showed differential distribution within V1 and V2. Specific lipids labeled not
only myelinated layer IVb, but also IVa and especially IVc in a layer-specific
manner, but also and clearly separated V1 from V2. Elemental imaging further
showed a specific accumulation of copper in layer IV. In conclusion, multimodal
mass spectrometry imaging identified novel biomolecular and elemental markers
with specific laminar and inter-areal differences. We conclude that mass
spectrometry imaging provides a promising new approach toward multimodal,
molecule-based cortical parcellation.
PMID- 29633042
TI - Cefazolin-Related Acute Interstitial Nephritis with Associated Nephrotic-Range
Proteinuria: A Case Report.
AB - A 67-year-old male with history of well controlled type 2 diabetes mellitus and
hypertension developed acute interstitial nephritis (AIN) with nephrotic-range
proteinuria during treatment with cefazolin for methicillin-sensitive
Staphylococcus aureus and Group B Streptococcus (GBS) bacteremia. The patient
received intravenous cefazolin 2 g every 8 h for 4 weeks prior to presentation to
the emergency department with abdominal distension, nausea, and vomiting.
Investigations revealed a serum ascites albumin gradient of 1.0 with total
protein of 1.8 g/dL suggestive of nephrotic syndrome, which was confirmed with a
spot urine protein/creatinine ratio that estimated 7.95 g of protein per day.
Serum creatinine was elevated compared with baseline. Urine studies showed
sterile pyuria with 3+ protein and eosinophiluria. The patient was diagnosed with
AIN with nephrotic-range proteinuria associated with cefazolin use. Cefazolin was
discontinued and, within a couple of days, the patient's creatinine stabilized.
He was discharged with prednisone 60 mg once a day for 10 days with a taper over
2 weeks for his AIN. The patient's creatinine and proteinuria slowly decreased
over the next couple of weeks, however, did not recover to baseline. A Naranjo
assessment score of 6 was obtained, indicating a probable relationship between
the patient's AIN with nephrotic-range proteinuria and his use of cefazolin.
PMID- 29633043
TI - Ultrasonic versus monopolar energy-based surgical devices in terms of surgical
smoke and lateral thermal damage (ULMOST): a randomized controlled trial.
AB - BACKGROUND: The purpose of this study was to compare the degree of surgical smoke
or vapor and lateral thermal damage caused by two different energy-based surgical
devices (ESDs) used in colpotomy during total laparoscopic hysterectomy. METHODS:
Patients undergoing laparoscopic hysterectomy were randomly assigned to an
ultrasonic ESD group (n = 20) or monopolar ESD group (n = 20). Colpotomy was
performed using the assigned ESD. The degree of surgical smoke or vapor
obstructing the laparoscopic view was assessed by two independent reviewers using
a 5-point Likert scale, in which a higher score indicates worse visibility. The
degree of the lateral thermal damage was measured as the width from the point of
instrument application to the margins of the unchanged nearby tissue using a
light microscope. RESULTS: The baseline characteristics did not statistically
differ between the two groups. The degree of surgical smoke or vapor obstructing
vision was 1.2 +/- 0.8 points in the ultrasonic group and 3.9 +/- 0.7 points in
the monopolar groups (p < 0.001). The lateral thermal damage was significantly
increased in the monopolar group compared to in the ultrasound group (1500 um
[1200-2500 um] vs. 950 um [650-1725 um], p = 0.037). CONCLUSION: Ultrasonic ESD
had better laparoscopic visibility and caused less lateral thermal damage during
colpotomy compared to monopolar device.
PMID- 29633044
TI - Cystic gastric metastasis from pancreatic cancer.
AB - Gastrointestinal tract metastasis from pancreatic cancer is quite rare. We
present the case of a 58-year-old male patient who underwent distal
pancreatectomy for pancreatic body cancer 5 years prior. Four years after the
initial operation, a 15-mm cystic submucosal tumor was found in the antrum of the
stomach. Because the tumor had grown to 25 mm and the level of carcinoembryonic
antigen in the cystic fluid derived by ultrasound-guided fine-needle aspiration
biopsy was high, partial resection of the stomach was performed 5 years after the
distal pancreatectomy. Pathological diagnosis was gastric metastasis of
pancreatic cancer. The patient has been alive without recurrence for 13 months
after the resection of the cystic tumor. We are not aware of any similar cases of
cystic gastric metastasis from pancreatic cancer published in the English
literature.
PMID- 29633045
TI - Stature estimation based on femoral measurements in the modern Japanese
population: a cadaveric study using multidetector computed tomography.
AB - We aimed to reproduce the anthropometrical measurement of femoral dimensions
using multi-planar reconstruction computed tomography (CT), assess the
correlation between stature and femoral measurements obtained by this approach,
and establish a regression equation for estimating stature in the modern Japanese
population. We used data regarding 224 cadavers (116 males, 108 females) that
were subjected to postmortem CT and subsequent forensic autopsy at our department
between October 2009 and July 2016. To simulate the placement of the femur on the
osteometric board using reconstructed CT images, we defined a virtual horizontal
plane (VHP) based on the three most dorsal points of the femur (lateral condyle,
medial condyle, and greater trochanter). Five femoral measurements including the
maximum femoral length (MFL) were obtained. The correlations between stature and
each femoral measurement were expressed in terms of the coefficient of
determination (R2). On regression analysis, MFL provided the lowest value for the
standard error of the estimation (SEE); the SEE values in all subjects, males,
and females, respectively, were 3.783 cm (R2 = 0.832), 3.850 cm (R2 = 0.653), and
3.340 cm (R2 = 0.760) for MFL on the left side and 3.747 cm (R2 = 0.835), 3.847
cm (R2 = 0.650), and 3.290 cm (R2 = 0.687) for MFL on the right side. Multiple
regression equations using MFL and femoral epicondylar breadth were slightly
superior to simple regression equations in males and in all subjects (SEE = 3.44
3.55 cm), whereas no effective equation could be obtained in females. To our
knowledge, this is the first multiple regression equation for stature estimation
using only femoral measurements.
PMID- 29633046
TI - Assessment of glucose metabolism and cellular proliferation in multiple myeloma:
a first report on combined 18F-FDG and 18F-FLT PET/CT imaging.
AB - BACKGROUND: Despite the significant upgrading in recent years of the role of 18F
FDG PET/CT in multiple myeloma (MM) diagnostics, there is a still unmet need for
myeloma-specific radiotracers. 3'-Deoxy-3'-[18F]fluorothymidine (18F-FLT) is the
most studied cellular proliferation PET agent, considered a potentially new
myeloma functional imaging tracer. The aim of this pilot study was to evaluate
18F-FLT PET/CT in imaging of MM patients, in the context of its combined use with
18F-FDG PET/CT. RESULTS: Eight patients, four suffering from symptomatic MM and
four suffering from smoldering MM (SMM), were enrolled in the study. All patients
underwent 18F-FDG PET/CT and 18F-FLT PET/CT imaging by means of static (whole
body) and dynamic PET/CT of the lower abdomen and pelvis (dPET/CT) in two
consecutive days. The evaluation of PET/CT studies was based on qualitative
evaluation, semi-quantitative (SUV) calculation, and quantitative analysis based
on two-tissue compartment modeling. 18F-FDG PET/CT demonstrated focal, 18F-FDG
avid, MM-indicative bone marrow lesions in five patients. In contrary, 18F-FLT
PET/CT showed focal, 18F-FLT avid, myeloma-indicative lesions in only two
patients. In total, 48 18F-FDG avid, focal, MM-indicative lesions were detected
with 18F-FDG PET/CT, while 17 18F-FLT avid, focal, MM-indicative lesions were
detected with 18F-FLT PET/CT. The number of myeloma-indicative lesions was
significantly higher for 18F-FDG PET/CT than for 18F-FLT PET/CT. A common finding
was a mismatch of focally increased 18F-FDG uptake and reduced 18F-FLT uptake
(lower than the surrounding bone marrow). Moreover, 18F-FLT PET/CT was
characterized by high background activity in the bone marrow compartment, further
complicating the evaluation of bone marrow lesions. Semi-quantitative evaluation
revealed that both SUVmean and SUVmax were significantly higher for 18F-FLT than
for 18F-FDG in both MM lesions and reference tissue. SUV values were higher in MM
lesions than in reference bone marrow for both tracers. CONCLUSIONS: Despite the
limited number of patients analyzed in this pilot study, the first results of the
trial indicate that 18F-FLT does not seem suitable as a single tracer in MM
diagnostics. Further studies with a larger patient population are warranted to
generalize the herein presented results.
PMID- 29633048
TI - Old Drugs for New Indications in Cardiovascular Medicine.
AB - Inflammation participates in the initiation and progression of atherosclerotic
cardiovascular disease, and it is a critical inciting factor leading to acute
ischemic events. Evidence has shown that certain anti-inflammatory medications
used to treat non-atherosclerotic inflammatory diseases reduce cardiovascular
events. This article reviews evidence that commonly used anti-inflammatory
therapies (colchicine, allopurinol, methotrexate), reduce cardiovascular events.
We discuss potential mechanisms of action, efficacy, and safety of these
therapies and propose a clinical trials design to investigate their efficacy.
PMID- 29633047
TI - KRDS: a web server for evaluating drug resistance mutations in kinases by
molecular docking.
AB - Kinases are major targets of anti-cancer therapies owing to their importance in
signaling processes that regulate cell growth and proliferation. However, drug
resistance has emerged as a major obstacle to cancer therapy. Resistance to drugs
has various underlying mechanisms, including the acquisition of mutations at drug
binding sites and the resulting reduction in drug binding affinity. Therefore,
the identification of mutations that are relevant to drug resistance may be
useful to overcome this issue. We hypothesized that these mutations can be
identified by combining recent advances in computational methods for protein
structure modeling and ligand docking simulation. Hence, we developed a web-based
tool named the Kinase Resistance Docking System (KRDS) that enables the
assessment of the effects of mutations on kinase-ligand interactions. KRDS
receives a list of mutations in kinases, generates structural models of the
mutants, performs docking simulations, and reports the results to users. The
changes in docking scores and docking conformations can be analyzed to infer the
effects of mutations on drug binding and drug resistance. We expect our tool to
improve our understanding of drug binding mechanisms and facilitate the
development of effective new drugs to overcome resistance related to kinases; it
may be particularly useful for biomedical researchers who are not familiar with
computational environments. Our tool is available at
http://bcbl.kaist.ac.kr/KRDS/ .
PMID- 29633050
TI - Dispatches from the land of the morning calm: special issue papers from the 18th
International Symposium on the Biology of Actinomycetes.
PMID- 29633049
TI - Cortical Processing Related to Intensity of a Modulated Noise Stimulus-a
Functional Near-Infrared Study.
AB - Sound intensity is a key feature of auditory signals. A profound understanding of
cortical processing of this feature is therefore highly desirable. This study
investigates whether cortical functional near-infrared spectroscopy (fNIRS)
signals reflect sound intensity changes and where on the brain cortex maximal
intensity-dependent activations are located. The fNIRS technique is particularly
suitable for this kind of hearing study, as it runs silently. Twenty-three normal
hearing subjects were included and actively participated in a counterbalanced
block design task. Four intensity levels of a modulated noise stimulus with long
term spectrum and modulation characteristics similar to speech were applied,
evenly spaced from 15 to 90 dB SPL. Signals from auditory processing cortical
fields were derived from a montage of 16 optodes on each side of the head.
Results showed that fNIRS responses originating from auditory processing areas
are highly dependent on sound intensity level: higher stimulation levels led to
higher concentration changes. Caudal and rostral channels showed different
waveform morphologies, reflecting specific cortical signal processing of the
stimulus. Channels overlying the supramarginal and caudal superior temporal gyrus
evoked a phasic response, whereas channels over Broca's area showed a broad tonic
pattern. This data set can serve as a foundation for future auditory fNIRS
research to develop the technique as a hearing assessment tool in the normal
hearing and hearing-impaired populations.
PMID- 29633051
TI - Computational Fragment-Based Drug Design: Current Trends, Strategies, and
Applications.
AB - Fragment-based drug design (FBDD) has become an effective methodology for drug
development for decades. Successful applications of this strategy brought both
opportunities and challenges to the field of Pharmaceutical Science. Recent
progress in the computational fragment-based drug design provide an additional
approach for future research in a time- and labor-efficient manner. Combining
multiple in silico methodologies, computational FBDD possesses flexibilities on
fragment library selection, protein model generation, and fragments/compounds
docking mode prediction. These characteristics provide computational FBDD
superiority in designing novel and potential compounds for a certain target. The
purpose of this review is to discuss the latest advances, ranging from commonly
used strategies to novel concepts and technologies in computational fragment
based drug design. Particularly, in this review, specifications and advantages
are compared between experimental and computational FBDD, and additionally,
limitations and future prospective are discussed and emphasized.
PMID- 29633052
TI - A Systematic Review of Three-Dimensional Printing in Liver Disease.
AB - The purpose of this review is to analyse current literature related to the
clinical applications of 3D printed models in liver disease. A search of the
literature was conducted to source studies from databases with the aim of
determining the applications and feasibility of 3D printed models in liver
disease. 3D printed model accuracy and costs associated with 3D printing, the
ability to replicate anatomical structures and delineate important
characteristics of hepatic tumours, and the potential for 3D printed liver models
to guide surgical planning are analysed. Nineteen studies met the selection
criteria for inclusion in the analysis. Seventeen of them were case reports and
two were original studies. Quantitative assessment measuring the accuracy of 3D
printed liver models was analysed in five studies with mean difference between 3D
printed models and original source images ranging from 0.2 to 20%. Fifteen
studies provided qualitative assessment with results showing the usefulness of 3D
printed models when used as clinical tools in preoperative planning, simulation
of surgical or interventional procedures, medical education, and training. The
cost and time associated with 3D printed liver model production was reported in
11 studies, with costs ranging from US$13 to US$2000, duration of production up
to 100 h. This systematic review shows that 3D printed liver models demonstrate
hepatic anatomy and tumours with high accuracy. The models can assist with
preoperative planning and may be used in the simulation of surgical procedures
for the treatment of malignant hepatic tumours.
PMID- 29633054
TI - Toxicity assessment of copper by electrochemically active bacteria in wastewater.
AB - A bioelectrochemical sensor (BES) was constructed for toxicity assessment of
copper in contaminated domestic sewage. Electrochemically active bacteria (EAB),
whose growth was supported by the bioenergy generated from an in situ
metallurgical process, functioned as the sensing elements. The external
resistance of metallurgical BES was optimized based on linear sweep voltammetry
analysis. The stabilized BES was utilized to monitor the copper toxicity in real
wastewater. During the less than 1-h sensing period, copper concentration ranging
from 1 to 5 mg L-1 could be detected. A power output of around 100 Wh (kg Cu)-1
and metallic copper resource were obtained simultaneously. This study
demonstrated that the highly active EAB species enriched in metallurgical BES
could be a promising candidate for rapid and reliable evaluation of copper
toxicity in real domestic wastewater.
PMID- 29633053
TI - Total iron-binding capacity is a novel prognostic marker after curative
gastrectomy for gastric cancer.
AB - BACKGROUND: Patients with gastric cancer (GC) are affected by changes in iron
status. Before surgery, GC patients are likely to have iron-deficiency anemia;
and after gastrectomy, patients suffer from low nutritional status and low iron.
This study investigated preoperative iron status associated with prognosis after
curative gastrectomy for gastric cancer. METHODS: We evaluated preoperative serum
hemoglobin (Hgb), Fe and total iron-binding capacity (TIBC) in 298 patients who
underwent curative gastrectomy for GC without preoperative chemotherapy, and
analyzed these factors' associations with prognosis after surgery. RESULTS: Of
the 298 patients, 129 (43.2%) had low Hgb levels, and 33 (11.1%) had low TIBC (<
260 ug/dl) that was not associated with Hgb or Fe level. Patients with low TIBC
were significantly associated with older age (>= 65 years old; P = 0.0085), low
albumin (< 3.9 g/dl; P = 0.0388) and high CRP (>= 0.15 mg/dl; P = 0.0018) in
multivariate analysis. Low Fe (< 60 ug/dl) was not associated with disease-free
survival (DFS) or overall survival (OS); however, low Fe was associated with
longer cancer-specific survival in Stage III GC patients (P = 0.0333). Both low
Hgb and low TIBC were significantly associated with shorter DFS (Hgb: P = 0.0433;
TIBC: P < 0.0001) and shorter OS (Hgb: P = 0.0352; TIBC: P < 0.0001). Low TIBC
were significantly associated with shorter DFS (HR 2.167, 95% CI 1.231-3.639, P =
0.0086) and shorter OS (HR 2.065, 95% CI 1.144-3.570, P = 0.0173) in multivariate
Cox hazard regression analysis. CONCLUSIONS: Preoperative serum TIBC level of GC
patients who undergo curative gastrectomy is a novel prognostic marker in
univariate and multivariate analyses.
PMID- 29633055
TI - Clinical and biological roles of Kelch-like family member 7 in breast cancer: a
marker of poor prognosis.
AB - BACKGROUND: The functions of many proteins are tightly regulated with a complex
array of cellular functions including ubiquitination. In cancer cells, aberrant
ubiquitination may promote the activity of oncogenic pathways with subsequent
tumour progression. Kelch-like family member 7 (KLHL7) is involved in the
regulation of ubiquitination and may play a role in breast cancer (BC). Present
study aims to evaluate the biological and clinical usefulness of KLHL7 in BC
utilising large well-characterised cohorts with long-term follow-up. METHODS: The
relationships between KLHL7 gene copy number alteration (CNA) and mRNA expression
and clinicopathological variables and clinical outcomes were evaluated in 1980
patients from the METABRIC BC cohort. Prognostic significance of KLHL7 mRNA was
validated using the Breast Cancer Gene-Expression Miner v4.0 datasets (n = 5206).
KLHL7 protein expression was assessed using immunohistochemistry in a large
annotated series of early-stage BC (n = 917) with long-term follow-up. RESULTS:
KLHL7 CNA was significantly correlated with its mRNA expression. KLHL7 mRNA
expression was higher in luminal B and basal-like molecular subtypes and in
higher grade tumours. Increased KLHL7 protein expression was significantly
correlated with features of aggressive phenotype including lymphovascular
invasion, high histological grade, hormonal receptor negativity, high PIK3CA and
p53 expression. Outcome analysis showed that high KLHL7 expression is an
independent predictor of shorter survival (p = 0.0011). CONCLUSIONS: KLHL7
appears to play an important role in BC progression. High KLHL7 protein
expression identified a subgroup of BC with aggressive behaviour and provided
independent prognostic information.
PMID- 29633056
TI - Positional effects on the distributions of ventilation and end-expiratory gas
volume in the asymmetric chest-a quantitative lung computed tomographic analysis.
AB - BACKGROUND: Body positioning affects the configuration and dynamic properties of
the chest wall and therefore may influence decisions made to increase or decrease
ventilating pressures and tidal volume. We hypothesized that unlike global
functional residual capacity (FRC), component sector gas volumes and their
corresponding regional tidal expansions would vary markedly in the setting of
unilateral pleural effusion (PLEF), owing to shifting distributions of aeration
and collapse as posture changed. METHODS: Six deeply anesthetized swine underwent
tracheostomy, thoracostomy, and experimental PLEF with 10 mL/kg of radiopaque
isotonic fluid randomly instilled into either pleural space. Animals were
ventilated at VT = 10 mL/kg, frequency = 15 bpm, I/E = 1:2, PEEP = 1 cmH2O, and
FiO2 = 0.5. Quantitative lung computed tomographic (CT) analysis of regional
aeration and global FRC measurements by nitrogen wash-in/wash-out technique was
performed in each of these randomly applied positions: semi-Fowler's (inclined 30
degrees from horizontal in the sagittal plane); prone, supine, and lateral
positions with dependent PLEF and non-dependent PLEF. RESULTS: No significant
differences in total FRC were observed among the horizontal positions, either at
baseline (p = 0.9037) or with PLEF (p = 0.58). However, component sector total
gas volumes in each phase of the tidal cycle were different within all studied
positions with and without PLEF (p = < .01). Compared to other positions, prone
and lateral positions with non-dependent PLEF had more homogenous VT
distributions among quadrants (p = .051). Supine position was associated with
most dependent collapse and greatest tendency for tidal recruitment (48 vs ~ 22%,
p = 0.0073). CONCLUSIONS: Changes in body position in the setting of effusion
caused chest asymmetry markedly affected the internal distributions of gas
volume, collapse, ventilation, and tidal recruitment, even though global FRC
measurements provided little indication of these potentially important positional
changes.
PMID- 29633057
TI - Transurethral vaporesection of prostate: diode laser or thulium laser?
AB - This study compared the safety and effectiveness of the diode laser and thulium
laser during prostate transurethral vaporesection for treating benign prostate
hyperplasia (BPH). We retrospectively analyzed 205 patients with BPH who
underwent a diode laser or thulium laser technique for prostate transurethral
vaporesection from June 2016 to June 2017 and who were followed up for 3 months.
Baseline characteristics of the patients, perioperative data, postoperative
outcomes, and complications were compared. We also assessed the International
Prostate Symptom Score (IPSS), quality of life (QoL), maximum flow rate (Qmax),
average flow rate (AFR), and postvoid residual volume (PVR) at 1 and 3 months
postoperatively to evaluate the functional improvement of each group. There were
no significant differences between the diode laser and thulium laser groups
related to age, prostate volume, operative time, postoperative hospital stays,
hospitalization costs, or perioperative data. The catheterization time was 3.5 +/
0.8 days for the diode laser group and 4.7 +/- 1.8 days for the thulium laser
group (p < 0.05). Each group had dramatic improvements in IPSS, QoL, Qmax, AFR,
and PVR compared with the preoperative values (p < 0.05), although there were no
significant differences between the two groups. Use of both diode laser and
thulium laser contributes to safe, effective transurethral vaporesection in
patients with symptomatic BPH. Diode laser, however, is better than thulium laser
for prostate transurethral vaporesection because of its shorter catheterization
time. The choice of surgical approach is more important than the choice of laser
types during clinical decision making for transurethral laser prostatectomy.
PMID- 29633058
TI - Peritoneum as an origin of epilepsy from the viewpoint of Avicenna.
AB - There are studies that show that the concepts of epilepsy have been rooted in
Persian medicine; Avicenna "Ibn-e-Sina"-one of the great Persian physicians in
the Islamic golden age (9th-12th century AD)-has considered some types of
epilepsy with the association of the stomach, the spleen, the "Maraqq," and the
whole body which has not been reviewed properly. These organs influence on the
brain and can cause epilepsy. This article presents concept of Maraqq-related
epilepsy; according to Avicenna's view, "Maraqq" is a membranous structure which
is located in the abdomen (equivalent of parietal peritoneum in current
nomenclature). We discuss his viewpoint about the diagnosis and treatment of
Maraqq-related epilepsy with focus on herbal remedies. The concept of a
relationship between the "Maraqq" and the brain is well discussed in the old
Persian medicine texts; however, it seems that further studies in this area are
required to clarify Avicenna's view about the pathophysiological mechanisms,
clinical manifestations, and treatment strategies.
PMID- 29633059
TI - Transition from acute kidney injury to chronic kidney disease: a single-centre
cohort study.
AB - BACKGROUND: The incidence of acute kidney injury (AKI) is increasing. AKI is
currently recognised as an inducer of chronic kidney disease (CKD) and this is
known as the 'AKI-CKD transition'. This study aimed to evaluate the rate of
decline in estimated glomerular filtration rate (eGFR) associated with AKI events
in individuals with and without pre-existing CKD. METHODS: Inpatients aged 18-80
years were retrospectively enrolled. AKI was diagnosed according to the kidney
disease improving global outcomes (KDIGO) criteria using serum creatinine levels.
Patients with a history of AKI events were divided into four groups according to
eGFR before and after the AKI events. In each group, the eGFR levels after an AKI
event were compared to those before the AKI event. Patients were further divided
into eight groups according to clinical background based on underlying diseases,
medications, and surgical history. RESULTS: We analysed data from 9651 patients
with AKI. Not surprisingly, we found that eGFR levels during the first AKI event
were significantly lower than levels before the event in each group. Furthermore,
eGFR levels after the first AKI event were significantly lower than those before
the first AKI event, and the eGFR levels after the second AKI event were
significantly lower than those after the first AKI event. These trends were
similar in each group irrespective of clinical background. CONCLUSIONS: Our study
revealed that AKI events can cause a decline in kidney function and, as more AKI
events occur, acceleration of this decline.
PMID- 29633060
TI - Comparing the Healthcare Utilization and Engagement in a Sample of Transgender
and Cisgender Bisexual+ Persons.
AB - People who identify as non-monosexual and transgender experience disparities in
engagement with healthcare services relative to monosexual and cisgender persons,
respectively. However, little is known about the healthcare utilization of those
with intersecting sexual and gender minority identities. We explored the
knowledge, attitudes, and health motivation of non-monosexually identified
transgender participants regarding preventive care and access to sexual
healthcare services. We surveyed 87 ciswomen, 34 transwomen, and 27 transmen, all
of whom identified as bisexual, pansexual, or queer (bi+). We assessed their
access to health care, health outcome experiences, confidence with talking about
anogenital topics, proactivity toward their health, comfort with healthcare
providers, and knowledge about HPV and examined differences across groups. The
data indicated that bi+ transmen and transwomen were more likely to be uninsured
or on a government-sponsored insurance plan relative to bi+ ciswomen. Only a
minority of transmen and transwomen had seen an obstetrician/gynecologist
compared with ciswomen. Transmen were less likely to have received a pelvic
examination or cervical Pap smear in their lifetime. Transgender participants had
significantly less correct knowledge about HPV relative to ciswomen. Finally,
relative to ciswomen, transgender participants reported lower comfort talking
with health providers. Our findings suggest that bi+ transmen and transwomen
access care less than bi+ ciswomen and have less health knowledge and comfort
with their providers. Implications for intervention include encouraging
transgender individuals to seek routine screenings, reducing structural barriers
to care based on medical coverage, and improving patient-provider competencies
around bi+ and transgender health needs.
PMID- 29633061
TI - Physical Health Disparities Across Dimensions of Sexual Orientation,
Race/Ethnicity, and Sex: Evidence for Increased Risk Among Bisexual Adults.
AB - Accumulating evidence suggests that sexual minority individuals are at increased
risk for physical health conditions compared to heterosexual individuals.
However, we know little about physical health disparities affecting bisexual
individuals, a population at increased risk for psychiatric and substance use
conditions compared to both heterosexual and lesbian/gay populations. Using a
large, nationally representative sample, we examined physical health disparities
for bisexual individuals. To advance research on sexual minority health
disparities, we further: (1) compared prevalence rates of physical health
conditions across three dimensions of sexual orientation (i.e., identity,
attractions, behavior) and (2) examined whether disparities differed by sex and
race/ethnicity. Results indicated that sexual minority individuals were at
increased risk for many physical health conditions. Notably, individuals with
bisexual identity, attractions, and/or behavior were at increased risk for more
physical health conditions than other sexual minority groups. The number and
types of physical health disparities affecting bisexually identified individuals
and individuals with same- and opposite-sex attractions and/or sexual partners
varied across sex and race/ethnicity, with the most consistent disparities
emerging for individuals who reported same- and opposite-sex sexual partners. Our
findings highlight the substantial physical health disparities affecting sexual
minorities and the heightened risk conferred by all facets of bisexuality.
PMID- 29633062
TI - The decision to discontinue screening for carnitine uptake disorder in New
Zealand.
AB - When screening for carnitine uptake disorder (CUD), the New Zealand (NZ) newborn
screening (NBS) service identified infants as screen-positive if they had initial
and repeat free carnitine (C0) levels of less than 5.0 MUmol/L. Since 2006, the
NBS service has identified two infants with biochemical and genetic features
consistent with neonatal CUD and nine mothers with features consistent with
maternal CUD. A review of the literature suggests that these nine women reflect
less than half the true prevalence and that CUD is relatively common. However,
the NZ results (two infants) suggest a very low sensitivity and positive
predictive value of NBS. While patients presenting with significant disease due
to CUD are well described, the majority of adults with CUD are asymptomatic.
Nonetheless, treatment with high-dose oral L-carnitine is recommended. Compliance
with oral L-carnitine is likely to be poor long term. This may represent a
specific risk as treatment could repress the usual compensatory mechanisms seen
in CUD, such that a sudden discontinuation of treatment may be dangerous. L
carnitine is metabolized to trimethylamine-N-oxide (TMAO) and treated patients
have extremely high plasma TMAO levels. TMAO is an independent risk factor for
atherosclerosis and, thus, caution should be exercised regarding long-term
treatment with high-dose carnitine of asymptomatic patients who may have a
biochemical profile without disease. Due to these concerns, the NZ Newborn
Metabolic Screening Programme (NMSP) initiated a review via a series of advisory
and governance committees and decided to discontinue screening for CUD.
PMID- 29633063
TI - Establishment and characterization of cell clones from the Papilio cell line RIRI
PaDe-3 by a high-efficiency clonal method.
AB - Cell cloning is of great importance in keeping particular properties of cultured
cells, and interesting cells can be selected by cloning from heterogeneous cell
populations. In addition, continuous cell lines usually from primary culture are
prone to heterologous constitution and genetic instability, so that supplementary
cloning steps are necessary for achieving a homogenous cell population. In this
study, limiting dilution culture and feeder layer culture were originally used
for cloning RIRI-PaDe-3 cell line, but both failed. Afterward, we designed a
cloning protocol which was composed of two steps: cells in semisolid medium with
seeding density in the range of 3.05 * 105-6.10 * 105 cells/mL formed colonies
from monodispersed cell suspensions; 40 well-dispersed colonies were removed from
the suspended state by using micromanipulator system and finally scaled up. To
determine whether this method can isolate cell lines possessing characteristics
different from the parent population, we made an evaluation of cells monoclonal
in biological characteristics. Significant differences have been found among
clones isolated from the RIRI-PaDe-3 insect cell line in cell morphology,
chromosome numbers, and genetic background. Thus the indicated modified semisolid
medium cloning protocol was advantageous to the convenient and genuine cloning
from the previously heterogeneous population.
PMID- 29633064
TI - Expression profile of long non-coding RNAs during the differentiation of human
umbilical cord derived mesenchymal stem cells into cardiomyocyte-like cells.
AB - We aimed to investigate the differentially expressed long non-coding RNAs
(lncRNAs) during the differentiation of human umbilical cord derived mesenchymal
stem cells (hUCMSCs) into cardiomyocyte-like cells induced by 5-aza. hUCMSCs were
isolated and purified from umbilical cords. After treated with 10 MUmol/L 5-Aza
for 24 h, hUCMSCs wereas continued to be cultured for 14 days. Comparison of
cardiac specific genes and the expression profile of lncRNAs on hUCMSCs between
day 14 and day 0 was performed using immunofluorescence staining,
immunohistochemistry, Western blot assay, RT-PCR and lncRNA microarray. Results
show that well-organized sarcomeric structure and more cTnI and MLC2a staining
were seen in hUCMSCs of day 14 after 5-aza-induced compared to those in day 0.
Expression of Desmin, Nkx2.5, cTnI and MLC2a of hUCMSCs was much higher on day 14
compared with day 0 (P < 0.01). 41 differentially expressed lncRNAs were found on
day 14 hUCMSCs compared those of day 0 were identified. Among them, 25
upregulated and 16 downregulated. Four out of the five upregulated lncRNAs (P =
0.00035, 0.014, 0.016 and 0.005 for uc010vei.1, X72487, BC064139, AK092074) and
four out of the five downregulated lncRNAs (P = 0.038, 0.0014, 0.00026 and 0.004
for X85157, uc007keu.1, AK309872, NR_029399) showed significantly different
expressions in further validation using RT-PCR. Our results illustrated that
there was a dysregulation of the lncRNA profile during the differentiation of
hUCMSCs into cardiomyocyte-like cells, which will provide the foundation for
further study of the biological functions and mechanism of lncRNAs in the
differentiation of hUCMSCs into cardiomyocyte-like cells.
PMID- 29633065
TI - KDM4B promotes DNA damage response via STAT3 signaling and is a target of CREB in
colorectal cancer cells.
AB - Resistance to radiotherapy is a major limitation for the successful treatment of
colorectal cancer (CRC). Recently, accumulating evidence supports a critical role
of epigenetic regulation in tumor cell survival upon irradiation. Lysine
Demethylase 4B (KDM4B) is a histone demethylase involved in the oncogenesis of
multiple human cancers but the underlying mechanisms have not been fully
elucidated. Here we show that KDM4B is overexpressed in human colorectal cancer
(CRC) tumors and cell lines. In CRC cells, KDM4B silencing induces spontaneous
double-strand breaks (DSBs) formation and potently sensitizes tumor cells to
irradiation. A putative mechanism involved suppression of Signal Transducer and
Activator of Transcription 3 (STAT3) signaling pathway, which is essential for
efficient repair of damaged DNA. Overexpression of STAT3 in KMD4B knockdown cells
largely attenuates DNA damage triggered by KDM4B silencing and increases cell
survival upon irradiation. Moreover, we find evidence that transcription factor
CAMP Responsive Element Binding Protein (CREB) is a key regulator of KMD4B
expression by directly binding to a conserved region in KMD4B promoter. Together,
our findings illustrate the significance of CREB-KDM4B-STAT3 signaling cascade in
DNA damage response, and highlight that KDM4B may potentially be a novel
oncotarget for CRC radiotherapy.
PMID- 29633066
TI - Reversal of dabigatran using idarucizumab: single center experience in four acute
stroke patients.
AB - Dabigatran is a direct thrombin inhibitor and a non-vitamin-K-antagonizing oral
anticoagulant, approved for the prevention of stroke and systemic embolization in
atrial fibrillation. Idarucizumab is a humanized monoclonal antibody that was
recently approved for antagonizing the anticoagulant effects of dabigatran. Here,
we report the use of idarucizumab in four acute stroke patients treated with
dabigatran in order to enable intravenous thrombolysis in three patients and
emergent trepanation in one patient with space occupying subdural hematoma. Since
experience on the optimal management of acute stroke patients under medication
with dabigatran and on the use of idarucizumab is currently limited, we propose
an approach for laboratory testing and fast administration of intravenous
thrombolysis and neurosurgery based on our experience.
PMID- 29633067
TI - What is the importance of real-time three dimensional transesophageal
echocardiography and time in therapeutic range in patients with prosthetic valve
thrombosis?
PMID- 29633068
TI - Cerebral venous sinus thrombosis complicated by seizures.
PMID- 29633069
TI - Health Care Access and Utilization Among U.S. Immigrants Before and After the
Affordable Care Act.
AB - We examine changes in health insurance coverage and access to and utilization of
health care before and after the national implementation of the Patient
Protection and Affordable Care Act (ACA) among the U.S. adult immigrant
population. Data from the 2011-2016 National Health Interview Survey are used to
compare adult respondents in 2011-2013 (before the ACA implementation) and 2014
2016 (after the ACA implementation). Multivariable logistic regression analyses
are used to compare changes over time. This study shows that the ACA has closed
the coverage gap that previously existed between U.S. citizens and non-citizen
immigrants. We find that naturalized citizens, non-citizens with more than 5
years of U.S. residency, and non-citizens with 5 years or less of U.S. residency
reduced their probability of being uninsured by 5.81, 9.13, and 8.23%,
respectively, in the first 3 years of the ACA. Improvements in other measures of
access and utilization were also observed.
PMID- 29633071
TI - Anxiolytic-like effects of paeoniflorin in an animal model of post traumatic
stress disorder.
AB - Post-traumatic stress disorder (PTSD) is the serious psychiatric disorder.
Paeoniflorin (PF) produces the antidepressant-like properties. However, few
studies are concerned about its anti-PTSD-like effects and mechanisms. To
investigate these, the single prolonged stress (SPS) model was utilized. PTSD
like behavioral deficits in rats after exposure to SPS were improved by PF (10
and 20 mg/kg, i.p.), evidenced by blocking increased freezing time in contextual
fear paradigm (CFP) and increased time and entries in open arms in elevated plus
maze (EPM) test without affecting the locomotor activity in open field (OF) test.
We also found that increased levels of corticosterone (Cort), corticotropin
releasing hormone (CRH) and adrenocorticotropic hormone (ACTH) after exposure to
SPS were reversed by PF (10 and 20 mg/kg, i.p.) in serum, respectively. Moreover,
the decreased levels of serotonin (5-HT) and 5-Hydroxyindoleacetic acid (5-HIAA)
in prefrontal cortex and hippocampus were reversed by PF (10 and 20 mg/kg, i.p.),
respectively. In summary, the anti-PTSD-like activities of PF were associated
with the modulation of HPA axis and 5-HT system activation.
PMID- 29633070
TI - Management of idiopathic recurrent pericarditis in adults and in children: a role
for IL-1 receptor antagonism.
AB - Recurrent pericarditis is one of the most frequent pericardial diseases,
affecting up to 30% of the patients who have experienced acute pericarditis.
While the diagnosis of acute pericarditis is sometime straight forward, its
etiology and therapeutic management are still a challenge for physicians. In
developed countries, the idiopathic form is the most frequent, and the search for
an infectious etiology is almost invariably negative. Nevertheless, since
standard treatment with nonsteroidal anti-inflammatory drugs and colchicine is
not always able to neutralize pericardial inflammation in recurrent pericarditis,
anakinra, an IL-1 receptor antagonist, has been proposed as a possible
therapeutic alternative for refractory forms. IL-1 is a cytokine that exerts a
pivotal role in innate immunity and in the pathogenesis of some autoimmune
diseases, such as rheumatoid arthritis, and in autoinflammatory disorders, as
familial Mediterranean fever and cryopyrin-associated periodic syndromes. The
successful management of patients with acute idiopathic recurrent pericarditis
(IRP) needs a teamwork approach, where cardiologists, rheumatologists, clinical
immunologists and internists are involved. In this review, we will discuss the
clinical and therapeutical challenges of IRP both in adults and children from a
clinical practice standpoint. We will also briefly illustrate the main pathogenic
mechanisms of IRP to provide internists and cardiologists with the rationale for
approaching the use of anakinra in selected clinical cases.
PMID- 29633072
TI - Association of Expression Levels or Activation Status of STAT3 with Treatment
Outcomes of Sunitinib in Patients with Renal Cell Carcinoma.
AB - BACKGROUND: The expression level of signal transducer and activator of
transcription 3 (STAT3) in tumor cells is reported to associate with response to
therapy and with survival time in various types of cancer. OBJECTIVE: This
retrospective study aimed to elucidate the association of STAT3 expression in
tumor cells with the therapeutic outcomes of sunitinib in patients with renal
cell carcinoma (RCC). PATIENTS AND METHODS: Patients with metastatic RCC who
received sunitinib therapy were enrolled in this study. All patients underwent
nephrectomy for RCC, and nephrectomy specimens were stained for STAT3 and
phosphorylated STAT3 (p-STAT3) by immunohistochemistry. RESULTS: We assessed 51
patients receiving sunitinib as a first-line therapy. STAT3 expression levels did
not influence progression-free survival (PFS) and overall survival (OS); however,
patients with p-STAT3-positive tumors exhibited significantly worse PFS compared
with those with p-STAT3-negative tumors (log-rank test, P = 0.034). OS tended to
be prolonged in patients with p-STAT3-negative tumors. Objective response rate or
disease control rate based on the best overall response did not show a
significant association with STAT3 or p-STAT3 expression. Univariate Cox
proportional hazard regression analyses for clinical predictors revealed that p
STAT3 positivity significantly correlated with shorter PFS (hazard ratio [HR],
2.22, P = 0.041), whereas p-STAT3 expression was not related to the OS.
CONCLUSIONS: Activated STAT3 in tumor tissues shows a significant association
with poor prognosis in patients with RCC who received sunitinib as a first-line
therapy, and positive p-STAT3 expression could be a potential biomarker for
refractoriness to sunitinib therapy.
PMID- 29633074
TI - Limitation of flatfoot surgery in overcorrected clubfeet after extensive surgery.
AB - INTRODUCTION: Flatfoot is a severe complication of extensive clubfoot surgery. In
this retrospective study, we evaluated our results following flatfoot surgery in
overcorrected clubfeet. The aim was to analyze the success of different surgical
techniques, including tarsal osteotomies and arthrodesis, in correcting different
types of flatfeet. MATERIAL AND METHOD: Between January 1, 2011 and December 31,
2015 we treated 25 severe cases of flatfeet after extensive clubfoot surgery. We
classified the hindfoot deformities into rotational valgus, hinge valgus or
translatory valgus based on AP standing X-rays. Tarsal osteotomies (Mitchell,
Evans, Cotton) and arthrodesis were adapted based on age and severity. Age,
gender, pain, hindfoot valgus and function were documented. Function and X-rays
were compared pre- and postoperatively. RESULTS: There were 17 male and 4 female
patients. Age at operation ranged from 11 to 26 years with an average age of 14.3
years. The mean follow-up was 27.6 months (7-60 months). Primary surgical
treatment was a tarsal osteotomy in 19 cases and in six cases it was arthrodesis.
Hindfoot valgus (O 18.6 degrees -3.2 degrees ), calcaneal pitch (O 6.2 degrees
14.6 degrees ), Costa Bartani angle (O155 degrees -142 degrees ) and Meary angle
(O 2.0 degrees -8.8 degrees ) improved pre- to postoperatively. Range of motion
did not improve after surgical correction. 81% were satisfied with the
postoperative results. All flatfeet with translatory valgus, initially treated
with a tarsal osteotomy, needed further arthrodesis due to primary
undercorrection. CONCLUSION: Tarsal osteotomies are successful methods for
correcting flatfeet following extensive clubfoot surgery with rotational valgus
and mild hinge valgus. Tarsal osteotomies are unable to successfully correct
flatfeet that have a translatory valgus. In such cases, we recommend double or
triple arthrodesis. The functional outcome is limited by the preop range of
motion and the appearance of talus deformities.
PMID- 29633073
TI - Moderate High Temperature Condition Induces the Lactation Capacity of Mammary
Epithelial Cells Through Control of STAT3 and STAT5 Signaling.
AB - In lactating mammary glands, alveolar mammary epithelial cells (MECs) synthesize
and secrete milk components. MECs also form less permeable tight junctions (TJs)
to prevent the leakage of milk components. During lactation, MECs are exposed to
temperature changes by metabolic heat production and air ambient temperature. In
this study, we investigated whether temperature changes influence milk production
ability and TJ barriers in MECs by using two lactating culture models. The
results showed that 39 degrees C treatment activated milk production and
enhanced the formation of less-permeable TJs. In contrast, 41 degrees C
treatment caused adverse effects on the TJ barrier and cell viability, although
the milk production ability of MECs was temporarily up-regulated. MECs cultured
at 37 degrees C showed relatively low milk production ability and high
proliferation activity. Furthermore, we investigated three kinds of transcription
factors relating to lactogenesis, signal transducer and activator of
transcription 5 (STAT5), STAT3 and glucocorticoid receptor (GR). STAT5 signaling
was activated at 39 and 41 degrees C by an increase in total STAT5. However,
long-term treatment led to a decrease in total STAT5. STAT3 signaling was
inactivated by high temperature treatment through a decrease in total STAT3 and
inhibited phosphorylation of STAT3. GR signaling was continuously activated
regardless of temperature. These results indicate that a moderate high
temperature condition at 39 degrees C induces a high lactation capacity of MECs
through control of STAT5 and STAT3 signaling. In contrast, long-term exposure at
41 degrees C leads to a decline in milk production capacity by inactivation of
STAT5 and a decrease in the total number of MECs.
PMID- 29633075
TI - Intralesional vs. extralesional procedures for low-grade central chondrosarcoma:
a systematic review of the literature.
AB - INTRODUCTION: Chondroid lesions are very common bone tumors. In most cases, they
are benign enchondromas (EC) and, in a minor percentage, chondrosarcomas (CSs),
the malignant counterpart. In the latter cases, surgery is the mainstay
treatment, because they are chemo- and radio-resistant unless dedifferentiation
occurs. If resection is recognized as the gold standard for intermediate-, high
grade tumors, and for low-grade chondrosarcoma (LG-CS) located in the spine and
pelvis to reduce the risk of local recurrence, there is still no consensus in
literature on the treatment of central low-grade chondrosarcoma (cLG-CS) located
in the limbs. Our aim is to perform a review of literature on evidence supporting
this approach or not. MATERIALS AND METHODS: An electronic research of the
medical archives was carried out in March 2017 seeking papers evaluating the
results of curettage and resection in cLG-CS. RESULTS: We selected 13 studies
corresponding to our criteria. Unfortunately, they were descriptive,
retrospective, non-randomized studies. We identified a population of 471 patients
for a total of 473 low-grade chondrosarcomas. Two hundred and ninety-nine lesions
were treated with curettage and 174 with wide surgery. The two groups were not
homogeneous for diagnosis, size and staging, so no comparison between resection
and curettage was possible. The global weighted average percentage of local
recurrence was 6.7% (20 cases) and 10.9% (19 cases) after curettage and
resection, respectively. No cases of metastasis were reported in the group
treated with intralesional surgery, compared to five cases reported in the group
treated with resection. Indications for surgery were given in most cases based on
symptoms and imaging. CONCLUSIONS: The absence of a preoperative histological
diagnosis and the lack of a scientific method to conduct the studies do not
sufficiently support curettage for low-grade chondrosarcomas. In the absence of
this, resection must be considered a general rule for every malignancy. In our
opinion, based on the low biological growth rate of low-grade chondrosarcoma,
every chondromatous lesion can be followed-up. Biopsies must be performed based
on clinical and radiological suspicions such as pain, scalloping or increase in
size, rather than on performing a PET scan to evidence more informative high
metabolic areas.
PMID- 29633076
TI - Functional growth inhibition of influenza A and B viruses by liquid and powder
components of leaves from the subtropical plant Melia azedarach L.
AB - We evaluated the anti-influenza-virus effects of Melia components and discuss the
utility of these components. The effects of leaf components of Melia azedarach L.
on viruses were examined, and plaque inhibition tests were performed. The in vivo
efficacy of M. azedarach L. was tested in a mouse model. Leaf components of Melia
azedarach L. markedly inhibited the growth of various influenza viruses. In an
initial screening, multiplication and haemagglutination (HA) activities of H1N1,
H3N2, H5, and B influenza viruses were inactivated by the liquid extract of
leaves of M. azedarach L. (MLE). Furthermore, plaque inhibition titres of H1N1,
H3N2, and B influenza viruses treated with MLE ranged from 103.7 to 104.2. MLE
possessed high plaque-inhibitory activity against pandemic avian H5N1, H7N9, and
H9N2 vaccine candidate strains, with a plaque inhibition titre of more than
104.2. Notably, the buoyant density decreased from 1.175 to 1.137 g/cm3, and
spikeless particles appeared. We identified four anti-influenza virus substances:
pheophorbide b, pheophorbide a, pyropheophorbide a, and pheophytin a.
Photomorphogenesis inside the envelope may lead to removal of HA and
neuraminidase spikes from viruses. Thus, MLE could efficiently remove floating
influenza virus in the air space without toxicity. Consistent with this finding,
intranasal administration of MLE in mice significantly decreased the occurrence
of pneumonia. Additionally, leaf powder of Melia (MLP) inactivated influenza
viruses and viruses in the intestines of chickens. MLE and MLP may have
applications as novel, safe biological disinfectants for use in humans and
poultry.
PMID- 29633077
TI - Surveillance of HIV-1 drug resistance in Xinjiang: high prevalence of K103N in
treatment-naive individuals.
AB - To identify transmitted and acquired HIV-1 drug resistance mutations in Xinjiang,
China, we collected the peripheral blood of 50 treated and 50 treatment-naive HIV
1-infected individuals in this region. We successfully amplified 36 reverse
transcriptase and 42 protease gene sequences of HIV-1 from 51 individuals and
identified mutations associated with resistance to reverse transcriptase (RT) and
protease (PR) inhibitors (RTIs and PIs) according to Stanford Drug Resistance
Database. Among the drug-treated individuals, the results showed that K103N in
the RT region was the most frequent mutation, found in 67% (6/9) of the cases,
followed by M184V with 56% (5/9). Five individuals had both nucleoside and non
nucleoside reverse transcriptase inhibitor resistance mutations after more than
12 months of treatment. Among the untreated individuals, 33% (9/27) were found to
harbor drug resistance mutations in the RT gene. K103N occurred at the highest
rate, accounting for 22% (6/27), followed by P225H (7%) and Y188L (4%). Neither
of the two groups showed any major resistance mutations to PIs. Our study
revealed that the prevalence of drug resistance was relatively high in Xinjiang
and that K103N occurred at the highest rate. These results suggest that it is
important to carry out HIV drug resistance testing, especially for the K103N
mutation in the RT region, before and during the treatment process. This study
may help to guide ART strategies in the Xinjiang region.
PMID- 29633078
TI - Antiviral activity of Embelia ribes Burm. f. against influenza virus in vitro.
AB - Viral respiratory infections are raising serious concern globally. Asian
medicinal plants could be useful in improving the current treatment strategies
for influenza. The present study examines the activity of five plants from
Bangladesh against influenza virus. MDCK cells infected with influenza virus
A/Puerto Rico/8/34 (H1N1) were treated with increasing concentrations of ethyl
acetate extracts, and their cytotoxicity (CC50), virus-inhibiting activity
(IC50), and selectivity index (SI) were calculated. The ethyl acetate extract of
fruits of Embelia ribes Burm. f. (Myrsinaceae) had the highest antiviral
activity, with an IC50 of 0.2 ug/mL and a SI of 32. Its major constituent,
embelin, was further isolated and tested against the same virus. Embelin
demonstrated antiviral activity, with an IC50 of 0.3 uM and an SI of 10. Time-of
addition experiments revealed that embelin was most effective when added at early
stages of the viral life cycle (0-1 h postinfection). Embelin was further
evaluated against a panel of influenza viruses including influenza A and B
viruses that were susceptible or resistant to rimantadine and oseltamivir. Among
the viruses tested, avian influenza virus A/mallard/Pennsylvania/10218/84 (H5N2)
was the most susceptible to embelin (SI = 31), while A/Aichi/2/68 (H3N2) virus
was the most resistant (SI = 5). In silico molecular docking showed that the
binding site for embelin is located in the receptor-binding domain of the viral
hemagglutinin. The results of this study provide evidence that E. ribes can be
used for development of a novel alternative anti-influenza plant-based agent.
PMID- 29633079
TI - Safety and efficacy of single-fraction gamma knife radiosurgery for benign
confined cavernous sinus tumors: our experience and literature review.
AB - Gamma knife radiosurgery (GKRS) has emerged as a suitable primary treatment
option for confined cavernous sinus tumors (CSTs) and residual/recurrent benign
tumors extending from the surrounding neighborhood. The aim of this review was to
further investigate the safety and efficacy of single-fraction GKRS for primary
confined CSTs (hemangioma, meningioma, and schwannoma). This was a retrospective
analysis of 16 patients of CSTs, primarily treated with GKRS between 2009 and
2017. The patients underwent follow-up clinical and radiological evaluation at a
regular interval. Data on clinical and imaging parameters were analyzed. The
published literature on GKRS for CSTs was reviewed. There were total 16 patients
(eight meningiomas, seven hemangiomas, and one schwannoma). Patients presented
with a headache (56.3%), ptosis (50%), and/or restricted extraocular movements
(50%). There was 46.6% tumor volume (TV) reduction after single-fraction GKRS.
Hemangiomas showed best TV reduction (64% reduction at > 3-year follow-up)
followed by schwannoma (41.5%) and meningioma (25.4%). 56.3% of patients
developed transient hypoesthesia in trigeminal nerve distribution. 44.4% of
patients became completely pain-free. Among cranial nerves, the superior division
of the oculomotor nerve showed best outcome (ptosis 62.5%) followed by an
improved range of EOM. There was no adverse event in the form of new-onset
deficit, vascular complication, or malignant transformation except for one out of
the field failures. Among available treatment options, GKRS is the most suitable
option by virtue of its minimally invasive nature, optimal long-term tumor
control, improvement in cranial neuropathies, cost-effectiveness, favorable risk
benefit ratio, and minimal long-term complications.
PMID- 29633080
TI - Culturing conditions highly affect DNA methylation and gene expression levels in
MCF7 breast cancer cell line.
AB - The levels of DNA methylation and their role in gene expression are key factors
that could affect diagnosis, prognosis, and treatment options of different
diseases. In this study, the methylation levels of 22 genes that are mostly
correlated to breast cancer were determined using EpiTect methyl II PCR array.
This analysis was performed to determine the effect of cells' passage number and
the use of antibiotics in the culturing media on gene methylation levels in MCF7
cell line. DNA methylation levels of PTGS2, ADAM23, HIC1, and PYCARD were found
to be significantly different among different passages. While the DNA methylation
levels of CCNA1, RASSF1, and THBS1 were found to be affected by the use of 1% of
penicillin/streptomycin in the culture media. Gene expression analysis after
demethylation using 5-Aza-2'-deoxycytidine showed that the gene expression levels
of the hypermethylated genes varied between different passage numbers. This study
shows that the presence of antibiotic within cultured media and cell line's
passage number could greatly affect the methylation levels that need to be
considered in future studies on cell lines.
PMID- 29633081
TI - An intraoperative fluoroscopic method to accurately measure the post-implantation
position of pedicle screws.
AB - PURPOSE: Pedicle screw malplacement, leading to neurological symptoms, vascular
injury, and premature implant loosening, is not uncommon and difficult to
reliably detect intraoperatively with current techniques. We propose a new
intraoperative post-placement pedicle screw position assessment system that can
therefore allow surgeons to correct breaches during the procedure. Our objectives
were to assess the accuracy and robustness of this proposed screw location system
and to compare its performance to that of 2D planar radiography. METHODS: The
proposed system uses two intraoperative X-ray shots acquired with a standard
fluoroscopic C-arm and processed using 2D/3D registration methods to provide a 3D
visualization of the vertebra and screw superimposed on one another. Point
digitization and CT imaging of the residual screw tunnel were used to assess
accuracy in five synthetic lumbar vertebral models (10 screws in total).
Additionally, the accuracy was evaluated with and without correcting for image
distortion and for various screw lengths, screw materials, breach directions, and
vertebral levels. RESULTS: The proposed method is capable of localizing the
implanted screws with less than 2 mm of translational error (RMSE: 0.7 and 0.8 mm
for the screw head and tip, respectively) and less than [Formula: see text]
angular error (RMSE: [Formula: see text]), with minimal change to the errors if
image distortion is not corrected. Breaches and their anatomical locations were
all correctly visualized and identified for a variety of screw lengths, screw
materials, breach locations, and vertebral levels, demonstrating the robustness
of this approach. In contrast, one breach, one non-breach, and the anatomical
location of three screws were misclassified with 2D X-ray. CONCLUSION: We have
demonstrated an accurate and low-radiation technique for localizing pedicle
screws post-implantation that requires only two X-rays. This intraoperative
feedback of screw location and direction may allow the surgeon to correct
malplaced screws intraoperatively, thereby reducing postoperative complications
and reoperation rates.
PMID- 29633082
TI - Monoclonal Antibody-Based Serological Detection Methods for Wheat Dwarf Virus.
AB - Wheat dwarf disease caused by wheat dwarf virus (WDV) is currently present in
wheat growing regions in China and causes serious losses in wheat yield. To
develop reliable and effective serological detection methods for WDV, the coat
protein (CP) gene of WDV was cloned and expressed in Escherichia coli. The
purified recombinant CP protein was immunized to BALB/c mice, and four hybridoma
cell lines (i.e. 18G10, 9G4, 23F4 and 22A10) secreting anti-WDV monoclonal
antibodies (MAbs) were obtained through the hybridoma technique. Using the
prepared MAbs, an antigen-coated-plate enzyme-linked immunosorbent assay (ACP
ELISA) and a dot-ELISA were established for detecting WDV in wheat samples. The
most sensitive ACP-ELISA based on MAb 23F4 or 22A10 was able to detect WDV in
1:163,840 (w/v, g/mL) diluted WDV-infected wheat plant crude extracts. The dot
ELISA based on MAb 23F4 was the most sensitive and able to detect the virus in
1:5,120 (w/v, g/mL) diluted wheat plant crude extracts. A total of 128 wheat
samples were collected from wheat growing regions in the Shaanxi and Qinghai
provinces, China, and were screened for the presence of WDV using two developed
serological assays. Results from the survey showed that approximately 62% of the
samples were infected with WDV. PCR followed by DNA sequencing and sequence
alignment validated the results from the two serological assays. Therefore, we
consider that these two serological detection methods can be significantly useful
for the control of WDV in China.
PMID- 29633083
TI - Contrast-enhanced intraoperative ultrasound in the resection of colorectal liver
metastases with intrabiliary growth.
AB - A 68-year-old male who had undergone low anterior resection for primary rectal
cancer 19 months ago presented with multiple CLM at Couinaud's segments IV, V,
and VIII. There was no apparent macroscopic intrabiliary growth on preoperative
computed tomography and gadolinium ethoxybenzyl diethylenetriamine pentaacetic
acid-enhanced magnetic resonance imaging (EOB-MRI). However, the hepatobiliary
phase of EOB-MRI revealed peritumoral low signal intensity in lesions in segments
V and VIII, which indicates vascular invasion around hepatocellular carcinoma.
Contrast-enhanced intraoperative ultrasound (CE-IOUS) clearly determined the
extent of macroscopic glissonean growth from lesions in segments V and VIII, and
more extensive resection was performed than was planned. Analysis of the resected
specimens from segments V and VIII confirmed the presence of macroscopic
intrabiliary growth with microscopic portal vein invasion. All three CLM were
histopathologically diagnosed as well-to-moderately differentiated
adenocarcinoma, and R0 resection was verified. Postoperative recovery was
uneventful, and the patient was alive without evidence of recurrence 12 months
after hepatic resection. CE-IOUS should be considered at the time of CLM
resection, as it might enable more accurate detection of macroscopic intrabiliary
growth of CLM, and enable resection with safer margins.
PMID- 29633085
TI - Transcutaneous tibial nerve stimulation: 2 years follow-up outcomes in the
management of anticholinergic refractory overactive bladder.
AB - PURPOSE: To evaluate long-term use, efficacy and tolerability of transcutaneous
tibial nerve stimulation (TTNS) in the treatment of refractory overactive bladder
(OAB). METHODS: We performed a prospective observational study and included all
patients treated in a single center for OAB persisting after first-line
anticholinergic treatment, with >= 24 months follow-up. The protocol consisted of
daily stimulation at home. The primary outcome was treatment persistence.
Amelioration was defined as an improvement in urinary symptom profile (USP)
score. RESULTS: We assessed 84 consecutive patients. After a mean follow-up of
39.3 months and a mean treatment use of 8.3 months, almost two-thirds of patients
(71.8%) had discontinued TTNS. Treatment continuation was > 12 months for 28
patients (33.3%) and > 18 months for 16 patients (19%). TTNS was successful
following 3 months of treatment in 60 (71%) patients. Mean USP score stayed
significantly lower than baseline until 12 months of treatment, but was not
significant anymore after 18 months. Discontinuation therapy reasons were a lack
of sufficient symptom relief for 59 (70%) patients, compliance difficulty for 5
(6%) patients and becoming asymptomatic for 6 (8%) patients. No serious adverse
events occurred. CONCLUSIONS: The present study confirms the utility of TTNS as a
treatment option for patients with resistant OAB. In the long-term use, few
patients continued with therapy, mostly because of a decreased effectiveness with
time.
PMID- 29633086
TI - Silica diatom shells tailored with Au nanoparticles enable sensitive analysis of
molecules for biological, safety and environment applications.
AB - Diatom shells are a natural, theoretically unlimited material composed of silicon
dioxide, with regular patterns of pores penetrating through their surface. For
their characteristics, diatom shells show promise to be used as low cost, highly
efficient drug carriers, sensor devices or other micro-devices. Here, we
demonstrate diatom shells functionalized with gold nanoparticles for the
harvesting and detection of biological analytes (bovine serum albumin-BSA) and
chemical pollutants (mineral oil) in low abundance ranges, for applications in
bioengineering, medicine, safety, and pollution monitoring.
PMID- 29633087
TI - Development of an Autism Subtyping Questionnaire Based on Social Behaviors.
AB - Autism spectrum disorder can be differentiated into three subtypes (aloof,
passive, and active-but-odd) based on social behaviors according to the Wing
Subgroups Questionnaire (WSQ). However, the correlations between the scores on
some individual items and the total score are poor. In the present study, we
translated the WSQ into Chinese, modified it, validated it in autistic and
typically-developing Chinese children, and renamed it the Beijing Autism
Subtyping Questionnaire (BASQ). Our results demonstrated that the BASQ had
improved validity and reliability, and differentiated autistic children into
these three subtypes more precisely. We noted that the autistic symptoms tended
to be severe in the aloof, moderate in the passive, and mild in the active-but
odd subtypes. The modified questionnaire may facilitate etiological studies and
the selection of therapeutic regimes.
PMID- 29633084
TI - Applied Sport Science of Australian Football: A Systematic Review.
AB - BACKGROUND: In recent years, there has been a large expansion in literature
pertaining to the game of Australian football (AF). Furthermore, there have been
a number of rule changes that are likely to have changed the demands of the game.
Based on these advances and changes, it seemed important to conduct a review
assessing the scientific literature surrounding the sport. OBJECTIVE: The review
evaluates the match demands of AF, the qualities required for success, and the
impact training and competition have on adaptation, injury and fatigue. METHODS:
A systematic search of PubMed, CINAHL, SPORTDiscus, Web of Science and Scopus for
AF literature was conducted; studies investigating match demands, physical
qualities, training practices and injury were included. Weighted means and
standard deviations were calculated for match demands and physical and
anthropometric profiles across playing standards. RESULTS: A total of 1830
articles were retrieved in the initial search, with 888 removed as duplicates,
626 removed for being non-relevant and a further 152 removed for being AF papers
but not relevant to the review. As such, 164 AF papers were included in the
review. Due to the intermittent high-intensity nature of match-play, players need
a wide range of physical and technical qualities to excel, with speed, aerobic
fitness, reactive agility and well-developed lean mass being central to success.
Training for AF at the elite level is associated with high workloads, with
players engaging in numerous training modalities; even altitude and heat training
camps have been utilised by Australian Football League (AFL) teams to further
augment fitness improvements. While high chronic workloads can be tolerated and
are needed for improving physical qualities, careful planning and monitoring of
internal and external workloads is required to minimise sharp spikes in load that
are associated with injury. CONCLUSIONS: There is a complex interaction between
numerous contextual factors that influence the match demands that are discussed
in this review. Whilst players must have the physical capacities to cope with the
intense physical demands of AF matches, the successful execution of technical
skills during match-play is central to success. To develop these skills and
attributes, specific and carefully planned and monitored training must be
performed over a number of years.
PMID- 29633088
TI - Dual Antithrombotic Therapy with Clopidogrel and Novel Oral Anticoagulants in
Patients with Atrial Fibrillation Undergoing Percutaneous Coronary Intervention:
A Real-world Study.
AB - INTRODUCTION: For patients with atrial fibrillation (AF) undergoing percutaneous
coronary intervention (PCI), proper antithrombotic therapy is equivocal. Current
guidelines recommend triple therapy, which carries a high risk of bleeding.
Recent large trials suggest that dual therapy (DT) with novel oral anticoagulant
(NOAC) plus P2Y12 inhibitor can be an appropriate alternative, but real-world
data for this alternative are scarce and the optimal duration of DT has not yet
been established. METHODS: This analysis was performed in a single-center
prospective cohort. We investigated 216 PCI patients with indication for
anticoagulation due to AF. After PCI patients received DT with reduced doses NOAC
plus P2Y12 inhibitor for 6 months, which was followed by standard dose NOAC
monotherapy. Efficacy endpoints were defined as cardiac death, myocardial
infarction (MI), stent thrombosis (ST), and stroke. Safety endpoints were
bleeding events as defined by Bleeding Academic Consortium (BARC). RESULTS:
Baseline characteristics of our study population were described by a CHA2DS2-VASc
score of greater than 4 and a HAS-BLED score of greater than 3. After a mean
follow-up of 18.7 months, efficacy events occurred in 12 patients (5.6%). We
observed three (1.4%) cardiac deaths, two (0.9%) MIs, six (2.8%) strokes, and one
(0.5%) definite ST. After switching from DT to NOAC monotherapy after 6.3 +/- 1.7
months, there was no rebound of ischemic events. Bleeding events occurred in 34
patients (15.7%) mainly under DT, while bleeding was less during NOAC
monotherapy. CONCLUSIONS: In this long-term study of high-risk and real-world AF
patients with PCI, DT with NOAC and P2Y12 inhibitor (6 months) followed by NOAC
monotherapy was safe and effective.
PMID- 29633091
TI - Vitamins for Prevention of Contrast-induced Acute Kidney Injury: A Systematic
Review and Trial Sequential Analysis.
AB - BACKGROUND: To date, universally accepted preventive measures for contrast
induced acute kidney injury (CI-AKI) do not exist, and they warrant further
research. OBJECTIVE: The purpose of this study was to evaluate the efficacy of
vitamins, including vitamin C and E, for prevention of CI-AKI. METHODS: We
electronically searched the MEDLINE, EMBASE, and Cochrane databases. The outcome
of interest was the incidence of CI-AKI. RESULTS: A total of 19 studies were
included in this meta-analysis. Pooled analysis showed that vitamin C plus saline
[relative risk (RR) = 0.63, 95% confidence interval (CI) 0.49-0.82, p = 0.0005]
and vitamin E plus saline (RR = 0.39, 95% CI 0.24-0.62, p < 0.0001) significantly
reduced the incidence of CI-AKI compared to saline alone. The effect of vitamin C
plus saline was further confirmed by trial sequential analysis (TSA). However,
TSA indicated that more trials are required to confirm the efficacy of vitamin E
plus saline. There was no significant difference in preventing CI-AKI between
vitamin C and N-acetylcysteine (NAC) (RR = 0.90, 95% CI 0.47-1.71, p = 0.75),
between vitamin C plus NAC and saline (RR = 0.62, 95% CI 0.30-1.30, p = 0.20),
as well as between vitamin C plus NAC and NAC (RR = 0.97, 95% CI 0.49-1.92, p =
0.93). CONCLUSIONS: Vitamin C plus saline administration is effective at reducing
the risk of CI-AKI. Evidence for the use of vitamin E plus saline in this context
is encouraging, but more trials are required. Furthermore, this meta-analysis and
TSA indicated insufficient power to draw a definitive conclusion on the effect of
vitamin C plus NAC, versus saline or NAC alone, which needs to be explored
further.
PMID- 29633089
TI - Herbal Dietary Supplements for Erectile Dysfunction: A Systematic Review and Meta
Analysis.
AB - PURPOSE: Erectile dysfunction (ED) is a common condition that significantly
affects quality of life and interpersonal relationships. OBJECTIVE: Our objective
was to perform a systematic review and meta-analysis to evaluate the efficacy of
herbal dietary supplements in the treatment of ED. MATERIALS AND METHODS: We
searched five databases to identify randomized controlled trials (RCTs) that
evaluated the clinical efficacy of herbal medicines in ED. Quality was assessed
and risk of bias was estimated using the Jadad score and the Cochrane risk-of
bias tool. RESULTS: In total, 24 RCTs, including 2080 patients with ED, were
identified. Among these, 12 evaluated monopreparations (five ginseng [n = 399],
three saffron [n = 397], two Tribulus terrestris [n = 202], and one each Pinus
pinaster [n = 21] and Lepidium meyenii [n = 50]), seven evaluated formulations (n
= 544), and five investigated dietary supplements in combination with pure
compounds (n = 410). Ginseng significantly improved erectile function
(International Index of Erectile Function [IIEF]-5 score: 140 ginseng, 96
placebo; standardized mean difference [SMD] 0.43; 95% confidence interval [CI]
0.15-0.70; P < 0.01; I2 = 0), P. pinaster and L. meyenii showed very preliminary
positive results, and saffron and T. terrestris treatment produced mixed results.
Several herbal formulations were associated with a decrease of IIEF-5 or IIEF-15,
although the results were preliminary. The quality of the included studies
varied, with only seven having a prevalent low risk of bias. The median
methodological quality Jadad score was three out of a maximum of five. Adverse
events were recorded in 19 of 24 trials, with no significant differences between
placebo and verum in placebo-controlled studies. CONCLUSIONS: Encouraging
evidence suggests that ginseng may be an effective herbal treatment for ED.
However, further, larger, and high-quality studies are required before firm
conclusions can be drawn. Promising (although very preliminary) results have also
been generated for some herbal formulations. Overall, more research in the field,
adhering to the CONSORT statement extension for reporting trials, is justified
before the use of herbal products in ED can be recommended.
PMID- 29633092
TI - Dissolution and Translational Modeling Strategies Enabling Patient-Centric Drug
Product Development: the M-CERSI Workshop Summary Report.
AB - On May 15th-17th, 2017, the US FDA and the International Consortium for
Innovation and Quality in Pharmaceutical Development (IQ) held a workshop at the
University of Maryland's Center of Excellence in Regulatory Science and
Innovation (M-CERSI), to discuss the role of dissolution testing and
translational modeling and simulation in enabling patient-centric solid oral drug
product development. This 3-day event was attended by scientists from regulatory
agencies, pharmaceutical companies, and academia. The workshop included podium
presentations followed by breakout session discussions. The first day of the
meeting focused on the challenges in dissolution method development and the role
of dissolution testing throughout drug product development. On the second day,
approaches to establish a link between in vitro testing and in vivo drug product
performance (e.g., systemic exposure) were presented. Overall success rates and
challenges in establishing IVIVCs via traditional and modern physiologically
based pharmacokinetic (PBPK) modeling and simulation approaches were discussed.
Day 3 provided an opportunity to discuss the expectations for establishing
clinically relevant drug product specifications (CRDPS). It was recognized that
understanding the impact of formulation and process variations on dissolution and
in vivo performance is critical for most drug products formulated with poorly
soluble drugs to ensure consistent product performance. The breakout sessions
served as platforms for discussing controversial topics such as the clarification
of dissolution terminology, PBPK model development and validation expectations,
and approaches to set CRDPS. The meeting concluded with a commitment to continue
the dialog between regulators, industry, and academia to advance overall product
quality understanding.
PMID- 29633093
TI - Delivery of molecular cargoes in normal and cancer cell lines using non-viral
delivery systems.
AB - OBJECTIVE: In this study, transfection efficiency of human papillomavirus (HPV)
E7 DNA and protein constructs into HEK-293T normal cell line, and A549 and TC-1
tumor cell lines was evaluated by four delivery systems including supercharge
GFP, hPP10 cell penetrating peptide, TurboFect and Lipofectamine using
fluorescence microscopy and flow cytometry. RESULTS: The results indicated that
Lipofectamine 2000 and TurboFect produced more effective transfection for GFP and
E7-GFP DNA constructs in HEK-293T cells compared to in A549 and TC-1 cells (p <
0.05). In contrast, the supercharge GFP was efficient for E7 DNA and E7 protein
delivery in both normal cell (~ 83.94 and ~ 77.01% for HEK-293T), and cancer
cells (~ 71.69 and ~ 67.19% for TC-1, and ~ 73.86 and ~ 67.49% for A549),
respectively. Indeed, in these cell lines, transfection efficiency by +36 GFP
reached ~ 60-80%. Moreover, the hPP10 produced the best transfection result for
E7-GFP protein in HEK-293T cells (~ 63.66%) compared to TurboFect (~ 32.95%);
however, the efficiency level of hPP10 was only ~ 17.51 and ~ 16.36% in TC-1 and
A549 cells. CONCLUSIONS: Our data suggested that the supercharge GFP is the most
suitable transfection vehicle for DNA and protein delivery into TC-1 and A549
tumor cell lines compared to other carriers.
PMID- 29633094
TI - Determinants of HIV Incidence Disparities Among Young and Older Men Who Have Sex
with Men in the United States.
AB - This study sought to determine why young men who have sex with men (MSM) have
higher HIV incidence rates than older MSM in the United States. We developed
hypotheses that may explain this disparity. Data came from peer-reviewed studies
published during 1996-2016. We compared young and older MSM with respect to
behavioral, clinical, psychosocial, and structural factors that promote HIV
vulnerability. Compared with older MSM, young MSM were more likely to have HIV
discordant condomless receptive intercourse. Young MSM also were more likely to
have "any" sexually transmitted infection and gonorrhea. Among HIV-positive MSM,
young MSM were less likely to be virally suppressed, use antiretroviral therapy,
and be aware of their infection. Moreover, young MSM were more likely than older
MSM to experience depression, polysubstance use, low income, decreased health
care access, and early ages of sexual expression. These factors likely converge
to exacerbate age-associated HIV incidence disparities among MSM.
PMID- 29633095
TI - Long-Term Outcomes of Gastric Cancer Patients with Preoperative Sarcopenia.
AB - BACKGROUND: There are few reports of long-term outcomes of gastric cancer
patients with sarcopenia. The purpose of this study was to assess the impact of
sarcopenia on long-term outcomes in gastric cancer patients who underwent
curative resection. METHODS: A total of 951 patients aged 65 years or older who
underwent R0 resection for gastric cancer were investigated. Sarcopenia was
defined as a decreased arm muscle area < 38.05 cm2 in men and < 27.87 cm2 in
women combined with a decline in grip strength to < 26 kgf in men and < 18 kgf in
women. RESULTS: Of 951 patients, 111 (11.7%) were diagnosed with sarcopenia.
Reduced surgery was performed significantly more frequently in patients with
sarcopenia (p = 0.006). The incidence of eligible patients who received adjuvant
chemotherapy was significantly lower in patients with sarcopenia than in those
without sarcopenia (p = 0.030). Mortality due to gastric cancer and aging
associated multiple organ failure rates without obvious diseases were higher in
patients with sarcopenia (p = 0.036 and p < 0.001, respectively). Overall
survival (OS) and cause-specific survival (CSS) were significantly worse in
patients with sarcopenia (p < 0.001 and p = 0.005, respectively). Multivariate
analysis for OS and CSS revealed that sarcopenia was an independent prognostic
factor in gastric cancer patients (p < 0.001 and p = 0.043, respectively).
CONCLUSIONS: Sarcopenia is related to poor survival in gastric cancer patients
and appears to be a significant negative prognostic factor in patients with
gastric cancer who underwent curative resection.
PMID- 29633096
TI - Efficacy of Endoscopic Management for Early Remnant Gastric Cancer: Is Completion
Gastrectomy Truly Necessary in Cases with Marginally Noncurative Histopathologic
Features?
AB - BACKGROUND: For patients with early primary gastric cancer, endoscopic management
has become a standard of care. However, its efficacy for early remnant gastric
cancer (ERGC) remains controversial and an invasive surgical procedure remains
the primary choice of treatment. METHODS: A multi-institutional database of ERGC
cases was retrospectively reviewed. Efficacy of endoscopic resection was analyzed
by reviewing the clinicopathologic features of patients who underwent endoscopic
resection and comparing the long-term outcomes with those of surgical resection.
RESULTS: Of the 121 patients who were histopathologically diagnosed with ERGC
after distal gastrectomy, 80 underwent endoscopic resection and 41 underwent
completion gastrectomy (Group S). According to the histopathological criteria, 55
of the 80 endoscopic resection cases were classified as "curative resection"
(Group E1) and the remaining 25 were classified as "noncurative resection" (Group
E2). Tumor recurrence was observed only in three patients (12%) in Group E2, and
no tumor recurrence was confirmed in Group S and Group E1. Multivariate analyses
confirmed that completion gastrectomy [hazard ratio (HR), 6.2; 95% confidence
interval (CI), 1.5-26.3] was associated with poor survival compared with
endoscopic resection, and lymphovascular infiltration (HR 9.5; 95% CI 2.5-36.7)
was correlated with tumor recurrence. Histopathological positive resection
margin, tumor size, or deeper tumor invasion were not correlated with tumor
recurrence after endoscopic resection. CONCLUSIONS: Endoscopic management might
be an effective treatment option for ERGC with potential long-term survival
advantage over the completion gastrectomy even in cases with histopathological
features, suggesting noncurative resection.
PMID- 29633097
TI - Stage- and Histologic Subtype-Dependent Frequency of Lymph Node Metastases in
Patients with Epithelial Ovarian Cancer Undergoing Systematic Pelvic and
Paraaortic Lymphadenectomy.
AB - PURPOSE: Tumor stage and distinct histological subtypes in epithelial ovarian
cancer (EOC) show different prognostic outcome. The aim of this study is to
evaluate whether the frequency of lymph node (LN) metastases in patients with
different tumor stages and histological subtypes undergoing systematic pelvic and
paraaortic lymphadenectomy is coincidentally divergent. METHODS: Patients with
EOC treated with upfront staging or debulking surgery between January 2000 and
December 2016 were included. Systematic lymphadenectomy was performed in all
consecutive patients with optimal debulking and without medical
contraindications. RESULTS: Seven hundred sixty-two patients including 27.2% with
early-stage EOC were included. The median number of removed LNs was 69, and
metastases to LNs were found in 54.7%. No LN metastases were found in patients
with low-grade endometrioid carcinoma, independently of tumor stage. LN
metastases in early-stage low-grade serous (N = 5), mucinous (N = 31), and clear
cell (N = 28) EOC were found in one (20%), zero, and one (3.6%) patient,
respectively. LN metastases were detected in more than 10% of patients with all
other histological subtypes. On multivariate analyses, overall survival was
significantly impaired in patients with LN metastases, as compared with patients
without LN metastases (p = 0.001). CONCLUSIONS: The risk of LN metastases in
patients with EOC is dependent on stage and histological subtype. Patients with
incidental finding of early mucinous or low-grade endometrioid EOC are at very
low risk of retroperitoneal lymph node metastases. Reoperation for lymph node
staging only should be discussed individually with caution.
PMID- 29633098
TI - How Old is Too Old? Breast Cancer Treatment in Octogenarians.
PMID- 29633099
TI - Use of the MIRUSTM system for general anaesthesia during surgery: a comparison of
isoflurane, sevoflurane and desflurane.
AB - The MIRUSTM system enables automated end-expired control of volatile
anaesthetics. The device is positioned between the Y-piece of the breathing
system and the patient's airway. The system has been tested in vitro and to
provide sedation in the ICU with end-expired concentrations up to 0.5 MAC. We
describe its performance in a clinical setting with concentrations up to 1.0 MAC.
In 63 ASA II-III patients undergoing elective hip or knee replacement surgery,
the MIRUSTM was set to keep the end-expired desflurane, sevoflurane, or
isoflurane concentration at 1 MAC while ventilating the patient with the PB-840
ICU ventilator. After 1 h, the ventilation mode was switched from controlled to
support mode. Time to 0.5 and 1 MAC, agent usage, and emergence times, work of
breathing, and feasibility were assessed. In 60 out of 63 patients 1.0 MAC could
be reached and remained constant during surgery. Gas consumption was as follows:
desflurane (41.7 +/- 7.9 ml h-1), sevoflurane (24.3 +/- 4.8 ml h-1) and
isoflurane (11.2 +/- 3.3 ml h-1). Extubation was faster after desflurane use
(min:sec): desflurane 5:27 +/- 1:59; sevoflurane 6:19 +/- 2:56; and isoflurane
9:31 +/- 6:04. The support mode was well tolerated. The MIRUSTM system reliable
delivers 1.0 MAC of the modern inhaled agents, both during mechanical ventilation
and spontaneous (assisted) breathing. Agent usage is highest with desflurane
(highest MAC) but results in the fastest emergence. Trial registry number:
Clinical Trials Registry, ref.: NCT0234509.
PMID- 29633100
TI - Management and Outcome of Liver Abscesses After Liver Transplantation.
AB - BACKGROUND: Liver abscess after orthotopic liver transplantation (OLT) is a rare,
life-threatening complication. The aim of this study is to analyze the incidence,
risk factors, clinical manifestations, treatment and outcomes of liver abscesses
after OLT. METHODS: We perform a retrospective review of the patients who
developed one or more liver abscesses among a series of 984 patients who
underwent OLT between January 2000 and December 2016. RESULTS: Fourteen patients
(1.5%) developed 18 episodes of liver abscesses, and the median time from OLT to
the diagnosis of liver abscess was 39.7 months. Major predisposing factors were
biliary strictures in 11 patients, hepatic artery thrombosis in 8, re-OLT in 3,
choledochojejunostomy in 2, living donor OLT in 2, donor after cardiac death in
1, split liver in 1, and liver biopsy in 1. All patients were managed by
intravenous antibiotics; percutaneous drainage was performed in 10 patients,
while 2 patients underwent re-OLT. The mortality rate related to liver abscesses
was 21.4%. The mean hospital stay was 30 +/- 19 days, and during a mean follow-up
of 93 +/- 78 months, three other patients died. CONCLUSIONS: Liver abscesses must
be managed with antibiotic therapy and percutaneous drainage, but when these
conservative measures fail (persistent abscess and sepsis), a re-OLT must be
performed in order to prevent the high mortality associated with this severe
complication.
PMID- 29633101
TI - Association Between Circular Stapler Diameter and Stricture Rates Following
Gastrointestinal Anastomosis: Systematic Review and Meta-analysis.
AB - BACKGROUND: Stricture is a common complication of gastrointestinal (GI)
anastomoses, associated with impaired quality of life, risk of malnutrition, and
further interventions. This systematic review and meta-analysis aimed to
determine the association between circular stapler diameter and anastomotic
stricture rates throughout the GI tract. METHODS: A systematic literature search
of EMBASE, MEDLINE and Cochrane Library was performed. The primary outcome was
the rate of radiologically or endoscopically confirmed anastomotic stricture.
Pooled odds ratios (OR) were calculated using random-effects models to determine
the effect of circular stapler diameter on stricture rates in different regions
of the GI tract. RESULTS: Twenty-one studies were identified: seven oesophageal,
twelve gastric, and three lower GI. Smaller stapler sizes were strongly
associated with higher anastomotic stricture rates throughout the GI tract. The
oesophageal anastomosis studies showed; 21 versus 25 mm circular stapler: OR 4.39
([95% CI 2.12, 9.07]; P < 0.0001); 25 versus 28/29 mm circular stapler: OR 1.71
([95% CI 1.15, 2.53]; P < 0.008). Gastric studies showed; 21 versus 25 mm
circular stapler: OR 3.12 ([95% CI 2.23, 4.36]; P < 0.00001); 25 versus 28/29 mm
circular stapler: OR 7.67 ([95% CI 1.86, 31.57]; P < 0.005). Few lower GI studies
were identified, though a similar trend was found: 25 versus 28/29 mm circular
stapler: pooled OR 2.61 ([95% CI 0.82, 8.29]; P = 0.100). CONCLUSIONS: The use of
larger circular stapler sizes is strongly associated with reduced risk of
anastomotic stricture in the upper GI tract, though data from lower GI joins are
limited.
PMID- 29633102
TI - Inequalities in Implementation and Different Outcomes During the Growth of
Laparoscopic Colorectal Cancer Surgery in England: A National Population-Based
Study from 2002 to 2012.
AB - AIM: Laparoscopic colorectal cancer surgery has developed from unproven technique
to mainstay of treatment. This study examined the application and relative
outcomes of laparoscopic and open colorectal cancer surgery over time, as
laparoscopic uptake and experience have grown. METHODS: Adults undergoing
elective laparoscopic and open colorectal cancer surgery in the English NHS
during 2002-2012 were included. Age, sex, Charlson Comorbidity Index and Index of
Multiple Deprivation were compared over time. Post-operative 30-day mortality,
length of stay, failure to rescue reoperation and the associated mortality rate
were examined. RESULTS: Laparoscopy rates rose from 1.1 to 50.8%. Patients
undergoing laparoscopic surgery had lower comorbidity by 0.24 points (95%
confidence intervals (CI) 0.20-0.27) and lower socioeconomic deprivation by 0.16
deciles (95% CI 0.12-0.20) than those having open procedures. Overall mortality
fell by 48.0% from 2002-2003 to 2011-2002 and was 37.8% lower after laparoscopic
surgery. Length of stay and mortality after surgical re-intervention also fell.
However, re-intervention rates were higher after laparoscopic procedures by 7.8%
(95% CI 0.9-15.2%). CONCLUSIONS: There was clear and persistent inequality in the
application of laparoscopic colorectal cancer surgery during this study. Further
work must explore and remedy inequalities to maximise patient benefit. Higher re
intervention rates after laparoscopy are unexplained and differ from randomized
controlled trials. This may reflect differences in surgeons and practice between
research and usual care settings and should be further investigated.
PMID- 29633103
TI - Vinpocetine Ameliorates Acetic Acid-Induced Colitis by Inhibiting NF-kappaB
Activation in Mice.
AB - The idiopathic inflammatory bowel diseases (IBD) comprise two types of chronic
intestinal disorders: Crohn's disease and ulcerative colitis. Recruited
neutrophils and macrophages contribute to intestinal tissue damage via production
of ROS and NF-kappaB-dependent pro-inflammatory cytokines. The introduction of
anti-TNF-alpha therapies in the treatment of IBD patients was a seminal advance.
This therapy is often limited by a loss of efficacy due to the development of
adaptive immune response, underscoring the need for novel therapies targeting
similar pathways. Vinpocetine is a nootropic drug and in addition to its
antioxidant effect, it is known to have anti-inflammatory and analgesic
properties, partly by inhibition of NF-kappaB and downstream cytokines.
Therefore, the present study evaluated the effect of the vinpocetine in a model
of acid acetic-induced colitis in mice. Treatment with vinpocetine reduced edema,
MPO activity, microscopic score and macroscopic damage, and visceral mechanical
hyperalgesia. Vinpocetine prevented the reduction of colonic levels of GSH, ABTS
radical scavenging ability, and normalized levels of anti-inflammatory cytokine
IL-10. Moreover, vinpocetine reduced NF-kappaB activation and thereby NF-kappaB
dependent pro-inflammatory cytokines IL-1beta, TNF-alpha, and IL-33 in the colon.
Thus, we demonstrate for the first time that vinpocetine has anti-inflammatory,
antioxidant, and analgesic effects in a model of acid acetic-induced colitis in
mice and deserves further screening to address its suitability as an approach for
the treatment of IBD.
PMID- 29633104
TI - Brazilian green propolis hydroalcoholic extract reduces colon damages caused by
dextran sulfate sodium-induced colitis in mice.
AB - This study investigated the effects of Brazilian green propolis hydroalcoholic
extract (BPE) in 3% w/v dextran sodium sulfate (DSS)-induced colitis in mice. The
effects of BPE (3, 30 and 300 mg/kg, p.o, by 7 days) on the morphological (colon
length and colon weight), clinical (disease activity index and weight loss),
microscopic (histological score and mucin levels) and biochemical parameters were
determined. The effects of BPE (300 mg/kg, p.o) in the gastrointestinal transit
of mice were also evaluated. As expected, the DSS ingestion damaged the colonic
tissue, lowered the body weight, decreased the mucin levels, increased MPO
activity, reduced SOD activity and GSH amount. In contrast, the treatment with
BPE (300 mg/kg) significantly reduced macroscopic colonic injury and the mucosal
damage in colon on histopathological examination and reversed the decrease in
mucin levels induced by DSS. It also significantly normalized the SOD activity
and the levels of GSH, but did not elicit any effect on MPO activity in the
colon. In addition, BPE did not change the gastric emptying or the intestinal
transit rate of mice. Together, these results suggested that BPE reduced the
signs of DSS-induced colitis in mice through maintenance of intestinal mucin
barrier and favoring intestinal antioxidant defenses.
PMID- 29633105
TI - Curcumin-galactomannoside complex inhibits pathogenesis in Ox-LDL-challenged
human peripheral blood mononuclear cells.
AB - Oxidised low-density lipoprotein (ox-LDL) is a pro-atherogenic molecule, which
induces inflammatory response and contributes to the pathogenesis of vascular
dysfunction to atherosclerosis. The aim of the present study was to explore the
anti-inflammatory effect of a novel bioavailable formulation of curcumin as
'curcumagalactomannosides' (CGM) against ox-LDL-induced inflammatory responses in
human peripheral blood mononuclear cells (hPBMCs). Curcumagalactomannosides was
made from natural curcumin using the soluble dietary fibre (galactomannans)
derived from fenugreek seeds (Trigonella foenumgracum) and the hPBMCs were
isolated from healthy human volunteers. The cells were cultured in collagen
coated plates at 37 degrees C and grouped as Group I (Control), Group II (ox-LDL
treated) and Group III (ox-LDL + CGM treated). Further analysis of inflammatory
markers, reactive oxygen species and mRNA expression levels indicated
significantly increased expressions of iNOS, TNF-alpha, IL-6 and VCAM-1 in ox-LDL
treated group along with the nuclear translocation of NF-kappaB. Other
inflammatory markers such as LOX, PGE2, total COX and lipid peroxidation level
were also found to be significantly (p < 0.05) increased upon ox-LDL treatment.
The treatment with CGM on the other hand was found to down-regulate and reverse
the ox-LDL-induced alterations indicating its potential anti-inflammatory effect
on hPBMCs via. NF-kappaB signalling pathway.
PMID- 29633106
TI - Probiotic mixture of Lactobacillus and Bifidobacterium alleviates systemic
adiposity and inflammation in non-alcoholic fatty liver disease rats through
Gpr109a and the commensal metabolite butyrate.
AB - AIMS: The study explored the systemic adiposity and inflammation through Gpr109a
and the commensal metabolite butyrate during the treatment of non-alcoholic fatty
liver disease rats with the probiotic mixture of Lactobacillus and
Bifidobacterium for 16 weeks. METHODS: Fifteen male SD rats were randomly divided
into three groups of five rats each: normal control group (basal feed), high-fat
diet (HFD) feeding group (83% basal feed + 10% lard oil + 5% sucrose + 1.5%
cholesterol + 0.5% cholate), and probiotic mixture intervention group (HFD + 0.6
g kg-1 day-1 probiotic mixture). Body composition, serum lipids, serum
inflammatory markers, Gpr109a, and the commensal metabolite butyrate were
assessed. RESULTS: Compared with HFD group, probiotic mixture significantly
reduced body weight and the levels of serum FFA, TG, ALT, IL-1beta, and IL-18 (P
< 0.05). The levels of Gpr109a and the commensal metabolite butyrate also changed
significantly (P < 0.05). CONCLUSIONS: Probiotic mixture might inhibit systemic
adiposity and inflammation through Gpr109a and the commensal metabolite butyrate
in response to the insult of HFD.
PMID- 29633107
TI - Freshmen at a University in Appalachia Experience a Higher Rate of Campus than
Family Food Insecurity.
AB - Food insecurity means having limited or uncertain access, in socially acceptable
ways, to an adequate and safe food supply. Ample evidence has identified college
students as vulnerable to this problem, but little research has focused on
freshmen. This cross-sectional study examined family and campus food insecurity
among freshmen at a university in Appalachia. An online questionnaire contained
sociodemographic items and scales that measured food security status, academic
progress, coping strategies for accessing food, and social support. T-tests and
Chi square analyses compared food insecure and food secure students. Statistical
significance was p < .05. Participants were 456 freshmen, 118 males (26%) and 331
females (73%). Family and campus food insecurity were experienced by 32 (7.1%)
and 98 (21.5%) of the freshmen, respectively, and 42.5% of those who experienced
campus food insecurity believed their food access had worsened since starting
college. Family and campus coping strategies, respectively, included stretching
food (72.9 vs. 18.4%) and purchasing cheap, processed food (68.8 vs. 16.3%). Food
secure students scored significantly higher on self-rated measures of academic
progress (p < .01), and greater proportions of food secure students (60.7 vs.
43.9%, p < .01) perceived their eating habits since starting college as
"healthy/very healthy," and perceived their health status as "good/excellent"
(86.0 vs. 71.4%, p < .01). Students requested assistance with job opportunities
(19.4%), affordable meal plans (18.4%), money management (13.3%), and eating
healthy (11.2%). Findings suggest that college student food insecurity begins
during the freshmen year, and that there is a need for campus and community-based
interventions to increase food access among these freshmen and their families.
PMID- 29633108
TI - A Simple Ultrasound Based Classification Algorithm Allows Differentiation of
Benign from Malignant Breast Lesions by Using Only Quantitative Parameters.
AB - PURPOSE: We hypothesized that different quantitative ultrasound (US) parameters
may be used as complementary diagnostic criteria and aimed to develop a simple
classification algorithm to distinguish benign from malignant breast lesions and
aid in the decision to perform biopsy or not. PROCEDURES: One hundred twenty-four
patients, each with one biopsy-proven, sonographically evident breast lesion,
were included in this prospective, IRB-approved study. Each lesion was examined
with B-mode US, Color/Power Doppler US and elastography (Acoustic Radiation Force
Impulse-ARFI). Different quantitative parameters were recorded for each
technique, including pulsatility (PI) and resistive Index (RI) for Doppler US and
lesion maximum, intermediate, and minimum shear wave velocity (SWVmax, SWVinterm,
and SWVmin) as well as lesion-to-fat SWV ratio for ARFI. Receiver operating
characteristic curve (ROC) analysis was used to evaluate the diagnostic
performance of each quantitative parameter. Classification analysis was performed
using the exhaustive chi-squared automatic interaction detection method. Results
include the probability for malignancy for every descriptor combination in the
classification algorithm. RESULTS: Sixty-five lesions were malignant and 59
benign. Out of all quantitative indices, maximum SWV (SWVmax), and RI were
included in the classification algorithm, which showed a depth of three
ramifications (SWVmax <= or > 3.16; if SWVmax <= 3.16 then RI <= 0.66, 0.66-0.77
or > 0.77; if RI <= 0.66 then SWVmax <= or > 2.71). The classification algorithm
leads to an AUC of 0.887 (95 % CI 0.818-0.937, p < 0.0001), a sensitivity of
98.46 % (95 % CI 91.7-100 %), and a specificity of 61.02 % (95 % CI 47.4-73.5 %).
By applying the proposed algorithm, a false-positive biopsy could have been
avoided in 61 % of the cases. CONCLUSIONS: A simple classification algorithm
incorporating two quantitative US parameters (SWVmax and RI) shows a high
diagnostic performance, being able to accurately differentiate benign from
malignant breast lesions and lower the number of unnecessary breast biopsies in
up to 60 % of all cases, avoiding any subjective interpretation bias.
PMID- 29633109
TI - Selective Pragmatic Impairment in Autism Spectrum Disorder: Indirect Requests
Versus Irony.
AB - Autism Spectrum Disorder (ASD) is often described as being characterised by a
uniform pragmatic impairment. However, recent evidence suggests that some areas
of pragmatic functioning are preserved. This study seeks to determine to which
extent context-based derivation of non-linguistically encoded meaning is
functional in ASD. We compare the performance of 24 adults with ASD, and matched
neuro-typical adults in two act-out pragmatic tasks. The first task examines
generation of indirect request interpretations, and the second the comprehension
of irony. Intact contextual comprehension of indirect requests contrasts with
marked difficulties in understanding irony. These results suggest that preserved
pragmatics in ASD is limited to egocentric processing of context, which does not
rely on assumptions about the speaker's mental states.
PMID- 29633110
TI - Efficacy of intrathecal chemotherapy in patients with central nervous system
involvement of hematological malignancies: a retrospective analysis.
AB - INTRODUCTION: Central nervous system (CNS) involvement, especially involvement of
the cerebrospinal fluid (CSF), is common in several haematological malignancies.
Intrathecal (IT) chemotherapy can be used to manage CSF involvement. METHODS:
Here we evaluated the effectiveness of IT chemotherapy among 80 patients with
haematological malignancies and CSF localization who were treated with IT
chemotherapy from 2001 to 2012. RESULTS: The majority of patients was diagnosed
with diffuse large B-cell lymphoma (26%) or acute lymphoblastic
leukaemia/lymphoblastic lymphoma (19%). After first-line IT chemotherapy, which
mainly consisted of methotrexate (MTX) and corticosteroids, CSF complete response
(CSF CR) was achieved in 76% of patients. 91% reached CSF CR when including
second-line IT-chemotherapy. Clinical response was documented in 75%. Although
most patients were additionally treated with systemic chemotherapy, response rate
did not differ between patients treated with CNS-penetrating and CNS-non
penetrating drugs. CNS progression/relapse occurred in 40% of patients with
median progression-free survival of 12.2 months. The median overall survival was
18.3 months; 55% of the patients died during follow-up. CONCLUSIONS: Our analysis
shows a high response rate after first-line IT chemotherapy, but also a
relatively high progression/relapse percentage.
PMID- 29633111
TI - Tumor growth dynamics in serially-imaged low-grade glioma patients.
AB - BACKGROUND: Diffuse low-grade gliomas (LGGs) are infiltrative, slow-growing
primary brain tumors that remain relatively asymptomatic for long periods of time
before progressing into aggressive and fatal high-grade gliomas. METHODS: We
retrospectively identified LGG patients with numerous (>= 8) serial magnetic
resonance imaging (MRI) studies. Tumor volumes were measured by manual
segmentation on serial imaging to study the natural history and growth of the
lesion. Patient demographic information, tumor characteristics, and histological
data were collected from electronic medical records and paper charts. RESULTS:
Out of 74 LGG patients, 10 patients (13.5%) were identified to meet the study
criteria with number of MRIs acquired ranging from 8 to 18 (median, 11.5) over a
median of 79.7 months (range 39.8-113.8 months). Tumor diameter increased at a
median of 2.17 mm/year in a linear trajectory. Cox regression analysis revealed
that initial tumor volume was an independent predictor of time to clinical
intervention, and Mann-Whitney U test found that patients younger than 50 years
old had significantly slower-growing tumors. Clinical intervention was more
likely for tumors above a volume threshold of 73.6 mL. CONCLUSION: We
retrospectively analyzed the natural history of LGGs of patients managed at a
single institution with numerous serial MRI scans. Comparisons of our cohort to
the literature suggest that this is a subset of particularly slow-growing and low
risk tumors.
PMID- 29633112
TI - A prospective phase II trial of response adapted whole brain radiotherapy after
high dose methotrexate based chemotherapy in patients with newly diagnosed
primary central nervous system lymphoma-analysis of acute toxicity profile and
early clinical outcome.
AB - BACKGROUND: The treatment of primary CNS lymphoma (PCNSL) comprises high dose
methotrexate (HDMTX) based chemotherapy followed by whole brain radiotherapy
(WBRT), the major drawback of which is long term neurotoxicity. We intended to
assess the feasibility of response adapted WBRT in PCNSL in the Indian setting.
METHODS: We screened 32 patients and enrolled 22 eligible patients with PCNSL
from 2015 to 2017 in a prospective phase II trial. The patients underwent five 2
weekly cycles of induction chemotherapy with rituximab, methotrexate,
vincristine, procarbazine. Patients with complete response(CR) to induction
chemotherapy were given reduced dose WBRT 23.4 Gy/13 fractions/2.5 weeks while
those with partial response (PR), stable or progressive disease (SD or PD) were
given standard dose WBRT 45 Gy/25 fractions/5 weeks. Thereafter two cycles of
consolidation chemotherapy with cytarabine were given. The primary endpoints of
the study were assessment of response rate (RR) and progression free survival
(PFS). The secondary endpoints of the study were assessment of overall survival
(OS), toxicity profile of treatment and serial changes in quality of life and
neuropsychological parameters. RESULTS: Out of 19 patients who completed HDMTX
based chemotherapy, 10 (52.63%) patients achieved CR, 8 (42.11%) patients had PR
and 1 patient had PD. After a median follow-up period of 11.25 months, the
estimated median OS was 19 months. The actuarial rates of PFS and OS were
respectively 94.1 and 68.2% at 1 year and 50.2 and 48.5% at 2 years. Three
patients in reduced dose WBRT arm had recurrence and two of them died of
progressive disease, whereas there was no recurrence or disease related death in
standard dose WBRT arm. On univariate analysis of PFS, age <= 50 years and use of
standard dose WBRT (45 Gy) led to significantly improved outcome (p value 0.03
and 0.02 respectively). CONCLUSION: In patients with PCNSL, reduced dose WBRT
after CR to HDMTX based chemotherapy may lead to suboptimal clinical outcome due
to higher risk of recurrence, progression and early death. Trial Registration No
CTRI/2015/10/006268.
PMID- 29633113
TI - Influence of the restorative procedure factors on stress values in premolar with
MOD cavity: a finite element study.
AB - In order to investigate the influence of cusp reduction, cavity isthmus width,
and restorative material on stress values in premolar with mesio-occlusal-distal
(MOD) cavity, numerical simulations were done on three-dimensional (3D) models of
a maxillary second premolar designed using computerized tomography (CT) scan
images. The use of four restorative materials (direct resin composite, direct
resin composite with resin-modified glass-ionomer cement as the base, indirect
resin composite, ceramic), three cavity preparation designs (without cusp
coverage, 2-mm palatal cusp coverage, 2-mm palatal and buccal cusp coverage), and
two cavity isthmus widths (1/2 and 2/3 intercuspal width) were simulated. After
applying a static load of 200 N on the occlusal surface of the tooth, von Mises
stresses in the enamel, dentin, and restoration were calculated using finite
element analysis (FEA). Stress values in the enamel were primarily influenced by
cavity preparation design, while restorative material showed higher contribution
in dentin. The lowest stress values were obtained in models with cusp coverage
and indirect restorations. Cavity isthmus width had minimal influence on stress
values in tooth structures. None of the investigated factors determined stress
values in the restoration. In conclusion, the use of ceramic restoration covering
both palatal and buccal cusp provided the most favourable stress distribution of
premolars with MOD cavity. Graphical abstract ?.
PMID- 29633115
TI - Abdominal Aortic Blood Flow Disturbance Due to Binge Eating.
PMID- 29633114
TI - The Prognostic Value of Varying Definitions of Positive Resection Margin in
Patients with Colorectal Cancer Liver Metastases.
AB - BACKGROUND: Varying definitions of resection margin clearance are currently
employed among patients with colorectal cancer liver metastases (CRLM).
Specifically, a microscopically positive margin (R1) has alternatively been
equated with an involved margin (margin width = 0 mm) or a margin width < 1 mm.
Consequently, patients with a margin width of 0-1 mm (sub-mm) are inconsistently
classified in either the R0 or R1 categories, thus obscuring the prognostic
implications of sub-mm margins. METHODS: Six hundred thirty-three patients who
underwent resection of CRLM were identified. Both R1 definitions were
alternatively employed and multivariable analysis was used to determine the
predictive power of each definition, as well as the prognostic implications of a
sub-mm margin. RESULTS: Five hundred thirty-nine (85.2%) patients had a margin
width >= 1 mm, 42 had a sub-mm margin width, and 52 had an involved margin (0
mm). A margin width >= 1 mm was associated with improved survival vs. a sub-mm
margin (65 vs. 36 months; P = 0.03) or an involved margin (65 vs. 33 months; P <
0.001). No significant difference in survival was detected between patients with
involved vs. sub-mm margins (P = 0.31). A sub-mm margin and an involved margin
were both independent predictors of worse OS (HR 1.66, 1.04-2.67; P = 0.04, and
HR 2.14, 1.46-3.16; P < 0.001, respectively) in multivariable analysis.
Importantly, after combining the two definitions, patients with either an
involved margin or a sub-mm margin were associated with worse OS in multivariable
analysis (HR 1.94, 1.41-2.65; P < 0.001). CONCLUSIONS: Patients with involved or
sub-mm margins demonstrated a similar inferior OS vs. patients with a margin
width > 1 mm. Consequently, a uniform definition of R1 as a margin width < 1 mm
should perhaps be employed by future studies.
PMID- 29633117
TI - Transmural Polypoid Endometriosis of the Sigmoid Colon.
PMID- 29633116
TI - Superficial Surgical Site Infection in Hepatobiliary-Pancreatic Surgery:
Subcuticular Suture Versus Skin Staples.
AB - PURPOSE: Postoperative superficial surgical site infection is a major
complication in hepatobiliary-pancreatic surgery. We aimed to compare the
efficacy of subcuticular sutures versus staples for skin closure in preventing
superficial surgical site infection in hepatobiliary-pancreatic surgery. METHODS:
Consecutive patients who underwent hepatobiliary-pancreatic surgery at our
hospital from October 2006 to March 2011 and from April 2012 to March 2015 were
reviewed retrospectively. Superficial surgical site infection incidence was
evaluated in patients who received subcuticular sutures and those who received
staples for skin closure. Propensity score matching analysis was used to adjust
bias from confounding factors. RESULTS: A total of 691 patients were included.
Patients with skin staple closures (n = 346) were compared with patients with
subcuticular suture closures (n = 345). After a propensity score matching
analysis, a significant difference in superficial surgical site infection
incidence was found between the skin stapler group (11.3%) and subcuticular
sutures group (2.6%). The same comparison was performed by a subgroup analysis
and supported this finding in patients after hepatectomy without biliary
reconstruction, pancreatoduodenectomy, or open laparotomy surgeries and in
patients with body mass index < 25. CONCLUSIONS: Subcuticular suturing after
hepatobiliary-pancreatic surgery was more efficacious in reducing postoperative
superficial surgical site infection incidence than staples for skin closure.
PMID- 29633118
TI - Indocyanine Green Perfusion Imaging-Guided Laparoscopic Pancreaticoduodenectomy:
Potential Application in Retroperitoneal Margin Dissection.
AB - BACKGROUND: During laparoscopic pancreaticoduodenectomy (LPD), dissecting
uncinate process from the superior mesenteric artery (SMA) will determine one of
the important surgical margins (retroperitoneal margin) for predicting
oncological outcomes and the quality of LPD. However, clear identification of the
division line for retroperitoneal margin is not easy as the uncinate process of
the pancreas is anatomically very close to SMA and intermingled with the nerve
plexus and soft tissues around SMA. In this study, we present data regarding the
potential usefulness of indocyanine green (ICG)-enhanced approach in obtaining
retroperitoneal margin during LPD. METHODS: From January to September 2017,
medical records of patients who underwent LPD for periampullary pathological
conditions were retrospectively reviewed. ICG (5 mg/2 cm3) was prepared and
intravenously injected when dissecting uncinate process of the pancreas.
Perioperative outcomes, including gender, age, diagnosis, body mass index,
operation time, estimated blood loss, transfusion, presence of postoperative
pancreatic fistulas (POPFs), and length of hospital stay, were evaluated.
RESULTS: During the study period, a total of 37 patients underwent LPD for
periampullary pathological lesions. Among them, ICG-enhanced dissection of
uncinate process of the pancreas was applied in 10 patients (27%). All patients
were able to obtain margin-negative resection. There were no significant
differences between the perioperative outcomes of patients who did and did not
undergo ICG-enhanced approach. DISCUSSION: ICG perfusion-based laparoscopic
dissection of retroperitoneal margin is feasible and safe in LPD. This
intraoperative visual difference can provide the surgeon with very helpful real
time visual information. Further study is mandatory.
PMID- 29633120
TI - NaF Reduces KLK4 Gene Expression by Decreasing Foxo1 in LS8 Cells.
AB - Decreased expression and increased phosphorylation of Forkhead box o1 (Foxo1) in
ameloblasts were observed both in vivo and in vitro when treated by fluoride. The
present study aims to investigate the possible relationship between Foxo1 and
enamel matrix proteinases, matrix metalloproteinase 20 (MMP20), and kallikrein 4
(KLK4), in NaF-treated ameloblasts. Ameloblast-like cells (LS8 cells) were
exposed to NaF at selected concentration (0/2 mM) for 24 h. Gene overexpression
and silencing experiments were used to up- and down-regulate Foxo1 expression.
The expression levels of Foxo1, MMP20, and KLK4 were detected by quantitative
real-time PCR and western blot. Dual luciferase reporter assay was performed to
evaluate the regulation of Foxo1 on the transcriptional activity of KLK4
promoter. The results showed that KLK4 expression was decreased in LS8 cells
treated by NaF, while MMP20 expression was not changed. Foxo1 activation led to
significantly up-regulation of KLK4 in LS8 cells under NaF condition. Knockout of
Foxo1 markedly decreased klk4 expression in mRNA level, and intensified
inhibition occurred in LS8 cells when combined with NaF treatment. However, the
variation trend of MMP20 was not clear. Dual luciferase reporter assay showed
that Foxo1 activation enhanced the transcriptional activity of KLK4 promoter.
These findings suggest that the decrease of Foxo1 expression induced by high
fluoride was a cause for low KLK4 expression.
PMID- 29633121
TI - Cushing syndrome due to adrenal carcinoma.
PMID- 29633119
TI - The New Frontier: the Intestinal Microbiome and Surgery.
AB - The microbiome exerts a remarkable effect on human physiology. The study of the
human-microbiome relationship is a burgeoning field with great potential to
improve our understanding of health and disease. In this review, we address
common surgical problems influenced by the human microbiome and explore what is
thus far known about this relationship. These include inflammatory bowel disease,
colorectal neoplasms, and diverticular disease. We will also discuss the effect
of the microbiome on surgical complications, specifically anastomotic leak. We
hope that further research in this field will enlighten our management of these
and other surgical problems.
PMID- 29633122
TI - Use of Stakeholder Focus Groups to Define the Mission and Scope of a new
Department of Population Health.
AB - BACKGROUND: The focus and funding of US healthcare is evolving from volume to
value-based, and healthcare leaders, managers, payers, and researchers are
increasingly focusing on managing populations of patients. Simultaneously, there
is increasing interest in getting "upstream" from disease management to promote
health and prevent disease. Hence, the term "population health" has both clinical
and community-based connotations relevant to the tripartite mission of US medical
schools. OBJECTIVE: To seek broad input for the strategic development of the
Department of Population Health in a new medical school at a tier 1 research
university. DESIGN: Focus groups with facilitated consensus development.
PARTICIPANTS: Eighty-one persons representing the Dell Medical School and other
schools at the University of Texas at Austin, city/county government, community
nonprofit organizations, and faculty from other local university schools along
with selected national academic leaders. APPROACH: Focus groups with subsequent
consensus development of emphases identified premeeting by participants by e-mail
exchanges. KEY RESULTS: The resulting departmental strategic plan included scope
of work, desired characteristics of leaders, and early impact activities in seven
areas of interest: community engagement and health equity, primary care and value
based health, occupational and environment medicine, medical education, health
services and community-based research, health informatics and data analysis, and
global health. CONCLUSIONS: Medical schools should have a primary focus in
population, most effectively at the departmental level. Engaging relevant
academic and community stakeholders is an effective model for developing this
emerging discipline in US medical schools.
PMID- 29633123
TI - Care Coordination Management in Patient-Centered Medical Home: Analysis of the
2015 Medical Organizations Survey.
PMID- 29633124
TI - Effectiveness of Intensive Primary Care.
PMID- 29633125
TI - Capsule Commentary on Navathe, et al., Do Changes in Post-acute Care Use at
Hospitals Participating in an Accountable Care Organization Spillover to all
Medicare Beneficiaries?
PMID- 29633126
TI - Capsule Commentary on Njeru et al., Diabetes Mellitus Management Among Patients
with Limited English Proficiency: a Systematic Review and Meta-analysis.
PMID- 29633127
TI - Patient as Co-Teacher on Rounds: a Pilot Study.
PMID- 29633128
TI - Cor Triatriatum as an Uncommon Cause of Recurrent Syncope.
PMID- 29633129
TI - Analysis of the Variability of Abstract Structures in Medical Journals.
PMID- 29633130
TI - Clinician Referrals for Non-opioid Pain Care Following Discontinuation of Long
term Opioid Therapy Differ Based on Reasons for Discontinuation.
AB - BACKGROUND: Little is known about pain care offered to patients discontinued from
long-term opioid therapy (LTOT) by their prescriber due to aberrant behaviors
versus other reasons. OBJECTIVE: This study aimed to compare rates of non-opioid
analgesic pharmacotherapy initiation and clinician referrals for non
pharmacologic pain treatment, complementary and integrative pain therapies, and
specialty mental health and substance use disorder treatment between patients
discontinued from opioid therapy due to aberrant behaviors versus other reasons.
DESIGN: The design included retrospective manual electronic health record review
and administrative data abstraction. PARTICIPANTS: Patients were sampled from a
national cohort of US Department of Veterans Affairs patients prescribed
continuous opioid therapy in 2011 who subsequently discontinued opioid therapy in
2012. The study sample comprised 509 patients discontinued from LTOT by opioid
prescribing clinicians. MAIN MEASURES: The primary independent variable was
reason for discontinuation of LTOT (aberrant behaviors versus other reasons).
Pain care dichotomous outcomes included clinician use of an opioid taper;
initiating new non-opioid analgesic pharmacotherapy; and referrals for non
pharmacologic pain treatment, complementary and integrative pain therapies, and
specialty mental health and substance use disorder treatment. KEY RESULTS: We
observed low rates of opioid taper (15% of patients), initiations of new or
modifications of existing non-opioid analgesic pharmacotherapy (45% of patients),
and clinician referrals for non-pharmacologic pain treatment (58% of patients)
and complementary and integrative therapies (25% of patients). Patients
discontinued due to aberrant behaviors, relative to patients discontinued for
other reasons, were more likely to receive opioid tapers (adjusted OR = 5.60, 95%
CI = 2.10-14.93), receive new non-opioid analgesic medications or dose changes to
an existing non-opioid analgesic medications (adjusted OR = 2.61, 95% CI = 1.59
4.29), or be referred for specialty substance use disorder treatment (adjusted OR
= 7.39, 95% CI = 3.76-14.53). CONCLUSIONS: These findings highlight the
variability in referral rates for different types of non-opioid pain treatments
and challenges accessing specific types of pain care.
PMID- 29633131
TI - Making Integrated Multimodal Pain Care a Reality: A Path Forward.
PMID- 29633132
TI - How Can the Intractable Problem of Chronic Musculoskeletal Pain (CMP) Be
Effectively Managed? The Need for a Well-Integrated Systems Approach.
PMID- 29633134
TI - For the Relief of Suffering.
PMID- 29633133
TI - Clinical Policy Recommendations from the VHA State-of-the-Art Conference on Non
Pharmacological Approaches to Chronic Musculoskeletal Pain.
AB - As a large national healthcare system, Veterans Health Administration (VHA) is
ideally suited to build on its work to date and develop a safe, evidence-based,
and comprehensive approach to the care of chronic musculoskeletal pain conditions
that de-emphasizes opioid use and emphasizes non-pharmacological strategies. The
VHA Office of Health Services Research and Development (HSR&D) held a state-of
the-art (SOTA) conference titled "Non-pharmacological Approaches to Chronic
Musculoskeletal Pain Management" in November 2016. Goals of the conference were
(1) to establish consensus on the current state of evidence regarding non
pharmacological approaches to chronic musculoskeletal pain to inform VHA policy
in this area and (2) to begin to identify priorities for the future VHA research
agenda. Workgroups were established and asked to reach consensus recommendations
on clinical and research priorities for the following treatment strategies:
psychological/behavioral therapies, exercise/movement therapies, manual
therapies, and models for delivering multimodal pain care. Participants in the
SOTA identified nine non-pharmacological therapies with sufficient evidence to be
implemented across the VHA system as part of pain care. Participants further
recommended that effective integration of these non-pharmacological approaches
across the VHA and especially into VHA primary care, pain care, and mental health
settings should be a priority, and that these treatments should be offered early
in the course of pain treatment and delivered in a team-based, multimodal
treatment setting concurrently with active self-care and self-management
approaches. In addition, we recommend that VHA leadership and policy makers
systematically address the barriers to implementation of these approaches by
expanding opportunities for clinician and veteran education on the effectiveness
of these strategies; supporting and funding further research to determine optimal
dosage, duration, sequencing, combination, and frequency of treatment;
emphasizing multimodal care with rigorous evaluation grounded in team-based
approaches to test integrated models of delivery and stepped-care approaches; and
working to address socioeconomic and cultural barriers to veterans' access to non
pharmacological approaches.
PMID- 29633135
TI - Pain measurement in research and practice.
PMID- 29633136
TI - A Research Agenda for Advancing Non-pharmacological Management of Chronic
Musculoskeletal Pain: Findings from a VHA State-of-the-art Conference.
AB - Chronic pain is widely prevalent among Veterans and can have serious negative
consequences for functional status and quality of life among other domains. The
Veterans Health Administration (VHA) convened a state-of-the-art (SOTA)
conference to develop research priorities for advancing the science and clinical
practice of non-pharmacological management of chronic musculoskeletal pain. In
this perspective article, we present the methods and consensus recommendations
for research priorities emanating from the SOTA. In the months leading up to the
SOTA, a core group of researchers defined four areas of focus:
psychological/behavioral therapies; exercise/movement therapies; manual
therapies; and models for delivering multi-modal pain care and divided into
workgroups. Each workgroup, in their respective areas of focus, identified
seminal studies capturing the state of the evidence. Herein, we present consensus
recommendations ranging from efficacy to effectiveness to
implementation/dissemination research depending on the state of the evidence as
assessed by participants, including commentary on common elements across
workgroups and future areas of innovation in study design, measurement, and
outcome ascertainment.
PMID- 29633137
TI - Association Between Facility-Level Utilization of Non-pharmacologic Chronic Pain
Treatment and Subsequent Initiation of Long-Term Opioid Therapy.
AB - BACKGROUND: Expert guidelines recommend non-pharmacologic treatments and non
opioid medications for chronic pain and recommend against initiating long-term
opioid therapy (LTOT). OBJECTIVE: We examined whether veterans with incident
chronic pain receiving care at facilities with greater utilization of non
pharmacologic treatments and non-opioid medications are less likely to initiate
LTOT. DESIGN: Retrospective cohort study PARTICIPANTS: Veterans receiving primary
care from a Veterans Health Administration facility with incident chronic pain
between 1/1/2010 and 12/31/2015 based on either of 2 criteria: (1) persistent
moderate-to-severe patient-reported pain and (2) diagnoses "likely to represent"
chronic pain. MAIN MEASURES: The independent variable was facility-level
utilization of pain-related treatment modalities (non-pharmacologic, non-opioid
medications, LTOT) in the prior calendar year. The dependent variable was patient
level initiation of LTOT (>= 90 days within 365 days) in the subsequent year,
adjusting for patient characteristics. KEY RESULTS: Among 1,094,569 veterans with
incident chronic pain from 2010 to 2015, there was wide facility-level variation
in utilization of 10 pain-related treatment modalities, including initiation of
LTOT (median, 16%; range, 5-32%). Veterans receiving care at facilities with
greater utilization of non-pharmacologic treatments were less likely to initiate
LTOT in the year following incident chronic pain. Conversely, veterans receiving
care at facilities with greater non-opioid and opioid medication utilization were
more likely to initiate LTOT; this association was strongest for past year
facility-level LTOT initiation (adjusted rate ratio, 2.10; 95% confidence
interval, 2.06-2.15, top vs. bottom quartile of facility-level LTOT initiation in
prior calendar year). CONCLUSIONS: Facility-level utilization patterns of non
pharmacologic, non-opioid, and opioid treatments for chronic pain are associated
with subsequent patient-level initiation of LTOT among veterans with incident
chronic pain. Further studies should seek to understand facility-level variation
in chronic pain care and to identify facility-level utilization patterns that are
associated with improved patient outcomes.
PMID- 29633138
TI - Correlates of Use and Perceived Effectiveness of Non-pharmacologic Strategies for
Chronic Pain Among Patients Prescribed Long-term Opioid Therapy.
AB - OBJECTIVE: Non-pharmacologic treatments (NPTs) are recommended for chronic pain.
Information is limited on patient use or perceptions of NPTs. We examined the
frequency and correlates of use and self-rated helpfulness of NPTs for chronic
pain among patients who are prescribed long-term opioid therapy (LTOT). METHODS:
Participants (n = 517) with musculoskeletal pain who were prescribed LTOT were
recruited from two integrated health systems. They rated the frequency and
utility of six clinician-directed and five self-directed NPTs for chronic pain.
We categorized NPT use at four levels based on number of interventions used and
frequency of use (none, low, moderate, high). Analyses examined clinical and
demographic factors that differed among groups for both clinician-directed and
self-directed NPTs. RESULTS: Seventy-one percent of participants reported use of
any NPT for pain within the prior 6 months. NPTs were rated as being helpful by
more than 50% of users for all treatments assessed (range 51-79%). High users of
clinician-directed NPTs were younger than non-users or low-frequency users and
had the most depressive symptoms. In both clinician-directed and self-directed
categories, high NPT users had significantly higher pain disability compared to
non-NPT users. No significant group differences were detected on other
demographic or clinical variables. In multivariable analyses, clinician-directed
NPT use was modestly associated with younger age (OR = 0.97, 95% CI = 0.96-0.98)
and higher pain disability (OR = 1.01, 95% CI = 1.00-1.02). Variables associated
with greater self-directed NPT use were some college education (OR = 1.80, 95% CI
= 1.13-2.84), college graduate or more (OR = 2.02, 95% CI = 1.20-3.40), and
higher pain disability (OR = 1.01, 95% CI = 1.01-1.02). CONCLUSIONS: NPT use was
associated with higher pain disability and younger age for both clinician
directed and self-directed NPTs and higher education for self-directed NPTs.
These strategies were rated as helpful by those that used them. These results can
inform intervention implementation and be used to increase engagement in NPTs for
chronic pain.
PMID- 29633139
TI - Automating Collection of Pain-Related Patient-Reported Outcomes to Enhance
Clinical Care and Research.
AB - INTRODUCTION: Chronic pain is highly prevalent, and the ability to routinely
measure patients' pain and treatment response using validated patient-reported
outcome (PRO) assessments is important to clinical care. Despite this
recognition, systematic use in everyday clinical care is rare. AIMS: The aims of
this study were to (1) describe infrastructure designed to automate PRO data
collection, (2) compare study-enhanced PRO completion rates to those in clinical
care, and (3) evaluate patient response rates by method of PRO administration and
sociodemographic and/or clinical characteristics. SETTING: The Pain Program for
Active Coping and Training (PPACT) is a pragmatic clinical trial conducted within
three regions of the Kaiser Permanente health care system. PROGRAM DESCRIPTION:
PPACT evaluates the effect of integrative primary care-based pain management
services on outcomes for chronic pain patients on long-term opioid treatment. We
implemented a tiered process for quarterly assessment of PROs to supplement
clinical collection and ensure adequate trial data using three methods: web-based
personal health records (PHR), automated interactive voice response (IVR) calls,
and live outreach. PROGRAM EVALUATION: Among a subset of PPACT participants
examined (n = 632), the tiered study-enhanced PRO completion rates were higher
than in clinical care: 96% completed >= 1 study-administered PRO with mean of
3.46 (SD = 0.85) vs. 74% completed in clinical care with a mean of 2.43 (SD =
2.08). Among all PPACT participants at 3 months (n = 831), PRO completion was 86%
and analyses of response by key characteristics found only that participant age
predicted an increased likelihood of responding to PHR and IVR outreach.
DISCUSSION: Adherence to pain-related PRO data collection using our enhanced
tiered approach was high. No demographic or clinical identifiers other than age
were associated with differential response by modality. Successful ancillary
support should employ multimodal electronic health record functionalities for PRO
administration. Using automated modalities is feasible and may facilitate better
sustainability for regular PRO administration within health care systems.
Clinical Trials Registration Number: NCT02113592.
PMID- 29633140
TI - Effectiveness of Models Used to Deliver Multimodal Care for Chronic
Musculoskeletal Pain: a Rapid Evidence Review.
AB - BACKGROUND: Primary care providers (PCPs) face many system- and patient-level
challenges in providing multimodal care for patients with complex chronic pain as
recommended in some pain management guidelines. Several models have been
developed to improve the delivery of multimodal chronic pain care. These models
vary in their key components, and work is needed to identify which have the
strongest evidence of clinically-important improvements in pain and function. Our
objective was to determine which primary care-based multimodal chronic pain care
models provide clinically relevant benefits, define key elements of these models,
and identify patients who are most likely to benefit. METHODS: To identify
studies, we searched MEDLINE(r) (1996 to October 2016), CINAHL, reference lists,
and numerous other sources and consulted with experts. We used predefined
criteria for study selection, data abstraction, internal validity assessment, and
strength of evidence grading. RESULTS: We identified nine models, evaluated in
mostly randomized controlled trials (RCTs). The RCTs included 3816 individuals
primarily from the USA. The most common pain location was the back. Five models
primarily coupling a decision-support component-most commonly algorithm-guided
treatment and/or stepped care-with proactive ongoing treatment monitoring have
the best evidence of providing clinically relevant improvement in pain intensity
and pain-related function over 9 to 12 months (NNT range, 4 to 13) and variable
improvement in quality of life, depression, anxiety, and sleep. The strength of
the evidence was generally low, as each model was only supported by a single RCT
with imprecise findings. DISCUSSION: Multimodal chronic pain care delivery models
coupling decision support with proactive treatment monitoring consistently
provide clinically relevant improvement in pain and function. Wider
implementation of these models should be accompanied by further evaluation of
clinical and implementation effectiveness.
PMID- 29633141
TI - Use of Non-Pharmacological Pain Treatment Modalities Among Veterans with Chronic
Pain: Results from a Cross-Sectional Survey.
AB - BACKGROUND: Despite strong evidence for the effectiveness of non-pharmacological
pain treatment modalities (NPMs), little is known about the prevalence or
correlates of NPM use. OBJECTIVE: This study examined rates and correlates of NPM
use in a sample of veterans who served during recent conflicts. DESIGN: We
examined rates and demographic and clinical correlates of self-reported NPM use
(operationalized as psychological/behavioral therapies, exercise/movement
therapies, and manual therapies). We calculated descriptive statistics and
examined bivariate associations and multivariable associations using logistic
regression. PARTICIPANTS: Participants were 460 veterans endorsing pain lasting
>= 3 months who completed the baseline survey of the Women Veterans Cohort Study
(response rate 7.7%. MAIN MEASURES: Outcome was self-reported use of NPMs in the
past 12 months. KEY RESULTS: Veterans were 33.76 years old (SD = 10.72), 56.3%
female, and 80.2% White. Regarding NPM use, 22.6% reported using
psychological/behavioral, 50.9% used exercise/movement and 51.7% used manual
therapies. Veterans with a college degree (vs. no degree; OR = 2.51, 95% CI =
1.46, 4.30, p = 0.001) or those with worse mental health symptoms (OR = 2.88, 95%
CI = 2.11, 3.93, p < 0.001) were more likely to use psychological/behavioral
therapies. Veterans who were female (OR = 0.63, 95% CI = 0.43, 0.93, p = 0.02) or
who used non-opioid pain medications (OR = 1.82, 95% CI = 1.146, 2.84, p = 0.009)
were more likely to use exercise/movement therapies. Veterans who were non-White
(OR = 0.57, 95% CI = 0.5, 0.94, p = 0.03), with greater educational attainment
(OR = 2.11, 95% CI = 1.42, 3.15, p < 0.001), or who used non-opioid pain
medication (OR = 1.71, 95% CI = 1.09, 2.68, p = 0.02) were more likely to use
manual therapies. CONCLUSIONS: Results identified demographic and clinical
characteristics among different NPMs, which may indicate differences in veteran
treatment preferences or provider referral patterns. Further study of provider
referral patterns and veteran treatment preferences is needed to inform
interventions to increase NPM utilization. Research is also need to identify
demographic and clinical correlates of clinical outcomes related to NPM use.
PMID- 29633142
TI - Focused Evidence Review: Psychometric Properties of Patient-Reported Outcome
Measures for Chronic Musculoskeletal Pain.
AB - BACKGROUND: Developing successful interventions for chronic musculoskeletal pain
requires valid, responsive, and reliable outcome measures. The Minneapolis VA
Evidence-based Synthesis Program completed a focused evidence review on key
psychometric properties of 17 self-report measures of pain severity and pain
related functional impairment suitable for clinical research on chronic
musculoskeletal pain. METHODS: Pain experts of the VA Pain Measurement Outcomes
Workgroup identified 17 pain measures to undergo systematic review. In addition
to a MEDLINE search on these 17 measures (1/2000-1/2017), we hand-searched
(without publication date limits) the reference lists of all included studies,
prior systematic reviews, and-when available-Web sites dedicated to each measure
(PROSPERO registration CRD42017056610). Our primary outcome was the measure's
minimal important difference (MID). Secondary outcomes included responsiveness,
validity, and test-retest reliability. Outcomes were synthesized through evidence
mapping and qualitative comparison. RESULTS: Of 1635 abstracts identified, 331
articles underwent full-text review, and 43 met inclusion criteria. Five measures
(Oswestry Disability Index (ODI), Roland-Morris Disability Questionnaire (RMDQ),
SF-36 Bodily Pain Scale (SF-36 BPS), Numeric Rating Scale (NRS), and Visual
Analog Scale (VAS)) had data reported on MID, responsiveness, validity, and test
retest reliability. Seven measures had data reported on three of the four
psychometric outcomes. Eight measures had reported MIDs, though estimation
methods differed substantially and often were not clinically anchored.
CONCLUSIONS: In this focused evidence review, the most evidence on key
psychometric properties in chronic musculoskeletal pain populations was found for
the ODI, RMDQ, SF-36 BPS, NRS, and VAS. Key limitations in the field include
substantial variation in methods of estimating psychometric properties, defining
chronic musculoskeletal pain, and reporting patient demographics. TRIAL
REGISTRATION: Registered in the PROSPERO database: CRD42017056610.
PMID- 29633143
TI - Tracking Steps on Apple Watch at Different Walking Speeds.
AB - KEY POINTS: QUESTION: How accurate are the step counts obtained from Apple Watch?
FINDINGS: In this validation study, video steps vs. Apple Watch steps (mean +/-
SD) were 2965 +/- 144 vs. 2964 +/- 145 steps; P < 0.001. Lin's concordance
correlation coefficient showed a strong correlation (r = 0.96; P < 0.001) between
the two measurements. There was a total error of 0.034% (1.07 steps) for the
Apple Watch steps when compared with the manual counts obtained from video
recordings. MEANING: Our study is one of the initial studies to objectively
validate the accuracy of the step counts obtained from Apple watch at different
walking speeds. Apple Watch tested to be an extremely accurate device for
measuring daily step counts for adults.
PMID- 29633144
TI - A score derived from routine biochemical parameters increases the diagnostic
accuracy of chromogranin A in detecting patients with neuroendocrine neoplasms.
AB - BACKGROUND: Chromogranin A (CgA) is a valuable biomarker for detection and follow
up of patients with neuroendocrine neoplasms (NENs). However, various
comorbidities may influence serum CgA, which decreases its diagnostic accuracy.
We aimed to investigate which laboratory parameters are independently associated
with increased CgA in real-life setting and to develop a scoring system, which
could improve the diagnostic accuracy of CgA in detecting patients with NENs.
METHODS: This retrospective study included 55 treatment naive patients with NENs
and160 patients with various comorbidities but without NEN (nonNENs). Scoring
system (CgA-score) was developed based on z-scores obtained from receiver
operating curve analysis for each parameter that was associated with elevated
serum CgA in nonNENs. RESULTS: CgA correlated positively with serum BUN,
creatinine, alpha2-globulin, red-cell distribution width, erythrocyte
sedimentation rate, plasma glucose and correlated inversely with hemoglobin,
thrombocytes and serum albumin. Serum CgA was also associated with the presence
of chronic renal failure, arterial hypertension and diabetes and the use of PPI.
In the entire study population, CgA showed an area under the curve of 0.656.
Aforementioned parameters were used to develop a CgA-score. In a cohort of
patients with CgA-score <12.0 (N = 87), serum CgA >156.5 ng/ml had 77.8%
sensitivity and 91.5% specificity for detecting NENs (AUC 0.841, 95% CI 0.713
0.969, P < 0.001). Serum CgA had no diagnostic value in detecting NENs in
patients with CgA-score >12.0 (AUC 0.554, 95% CI 0.405-0.702, P = 0.430).
CONCLUSIONS: CgA-score encompasses a wide range of comorbidities and represents a
promising tool that could improve diagnostic performance of CgA in everyday
clinical practice.
PMID- 29633145
TI - On the confluence of reproductive and regenerative medicines.
PMID- 29633146
TI - Sequential clomiphene/corifollitrophin alpha as a technique for mild controlled
ovarian hyperstimulation in IVF: a proof of concept study.
AB - PURPOSE: Mild controlled ovarian hyperstimulation (COH), combined with oocyte
retrieval (OR) under local anaesthesia (LA), may provide low-impact IVF. Since a
single injection of corifollitrophin alfa (CFA) provides 7 days of COH, we
hypothesised that clomiphene-citrate (CC) followed by CFA may provide adequate
COH response from one single FSH injection. Therefore, the aim was to assess IVF
outcomes after a novel clomiphene citrate/CFA (CC/CFA) protocol, compared to
women undergoing standard rFSH COH protocols (good prognosis comparative
cohort:GPCC) in a 1:2 matched design. MATERIALS AND METHODS: In this pilot study
of 25 patients (ANZCTR id:ACTRN12612000740897,
MINIVA:Minimal_Stimulation_in_IVF), we examined the effectiveness of oral
clomiphene (100 mg-days 2-6) followed by CFA in a GnRH antagonist protocol
producing a single injection COH stimulation regime. All OR were conducted under
LA pre-ovarian block. Cycle outcomes were compared to a matched good prognosis
comparative cohort (GPCC) undergoing standard rFSH COH. RESULTS: Mild stimulation
was achieved with less oocytes being collected compared to the GPCC (6.4 +/- 0.7
vs. 10.7 +/- 0.9, p < 0.001), resulting in a reduced number of good quality
embryos available for transfer/cryopreservation (3.7 +/- 0.6 vs. 5.7 +/- 0.5, p =
0.01). While embryo quality was similar between the two groups, endometrial
thickness was significantly lower in the group receiving CC/CFA. Pregnancy rates
were significantly lower in the CC/CFA cohort compared to GPCC (31.8 vs. 57.1%, p
= 0.04) and 44% of CC/CFA participants required supplemental rFSH in order to
achieve the hCG trigger criteria. CONCLUSION: Sequential clomiphene CFA protocol
does not appear to be an optimal regime for low impact IVF treatment as it does
not provide adequate COH from a single CFA injection and results in lower fresh
embryo transfer pregnancy rates and fewer embryos for cryopreservation.
PMID- 29633147
TI - Mode of conception does not affect fetal or placental growth parameters or ratios
in early gestation or at delivery.
AB - PURPOSE: Ratio of fetal weight to placenta size varies by mode of conception
(fertility treatments utilized) in animals. Our objective was to assess whether
fertility treatments also affect these ratios in humans. METHODS: In this
retrospective study, we assessed two cohorts: (a) early gestation cohort, women
with singleton pregnancies who underwent first trimester vaginal ultrasound and
(b) delivered cohort, women who delivered a live-born, singleton infant with
placenta disposition to pathology. Crown rump length (CRL) and estimated
placental volume (EPV) were calculated from first trimester ultrasound images
using a validated computation. Infant birth weight (BW), pregnancy data,
placental weight (PW), and placental histopathology were collected. Fetal growth
to-placental weight ratios (CRL/EPV; BW/PW) and placentas were compared by mode
of conception. Linear regression was used to adjust for confounding variables.
RESULTS: Two thousand one hundred seventy patients were included in the early
gestation cohort and 1443 in the delivered cohort. Of the early gestation cohort
(a), 85.4% were spontaneous conceptions, 5.9% Non-IVF Fertility (NIFT), and 8.7%
IVF. In the delivered cohort (b), 92.4% were spontaneous, 2.1% NIFT, and 80 5.5%
IVF. There were no significant differences between fetal growth-to-placental
weight parameters, ratios, and neonatal birth measurements based on mode of
conception. Placenta accreta was significantly higher in the patients receiving
fertility treatments (1.2 versus 3.6%, p < 0.05). CONCLUSIONS: Mode of conception
does not appear to influence fetal growth-to-placental weight ratios throughout
gestation. In addition, findings in animal models may not always translate into
human studies of infertility treatment outcomes.
PMID- 29633148
TI - Birthweight in infants conceived through in vitro fertilization following
blastocyst or cleavage-stage embryo transfer: a national registry study.
AB - PURPOSE: In vitro fertilization (IVF) infants have lower birthweights than their
peers, predisposing them to long-term health consequences. Blastocyst transfer
(BT), at day 5-6 post-fertilization, is increasing in usage, partially due to
improved pregnancy outcomes over cleavage-stage transfer (CT, day 2-3). Data to
date, however, have been inconclusive regarding BT's effects on birthweight.
METHODS: Participants included all US autologous, single-gestation, fresh embryo
transfer cycles initiated from 2007 to 2014 that resulted in a term infant (N =
124,154) from the National Assisted Reproductive Technology Surveillance System.
Generalized linear models including obstetric history, maternal demographics, and
infant sex and gestational age were used to compare birthweight outcomes for
infants born following BT (N = 67,169) with infants born following CT (N =
56,985) and to test for an interaction between transfer stage and single embryo
transfer (SET). RESULTS: Infants born following BT were 6 g larger than those
born following CT (p = 0.04), but rates of macrosomia (RR 1.00, 95% CI 0.96-1.04)
and low birthweight (LBW, RR 1.00, 95% CI 0.93-1.06) were not different between
the groups. The interaction between SET and transfer stage was significant (p =
0.02). Among SET infants, BT was associated with 19.26 g increased birthweight
compared to CT (p = 0.008). CONCLUSIONS: The increase in birthweights identified
following BT is unlikely to be clinically relevant, as there were no differences
in rates of macrosomia or LBW. These findings are clinically reassuring and
indicate that the increasing use of BT is unlikely to further decrease the on
average lower birthweights seen in IVF infants compared to their naturally
conceived peers.
PMID- 29633149
TI - Human nail stem cells are retained but hypofunctional during aging.
AB - The nail is a continuous skin appendage. Cells located around the nails, which
display coordinated homeostatic dynamics and release a flow of stem cells in
response to regeneration, have been identified in mice. However, very few studies
regarding human nail stem cells exist in the literature. Using specimens isolated
from humans, we detected an unreported population of cells within the basal layer
of postnatal human nail proximal folds (NPFs) and the nail matrix around the nail
root. These cells were multi-expressing and expressed stem cell markers, such as
keratin 15 (K15), keratin 14 (K14), keratin 19 (K19), CD29, CD34, and leucine
rich repeat-containing G protein-coupled receptor 6 (Lgr6). These cells were very
similar to mouse nail stem cells in terms of cell marker expression and their
location within the nail. We also found that the putative nail stem cells
maintained their abundance with advancing age, but cell proliferation and nail
growth rate were decreased on comparison of young and aged specimens. To
summarize, we found a putative population of stem cells in postnatal human nails
located at NPFs and the nail matrix. These cells may have potential for cell
differentiation and be capable of responding to injury, and were retained, but
may be hypofunctional during aging.
PMID- 29633150
TI - Genetics of personalized medicine: cancer and rare diseases.
AB - The 21st annual meeting of the Portuguese Society of Human Genetics (SPGH),
organized by Luisa Romao, Ana Sousa and Rosario Pinto Leite, was held in
Caparica, Portugal, from the 16th to the 18th of November 2017. Having entered an
era in which personalized medicine is emerging as a paradigm for disease
diagnosis, treatment and prevention, the program of this meeting intended to
include lectures by leading national and international scientists presenting
exceptional findings on the genetics of personalized medicine. Various topics
were discussed, including cancer genetics, transcriptome dynamics and novel
therapeutics for cancers and rare disorders that are designed to specifically
target molecular alterations in individual patients. Several panel discussions
were held to emphasize (ethical) issues associated with personalized medicine,
including genetic cancer counseling.
PMID- 29633151
TI - A Delphi Consensus of the Crucial Steps in Gastric Bypass and Sleeve Gastrectomy
Procedures in the Netherlands.
AB - PURPOSE: Bariatric procedures are technically complex and skill demanding. In
order to standardize the procedures for research and training, a Delphi analysis
was performed to reach consensus on the practice of the laparoscopic gastric
bypass and sleeve gastrectomy in the Netherlands. METHODS: After a pre-round
identifying all possible steps from literature and expert opinion within our
study group, questionnaires were send to 68 registered Dutch bariatric surgeons,
with 73 steps for bypass surgery and 51 steps for sleeve gastrectomy. Statistical
analysis was performed to identify steps with and without consensus. This process
was repeated to reach consensus of all necessary steps. RESULTS: Thirty-eight
participants (56%) responded in the first round and 32 participants (47%) in the
second round. After the first Delphi round, 19 steps for gastric bypass (26%) and
14 for sleeve gastrectomy (27%) gained full consensus. After the second round, an
additional amount of 10 and 12 sub-steps was confirmed as key steps,
respectively. Thirteen steps in the gastric bypass and seven in the gastric
sleeve were deemed advisable. Our expert panel showed a high level of consensus
expressed in a Cronbach's alpha of 0.82 for the gastric bypass and 0.87 for the
sleeve gastrectomy. CONCLUSIONS: The Delphi consensus defined 29 steps for
gastric bypass and 26 for sleeve gastrectomy as being crucial for correct
performance of these procedures to the standards of our expert panel. These
results offer a clear framework for the technical execution of these procedures.
PMID- 29633152
TI - Anemia and Bariatric Surgery: Results of a National French Survey on
Administrative Data of 306,298 Consecutive Patients Between 2008 and 2016 :
Bailly L, Schiavo L, Sebastianelli L, Fabre R, Pradier C, Iannelli A. Obes Surg.
2018 Mar 7. doi: 10.1007/s11695-018-3143-x. [Epub ahead of print].
PMID- 29633153
TI - Anaemia After One Anastomosis Gastric Bypass.
PMID- 29633154
TI - Two Contrasting Failure Modes of Enteric Coated Beads.
AB - This study aimed to elucidate the mechanisms and kinetics of coating failure for
enteric coated beads exposed to high-humidity conditions at different storage
temperatures. Enteric coated beads were placed on high-humidity conditions (75 to
98% relative humidity (RH)) in the temperature range of 5 to 40 degrees C. These
stability samples of beads were tested for acid dissolution and water activity
and also analyzed with SEM, X-ray CT, and DMA. Exposure of enteric coated beads
to high humidity led to increased gastric release of drug which eventually failed
the dissolution specification. SEM showed visible cracks on the surface of beads
exposed to 5 degrees C/high humidity and fusion of enteric beads into
agglomerates at 40 degrees C/high humidity. In a non-destructive time elapse
study, X-ray CT demonstrated swelling of microcrystalline cellulose cores, crack
initiation, and propagation through the API layer within days under 5 degrees
C/98% RH storage conditions and ultimately fracture through the enteric coating.
DMA data showed a marked reduction in Tg of the enteric coating materials after
exposure to humidity. At 5 degrees C/high humidity, the hygroscopic
microcrystalline cellulose core absorbed moisture leading to core swelling and
consequent fracture through the brittle API and enteric layers. At 40 degrees C
(high humidity) which is above the Tg of the enteric polymer, enteric coated
beads coalesced into agglomerates due to melt flow of the enteric coating. We
believe it is the first report on two distinct failure models of enteric coated
dosage forms.
PMID- 29633155
TI - Magnetic Resonance Imaging in Aneurysmal Subarachnoid Hemorrhage: Current
Evidence and Future Directions.
AB - BACKGROUND: Aneurysmal subarachnoid hemorrhage (aSAH) is associated with an
unacceptably high mortality and chronic disability in survivors, underscoring a
need to validate new approaches for treatment and prognosis. The use of advanced
imaging, magnetic resonance imaging (MRI) in particular, could help address this
gap given its versatile capacity to quantitatively evaluate and map changes in
brain anatomy, physiology and functional activation. Yet there is uncertainty
about the real value of brain MRI in the clinical setting of aSAH. METHODS: In
this review, we discuss current and emerging MRI research in aSAH. PubMed was
searched from inception to June 2017, and additional studies were then chosen on
the basis of relevance to the topics covered in this review. RESULTS: Available
studies suggest that brain MRI is a feasible, safe, and valuable testing
modality. MRI detects brain abnormalities associated with neurologic examination,
outcomes, and aneurysm treatment and thus has the potential to increase knowledge
of aSAH pathophysiology as well as to guide management and outcome prediction.
Newer pulse sequences have the potential to reveal structural and physiological
changes that could also improve management of aSAH. CONCLUSION: Research is
needed to confirm the value of MRI-based biomarkers in clinical practice and as
endpoints in clinical trials, with the goal of improving outcome for patients
with aSAH.
PMID- 29633157
TI - Longitudinally extensive spinal cord lesions: not always neuromyelitis optica.
PMID- 29633156
TI - Uncovering the Rosetta Stone: Report from the First Annual Conference on Key
Elements in Translating Stroke Therapeutics from Pre-Clinical to Clinical.
AB - The first annual Stroke Translational Research Advancement Workshop (STRAW),
entitled "Uncovering the Rosetta Stone: Key Elements in Translating Stroke
Therapeutics from Pre-Clinical to Clinical" was held at the University of
Kentucky on October 4-5, 2017. This workshop was organized by the Center for
Advanced Translational Stroke Science. The workshop consisted of 2 days of
activities. These included three presentations establishing the areas of research
in stroke therapeutics, discussing the routes for translation from bench to
bedside, and identifying successes and failures in the field. On day 2, grant
funding opportunities and goals for the National Institute for Neurological
Diseases and Stroke were presented. In addition, the meeting also included break
out sessions designed to connect researchers in areas of stroke, and to foster
potential collaborations. Finally, the meeting concluded with an open discussion
among attendees led by a panel of experts.
PMID- 29633158
TI - The Explanatory Role of Insomnia in the Relationship between Pain Intensity and
Posttraumatic Stress Symptom Severity among Trauma-Exposed Latinos in a Federally
Qualified Health Center.
AB - Latinos, one of the fastest growing populations in the United States, suffer from
high rates of posttraumatic stress symptoms (PTS) and its clinical correlates
(e.g., disability). Although research suggests the experience of pain is closely
related to PTS among trauma-exposed groups, there has been little exploration of
the processes that may link pain intensity to greater PTS among trauma-exposed
Latinos. The current study explored insomnia, a common problem associated with
both pain intensity and PTS, as a mechanism in the association between pain
intensity and PTS among trauma-exposed Latinos (N = 208, Mage = 39.39 years, SD =
11.48) attending a Federally Qualified Health Center. Results indicated that
insomnia partially explained the relationship between pain intensity and PTS
total score (B = 0.25, 95% CI [0.12, 0.43]), as well as re-experiencing (B =
0.09, 95% CI [0.04, 0.17]), avoidance (B = 0.09, 95% CI [0.04, 0.17]), and
arousal symptoms (B = 0.10, 95% CI [0.04, 0.17]). Future work is needed to
explore the extent to which insomnia accounts for relations between pain and PTS
using longitudinal designs to further clarify theoretical health disparity models
involving these comorbid conditions.
PMID- 29633159
TI - Impact of Anthracyclines on Diabetes Mellitus Development in B-Cell Lymphoma
Patients: A Nationwide Population-based Study.
AB - BACKGROUND AND OBJECTIVES: Although anthracyclines are effective chemotherapeutic
agents for treating B-cell lymphoma, adverse effects, such as bone marrow
suppression and cardiotoxicity, limit their clinical application. We assessed
whether anthracycline treatment also increases the risk for diabetes mellitus in
patients with B-cell lymphoma. METHODS: Using data obtained from the Taiwanese
National Health Insurance Research Database from 2004 to 2011, we compared
overall survival and clinical features for B-cell lymphoma patients administered
anthracyclines (n = 3147) and those not administered anthracyclines (n = 837).
The impact of anthracycline treatment on diabetes risk was further investigated
using a Gray's test and multivariate competing-risk regression models in a dose
dependent manner. RESULTS: Anthracycline administration was associated with a
higher incidence of diabetes (HR: 1.75; 95% CI 1.11-2.75; p = 0.0163) after
adjustments for age, gender, cumulative dose of prednisolone, and co-morbidities.
Cumulative anthracycline doses of 253-400 mg (HR: 2.35; 95% CI 1.41-3.91; p =
0.0010), 401-504 mg (HR: 2.26; 95% CI 1.26-4.05; p = 0.0063), and > 504 mg (HR:
2.29; 95% CI 1.25-4.18; p = 0.0072) increased the incidence density of diabetes
in a dose-dependent manner (p = 0.0006). The annual alteration of adapted
diabetes complications severity index score was not significantly different
between B-cell lymphoma patients with or without anthracycline treatment (p =
0.4924). CONCLUSION: Anthracycline therapy increases diabetes risk in a dose
dependent manner in B-cell lymphoma patients. Intensive blood glucose monitoring
and control should be recommended for B-cell lymphoma patients receiving
anthracycline treatment.
PMID- 29633161
TI - Have bailouts shifted the burden of paying for healthcare from the state onto
individuals?
AB - BACKGROUND/AIMS: The financial crisis that enveloped Europe in 2009 created
financial pressure for governments and required a number of countries to obtain a
financial bailout from the IMF. The purpose of this paper is to examine the
effect of the financial crisis on public health expenditure in bailout countries
and if bailouts shift the burden of paying for healthcare from the state onto
individuals. METHODS: Quantitative health expenditure data were collected from
the WHO and OECD for the period 2004-2015 and evaluated using a comparison of
means Welch's t test. RESULTS: The majority of bailout countries recorded a
decrease in public health expenditure as a percentage of total government
expenditure, with Ireland recording the largest decrease with government health
expenditure as a percentage of total government expenditure, falling by 22% (P <
.01). In addition, the results also suggest that the burden of paying for
healthcare shifted from the state onto individuals in three countries, namely
Hungary, Ireland and Portugal, where public health expenditure declined and
private expenditure increased significantly. CONCLUSIONS: The ramifications of
shifting the burden of paying for healthcare from the state onto individuals at
this point remain unclear with further research required to identify the long
term consequences for healthcare.
PMID- 29633160
TI - A Cost-Effectiveness Analysis of the 10-Valent Pneumococcal Non-Typeable
Haemophilus influenzae Protein D Conjugate Vaccine (PHiD-CV) Compared to the 13
Valent Pneumococcal Conjugate Vaccine (PCV13) for Universal Mass Vaccination
Implementation in New Zealand.
AB - OBJECTIVES: Invasive pneumococcal disease (IPD), pneumonia and acute otitis media
(AOM) still represent a significant medical burden in children < 5 years of age
in New Zealand (NZ), with marked disparities across socio-economic and ethnic
groups. This cost-effectiveness evaluation aims to compare the potential impact
of two childhood universal immunisation strategies: vaccination with a 3 + 1
schedule of the 10-valent pneumococcal non-typeable Haemophilus influenzae
protein D conjugate vaccine (PHiD-CV, Synflorix, GSK) and the 13-valent
pneumococcal conjugate vaccine (PCV13, Prevenar 13, Pfizer). METHODS: A static
Markov-process cohort model was used to simulate the epidemiological and economic
burden of pneumococcal diseases on a single-birth cohort over its lifetime. Costs
and outcomes were discounted annually at 3.5%. Epidemiological and cost inputs
were extracted from the most recently available NZ data, or derived from the most
relevant reference countries' sources. The most updated evidence on the
efficacies of the corresponding vaccines were used, particularly the significant
effectiveness for PHiD-CV against IPD caused by serotype 19A. RESULTS: The model
estimated that both vaccines have a broadly comparable impact on IPD-related
diseases and pneumonia. Due to the additional benefits possible through broader
impact on AOM, PHiD-CV is estimated to potentially provide additional discounted
cost offsets of approximately NZD 0.8 million over the lifetime of the birth
cohort. CONCLUSIONS: To ensure health equity in children, given the substantial
burden of pneumonia and AOM, decision-makers should also take into account the
impact of PCVs on these diseases for decisions relating to routine infant
immunization. GSK STUDY IDENTIFIER: HO-15-16775.
PMID- 29633162
TI - Caffeine, CYP1A2 genotype, and sports performance: is timing important?
PMID- 29633163
TI - Festschrift for Patrick Ronan (PR) O'Connell (also known as ROCON): reconciling
surgery and science.
AB - Ronan O Connell's clinical activities were fundamentally based on accurate data.
His scientific outputs were based on similarly high quality data. Anybody who
trained with him and in particular those who trained with him on more than
occasion base their clinical and scientific activities on a similar approach. His
many clinical, scientific and political achievements and accolades will be
detailed in the articles in this Festschrift. There is an advancement that is
important to me personally and that I would like to attribute to his influence.
Exceptional and highly reproducible technique underpinned his surgery and
explained the outcomes his patients experienced. Recent clarification of the
anatomical basis of these techniques (the mesenteric basis) provides a unifying
platform across which to reconcile human abdominal anatomy and surgery.
PMID- 29633164
TI - Xueshuantong Injection (Lyophilized) Attenuates Cerebral Ischemia/Reperfusion
Injury by the Activation of Nrf2-VEGF Pathway.
AB - Xueshuantong injection (Lyophilized, XST), extracted from the traditional Chinese
medicinal herb Panax notoginseng, has neuroprotective effect on cerebral
ischemia. Revascularization of ischemic tissue is good for the therapy of
cerebrovascular disease. In this study, angiogenic potentiality and possible
mechanism of XST for cerebral ischemia were explored. Rats were subjected to
transient middle cerebral artery occlusion (MCAO), and then intraperitoneally
administered with XST daily for 3 or 7 consecutive days. The neurological
function deficits, and endogenous antioxidant capacity were evaluated. Post
stroke angiogenesis and vascularization were assessed by ELISA and
immunohistochemical staining. Transcription levels of Nrf2, HO-1, NQO1 in brain
tissues were analyzed by real-time RT-PCR. The results showed that XST could
remarkably ameliorate neuronal functional deficit, promote angiogenesis and
vascularization after MCAO. The mechanism of angiogenesis might be related to
endogenous antioxidant capacity and Nrf2 pathway. In conclusion, administered XST
for 7 days after stroke could significantly improve functional recovery and
promote angiogenesis, that might be related to Nrf2 signaling pathway. These
findings could provide scientific evidence for the use of XST in cerebral
ischemic diseases and provide theoretical support for further studies.
PMID- 29633165
TI - Health state utility values of high prevalence mental disorders in Australia:
results from the National Survey of Mental Health and Wellbeing.
AB - PURPOSE: High prevalence mental disorders including depression, anxiety and
substance use disorders are associated with high economic and disease burden.
However, there is little information regarding the health state utility values of
such disorders according to their clinical severity using comparable instruments
across all disorders. This study reports utility values for high prevalence
mental disorders using data from the 2007 Australian National Survey of Mental
Health and Wellbeing (NSMHWB). METHODS: Utility values were derived from the AQoL
4D and analysed by disorder classification (affective only (AD), anxiety-related
only (ANX), substance use only (SUB) plus four comorbidity groups), severity
level (mild, moderate, severe), symptom recency (reported in the past 30 days),
and comorbidity (combination of disorders). The adjusted Wald test was applied to
detect statistically significant differences of weighted means and the magnitude
of difference between groups was presented as a modified Cohen's d. RESULTS: In
total, 1526 individuals met criteria for a 12-month mental disorder. The mean
utility value was 0.67 (SD = 0.27), with lower utility values associated with
higher severity levels and some comorbidities. Utility values for AD, ANX and SUB
were 0.64 (SD = 0.25), 0.71 (SD = 0.25) and 0.81 (SD = 0.19), respectively. No
differences in utility values were observed between disorders within disorder
groups. Utility values were significantly lower among people with recent symptoms
(within past 30 days) than those without; when examined by diagnostic group, this
pattern held for people with SUB, but not for people with ANX or AD. CONCLUSIONS:
Health state utility values of people with high prevalence mental disorders
differ significantly by severity level, number of mental health comorbidities and
the recency of symptoms, which provide new insights on the burden associated with
high prevalence mental disorders in Australia. The derived utility values can be
used to populate future economic models.
PMID- 29633166
TI - A Phase 3, Double-Blind, Randomized, Placebo-Controlled Study of Vilazodone in
Adolescents with Major Depressive Disorder.
AB - BACKGROUND: Major depressive disorder (MDD) is a serious illness in children and
adolescents. Vilazodone is a selective serotonin reuptake inhibitor approved for
MDD in adults. This study evaluated the efficacy, safety, and tolerability of
vilazodone in adolescent patients, ages 12-17 years, with MDD (NCT01878292).
METHODS: This double-blind, randomized, placebo-controlled, parallel-group, fixed
dose study was conducted at 56 study centers in the United States and was 10
weeks in duration (a 1-week screening period, an 8-week double-blind treatment
period, and a 1-week double-blind down-taper period). Outpatients with an MDD
diagnosis based on Diagnostic and Statistical Manual of Mental Disorders, Fourth
Edition, Text Revision criteria were included in the study. Clinical inclusion
criteria required a Children's Depression Rating Scale-Revised (CDRS-R) total
score of >= 40 and Clinical Global Impressions-Severity (CGI-S) score of >= 4.
Patients were randomized 1:1:1 to 8 weeks of double-blind treatment with placebo
(n = 174), vilazodone 15 mg/day (n = 175), or vilazodone 30 mg/day (n = 180). The
primary and secondary efficacy parameters were change from baseline to week 8 in
CDRS-R total score and CGI-S score, respectively. Safety parameters included
adverse events (AEs); clinical laboratory, vital sign, and electrocardiogram
parameters; and the Columbia-Suicide Severity Rating Scale. RESULTS:
Approximately 86% of patients completed double-blind treatment. There was no
statistically significant difference between vilazodone 15 mg/day or 30 mg/day
and placebo in change from baseline in CDRS-R score. Change in CGI-S score was
not significant after adjustment for multiple comparisons. The most common
treatment-emergent AEs were nausea, upper abdominal pain, vomiting, diarrhea,
nasopharyngitis, headache, and dizziness. Reports of suicidal ideation (placebo,
33.3%; vilazodone 15 mg/day, 36.0%; vilazodone 30 mg/day, 31.1%) and suicidal
behavior (placebo, 1.8%; vilazodone 15 mg/day, 1.1%; vilazodone 30 mg/day, 1.1%)
were similar between treatment groups. There were no deaths in the study.
CONCLUSIONS: The efficacy of vilazodone for the treatment of MDD in adolescent
patients could not be confirmed in this study. Vilazodone was generally safe and
well tolerated, with treatment-emergent AEs similar to those in adult patients.
CLINICAL TRIAL REGISTRATION: NCT01878292.
PMID- 29633169
TI - Elevated post-void residual volume in a geriatric post-hip fracture assessment in
women-associated factors and risk of mortality.
AB - BACKGROUND AND AIM: Multiple factors contribute to elevated post-void residual
urine volumes (PVR), but they may indicate detrusor underactivity (DU),
especially in older women. The aim here was to examine factors associated with
and prognostic significance of elevated PVR in a geriatric post-hip fracture
assessment in a female population. METHODS: Consecutive female hip fracture
patients (n = 409) aged 65 years and older were included. PVR was measured by
bladder scanner. PVR of 160 ml or more was deemed elevated. Age-adjusted
univariate logistic regression analyses were conducted to examine the association
of the domains of the comprehensive geriatric assessment (CGA) with elevated PVR.
Cox proportional hazards model was used to determine the age-adjusted association
of an elevated PVR with 1-year mortality. RESULTS: Of the patients, 64 (15.6%)
had elevated PVR. Having urinary or fecal incontinence, difficulties in physical
activities of daily living, malnutrition, poor performance on Timed Up and Go and
Elderly Mobility Scale were significantly associated with elevated PVR.
Difficulties in instrumental activities of daily living, renal dysfunction,
constipation, polypharmacy, nocturia, cognitive impairment and depressive mood
were not associated with elevated PVR. Elevated PVR significantly increased the
risk of mortality 1 year post hip fracture. CONCLUSIONS: Elevated PVR is
relatively common in older female hip fracture patients and associated with
physical functioning, malnutrition and risk of mortality. Even though a causal
relationship cannot be confirmed, the findings may suggest a relationship between
DU and physical frailty. PVR deserves to be included in the CGA of frail older
patients including women.
PMID- 29633170
TI - Related factors of cognitive impairment in community-dwelling older adults in
Beijing Longitudinal Study of Aging.
AB - OBJECTIVES: To investigate the prevalence and related factors of cognitive
impairment in community-dwelling older residents in Beijing, China. METHODS: This
is a cross-sectional study. A total of 2017 older individuals aged >= 60 years
from Beijing Longitudinal Study of Aging were included in this study. Information
on demographic characteristics, life style, chronic disease and geriatric
syndromes was collected. Cognitive function was assessed by Mini-Mental State
Examination. RESULTS: The prevalence of cognitive impairment was 13.6% in
community-dwelling older residents in Beijing. The prevalence of cognitive
impairment was higher in women than it was in men and in rural areas than it was
in urban areas, and increased with age. Logistic regression showed that older age
[odds ratio (OR) = 1.496-3.033, P < 0.001], illiteracy (OR = 1.200-2.434, P =
0.003), low income (OR = 1.268-3.906, P = 0.005), less social participation (OR =
1.011-2.147, P = 0.044), stroke (OR = 1.410-3.305, P < 0.001), hearing loss (OR =
1.231-2.295, P = 0.001), depression (OR = 1.115-2.385, P = 0.012) and disability
(OR = 2.315-4.681, P < 0.001) were independent risk factors for cognitive
impairment. CONCLUSIONS: The prevalence of cognitive impairment among the older
adults in Beijing was high. More attention should be paid to the identification
of and intervention for factors influencing cognitive impairment, and health
education should be carried out to improve the quality of life of the older
adults.
PMID- 29633168
TI - Expression of VGRNb-PE immunotoxin in transplastomic lettuce (Lactuca sativa L.).
AB - KEY MESSAGE: This research has shown, for the first time, that plant chloroplasts
are a suitable compartment for synthesizing recombinant immunotoxins and the
transgenic immunotoxin efficiently causes the inhibition of VEGFR2
overexpression, cell growth and proliferation. Angiogenesis refers to the
formation of new blood vessels, which resulted in the growth, invasion and
metastasis of cancer. The vascular endothelial growth factor receptor 2 (VEGFR2)
plays a major role in angiogenesis and blocking of its signaling inhibits
neovascularization and tumor metastasis. Immunotoxins are promising therapeutics
for targeted cancer therapy. They consist of an antibody linked to a protein
toxin and are designed to specifically kill the tumor cells. In our previous
study, VGRNb-PE immunotoxin protein containing anti-VEGFR2 nanobody fused to the
truncated form of Pseudomonas exotoxin A has been established. Here, we expressed
this immunotoxin in lettuce chloroplasts. Chloroplast genetic engineering offers
several advantages, including high levels of transgene expression, multigene
engineering in a single transformation event and maternal inheritance of the
transgenes. Site specific integration of transgene into chloroplast genomes, and
homoplasmy were confirmed. Immunotoxin levels reached up to 1.1% of total soluble
protein or 33.7 ug per 100 mg of leaf tissue (fresh weight). We demonstrated that
transgenic immunotoxin efficiently causes the inhibition of VEGFR2
overexpression, cell growth and proliferation. These results indicate that plant
chloroplasts are a suitable compartment for synthesizing recombinant
immunotoxins.
PMID- 29633167
TI - Proteomic and physiological analyses reveal the role of exogenous spermidine on
cucumber roots in response to Ca(NO3)2 stress.
AB - KEY MESSAGE: The mechanism of exogenous Spd-induced Ca(NO3)2 stress tolerance in
cucumber was studied by proteomics and physiological analyses. Protein-protein
interaction network revealed 13 key proteins involved in Spd-induced Ca(NO3)2
stress resistance. Ca(NO3)2 stress is one of the major reasons for secondary
salinization that limits cucumber plant development in greenhouse. The conferred
protective role of exogenous Spd on cucumber in response to Ca(NO3)2 stress cues
involves changes at the cellular and physiological levels. To investigate the
molecular foundation of exogenous Spd in Ca(NO3)2 stress tolerance, a proteomic
approach was performed in our work. After a 9 days period of Ca(NO3)2 stress
and/or exogenous Spd, 71 differential protein spots were confidently identified.
The resulting proteins were enriched in seven different categories of biological
processes, including protein metabolism, carbohydrate and energy metabolism, ROS
homeostasis and stress defense, cell wall related, transcription, others and
unknown. Protein metabolism (31.2%), carbohydrate and energy metabolism (15.6%),
ROS homeostasis and stress defense (32.5%) were the three largest functional
categories in cucumber root and most of them were significantly increased by
exogenous Spd. The Spd-responsive protein interaction network revealed 13 key
proteins, whose accumulation changes could be critical for Spd-induced
resistance; all 13 proteins were upregulated by Spd at transcriptional and
protein levels in response to Ca(NO3)2 stress. Furthermore, accumulation of
antioxidant enzymes, non-enzymatic antioxidant and polyamines, along with
reduction of H2O2 and MDA, were detected after exogenous Spd application during
Ca(NO3)2 stress. The results of these proteomic and physiological analyses in
cucumber root may facilitate a better understanding of the underlying mechanism
of Ca(NO3)2 stress tolerance mediated by exogenous Spd.
PMID- 29633171
TI - Imaging the operated colon using water-enema multidetector CT, with emphasis on
surgical anastomoses.
AB - : Water-enema multidetector CT (WE-MDCT) provides a detailed multiplanar
visualisation of mural, intra- and extraluminal abnormalities of the large bowel,
relying on preliminary bowel cleansing, retrograde luminal distension,
pharmacological hypotonisation and intravenous contrast enhancement. In patients
with a history of colorectal surgery for either carcinoma or Crohn's disease
(CD), WE-MDCT may also be performed via a colostomy, which allows depicting the
anatomy and position of the residual large bowel and evaluates the calibre,
length, mural and extraluminal features of luminal strictures. Therefore, WE-MDCT
may prove useful as a complementary technique after incomplete or inconclusive
colonoscopy to assess features and suspected abnormalities of the surgical
anastomosis, particularly when endoscopic or surgical interventions are being
planned. This pictorial essay presents the WE-MDCT technique and pitfalls, the
expected appearances after different colic surgeries and the imaging features of
benign anastomotic disorders (fibrotic stricture, kinking, inflammatory ulcer)
and of locally recurrent tumours and CD. TEACHING POINTS: * Water-enema
multidetector CT (WE-MDCT) effectively visualises the operated colon *
Complementary to endoscopy, WE-MDCT may helpfully depict abnormalities of
surgical anastomoses * WE-MDCT allows assessment of strictures' features and
abnormalities of the upstream bowel * Technical pitfalls, normal postsurgical
findings and benign anastomotic disorders are presented * WE-MDCT allows
detecting relapsing Crohn's disease, recurrent and metachronous tumours.
PMID- 29633173
TI - Study of continuous blood pressure estimation based on pulse transit time, heart
rate and photoplethysmography-derived hemodynamic covariates.
AB - It is widely recognized that pulse transit time (PTT) can track blood pressure
(BP) over short periods of time, and hemodynamic covariates such as heart rate,
stiffness index may also contribute to BP monitoring. In this paper, we derived a
proportional relationship between BP and PPT-2 and proposed an improved method
adopting hemodynamic covariates in addition to PTT for continuous BP estimation.
We divided 28 subjects from the Multi-parameter Intelligent Monitoring for
Intensive Care database into two groups (with/without cardiovascular diseases)
and utilized a machine learning strategy based on regularized linear regression
(RLR) to construct BP models with different covariates for corresponding groups.
RLR was performed for individuals as the initial calibration, while recursive
least square algorithm was employed for the re-calibration. The results showed
that errors of BP estimation by our method stayed within the Association of
Advancement of Medical Instrumentation limits (- 0.98 +/- 6.00 mmHg @ SBP, 0.02
+/- 4.98 mmHg @ DBP) when the calibration interval extended to 1200-beat cardiac
cycles. In comparison with other two representative studies, Chen's method kept
accurate (0.32 +/- 6.74 mmHg @ SBP, 0.94 +/- 5.37 mmHg @ DBP) using a 400-beat
calibration interval, while Poon's failed (- 1.97 +/- 10.59 mmHg @ SBP, 0.70 +/-
4.10 mmHg @ DBP) when using a 200-beat calibration interval. With additional
hemodynamic covariates utilized, our method improved the accuracy of PTT-based BP
estimation, decreased the calibration frequency and had the potential for better
continuous BP estimation.
PMID- 29633174
TI - Glossokinetic potential based tongue-machine interface for 1-D extraction.
AB - The tongue is an aesthetically useful organ located in the oral cavity. It can
move in complex ways with very little fatigue. Many studies on assistive
technologies operated by tongue are called tongue-human computer interface or
tongue-machine interface (TMI) for paralyzed individuals. However, many of them
are obtrusive systems consisting of hardware such as sensors and magnetic tracer
placed in the mouth and on the tongue. Hence these approaches could be annoying,
aesthetically unappealing and unhygienic. In this study, we aimed to develop a
natural and reliable tongue-machine interface using solely glossokinetic
potentials via investigation of the success of machine learning algorithms for 1
D tongue-based control or communication on assistive technologies. Glossokinetic
potential responses are generated by touching the buccal walls with the tip of
the tongue. In this study, eight male and two female naive healthy subjects, aged
22-34 years, participated. Linear discriminant analysis, support vector machine,
and the k-nearest neighbor were used as machine learning algorithms. Then the
greatest success rate was achieved an accuracy of 99% for the best participant in
support vector machine. This study may serve disabled people to control assistive
devices in natural, unobtrusive, speedy and reliable manner. Moreover, it is
expected that GKP-based TMI could be alternative control and communication
channel for traditional electroencephalography (EEG)-based brain-computer
interfaces which have significant inadequacies arisen from the EEG signals.
PMID- 29633172
TI - Freeze-dried matrices for ocular administration of bevacizumab: a comparison
between subconjunctival and intravitreal administration in rabbits.
AB - This work was aimed to tune solid matrices for bevacizumab (BVZ) subconjunctival
or intravitreal administration in order to prolong drug release, to reduce the
number of applications and consequently the side effects. Matrices, with sizes
suitable for intravitreal or subconjunctival administration, based on
hydroxypropylmethyl cellulose (HPMC), polyvinylpyrrolidone (PVP), polyvinyl
alcohol (PVA) and polyacrylic acid (PAA) were obtained by freeze-drying of
polymeric dispersions either in phosphate buffer solution or water and were
sterilized by gamma rays. The matrices were characterized from the technological
point of view and evaluated for in vitro release of dextran and BVZ. In vivo
evaluation of BVZ release in ocular humours was finally carried out on rabbits.
The obtained matrices showed solvent sorption time ranging from a few seconds for
PAA to 46 min for HPMC, with shorter times when prepared in buffer solution. The
hydration times were up to 5.5-fold higher after sterilization. HPMC and PVA
matrices showed a slowdown of the release rate of both dextran and BVZ, but HPMC
was selected for following in vivo studies also in consideration of its higher
viscosity after rehydration of the matrix. HPMC matrix was well tolerated by the
rabbit eye when intravitreally and subconjunctivally administered. The different
treatment produced the same effect in terms of drug concentration in aqueous and
vitreous humour up to 12 weeks after administration. The results of this study
support the possible use of lyophilized matrices as a BVZ delivery system to the
posterior segment of the eye.
PMID- 29633175
TI - Race/Ethnicity Differences in Trends of Marijuana, Cigarette, and Alcohol Use
Among 8th, 10th, and 12th Graders in Washington State, 2004-2016.
AB - Accurate estimates of substance use in the teenage years by race/ethnicity may
help identify when to intervene to prevent long-term substance use disparities.
We examined trends in past 30-day use of marijuana, cigarette, and alcohol among
8th, 10th, and 12th graders in Washington State, which passed a recreational
marijuana law in 2012 and initiated retail marijuana sales in 2014. Data are from
the 2004-2016 Washington Healthy Youth Surveys (n = 161,992). We used time series
regression models to assess linear and quadratic trends in substance use for the
full sample and stratified on race/ethnicity and grade level and examined
relative differences in prevalence of use by race/ethnicity. In Washington,
across all racial/ethnic groups, marijuana use peaked in 2012. Although there was
not a significant overall change in marijuana use for the full sample across the
study period, there was a statistically significant increase in use among 12th
graders and a statistically significant decrease among 8th graders. Relative to
Whites, Asians had a lower prevalence of marijuana use, whereas all other
race/ethnicity groups had a higher prevalence of use. Prevalence of marijuana use
is particularly high among American Indian/Alaska Native and Black youth and has
increased most rapidly among 12th grade Hispanic/Latinx youth. There were large
and statistically significant decreases in alcohol and cigarette use across the
study period for the full sample, as well as for each race/ethnicity group. These
findings highlight the need for continued monitoring of trends in use among these
groups and potentially warrant consideration of selective interventions that
specifically focus on students of color and that include developmentally
appropriate strategies relevant to each grade.
PMID- 29633176
TI - Promoting Adolescent Girls' Well-Being in Pakistan: a Mixed-Methods Study of
Change Over Time, Feasibility, and Acceptability, of the COMPASS Program.
AB - Promoting resilience among displaced adolescent girls in northern Pakistan may
buffer against developmental risks such as violence exposure and associated
longer-term consequences for physical and mental well-being. However, girls'
access to such programming may be limited by social norms restricting movement. A
mixed-method evaluation examined change over time, feasibility, and acceptability
of the COMPASS program in three districts of Khyber-Pakhtunkhwa province through
a single-group within-participant pretest-posttest of adolescent girls aged 12-19
enrolled in the intervention (n = 78), and qualitative in-depth interviews with
girls following posttest completion (n = 15). Primary outcomes included
improvements in movement, safety, and comfort discussing life skills topics with
caregivers, operationalized quantitatively as number of places visited in the
previous month, number of spaces that girls felt safe visiting, and comfort
discussing puberty, education, working outside the home, and marriage,
respectively. Secondary outcomes included psychosocial well-being, gendered rites
of passage, social support networks, perceptions of support for survivors of
violence, and knowledge of services. Quantitative pretest-posttest findings
included significant improvements in movement, psychosocial well-being, and some
improvements in social support, knowledge of services, and gendered rites of
passage; findings on safety and comfort discussing life skills topics were not
significant. Qualitative findings illuminated themes related to definitions of
safety and freedom of movement, perceptions and acceptability of program content,
perceptions of social support, and perceptions of blame and support and knowledge
of services in response to violence. Taken together, findings illustrate positive
impacts of life skills programming, and the need for societal changes on gender
norms to improve girls' safety in public spaces and access to resources.
PMID- 29633177
TI - In Vitro Bactericidal and Virucidal Efficacy of Povidone-Iodine Gargle/Mouthwash
Against Respiratory and Oral Tract Pathogens.
AB - INTRODUCTION: Recent virus epidemics and rising antibiotic resistance highlight
the importance of hygiene measures to prevent and control outbreaks. We
investigated the in vitro bactericidal and virucidal efficacy of povidone-iodine
(PVP-I) 7% gargle/mouthwash at defined dilution against oral and respiratory
tract pathogens. METHODS: PVP-I was tested against Klebsiella pneumoniae and
Streptococcus pneumoniae according to bactericidal quantitative suspension test
EN13727 and against severe acute respiratory syndrome and Middle East respiratory
syndrome coronaviruses (SARS-CoV and MERS-CoV), rotavirus strain Wa and influenza
virus A subtype H1N1 according to virucidal quantitative suspension test EN14476.
PVP-I 7% gargle/mouthwash was diluted 1:30 with water to a concentration of 0.23%
(the recommended concentration for "real-life" use in Japan) and tested at room
temperature under clean conditions [0.3 g/l bovine serum albumin (BSA), viruses
only] and dirty conditions (3.0 g/l BSA + 3.0 ml/l erythrocytes) as an
interfering substance for defined contact times (minimum 15 s). Rotavirus was
tested without protein load. A >= 5 log10 (99.999%) decrease of bacteria and >= 4
log10 (99.99%) reduction in viral titre represented effective bactericidal and
virucidal activity, respectively, per European standards. RESULTS: PVP-I
gargle/mouthwash diluted 1:30 (equivalent to a concentration of 0.23% PVP-I)
showed effective bactericidal activity against Klebsiella pneumoniae and
Streptococcus pneumoniae and rapidly inactivated SARS-CoV, MERS-CoV, influenza
virus A (H1N1) and rotavirus after 15 s of exposure. CONCLUSION: PVP-I 7%
gargle/mouthwash showed rapid bactericidal activity and virucidal efficacy in
vitro at a concentration of 0.23% PVP-I and may provide a protective
oropharyngeal hygiene measure for individuals at high risk of exposure to oral
and respiratory pathogens. FUNDING: Mundipharma Research GmbH & Co. KG (MRG).
PMID- 29633178
TI - Pharmacology of MDMA- and Amphetamine-Like New Psychoactive Substances.
AB - New psychoactive substances (NPS) with amphetamine-, aminoindan-, and benzofuran
basic chemical structures have recently emerged for recreational drug use.
Detailed information about their psychotropic effects and health risks is often
limited. At the same time, it emerged that the pharmacological profiles of these
NPS resemble those of amphetamine or 3,4-methylenedioxymethamphetamine (MDMA).
Amphetamine-like NPS induce psychostimulation and euphoria mediated predominantly
by norepinephrine (NE) and dopamine (DA) transporter (NET and DAT) inhibition and
transporter-mediated release of NE and DA, thus showing a more catecholamine
selective profile. MDMA-like NPS frequently induce well-being, empathy, and
prosocial effects and have only moderate psychostimulant properties. These MDMA
like substances primarily act by inhibiting the serotonin (5-HT) transporter
(SERT) and NET, also inducing 5-HT and NE release. Monoamine receptor
interactions vary considerably among amphetamine- and MDMA-like NPS. Clinically,
amphetamine- and MDMA-like NPS can induce sympathomimetic toxicity. The aim of
this chapter is to review the state of knowledge regarding these substances with
a focus on the description of the in vitro pharmacology of selected amphetamine-
and MDMA-like NPS. In addition, it is aimed to provide links between
pharmacological profiles and in vivo effects and toxicity, which leads to the
conclusion that abuse liability for amphetamine-like NPS may be higher than for
MDMA-like NPS, but that the risk for developing the life-threatening serotonin
syndrome may be increased for MDMA-like NPS.
PMID- 29633179
TI - Brown-Like Adipocyte Progenitors Derived from Human iPS Cells: A New Tool for
Anti-obesity Drug Discovery and Cell-Based Therapy?
AB - Alternative strategies are urgently required to fight obesity and associated
metabolic disorders including diabetes and cardiovascular diseases. Brown and
brown-like adipocytes (BAs) store fat, but in contrast to white adipocytes,
activated BAs are equipped to dissipate energy stored. Therefore, BAs represent
promising cell targets to counteract obesity. However, the scarcity of BAs in
adults is a major limitation for a BA-based therapy of obesity, and the notion to
increase the BA mass by transplanting BA progenitors (BAPs) in obese patients
recently emerged. The next challenge is to identify an abundant and reliable
source of BAPs. In this chapter, we describe the capacity of human-induced
pluripotent stem cells (hiPSCs) to generate BAPs able to differentiate at a high
efficiency with no gene transfer. This cell model represents an unlimited source
of human BAPs that in a near future may be a suitable tool for both therapeutic
transplantation and for the discovery of novel efficient and safe anti-obesity
drugs. The generation of a relevant cell model, such as hiPSC-BAs in 3D
adipospheres enriched with macrophages and endothelial cells to better mimic the
microenvironment within the adipose tissue, will be the next critical step.
PMID- 29633180
TI - Role of cAMP and cGMP Signaling in Brown Fat.
AB - Cold-induced activation of brown adipose tissue (BAT) is mediated by
norepinephrine and adenosine that are released during sympathetic nerve
activation. Both signaling molecules induce an increase in intracellular levels
of 3',5'-cyclic adenosine monophosphate (cAMP) in murine and human BAT. In brown
adipocytes, cAMP plays a central role, because it activates lipolysis, glucose
uptake, and thermogenesis. Another well-studied intracellular second messenger is
3',5'-cyclic guanosine monophosphate (cGMP), which closely resembles cAMP.
Several studies have shown that intact cGMP signaling is essential for normal
adipogenic differentiation and BAT-mediated thermogenesis in mice. This chapter
highlights recent observations, demonstrating the physiological significance of
cyclic nucleotide signaling in BAT as well as their potential to induce browning
of white adipose tissue (WAT) in mice and humans.
PMID- 29633181
TI - Evidence and Function Relevance of Native DOR-MOR Heteromers.
AB - Opioid receptors are the sites of action for morphine and most other clinically
used opioid drugs. Abundant evidence now demonstrates that different opioid
receptor types can physically associate to form heteromers. Owing to their
constituent monomers' involvement in analgesia, mu/delta opioid receptor (M/DOR)
heteromers have been a particular focus of attention. Understandings of the
physiological relevance and indisputable proof of M/DOR formation in vivo are
still evolving. This aspect of the field has been slow to progress in large part
by the limitations of most available experimental models; recently however,
promising progress is being made. As a result, the long-repeated promise of
opioid receptor heteromers as selective therapeutic targets is now being
realized.
PMID- 29633182
TI - BAT Exosomes: Metabolic Crosstalk with Other Organs and Biomarkers for BAT
Activity.
AB - In the last decade, exosomes have gained interest as a new type of intercellular
communication between cells and tissues. Exosomes are circulating, cell-derived
lipid vesicles smaller than 200 nm that contain proteins and nucleic acids,
including microRNAs (miRNAs), and are able to modify cellular targets. Exosomal
miRNAs function as signalling molecules that regulate the transcription of their
target genes and can cause phenotypic transformation of recipient cells. Recent
studies have shown that brown fat secretes exosomes as a form of communication
with other metabolic organs such as the liver. Moreover, it has been shown that
levels of miRNAs in BAT-derived exosomes change after BAT activation in vitro and
in vivo. Thus, BAT-derived exosomes can be used as potential biomarkers of BAT
activity. Here, we review the present knowledge about BAT-derived exosomes and
their role in metabolism.
PMID- 29633183
TI - General recommendations paper on the management of older patients with cancer:
the SEOM geriatric oncology task force's position statement.
AB - Population aging is associated with greater numbers of older people with cancer.
Thanks to treatment advances, not only are more seniors diagnosed with cancer,
but there are also more and more older cancer survivors. This upward trend will
continue. Given the heterogeneity of aging, managing older patients with cancer
poses a significant challenge for Medical Oncology. In Spain, a Geriatric
Oncology Task Force has been set up within the framework of the Spanish Society
for Medical Oncology (SEOM). With the aim of generating evidence and raising
awareness, as well as helping medical oncologists in their training with respect
to seniors with cancer, we have put together a series of basic management
recommendations for this population. Many of the patients who are assessed in
routine clinical practice in Oncology are older. CGA is the basic tool by means
of which to evaluate older people with cancer and to understand their needs.
Training and the correct use of recommendations regarding treatment for
comorbidities and geriatric syndromes, support care, and drug-drug interactions
and toxicities, including those of antineoplastic agents, as detailed in this
article, will ensure that this population is properly managed.
PMID- 29633184
TI - Evaluation of waiting times for breast cancer diagnosis and surgical treatment.
AB - PURPOSE: To analyse any delays in breast cancer diagnosis and surgical treatment,
influence of clinical and biological factors and influence of delays on survival.
METHODS/PATIENTS: A descriptive, observational, and retrospective study was
conducted between 2006 and 2016 on stages I-III breast cancer patients. This is a
retrospective review of health records to collect data on delays, patients'
clinical data, biological features of the tumour and information on treatment.
Mortality data from the National Death Index. RESULTS: In 493 evaluable patients,
the median of days from the first symptom to mammography, biopsy, and surgery was
41, 57, and 92, respectively. The median of days from screening mammography to
biopsy and surgery was 10 and 51, respectively. From biopsy to surgery, the
median was 34 days in every case. Over the last 5 years, an increase in biopsy
surgery delay has been observed (p = 0.0001). Tumour stages I and II vs. stage
III (RR 1.74. 95% CI 1.08-2.80, p = 0.027), diagnosis in screening (RR 0.66. 95%
CI 0.45-0.96, p = 0.030), and use of magnetic resonance imaging (RR 2.08. 95 CI
1.21-3.56, p = 0.008) condition a greater biopsy-surgery delay. No influence of
delays on survival has been identified. CONCLUSIONS: Delays in diagnosis and
surgery in the case of women diagnosed on the basis of symptoms may be improved.
There is a temporary tendency to a greater delay in surgery. Some clinical and
biological factors must be taken into account to optimise delays. Survival
results are not adversely affected by delays.
PMID- 29633185
TI - Re-expression of microRNA-4319 inhibits growth of prostate cancer via Her-2
suppression.
AB - PURPOSE: Her-2 is an epidermal growth factor receptor expressed in some prostate
cancers (PC) associated with outgrowth of the tumor. Dysregulation of some
microRNAs is involved in the regulation of PC pathogenesis, whereas the role of
miR-4319 in PC is unknown and addressed in the current study. METHODS: The levels
of miR-4319 in PC tissues were determined by RT-qPCR and their association with
patient survival was studied by Kaplan-Meier analysis. Targeted genes for miR
4319 were predicted by a bioinformatics algorithm and confirmed by a dual
luciferase reporter assay. Growth of cells of overexpression or inhibition of miR
4319 or Her-2 was analyzed by an MTT assay. Cell survival in response to a
chemotherapeutic drug, estramustine (EM), was analyzed by CCK-8 assay. Cell
apoptosis was evaluated by TUNEL assay and Western blotting for apoptosis
associated proteins. RESULTS: MiR-4319 levels were decreased in PC specimens,
compared to corresponding normal prostate tissue. Lower levels of miR-4319 were
correlated with poorer overall patients' survival. In vitro, the cell survival
mediated with Her-2 against chemotherapy was inhibited by overexpression of miR
4319 and was enhanced by depletion of miR-4319. Depletion of miR-4319 in primary
prostate epithelial cells increased Her-2-dependent cell growth, while re
expression of miR-4319 in PC cells inhibited Her-2-dependent cell growth and Her
2-dependent resistance to EM-induced apoptosis. CONCLUSION: The growth and chemo
resistance of PC cells may be suppressed via re-expression of miR-4319 that
inhibits Her-2 signaling.
PMID- 29633186
TI - Enhanced recovery after surgery in gastric cancer: which are the main
achievements from the Italian experience?
AB - In the last years, the concept of 'enhanced recovery after surgery' (ERAS) has
become a routine in the perioperative care of patients undergoing colorectal
resection. The application of ERAS programs in gastric surgery had a more
difficult penetration into clinical practice, mainly for the introduction of
radical changes in the traditional postoperative management. The aim of the study
was to analyze the rate of compliance to a standardized ERAS protocol in
different Italian centers and evaluate the results in terms of postoperative
outcomes. From April 2015 to July 2017, a prospective observational study was
conducted among seven centers participating in the Italian Group for Research for
Gastric Cancer (GIRCG), in patient candidates to elective gastrectomy for cancer.
A standardized ERAS perioperative protocol was approved by all centers.
Compliance to the protocol was then evaluated and postoperative outcomes
(morbidity and mortality rate, duration of hospital stay and readmission rate)
were analyzed. Two-hundred and seventy unselected patients operated on for
gastric cancer were enrolled. The median age was 73 years; 40.4% of patients were
female; 24.1% had a nutritional risk score >= 3. Perioperative chemotherapy was
used in 23.7% of cases. Total gastrectomy was performed in 57.4% of patients;
minimally invasive approach was adopted in 28.1% of patients. Adherence to the
protocol varied between 23 and 88% for single items. It was quite low for pre-
and intraoperative items, mainly for items related to nutritional care.
Postoperative complications occurred in 35.5% of patients, mortality was 0.7%.
Median length of hospital stay was 8 days (range 4-72) and the readmission rate
was 6.3%. There is a growing attention on the implementation of ERAS protocol for
gastric cancer surgery, but several elements of this protocol are still not
routinely adopted, among them items regarding nutritional care.
PMID- 29633187
TI - Lycoplanines B-D, Three Lycopodium Alkaloids from Lycopodium complanatum.
AB - A novel C17N Lycopodium alkaloid (LA), lycoplanine B (1), containing an unusual
formyl group, along with two new LAs, lycoplanines C (2) and D (3), were isolated
from the whole plant of Lycopodium complanatum. Their structures were elucidated
by extensive NMR techniques, including 1D- and 2D-NMR experiments, as well as
comparing their spectral data with those of the known analogues. A possible
biogenetic pathway for 1 was also proposed.
PMID- 29633190
TI - Fractionation and leachability of Fe, Zn, Cu and Ni in the sludge from a sulphate
reducing bioreactor treating metal-bearing wastewater.
AB - This work presents and discusses experimental results on the characterisation and
metal leaching potential of a biogenic, metal-rich sulphidic sludge, generated in
a sulphate-reducing bioreactor, operated to treat acidic synthetic solutions
bearing Fe, Zn, Ni and Cu. The sustainability of the metal removal bioprocess
strongly depends on the fate of the sludge. To propose appropriate management
practices, a detailed characterisation of the sludge is necessary. The
granulometry, chemical composition and mineralogy of the sludge were initially
determined. The mobility of the metals was assessed via a modified Tessier
experimental procedure. The leachability of the sludge metal content was
determined via a standard compliance method (EN 12457-2) and experiments designed
to evaluate the effect of pH and time on metal leaching from the sludge. The
sludge metal content sums up to 69.5% dw, namely iron (14.8%), zinc (18.7%),
nickel (17.7%) and copper (18.2%) and, based on the criteria set by European
Union, the sludge is characterised as hazardous and inappropriate for landfilling
without any pretreatment. The sludge consists mainly of very fine poorly
crystalline aggregates of Fe, Zn, Cu and Ni sulphides. The fine grain size, the
poorly crystalline structure and the oxidation of sulphide upon exposure to
water/air render the high metal content of the sludge recoverable.
PMID- 29633191
TI - Effects of selected synthetic insecticides on the total and differential
populations of circulating haemocytes in adults of the red cotton stainer bug
Dysdercus koenigii (Fabricius) (Hemiptera: Pyrrhocoridae).
AB - Red cotton bug, Dysdercus koenigii (Hemiptera: Pyrrhocoridae), has become the
major insect pest of various crops, including cotton, and thereby reducing the
yield qualitatively and quantitatively and synthetic insecticides belonging to
different groups are the major control agents for such insect pests. A laboratory
experiment was carried out to evaluate the effect of different conventional
insecticides, i.e., imidacloprid, deltamethrin, lambda cyhalothrin, gamma
cyhalothrin and cyfluthirn on haemocytes of D. koenigii. The individuals were
exposed to insecticides separately and data was recorded after 30 and 60 min of
the exposure. The findings of current study depicted chlorpyrifos to be more
effective and significant alterations in total haemocyte counts and differential
haemocyte counts were observed in the cyfluthirn treated D. koenigii. In addition
to this, cell structure was also disrupted as an immune response. Similar studies
would also be helpful to understand the defence mechanisms of insects against the
xenobiotics which will help to device efficient management tools for D. koenigii.
PMID- 29633188
TI - Antitumor Research on Artemisinin and Its Bioactive Derivatives.
AB - Cancer is the leading cause of human death which seriously threatens human life.
The antimalarial drug artemisinin and its derivatives have been discovered with
considerable anticancer properties. Simultaneously, a variety of target-selective
artemisinin-related compounds with high efficiency have been discovered. Many
researches indicated that artemisinin-related compounds have cytotoxic effects
against a variety of cancer cells through pleiotropic effects, including
inhibiting the proliferation of tumor cells, promoting apoptosis, inducing cell
cycle arrest, disrupting cancer invasion and metastasis, preventing angiogenesis,
mediating the tumor-related signaling pathways, and regulating tumor
microenvironment. More importantly, artemisinins demonstrated minor side effects
to normal cells and manifested the ability to overcome multidrug-resistance which
is widely observed in cancer patients. Therefore, we concentrated on the new
advances and development of artemisinin and its derivatives as potential
antitumor agents in recent 5 years. It is our hope that this review could be
helpful for further exploration of novel artemisinin-related antitumor agents.
PMID- 29633189
TI - Adenovirus and rotavirus recovery from a treated effluent through an optimized
skimmed-milk flocculation method.
AB - Sewage treatment may be insufficient for the complete removal of enteric viruses,
such as human adenoviruses (HAdV) and group A rotavirus (RVA). The differences in
the efficiency of the treatment methodologies used may interfere with the
detection of these viruses. The objective of this study was to optimize a skimmed
milk flocculation technique for the recovery of HAdV and RVA in the samples of
treated effluent. The treated effluent collected at the wastewater treatment
plant (WWTP) was processed via four protocols including modifications in the
initial centrifugation step and the final concentration of skimmed-milk. The
viral load and recovery rate were determined by quantitative PCR TaqMan(r)
System. The highest recovery rates of HAdV, RVA, and bacteriophage PP7 (internal
control process) were obtained when the concentration of skimmed-milk was doubled
and no centrifugation step was used for the sample clarification. The optimized
protocol was assessed in a field study conducted with 24 treated effluent samples
collected bi-monthly during 2015. HAdV and RVA were detected in 50.0% (12/24) and
33.3% (08/24) of the samples tested, respectively, throughout the year, without
seasonal variation (p > 0.05). This study corroborates the use of the organic
flocculation method for virus recovery in environmental samples with the
adaptation of the protocols to different aquatic matrices.
PMID- 29633192
TI - Ongoing environmental monitoring and assessment of the long-term impacts of the
February 2014 radiological release from the waste isolation pilot plant.
AB - Three years ago, the Waste Isolation Pilot Plant (WIPP) experienced its first
minor accident involving a radiological release. Late in the evening on February
14, 2014, a waste container in the repository underwent a chemical reaction that
caused the container to overheat and breach, releasing its contents into the
underground. Following a lengthy recovery process, the facility recently resumed
waste disposal operations. The accident released significant levels of
radioactivity into the disposal room and adjacent exhaust drifts, and although no
one was present in the underground at the time of the release, a total of 22
workers tested positive for very low level of radiation, presumably from some of
the radioactive material that was released above ground through a small leak in
the HEPA filtration system. The dominant radionuclides released were 241Am and
239 + 240Pu in a ratio that matched the content of the drum from Los Alamos
National Laboratory (LANL) that was eventually identified as the breached
container. From the air particulate monitoring and plume modeling, it was
concluded that the dose, at the nearest location accessible to the general
public, from this radiation release event would have been less than 0.01 mSv (< 1
mrem/year). This level is well below the 0.1 mSv/year (10 mrem/year) regulatory
limit for DOE facilities established by the US Environmental Protection Agency
(EPA).While no long-term impacts to public health or the environment are expected
as a result of the WIPP radiation release, the limited ventilation and residual
contamination levels in the underground are still a concern and pose a major
challenge for the full recovery of WIPP. This article provides an up-to-date
overview of environmental monitoring results through the WIPP recovery and an
estimate of the long-term impacts of the accident on the natural and human
environment.
PMID- 29633193
TI - Exposure to 2,4-dichlorophenoxyacetic acid induced PPARbeta-dependent disruption
of glucose metabolism in HepG2 cells.
AB - 2,4-Dichlorophenoxyacetic acid is one of the most widely used herbicides. Its
impact on health is increasingly attracting great attentions. This study aimed to
investigate the effect of 2,4-dichlorophenoxyacetic acid on glucose metabolism in
HepG2 cells and the underlying mechanism. After 24 h exposure to 2,4
dichlorophenoxyacetic acid, glycogen was measured by PAS staining and glucose by
ELISA in HepG2 cells. The expression of genes involved in glucose metabolism was
measured by real-time PCR, Western blotting, and immunofluorescence. HepG2 cells
presented more extracellular glucose consumption and glycogen content after
exposed to 2,4-dichlorophenoxyacetic acid. Expression of gluconeogenesis-related
genes, FoxO1, and CREB is significantly elevated. Moreover, PPARbeta was up
regulated dose-dependently. SiRNA knockdown of PPARbeta completely rescued the
increase of glycogen accumulation and glucose uptake, and the up-regulation of
FOXO1 and CREB expression. Our findings propose novel mechanisms that 2,4
dichlorophenoxyacetic acid causes glucose metabolism dysfunction through PPARbeta
in HepG2 cells.
PMID- 29633194
TI - Effluent concentration and removal efficiency of nine heavy metals in secondary
treatment plants in Shanghai, China.
AB - Wastewater treatment plants (WWTPs) are the most common form of industrial and
municipal wastewater control. To evaluate the performance of wastewater treatment
and the potential risk of treated wastewater to aquatic life and human health,
the influent and effluent concentrations of nine toxic metals were determined in
12 full-scale WWTPs in Shanghai, China. The performance was evaluated based on
national standards for reclamation and aquatic criteria published by US EPA, and
by comparison with other full-scale WWTPs in different countries. Potential
sources of heavy metals were recognized using partial correlation analysis,
hierarchical clustering, and principal component analysis (PCA). Results
indicated significant treatment effect on As, Cd, Cr, Cu, Hg, Mn, Pb, and Zn. The
removal efficiencies ranged from 92% (Cr) to 16.7% (Hg). The results indicated
potential acute and/or chronic effect of Cu, Ni, Pb, and Zn on aquatic life and
potential harmful effect of As and Mn on human health for the consumption of
water and/or organism. The results of partial correlation analysis, hierarchical
clustering based on cosine distance, and PCA, which were consistent with each
other, suggested common source of Cd, Cr, Cu, and Pb and common source of As, Hg,
Mn, Ni, and Zn. Hierarchical clustering based on Jaccard similarity suggested
common source of Cd, Hg, and Ni, which was statistically proved by Fisher's exact
test.
PMID- 29633196
TI - Impact of the Adalimumab Patient Support Program on Clinical Outcomes in
Ankylosing Spondylitis: Results from the COMPANION Study.
AB - INTRODUCTION: Adalimumab (ADA) is a tumor necrosis factor (TNF)-alpha inhibitor
indicated for the treatment of inflammatory autoimmune diseases, including
ankylosing spondylitis (AS). Patients receiving ADA in Canada are eligible to
enroll in the AbbVie CareTM patient support program (AC-PSP), which provides
personalized services, including care coach calls (CCCs). We estimated the
likelihood of controlled disease in a cohort of AS patients treated with ADA
enrolled in the AC-PSP and who received CCCs versus those who did not. METHODS: A
longitudinal analysis using de-identified aggregate-level data collected through
the AC-PSP was performed. A probabilistic matching algorithm was used to link
patient-level records from the AC-PSP database to records from the QuintilesIMS
longitudinal prescription transactions database. Patients were indexed on the
date of their first prescription of ADA between January 2010 and October 2015.
The AC-PSP database included patient assessments of the Bath Ankylosing
Spondylitis Disease Activity Index (BASDAI), a measure of disease activity.
Eligible patients had a baseline BASDAI assessment performed between 90 days
before and 30 days after the index date, and a follow-up BASDAI assessment 6-18
months later. Poisson regression was used to estimate the adjusted relative risk
(RR) of controlled disease (BASDAI < 4) at the time of follow-up, comparing
patients who received CCCs with those who did not. RESULTS: In total 249 AS
patients met eligibility criteria, and 123 (49%) received CCCs. Of the 249
patients, 184 (74%) had controlled disease (BASDAI < 4) at follow-up assessment,
98 (80%) in the CCC group and 86 (68%) in the no CCC group. Multivariable
regression analysis demonstrated a 23% increased likelihood of controlled disease
in patients who received CCCs relative to those who did not (RR = 1.23; 95%
confidence interval, 1.06-1.42; p = 0.0055). CONCLUSION: AS patients receiving
tailored services through the AC-PSP in the form of CCCs have an increased
likelihood of controlled disease within 6-18 months. FUNDING: AbbVie.
PMID- 29633197
TI - Cost-effectiveness Analysis of Golimumab in the Treatment of Non-Radiographic
Axial Spondyloarthritis in Scotland.
AB - INTRODUCTION: The aim of this study is to assess the cost-effectiveness of
golimumab for the treatment of non-radiographic axial spondyloarthritis (nr
axSpA) vs. conventional therapy and other tumor necrosis factor inhibitors from
the Scottish payer perspective. METHODS: A model comprising a short-term decision
tree and a long-term Markov model was developed to compare cost-effectiveness
(incremental costs per quality-adjusted life-year [QALY]) for patients in
Scotland with nr-axSpA treated by conventional therapy, adalimumab, certolizumab
pegol, etanercept, or golimumab for a lifetime period. A network meta-analysis
(NMA) was conducted to identify clinical and safety data for treatments and
synthesize the available evidence into relative treatment effects between
comparators. The probability of patients achieving an Assessment of
SpondyloArthritis International Society 20/40% response criteria (ASAS20/ASAS40)
or a 50% improvement in Bath Ankylosing Spondylitis Disease Activity Index score
(BASDAI50) at week 12 was obtained from the NMA for each of the comparators.
Baseline health state utilities were based on the EQ-5D questionnaire collected
in the golimumab GO-AHEAD study. The cost of treatment was calculated based on
drug acquisition, drug administration, and initiation/monitoring costs. RESULTS:
Golimumab resulted in an increase of 2.06 QALYs and additional cost of L39,770
compared with conventional therapy. Incremental cost per QALY gained was L19,280
for golimumab, which was lower than adalimumab (L19,737), etanercept (L20,089),
and higher than certolizumab pegol (L18,710). Golimumab remained cost-effective
throughout a range of sensitivity analyses where key assumptions were tested.
CONCLUSIONS: From a Scottish perspective, golimumab was a cost-effective
treatment for nr-axSpA compared with conventional therapy at a willingness-to-pay
threshold of L30,000 per QALY. FUNDING: Merck & Co., Inc.
PMID- 29633198
TI - The influence of emotional stimuli on the oculomotor system: A review of the
literature.
AB - In the past decade, more and more research has been investigating oculomotor
behavior in relation to attentional selection of emotional stimuli. Whereas
previous research on covert emotional attention demonstrates contradictory
results, research on overt attention clearly shows the influence of emotional
stimuli on attentional selection. The current review highlights studies that have
used eye-movement behavior as the primary outcome measure in healthy populations
and focusses on the evidence that emotional stimuli-in particular, threatening
stimuli-affect temporal and spatial dynamics of oculomotor programming. The most
prominent results from these studies indicate that attentional selection of
threatening stimuli is under bottom-up control. Moreover, threatening stimuli
seem to have the greatest impact on oculomotor behavior through biased processing
via the magnocellular pathway. This is consistent with an evolutionary account of
threat processing, which claims a pivotal role for a subcortical network
including pulvinar, superior colliculus, and amygdala. Additionally, I suggest a
neurobiological model that considers possible mechanisms by which emotional
stimuli could affect oculomotor behavior. The present review confirms the
relevance of eye-movement measurements in relation to researching emotion in
order to elucidate processes involved in emotional modulation of visual and
attentional selection.
PMID- 29633200
TI - Next-Generation Live-Cell Microarray Technologies.
AB - Over the last decades the application of cell-based assays and in vitro cell
culture systems has fundamentally transformed our understanding of biological
functions on a cellular and organism level. The resulting ubiquitous usage of
cell-based assays in today's scientific world has therefore generated a need for
advanced in vitro diagnostic systems. This increased demand has further led to
the development of miniaturized live-cell microarrays for biomedical applications
including high-throughput screening tools and microfluidic systems. The greatest
benefit of miniaturized cell analysis systems is the ability to provide
quantitative data in real time with high reliability and sensitivity, which are
key parameters for any cell-based assay. An additional advantage of live-cell
microarrays is their inherent capability for large-scale screening of single
cells, multicell populations, as well as spheroids.
PMID- 29633201
TI - Cell-Based Microarrays Using Superhydrophobic Platforms Patterned with Wettable
Regions.
AB - The use of patterned platforms to print cellular arrays enables the high
throughput study of cell behavior under a multitude of different conditions. This
rapid, cost-saving and systematic way of acquiring biologically relevant
information has found application in diverse scientific and industrial fields. In
an initial stage of development, platforms targeting high-throughput cellular
studies were restricted to standard two-dimensional (2D) setups. The design of
novel platforms compatible with three-dimensional (3D) cell culture arose after
the elucidation of the extreme importance of culturing cells in matrices
resembling the native extracellular matrix-cells and cell-cell interactions. This
need for biomimetic environments has been established in fields like drug
discovery and testing, disease model development, and regenerative medicine.
Here, we provide a description of the processing of flat platforms based on
wettability contrast, compatible with the high-throughput generation and study of
cell response in 3D biomaterials, including cell-laden hydrogels and porous 3D
scaffolds. The application of the aforementioned platforms to produce 3D
microtissues, which may find application as tissue models for drug screening or
as biomimetic building blocks for tissue engineering, is also addressed. In this
chapter, a description of the steps for (1) high-throughput platform processing,
(2) deposition of cell and biomaterial arrays, and (3) image-based results
screening is provided.
PMID- 29633199
TI - Psychopathic traits associated with abnormal hemodynamic activity in salience and
default mode networks during auditory oddball task.
AB - Psychopathy is a personality disorder accompanied by abnormalities in emotional
processing and attention. Recent theoretical applications of network-based models
of cognition have been used to explain the diverse range of abnormalities
apparent in psychopathy. Still, the physiological basis for these abnormalities
is not well understood. A significant body of work has examined psychopathy
related abnormalities in simple attention-based tasks, but these studies have
largely been performed using electrocortical measures, such as event-related
potentials (ERPs), and they often have been carried out among individuals with
low levels of psychopathic traits. In this study, we examined neural activity
during an auditory oddball task using functional magnetic resonance imaging
(fMRI) during a simple auditory target detection (oddball) task among 168
incarcerated adult males, with psychopathic traits assessed via the Hare
Psychopathy Checklist-Revised (PCL-R). Event-related contrasts demonstrated that
the largest psychopathy-related effects were apparent between the frequent
standard stimulus condition and a task-off, implicit baseline. Negative
correlations with interpersonal-affective dimensions (Factor 1) of the PCL-R were
apparent in regions comprising default mode and salience networks. These findings
support models of psychopathy describing impaired integration across functional
networks. They additionally corroborate reports which have implicated failures of
efficient transition between default mode and task-positive networks. Finally,
they demonstrate a neurophysiological basis for abnormal mobilization of
attention and reduced engagement with stimuli that have little motivational
significance among those with high psychopathic traits.
PMID- 29633202
TI - 3D Plasma Nanotextured(r) Polymeric Surfaces for Protein or Antibody Arrays, and
Biomolecule and Cell Patterning.
AB - Plasma micro-nanotexturing is a generic technology for topographical and chemical
modification of surfaces and their implementation in microfluidics and
microarrays. Nanotextured surfaces with desirable chemical functionality (and
wetting behavior) have shown excellent biomolecule immobilization and cell
adhesion. Specifically, nanotextured hydrophilic areas show (a) strong binding of
biomolecules and (b) strong adhesion of cells, while nanotextured
superhydrophobic areas show null adsorption of (a) proteins and (b) cells. Here
we describe the protocols for (a) biomolecule adsorption control on nanotextured
surfaces for microarray fabrication and (b) cell adhesion on such surfaces. 3D
plasma nanotextured(r) substrates are commercialized through Nanoplasmas private
company, a spin-off of the National Centre for Scientific Research Demokritos.
PMID- 29633203
TI - Single Cell Microarrays Fabricated by Microscale Plasma-Initiated Protein
Patterning (MUPIPP).
AB - Micropatterned arrays considerably advanced single cell fluorescence time-lapse
measurements by providing standardized boundary conditions for thousands of cells
in parallel. In these assays, cells are forced to adhere to defined
microstructured protein islands separated by passivated, nonadhesive areas. Here
we provide a detailed protocol on how to reproducibly fabricate high quality
single cell arrays by microscale plasma-initiated protein patterning (MUPIPP).
Advantages of MUPIPP arrays are the ease of preparation and the unrestricted
choice of substrates as well as proteins. We demonstrate how the arrays enable
the efficient measurement of single cell time trajectories using automated data
acquisition and data analysis by example of single cell gene expression after
mRNA transfection and time courses of single cell apoptosis. We discuss the more
general use of the protocol for assessment of single cell dynamics with the help
of fluorescent reporters.
PMID- 29633204
TI - Artificial Microniche Array with Spatially Structured Biochemical Cues.
AB - We present here a method to create arrays of microcavities that can be
differentially coated on their bottom, side, and top with different proteins.
These cavities range in size from single cell to multicellular aggregate. We
provide detailed protocols to create such arrays with some variations using
different materials and different coating proteins. The use of such cavities as
bona fide artificial microniches to mimic cellular microenvironments has been
already established and is referenced.
PMID- 29633205
TI - Three-Dimensional Cell-Based Microarrays: Printing Pluripotent Stem Cells into 3D
Microenvironments.
AB - Cell-based microarrays are valuable platforms for the study of cytotoxicity and
cellular microenvironment because they enable high-throughput screening of large
sets of conditions at reduced reagent consumption. However, most of the described
microarray technologies have been applied to two-dimensional cultures, which do
not accurately emulate the in vivo three-dimensional (3D) cell-cell and cell
extracellular matrix interactions.Herein, we describe the methodology for
production of alginate- and Matrigel-based 3-D cell microarrays for the study of
mouse and human pluripotent stem cells on two different chip-based platforms. We
further provide protocols for on-chip proliferation/viability analysis and the
assessment of protein expression by immunofluorescence.
PMID- 29633206
TI - Fabrication of Biomolecule Microarrays for Cell Immobilization Using Automated
Microcontact Printing.
AB - Biomolecule microarrays are generally produced by conventional microarrayer,
i.e., by contact or inkjet printing. Microcontact printing represents an
alternative way of deposition of biomolecules on solid supports but even if
various biomolecules have been successfully microcontact printed, the production
of biomolecule microarrays in routine by microcontact printing remains a
challenging task and needs an effective, fast, robust, and low-cost automation
process. Here, we describe the production of biomolecule microarrays composed of
extracellular matrix protein for the fabrication of cell microarrays by using an
automated microcontact printing device. Large scale cell microarrays can be
reproducibly obtained by this method.
PMID- 29633207
TI - Hydrogel Printing Based on UV-Induced Projection for Cell-Based Microarray
Fabrication.
AB - A considerable number of studies have focused on fabrication of hydrogel
microstructures due to its wide applications in tissue engineering, drug
delivery, and extracellular matrix construction. Here, we introduce a hydrogel
printing method based on UV-induced projection via a digital micromirror device
(DMD). Arbitrary microstructures could be fabricated within few seconds (<3) by
modulating UV projection using DMD as digital dynamic masks instead of a physical
mask, which also offers a high degree of flexibility and repeatability.
Furthermore, the ability of PEGDA film to hinder cell adhesion makes it possible
to control area over which cells attach.
PMID- 29633208
TI - Inkjet Printing for Biomedical Applications.
AB - Inkjet printing can deposit politer volumes of a specified ink at precise
locations on a substrate. Here we describe methods of using inkjet printing for
cell patterning in the field of biomedical applications, either directly printing
cells in cell media, or indirectly through printing a wax scaffold that guides
cell orientation/attachment onto a substrate.
PMID- 29633209
TI - High-Throughput Screening of Senescence Markers in Hematopoietic Stem Cells
Derived from Induced Pluripotent Stem Cells.
AB - The successful development and characterization of human induced pluripotent stem
cells (iPSCs) provides a powerful tool to study the molecular mechanisms that
control cell fate decisions and differentiation toward distinct lineages. Here we
focus on the ability of donors derived iPSCs to differentiate toward
hematopoietic progenitor cells and on the analysis of their telomere length. The
ability to screen telomere length in individual donors is important for defining
cellular senescence, which correlates with their differentiation potential toward
hematopoietic lineages. We have modified iPSC culture protocol and telomere
length analysis to suit for high throughput screening of telomere length in large
number of individual donors. This approach can be used to demonstrate the
heterogeneity or changes of telomere length and its shortening as an exclusion
criterion for selection of suitable donors for future stem cell therapies.
PMID- 29633210
TI - Primary and Stem Cell Microarrays: Application as Miniaturized Biotesting
Systems.
AB - The deposition of living cells on microarray surfaces can be used to create
physiologically relevant architecture in vitro. Such living cell microarrays
enable the reconstruction of biological processes outside the body in a
miniaturized format and have many advantages over traditional cell culture. The
present protocol offers an option for the preparation and analysis of living
primary and stem cell-based microarrays utilizing the standard microarray
equipment (contact-free piezoelectric nanoprinter, microarray scanner), as well
as microscopy. To produce living cell microarrays, we applied two kinds of
mesenchymal stem cells (MSCs) isolated from umbilical cord and adipose tissue, as
well as human umbilical vein endothelial cells (HUVECs) as model cells. We used
live imaging microscopy for the online monitoring of cell spots in total size,
staining of viable cells with Calcein acetoxymethyl ester (Calcein-AM) and
treatment of MSCs with differentiation media to analyze the proliferation,
viability, and differentiation potential of printed cells. This way, the general
applicability of the established living cell-based microarray production was
demonstrated.
PMID- 29633211
TI - Fabrication of Multielectrode Arrays for Neurobiology Applications.
AB - Substrate-integrated multielectrode arrays (MEAs) enable multisite, long-term,
and label-free sensing and actuation of neuronal electrical signals in reduced
cell culture models for network electrophysiology. Conventional, thin-film
fabricated passive MEAs typically provide a few tens of electrode sites. New
generations of active CMOS-based high-resolution arrays provide the capabilities
of simultaneous recordings from thousands of neurons over fields of view of
several square millimeters, yet allowing extracellular electrical imaging to be
achieved down to the subcellular scale. In turn, such advancement in chip-based
electrical readouts can significantly complement recently developed
biotechnological and bimolecular techniques for neurobiology applications. Here,
we describe (1) a simple method to fabricate passive MEAs and (2) protocols for
preparing and growing primary rat hippocampal neuronal cultures and human iPS
derived neurons on MEAs. The aim is to provide reliable protocols for initiating
the reader to this technology and for stimulating their further development and
experimental use in neurobiology.
PMID- 29633212
TI - Rapid Prototyping of Thermoplastic Microfluidic Devices.
AB - Microfluidic systems can be applied to develop unique tools for cell culture, low
cost diagnostics, and precision experimentation by leveraging microscale fluid
flow. As the field has expanded and matured, there is a need for rapid
prototyping that is both accessible to most research groups and can readily
translate toward scalable commercial manufacturing. Here, we describe a protocol
that incorporates rapid computer numerical control (CNC) milling of positive
molds, casting of a negative high-durometer silicone mold, and hot embossing to
produce microfluidic devices composed of virtually any thermoplastic material.
The method bypasses the need for high-precision machining of the bonding surfaces
by using a cast acrylic stock and only milling channels, thus expanding this
protocol to any CNC platform This technique represents a versatile, high-fidelity
prototyping method that enables fast turnaround of prototype devices in a
standard laboratory setting, while offering scalability for commercial
manufacturing.
PMID- 29633213
TI - Fabrication of Biomolecule Microarrays Using Rapid Photochemical Surface
Patterning in Thiol-Ene-Based Microfluidic Devices.
AB - In many biochip applications, it is advantageous to be able to immobilize
biomolecules at specific locations on the surface of solid supports. In this
protocol, we describe a photochemical surface patterning procedure based on thiol
ene/yne photochemistry which allows for the simple and rapid selective patterning
of biomolecules on thiol-ene solid supports. We describe the preparation of solid
supports which are required for the immobilization, including porous monoliths,
as well as two different immobilization schemes based on biotin-streptavidin
interactions and covalent linkage via free amino groups respectively.
PMID- 29633214
TI - Fabrication and Operation of Microfluidic Hanging-Drop Networks.
AB - The hanging-drop network (HDN) is a technology platform based on a completely
open microfluidic network at the bottom of an inverted, surface-patterned
substrate. The platform is predominantly used for the formation, culturing, and
interaction of self-assembled spherical microtissues (spheroids) under precisely
controlled flow conditions. Here, we describe design, fabrication, and operation
of microfluidic hanging-drop networks.
PMID- 29633216
TI - Establishment of Microfluidic Spheroid Cultures for Biomedical Applications.
AB - Multicellular spheroid is a three-dimensional (3D) cell culture model that mimics
cancer tumor environment. Its widespread use for anticancer therapy evaluation is
nowadays limited by accessibility of 3D compatible assays. Here, a microfluidic
system for spheroid formation, culture and analysis is presented. The system is
compatible with standard microplate readers. The microfluidic chip enables long
term 3D cell culture and in situ monitoring of cellular viability. Moreover,
design of the assay enables observation of delayed type of toxicity or
application of repeated doses of a drug.
PMID- 29633215
TI - A Microfluidic Droplet Array System for Cell-Based Drug Combination Screening.
AB - In the last few decades, drug combination therapy has been widely applied in
oncology and in other complex diseases. Due to its potential advantage of lower
drug toxicity and higher therapeutic efficacy, drug combination treatment has
been more and more studied in fundamental labs and pharmacy companies. In this
chapter, we report cell-based drug combination screening using a microfluidic
droplet system based on a sequential operation droplet array (SODA) technique. In
this system, an oil-covered two-dimensional droplet array chip was used as the
platform for cell culture and analysis. This chip was fixed in an x-y-z
translation stage under control of a computer program. A tapered capillary
connected with a syringe pump was coupled with the droplet array chip to achieve
multiple droplet manipulations including liquid metering, aspirating, depositing,
mixing, and transferring. Complex multistep operations for drug combination
screening involving long-term cell culture, medium changing, schedule-dependent
drug dosage and stimulation, and cell viability testing were achieved in parallel
using the present system. The drug consumption for each screening test was
substantially decreased to 5 ng-5 MUg, corresponding to 10- to 1000-fold
reductions compared with traditional drug screening systems with 96- or 384-well
plates.
PMID- 29633217
TI - Capillary Pinning Assisted Patterning of Cell-Laden Hydrogel Microarrays in
Microchips.
AB - We present a capillary pinning technique that gives complete control on the local
patterning of hydrogel structures in closed microchips. The technique relies on
selective trapping of liquids at predefined locations in a microchip using
capillary barriers. In selective patterning, the abrupt expansion in the cross
sectional geometry of a microchannel at capillary barriers results in a confined
advancement of the liquid-air meniscus. This protocol describes a detailed
procedure to design and fabricate microarrays of different hydrogel types,
fabricated with photopolymerization or thermogelation. The process can be
subdivided into two parts. First, a PDMS microchip containing microfeatures with
customized patterns is fabricated. Second, the microchip is filled with a
hydrogel precursor to be cross-linked by either photopolymerization or
thermogelation. The production of the microchip takes approximately 2 days,
depending on the substrate selection. Preparation of the hydrogel solutions takes
1-2 h, whereas the patterning and reaction to cross-link the hydrogels is
completed in a few minutes.
PMID- 29633218
TI - Oxygen-Generating Photocrosslinkable Hydrogel.
AB - Providing sufficient amount of oxygen to the cells is a critical issue since the
lack of adequate oxygen leads to cell death and tissue necrosis. Therefore, there
is a vital need to design and fabricate oxygen-generating biomaterials to
mitigate hypoxia-induced cell death in engineered tissues. Here, we report the
fabrication of an oxygen-generating hydrogel by incorporating calcium peroxide
(CPO) into the methacrylated gelatin (GelMA) structure using photocrosslinking
process. A sustainable release of oxygen could be provided from CPO-GelMA
hydrogel over a period of 5 days under hypoxic conditions (1% O2).
PMID- 29633219
TI - A Self-Assembled Antifouling Nano-Biointerface for the Generation of Spheroids.
AB - Several techniques have been established over the last decades to produce three
dimensional (3D) cellular spheroids and each method has its advantages and
limitations. The unique self-assembly properties of surface layer (S-layer)
proteins have already been applied to a broad range of life science applications.
The bacterial S-layer protein SbpA displays a strong antifouling behavior when
recrystallized on planar surfaces and offers the opportunity to induce 3D cell
aggregation. In this chapter, an S-layer nanointerface is presented as novel
ultralow attachment material for the formation of functional spheroids of
reproducible sizes. The system is compatible with standard microwell plates and
enables long-term 3D cell culture and in situ monitoring of cellular viability.
Moreover, this facile and stable biointerface has potential for use in toxicity
screening assays and represents an alternative to conventional materials like
polyethylene glycol (PEG), agarose, or hydrogel surfaces.
PMID- 29633220
TI - Spectroscopic Identification of the Carbyne Hydride Structure of the
Dehydrogenation Product of Methane Activation by Osmium Cations.
AB - The present work explores the structures of species formed by dehydrogenation of
methane (CH4) and perdeuterated methane (CD4) by the 5d transition metal cation
osmium (Os+). Using infrared multiple photon dissociation (IRMPD) action
spectroscopy and density functional theory (DFT), the structures of the
[Os,C,2H]+ and [Os,C,2D]+ products are explored. This study complements previous
work on the related species formed by dehydrogenation of methane by four other 5d
transition metal cations (M+ = Ta+, W+, Ir+, and Pt+). Osmium cations are formed
in a laser ablation source, react with methane pulsed into a reaction channel
downstream, and the resulting products spectroscopically characterized through
photofragmentation using the Free-Electron Laser for IntraCavity Experiments
(FELICE) in the 300-1800 cm-1 range. Photofragmentation was monitored by the loss
of H2/D2. Comparison of the experimental spectra and DFT calculated spectra leads
to identification of the ground state carbyne hydride, HOsCH+ (2A') as the
species formed, as previously postulated theoretically. Further, a full
description of the systematic spectroscopic shifts observed for deuterium
labeling of these complexes, some of the smallest systems to be studied using
IRMPD action spectroscopy, is achieved. A full rotational contour analysis
explains the observed linewidths as well as the observation of doublet structures
in several bands, consistent with previous observations for HIrCH+ (2A').
Graphical Abstract ?.
PMID- 29633221
TI - Insight into Identification of Acinetobacter Species by Matrix-Assisted Laser
Desorption/Ionization Time of Flight Mass Spectrometry (MALDI-TOF MS) in the
Clinical Laboratory.
AB - Currently, the capability of identification for Acinetobacter species using MALDI
TOF MS still remains unclear in clinical laboratories due to certain elusory
phenomena. Thus, we conducted this research to evaluate this technique and reveal
the causes of misidentification. Briefly, a total of 788 Acinetobacter strains
were collected and confirmed at the species level by 16S rDNA and rpoB
sequencing, and subsequently compared to the identification by MALDI-TOF MS using
direct smear and bacterial extraction pretreatments. Cluster analysis was
performed based on the mass spectra and 16S rDNA to reflect the diversity among
different species. Eventually, 19 Acinetobacter species were confirmed, including
6 species unavailable in Biotyper 3.0 database. Another novel species was
observed, temporarily named A. corallinus. The accuracy of identification for
Acinetobacter species using MALDI-TOF MS was 97.08% (765/788), regardless of
which pretreatment was applied. The misidentification only occurred on 3 A.
parvus strains and 20 strains of species unavailable in the database. The
proportions of strains with identification score >= 2.000 using direct smear and
bacterial extraction pretreatments were 86.04% (678/788) and 95.43% (752/788),
chi2 = 41.336, P < 0.001. The species similar in 16 rDNA were discriminative from
the mass spectra, such as A. baumannii & A. junii, A. pittii & A. calcoaceticus,
and A. nosocomialis & A. seifertii. Therefore, using MALDI-TOF MS to identify
Acinetobacter strains isolated from clinical samples was deemed reliable.
Misidentification occurred occasionally due to the insufficiency of the database
rather than sample extraction failure. We suggest gene sequencing should be
performed when the identification score is under 2.000 even when using bacterial
extraction pretreatment. Graphical Abstract ?.
PMID- 29633222
TI - Establishment of a Charge Reversal Derivatization Strategy to Improve the
Ionization Efficiency of Limaprost and Investigation of the Fragmentation
Patterns of Limaprost Derivatives Via Exclusive Neutral Loss and Survival Yield
Method.
AB - Sensitivity is generally an issue in bioassays of prostaglandins and their
synthetic analogs due to their extremely low concentration in vivo. To improve
the ionization efficiency of limaprost, an oral prostaglandin E1 (PGE1) synthetic
analog, we investigated a charge reversal derivatization strategy in electrospray
ionization mass spectrometry (ESI-MS). We established that the cholamine
derivative exhibits much greater signal intensity in the positive-ion mode
compared with limaprost in the negative ion mode. Collision-induced dissociation
(CID) involved exclusive neutral mass loss and positive charge migration to form
stable cationic product ions with the positive charge on the limaprost residue
rather than on the modifying group. This has the effect of maintaining the
efficiency and specificity of multiple reaction monitoring (MRM) and avoiding
cross talk. CID fragmentation patterns of other limaprost derivatives allowed us
to relate the dissociation tendency of different neutral leaving groups to an
internal energy distribution scale based on the survival yield method. Knowledge
of the energy involved in the production of stabilized positive ions will
potentially assist the selection of suitable derivatization reagents for the
analysis of a wide variety of lipid acids. Graphical Abstract ?.
PMID- 29633223
TI - Comprehensive Characterization of Swine Cardiac Troponin T Proteoforms by Top
Down Mass Spectrometry.
AB - Cardiac troponin T (cTnT) regulates the Ca2+-mediated interaction between myosin
thick filaments and actin thin filaments during cardiac contraction and
relaxation. cTnT is released into the blood following injury, and increased serum
levels of the protein are used clinically as a biomarker for myocardial
infarction. Moreover, mutations in cTnT are causative in a number of familial
cardiomyopathies. With the increasing use of large animal (swine) model to
recapitulate human diseases, it is essential to characterize species-dependent
protein sequence variants, alternative RNA splicing, and post-translational
modifications (PTMs), but challenges remain due to the incomplete database and
lack of validation of the predicted splicing isoforms. Herein, we integrated top
down mass spectrometry (MS) with online liquid chromatography (LC) and
immunoaffinity purification to comprehensively characterize miniature swine cTnT
proteoforms, including those arising from alternative RNA splicing and PTMs. A
total of seven alternative splicing isoforms of cTnT were identified by LC/MS
from swine left ventricular tissue, with each isoform containing un
phosphorylated and mono-phosphorylated proteoforms. The phosphorylation site was
localized to Ser1 for the mono-phosphorylated proteoforms of cTnT1, 3, 4, and 6
by online MS/MS combining collisionally activated dissociation (CAD) and electron
transfer dissociation (ETD). Offline MS/MS on Fourier-transform ion cyclotron
resonance (FT-ICR) mass spectrometer with CAD and electron capture dissociation
(ECD) was then utilized to achieve deep sequencing of mono-phosphorylated cTnT1
(35.2 kDa) with a high sequence coverage of 87%. Taken together, this study
demonstrated the unique advantage of top-down MS in the comprehensive
characterization of protein alternative splicing isoforms together with PTMs.
Graphical Abstract ?.
PMID- 29633226
TI - Bringing Healthy Retail to Urban "Food Swamps": a Case Study of CBPR-Informed
Policy and Neighborhood Change in San Francisco.
AB - In urban "food swamps" like San Francisco's Tenderloin, the absence of full
service grocery stores and plethora of corner stores saturated with tobacco,
alcohol, and processed food contribute to high rates of chronic disease. We
explore the genesis of the Tenderloin Healthy Corner Store Coalition, its
relationship with health department and academic partners, and its contributions
to the passage and implementation of a healthy retail ordinance through community
based participatory research (CBPR), capacity building, and advocacy. The healthy
retail ordinance incentivizes small stores to increase space for healthy foods
and decrease tobacco and alcohol availability. Through Yin's multi-method case
study analysis, we examined the partnership's processes and contributions to the
ordinance within the framework of Kingdon's three-stage policymaking model. We
also assessed preliminary outcomes of the ordinance, including a 35% increase in
produce sales and moderate declines in tobacco sales in the first four stores
participating in the Tenderloin, as well as a "ripple effect," through which non
participating stores also improved their retail environments. Despite challenges,
CBPR partnerships led by a strong community coalition concerned with bedrock
issues like food justice and neighborhood inequities in tobacco exposure may
represent an important avenue for health equity-focused research and its
translation into practice.
PMID- 29633224
TI - Rapid Quantification of 25-Hydroxyvitamin D3 in Human Serum by Matrix-Assisted
Laser Desorption/Ionization Mass Spectrometry.
AB - LC-MS/MS is widely utilized today for quantification of vitamin D in biological
fluids. Mass spectrometric assays for vitamin D require very careful method
optimization for precise and interference-free, accurate analyses however. Here,
we explore chemical derivatization and matrix-assisted laser
desorption/ionization (MALDI) as a rapid alternative for quantitative measurement
of 25-hydroxyvitamin D3 in human serum, and compare it to results from LC-MS/MS.
The method implemented an automated imaging step of each MALDI spot, to locate
areas of high intensity, avoid sweet spot phenomena, and thus improve precision.
There was no statistically significant difference in vitamin D quantification
between the MALDI-MS/MS and LC-MS/MS: mean +/- standard deviation for MALDI-MS
29.4 +/- 10.3 ng/mL-versus LC-MS/MS-30.3 +/- 11.2 ng/mL (P = 0.128)-for the sum
of the 25-hydroxyvitamin D epimers. The MALDI-based assay avoided time-consuming
chromatographic separation steps and was thus much faster than the LC-MS/MS
assay. It also consumed less sample, required no organic solvents, and was
readily automated. In this proof-of-concept study, MALDI-MS readily demonstrated
its potential for mass spectrometric quantification of vitamin D compounds in
biological fluids. Graphical Abstract ?.
PMID- 29633227
TI - The Association between Incarceration and Transactional Sex among HIV-infected
Young Men Who Have Sex with Men in the United States.
AB - Criminal justice practices in the USA disproportionately affect sexual and
racial/ethnic minority men, who are at higher risk of incarceration. Previous
research demonstrates associations between incarceration and sexual risk
behaviors for men who have sex with men (MSM). However, little of this work
focuses on young MSM (YMSM), particularly HIV-infected YMSM, despite nearly one
third reporting engagement in sexual risk behaviors, such as transactional sex.
We therefore explored the association between incarceration and transactional sex
among HIV-infected YMSM. We recruited 97 HIV-infected YMSM across 14 clinical
sites in urban centers from August 2015 to February 2016. We used multivariate
logistic regression to examine the relationship between incarceration and
transactional sex among YMSM. The majority was 24 years old (78%) and
racial/ethnic minority (95%); over half were not in school and reported an annual
income of < $12,000. In the multivariate model, having ever been incarcerated
(aOR = 3.20; 95% CI 1.07-9.63) was independently associated with a history of
transactional sex. Being 24 years vs. younger (aOR = 9.68; 95% CI 1.42-65.78) and
having ever been homeless (aOR = 3.71, 95% CI 1.18-11.65) also remained
independently associated with a history of transactional sex. This analysis fills
a gap in the literature by examining the relationship between incarceration and
transactional sex among HIV-infected YMSM. Facilitating youths' engagement with
social services available in their HIV clinic may serve as a key strategy in
promoting health. Public health efforts need to address social-structural factors
driving disproportionate rates of arrest and incarceration and related harms
among this population.
PMID- 29633229
TI - Development of a serum neutralization assay to detect Pteropine Orthoreovirus
Indonesia/2010 neutralizing antibodies.
AB - Pteropine Orthoreoviruses (PRVs) are fusogenic bat-borne orthoreoviruses that
cause flu-like upper respiratory tract infections in humans. The presence of this
group of viruses in bats and humans has been well documented in areas where their
biological reservoirs - fruit bats (family Pteropodidae) - live densely. In the
present study, a serum neutralization (SN) assay to detect neutralizing
antibodies against PRV Indonesia/2010 isolate was set up and used to assess the
seroprevalence of this virus in Italian domestic animals. The new developed assay
was able of detecting PRV neutralizing antibodies in the hyper-immune polyclonal
serum produced in rabbits (titer of 1:160). The negative serum was negative at
all tested dilutions. No cross-reactions have been evidenced neither against
reference MRVs nor against their respective hyper-immune sera. Eight hundred and
fifty-three serum samples collected from 524 bovines, 271 small ruminants, and 58
horses (all used as sentinel animals in the Bluetongue and West Nile disease
National surveillance program) were also tested with the new developed SN assay.
According to the results of this survey, neither PRV nor PRV cross- reacting
viruses antibodies have been demonstrated in Italian domestic animals. However,
the new developed SN assay could be a very valuable diagnostic tool to detect
infection in animals and humans.
PMID- 29633228
TI - Positive Effectiveness of Tafamidis in Delaying Disease Progression in
Transthyretin Familial Amyloid Polyneuropathy up to 2 Years: An Analysis from the
Transthyretin Amyloidosis Outcomes Survey (THAOS).
AB - INTRODUCTION: The effectiveness of tafamidis for the treatment of transthyretin
familial amyloid polyneuropathy (TTR-FAP) was evaluated using data from the
Transthyretin Amyloidosis Outcomes Survey (THAOS) registry. METHODS: Subjects
receiving tafamidis (n = 252) were compared with untreated subjects in a non
randomized, matched cohort analysis. Subjects were matched with up to four
untreated controls by genetic mutation, region of birth, and mean treatment
propensity score. RESULTS: The matched, treated sample consisted predominantly of
subjects with the Val30Met genotype (92.5%), from Portugal, and with a mean age
of 40.4 years. Over the course of the 2-year follow-up period, subjects treated
with tafamidis showed significantly less deterioration on the Neuropathy
Impairment Score for Lower Limbs (p < 0.001) and its subscales (p < 0.023)
compared with untreated subjects. There was significantly less deterioration
among tafamidis-treated subjects compared with untreated subjects on the Norfolk
Quality of Life scale (p < 0.001). There were no significant differences observed
in functional (assessed by Karnofsky Performance Status Scale score) or
nutritional (assessed by modified body mass index) status between the treated and
untreated groups. The primary model which examined survival from baseline using
the matched cohort was not able to yield estimates of the hazard ratio, as there
were no deaths in the tafamidis-treated subjects. CONCLUSION: These findings
support the results from clinical trials and strengthen evidence of the
effectiveness of tafamidis beyond conventional clinical trials. TRIAL
REGISTRATION: ClinicalTrials.gov: NCT00628745 FUNDING: Pfizer.
PMID- 29633230
TI - Adverse food reactions in dogs due to antibiotic residues in pet food: a
preliminary study.
AB - In the last decades, adverse food reactions have increased considerably in dogs
and cats. In this study we report on the possible onset of food intolerances
symptoms, including otitis, diarrhoea, generalised anxiety, and dermatitis in a
cohort of 8 dogs consuming commercial diets. All dogs received an organic chicken
based diet for 15 days. We performed analysis of blood biochemical parameters,
kibble composition, and oxytetracycline (OTC) serum concentration before and
after 15 days of organic chicken-based diet supplementation. We hypothesised that
a chronic intake of contaminated food enhanced by the presence of nanoparticle
aggregates might be at the base of the onset of pharmacologic or idiopathic food
intolerances. At the end of the evaluation period, an overall significant
reduction of otitis, diarrhoea, generalised anxiety, and dermatitis was observed.
Biochemical analyses indicate a significant increase in the alkaline phosphatase,
from 41 to 52.5 U/L, after 15 days (**p <0.01), while a significant decrease in
Gamma-glutamyl transferase and urea, from 9.37 to 6.25 U/L and from 32.13 +/-
8.72 to 22.13 +/- 7.8 mg/dL, respectively, was observed (*p <0.05). A significant
decrease, from 0.22 to 0.02 MUg/mL, in mean OTC serum concentration was also
observed (**p <0.01). Composition analysis revealed the presence of OTC, calcium,
aluminium, silicon, and phosphorous nanoparticle aggregates. Further research on
a wider sample size would help to confirm the hypothesis proposed here.
PMID- 29633231
TI - Reperfusion treatment in acute myocardial infarction in elderly patients.
AB - In this paper the current knowledge of reperfusion therapy in elderly patients
with an ST-segment elevation acute myocardial infarction (STEMI) is summarised.
Placebo-controlled trials of fibrinolytic agents, direct comparative trials of
fibrinolytic agents and antithrombotic co-therapies, and randomised trials of
primary percutaneous coronary intervention (PCI) versus fibrinolytic therapy as
well as registries are briefly reviewed, focusing on the impact of age. The
benefit and risk of a combined pharma-cological and mechanical approach is
presented. Important differences between a "facilitated PCI" and a "pharmaco
invasive strategy", particularly in older STEMI patients, are highlighted. It
will become clear at the end of this review that the knowledge about the benefit
and risk of reperfusion therapy in the elderly is still incomplete and that more
clinical trials in the elderly are needed. Practical recommendations for elderly
patients with STEMI based on the current knowledge have been provided.
PMID- 29633232
TI - The usefulness of C-reactive protein for the prediction of post-infarct left
ventricular systolic dysfunction and heart failure.
AB - Acute myocardial infarction (MI) provokes a systemic inflammatory response that
may contribute to the development of left ventricular systolic dysfunction (LVSD)
and heart failure (HF). Patients with post-infarct HF with concomitant LVSD have
the most unfavourable long-term prognosis. Measurement of C-reactive protein
(CRP) concentration reflecting an involvement of inflammatory pathways in post
infarct myocardial damage offers an attractive strategy to improve risk
stratification and clinical decision-making for early management of high-risk
patients. Despite growing evidence for the prognostic value of CRP both as a
single factor and as a component of multi-marker approach in MI, CRP measurement
is not yet incorporated into current guidelines. This may be due to conflicting
results reported in existing studies related to various limitations in study
designs, such as retrospective case control design, prior myocardial damage, CRP
measurement with low-sensitivity assays, non-homogenous populations with acute
coronary syndromes, different treatment strategies, small sample sizes, and the
lack of left ventricular ejection fraction assessment and long-term clinical and
echocardiographic monitoring. As a result, previous studies have not provided
conclusive evidence of the prognostic value of CRP for post-infarct LVSD or HF.
Future studies with an adequate design including upstream mediators of
inflammation as inflammatory markers are needed to identify the best biomarker
based strategies for identifying high-risk patients. Further clinical trials
involving anti-inflammatory therapies target-ing different pathways of
inflammatory activation in MI should test the inflammatory hypothesis of post
infarct LVSD and HF. Identifying high-risk patients with persistent post-infarct
inflammatory response may allow incorporation of pathophysiological guidance for
implementation of personalised treatment approaches.
PMID- 29633233
TI - Prognostic value of selected risk scales in patients with end-stage heart
failure.
AB - BACKGROUND: Due to the increasing number of patients placed on waiting lists for
orthotopic heart transplantation (OHT), the selection of patients with the
highest risk of death has become paramount. AIM: This study aimed to evaluate the
predictive value of the Model for End-stage Liver Disease eXcluding INR (MELD-XI)
and Meta-Analysis Global Group in Chronic Heart Failure (MAGGIC) scales in
ambulatory patients awaiting OHT and compare them to the Heart Failure Survival
Score (HFSS). METHODS: The study was a retrospective review of 370 adult
ambulatory patients with end-stage heart failure, who were added to the OHT
waiting list at our institution between 2012 and 2016. RESULTS: The median age of
the patients was 54.0 (46.0-60.0) years, and 324 (87.6%) of them were male. The
overall one-year mortality was 27.6%. The areas under the curve (AUCs) for the
MAGGIC and HFSS scales were comparable: 0.771 (95% confidence interval [CI] 0.720
0.823); sensitivity 77%, specificity 68% vs. 0.781 (95% CI 0.732-0.829);
sensitivity 90%, specificity 58%, respectively. The AUC for the MELD-XI scale was
higher than that for the HFSS scale: 0.812 (95% CI 0.769-0.856); sensitivity 91%,
specificity 63% vs. 0.781 (95% CI 0.732-0.829) sensitivity 90%, specificity 58%,
respectively. CONCLUSIONS: Our study demonstrated that elevated MELD-XI and
MAGGIC scores and lowered HFSS scores were associated with an increased risk of
death during one-year follow-up. The prognostic utility of the MELD-XI scoring
system was better than that of the HFSS scale, while the MAGGIC scale was
comparable to the HFSS.
PMID- 29633234
TI - Lead-related complications after DDD pacemaker implantation.
AB - BACKGROUND: Pacing leads remain the weakest link in pacemaker systems despite
advances in manufacturing technology. AIM: The aim of the study was to assess the
long-term pacing lead performance in an unselected real-life cohort following
primary DDD pacing system implantation. METHODS: A single-centre retrospective
analysis of patients who underwent DDD pacing system implantation between October
1984 and December 2014 and were followed-up until August 2016 was conducted. The
inclusion criterion was at least one follow-up visit after post-implant
discharge. The performance of each atrial and ventricular lead implanted was
evaluated during the follow-up period, and the incidence of, and predictive
factors for, lead dislodgement and failure were analysed. RESULTS: The data of
3771 patients and 24,431.8 patient-years of follow-up were analysed. The mean
follow-up of patients was 77.7 +/- 61.8 months. During the study period, 7887
transvenous atrial and right ventricular pacing leads were implanted. Lead
dislodgement occurred in 94 (1.2%) leads (92 [2.4%] patients), perforation in 11
(0.1%) leads (10 [0.3%] patients), and lead failure in 329 (4.2%) leads (275
[7.3%] patients). Atrial lead position was a predictive factor for lead
dislodgement, while age at implantation, polyurethane 80A insulation, subclavian
vein access, unipolar lead construction, and lead manufacturer were multivariate
predictors of lead failure. CONCLUSIONS: Leads with polyurethane 80A insulation,
unipolar construction, and those implanted via subclavian vein puncture exhibited
the worst long-term performance.
PMID- 29633235
TI - Affinity propagation: An exemplar-based tool for clustering in psychological
research.
AB - Affinity propagation is a message-passing-based clustering procedure that has
received widespread attention in domains such as biological science, physics, and
computer science. However, its implementation in psychology and related areas of
social science is comparatively scant. In this paper, we describe the basic
principles of affinity propagation, its relationship to other clustering
problems, and the types of data for which it can be used for cluster analysis.
More importantly, we identify the strengths and weaknesses of affinity
propagation as a clustering tool in general and highlight potential opportunities
for its use in psychological research. Numerical examples are provided to
illustrate the method.
PMID- 29633236
TI - Groundwater Modeling with Nonlinear Uncertainty Analyses to Enhance Remediation
Design Confidence.
AB - Soil and groundwater contamination are often managed by establishing on-site
cleanup targets within the context of risk assessment or risk management
measures. Decision-makers rely on modeling tools to provide insight; however, it
is recognized that all models are subject to uncertainty. This case study
compares suggested remediation requirements using a site-specific numerical model
and a standardized analytical tool to evaluate risk to a downgradient wetland
receptor posed by on-site chloride impacts. The base case model, calibrated to
observed non-pumping and pumping conditions, predicts a peak concentration well
above regulatory criteria. Remediation scenarios are iteratively evaluated to
determine a remediation design that adheres to practical site constraints, while
minimizing the potential for risk to the downgradient receptor. A nonlinear
uncertainty analysis is applied to each remediation scenario to stochastically
evaluate the risk and find a solution that meets the site-owner risk tolerance,
which in this case required a risk-averse solution. This approach, which couples
nonlinear uncertainty analysis with a site-specific numerical model provides an
enhanced level of knowledge to foster informed decision-making (i.e., risk-of
success) and also increases stakeholder confidence in the remediation design.
PMID- 29633237
TI - Use of Intensive Glycemic Management in Older Adults with Diabetes Mellitus.
AB - OBJECTIVES: To examine the proportion of older adults with diabetes mellitus
treated with tight glucose control and the factors associated with this practice.
DESIGN: Cross-sectional analysis. SETTING: Outpatient sites in the Diabetes
Collaborative Registry (N=151). PARTICIPANTS: Adults aged 75 and older with type
2 diabetes mellitus (N=42,669). MEASUREMENTS: Participants were categorized based
on glycosylated hemoglobin (HbA1c) and glucose-lowering medications: poor control
(HbA1c >9%), moderate control (HbA1c 8-9%), conservative control (HbA1c 7-8%),
tight control (HbA1c <7%) with low-risk agents (low risk for hypoglycemia), tight
control with high-risk agents, and diet control (HbA1c <7% taking no glucose
lowering medications). We used hierarchical logistic regression to examine
participant and site factors associated with tight control and high-risk agents
versus conservative or tight control and low-risk agents. RESULTS: Of 30,696
participants without diet-controlled diabetes, 5,596 (18%) had moderate or poor
control, 9,227 (30%) had conservative control, 7,893 (26%) had tight control
taking low-risk agents, and 7,980 (26%) had tight control taking high-risk
agents. Older age, male sex, heart failure, chronic kidney disease, and coronary
artery disease were each independently associated with greater odds of tight
control with high-risk agents. There were no differences according to practice
specialty (endocrinology, primary care, cardiology) in how aggressively
participants were managed. CONCLUSION: One-quarter of U.S. older adults with type
2 diabetes mellitus are tightly controlled with glucose-lowering medications that
have a high risk of hypoglycemia. These results suggest potential overtreatment
of a substantial proportion of people and should encourage further efforts to
translate guidelines to daily practice.
PMID- 29633238
TI - Drinking Ethanol Has Few Acute Effects on CYP2C9, CYP2C19, NAT2, and P
Glycoprotein Activities but Somewhat Inhibits CYP1A2, CYP2D6, and Intestinal
CYP3A: So What?
AB - We quantified the effect of acute ethanol exposure (initial blood concentrations
0.7 g/L) on major drug metabolizing enzymes and p-glycoprotein. Sixteen healthy
Caucasians participated in a randomized crossover study with repeated
administration of either vodka or water. Enzyme/transporter activity was assessed
by a cocktail of probe substrates, including caffeine (CYP1A2/NAT2), tolbutamide
(CYP2C9), omeprazole (CYP2C19), dextromethorphan (CYP2D6), midazolam (CYP3A), and
digoxin (P-glycoprotein). The ratio of AUC0-t of dextromethorphan for
ethanol/water coadministration was 1.95 (90% confidence interval (CI) 1.48-2.58).
The effect was strongest in individuals with a CYP2D6 genotype predicting high
activity (n = 7, ratio 2.66, 90% CI 1.65-4.27). Ethanol increased caffeine AUC0-t
1.38-fold (90% CI 1.25-1.52) and reduced intestinal midazolam extraction 0.77
fold (90% CI 0.69-0.86). The other probe drugs were not affected by ethanol. The
results suggest that acute ethanol intake typically has no clinically important
effect on the enzymes/transporters tested.
PMID- 29633239
TI - Postictal suppression and seizure durations: A patient-specific, long-term iEEG
analysis.
AB - OBJECTIVE: We report on patient-specific durations of postictal periods in long
term intracranial electroencephalography (iEEG) recordings. The objective was to
investigate the relationship between seizure duration and postictal suppression
duration. METHODS: Long-term recording iEEG from 9 patients (>50 seizures
recorded) were analyzed. In total, 2310 seizures were recorded during a total of
13.8 years of recording. Postictal suppression duration was calculated as the
duration after seizure termination until total signal energy returned to
background levels. The relationship between seizure duration and postictal
suppression duration was quantified using the correlation coefficient (r). The
effects of populations of seizures within patients, on correlations, were also
considered. Populations of seizures within patients were distinguished by seizure
duration thresholds and k-means clustering along the dimensions of seizure
duration and postictal suppression duration. The effects of bursts of seizures
were also considered by defining populations based on interseizure interval
(ISI). RESULTS: Seizure duration accounted for 40% of postictal suppression
duration variance, aggregated across all patients and seizures. Seizure duration
accounted for more than 25% of the variance in postictal suppression duration in
2 patients and accounted for less than 25% in the remaining 7. In 3 patients,
heat maps showed multiple distinct postictal patterns indicating multiple
populations of seizures. When accounting for these populations, seizure duration
accounted for less than 25% of the variance in postictal duration in all
populations. Variance in postictal suppression duration accounted for less than
10% of ISI variance in all patients. SIGNIFICANCE: We have previously
demonstrated that some patients have multiple seizure populations distinguishable
by seizure duration. This article shows that different seizure populations have
distinct and consistent postictal behaviors. The existence of multiple
populations in some patients has implications for seizure management and
forecasting, whereas the distinct postictal behaviors may have implications for
sudden unexpected death in epilepsy (SUDEP) prediction and prevention.
PMID- 29633240
TI - Transient improvement of skin symptoms in an adult patient with pediatric-onset
cutaneous mastocytosis treated with interferon-alpha.
PMID- 29633241
TI - Intravenous lacosamide for treatment of absence status epilepticus in genetic
generalized epilepsy: A case report and review of literature.
AB - BACKGROUND: Nearly 10 years after its introduction into the market, the
significance of lacosamide in genetic generalized epilepsies is still unclear.
Its new mode of action may qualify lacosamide as a therapeutic agent in this
entity, but only a limited number of cases have been published so far. AIM: To
describe the efficacy of lacosamide as treatment in a patient with the absence
status epilepticus. METHOD: We report on a 28-year-old woman with genetic
generalized epilepsy who suffered recurrent absence status epilepticus during
video-EEG-monitoring. After treatment failure of first- and second-line
medication, lacosamide was administered. The outcome in this patient was
evaluated, and a systematic literature review was performed for the use of
lacosamide in the absence status epilepticus. RESULTS: After application of 400
mg lacosamide intravenously, the absence status epilepticus terminated within 30
minutes. No further seizures or epileptiform discharges reoccurred until the end
of video-EEG-Monitoring 3 days later. CONCLUSIONS: The role of lacosamide as a
therapeutic option in patients with the absence status epilepticus is unclear.
Only two cases have been reported so far with conflicting results. Further
randomized controlled studies are required to validate the relevance of
lacosamide as treatment for status epilepticus in genetic generalized and the
absence epilepsy.
PMID- 29633242
TI - Alan C. Walker (1938-2017).
PMID- 29633243
TI - Internet gaming disorder in children and adolescents: a systematic review.
AB - AIM: Internet gaming disorder (IGD) is a serious disorder leading to and
maintaining pertinent personal and social impairment. IGD has to be considered in
view of heterogeneous and incomplete concepts. We therefore reviewed the
scientific literature on IGD to provide an overview focusing on definitions,
symptoms, prevalence, and aetiology. METHOD: We systematically reviewed the
databases ERIC, PsyARTICLES, PsycINFO, PSYNDEX, and PubMed for the period January
1991 to August 2016, and additionally identified secondary references. RESULTS:
The proposed definition in the Diagnostic and Statistical Manual of Mental
Disorders, Fifth Edition provides a good starting point for diagnosing IGD but
entails some disadvantages. Developing IGD requires several interacting internal
factors such as deficient self, mood and reward regulation, problems of decision
making, and external factors such as deficient family background and social
skills. In addition, specific game-related factors may promote IGD. Summarizing
aetiological knowledge, we suggest an integrated model of IGD elucidating the
interplay of internal and external factors. INTERPRETATION: So far, the concept
of IGD and the pathways leading to it are not entirely clear. In particular, long
term follow-up studies are missing. IGD should be understood as an endangering
disorder with a complex psychosocial background. WHAT THIS PAPER ADDS: In
representative samples of children and adolescents, on average, 2% are affected
by Internet gaming disorder (IGD). The mean prevalences (overall, clinical
samples included) reach 5.5%. Definitions are heterogeneous and the relationship
with substance-related addictions is inconsistent. Many aetiological factors are
related to the development and maintenance of IGD. This review presents an
integrated model of IGD, delineating the interplay of these factors.
PMID- 29633244
TI - Motor development in infancy is related to cognitive function at 4 years of age.
AB - AIM: Evidence is accumulating that motor and cognitive development are
interrelated. This study investigates associations between motor development in
infancy and cognitive function at 4 years of age. METHOD: This study is part of
the Groningen prospective cohort study on the development of children born after
assisted reproductive techniques such as in vitro fertilization. The study group
consisted of 223 children (119 males, 104 females) born to subfertile couples
(median gestational age 39.6wks, range 30-43wks). Motor behaviour was assessed
with the Infant Motor Profile (IMP) at 4 months, 10 months, and 18 months. IQ was
evaluated at 4 years with the Kaufman Assessment Battery for Children, Second
Edition. Latent class growth modelling was used to analyse relations between IMP
and IQ scores. RESULTS: Infants with low total IMP scores had an IQ that was 8.9
points lower at 4 years than infants with typical IMP scores (95% confidence
interval 3.6-14.1). Also, low scores in the domains of variation and performance
were associated with a lower IQ at 4 years of age, by 6.1 points and 13.2 points
respectively. INTERPRETATION: Motor development in relatively low-risk infants is
associated with cognition at 4 years of age. In particular, low motor variation
and performance are associated with a lower IQ at 4 years of age. WHAT THIS PAPER
ADDS: Motor development in infancy is directly related to cognitive function at 4
years of age. This relationship is most pronounced for infant motor variation and
performance. The size of the differences in IQ is clinically relevant.
PMID- 29633245
TI - TASP1 is deleted in an infant with developmental delay, microcephaly, distinctive
facial features, and multiple congenital anomalies.
AB - We report a 20p12.1 homozygous deletion including exons 5-10 of the TASP1 gene in
an infant with developmental delay, acquired microcephaly, distinctive facial
features, and multiple congenital anomalies involving skeletal, cardiac, and
renal systems. TASP1 encodes taspase 1 which is responsible for cleaving, thus
activating, a number of transcription factors including the mixed lineage
leukemia 1 (MLL1). Taspase 1-deficient mice showed early lethality, skeletal
abnormalities, and growth failure, which support a potentially causal role of
TASP1 deletion in this infant. Furthermore, the infant reported here had many of
the features seen in Wiedemann-Steiner syndrome which is caused by MLL1 defects.
Such observation further supports that TASP1 is a novel disease-related gene that
is associated with a disease phenotype overlapping with Wiedemann-Steiner
syndrome as both are caused by defects in the same pathway.
PMID- 29633247
TI - Ambient benzene at the residence and risk for subtypes of childhood leukemia,
lymphoma and CNS tumor.
AB - Exposure to benzene increases the risk for acute myeloid leukemia and possibly
other types of cancer in adults. For children, only limited evidence about
benzene and cancer exists. A few studies have indicated that benzene may increase
risk for some subtypes of childhood cancer but not for others. We aimed to
investigate if outdoor levels of benzene at the residence increase the risk for
subtypes of leukemia, lymphoma and CNS tumor in children. We identified 1,989
children diagnosed with leukemia, lymphoma or CNS tumor during 1968-1991 in the
Danish Cancer Registry and randomly selected 5,506 control children from the
Danish population, matched on sex, age and calendar time. We traced residential
history of all children from 9 months before birth to time of diagnosis,
calculated outdoor benzene concentration at all addresses and summarized
cumulative exposure over fetal and childhood periods separately. We used
conditional logistic regression for the statistical analyses. Benzene exposure
during childhood above the 90th percentile was associated with relative risks for
acute lymphocytic leukemia (ALL) and acute myeloid leukemia (AML) of 1.0 (95%
confidence intervals (CI): 0.6-1.7) and 1.9 (95% CI: 0.3-11.1), respectively,
when compared with exposure levels below the median. For CNS tumors, there was a
tendency of lower risk for ependymoma and higher risk for medulloblastoma in
association with higher exposure. In conclusion, benzene was associated with
higher risk for childhood AML, but not ALL, which is consistent with the few
previous studies.
PMID- 29633248
TI - Ictal connectivity in childhood absence epilepsy: Associations with outcome.
AB - OBJECTIVE: The understanding of childhood absence epilepsy (CAE) has been
revolutionized over the past decade, but the biological mechanisms responsible
for variable treatment outcomes are unknown. Our purpose in this prospective
observational study was to determine how pretreatment ictal network pathways,
defined using a combined electroencephalography (EEG)-functional magnetic
resonance imaging (EEG-fMRI) and magnetoencephalography (MEG) effective
connectivity analysis, were related to treatment response. METHODS: Sixteen
children with newly diagnosed and drug-naive CAE had 31 typical absence seizures
during EEG-fMRI and 74 during MEG. The spatial extent of the pretreatment ictal
network was defined using fMRI hemodynamic response with an event-related
independent component analysis (eICA). This spatially defined pretreatment ictal
network supplied prior information for MEG-effective connectivity analysis
calculated using phase slope index (PSI). Treatment outcome was assessed 2 years
following diagnosis and dichotomized to ethosuximide (ETX)-treatment responders
(N = 11) or nonresponders (N = 5). Effective connectivity of the pretreatment
ictal network was compared to the treatment response. RESULTS: Patterns of
pretreatment connectivity demonstrated strongest connections in the thalamus and
posterior brain regions (parietal, posterior cingulate, angular gyrus, precuneus,
and occipital) at delta frequencies and the frontal cortices at gamma frequencies
(P < .05). ETX treatment nonresponders had pretreatment connectivity, which was
decreased in the precuneus region and increased in the frontal cortex compared to
ETX responders (P < .05). SIGNIFICANCE: Pretreatment ictal connectivity
differences in children with CAE were associated with response to antiepileptic
treatment. This is a possible mechanism for the variable treatment response seen
in patients sharing the same epilepsy syndrome.
PMID- 29633246
TI - The physiological linkage between molar inclination and dental macrowear pattern.
AB - OBJECTIVES: Exact symmetry and perfect balance between opposite jaw halves, as
well as between antagonistic teeth, is not frequently observed in natural
masticatory systems. Research results show that asymmetry in our body, skull, and
jaws is often related to genetic, epigenetic, environmental and individual
ontogenetic factors. Our study aims to provide evidence for a significant link
between masticatory asymmetry and occlusal contact between antagonist teeth by
testing the hypothesis that tooth inclination is one of the mechanisms driving
distribution of wear in masticatory phases in addition to dietary and cultural
habits. MATERIALS AND METHODS: The present work investigates the relationship
between dental macrowear patterns and tooth inclinations on a sample of complete
maxillary and mandibular 3D models of dental arches from 19 young and adult
Yuendumu Aboriginal individuals. The analysis was carried out on first molars
(M1) from all quadrants. Occlusal Fingerprint Analysis was used for the
quantification of macrowear patterns, and 2D cross-sectional geometric analysis
was carried out to investigate asymmetry in dental arches. RESULTS: The asymmetry
is highly variable on both arches, and it is associated with differences in the
inclination of upper M1 crowns. Each molar has variable inclination
(buccal/lingual) which influence tooth to tooth contact, producing greater or
lesser variation in wear pattern. Interindividual variability of morphological
variation of the occlusal relationship has to be considered in macrowear
analysis. DISCUSSION: Our results suggest that overall asymmetry in the
masticatory apparatus in modern humans affects occlusal contact areas between
antagonist teeth influencing macrowear and chewing efficiency during ontogeny.
PMID- 29633249
TI - As you like it: How the same data can support manifold views of overdiagnosis in
breast cancer screening.
AB - Overdiagnosis estimates have varied substantially, causing confusion. The
discussions have been complicated by the fact that population and study design
have varied substantially between studies. To help assess the impact of study
design choices on the estimates, we compared them on a single population. A
cohort study from Funen County, Denmark, recently suggested little (~1%)
overdiagnosis. It followed previously screened women for up to 14 years after
screening had ended. Using publically available data from Funen, we recreated the
designs from five high-estimate, highly cited studies from various countries.
Selected studies estimated overdiagnosis to be 25-54%. Their designs were adapted
only to the extent that they reflect the start of screening in Funen in 1993. The
reanalysis of the Funen data resulted in overdiagnosis estimates that were
remarkably similar to those from the original high-estimate age-period studies,
21-55%. In additional analyses, undertaken to elucidate the effect of the
individual components of the study designs, overdiagnosis estimates were more
than halved after the most likely changes in the background risk were accounted
for and decreased additionally when never-screened birth cohorts were excluded
from the analysis. The same data give both low and high estimates of
overdiagnosis, it all depends on the study design. This stresses the need for a
careful scrutiny of the validity of the assumptions underpinning the estimates.
Age-period analyses of breast cancer overdiagnosis suggesting very high
frequencies of overdiagnosis rested on unmet assumptions. This study showed that
overdiagnosis estimates should in the future be requested to adequately control
for the background risk and include an informative selection of the studied
population to achieve valid and comparable estimates of overdiagnosis.
PMID- 29633250
TI - Committee Representation and Medicare Reimbursements-An Examination of the
Resource-Based Relative Value Scale.
AB - BACKGROUND: The Resource-Based Relative Value Scale Update Committee (RUC)
submits recommended reimbursement values for physician work (wRVUs) under
Medicare Part B. The RUC includes rotating representatives from medical
specialties. OBJECTIVE: To identify changes in physician reimbursements
associated with RUC rotating seat representation. DATA SOURCES: Relative Value
Scale Update Committee members 1994-2013; Medicare Part B Relative Value Scale
1994-2013; Physician/Supplier Procedure Summary Master File 2007; Part B National
Summary Data File 2000-2011. STUDY DESIGN: I match service and procedure codes to
specialties using 2007 Medicare billing data. Subsequently, I model wRVUs as a
function of RUC rotating committee representation and level of code
specialization. PRINCIPAL FINDINGS: An annual RUC rotating seat membership is
associated with a statistically significant 3-5 percent increase in Medicare
expenditures for codes billed to that specialty. For codes that are performed by
a small number of physicians, the association between reimbursement and rotating
subspecialty representation is positive, 0.177 (SE = 0.024). For codes that are
performed by a large number of physicians, the association is negative, -0.183
(SE = 0.026). CONCLUSIONS: Rotating representation on the RUC is correlated with
overall reimbursement rates. The resulting differential changes may exacerbate
existing reimbursement discrepancies between generalist and specialist
practitioners.
PMID- 29633251
TI - Operating room-to-incision interval and neonatal outcome in emergency caesarean
section: a retrospective 5-year cohort study.
AB - We conducted a 5-year retrospective cohort study on women undergoing caesarean
section to investigate factors influencing the operating room-to-incision
interval. Time-to-event analysis was performed for category-1 caesarean section
using a Cox proportional hazards regression model. Covariates included:
anaesthetic technique; body mass index; age; parity; time of delivery; and
gestational age. Binary logistic regression was performed for 5-min Apgar score
>= 7. There were 677 women who underwent category-1 caesarean section and who met
the entry criteria. Unadjusted median (IQR [range]) operating room-to-incision
intervals were: epidural top-up 11 (7-17 [0-87]) min; general anaesthesia 6 (4-11
[0-69]) min; spinal 13 (10-20 [0-83]) min; and combined spinal-epidural 24 (13-35
[0-75]) min. Cox regression showed general anaesthesia to be the most rapid
method with a hazard ratio (95%CI) of 1.97 (1.60-2.44; p < 0.0001), followed by
epidural top-up (reference group), spinal anaesthesia 0.79 (0.65-0.96; p = 0.02)
and combined spinal-epidural 0.48 (0.35-0.67; p < 0.0001). Underweight and
overweight body mass indexes were associated with longer operating room-to
incision intervals. General anaesthesia was associated with fewer 5-min Apgar
scores >= 7 with an odds ratio (95%CI) of 0.28 (0.11-0.68; p < 0.01). There was
no difference in neonatal outcomes between the first and fifth quintiles for
operating room-to-incision intervals. General anaesthesia is associated with the
most rapid operating room-to-incision interval for category-1 caesarean section,
but is also associated with worse short term neonatal outcomes. Longer operating
room-to-incision intervals were not associated with worse neonatal outcomes.
PMID- 29633252
TI - A patient-centered team approach in oncology.
PMID- 29633253
TI - Identification of novel cyclin gene fusion transcripts in endometrioid ovarian
carcinomas.
AB - Formation of fusion genes is pathogenetically crucial in many solid tumors. They
are particularly characteristic of several mesenchymal tumors, but may also be
found in epithelial neoplasms. Ovarian carcinomas, too, may harbor fusion genes
but only few of these were found to be recurrent with a rate ranging from 0.5 to
5%. Because most attempts to find specific and recurrent fusion transcripts in
ovarian carcinomas focused exclusively on high-grade serous carcinomas, the
situation in the other carcinoma subgroups remains largely uninvestigated as far
as fusion genes are concerned. We performed transcriptome sequencing on a series
of 34 samples from ovarian tumors that included borderline, clear cell, mucinous,
endometrioid, low-grade and high-grade serous carcinomas in search of fusion
genes typical of these subtypes. We found a total of 24 novel fusion transcripts.
The PCMTDI-CCNL2 fusion transcript, which involves a member of the cyclin family,
was found recurrently involved but only in endometrioid carcinomas (4 of 18
tumors; 22%). We also found three additional fusion transcripts involving genes
belonging to the cyclin family: ANXA5-CCNA2 and PDE4D-CCNB1 were detected in two
endometrioid carcinomas, whereas CCNY-NRG4 was identified in a clear cell
carcinoma. The recurrent involvement of CCNL2 in four fusions and of three other
genes of the cyclin family in three additional transcripts hints that
deregulation of cyclin genes is important in the pathogenesis of ovarian
carcinomas in general but of endometrioid carcinomas particularly.
PMID- 29633254
TI - Teaching & Learning Tips 7: small-group discussion.
AB - Challenge: The success of a small-group discussion depends in large part on the
effectiveness of the facilitator or small-group tutor. How can a facilitator
successfully manage diverse views and knowledge bases of participants while also
contributing as a member of the group? Herein, we provide tips for managing small
group discussions that can be applied widely in dermatology didactics.
PMID- 29633256
TI - A one-step method for modelling longitudinal data with differential equations.
AB - Differential equation models are frequently used to describe non-linear
trajectories of longitudinal data. This study proposes a new approach to estimate
the parameters in differential equation models. Instead of estimating derivatives
from the observed data first and then fitting a differential equation to the
derivatives, our new approach directly fits the analytic solution of a
differential equation to the observed data, and therefore simplifies the
procedure and avoids bias from derivative estimations. A simulation study
indicates that the analytic solutions of differential equations (ASDE) approach
obtains unbiased estimates of parameters and their standard errors. Compared with
other approaches that estimate derivatives first, ASDE has smaller standard
error, larger statistical power and accurate Type I error. Although ASDE obtains
biased estimation when the system has sudden phase change, the bias is not
serious and a solution is also provided to solve the phase problem. The ASDE
method is illustrated and applied to a two-week study on consumers' shopping
behaviour after a sale promotion, and to a set of public data tracking
participants' grammatical facial expression in sign language. R codes for ASDE,
recommendations for sample size and starting values are provided. Limitations and
several possible expansions of ASDE are also discussed.
PMID- 29633255
TI - HDAC5-LSD1 axis regulates antineoplastic effect of natural HDAC inhibitor
sulforaphane in human breast cancer cells.
AB - Our recent studies have shown that cross-talk between histone deacetylase 5
(HDAC5) and lysine-specific demethylase 1 (LSD1) facilitates breast cancer
progression. In this work, we demonstrated that regulatory activity at -356 to
100 bp promoter element plays a critical role in governing HDAC5 transcription.
By using DNA affinity precipitation and mass spectrometry, we identified a group
of factors that bind to this element. Among these factors, Upstream Transcription
Factor 1 (USF1) was shown to play a critical role in controlling HDAC5
transcription. Through screening a panel of epigenetic modifying drugs, we showed
that a natural bioactive HDAC inhibitor, sulforaphane, downregulated HDAC5
transcription by blocking USF1 activity. Sulforaphane facilitated LSD1
ubiquitination and degradation in an HDAC5-dependent manner. A comparative
microarray analysis demonstrated a genome wide cooperative effect of HDAC5 and
LSD1 on cancer-related gene expression. shRNA knockdown and sulforaphane
inhibition of HDAC5/LSD1 exhibited similar effects on expression of HDAC5/LSD1
target genes. We also showed that coordinated cross-talk of HDAC5 and LSD1 is
essential for the antitumor efficacy of sulforaphane. Combination treatment with
sulforaphane and a potent LSD1 inhibitor resulted in synergistic growth
inhibition in breast cancer cells, but not in normal breast epithelial cells.
Furthermore, combined therapy with sulforaphane and LSD1 inhibitor exhibited
superior inhibitory effect on MDA-MB-231 xenograft tumor growth. Taken together,
our work demonstrates that HDAC5-LSD1 axis is an effective drug target for breast
cancer. Inhibition of HDAC5-LSD1 axis with sulforaphane blocks breast cancer
growth and combined treatment with LSD1 inhibitor improves the therapeutic
efficacy of sulforaphane.
PMID- 29633257
TI - Physiologically Based Pharmacokinetic Modeling in Pregnancy: A Systematic Review
of Published Models.
AB - During recent years there has been a surge in developing and applying
physiologically based pharmacokinetic (PBPK) models in pregnant women to better
understand and predict changes in drug pharmacokinetics throughout pregnancy. As
a consequence, the number of publications focusing on pregnancy PBPK models has
increased substantially. However, to date these models, especially across various
platforms, have not been systematically evaluated. Hence, this review aims to
assess published PBPK models in pregnancy used for therapeutic purposes.
PMID- 29633258
TI - A comparative study on pathological features of transgenic rat lines expressing
either three or four repeat misfolded tau.
AB - Human tauopathies represent a heterogeneous group of neurodegenerative disorders
characterized by distinct clinical features, typical histopathological
structures, and defined ratio(s) of three-repeat and four-repeat tau isoforms
within pathological aggregates. How the optional microtubule-binding repeat of
tau influences this differentiation of pathologies is understudied. We have
previously generated and characterized transgenic rodent models expressing human
truncated tau aa151-391 with either three (SHR24) or four microtubule-binding
repeats (SHR72). Here, we compare the behavioral and neuropathological hallmarks
of these two transgenic lines using a battery of tests for sensorimotor,
cognitive, and neurological functions over the age range of 3.5-15 months.
Progression of sensorimotor and neurological deficits was similar in both
transgenic lines; however, the lifespan of transgenic line SHR72 expressing
truncated four-repeat tau was markedly shorter than SHR24. Moreover, the
expression of three or four-repeat tau induced distinct neurofibrillary pathology
in these lines. Transgenic lines displayed different distribution of tau
pathology and different type of neurofibrillary tangles. Our results suggest that
three- and four-repeat isoforms of tau may display different modes of action in
the diseased brain.
PMID- 29633259
TI - Antimutagenic constituents from Monanthotaxis caffra (Sond.) Verdc.
AB - OBJECTIVES: Monanthotaxis caffra (Sond.) Verdc. (Annonaceae) has been reported to
possess antitumoural properties. Preliminary screening showed that the crude
methanolic leaf extract had strong antimutagenic effects against aflatoxin B1
induced mutagenicity. The aim of this study was to isolate and evaluate the
antimutagenic properties of the active constituents from M. caffra. METHODS:
Different chromatographic, spectroscopic and spectrometric techniques were used
for the isolation and identification of the antimutagenic constituents. The
antimutagenic effect of the extract and compounds was evaluated using Ames,
Vitotox and Comet assays. KEY FINDINGS: Bioassay-guided fractionation of the
methanolic leaf extract yielded two antimutagenic compounds identified as (+)
crotepoxide and 5,6-diacetoxy1-benzoyloxymethyl-1,3-cyclohexadiene. Crotepoxide
had strong antimutagenicity in the Vitotox assay with an IC50 value of 131
MUg/ml. 5,6-Diacetoxy-1-benzoyloxymethyl-1,3-cyclohexadiene showed strong
antimutagenic activity in the Ames assay with an IC50 value of 348.9 MUg/plate
and no antimutagenic activity in the Vitotox test. Furthermore, the compound was
able to inhibit, block or prevent biotransformation of aflatoxin B1 by repressing
the proteins involved in transcription. CONCLUSIONS: Crotepoxide and 5,6
diacetoxy-1-benzoyloxymethyl-1,3-cyclohexadiene have the potential to mitigate
the risks arising from consumption of aflatoxin B1 -contaminated food and feed.
PMID- 29633260
TI - Animalizing the disadvantaged, mechanizing the wealthy: The convergence of socio
economic status and attribution of humanity.
AB - Differences between groups in socio-economic status (SES) are becoming more
salient nowadays. In this context, we examined the animalistic and mechanistic
dehumanization that both low and high-SES groups may experience respectively by
conducting three studies. In study 1, we manipulated the SES of two fictitious
groups (low vs. high-SES) and measured the humanity ascribed to them. Results
showed that the low-SES group was animalized in comparison with the high-SES
group, which was mechanized. In study 2, we manipulated the humanity of two
fictitious groups by describing them as animals or machines and measured the
perceived SES of the groups. Participants tended to attribute lower SES to the
group described as animals and higher SES to the group described as machines.
Finally, in study 3, we used an Implicit Association Test to replicate the
results of studies 1 and 2. Taken together, these studies show that low-SES
groups are considered as animal-like whereas high-SES groups are seen as robot
like. We discuss the implications of these findings in relation to the
justification of income inequality within our society.
PMID- 29633261
TI - Brain natriuretic peptide serum levels in periodontitis.
AB - BACKGROUND AND OBJECTIVE: N-terminal-pro-brain natriuretic peptide (NT-proBNP) is
expressed during inflammation and considered to be a biomarker of cardiovascular
disease (CVD). In the last decade, evidence has suggested that periodontitis is
associated with CVD. However, little is known of the potential role of this
peptide in periodontal disease. The aims of this study were to examine whether
the levels of NT-proBNP in serum are increased in periodontal disease and if
there is a relationship to severity of periodontitis. MATERIAL AND METHODS: A
case-control study was carried out, in which serum samples were collected from 40
patients with periodontitis and from 40 nonperiodontitis individuals. Full
periodontal examination was performed in all subjects. Demographic information,
and clinical and history of certain diseases were also recorded. Determinations
of serum NT-proBNP and high-sensitivity C-reactive protein concentrations were
carried out in an independent laboratory. RESULTS: The levels of NT-proBNP in
serum were significantly higher in patients with periodontitis compared with
controls (87.9 pg/mL vs 29.9 pg/mL, P < .0001). In addition, as periodontal
disease progressed, the levels of NT-proBNP increased. Multivariable regression
analysis showed that the periodontal inflamed surface area, a measure of
periodontal inflammation and disease activity, was the only periodontal parameter
significantly associated with elevated concentrations of NT-proBNP in serum (R2
= .777, P < .0001). CONCLUSION: In periodontitis, increased serum NT-proBNP
levels are observed in comparison with individuals without periodontitis.
Moreover, the greater the degree of periodontal destruction, the higher the
levels of NT-proBNP in serum.
PMID- 29633262
TI - Comparative analysis of early adverse events of pelvic organ prolapse repair with
or without transvaginal mesh using Clavien-Dindo classification.
AB - OBJECTIVE: To assess adverse events following surgical repair of pelvic organ
prolapse (POP) with or without the use of transvaginal mesh. METHODS: The present
retrospective study was conducted among women who underwent surgical POP repair
at Soroka University Medical Center, Beer Sheva, Israel, between January 1, 2013,
and December 31, 2015. Patients underwent anterior and posterior colporrhaphy
either with transvaginal mesh (Elevate Prolapse Repair System; American Medical
Systems, Minnetonka, MN, USA) or without transvaginal mesh (native tissue
repair). Perioperative adverse events were assessed using the Clavien-Dindo
classification; multivariate regression models were constructed to predict minor
and major adverse events. RESULTS: There were 111 women included; 35 were treated
with transvaginal mesh, and 76 underwent native tissue repair. Women undergoing
native tissue repair had a lower mean grade of cystocele (P=0.023) and a higher
rate of urinary stress incontinence (P=0.017) than patients treated with
transvaginal mesh. The duration of surgery (P=0.002), duration of hospitalization
(P<0.001), and the amount of blood loss (P=0.021) were lower in the native tissue
repair group. Repair with transvaginal mesh was not associated with increased
odds of major or minor adverse events (P>0.05 for all models examined).
CONCLUSION: Perioperative and postoperative adverse events were comparable
regardless of the operative approach.
PMID- 29633263
TI - The importance of body satisfaction to physical self-concept and body mass index
in Spanish adolescents.
AB - This research examines the association between measured body mass index (BMI) and
the perception of BMI by young students. Moreover, this research tests the
importance of BMI and self-concept, in order to predict body dissatisfaction in
high school students. The sample consisted of 2087 individuals from different
high schools in Extremadura, Spain, both males (n = 1046) and females (n = 1041),
ranging in age from 15 to 17 years old (M = 15.42; SD = 0.86). Initially,
participants' BMIs were assessed through anthropometry. Later, all individuals
were asked about their weight and height, and their self-reported BMI was
calculated. Participants also answered a questionnaire about their perception of
self-concept, as well as completed a test about body image perception using
Stunkard images. Outcomes revealed that factors concerning self-concept and
perceived BMI explained body dissatisfaction. Finally, results are discussed with
the aim of improving knowledge in body dissatisfaction context.
PMID- 29633265
TI - FoxP3+ regulatory T cells, interleukin 17 and mast cells in chronic inflammatory
periodontal disease.
AB - BACKGROUND AND OBJECTIVE: T cells are known to play a pivotal role in periodontal
disease; however, less is known about the T-helper subsets of regulatory T cells
(Tregs) and Th17 cells. The aim of this study was to investigate the cell types
expressing FoxP3 and interleukin (IL)-17A within periodontal disease tissues and
to determine gene and protein expression profiles associated with periodontitis.
MATERIAL AND METHODS: A total of 10 healthy/gingivitis and 10 chronic
periodontitis tissues were investigated. Immunohistochemistry and
immunofluorescence techniques were used to identify the FoxP3 and IL17-positive
cells and to determine the cell types respectively. Gene expression was
determined using semi-quantitative polymerase chain reaction array technology
that allowed the analysis of 84 pathway-focused genes known to be associated with
Tregs and Th17 cells. Transforming growth factor (TGF)-beta1, IL10 and IL17A
protein levels were determined using enzyme-linked immunosorbent assay. RESULTS:
Double immunofluorescence labeling revealed that all FoxP3+ cells were CD4+ ,
while IL17+ cells were neither CD4+ nor CD8+ but were tryptase+ , suggestive of
mast cells. More FoxP3+ cells than IL17+ cells were found in all the tissues
examined and overall there were few IL17+ cells. Statistically significant
increases in gene expression were found for STAT5A, STAT3, SOCS1, TGFbeta1 and
IL10 in the chronic periodontitis specimens predominantly infiltrated with B
cells and plasma cells when compared with healthy/gingivitis specimens
predominantly infiltrated with T cells. Protein analysis demonstrated higher
levels of the TGFbeta1 and IL10 cytokines in periodontitis tissues and in B-cell
and plasma cell predominant gingival tissues than in healthy/gingivitis tissues
and T-cell predominant gingival tissues. IL17A gene and protein expression was
not detected in any of the tissues. CONCLUSION: Based on the findings of this
study, we suggest that the source of low levels of IL17A in periodontal tissues
is mast cells not Th17 cells and that Tregs may have a more prominent role in the
pathogenesis of periodontal disease than Th17 cells.
PMID- 29633264
TI - GABA-like immunoreactivity in Biomphalaria: Colocalization with tyrosine
hydroxylase-like immunoreactivity in the feeding motor systems of panpulmonate
snails.
AB - The simpler nervous systems of certain invertebrates provide opportunities to
examine colocalized classical neurotransmitters in the context of identified
neurons and well defined neural circuits. This study examined the distribution of
gamma-aminobutyric acid-like immunoreactivity (GABAli) in the nervous system of
the panpulmonates Biomphalaria glabrata and Biomphalaria alexandrina, major
intermediate hosts for intestinal schistosomiasis. GABAli neurons were localized
in the cerebral, pedal, and buccal ganglia of each species. With the exception of
a projection to the base of the tentacle, GABAli fibers were confined to the CNS.
As GABAli was previously reported to be colocalized with markers for dopamine
(DA) in five neurons in the feeding network of the euopisthobranch gastropod
Aplysia californica (Diaz-Rios, Oyola, & Miller, 2002), double-labeling protocols
were used to compare the distribution of GABAli with tyrosine hydroxylase
immunoreactivity (THli). As in Aplysia, GABAli-THli colocalization was limited to
five neurons, all of which were located in the buccal ganglion. Five GABAli-THli
cells were also observed in the buccal ganglia of two other intensively studied
panpulmonate species, Lymnaea stagnalis and Helisoma trivolvis. These findings
indicate that colocalization of the classical neurotransmitters GABA and DA in
feeding central pattern generator (CPG) interneurons preceded the divergence of
euopisthobranch and panpulmonate taxa. These observations also support the
hypothesis that heterogastropod feeding CPG networks exhibit a common universal
design.
PMID- 29633266
TI - Variation in expression and activity pattern of mmp2 and mmp9 on different time
scales in the regenerating caudal fin of Poecilia latipinna.
AB - Alteration in the expression pattern of matrix metalloproteinase (MMP)2 and MMP9
was studied in the regenerating caudal fin of Poecilia latipinna immediately
following amputation until the new tissues gained structural integrity. Timed
expression pattern of these two MMPs was studied at enzyme, transcript as well as
protein levels. Additionally, both the gelatinases were localized in the
regenerating caudal fin during three specific stages of regeneration. The results
revealed a progressive increase in the expression of MMP2 starting at 1 h post
amputation (hpa), indicating its possible role in the remodelling of
extracellular matrix early on during caudal-fin regeneration. Nevertheless, a
reduction in transcript level expression of MMP2 at 6 hpa and 12 hpa stages,
points towards a possible transcriptional regulation, to further moderate its
activity. As observed in the case of MMP2, expression of MMP9 too increased from
1 hpa and remained elevated until 5 dpa. However, the active MMP9 revealed its
presence only 12 hpa onwards. Moreover, both the gelatinases were localised in
the apical epithelial cap and in the progress zone at wound epithelium (1 dpa)
and blastema (60 hpa) stages respectively. Further, during early differentiation
stage (5 dpa), high intensities of MMP2 and MMP9 were localized in the newly
formed actinotrichia as compared with the tissue proximal to it. Based on the
results, it could be construed that the controlled up-regulation of MMP2 and MMP9
from 1 hpa until the early differentiation stage ensures a regulated digestion of
extracellular matrix, perhaps to facilitate the recruitment, proliferation,
morphogenesis and re-patterning of resident stem cells during caudal fin
regeneration in P. latipinna.
PMID- 29633267
TI - Investigating nurses' quality of life and work-life balance statuses in
Singapore.
AB - AIMS: To investigate the key determinants of nurses' quality of life and work
life balance statuses in a tertiary hospital in Singapore. BACKGROUND: Nurses'
quality of life can directly and indirectly impact patients' safety and quality
of care. Therefore, identifying key factors that influence nurses' quality of
life is essential in the healthcare delivery system. METHODS: A descriptive
quantitative study design was adopted, and validated questionnaires were used.
Data were collected in a period of 3 months (March to May 2014) at a 600-bed
tertiary hospital in Singapore. One thousand and forty nurses participated in the
study. RESULTS: Social support and sense of coherence were found to be
significant predictors for high quality of life in all domains. Most nurses in
this study spent more time on work than their private lives. However, there was
no significant difference in job satisfaction among the four groups of nurses'
proportions of percentages of actual time spent on work and private life.
CONCLUSIONS: Cultivating social support from family, friends/colleagues and
supervisors can help an individual cope with stress and enhance a nurse's quality
of life. IMPLICATIONS FOR NURSING POLICY AND PRACTICE: Even though nurses who
spent more time at work were still satisfied with their job, they might need to
be aware of their physical health and work environment. Nursing policy related to
nurses' physical health and environment should be established. Health promotion
programmes such as physical exercise and mindfulness interventions should be
conducted to promote nurses' well-being and healthy workplace environments to
enhance nurses' quality of life.
PMID- 29633268
TI - Comparison of two different surgical approaches to increase peri-implant mucosal
thickness: A randomized controlled clinical trial.
AB - BACKGROUND: Current evidence supports the positive effect of adequate peri
implant mucosal thickness (PMT) on both marginal bone level stability and
esthetic outcomes. While the subepithelial connective tissue graft (sCTG) has
been traditionally considered as the gold standard for peri-implant mucosal
augmentation, acellular dermal matrix (ADM) may be a valid alternative. The
primary aim of this randomized clinical trial was to determine the clinical
efficacy of ADM in the augmentation of PMT as compared to an autologous sCTG.
METHODS: Patients who could benefit from peri-implant mucosal augmentation at the
time of implant placement were recruited. Participants were randomized to the
control (simultaneous sCTG) or test (simultaneous ADM) group. The primary outcome
in this study was changes in PMT between baseline and 16 weeks later. Keratinized
mucosal width (KMW) changes, modified wound healing index (MWHI) variations and
patient-reported outcome measures (PROMs) were recorded, as well. RESULTS: A
total of 20 participants were recruited per an a priori power analysis. There
were no statistically significant differences between groups at baseline for any
of the parameters analyzed. The gain in PMT at 1 mm, 3 mm, and 5 mm from the
expected mucosal margin was 0.44 +/- 2.04 mm, 1.20 +/- 1.48 mm, and 1.20 +/- 0.89
mm in the sCTG group, and 0.05 +/- 1.57 mm, 0.85 +/- 1.29 mm, and 1.45 +/- 1.17
mm in the ADM group. No statistically significant differences in terms of PMT,
KMW, and MWHI changes were observed between groups. The perceived discomfort was
higher at 2 and 4 weeks for patients in the sCTG group. CONCLUSION: ADM produces
similar outcomes to sCTG in terms of mucosal augmentation at the time of implant
placement.
PMID- 29633269
TI - Effects of lidocaine and the inclusion complex with 2-hydroxypropyl-beta
cyclodextrin on cell viability and proliferation of oral squamous cell carcinoma.
AB - OBJECTIVES: Squamous cell carcinoma (SCC) is a malignant disease that affects the
oral cavity. Lidocaine has shown antiproliferative and cytotoxic activity on
several cell types. The rapid dispersion is a limitation issue; however, the
complexation in cyclodextrin improved pharmacological features and modified the
drug release. This study investigated the effects of lidocaine (lido) complexed
with 2-hydroxypropyl-beta-cyclodextrin (HP-beta-CD-lido) on cell viability and
proliferation of human tongue squamous cell carcinoma SCC9 and SCC25. METHODS:
The complex formation was confirmed by differential scanning calorimetry (DSC)
and scanning electron microscopy (SEM). Cells SCC9 and SCC25 were exposed to lido
and HP-beta-CD-lido (40-4000 MUm), and the effects on cell viability (MTT) and
antiproliferative activity (SRB) were tested. KEY FINDINGS: Differential scanning
calorimetry and SEM results demonstrated the occurrence of host-guest
interaction. Lido and HP-beta-CD-lido (4000 MUm) significantly reduced the
viability of SCC9 cells to 83% and 63%, respectively. The viability of SCC25
treated with lido, and HP-beta-CD-lido (4000 MUm) was 71% and 44%, respectively.
Lido (4000 MUm) reduced the proliferation of SCC9 and SCC25 to 39.5% and 23.7%,
respectively. HP-beta-CD-lido (4000 MUm) was cytotoxic for both cell lines.
CONCLUSIONS: HP-beta-CD was able to potentiate the in vitro cytotoxic effects of
lidocaine on human squamous cell carcinoma.
PMID- 29633270
TI - Is the demonstration of bioequivalence for clavulanic acid required in
amoxicillin-clavulanic acid orally administered immediate-release products?
AB - OBJECTIVES: Bioequivalence (BE) criteria for amoxicillin-clavulanic acid (Co
amoxiclav) oral formulations are based on 90% confidence interval for both
amoxicillin and clavulanic acid. The aim of this work is to explore the relevance
of demonstrating BE of clavulanic acid in Co-amoxiclav oral formulations and also
to assess the impact on safety and efficacy of product due to bioinequivalent
clavulanic acid. METHODS AND KEY FINDINGS: The subtherapeutic levels of
clavulanic acid would continue to exert their action against beta-lactamases due
to postbeta-lactamase inhibitor effect. Additionally, only minute quantities are
required to inhibit beta-lactamases. Majority of adverse effects associated with
Co-amoxiclav are of less serious nature, therefore, risk due to suprabioavailable
clavulanic acid was determined to be low. 'Very rapid clavulanic acid release' in
in vitro dissolution test would ensure that clinically significant differences
between test and reference formulations if any are detected in advance. As an
additional risk mitigation strategy, WHO recommends qualitative and quantitative
composition similarity between test and reference formulations to ensure
excipients do not adversely impact bioavailability. CONCLUSIONS: Co-amoxiclav
with non-bioequivalent clavulanic acid, but bioequivalent amoxicillin would still
achieve its therapeutic objectives without exposing patients to unwanted adverse
effects. Therefore, the current regulatory criterion of demonstrating BE of
clavulanic acid appears conservative.
PMID- 29633271
TI - Psychosocial interventions for disruptive behaviour problems in children in low-
and middle-income countries: a systematic review and meta-analysis.
AB - BACKGROUND: Most of the evidence for psychosocial interventions for disruptive
behaviour problems comes from Western, high-income countries. The transferability
of this evidence to culturally diverse, low-resource settings with few mental
health specialists is unknown. METHODS: We conducted a systematic review with
random-effects meta-analysis of randomized controlled trials examining the
effects of psychosocial interventions on reducing behaviour problems among
children (under 18) living in low- and middle-income countries (LMIC). RESULTS:
Twenty-six randomized controlled trials (representing 28 psychosocial
interventions), evaluating 4,441 subjects, met selection criteria. Fifteen (54%)
prevention interventions targeted general or at-risk populations, whereas 13
(46%) treatment interventions targeted children selected for elevated behaviour
problems. Most interventions were delivered in group settings (96%) and half
(50%) were administered by non-specialist providers. The overall effect
(standardized mean difference, SMD) of prevention studies was -0.25 (95%
confidence interval (CI): -0.41 to -0.09; I2 : 78%) and of treatment studies was
0.56 (95% CI: -0.51 to -0.24; I2 : 74%). Subgroup analyses demonstrated
effectiveness for child-focused (SMD: -0.35; 95% CI: -0.57 to -0.14) and
behavioural parenting interventions (SMD: -0.43; 95% CI: -0.66 to -0.20), and
that interventions were effective across age ranges. CONCLUSIONS: Our meta
analysis supports the use of psychosocial interventions as a feasible and
effective way to reduce disruptive behaviour problems among children in LMIC. Our
study provides strong evidence for child-focused and behavioural parenting
interventions, interventions across age ranges and interventions delivered in
groups. Additional research is needed on training and supervision of non
specialists and on implementation of effective interventions in LMIC settings.
PMID- 29633272
TI - Effects of FGF-23-mediated ERK/MAPK signaling pathway on parathyroid hormone
secretion of parathyroid cells in rats with secondary hyperparathyroidism.
AB - This study is supposed to investigate the effect of FGF-23 on parathyroid hormone
(PTH) secretion through ERK/MAPK signaling pathway in secondary
hyperparathyroidism (SHPT) rat model. Thirty rats were equally served as the
normal and SHPT groups. After transfection, parathyroid cells was assigned into
blank, NC, pcDNA3.1-FGF-23, siRNA-FGF-23, U0126, and siRNA-FGF-23 + U0126 groups.
The serum levels of Calcium (Ca), Phosphorus (P), alkaline phosphatase (ALP), and
PTH were detected. HE and immunohistochemical (IHC) staining were used for the
histopathological changes and the FGF-23, EKR1/2, and pEKR1/2 expressions. qRT
PCR and Western blotting were performed to determine the mRNA and protein
expression of FGF-23, PTH, MAPK, EKR1/2, and Klotho. The proliferation,
apoptosis, and cell cycle were all measured for parathyroid cells by CCK-8 assay,
TUNEL staining and Flow cytometry. Compared with the normal group, the SHPT group
showed increased serum levels PTH, P, ALP, and FGF-23 and mRNA and protein
expressions of FGF-23 and PTH, whereas declined Ca and p-ERK1/2 expression, mRNA
and protein expression of Klotho, cell apoptosis rate was reduced. Furthermore,
compared to the blank and NC groups, the pcDNA3.1-FGF-23 and U0126 groups had a
decreased mRNA expression of Klotho, protein expression of EKR1/2 and Klotho, and
cell apoptosis rate was down-regulated, whereas the RNA and protein expressions
of FGF-23 and PTH were up-regulated, and cell proliferation was elevated. The
opposite results were observed in the siRNA-FGF-23 group. Our study demonstrated
that FGF-23 could inhibit signaling transduction of ERK/MAPK pathway and
accelerate the secretion of PTH in rats with SHPT.
PMID- 29633273
TI - NEAT1 contributes to neuropathic pain development through targeting miR-381/HMGB1
axis in CCI rat models.
AB - LncRNAs have been recognized as significant regulators in various diseases
including neuropathic pain. Although the lncRNA NEAT1 has been reported to be
involved in multiple cancers, its biological functions in neuropathic pain still
remain unknown. In our present study, a chronic constriction injury (CCI) rat
model was established and we found that NEAT1 was greatly upregulated in the
spinal cord tissues of CCI rats. Knockdown of NEAT1 can repress neuropathic pain
behaviors including mechanical and thermal hyperalgesia. In addition, NEAT1
downregulation inhibited neuroinflammation via inhibiting IL-6, IL-1beta, and
tumor necrosis factor (TNF)-alpha in CCI rats. We also observed that miR-381 was
decreased significantly in CCI rats. By using bioinformatics analysis, miR-381
was predicted to be a microRNA target of NEAT1, which indicated a negative
correlation between miR-381 and NEAT1. Inhibition of NEAT1 can induce miR-381
expression in CCI rats, which indicated a negative correlation between NEAT1 and
miR-381. HMGB1, as a downstream target gene of miR-381 was observed to be
dramatically increased in CCI rats. miR-381 can modulate HMGB1 expression
negatively and meanwhile, NEAT1 was able to regulate HMGB1 through sponging miR
381. Downregulation of HMGB1 can inhibit neuropathic pain behaviors which can be
reversed by miR-381 inhibitors. Taken these together, it was indicated that NEAT1
can induce neuropathic pain development in CCI rats via regulating miR-381/HMGB1
axis.
PMID- 29633274
TI - Ultrastructure aspects of Brycon gouldingi (Teleostei, Characidae) related to
swimming ability and feeding during larval development.
AB - The larval ultrastructure of Brycon gouldingi related to swimming and feeding
from hatching to total yolk absorption is described from scanning electron
micrographs. Newly hatched larvae (time zero) had no mouth opening, undefined
optic vesicles, an olfactory plate visible as a shallow depression, rudimentary
gill arches, neural groove, embryonic fin and a primary neuromast in the dorsal
region of the head. At the time of yolk absorption, 55 h post hatching, the
larvae presented an optic vesicle comprising an optic cup and crystalline lens; a
mouth with tongue, tapered teeth and taste buds; a ciliated olfactory cavity;
branched gill arches; filled neural groove signalling central nervous system
development; caudal, pectoral, dorsal and anal fins; and neuromasts distributed
throughout the head and body. These characters are related to prey capture and
swimming ability, key aspects of survival during the larval stage. The results of
this study provide important information for exploitation and aquaculture of B.
gouldingi.
PMID- 29633275
TI - Age and growth of migrating tropical eels, Anguilla celebesensis and Anguilla
marmorata.
AB - The age and growth of migrating tropical eels, Anguilla celebesensis and Anguilla
marmorata from central Sulawesi, Indonesia, were examined. Migrating eels (63 A.
celebesensis and 38 A. marmorata) were obtained from weirs near the Poso Lake
outlet and non-migrating eels (35 A. celebesensis and 119 A. marmorata) were
captured by baited hooks, eel pots, scoop net and electro-fishing in the Poso
River system, Laa River system, Baluga River, Tongku River and Padapu River from
February 2009 to October 2010. In both species, the proportion of eels with
opaque otolith edges showed a single peak in July, suggesting that one annulus (a
pair of translucent and opaque zones) was formed each year in their otoliths.
Mean +/- s.d. and range of total length (LT ) and age was 785.2 +/- 114.9 (585
1083) mm and 7.5 +/- 1.6 (5-11) years in migrating female A. celebesensis and
1132.2 +/- 173.7 (800-1630) mm and 11.6 +/- 3.3 (7-23) years in A. marmorata. The
age of migrating female eels was negatively correlated with annual growth rate,
100.7 +/- 17.2 (68.1-145.0) mm year-1 in A. celebesensis and 97.9 +/- 19.3 (66.6
131.6) mm year-1 in A. marmorata, but there was no significant correlation
between the LT and annual growth rate in either species. The annual growth rates
of these female tropical eels were typically higher than those of temperate
anguillid species, suggesting a latitudinal cline in growth rate in the genus
Anguilla reflecting the environmental conditions of their growth habitat.
PMID- 29633276
TI - Culture-expanded mesenchymal stem cell sheets enhance extraction-site alveolar
bone growth: An animal study.
AB - BACKGROUND AND OBJECTIVES: Impaired bone formation of the buccal alveolar plate
after tooth extraction during adolescence increases the difficulty of future
implant restoration. This study was undertaken to assess the feasibility and
efficacy of transplanting autogenous scaffold-free culture-expanded mesenchymal
stem cell (MSC) sheets to the buccal alveolar bone surface to stimulate local
bone growth. MATERIAL AND METHODS: Mandibular bone marrow was aspirated from 3
month-old pigs (n = 5), from which MSCs were isolated and culture expanded.
Triple-layer MSC sheets were then fabricated using temperature-responsive tissue
culture plates. One month after bone marrow aspirations, the same pigs underwent
bilateral extraction of mandibular primary molars, immediately followed by
transplantation of 3 autogenous triple-layer MSC sheets on to the subperiosteal
buccal alveolar surface of 1 randomly chosen side. The contralateral side
(control) underwent the same periosteal reflection surgery without receiving MSC
sheet transplantation. Six weeks later, the animals were killed and specimens
from both sides were immediately harvested for radiographic and histological
analysis. Buccal alveolar bone thickness, tissue mineral density (TMD), mineral
apposition and bone volume fraction (BV/TV) were quantified and compared between
the MSC sheet and control sides using paired t-tests. RESULTS: Triple-layer MSC
sheets were reliably fabricated and the majority of cells remained vital before
transplantation. The thickness of buccal bone tended to increase with MSC sheet
transplantation (P = .18), with 4 of 5 animals showing an average of 1.82 +/-
0.73 mm thicker bone on the MSC sheet side than the control side. After being
normalized by the TMD of intracortical bone, the TMD of surface cortical bone was
0.5-fold higher on the MSC sheet side than the control side (P < .05). Likewise,
the BV/TV measurements of the buccal surface region were also 0.4-fold higher on
the MSC sheet side than the control side (P < .05) after being normalized by
measurements from the intracortical region. Mineral apposition measurements were
not different between the 2 sides. CONCLUSION: Mandibular marrow-derived MSCs can
be fabricated into cell sheets and autogenous transplantation of MSC sheets onto
the subperiosteal buccal alveolar bone surface at the tooth-extraction site may
increase local bone density.
PMID- 29633277
TI - A novel map of the mouse eye for orienting retinal topography in anatomical
space.
AB - Functionally distinct retinal ganglion cells have density and size gradients
across the mouse retina, and some degenerative eye diseases follow topographic
specific gradients of cell death. Hence, the anatomical orientation of the retina
with respect to the orbit and head is important for understanding the functional
anatomy of the retina in both health and disease. However, different research
groups use different anatomical landmarks to determine retinal orientation
(dorsal, ventral, temporal, nasal poles). Variations in the accuracy and
reliability in marking these landmarks during dissection may lead to
discrepancies in the identification and reporting of retinal topography. The goal
of this study was to compare the accuracy and reliability of the canthus, rectus
muscle, and choroid fissure landmarks in reporting retinal orientation. The
retinal relieving cut angle made from each landmark during dissection was
calculated based on its relationship to the opsin transition zone (OTZ),
determined via a custom MATLAB script that aligns retinas from immunostained s
opsin. The choroid fissure and rectus muscle landmarks were the most accurate and
reliable, while burn marks using the canthus as a reference were the least. These
values were used to build an anatomical map that plots various ocular landmarks
in relationship to one another, to the horizontal semicircular canals, to lambda
bregma, and to the earth's horizon. Surprisingly, during normal locomotion, the
mouse's opsin gradient and the horizontal semicircular canals make equivalent 6
degrees angles aligning the OTZ near the earth's horizon, a feature which may
enhance the mouse's ability to visually navigate through its environment.
PMID- 29633278
TI - Controlling food, controlling relationships: exploring the meanings and dynamics
of family food practices through the diary-interview approach.
AB - Potential merits of a social practice perspective for examining the meanings and
dynamics of family food include moving beyond individual behaviour, and exploring
how practices emerge, develop and change. However, researchers have struggled to
encourage reflection on mundane practices, and how to understand associated
meanings. Drawing on a study of families in South Wales, this article reflects on
the value of the diary-interview approach in addressing these methodological
challenges, and aims to explore and understand the dynamics of control across
family contexts. Contemporary practice theories distinguish between practices as
'performances' and practices as 'entities' and the diary-interview method
facilitated an examination of these dimensions. Detailed accounts of daily
'performances' (through diaries), alongside reflection on underlying contexts and
'entities' (through interviews), illustrated the entanglement of control,
practices and context. The article adds further complexity to the concept of
practice 'bundles' which facilitated an understanding of how food was
interrelated with other practices - across family contexts and across
generations. Sociological approaches with a practices perspective at the core,
offer potential for developing public health interventions by acknowledging: the
relational meaning of food; the embeddedness of food within everyday practices;
and the need to consider interventions across a range of policy areas.
PMID- 29633279
TI - Biological explanations for discordant noninvasive prenatal test results:
Preliminary data and lessons learned.
AB - OBJECTIVE: Maternal plasma cell-free DNA (cfDNA) analysis is a powerful screening
tool for Down syndrome. In a pilot series, we examined biologic causes of
discordance between the cfDNA test results and the fetal karyotype. We also
explored the feasibility of obtaining trio biospecimens by using parental
engagement. METHODS: A convenience sample of women with discordant cfDNA results
were recruited by their care providers. We provided shipping materials and
instructions for biospecimen collection. Maternal, newborn, and placental samples
were examined with droplet digital PCR. RESULTS: Thirteen of 15 women
successfully had biospecimens obtained remotely. High-quality DNA was extracted
in 12 of 13 women. Presumed biologic etiologies for discordance were identified
in 7 of 12 women: 3 cases from additional clinical review (male renal transplant,
vanishing twin, and colon cancer) and 4 cases from additional laboratory
investigation using droplet digital PCR (3 with confined placental mosaicism and
1 with true fetal mosaicism). CONCLUSIONS: Understanding the biology behind cfDNA
fetal karyotype discordancy is useful for follow-up clinical care. Our study
suggests that most cases could be resolved by using a trio biospecimen protocol
and parental involvement. To improve accuracy, additional sequencing of
biospecimens will be required.
PMID- 29633281
TI - Improved survival for extremity soft tissue sarcoma treated in high-volume
facilities.
AB - BACKGROUND AND OBJECTIVES: The purpose of this investigation was to determine the
effect of hospital volume on treatment decisions, treatment results, and overall
patient survival in extremity soft tissue sarcoma. METHODS: The National Cancer
Database was used to identify patients >=18 years of age with non-metastatic soft
tissue sarcoma of the extremity treated with surgery. Patients in high- and low
volume centers were matched by propensity score and placed into two equal
comparative groups of 2437 patients each. RESULTS: Chemotherapy was used at a
higher rate in high-volume centers (22% vs 17%, P < 0.001) and external beam
radiation usage was similar (55% vs 52%, P = 0.108). There was a lower incidence
of positive margins in high-volume centers (12% vs 17%, P < 0.001). There was no
significant difference in the rates of limb salvage surgery or readmissions at
high-volume hospitals compared to low-volume. In a multivariate Cox proportional
hazards model, low-volume facilities demonstrated diminished overall survival at
all time points (hazard ratio at 5 years = 1.24, 95%CI 1.10-1.39). CONCLUSIONS:
Treatment at high-volume hospitals was associated with fewer positive margins and
increased overall survival at 2, 5, and 10 years. Continued efforts should focus
on optimizing the balance between patient access to specialty care and experience
of the treating center.
PMID- 29633282
TI - Visual Evoked Potential Recovery by Subretinal Implantation of Photoelectric Dye
Coupled Thin Film Retinal Prosthesis in Monkey Eyes With Macular Degeneration.
AB - Retinal prosthesis or artificial retina is a promising modality of treatment for
outer retinal degeneration, caused by primary and secondary loss of photoreceptor
cells, in hereditary retinal dystrophy and age-related macular degeneration,
respectively. Okayama University-type retinal prosthesis (OUReP) is a
photoelectric dye-coupled polyethylene film which generates electric potential in
response to light and stimulates nearby neurons. The dye-coupled films were
implanted by vitreous surgery in the subretinal space of monkey eyes with macular
degeneration which had been induced by cobalt chloride injection from the scleral
side. A pilot 1-month observation study involved 6 monkeys and a pivotal 6-month
observation study involved 8 monkeys. Of 8 monkeys in 6-month group, 3 monkeys
underwent dye-coupled film removal at 5 months and were observed further for 1
month. The amplitude of visual evoked potential which had been reduced by macular
degeneration did recover at 1 month after film implantation and maintained the
level at 6 months. Optical coherence tomography showed no retinal detachment, and
full-field electroretinograms maintained a-wave and b-wave amplitudes, indicative
of no retinal toxicity. Pathological examinations after 6-month implantation
showed structural integrity of the inner retinal layer in close apposition to dye
coupled films. The implanted films which were removed by vitrectomy 5 months
later showed light-evoked surface electric potentials by scanning Kelvin probe
measurement. The photoelectric dye-coupled film (OUReP), which serves as a light
receiver and a displacement current generator in the subretinal space of the eye,
has a potential for recovering vision in diseases with photoreceptor cell loss,
such as retinitis pigmentosa and age-related macular degeneration.
PMID- 29633283
TI - T-cell profiles elicited by Toxoplasma gondii in acutely/chronically infected
humans.
AB - Toxoplasma gondii (T. gondii) is an obligate intracellular protozoan parasite
that can infect almost all warm-blooded species and induce a chronic infection in
human hosts. The aim of this work was to investigate Th1, Th2, Th17 and Treg
polarization, induced by four important T. gondii antigens (SAG1, ROP1, GRA8 and
MAG1) in acutely and chronically infected patients. For this purpose, SAG1, ROP1,
GRA8 and MAG1 were expressed as recombinant proteins, purified, and used to
evaluate the proinflammatory and regulatory immune response profiles in
seropositive and seronegative individuals. Our results show that SAG1 and ROP1
elicited a proinflammatory profile (INF-gamma, IL-12 and IL-17) in individuals in
the acute phase, whereas MAG1 and GRA8 induced a regulatory pattern (Treg and TGF
beta) in chronically infected patients. These results reveal fundamental
differences in T-cell polarization induced by T. gondii antigens, which could
have important implications in the immunopathogenesis of the disease and in
future proposals of therapeutic strategies.
PMID- 29633284
TI - Spatial fractionation of the dose in heavy ions therapy: An optimization study.
AB - PURPOSE: The alliance of charged particle therapy and the spatial fractionation
of the dose, as in minibeam or Grid therapy, is an innovative strategy to improve
the therapeutic index in the treatment of radioresistant tumors. The aim of this
work was to assess the optimum irradiation configuration in heavy ion spatially
fractionated radiotherapy (SFRT) in terms of ion species, beam width, center-to
center distances, and linear energy transfer (LET), information that could be
used to guide the design of the future biological experiments. The nuclear
fragmentation leading to peak and valley regions composed of different secondary
particles, creates the need for a more complete dosimetric description that the
classical one in SFRT. METHODS: Monte Carlo simulations (GATE 6.2) were performed
to evaluate the dose distributions for different ions, beam widths, and spacings.
We have also assessed the 3D-maps of dose-averaged LET and proposed a new
parameter, the peak-to-valley-LET ratio, to offer a more thorough physical
evaluation of the technique. RESULTS: Our results show that beam widths larger
than 400 MUm are needed in order to keep a ratio between the dose in the entrance
and the dose in the target of the same order as in conventional irradiations. A
large ctc distance (3500 MUm) would favor tissue sparing since it provides higher
PVDR, it leads to a reduced contribution of the heavier nuclear fragments and a
LET value in the valleys a factor 2 lower than the LET in the ctc leading to
homogeneous distributions in the target. CONCLUSIONS: Heavy ions MBRT provide
advantageous dose distributions. Thanks to the reduced lateral scattering, the
use of submillimetric beams still allows to keep a ratio between the dose in the
entrance and the dose in the target of the same order as in conventional
irradiations. Large ctc distances (3500 MUm) should be preferred since they lead
to valley doses composed of lighter nuclear fragments resulting in a much reduced
dose-averaged LET values in normal tissue, favoring its preservation. Among the
different ions species evaluated, Ne stands out as the one leading to the best
balance between high PVDR and PVLR in normal tissues and high LET values (close
to 100 keV/MUm) and a favorable oxygen enhancement ratio in the target region.
PMID- 29633285
TI - Efficient and flexible uptake system for mineral elements in plants.
AB - Contents Summary 513 I. Introduction 513 II. Efficient uptake system formed by
influx and efflux transporters of mineral elements 514 III. Polarity of
transporters for mineral elements 515 IV. Regulation of transporters in response
to environmental change 515 V. Sensing and signaling pathways regulating the
uptake of mineral elements 515 VI. Conclusions and perspectives 516
Acknowledgements 516 References 516 SUMMARY: Mineral elements required for plant
growth and development must first be taken up by the roots from soil. Plants have
developed an efficient uptake system for the radial transport of mineral elements
from soil to central stele through the allocation of various transporters at
different root cells. These transporters are regulated at transcriptional,
translational and/or post-translational level to cope with the fluctuation of
mineral elements in soil. In this insight, we describe an efficient uptake system
for mineral elements formed by influx and efflux transporters, regulatory
mechanisms and polarity of these transporters, and sensing and signal pathways,
in response to spatial and temporal changes of mineral elements in soil. An
understanding of the mineral element uptake system in different plant species,
and its regulatory network, will contribute to high and safe crop production
under varying environments.
PMID- 29633286
TI - Video-assisted thoracoscopic thoracic duct sealing is inconsistent when performed
with a bipolar vessel-sealing device in healthy cats.
AB - OBJECTIVE: To describe a technique for video-assisted thoracoscopic (VATS)
thoracic duct ligation (TDL) in normal cats with a bipolar vessel-sealing device
and to assess durability of the seal. STUDY DESIGN: Prospective case series.
ANIMALS: Six healthy research cats. METHODS: Cats were placed under anesthesia
for computed tomography lymphangiography (CTLA) to identify thoracic duct
anatomy. On the basis of CTLA findings, cats were positioned in either right or
left lateral recumbency for a 3-port VATS TDL. Thoracic duct branches were
dissected from the aorta after subcutaneous indirect near-infrared fluorescence
(NIRF) lymphography with indocyanine green was performed to optimize detection. A
vessel-sealing device was used to seal each thoracic duct in 1 or more locations.
Postattenuation, indirect NIRF lymphography was repeated to confirm complete
occlusion of thoracic duct flow. CTLA was repeated in all cats 3 months
postoperatively. RESULTS: The thoracic duct was surgically approached from the
right in 3 cats and from the left in 3 cats. A median of 2.5 (range 1-6) TDL seal
sites were applied. In 2 cats, leakage of chyle was detected during dissection.
At 3 months postoperatively, CTLA confirmed reestablished chylous flow in 5 of 6
cats, appearing to occur through recanalization of previously sealed sites rather
than through development of de novo lymphatic vessels. CONCLUSION: VATS TDL is
feasible in cats, although the variable and delicate nature of feline thoracic
duct anatomy should be considered preoperatively. CLINICAL SIGNIFICANCE: Bipolar
vessel-sealing devices are not a durable modality for thoracic duct sealing in
healthy cats in a seal only fashion.
PMID- 29633287
TI - TopP-S: Persistent homology-based multi-task deep neural networks for
simultaneous predictions of partition coefficient and aqueous solubility.
AB - Aqueous solubility and partition coefficient are important physical properties of
small molecules. Accurate theoretical prediction of aqueous solubility and
partition coefficient plays an important role in drug design and discovery. The
prediction accuracy depends crucially on molecular descriptors which are
typically derived from a theoretical understanding of the chemistry and physics
of small molecules. This work introduces an algebraic topology-based method,
called element-specific persistent homology (ESPH), as a new representation of
small molecules that is entirely different from conventional chemical and/or
physical representations. ESPH describes molecular properties in terms of
multiscale and multicomponent topological invariants. Such topological
representation is systematical, comprehensive, and scalable with respect to
molecular size and composition variations. However, it cannot be literally
translated into a physical interpretation. Fortunately, it is readily suitable
for machine learning methods, rendering topological learning algorithms. Due to
the inherent correlation between solubility and partition coefficient, a uniform
ESPH representation is developed for both properties, which facilitates multi
task deep neural networks for their simultaneous predictions. This strategy leads
to a more accurate prediction of relatively small datasets. A total of six
datasets is considered in this work to validate the proposed topological and
multitask deep learning approaches. It is demonstrated that the proposed
approaches achieve some of the most accurate predictions of aqueous solubility
and partition coefficient. Our software is available online at
http://weilab.math.msu.edu/TopP-S/. (c) 2018 Wiley Periodicals, Inc.
PMID- 29633280
TI - Guinea pig models for translation of the developmental origins of health and
disease hypothesis into the clinic.
AB - Over 30 years ago Professor David Barker first proposed the theory that events in
early life could explain an individual's risk of non-communicable disease in
later life: the developmental origins of health and disease (DOHaD) hypothesis.
During the 1990s the validity of the DOHaD hypothesis was extensively tested in a
number of human populations and the mechanisms underpinning it characterised in a
range of experimental animal models. Over the past decade, researchers have
sought to use this mechanistic understanding of DOHaD to develop therapeutic
interventions during pregnancy and early life to improve adult health. A variety
of animal models have been used to develop and evaluate interventions, each with
strengths and limitations. It is becoming apparent that effective translational
research requires that the animal paradigm selected mirrors the tempo of human
fetal growth and development as closely as possible so that the effect of a
perinatal insult and/or therapeutic intervention can be fully assessed. The
guinea pig is one such animal model that over the past two decades has
demonstrated itself to be a very useful platform for these important reproductive
studies. This review highlights similarities in the in utero development between
humans and guinea pigs, the strengths and limitations of the guinea pig as an
experimental model of DOHaD and the guinea pig's potential to enhance clinical
therapeutic innovation to improve human health.
PMID- 29633288
TI - Measurement of fetal atrioventricular time intervals: A comparison of 3 spectral
Doppler techniques.
AB - OBJECTIVE: To establish nomograms for fetal atrioventricular (AV) time intervals
assessed by 3 different pulsed-wave Doppler techniques: left ventricular inflow
and outflow tracts (LV in/out), superior vena cava and ascending aorta (SVC/AA),
and pulmonary artery and pulmonary vein (PA/PV). METHODS: A cross-sectional study
was performed in 311 normal fetuses divided into 5 groups between 16 and 38
weeks. Pulsed-wave Doppler-derived AV intervals were measured by interrogation of
flow in LV in/out, SVC/AA, and PA/PV. Linear regression analyses were performed
to examine correlations with gestational age (GA) and fetal heart rate (FHR).
Intraclass correlation coefficients for reproducibility of each method were
compared. RESULTS: Pulmonary artery and pulmonary vein revealed the longest mean
AV time intervals (P < .001). The AV intervals in all methods were positively
correlated with GA (R2 = 0.20-0.36; P < .001) and negatively correlated with FHR
(R2 = 0.09-0.19; P < .001). The SCV/AA time intervals demonstrated the weakest
influence of FHR. For LV in/out, SVC/AA, and PA/PV, intraobserver and
interobserver reliability coefficients showed excellent agreements (all
intraclass correlation coefficients >= 0.80). CONCLUSION: All pulsed-wave Doppler
derived AV time intervals increased with advancing GA and decreased with
increasing FHR. Fetal AV interval measurements can be obtained in a clinically
viable fashion with excellent reproducibility.
PMID- 29633289
TI - Exogenous melatonin enhances salt stress tolerance in maize seedlings by
improving antioxidant and photosynthetic capacity.
AB - Melatonin (N-acetyl-5-methoxytryptamine) is an important biological hormone in
many abiotic stress responses and developmental processes. In this study, the
protective roles of melatonin were investigated by measuring the antioxidant
defense system and photosynthetic characteristics in maize under salt stress. The
results indicated that NaCl treatment led to the decrease in plant growth,
chlorophyll contents and photochemical activity of photosystem II (PSII).
However, the levels of reactive oxygen species increased significantly under salt
stress. Meanwhile, we found that application of exogenous melatonin alleviated
reactive oxygen species burst and protected the photosynthetic activity in maize
seedlings under salt stress through the activation of antioxidant enzymes. In
addition, 100 MUM melatonin-treated plants showed high photosynthetic efficiency
and salinity. Immunoblotting analysis of PSII proteins showed that melatonin
application alleviated the decline of 34 kDa PSII reaction center protein (D1)
and the increase of PSII subunit S protein. Taken together, our study promotes
more comprehensive understanding in the protective effects of exogenous melatonin
in maize under salt stress, and it may be involved in activation of antioxidant
enzymes and regulation of PSII proteins.
PMID- 29633290
TI - Maximising platelet availability by delaying cold storage.
AB - BACKGROUND AND OBJECTIVES: Cold-stored platelets may be an alternative to
conventional room temperature (RT) storage. However, cold-stored platelets are
cleared more rapidly from circulation, reducing their suitability for
prophylactic transfusion. To minimise wastage, it may be beneficial to store
platelets conventionally until near expiry (4 days) for prophylactic use,
transferring them to refrigerated storage to facilitate an extended shelf life,
reserving the platelets for the treatment of acute bleeding. MATERIALS AND
METHODS: Two ABO-matched buffy-coat-derived platelets (30% plasma/70% SSP+) were
pooled and split to produce matched pairs (n = 8 pairs). One unit was stored at 2
6 degrees C without agitation (day 1 postcollection; cold); the second unit was
stored at 20-24 degrees C with constant agitation until day 4 then stored at 2-6
degrees C thereafter (delayed-cold). All units were tested for in vitro quality
periodically over 21 days. RESULTS: During storage, cold and delayed-cold
platelets maintained a similar platelet count. While pH and HSR were
significantly higher in delayed-cold platelets, other metabolic markers,
including lactate production and glucose consumption, did not differ
significantly. Furthermore, surface expression of phosphatidylserine and CD62P,
release of soluble CD62P and microparticles were not significantly different,
suggesting similar activation profiles. Aggregation responses of delayed-cold
platelets followed the same trend as cold platelets once transferred to cold
storage, gradually declining over the storage period. CONCLUSION: The metabolic
and activation profile of delayed-cold platelets was similar to cold-stored
platelets. These data suggest that transferring platelets to refrigerated storage
when near expiry may be a viable option for maximising platelet inventories.
PMID- 29633291
TI - Proliferation of prostate epithelia induced by IL-6 from stroma reacted with
Trichomonas vaginalis.
AB - Benign prostatic hyperplasia (BPH) is characterized by the proliferation of
stromal and epithelial cell types in the prostate, and interactions between the
two types of cells. We demonstrated previously that proliferation of prostate
stromal cells was induced by BPH epithelial cells in response to Trichomonas
vaginalis (Tv) infection via crosstalk with mast cells. In this study, we
investigated whether IL-6 released by the proliferating stromal cells in turn
induce the BPH epithelial cells to multiply. When culture supernatants of the
proliferating prostate stromal cells were added to BPH epithelial cells, the
latter multiplied, and expression of cyclin D1, FGF2 and Bcl-2 increased.
Blocking the IL-6 signalling pathway with anti-IL-6R antibody or JAK1/2 inhibitor
inhibited the proliferation of the BPH epithelial cells and reduced the
expression of IL-6, IL-6R and STAT3. Also, epithelial-mesenchymal transition was
detected in the proliferating BPH epithelial cells. In conclusion, IL-6 released
from proliferating prostate stromal cells induced by BPH epithelial cells
infected with Tv in turn induces multiplication of the BPH epithelial cells. This
result provides first evidence that the inflammatory microenvironment of prostate
stromal cells resulting from Tv infection induces the proliferation of prostate
epithelial cells by stromal-epithelial interaction.
PMID- 29633292
TI - Heartbeat counting is unrelated to heartbeat detection: A comparison of methods
to quantify interoception.
AB - Recent research has identified individual differences in interoceptive
sensitivity as a key source of variation in action, cognition, and emotion. This
research has relied heavily on a single method for assessing interoceptive
sensitivity: the accuracy of counting heartbeats while at rest. The validity of
this method was assessed here by comparing the heartbeat counting (HBC)
performance of 48 individuals with their heartbeat detection (HBD) performance.
The HBC task required participants to report the numbers of heartbeats counted
during brief signaled periods and indexed cardioceptive accuracy by the
difference between the numbers of reported and actual heartbeats. In the HBD
task, participants indicated the temporal location of heartbeat sensations
relative to the onset of ventricular contraction. On each trial, they judged
whether heartbeat sensations were or were not simultaneous with brief tones
presented at one of six fixed delays following R waves of the ECG. In this
method, cardioceptive accuracy or precision was indexed by variability in the
temporal locations, relative to the R wave, of tones judged to be simultaneous
with heartbeat sensations. Although intratask correlations indicated that each
method yielded reliable scores, intertask correlations showed that HBC scores
were unrelated to HBD scores. These results, which indicate that heartbeat
detection and heartbeat counting are distinct processes, raise important
questions about the assessment of interoceptive sensitivity and the involvement
of this attribute in the psychological processes that have been associated with
it on the basis of their correlations with HBC performance.
PMID- 29633293
TI - Applying iPSCs for Preserving Endangered Species and Elucidating the Evolution of
Mammalian Sex Determination.
AB - The endangered species Tokudaia osimensis has the unique chromosome constitution
of 2n = 25, with an XO/XO sex chromosome configuration (2n = 25; XO). There is
urgency to preserve this species and to elucidate the regulator(s) that can
discriminate the males and females arising from the indistinguishable sex
chromosome constitution. However, it is not realistic to examine this rare animal
species by sacrificing individuals. Recently, true naive induced pluripotent stem
cells were successfully generated from a female T. osimensis, and the sexual
plasticity of its germ cells was elucidated. This achievement constitutes the
basis of an attractive research area, including embryonic fate determination, sex
determination, and factor(s) that can replace the Y chromosome. In this essay,
concrete strategies to conserve rare animal species and to reveal their specific
characteristics using other compatible and abundant animals are proposed.
PMID- 29633294
TI - Development and validation of a HPLC-UV assay for quantification of levetiracetam
concentrations in critically ill patients undergoing continuous renal replacement
therapy.
AB - Limited clinical data exists on the effects of continuous renal replacement
therapy (CRRT) on drug pharmacokinetics. A high-performance liquid chromatography
with ultraviolet detection method was developed and validated to determine
levetiracetam concentrations in human plasma and CRRT effluent samples. Five
hundred microliters of human plasma and 250 MUL effluent samples were used to
quantify levetiracetam. Plasma samples were purified by protein precipitation,
evaporated under nitrogen gas at room temperature and reconstituted in 50 mm
potassium dihydrogen phosphate buffer (pH of 4.5). Reverse-phase chromatographic
separation was achieved within 20 min using a mobile phase eluting gradient of 50
mm potassium dihydrogen phosphate and acetonitrile. UV detection was set at 195
nm. The calibration curve was found to be linear over the range of 2-80MUg/mL.
Inter- and intra-day precisions were < 8% for both plasma and effluent samples.
The accuracy was determined to be within -12-10% of nominal concentrations. The
method was selective and sensitive with a lower limit of quantification of 2
MUg/mL. Overall recovery of levetiracetam from plasma was ~100%. The validated
assay was successfully applied in a pharmacokinetic study to determine potential
dose adjustments in patients undergoing CRRT and receiving levetiracetam.
PMID- 29633295
TI - Determination of corypalmine in mouse blood by UPLC-MS/MS and its application to
a pharmacokinetic study.
AB - In this work, a selective and sensitive ultra-performance liquid chromatography
tandem mass spectrometry method was established and validated for determination
of corypalmine in mouse blood after oral or intravenous administration. A UPLC
BEH C18 column was used to separate corypalmine and berberrubine (internal
standard) at 40 degrees C. The mobile phase was composed of acetonitrile and 10
mmol/L ammonium acetate (containing 0.1% formic acid) at a flow rate of 0.4
mL/min, and the total run time was 4.0 min. Electrospray ionization in positive
ion mode was applied; target fragment ions m/z 342.2 -> 178.0 for corypalmine and
m/z 322.1 -> 307.0 for berberrubine were identified with multiple reaction
monitoring mode. The linear range was 1-1000 ng/mL (r > 0.995) and the lower
limit of quantification for corypalmine in plasma was 1.0 ng/mL. The intra- and
inter-day precisions were both <14%. The range of accuracy in this method was
97.5-109.0%. Mean recovery was >69.6%, and the matrix effect was 96.8-107.6%.
Based on its high sensitivity, specificity and reliability, this method was
successfully applied to study the pharmacokinetic parameters of corypalmine in
mouse by oral and intravenous administration, and finally, the bioavailability of
corypalmine was identified at 4.6%.
PMID- 29633296
TI - Pharmacological upregulation of prostate-specific membrane antigen (PSMA)
expression in prostate cancer cells.
AB - BACKGROUND: Prostate-specific membrane antigen (PSMA)-based imaging and therapy
are increasingly used for prostate cancer management. However, limitations are a
low PSMA expression in certain patients. Androgen receptor axis inhibition can
induce PSMA expression in vitro. We hypothesized that different approved
compounds upregulate PSMA expression and tested their effect in vitro. METHODS:
Androgen receptor (AR) expressing prostate cancer (LNCaP) and epithelial prostate
cells (PNT1A) were treated for 7 days with enzalutamide, dutasteride, rapamycin,
metformin, lovastatin, and acetylsalicylic acid (ASA). PSMA and AR protein
expression was assessed using flow cytometry, immunocytochemistry and
immunoblotting. Furthermore, uptake and internalization of 177 Lu-PSMA-617 was
performed. RESULTS: Enzalutamide and dutasteride led to a significant (both P <
0.05) upregulation of PSMA surface levels in LNCaP cells. In addition, treatment
with rapamycin showed a non-significant trend toward PSMA upregulation. No
changes were detected after treatment with vehicle, metformin, lovastatin, and
ASA. Total PSMA protein expression was significantly enhanced after treatment
with enzalutamide and rapamycin (both P < 0.05), whereas dutasteride led to a non
significant upregulation. Uptake of 177 Lu-PSMA-617 was significantly increased
after treatment of LNCaP with enzalutamide, dutasteride, and rapamycin (P <
0.05). In addition, internalization was significantly increased by enzalutamide
and rapamycin (P < 0.05), and non-significantly increased by dutasteride.
CONCLUSION: In conclusion, our data provide new insights into the effect of
different approved pharmacological compounds that can markedly upregulate PSMA
expression and radioligand uptake in vitro. Pharmacologically induced PSMA
expression may prove useful to improve prostate cancer detection and to enhance
anticancer effects in PSMA-based therapy.
PMID- 29633298
TI - Severe allergic reaction: A rare complication of ultrasound-guided injection of
thrombin in a femoral pseudoaneurysm.
PMID- 29633297
TI - Fluorescence-guided surgery and intervention - An AAPM emerging technology blue
paper.
AB - Fluorescence-guided surgery (FGS) and other interventions are rapidly evolving as
a class of technologically driven interventional approaches in which many
surgical specialties visualize fluorescent molecular tracers or biomarkers
through associated cameras or oculars to guide clinical decisions on pathological
lesion detection and excision/ablation. The technology has been commercialized
for some specific applications, but also presents technical challenges unique to
optical imaging that could confound the utility of some interventional procedures
where real-time decisions must be made. Accordingly, the AAPM has initiated the
publication of this Blue Paper of The Emerging Technology Working Group (TETAWG)
and the creation of a Task Group from the Therapy Physics Committee within the
Treatment Delivery Subcommittee. In describing the relevant issues, this document
outlines the key parameters, stakeholders, impacts, and outcomes of clinical FGS
technology and its applications. The presentation is not intended to be
conclusive, but rather to inform the field of medical physics and stimulate the
discussions needed in the field with respect to a seemingly low-risk imaging
technology that has high potential for significant therapeutic impact. This AAPM
Task Group is working toward consensus around guidelines and standards for
advancing the field safely and effectively.
PMID- 29633299
TI - Melanoma underreporting among US dermatopathologists: A pilot study.
PMID- 29633300
TI - Dermatologic toxicity from immune checkpoint blockade therapy with an
interstitial granulomatous pattern.
AB - Immunotherapies targeting cytotoxic T-lymphocyte-associated antigen 4 (CTLA-4)
and the programmed cell death 1 (PD-1) receptor and its ligand (PD-L1) have
showed significant therapeutic benefit in patients with clinically advanced solid
malignancies, including melanoma. However, immune-related adverse events (irAE)
are common, and novel dermatologic toxicities continue to emerge as more patients
are treated with immunotherapy. Here we describe a patient treated with
combination immunotherapy of ipilimumab and pembrolizumab, who developed
asymptomatic erythematous patches on both legs. Histopathologic examination
revealed a cutaneous interstitial granulomatous dermatitis. Notably, our patient
did not require cessation of immunotherapy for these lesions, which subsequently
remained stable, while the patient's melanoma remained controlled. This case
expands the dermatologic toxicity profile of immune checkpoint blockade, as
recognition of such toxicities is critical to optimal patient management.
PMID- 29633301
TI - Effects of the two types of anorexia nervosa (binge eating/purging and
restrictive) on bone metabolism in female patients.
AB - OBJECTIVE: This study compared the profiles of the two types of anorexia nervosa
(AN; restrictive: AN-R, and binge eating/purging: AN-BP) in terms of body
composition, gynaecological status, disease history and the potential effects on
bone metabolism. DESIGN: Two hundred and eighty-six women with AN (21.8 +/- 6.5
years; 204 AN-R and 82 AN-BP) and 130 age-matched controls (CON; 22.6 +/- 6.8
years) were enrolled. Areal bone mineral density (aBMD) was determined using DXA
and resting energy expenditure (REE) was indirectly assessed using calorimetry.
Markers of bone formation (osteocalcin [OC], procollagen type I N-terminal
propeptide [PINP] and resorption (type I-C telopeptide breakdown products [CTX])
and leptin were concomitantly evaluated. RESULTS: Anorexia nervosa patients
presented an alteration in aBMD and bone turnover. When compared according to
type, AN-BP were older than AN-R and showed less severe undernutrition, lower CTx
levels, longer duration of AN, and higher REE levels and aBMD at radius and
lumbar spine. After adjustment for age, weight and hormonal contraceptive use,
the aBMD and CTx differences disappeared. In both AN groups, aBMD was positively
correlated with anthropometric parameters and negatively correlated with
durations of AN and amenorrhoea, the bone formation markers (OC and PINP) and the
leptin/fat mass ratio. REE was positively correlated with aBMD in AN-R patients
only. CONCLUSIONS: This study shows the profiles of AN patients according to AN
type. However, the impact of the profile characteristics on bone status, although
significant, was minor and disappeared after multiple adjustments. The positive
correlation between REE and aBMD reinforces the concept that energy disposal and
bone metabolism are strongly interdependent.
PMID- 29633302
TI - Evaluation of sex-by-formulation interaction in bioequivalence studies of
efavirenz tablets.
AB - AIMS: The existence of a sex-by-formulation interaction in bioequivalence studies
implies that the bioequivalence results (i.e., the test/reference ratio of the
pharmacokinetic parameters) obtained in one sex are not similar to those obtained
in the other sex. Therefore, results obtained in studies including only males
would not be representative of the results that would have been obtained in
females and vice versa. Recently, a sex-by-formulation interaction has been
reported in a study for efavirenz tablets. The purpose of this paper is to
investigate whether a sex-by-formulation interaction is actually observed in the
bioequivalence studies conducted with efavirenz tablets. METHODS: The existence
of sex-by-formulation interaction was investigated in the two studies conducted
in our centre, where the same test and reference products were investigated in a
pilot study with 12 subjects and a pivotal study with 36 subjects. RESULTS: In
the pilot study, the point estimates for the test/reference ratio of geometrics
means of Cmax in females and males were more than 20% different (95.42%
vs.79.38%, i.e., 120.21%), but in a subsequent pivotal study the difference was
less than 2% (111.14% vs. 109.98%, i.e., 101.66%). CONCLUSIONS: A sex-by
formulation interaction is suggested in the study with a small sample size, but
it disappears when the study is repeated with a larger sample size. In
conclusion, the analysis of subgroups should be conducted with caution when the
size of the subgroups is not powered to show bioequivalence. There seems to be no
reason to require bioequivalence studies for efavirenz in both sexes.
PMID- 29633304
TI - Cost-effectiveness of antiviral treatment after resection in hepatitis B virus
related hepatocellular carcinoma patients with compensated cirrhosis.
AB - : Hepatocellular carcinoma (HCC) imposes a heavy disease burden on China due to
its high morbidity and mortality. China accounts for about 50% of the total new
cases and deaths worldwide. Most cases are related to hepatitis B virus (HBV)
infection and are associated with cirrhosis at diagnosis. Antiviral treatment
with nucleos(t)ide analogues (NAs) after resection in HBV-related HCC can reduce
recurrence and improve survival. Such treatment is in fact recommended by Chinese
guidelines. However, cost-effectiveness studies regarding this treatment are
rare. The objective of this study was to estimate the cost-effectiveness of NA
treatment after resection in HBV-related HCC patients with compensated cirrhosis.
A Markov model was constructed to simulate HBV-related HCC patients with
compensated cirrhosis and detectable HBV DNA, with or without NA treatment after
resection, followed up over their lifetime. Costs, life expectancy, quality
adjusted life-years (QALYs), and incremental cost-effectiveness ratios (ICER)
were calculated for each strategy from the societal perspective. The parameters
of the model were derived from published studies, government documents, and our
surveys. Sensitivity analyses were used to explore the impact of parameters on
the uncertainty of the results. NA treatment produced 4.22 QALYs, costing
$39,898, while non-NA treatment achieved 2.80 QALYs, costing $16,048. The ICER of
NA treatment versus non-NA treatment was $16,848/QALY, which was between 2 and 3
times gross domestic product per capita and was therefore deemed cost-effective.
Probabilistic sensitivity analysis confirmed that NA treatment was cost
effective, with a probability of 0.852. CONCLUSION: NA treatment after liver
resection was likely cost-effective in HBV-related HCC patients with compensated
cirrhosis. (Hepatology 2018).
PMID- 29633303
TI - Hepatocytes and neutrophils cooperatively suppress bacterial infection by
differentially regulating lipocalin-2 and neutrophil extracellular traps.
AB - : Lipocalin-2 (LCN2), also known as neutrophil gelatinase-associated lipocalin
(NGAL), a key antibacterial protein, is highly elevated in patients with end
stage liver disease that is often associated with bacterial infection. LCN2 is
expressed at high levels in both hepatocytes and neutrophils; however, how
hepatocyte-derived and neutrophil-derived LCN2 cooperate to combat bacterial
infection remains unclear. Here, by studying hepatocyte-specific and myeloid
specific Lcn2 knockout mice in two models of systemic and local Klebsiella
pneumoniae infections, we demonstrated that hepatocytes played a critical role in
controlling systemic infection by secreting LCN2 protein into the circulation
following intraperitoneal injection of bacteria, whereas neutrophils were more
important in combating local lung infection by carrying LCN2 in their specific
granules to the local infection site following intratracheal intubation of
bacteria. Both hepatocyte-derived and myeloid cell-derived LCN2 were required
against bacterial infection in the peritoneal cavity and liver necrotic areas
following intraperitoneal injection of Klebsiella pneumoniae. LCN2/NGAL protein
was detected in neutrophil extracellular traps (NETs) in activated neutrophils
from mice and humans. Disruption of the Lcn2 gene in neutrophils abolished LCN2
on NETs, whereas deletion of this gene in hepatocytes did not affect LCN2 protein
on NETs. Genetic deletion of the Lcn2 gene globally or specifically in
neutrophils did not affect NET formation but reduced the bactericidal effect of
NETs in vitro. Finally, NGAL-positive NETs were detected in the liver from
patients with various types of liver diseases. CONCLUSION: Both hepatocytes and
neutrophils combat bacterial infection through the production of LCN2;
extracellular LCN2 secreted by hepatocytes limits systemic bacterial infection,
whereas neutrophils carry LCN2 protein to the local site and against local
bacterial infection through NETs. (Hepatology 2018).
PMID- 29633305
TI - A novel DICER1 mutation in familial multinodular goitre.
PMID- 29633306
TI - Humanitarian nursing with Medecins Sans Frontieres: Foregrounding the listening
guide as a method for analysing oral history data.
AB - AIMS: To demonstrate how the listening guide contributed to oral history data
analysis. To better understand the continuing inclination of nurses to engage in
humanitarian work, foregrounding the nurses' lives. BACKGROUND: The voice-centred
relational method or listening guide is a method of qualitative data analysis
used to analyse oral history data. DESIGN: A conventional approach to oral
history interviews was adopted; intervention into the "flow" of participants'
narrative was kept to a minimum. A small number of prompts, how they came into
nursing, recruitment to, life with and since Medecins Sans Frontieres, were used.
METHODS: Oral history interviews were conducted with seven nurses who had worked
for Medecins Sans Frontieres. Interviews were digitally recorded. This paper will
demonstrate the application of the listening guide to historical data analysis
and critique its applicability and value. The listening guide advocates four
readings (listenings) of the text. Firstly, locating the plot in the narrative;
secondly, actively listening for the use of "I?" ("we", or "you"), the "self" in
context of the story being told and "I poem" development; thirdly, listening for
relationships and finally, locating accounts in relation to wider social,
political and societal contexts. RESULTS: Analysis revealed: "becoming", "being"
and "leaving" Medecins Sans Frontieres as chronological thematic areas. At one
extreme creating "I poems" foregrounded individual voices while cross-referencing
to contemporaneous records of world events locates this in an International
context. CONCLUSION: It is argued that subjecting historical data to the
listening guide can enable legitimate, creative exploration and analysis of data.
PMID- 29633307
TI - Treatment choice, satisfaction and quality of life in patients with Graves'
disease.
AB - BACKGROUND: Thyrotoxicosis, most often caused by Graves' disease (GD), when
treated inadequately may result in premature mortality. There is little consensus
as to which of the 3 treatment options available - antithyroid drugs (ATD),
radioactive iodine (RAI) and surgery, is better. AIMS: (i) To assess factors
involved in treatment choice and treatment satisfaction in patients treated for
Graves' disease; (ii) To assess quality of life (QoL) following treatment of
Graves' disease. METHOD: Participants were selected from a prospective study
cohort assessing thyrotoxicosis incidence and severity. Of the 172 eligible
patients with Graves' disease, 123 treated patients participated (64% had
received ATD only, 11% RAI and 25% total thyroidectomy, the latter 2 usually
after a period of ATD), along with 18 untreated patients with newly diagnosed
Graves' disease (overall participation rate, 73%). Consented patients completed a
questionnaire detailing factors involved in treatment choice, QoL and
satisfaction with treatment. RESULTS: Participants reported that the most
important factors in choosing a treatment were the following: the effects on
activities of daily living, concern about use of radioiodine, possibility of
depression or anxiety, and doctor's recommendations. Satisfaction levels were
high across all 3 treatment types. QoL 1-year following treatment was higher than
in untreated patients, and comparable with other international studies.
CONCLUSIONS: Patient satisfaction with therapy and QoL does not differ by
treatment type. Therefore, clinical and social factors, in combination with
patient choice and resource availability, should determine which treatment
modality patients with Graves' disease should receive.
PMID- 29633308
TI - Molecular targeting of glutaminase sensitizes ovarian cancer cells to
chemotherapy.
AB - Altered metabolism is a reemerging hallmark of tumorigenesis. Increased cell
proliferation results in metabolic reprogramming to facilitate the needs of the
rapidly dividing tumor cells. In addition to increased glucose uptake, tumors
also take up increased levels of glutamine. Some cancers develop a reliance on
glutamine, and are referred to as "glutamine addicted." These tumors over express
the enzyme glutaminase which is involved in the first step of glutaminolysis. The
goal of this study was to determine the effects of combined treatment of the
glutaminase inhibitor bis-2-(5-phenylacetamido-1,3,4-thiadiazol-2-yl) ethyl
sulfide (BPTES) with chemotherapy on drug resistant ovarian cancer cells. We
found that ovarian cancer cells show different dependencies on exogenous
glutamine. However, regardless of glutamine dependence status, treatment with
BPTES sensitized both paclitaxel, and cisplatin resistant cancer cell lines to
chemotherapy by inhibiting cell proliferation. Monotherapy with BPTES alone
resulted in a significant reduction in the ability of glutamine dependent cancer
cells to form colonies in a clonogenic assay. In addition, glutamine dependent,
metastatic cancer cells expressed higher levels of glutaminase 1 (GLS1) isoforms,
KGA and GAC, than untransformed cells. Moreover, dual targeting of both isoforms
using siRNA was more effective at sensitizing the cancer cells to cisplatin than
targeting either GAC or KGA alone. Our results suggest that both GLS1 isoforms
are important for glutamine dependent ovarian cancer survival, hence, both GLS1
isoforms should be targeted for therapy in metastatic ovarian cancer therapy.
PMID- 29633309
TI - Genotype, environment, seeding rate, and top-dressed nitrogen effects on end-use
quality of modern Nebraska winter wheat.
PMID- 29633310
TI - Nilotinib induced bone marrow CD34+/lin-Ph+ cells early clearance in newly
diagnosed CP-chronic myeloid leukemia.
PMID- 29633311
TI - A case of extensive acquired progressive lymphangioma.
AB - Acquired progressive lymphangioma (benign lymphangioendothelioma) is a rare
lymphatic anomaly of unclear pathogenesis. Excision is generally advised for
local disease, although other therapies have been tried. This report describes a
unique case of extensive acquired progressive lymphangioma involving the abdomen,
genitalia, and lower extremity of a 1-year-old boy. Rapid progression and
multisite involvement required exploration of nonsurgical options for management.
PMID- 29633313
TI - It's time we added a 20th century page to nursing's history.
PMID- 29633312
TI - Cardiovascular toxicity in patients with chronic myeloid leukemia treated with
second-generation tyrosine kinase inhibitors in the real-life practice:
Identification of risk factors and the role of prophylaxis.
PMID- 29633314
TI - How much does a blood transfusion cost?
PMID- 29633316
TI - Laboratory model versus real-world experience: secondary testing of platelets for
bacterial contamination.
PMID- 29633317
TI - Chartered planes, rescue trucks, and a Blackhawk.
PMID- 29633318
TI - The negative secondary test for bacteria in platelet units.
PMID- 29633319
TI - Response to Trans-2017-0772.R1.
PMID- 29633320
TI - Quest for the holy grail: pathogen reduction in low-income countries.
PMID- 29633321
TI - The effect of a standard blood donation on oxygen uptake.
PMID- 29633322
TI - Oxidative stress-related infantile pyknocytosis with Heinz bodies.
PMID- 29633323
TI - Nanomaterials in the environment: Behavior, fate, bioavailability, and effects-An
updated review.
AB - The present review covers developments in studies of nanomaterials (NMs) in the
environment since our much cited review in 2008. We discuss novel insights into
fate and behavior, metrology, transformations, bioavailability, toxicity
mechanisms, and environmental impacts, with a focus on terrestrial and aquatic
systems. Overall, the findings were that: 1) despite substantial developments,
critical gaps remain, in large part due to the lack of analytical, modeling, and
field capabilities, and also due to the breadth and complexity of the area; 2) a
key knowledge gap is the lack of data on environmental concentrations and
dosimetry generally; 3) substantial evidence shows that there are nanospecific
effects (different from the effects of both ions and larger particles) on the
environment in terms of fate, bioavailability, and toxicity, but this is not
consistent for all NMs, species, and relevant processes; 4) a paradigm is
emerging that NMs are less toxic than equivalent dissolved materials but more
toxic than the corresponding bulk materials; and 5) translation of incompletely
understood science into regulation and policy continues to be challenging. There
is a developing consensus that NMs may pose a relatively low environmental risk,
but because of uncertainty and lack of data in many areas, definitive conclusions
cannot be drawn. In addition, this emerging consensus will likely change rapidly
with qualitative changes in the technology and increased future discharges.
Environ Toxicol Chem 2018;37:2029-2063. (c) 2018 The Authors. Environmental
Toxicology and Chemistry published by Wiley Periodicals, Inc. on behalf of SETAC.
PMID- 29633324
TI - Eosinophil and airway nerve interactions in asthma.
AB - Airway eosinophils are increased in asthma and are especially abundant around
airway nerves. Nerves control bronchoconstiction and in asthma, airway
hyperreactivity (where airways contract excessively to inhaled stimuli) develops
when eosinophils alter both parasympathetic and sensory nerve function.
Eosinophils release major basic protein, which is an antagonist of inhibitory M2
muscarinic receptors on parasympathetic nerves. Loss of M2 receptor inhibition
potentiates parasympathetic nerve-mediated bronchoconstriction. Eosinophils also
increase sensory nerve responsiveness by lowering neurons' activation threshold,
stimulating nerve growth, and altering neuropeptide expression. Since sensory
nerves activate parasympathetic nerves via a central neuronal reflex,
eosinophils' effects on both sensory and parasympathetic nerves potentiate
bronchoconstriction. This review explores recent insights into mechanisms and
effects of eosinophil and airway nerve interactions in asthma.
PMID- 29633325
TI - Benchmarking working conditions for health and safety in the frontline healthcare
industry: Perspectives from Australia and Malaysia.
AB - AIM: To present benchmarks for working conditions in healthcare industries as an
initial effort into international surveillance. BACKGROUND: The healthcare
industry is fundamental to sustaining the health of Australians, yet it is under
immense pressure. Budgets are limited, demands are increasing as are workplace
injuries and all of these factors compromise patient care. Urgent attention is
needed to reduce strains on workers and costs in health care, however, little
work has been done to benchmark psychosocial factors in healthcare working
conditions in the Asia-Pacific. Intercultural comparisons are important to
provide an evidence base for public policy. DESIGN: A cross-sectional design was
used (like other studies of prevalence), including a mixed-methods approach with
qualitative interviews to better contextualize the results. METHODS: Data on
psychosocial factors and other work variables were collected from healthcare
workers in three hospitals in Australia (N = 1,258) and Malaysia (N = 1,125).
2015 benchmarks were calculated for each variable and comparison was conducted
via independent samples t tests. Healthcare samples were also compared with
benchmarks for non-healthcare general working populations from their respective
countries: Australia (N = 973) and Malaysia (N = 225). FINDINGS: Our study
benchmarks healthcare working conditions in Australia and Malaysia against the
general working population, identifying trends that indicate the industry is in
need of intervention strategies and job redesign initiatives that better support
psychological health and safety. CONCLUSION: We move toward a better
understanding of the precursors of psychosocial safety climate in a broader
context, including similarities and differences between Australia and Malaysia in
national culture, government occupational health and safety policies and top
level management practices.
PMID- 29633326
TI - The patient rationale for seeking HIV PEP: Qualitative results from a nurse-led
program.
AB - BACKGROUND: HIV PEP is an intervention to prevent HIV seroconversion among HIV
negative persons after they have come into contact with the virus. The literature
suggests PEP is greater than 80% effective at reducing HIV seroconversion. To
expand access to this prevention strategy, we established a nurse-led PEP program
in two STI clinics. AIMS: We sought to explore why people seek PEP, and their
experiences using it. MATERIALS & METHODS: We invited participants who used our
PEP program to engage in semi-structured qualitative interviews. These were audio
recorded, transcribed verbatim, and analyzed using the techniques of thematic
analysis. RESULTS: Twelve men who initiated PEP through our program participated
in an interview. Thematic analysis of the data identified three themes: (1)
assessing risk, (2) euphoria and distress, and (3) reducing distress. DISCUSSION:
Based on our findings, it appears as though instances of condomless sex were
atypical and unplanned, they occurred due to contextual factors (e.g., consent,
desire to explore, sexual chemistry/intensity), and they resulted in a state of
temporary euphoria, which, afterward, transformed into distress (i.e., "feel[ing]
stupid"). The participants used PEP not only for HIV prevention, but also (and
perhaps more so) to address their emotional state. PEP used seemed to primarily
occur to address situations of atypical sexual contact with partners they did not
"know" or "trust". CONCLUSION: We feel these results help contextualize how
potential PEP users view HIV risk assessments, and how they determine their need
for this intervention.
PMID- 29633327
TI - Development and psychometric appraisal of the Pain Management Self-Efficacy
Questionnaire.
AB - AIM: The aim of this study was to develop and psychometrically evaluate the Pain
Management Self-Efficacy Questionnaire. BACKGROUND: Pain management competence
and confidence are important in rendering safe and effective patient care.
However, there is a lack of psychometrically sound instruments measuring pain
management self-efficacy. DESIGN: Triphasic, prospective psychometric study.
METHOD: A 55-item Pain Management Self-Efficacy Questionnaire was initially
developed after extensive theoretical and literature review. The questionnaire
was evaluated by content experts for content validity and a consensus was
achieved after two iterations. After pretesting, the Pain Management Self
Efficacy Questionnaire was distributed to 420 randomly selected pre-graduate
student nurses and registered nurses from a nursing institution and a tertiary
hospital. Data collection was conducted from January 2015 - 2016. Assessment
parameters included basic item analysis, reliability analysis, floor and ceiling
effects and construct validity using factor analysis and "known groups"
technique. Replication analyses scrutinized two random halves of the sample.
RESULTS: The initial 55-item questionnaire was reduced to 42 items after two
iterations of validation. After preliminary factor analyses, the Pain Management
Self-Efficacy Questionnaire was reduced to 21 items. Final factor analysis
produced a three-factor model: Comprehensive, Evaluative and Supplemental Pain
Management Self-Efficacy. Construct validation using Kruskal-Wallis and Mann
Whitney tests showed group differences according to years of clinical experience
and receipt of pain management training. CONCLUSION: The 21-item Pain Management
Self-Efficacy Questionnaire demonstrated satisfactory psychometric properties and
can be used to measure pain management self-efficacy among nurses. Nevertheless,
further psychometric validation is warranted accounting differences in culture
and clinical practices.
PMID- 29633328
TI - Efficacy of the Otago Exercise Programme to reduce falls in community-dwelling
adults aged 65-80 years old when delivered as group or individual training.
AB - AIM: This study will compare how falls can be reduced in non-institutionalized
older Spanish adults aged 65-80 years by providing group or individual exercise
sessions using the Otago Exercise Programme. BACKGROUND: The Otago Exercise
Programme is a progressive home-based exercise programme, where trained health
professionals help people engage in strength, balance and endurance exercises.
Its format is based on the evidence from four clinical trials. The benefits of
the Otago Exercise Programme are the same for people who have and have not
suffered falls and it can also be used for visually impaired people. DESIGN: A
multicentre, simply blinded, randomized, non-inferiority clinical trial, with two
arms-group training and individual training-that started in January 2017 and will
continue until December 2019. METHODS: Each study group has 364 subjects, who
will take part in four individual or group sessions delivered mainly by nurses
over an 8-week period, with a reinforcement session 6 months later. Data will be
collected at baseline and after 6 and 12 months. The fall percentage will be the
most relevant clinical variable and we will also consider safety, viability,
compliance, economic analysis and therapeutic value. Approval and funding was
granted in December 2016 for this 3-year study by the Spanish Health Research
Fund (PI16CIII/00031). DISCUSSION: Older people from 65-80 years old tend to be
more isolated and tackling worries about falls can improve social activities and
independence. It has been shown that group training provides better adherence to
exercise than individual training and this study will test that hypothesis for
the Otago Exercise Programme.
PMID- 29633329
TI - Apocrine hidrocystoma on the genitalia of a 9-year-old girl.
AB - Apocrine hidrocystomas are mostly found on the cheeks and eyelids but also on the
scalp and neck. The age distribution is from 30 to 70 years old. We report a case
of an apocrine hidrocystoma on the genitalia of a 9-year-old girl.
PMID- 29633331
TI - Compound heterozygous mutations in desmoplakin associated with skin fragility,
follicular hyperkeratosis, alopecia, and nail dystrophy.
AB - Desmoplakin mutations are associated with a wide variety of phenotypes affecting
the skin, nails, hair, and heart. A 21-month-old boy was born with multiple
erosions resembling epidermolysis bullosa, complete alopecia, nail dystrophy,
palmoplantar keratoderma, and areas of follicular hyperkeratosis. He was found to
have two heterozygous mutations in the desmoplakin gene: c.478 C>T in exon 4
(p.Arg160X) and c.3630T>A in exon 23 (Tyr1210X). This case expands the clinical
spectrum associated with desmoplakin mutations and highlights a mutation in exon
23 that has not been previously reported in the literature.
PMID- 29633332
TI - Idiopathic facial aseptic granuloma-A diagnostic challenge in pediatric
dermatology.
AB - Idiopathic facial aseptic granuloma is a distinct, benign lesion that presents in
very young children and is characterized by a painless facial nodule that usually
appears on the cheek. It is typically characterized by a prolonged course but
heals spontaneously or in response to antibiotic treatment. The challenge is to
diagnose this entity correctly, ideally based on clinical acumen, to avoid
surgical intervention with facial sutures and the resultant scarring and
unnecessary treatment interventions. In this article, we discuss three cases of
idiopathic facial aseptic granuloma to raise awareness and highlight the
diagnostic challenges and possible link to childhood rosacea.
PMID- 29633330
TI - Embedded ensemble encoding hypothesis: The role of the "Prepared" cell.
AB - We here reconsider current theories of neural ensembles in the context of recent
discoveries about neuronal dendritic physiology. The key physiological
observation is that the dendritic plateau potential produces sustained
depolarization of the cell body (amplitude 10-20 mV, duration 200-500 ms). Our
central hypothesis is that synaptically-evoked dendritic plateau potentials lead
to a prepared state of a neuron that favors spike generation. The plateau both
depolarizes the cell toward spike threshold, and provides faster response to
inputs through a shortened membrane time constant. As a result, the speed of
synaptic-to-action potential (AP) transfer is faster during the plateau phase.
Our hypothesis relates the changes from "resting" to "depolarized" neuronal state
to changes in ensemble dynamics and in network information flow. The plateau
provides the Prepared state (sustained depolarization of the cell body) with a
time window of 200-500 ms. During this time, a neuron can tune into ongoing
network activity and synchronize spiking with other neurons to provide a
coordinated Active state (robust firing of somatic APs), which would permit
"binding" of signals through coordination of neural activity across a population.
The transient Active ensemble of neurons is embedded in the longer-lasting
Prepared ensemble of neurons. We hypothesize that "embedded ensemble encoding"
may be an important organizing principle in networks of neurons.
PMID- 29633333
TI - Occult dysraphism presenting with acro-osteolysis.
AB - The skin and the nervous system share common embryologic origins. Cutaneous
stigmata may be early clues to underlying occult spinal dysraphism. The delayed
manifestations of spinal dysraphism may also involve the skin. We report a case
of a 4-year-old child in whom acro-osteolysis and cutaneous trophic changes on
the right foot were the presenting features of occult dysraphism.
PMID- 29633334
TI - Ischemic stroke in cancer patients: A review of an underappreciated pathology.
AB - Currently 1 in 10 patients with ischemic stroke have comorbid cancer, and this
frequency is expected to increase with continued advances in cancer therapeutics
prolonging median survival. Well known for its association with venous
thrombosis, cancer has recently emerged as a significant risk factor for arterial
thromboembolism, including stroke; however, the underlying mechanisms are
uncertain. In addition, the optimal strategies to prevent and acutely treat
stroke in cancer patients are yet to be established. This review summarizes the
current evidence on ischemic stroke risk, biomarkers, pathophysiology,
treatments, and prognosis in cancer patients, emphasizing knowledge gaps and the
potential strategies to address them. Ann Neurol 2018;83:873-883.
PMID- 29633335
TI - Altered fecal microbiota composition in all male aggressor-exposed rodent model
simulating features of post-traumatic stress disorder.
AB - The bidirectional role of gut-brain axis that integrates the gut and central
nervous system activities has recently been investigated. We studied "cage-within
cage resident-intruder" all-male model, where subject male mice (C57BL/6J) are
exposed to aggressor mice (SJL albino), and gut microbiota-derived metabolites
were identified in plasma after 10 days of exposure. We assessed 16S ribosomal
RNA gene from fecal samples collected daily from these mice during the 10-day
study. Alpha diversity using Chao indices indicated no change in diversity in
aggressor-exposed samples. The abundance profile showed the top phyla were
Firmicutes and Bacteroidetes, Tenericutes, Verrucomicrobia, Actinobacteria and
Proteobacteria, respectively. The phyla Firmicutes and Bacteroidetes are
vulnerable to PTSD-eliciting stress and the Firmicutes/Bacteroidetes ratio
increases with stress. Principal coordinate analysis showed the control and
aggressor-exposed samples cluster separately where samples from early time points
(day 1-3) clustered together and were distinct from late time points (day 4-9).
The genus-based analysis revealed all control time points clustered together and
aggressor-exposed samples had multiple clusters. The decrease in proportion of
Firmicutes after aggressor exposure persisted throughout the study. The
proportion of Verrucomicrobia immediately decreased and was significantly shifted
at most of the later time points. The genus Oscillospira, Lactobacillus,
Akkermansia and Anaeroplasma are the top four genera that differed between
control and stressor-exposed mice. The data showed immediate effect on microbiome
composition during a 10 day time period of stress exposure. Studying the
longitudinal effects of a stressor is an important step toward an improved
mechanistic understanding of the microbiome dynamics.
PMID- 29633337
TI - Establishing priorities on the range of conditions managed by UK community
practitioner nurse prescribers: A modified Delphi consensus study.
AB - AIM: To provide national consensus on the range of conditions community
practitioner nurse prescribers manage and for which it is considered important
that they can prescribe. BACKGROUND: Around 35,000 community practitioner nurse
prescribers in the United Kingdom are able to prescribe from a limited formulary.
Although prescribing is a key role for these nurses, there has been a decline in
the numbers of community practitioner nurse prescribers who prescribe. It is
evident that changing patterns of client and service delivery, changes the role
of community nurses and the conditions they manage, however, little is known
about the conditions community practitioner nurse prescribers manage. DESIGN AND
METHODS: A modified Delphi approach comprising three on-line surveys delivered to
a national Expert Panel of 89 qualified community practitioner prescribers. Data
collection took place between January-March 2017. RESULTS: Panelists reached a
consensus, with consistent high levels of agreement reached, on nineteen
conditions for which it is believed community practitioner nurse prescribers
should be able to prescribe. Conditions identified by school nurses (N = 12) and
health visitors (N = 7) were mainly acutely focused, whereas those identified by
district nurses (N = 9) and community staff nurses (N = 6) included both long
term and acute conditions. CONCLUSION: Given the high degree of consensus, this
list of conditions should influence any decisions about the items community and
public health nurses should be able to prescribe. The findings should also
influence the education and training of these nurses.
PMID- 29633336
TI - Production and characterization of a human lysosomal recombinant iduronate-2
sulfatase produced in Pichia pastoris.
AB - Hunter syndrome (Mucopolysaccharidosis II, MPS II) is an X-linked lysosomal
storage disease produced by the deficiency of the lysosomal enzyme iduronate-2
sulfatase (IDS). Currently, MPS II patients are mainly treated with enzyme
replacement therapy (ERT) using recombinant enzymes produced in mammalian cells.
As an alternative, several studies have shown the production of active and
therapeutic forms of lysosomal proteins in microorganisms. In this paper, we
report the production and characterization of a recombinant IDS produced in the
yeast Pichia pastoris (prIDS). We evaluated the effect of culture conditions and
gene sequence optimization on prIDS production. The results showed that the
highest production of prIDS was obtained at oxygen-limited conditions using a
codon-optimized IDS cDNA. The purified enzyme showed a final activity of 12.45
nmol mg-1 H-1 and an apparent molecular mass of about 90 kDa. The highest
stability was achieved at pH 6.0, and prIDS also showed high stability in human
serum. Noteworthy, the enzyme was taken up by culture cells in a dose-dependent
manner through mannose receptors, which allowed the delivery of the enzyme to the
lysosome. In summary, these results show the potential of Pichia pastoris as a
host to produce an IDS intended for a MPS II ERT.
PMID- 29633338
TI - Inhibiting focal adhesion kinase (FAK) blocks IL-4 induced VCAM-1 expression and
eosinophil recruitment in vitro and in vivo.
AB - Leukocyte recruitment plays a critical role during both normal inflammation and
chronic inflammatory diseases, and ongoing studies endeavor to better understand
the complexities of this process. Focal adhesion kinase (FAK) is well known for
its role in cancer, yet it also has been shown to regulate aspects of neutrophil
and B16 melanoma cell recruitment by rapidly influencing endothelial cell focal
adhesion dynamics and junctional opening. Recently, we found that FAK related non
kinase (FRNK), a protein that is often used as a FAK dominant negative, blocked
eosinophil transmigration by preventing the transcription of vascular cell
adhesion molecule-1 (VCAM-1) and eotaxin-3 (CCL26). Surprisingly, the blocking
occurred even in the absence of endogenous FAK. To better understand the role of
FAK in leukocyte recruitment, we used a FAK-specific inhibitor (PF-573228) and
determined the effect on IL-4 induced eosinophil recruitment in vitro and in
vivo. PF-573228 prevented the expression of VCAM-1 and CCL26 expression in IL-4
stimulated human endothelial cells in vitro. As a result, eosinophil adhesion and
transmigration were blocked. PF-572338 also prevented IL-4-induced VCAM-1
expression in vivo. Using brightfield intravital microscopy, we found that PF
573228 decreased leukocyte rolling flux, adhesion, and emigration. We
specifically examined eosinophil recruitment in vivo by using an eosinophil-GFP
reporter mouse and found PF-573228 attenuated eosinophil emigration. This study
reveals that a FAK inhibitor influences inflammation through its action on
eosinophil recruitment.
PMID- 29633340
TI - Contribution of adiponectin polymorphisms to the risk of coronary artery disease
in a North-African Tunisian population.
AB - BACKGROUND: Adiponectin, an adipocyte-derived protein, is known to play a key
role in the processes leading to atherosclerosis and coronary artery disease
(CAD) through its anti-atherogenic, anti-inflammatory, antioxidative, and anti
apoptotic properties. In the current study, we have studied the association of
two single nucleotide polymorphisms (SNPs) +45 T>G (rs2241766) and +276 G>T
(rs1501299) of the adiponectin gene with coronary artery disease (CAD) on an
Arab/North-African population from Tunisia. METHODS: Subjects comprised 277
patients with angiographically demonstrated CAD and 269 age- and gender-matched
control subjects. The adiponectin genotypes were performed by polymerase chain
reaction-restriction fragment length polymorphism analysis (PCR-RFLP). The
contribution of adiponectin variants to CAD was analyzed by haplotype and
regression analysis. RESULTS: Adiponectin +45T>G and +276G>T genotypic and
allelic distributions did not show a significant difference between cases and
controls. Similarly, no association with CAD was observed for the haplotype
analysis. Assuming dominant model of transmission for both polymorphisms and
after adjustment of a number of traditional risk factors for CAD, logistic
regression analysis showed an association of SNP +45 T>G with increased risk of
developing CAD [adjusted OR (95% CI) = 2.59 (1.17-5.70); P = .01]. However, SNP +
276 G>T is associated with decreased risk of developing CAD [adjusted OR (95% CI)
= 0.47 (0.22-0.97); P = .04]. CONCLUSION: There is no allelic or genotypic
association of +45 T>G and +276 G>T of the adiponectin gene with CAD in the
Tunisian population.
PMID- 29633339
TI - Impact of intraduodenal acetic acid infusion on pancreatic duct cannulation
during endoscopic retrograde cholangiopancreatography: A double-blind, randomized
controlled trial.
AB - BACKGROUND AND AIM: Endoscopic retrograde cholangiopancreatography (ERCP) is
associated with risk of complications. Methods to facilitate ductal cannulation
may reduce complications. Intraduodenal acid infusion is a physiological approach
to stimulate secretin release in human body and may facilitate cannulation. The
objective of this study was to investigate the effect of intraduodenal acid
infusion on pancreatic duct cannulation during ERCP. METHODS: It was a single
center, double-blind, randomized controlled trial. Consecutive patients
undergoing first ERCP for pancreatic diseases were randomized toreceive 50 mL
acetic acid intraduodenal infusion at ERCP (acetic acid group) or 50 mL saline
(control group). The primary outcome, difficult cannulation rate (cannulation
time >5 min), in the two groups was compared. RESULTS: Two hundred ten patients
were included in the final analysis (105 in each group). The difficult
cannulation rate were 39.1% in the control group and 20.9% in the acetic acid
group, and the difference was statistically significant (P = 0.004). The overall
successful deep cannulation rate was 89.5% and 85.7%, respectively (P = 0.402).
The cannulation time was remarkably shortened (182 vs 286 s, P = 0.018), and the
cannulation attempts were significantly decreased (3.4 vs 4.4, P = 0.008). The
fluoroscopy time was also significantly reduced (60 vs 86 s, P = 0.028). The
incidence of post-ERCP pancreatitis and hyperamylasemia was comparable (7.6% vs
10.5% and 10.5% vs 19.1%, P > 0.05). CONCLUSION: Intraduodenal acetic acid
infusion can significantly decrease difficult pancreatic cannulation rate,
facilitate pancreatic duct cannulation, and reduce radiation exposure
(ClinicalTrials.gov number, NCT02800772).
PMID- 29633341
TI - Association between left atrial stiffness and aortic plaque thickness in
hypertensive patients with stroke.
AB - OBJECTIVE: Our aim was to investigate the relation between left atrial stiffness
(LASt) and thoracic aortic plaque thickness in hypertensive patients with stroke.
METHOD: A total of 97 hypertensive patients were recruited (age: 59.2 +/- 7.5
years), including 56 consecutive patients with undefined stroke and 41 age
matched hypertensive patients without stroke. Left atrial strain and stiffness
were measured using speckle tracking echo. Thoracic aortic plaque detection and
evaluation was performed using transesophageal echocardiography. RESULTS:
Hypertensive patients with stroke, when compared with those without stroke, had a
significantly higher LASt value (P < .001). The percentage of plaque 1-4 mm
thickness were comparable in both groups (23.2% vs 21.9%; P > .05). While the
percentage of plaque >=4 mm thickness was much higher in hypertensive patients
with stroke (71.4% vs 4.9%; P < .001). LASt was considerably increased in
hypertensive group with paroxysmal atrial fibrillation (PAF) when compared to
hypertensive group without PAF (P < .01). A significant positive correlation was
found between the LASt and plaque thickness (P < .001). Multivariate analysis
showed that LASt was the strongest independent predictor for >=4 mm aortic plaque
thickness (Hazard ratio = 6.153; P < .001). With receiver operating
characteristic (ROC) curve analysis, LASt > 0.63 was the best cutoff value in
predicting aortic plaque thickness >= 4 mm. CONCLUSION: Left atrial stiffness was
significantly associated with aortic plaque thickness >= 4 mm, in hypertensive
patients with stroke. These finding prevailed the importance of LASt in risk
stratification of hypertension.
PMID- 29633342
TI - Hepatobiliary and Pancreatic: Biliary reoperation for recurrent intrahepatic
stones in patients with polysplenia syndrome.
PMID- 29633343
TI - Explained variation of excess hazard models.
AB - The availability of longstanding collection of detailed cancer patient
information makes multivariable modelling of cancer-specific hazard of death
appealing. We propose to report variation in survival explained by each variable
that constitutes these models. We adapted the ranks explained (RE) measure to the
relative survival data setting, ie, when competing risks of death are accounted
for through life tables from the general population. RE is calculated at each
event time. We introduce weights for each death reflecting its probability to be
a cancer death. RE varies between -1 and +1 and can be reported at given times in
the follow-up and as a time-varying measure from diagnosis onward. We present an
application for patients diagnosed with colon or lung cancer in England. The RE
measure shows reasonable properties and is comparable in both relative and cause
specific settings. One year after diagnosis, RE for the most complex excess
hazard models reaches 0.56, 95% CI: 0.54 to 0.58 (0.58 95% CI: 0.56-0.60) and
0.69, 95% CI: 0.68 to 0.70 (0.67, 95% CI: 0.66-0.69) for lung and colon cancer
men (women), respectively. Stage at diagnosis accounts for 12.4% (10.8%) of the
overall variation in survival among lung cancer patients whereas it carries 61.8%
(53.5%) of the survival variation in colon cancer patients. Variables other than
performance status for lung cancer (10%) contribute very little to the overall
explained variation. The proportion of the variation in survival explained by key
prognostic factors is a crucial information toward understanding the mechanisms
underpinning cancer survival. The time-varying RE provides insights into patterns
of influence for strong predictors.
PMID- 29633344
TI - Identification and characterization of a novel esterase from Thauera sp.
AB - A novel esterase gene TLip was identified from the strain Thauera sp. and
expressed at high levels in Escherichia coli. The TLip protein shared the highest
identity (48%) to esterase TesA from Pseudomonas aeruginosa when compared to
enzymes with reported properties. Phylogenetic analysis showed that TLip belongs
to the GDSL family of bacterial lipolytic enzymes. TLip was an alkaline esterase
with a broad optimal temperature range 37-50 degrees C and an optimal pH of 8.0.
Substrate specificity assays showed that TLip preferred medium chain p
nitrophenyl esters (C6 -C12 ). Besides, the activity of TLip was strongly
inhibited by Cu2+ but greatly enhanced by Triton X-100 and Tween 80.
Thermostability assay revealed that TLip was stable without loss of activity at
37 degrees C and still retained 69% activity at 50 degrees C after 2 H of
incubation. Together, these provided a good candidate for further exploration of
TLip as a promising biocatalyst in industry.
PMID- 29633345
TI - Roles of conserved tryptophans in trimerization of HIV-1 membrane-proximal
external regions: Implications for virucidal design via alchemical free-energy
molecular simulations.
AB - The Dual-Action Virolytic Entry Inhibitors, or "DAVEI's," are a class of
recombinant fusions of a lectin, a linker polypeptide, and a 15-residue fragment
from the membrane-proximal external region (MPER) of HIV-1 gp41. DAVEI's trigger
rupture of HIV-1 virions, and the interaction site between DAVEI MPER and HIV-1
lies in the gp41 component of the envelope glycoprotein Env. Here, we explore the
hypothesis that DAVEI MPER engages Env gp41 in a mode structurally similar to a
crystallographic MPER trimer. We used alchemical free-energy perturbation to
assess the thermodynamic roles of each of the four conserved tryptophan residues
on each protomer of MPER3 . We found that a W666A mutation had a large positive
DeltaDeltaG for all three protomers, while W672A had a large positive DeltaDeltaG
for only two of the three protomers, with the other tryptophans remaining
unimportant contributors to MPER3 stability. The protomer for which W672 is not
important is unique in the placement of its W666 sidechain between the other two
protomers. We show that the unique orientation of this W666 sidechain azimuthally
rotates its protomer away from the orientation it would have if the trimer were
symmetric, resulting in the diminished interaction of this W672 with the rest of
MPER3 . Our findings are consistent with our previous experimental study of W-to
A mutants of DAVEI. This suggests that DAVEI MPER may engage HIV-1 Env to form a
mixed trimer state in which one DAVEI MPER forms a trimer by displacing a more
weakly interacting protomer of the endogenous Env MPER trimer.
PMID- 29633347
TI - Successful implementation of diabetes audits in Australia: the Australian
National Diabetes Information Audit and Benchmarking (ANDIAB) initiative.
AB - AIM: We developed and implemented a national audit and benchmarking programme to
describe the clinical status of people with diabetes attending specialist
diabetes services in Australia. METHODS: The Australian National Diabetes
Information Audit and Benchmarking (ANDIAB) initiative was established as a
quality audit activity. De-identified data on demographic, clinical, biochemical
and outcome items were collected from specialist diabetes services across
Australia to provide cross-sectional data on people with diabetes attending
specialist centres at least biennially during the years 1998 to 2011. RESULTS: In
total, 38 155 sets of data were collected over the eight ANDIAB audits. Each
ANDIAB audit achieved its primary objective to collect, collate, analyse, audit
and report clinical diabetes data in Australia. Each audit resulted in the
production of a pooled data report, as well as individual site reports allowing
comparison and benchmarking against other participating sites. CONCLUSIONS: The
ANDIAB initiative resulted in the largest cross-sectional national de-identified
dataset describing the clinical status of people with diabetes attending
specialist diabetes services in Australia. ANDIAB showed that people treated by
specialist services had a high burden of diabetes complications. This quality
audit activity provided a framework to guide planning of healthcare services.
PMID- 29633346
TI - Frontline Science: Plasma and immunoglobulin G galactosylation associate with HIV
persistence during antiretroviral therapy.
AB - Global antibody glycosylation is dynamic and plays critical roles in shaping
different immunological outcomes and direct antibody functionality during HIV
infection. However, the relevance of global antibody or plasma glycosylation
patterns to HIV persistence after antiretroviral therapy (ART) has not been
characterized. First, we compared glycomes of total plasma and isolated
immunoglobulin G (IgG) from HIV+ ART-suppressed, HIV+ viremic, and HIV-negative
individuals. Second, in ART-suppressed individuals, we examined the associations
between glycomes and (1) levels of cell-associated HIV DNA and RNA in PBMCs and
isolated CD4+ T cells, (2) CD4 count and CD4%, and (3) expression of CD4+ T-cell
activation markers. HIV infection is associated with persistent alterations in
the IgG glycome including decreased levels of disialylated glycans, which is
associated with a lower anti-inflammatory activity, and increased levels of
fucosylated glycans, which is associated with lower antibody-dependent cell
mediated cytotoxicity (ADCC). We also show that levels of certain mono- and
digalactosylated nonfucosylated glycomic traits (A2G1, A2G2, and A2BG2), which
have been reported to be associated with higher ADCC and higher anti-inflammatory
activities, exhibit significant negative correlations with levels of cell
associated total HIV DNA and HIV RNA in ART-suppressed individuals. Finally,
levels of certain circulating anti-inflammatory glycans are associated with
higher levels of CD4 T cells and lower levels of T-cell activation. Our findings
represent the first proof-of-concept evidence that glycomic alterations, known to
be associated with differential states of inflammation and ADCC activities, are
also associated with levels of HIV persistence in the setting of ART suppression.
PMID- 29633350
TI - Comparative proteome analysis reveals pathogen specific outer membrane proteins
of Leptospira.
AB - Proteomes of pathogenic Leptospira interrogans and L. borgpetersenii and the
saprophytic L. biflexa were filtered through computational tools to identify
Outer Membrane Proteins (OMPs) that satisfy the required biophysical parameters
for their presence on the outer membrane. A total of 133, 130, and 144 OMPs were
identified in L. interrogans, L. borgpetersenii, and L. biflexa, respectively,
which forms approximately 4% of proteomes. A holistic analysis of transporting
and pathogenic characteristics of OMPs together with Clusters of Orthologous
Groups (COGs) among the OMPs and their distribution across 3 species was made and
put forward a set of 21 candidate OMPs specific to pathogenic leptospires. It is
also found that proteins homologous to the candidate OMPs were also present in
other pathogenic species of leptospires. Six OMPs from L. interrogans and 2 from
L. borgpetersenii observed to have similar COGs while those were not found in any
intermediate or saprophytic forms. These OMPs appears to have role in infection
and pathogenesis and useful for anti-leptospiral strategies.
PMID- 29633349
TI - Effect of metformin on plasma metabolite profile in the Copenhagen Insulin and
Metformin Therapy (CIMT) trial.
AB - AIM: Metformin is the first-line treatment for Type 2 diabetes. However, not all
people benefit from this drug. Our aim was to investigate the effects of
metformin on the plasma metabolome and whether the pretreatment metabolite
profile can predict HbA1c outcome. METHODS: Post hoc analysis of the Copenhagen
Insulin and Metformin Therapy (CIMT) trial, a multicentre study from May 2008 to
December 2012, was carried out. We used a non-target method to analyse 87 plasma
metabolites in participants with Type 2 diabetes (n = 370) who were randomized in
a 1 : 1 ratio to 18 months of metformin or placebo treatment. Metabolites were
measured by liquid chromatography-mass spectrometry at baseline and at 18-month
follow-up and the data were analysed using a linear mixed-effect model. RESULTS:
At baseline, participants who were on metformin before the trial (n = 312) had
higher levels of leucine/isoleucine and five lysophosphatidylethanolamines
(LPEs), and lower levels of carnitine and valine compared with metformin-naive
participants (n = 58). At follow-up, participants randomized to metformin (n =
188) had elevated levels of leucine/isoleucine and reduced carnitine, tyrosine
and valine compared with placebo (n = 182). At baseline, participants on
metformin treatment with the highest levels of carnitine C10:1 and
leucine/isoleucine had the lowest HbA1c (P-interaction = 0.02 and 0.03,
respectively). This association was not significant with HbA1c at follow-up.
CONCLUSIONS: Metformin treatment is associated with decreased levels of valine,
tyrosine and carnitine, and increased levels of leucine/isoleucine. None of the
identified metabolites can predict the HbA1c -lowering effect of metformin.
Further studies of the association between metformin, carnitine and
leucine/isoleucine are warranted.
PMID- 29633348
TI - Protozoal coinfection in horses with equine protozoal myeloencephalitis in the
eastern United States.
AB - BACKGROUND: Infection by 2 or more protozoa is linked with increased severity of
disease in marine mammals with protozoan encephalitis. HYPOTHESIS/OBJECTIVES: To
assess whether horses with equine protozoal myeloencephalitis (EPM) caused by
Sarcocystis neurona also have evidence of infection with Neospora hughesi or
Toxoplasma gondii. We hypothesized that horses with EPM would be more likely than
horses with cervical vertebral stenotic myelopathy (CVSM) to be positive for
antibodies to multiple protozoan parasites. ANIMALS: One hundred one horses with
neurologic disease: 49 with EPM and 52 with CVSM. METHODS: Case review. Archived
serum and cerebrospinal fluid (CSF) from 101 horses were examined. Inclusion
criteria included neurologic disease, antemortem or postmortem diagnosis of EPM
or CVSM, and availability of serological results or archived samples for testing.
Additional testing for antibodies was performed on serum for T. gondii, as well
as serum and CSF for N. hughesi. RESULTS: Horses with EPM were more likely than
horses with CVSM to have positive immunologic results for S. neurona on serum
(95.9% versus 76.9%, P = .0058), CSF (98.0% versus 44.2%, P < .00001), and serum
: CSF titer ratio (91.8% versus 0%, P < .00001). Positive results for Neospora
and Toxoplasma were uncommon, with total seroprevalence rates of 12.9% and 14.9%,
respectively. The proportions of EPM cases testing positive for Neospora and
Toxoplasma (16% and 12%) were not different from the proportions of CVSM cases
testing positive (10% and 17%, P = .31 and .47, respectively). CONCLUSION:
Results do not indicate an important role for protozoal coinfection in EPM in the
eastern United States.
PMID- 29633351
TI - Type 2 diabetes mellitus in older people: a brief statement of key principles of
modern day management including the assessment of frailty. A national
collaborative stakeholder initiative.
AB - Rates of population ageing are unprecedented and this, combined with the
progressive urbanization of lifestyles, has led to a dramatic shift in the
epidemiology of diabetes towards old age, particularly to those aged 60-79 years.
Both ageing and diabetes are recognized as important risk factors for the
development of functional decline and disability. In addition, diabetes is
associated with a high economic, social and health burden. Traditional
macrovascular and microvascular complications of diabetes appear to account for
less than half of the diabetes-related disability observed in older people.
Despite this, older adults are under-represented in clinical trials. Guidelines
from organizations such as the National Institute for Health and Care Excellence
(NICE), the European Association for the Study of Diabetes, and the American
Diabetes Association acknowledge the need for individualized care, but the
glycaemic targets that are suggested to constitute good control [HbA1c 53-59
mmol/mol (7-7.5%)] are too tight for frail older individuals. We present a
framework for the assessment of older adults and guidelines for the management of
this population according to their frailty status, with the intention of reducing
complications and improving quality of life for these people.
PMID- 29633353
TI - Response to 'Psoriasis patients' preference for an aerosol foam topical
formulation' by Vender R et al.
PMID- 29633354
TI - Reply to: pyoderma gangrenosum: a clinical conundrum.
PMID- 29633352
TI - Wisteria floribunda agglutinin-positive Mac-2-binding protein and type 4 collagen
7S: useful markers for the diagnosis of significant fibrosis in patients with non
alcoholic fatty liver disease.
AB - BACKGROUND AND AIM: The fibrosis stage of liver is associated with the long-term
outcomes in patients with non-alcoholic fatty liver disease (NAFLD). However,
significant fibrosis, defined as fibrosis stages 2-4, is associated with an
elevated risk of progression to severe liver disease; there have been scant
reports about diagnosing significant fibrosis. We compare the noninvasive method
and aim to identify appropriate liver fibrosis markers for detecting significant
fibrosis in NAFLD patients. METHODS: We compared the usefulness of liver fibrosis
markers (Wisteria floribunda agglutinin-positive Mac-2-binding protein [WFA+
M2BP], type 4 collagen 7S, etc.), clinical scoring systems, and liver stiffness
measurement obtained using vibration-controlled transient elastography and
magnetic resonance imaging-based magnetic resonance elastography in the same
individuals and identified the most appropriate noninvasive method for detecting
significant fibrosis in 165 patients with liver biopsy-diagnosed NAFLD. RESULTS:
The area under the receiver operating characteristic curve based on the serum
cutoff index values of WFA+ -M2BP/the serum levels of type IV collagen 7S for the
diagnosis of significant fibrosis was 0.832 (95% confidence interval: 0.771
0.894)/0.837 (95% confidence interval: 0.778-0.898). "WFA+ -M2BP (cutoff index)
>= 0.83 or type IV collagen 7S >= 5.2 ng/mL" showed a high sensitivity (91.4%)
and negative predictive value (87.9%) for the diagnosis of significant fibrosis.
CONCLUSIONS: We showed that serum WFA+ -M2BP or type IV collagen 7S levels serve
as useful independent markers for detecting significant fibrosis and that use of
both WFA+ -M2BP and type IV collagen 7S together increased the sensitivity and
negative predictive value for the diagnosis of liver fibrosis. These results need
to be validated in larger populations from multiple clinical centers.
PMID- 29633355
TI - Clinical and dermoscopic characteristics of congenital melanocytic naevi.
AB - BACKGROUND: Prompted by the limited data, we conducted this study to gather more
information on dermoscopic features of CN in children, in order to optimize
clinical care and management. MATERIALS AND METHODS: All children with congenital
nevi (CN) attending our Pediatric Pigmented Skin Lesion Unit during a 2-year
period were included in the study. Clinical data were collected, and all children
underwent clinical and dermoscopic examination. Dermoscopic patterns and specific
features were recorded. RESULTS: Three hundred and thirty CN were examined in a
population of 276 children, aged from 6 months to 14 years. The majority (85.14%)
had only one congenital naevus, and 43.12% had a family history of congenital
nevi. Children with multiple congenital nevi were more likely to have a positive
family history of a CN (P = 0.012). Only, in 23 children,
neurological/developmental abnormalities were reported. Small CN were the
commonest in our cohort (167) followed by the medium-sized (160), whereas large
CN (>20 cm) were only three. Thirty-eight CN were located on the volar skin. The
globular was the commonest dermoscopic pattern, followed by the reticular,
whereas the parallel furrow pattern was the commonest pattern on palms and soles.
CN on the trunk were more likely to be globular on the limbs, and reticular and
homogeneous on the head and neck (P < 0.001). The commonest dermoscopic findings
were haloed and target globules, blotches and perifollicular hypopigmentation,
whereas globules and dots around cristae on volar skin. CN located on the limbs
were more likely to demonstrate an atypical network (P = 0.001) and a target
network with globules (P = 0.020), whereas haloed and target globules (P <
0.001), blotches (P = 0.023) and dots (P = 0.004) were found with an increased
frequency in CN on the trunk. CONCLUSIONS: Given that there is much controversy
on the management and accurate classification of CN, our findings may provide
useful information.
PMID- 29633356
TI - Medically unexplained dermatologic symptoms and psychodermatology.
PMID- 29633357
TI - "We're at a watershed": The positioning of PhD nurses in clinical practice.
AB - AIM: The aim of this study was to explore different perspectives on the
positioning (i.e. the perceived rights and duties) of PhD nurses and how they
contribute to clinical nursing practice. BACKGROUND: The number of PhD nurses is
growing worldwide, but we only have little knowledge of what is expected of PhD
nurses and what their actual impact is in the clinic. DESIGN: The findings of
this article stem from a qualitative, explorative interview study. METHODS: Semi
structured interviews were carried out with six PhD nurses, nine nurse colleagues
and six clinical nurse leaders. Data collection took place from April - June 2016
in Central Denmark Region. Data were analysed using positioning theory. FINDINGS:
The PhD nurses were positioned as responsible for implementing evidence-based
practice in the clinic and in nursing culture as such. Emphasis was put on the
importance of PhD nurses staying close to the clinic to do practice-based
research of immediate effect for patient care. CONCLUSION: The study reveals
several positive effects of PhD nurses in the clinic but also problematizes the
many and diverse duties they are expected to carry out.
PMID- 29633358
TI - Basal cell carcinoma: the utility of in vivo and ex vivo confocal microscopy.
AB - The use of confocal microscopy is possible using two different modalities: first,
at patient's bedside for a rapid in vivo diagnosis of basal cell carcinoma and
second, in the operating room directly on freshly excised specimen for a fast ex
vivo margin-controlled surgery. In the current review, we report the main
application of confocal microscopy for basal cell carcinoma diagnosis and
management in both modalities.
PMID- 29633359
TI - Laser prostate ablation and enucleation: Analysis of a national cohort.
AB - OBJECTIVE: To compare characteristics and outcomes of benign prostatic
hyperplasia patients undergoing prostate laser ablation with those undergoing
laser enucleation using a nationwide cohort. METHODS: Men who underwent prostate
laser ablation (n=10054) or laser enucleation (n=1705) between 2011 and 2015 were
identified by the common procedural terminology code as recorded in the National
Surgical Quality Improvement Program database. Preoperative, intraoperative and
postoperative parameters were compared between the groups using univariate and
multivariate analysis. RESULTS: Prostate laser ablation patients were older, had
more comorbidities and were more likely to have abnormal laboratory values.
Enucleations were significantly longer and more likely to result in a hospital
stay >1day. Enucleation patients were also more likely to require a blood
transfusion postoperatively, but less likely to experience urinary tract
infection and sepsis on both univariate and multivariate analysis adjusted for
preoperative and intraoperative factors. CONCLUSIONS: Although laser enucleation
and prostate laser ablation are both considered minimally invasive techniques,
significant differences in patient selection, intraoperative factors and
postoperative complications are identified in this national cohort. The present
study shows that despite similar outcomes in prospective single-center studies,
prostate laser ablation and laser enucleation have distinct practice patterns in
a broader national context.
PMID- 29633360
TI - Equine embryo research ethics - should we worry?
PMID- 29633361
TI - World Equine Veterinary Association and Clinical Evidence for the Chinese
Practitioner.
PMID- 29633363
TI - The impact of psoriasis on professional life: PsoPRO, a French national survey.
AB - BACKGROUND: The physical, social and mental burden of psoriasis is well known,
but its occupational impact has been less investigated. OBJECTIVE: To assess the
impact of psoriasis on the working life of patients compared with the general
population. METHODS: A national survey compared people with and without psoriasis
using online questionnaires. In addition to the demographic, medical and
professional characteristics, data on recent absenteeism and presenteeism were
captured using the validated WPAI-PSO questionnaire. RESULTS: The patient sample
comprised 714 with psoriasis (PsO), including 81 treated with systemic therapies
(PsoST), and 84 with associated psoriatic arthritis (PsO + PsA). The control
sample comprised 604 active subjects representative of the French population.
Compared to controls, the impact of the disease on working life was no greater in
PsO patients. Conversely, unemployment within the past 5 years and mean number of
sick leaves within the previous year were more frequent in PsO + PsA. In patients
with active psoriasis skin lesions, all aspects of the WPAI questionnaire were
negatively impacted in PsoST and PsO + PsA patients, but not in PsO patients:
Levels of absenteeism were 3.3% in controls, 5.6% in PsO (NS), 8.3% in PsoST (P <
0.05) and 13.0% in Ps0 + PsA (P < 0.05); impairment in presenteeism reached
27.0%, 21.2% (NS), 43.5% (P < 0.05) and 53.2% (P < 0.05), respectively, while
overall work impairment was 27.9%, 22.2% (NS), 46.3% (P < 0.05) and 57.6% (P <
0.05), respectively. Nevertheless, a higher proportion of PsoST and PsO + PsA
patients reported that work was more important than any other activity in their
life. CONCLUSION: The occupational impact of psoriasis is important and
significant in patients who receive systemic therapy or have concurrent PsA but
minimal or absent in other psoriasis patients. The findings show that psoriasis
patients have a high level of motivation to work.
PMID- 29633364
TI - Use of drug intoxicated donors for lung transplant: Impact on survival outcomes.
AB - INTRODUCTION: The number of increasing deaths due to the opioid epidemic has led
to a potential greater supply of organ donors. There is hesitancy to use drug
intoxicated donors, and we evaluated their impact on post-transplant survival.
BACKGROUND: Patients >=18 years of age undergoing lung transplantation and donors
from whom at least one organ was donated between January 2005 and March 2015 were
selected from the United Network of Organ Sharing database. Baseline
characteristics and post-transplant survival were compared between drug
intoxicated and all other donors. RESULTS: The utilization of drug intoxicated
donors increased from 1.86% in 2005 to 6.23% in 2014. The 2 study groups had
similar characteristics including age, gender, and Lung Allocation Score. As
compared to all other donors, drug intoxicated donors were younger (29.1 +/- 9.4
vs 34.6 +/- 13.4 years, P < .0001), less likely to be male (52% vs 61%, P <
.0001), and had a greater smoking history (14% vs 11%, P .04). There was no
difference in post-lung transplant survival at 1, 3, and 5 years between drug
intoxicated donors (85%, 64%, and 47%) and non-drug intoxicated donors (83%, 65%,
and 51%). CONCLUSION: Transplantation utilizing drug intoxicated donor lungs has
significantly increased over the past decade without significantly impacting post
transplant survival.
PMID- 29633362
TI - Response to Letter to the Editor: Do we have to redefine lameness in the era of
quantitative gait analysis.
PMID- 29633365
TI - Chronic oral lichenoid erosions revealing haematological malignancies.
PMID- 29633366
TI - Psoriasis patients' preference for an aerosol foam topical formulation.
PMID- 29633367
TI - Laser-assisted photodynamic therapy for superficial basal cell carcinoma and
Bowen's disease: a randomized intrapatient comparison between a continuous and a
fractional ablative CO2 laser mode.
AB - BACKGROUND: Laser-assisted photodynamic therapy is being explored as a method to
enhance efficacy of photodynamic therapy (PDT). OBJECTIVE: To compare a
continuous (CL) and a fractional (FL) ablative CO2 laser-assisted methyl
aminolevulinate (MAL) PDT in the management of superficial basal cell carcinoma
(sBCC) and Bowen's disease (BD). METHODS: Thirty treatment areas in fifteen
patients with inoperable, histologically verified sBCC or BD received CL or FL
after intrapatient randomization. Laser treatment was followed by MAL application
and illumination occurred 3 h later. This treatment was repeated after 2 weeks.
An equivalence analysis was performed on the primary endpoint efficacy, while
secondary endpoints pain, side-effects and aesthetics were evaluated using paired
samples tests. Patients were also asked for their preferred treatment. RESULTS:
An excellent efficacy of 92.9% (sBCC, 100%; BD, 80%) was found in both CL + PDT
and FL + PDT after 12 months. Equivalence could not be established. Little pain
was perceived in most patients during PDT illumination. PDT treatment in FL + PDT
was less painful, significantly during the second treatment (P = 0.026). Side
effects were mild to moderate with erythema being the most frequent immediate
side-effect, followed by oedema, crusting and burning sensation. Pigmentary
changes occurred in 21% (CL + PDT) to 29% (FL + PDT), and aesthetics were good to
excellent in all patients. CL + PDT and FL + PDT did not significantly differ in
side-effects (P = 0.219-1.000) or aesthetics (P = 0.157-1.000). CONCLUSIONS:
Results in this pilot study support the promising role of laser-assisted PDT.
Both treatment arms demonstrated the same efficacy as well as comparable side
effects and aesthetics. PDT illumination was significantly less painful in the FL
+ PDT group, suggesting a preference for FL + PDT. The authors recommend further
investigation with a larger sample size, a subgroup analysis between sBCC and BD
and comparison of different treatment protocols before one technique could be
preferred to another.
PMID- 29633368
TI - Laser hair removal after surgery vs. surgery alone for the treatment of pilonidal
cysts: a retrospective case-control study.
AB - BACKGROUND: Based on the presumed role of hair in pilonidal cyst (PNC)
pathogenesis, laser epilation has been used to decrease recurrences. However,
most of the data rely on case reports and uncontrolled series, and the rare
controlled studies reported conflicting results. The objective of this study was
to investigate the efficacy of laser hair removal (LHR) to decrease the
recurrence rate after surgery of PNC vs. surgery alone. METHODS: We conducted a
retrospective monocentric case-control study in the departments of Pediatric
Surgery and Laser Center of Dermatology of the University Hospital of Nice in
France from January 2010 to June 2017. We included all patients with PNC who had
surgery during the period, and we compared patients who had LHR after surgery to
those having surgery alone. The main outcome was the prevalence and number of
recurrences of PNC in each group. RESULTS: Twelve patients - eight treated with
alexandrite laser and four patients with Nd : YAG - were included in the cases
group; 29 patients treated with surgery alone were included in the control group.
The mean and the median number of laser sessions were 4.2 and 5, respectively
(extremes 1-10). The postsurgical recurrence rate without LHR was 51.7%, and
their number varied from 1 to 3. A significant decrease in the recurrence rate
was observed after LHR (8.3%) compared to control group (P < 0.001). The median
duration before recurrence was 14 months for surgery alone. The median follow-up
was 18 months (1-30 months) for LHR group and 30 months (6-72 months) for surgery
alone group. Two patients had abnormal healing or persistent sinus after surgery
alone compared to none in the group who undergone laser procedure after surgery.
CONCLUSIONS: Laser hair removal decreases the risk of delayed healing and of
recurrences of PNL after surgical procedure.
PMID- 29633369
TI - Fluorescent biological aerosol particles: Concentrations, emissions, and
exposures in a northern California residence.
AB - Residences represent an important site for bioaerosol exposure. We studied
bioaerosol concentrations, emissions, and exposures in a single-family residence
in northern California with 2 occupants using real-time instrumentation during 2
monitoring campaigns (8 weeks during August-October 2016 and 5 weeks during
January-March 2017). Time- and size-resolved fluorescent biological aerosol
particles (FBAP) and total airborne particles were measured in real time in the
kitchen using an ultraviolet aerodynamic particle sizer (UVAPS). Time-resolved
occupancy status, household activity data, air-change rates, and spatial
distribution of size-resolved particles were also determined throughout the
house. Occupant activities strongly influenced indoor FBAP levels. Indoor FBAP
concentrations were an order of magnitude higher when the house was occupied than
when the house was vacant. Applying an integral material-balance approach,
geometric mean of total FBAP emissions from human activities observed to perturb
indoor levels were in the range of 10-50 million particles per event. During the
summer and winter campaigns, occupants spent an average of 10 and 8.5 hours per
day, respectively, awake and at home. During these hours, the geometric mean
daily-averaged FBAP exposure concentration (1-10 MUm diameter) was similar for
each subject at 40 particles/L for summer and 29 particles/L for winter.
PMID- 29633370
TI - Increased prevalence of vitamin D deficiency in patients with alopecia areata: a
systematic review and meta-analysis.
AB - BACKGROUND: Alopecia areata (AA) is a hair follicle-specific autoimmune disorder.
Vitamin D deficiency has been associated with various autoimmune disorders for
its immunomodulatory effects. However, in previous studies, there had been
inconsistent association found between AA and vitamin D deficiency. OBJECTIVE: To
demonstrate the differences of the mean serum 25-hydroxyvitamin D level and
prevalence of vitamin D deficiency between AA patients and non-AA population.
METHODS: A systematic review and meta-analysis of observational studies on AA and
serum vitamin D levels and/or prevalence of vitamin D deficiency was performed
searching MEDLINE, Cochrane, Web of Science and Google Scholar databases.
RESULTS: In all, 14 studies including a total of 1255 AA subjects and 784 non-AA
control were analysed. The mean serum 25-hydroxyvitamin D level was significantly
lower in AA subjects (-8.52 ng/dL; 95% confidential interval; -5.50 to -11.53).
The AA subjects had higher odds of vitamin D deficiency (odds ratio of 3.89; 2.02
to 7.49, mean prevalence of 73.8%; 59.1 to 84.6%). However, it was difficult to
find clear correlation between serum 25-hydroxyvitamin D level and extent of hair
loss in AA subjects. CONCLUSION: The AA subjects had lower serum 25
hydroxyvitamin D level, and vitamin D deficiency was highly prevalent compared to
non-AA controls. Hence, vitamin D deficiency should be assessed in AA patients.
Furthermore, nutritional supplementation of vitamin D or topical vitamin D
analogues can be considered for AA patients with vitamin D deficiency. The
limitation of this study is the highly heterogeneity of the included studies.
PMID- 29633371
TI - Introducing 'ecoYeast': ecology and communities of yeasts.
PMID- 29633372
TI - Evaluating the predictive accuracy and the clinical benefit of a nomogram aimed
to predict survival in node-positive prostate cancer patients: External
validation on a multi-institutional database.
AB - OBJECTIVES: To assess the predictive accuracy and the clinical value of a recent
nomogram predicting cancer-specific mortality-free survival after surgery in pN1
prostate cancer patients through an external validation. METHODS: We evaluated
518 prostate cancer patients treated with radical prostatectomy and pelvic lymph
node dissection with evidence of nodal metastases at final pathology, at 10
tertiary centers. External validation was carried out using regression
coefficients of the previously published nomogram. The performance
characteristics of the model were assessed by quantifying predictive accuracy,
according to the area under the curve in the receiver operating characteristic
curve and model calibration. Furthermore, we systematically analyzed the
specificity, sensitivity, positive predictive value and negative predictive value
for each nomogram-derived probability cut-off. Finally, we implemented decision
curve analysis, in order to quantify the nomogram's clinical value in routine
practice. RESULTS: External validation showed inferior predictive accuracy as
referred to in the internal validation (65.8% vs 83.3%, respectively). The
discrimination (area under the curve) of the multivariable model was 66.7% (95%
CI 60.1-73.0%) by testing with receiver operating characteristic curve analysis.
The calibration plot showed an overestimation throughout the range of predicted
cancer-specific mortality-free survival rates probabilities. However, in decision
curve analysis, the nomogram's use showed a net benefit when compared with the
scenarios of treating all patients or none. CONCLUSIONS: In an external setting,
the nomogram showed inferior predictive accuracy and suboptimal calibration
characteristics as compared to that reported in the original population. However,
decision curve analysis showed a clinical net benefit, suggesting a clinical
implication to correctly manage pN1 prostate cancer patients after surgery.
PMID- 29633373
TI - Secukinumab treatment in new-onset psoriasis: aiming to understand the potential
for disease modification - rationale and design of the randomized, multicenter
STEPIn study.
AB - BACKGROUND: To date, biological treatments have been assessed in subjects with a
long-term history of psoriasis and previous failures to systemic and topical
therapies. In rheumatoid arthritis and other immune-mediated inflammatory
diseases, early intensive systemic treatment prolongs treatment-free remission.
We hypothesize that, by treating patients with psoriasis early with an effective
systemic therapy, we may be able to alter the clinical outcome and the natural
course of the disease. The STEPIn study (NCT03020199) investigates early
intervention with secukinumab versus narrow-band ultraviolet B (nb-UVB)
phototherapy in subjects with new-onset psoriasis. OBJECTIVE: To determine
whether early intervention with either nb-UVB treatment or secukinumab in
subjects with new-onset plaque psoriasis might modify the natural course of the
disease. METHODS: One hundred and sixty subjects aged 18-50 years with new-onset
(<=12 months) moderate-to-severe plaque psoriasis and naive to systemic treatment
and phototherapy will be randomized to secukinumab 300 mg or nb-UVB. The Main
Study has two treatment arms: Arm A1, subcutaneous secukinumab 300 mg at
baseline, Weeks 1, 2, 3 and 4, and every 4 weeks thereafter until and including
Week 52; Arm B1, one/two cycles of nb-UVB for 12 weeks each (maximum 28-week
break between cycles). After treatment discontinuation, patients will be followed
up and monitored for disease activity up to Week 208. A Mechanistic Sub-study
will assess immunological changes and pathogenic tissue-resident memory T cells
in skin biopsies. CONCLUSIONS: STEPIn is the first study to investigate whether
early intensive treatment in new-onset psoriasis can modify the long-term natural
course of the disease and thus become a novel treatment strategy for patients
with psoriasis.
PMID- 29633374
TI - Prostate-specific antigen screening impacts on biochemical recurrence in patients
with clinically localized prostate cancer.
AB - OBJECTIVE: To clarify the impact of prostate-specific antigen screening on
surgical outcomes of prostate cancer. METHODS: Patients who underwent radical
prostatectomy were divided into two groups according to prostate-specific antigen
testing opportunity (group 1, prostate-specific antigen screening; group 2, non
prostate-specific antigen screening). Perioperative clinical characteristics were
compared using the Wilcoxon rank-sum and chi2 -tests. Cox proportional hazards
models were used to identify independent predictors of postoperative biochemical
recurrence-free survival. RESULTS: In total, 798 patients (63.2%) and 464
patients (36.8%) were categorized into groups 1 and 2, respectively. Group 2
patients were more likely to have a higher prostate-specific antigen level and
age at diagnosis and larger prostate volume. Clinical T stage, percentage of
positive cores and pathological Gleason score did not differ between the groups.
The 5-year biochemical recurrence-free survival rate was 83.9% for group 1 and
71.0% for group 2 (P < 0.001). On multivariate analysis, prostate-specific
antigen testing opportunity (hazard ratio 2.530; P < 0.001) was an independent
predictive factor for biochemical recurrence after surgery, as well as
pathological T stage, pathological Gleason score, positive surgical margin and
lymphovascular invasion. Additional analyses showed that prostate-specific
antigen screening had a greater impact on biochemical recurrence in a younger
patients, patients with a high prostate-specific antigen level, large prostate
volume and D'Amico high risk, and patients meeting the exclusion criteria of the
Prostate Cancer Research International Active Surveillance study. CONCLUSIONS:
Detection by screening results in favorable outcomes after surgery. Prostate
specific antigen screening might contribute to reducing biochemical recurrence in
patients with localized prostate cancer.
PMID- 29633375
TI - Epidemiology of skin cancer in the German population: impact of socioeconomic and
geographic factors.
AB - BACKGROUND: Skin cancer being the most common cancer in Germany has shown
increasing incidence in the past decade. Since mostly caused by excessive UV
exposure, skin cancer is largely related to behaviour. So far, the impact of
regional and sociodemographic factors on the development of skin cancer in
Germany is unclear. OBJECTIVES: This study aimed to investigate the association
of potential predictive factors with the prevalence of skin cancers in Germany.
METHODS: Nationwide ambulatory care claims data from persons insured in statutory
health insurances (SHI) with malignant melanoma (MM, ICD-10 C43) and non
melanocytic skin cancer (NMSC, ICD-10 C44) in the years 2009-2015 were analysed.
In addition, sociodemographic population data and satellite-based UV and solar
radiation data were associated. Descriptive and multivariate (spatial)
statistical analyses (e.g. Bayes' smoothing) were conducted on county level.
RESULTS: Data from 70.1 million insured persons were analysed. Age-standardized
prevalences per 100 000 SHI insured persons for MM and NMSC were 284.7 and 1126.9
in 2009 and 378.5 and 1708.2 in 2015. Marked regional variations were observed
with prevalences between 32.9% and 51.6%. Multivariate analysis shows among
others statistically significant positive correlations between higher income and
education, and MM/NMSC prevalence. CONCLUSION: Prevalence of MM and NMSC in
Germany shows spatio-temporal dynamics. Our results demonstrate that regional UV
radiation, sunshine hours and sociodemographic factors have significant impact on
skin cancer prevalence in Germany. Individual behaviour obviously is a major
determinant which should be subject to preventive interventions.
PMID- 29633376
TI - Gulf-Selective Postsynthetic Functionalization of a Soluble Hexabenzoovalene.
AB - The interest in 2D-annulated polycyclic aromatic hydrocarbons (PAHs) has risen in
recent years due to promising applications in using PAHs for organic electronics.
The larger the PAHs, the more insoluble they are, making their characterization
and especially selective functionalization difficult. Triptycene end-capping has
been introduced to circumvent the problem of low solubility of a hexabenzoovalene
(HBO). Additionally, the tendency to form single-crystals for X-ray diffraction
is preserved. The good solubility of the HBO makes it possible to selectively
difunctionalize the compound in the "gulf" region to change electronic properties
of the pi-system, which has been investigated photophysically as well as
electrochemically.
PMID- 29633377
TI - Accuracy of dermoscopic criteria for the differentiation between superficial
basal cell carcinoma and Bowen's disease.
AB - BACKGROUND: The dermoscopic features of superficial basal cell carcinoma (sBCC)
and Bowen's disease (BD) have been extensively investigated, and dermoscopy was
shown to significantly improve their recognition. However, incorrectly diagnosed
cases still exist, with a considerable number of sBCCs dermoscopically
interpreted as BD. Our aim was to investigate the dermoscopic variability in sBCC
and BD on different anatomic sites, to identify potent dermoscopic predictors for
each diagnosis and to investigate the potential source of the inaccurate clinico
dermoscopic diagnosis of some sBCCs. METHODS: Dermoscopic images of
histopathologically diagnosed sBCC and BD were evaluated by three independent
investigators for the presence of predefined criteria. Subsequently, three
independent investigators with expertise in dermoscopy classified the tumours as
sBCC or BD based on the dermoscopic image. Diagnostic accuracy scores were
calculated and crude and adjusted odds ratios, and 95% confidence intervals were
calculated by univariate and conditional multivariate logistic regression,
respectively. RESULTS: A total of 283 lesions were included in the study (194
sBCCs and 89 BD). The main dermoscopic predictors of BD were dotted vessels (7.5
fold) and glomerular vessels (12.7-fold). The presence of leaf-like areas/spoke
wheel areas/concentric structures (OR = 0.027) and arborizing vessels (OR =
0.065) has predicted sBCC. Multivariate risk factors for sBCC misclassification
were the location on lower extremities (OR = 5.5), the presence of dotted vessels
(OR = 59.5) and the presence of large ulceration (OR = 6.4). In contrast, the
presence of brown-coloured pigmentation was a protective predictor for
misdiagnosis (OR = 0.007). Finally, a subgroup analysis of lesions located on
lower extremities revealed two additional potent predictors of sBCC: superficial
fine telangiectasia (SFT) and whity shiny blotches/strands. CONCLUSIONS: Dotted
and glomerular vessels are strong predictors of BD. When located on the lower
extremities, sBCC may also display dotted vessels, rendering its recognition
problematic. On the latter anatomic site, clinicians should consider SFT and
whity shiny blotches/strands as additional sBCC predictors.
PMID- 29633378
TI - Efficiently Photocontrollable or Not? Biological Activity of Photoisomerizable
Diarylethenes.
AB - Diarylethene derivatives, the biological activity of which can be reversibly
changed by irradiation with light of different wavelengths, have shown promise as
scientific tools and as candidates for photocontrollable drugs. However, examples
demonstrating efficient photocontrol of their biological activity are still
relatively rare. This concept article discusses the possible reasons for this
situation and presents a critical analysis of existing data and hypotheses in
this field, in order to extract the design principles enabling the construction
of efficient photocontrollable diarylethene-based molecules. Papers addressing
biologically relevant interactions between diarylethenes and biomolecules are
analyzed; however, in most published cases, the efficiency of photocontrol in
living systems remains to be demonstrated. We hope that this article will
encourage further discussion of design principles, primarily among
pharmacologists, synthetic and medicinal chemists.
PMID- 29633379
TI - Materials and Techniques for Implantable Nutrient Sensing Using Flexible Sensors
Integrated with Metal-Organic Frameworks.
AB - The combination of novel materials with flexible electronic technology may yield
new concepts of flexible electronic devices that effectively detect various
biological chemicals to facilitate understanding of biological processes and
conduct health monitoring. This paper demonstrates single- or multichannel
implantable flexible sensors that are surface modified with conductive metal
organic frameworks (MOFs) such as copper-MOF and cobalt-MOF with large surface
area, high porosity, and tunable catalysis capability. The sensors can monitor
important nutriments such as ascorbicacid, glycine, l-tryptophan (l-Trp), and
glucose with detection resolutions of 14.97, 0.71, 4.14, and 54.60 * 10-6 m,
respectively. In addition, they offer sensing capability even under extreme
deformation and complex surrounding environment with continuous monitoring
capability for 20 d due to minimized use of biological active chemicals.
Experiments using live cells and animals indicate that the MOF-modified sensors
are biologically safe to cells, and can detect l-Trp in blood and interstitial
fluid. This work represents the first effort in integrating MOFs with flexible
sensors to achieve highly specific and sensitive implantable electrochemical
detection and may inspire appearance of more flexible electronic devices with
enhanced capability in sensing, energy storage, and catalysis using various
properties of MOFs.
PMID- 29633380
TI - Dermoscopic features of melanocytic skin lesions in Greek children and
adolescents and their association with environmental factors and skin types.
AB - BACKGROUND: Acquired naevi often present in childhood and increase in number and
size during early and middle life. As naevi represent potential mimickers of
melanoma, the knowledge of their epidemiologic and morphologic characteristics is
essential. OBJECTIVE: In this study, we intend to determine the prevalence of
dermoscopic patterns of naevi, as well their association with environmental and
constitutional factors. METHODS: Cross-sectional data derived from a population
based cohort of children and adolescents aged 6-18 years, from 12 different
schools in Thessaloniki, Greece. For each participant, a consent form and a
questionnaire were completed, which included data on age, sex, phototype, sun
sensitivity, sun exposure, sunscreen use and previous sunburn history. All naevi,
their body distribution, and their dermoscopic patterns were recorded. RESULTS:
Two thousand and five hundred and five (2505) subjects were enrolled into the
study (47.8% males and 52.2% females). The mean number of MN counted in a single
person was 29.11 (SD = +/-23.863). TNC increased continuously with higher age.
Males were found to have a significantly increased number on the trunk (11.7 +/-
11.2 and 10.0 +/- 8.7, respectively, P < 0.001) and face and neck (6.2 +/- 5.3
and 5.1 +/- 4.3, respectively, P < 0.001) while females on the upper (10.3 +/-
10.1 and 9.3 +/- 9.4, respectively, P = 0.008) and lower extremities (2.8 +/- 3.4
and 2.5 +/- 3.2, respectively, P = 0.008). Globular pattern was the most frequent
dominant pattern in lower age groups, and its percentage fell as age increased.
On the contrary, the reticular pattern was more often documented in individuals
in adolescence. CONCLUSION: This first study of MN in our young population aims
to be the basis of further investigation for the MM preventive policy of our
state.
PMID- 29633381
TI - Divergence in Ynone Reactivity: Atypical Cyclization by 3,4-Difunctionalization
versus Rare Bis(cyclization).
AB - Functionalized ynones can be activated by Tf2 C=CH2 , which was generated in
situ, to form zwitterionic species. These species were trapped in an
intramolecular fashion by several nucleophiles to generate two major types of
triflones in a divergent manner. Through fine-tuning of the reaction temperature,
bis(triflyl)-6-membered- or (triflyl)-5-membered-fused-heterocycles were achieved
in reasonable yields in a totally selective manner. In this way,
bis(triflyl)flavones, bis(triflyl)thioflavones, bis(triflyl)selenoflavones,
(triflyl)benzothienopyrans, (triflyl)benzoselenophenopyrans, (triflyl)vinyl
aurones, and (triflyl)pyranoindoles were constructed. Conceivable mechanistic
pathways were suggested on the basis of the isolation of several intermediates
and the results from control experiments.
PMID- 29633382
TI - Healthcare professionals' views of group structured education for people with
newly diagnosed Type 2 diabetes.
AB - AIM: To determine healthcare professionals' (HCP) views of group structured
education for people with newly diagnosed Type 2 diabetes. METHODS: This was a
qualitative study using semi-structured interviews to ascertain primary care
HCPs' views and experiences of education for people with newly diagnosed Type 2
diabetes. A thematic framework method was applied to analyse the data.
Participants were HCPs (N = 22) from 15 general practices in three south London
boroughs. RESULTS: All but one HCP viewed diabetes education favourably and all
identified that low attendance was a problem. Three key themes emerged from the
qualitative data: (1) benefits of diabetes education, including the group mode of
delivery, improved patient interactions, saving HCPs' time and improved patient
outcomes; (2) factors limiting uptake of education, including patient-level
problems such as access and the appropriateness of the programme for certain
groups, and difficulties communicating the benefits to patients and integration
of education management plans into ongoing diabetes care; and (3) suggestions for
improvement, including strategies to improve attendance at education with more
localized and targeted marketing and enhanced programme content including follow
up sessions and support for people with pre-existing psychological issues.
CONCLUSIONS: Most HCPs valued diabetes education and all highlighted the lack of
provision for people with different levels of health literacy. Because there was
wide variation in terms of the level of knowledge regarding the education on
offer, future studies may want to focus on how to help HCPs encourage their
patients to attend.
PMID- 29633383
TI - Efficacy of coloured overlays and lenses for treating reading difficulty: an
overview of systematic reviews.
AB - Coloured overlays or lenses are widely available for use by children and adults
with difficulties or discomfort while reading. In recent years, systematic
reviews have been conducted in an attempt to establish the strength of the
evidence base for this intervention. The aim of this overview is to
systematically review these reviews. The methodology was published prospectively
as a protocol (Prospero CRD42017059172). Online databases Medline, Cinahl, Embase
and the Cochrane Library were searched for systematic reviews on the efficacy of
coloured overlays or lenses for the alleviation of reading difficulty or
discomfort. Included studies were appraised using the AMSTAR 2 checklist.
Characteristics of included studies such as aspects of methods, results and
conclusions were recorded. Both processes were conducted independently by two
reviewers and any discrepancies were resolved by discussion. Thirty-one studies
were found via databases and other sources. After excluding duplicates and those
not fitting the inclusion criteria, four reviews were included in the analysis.
While all reviews were systematic, their methodology, results and conclusions
differed. Three of the four concluded that there is insufficient good quality
evidence to support the use of coloured overlays or lenses for reading
difficulty, while one concluded that, despite research limitations, the evidence
does support their use. On balance, systematic reviews to date indicate that
there is not yet a reliable evidence base on which to recommend coloured overlays
or lenses for the alleviation of reading difficulty or discomfort. High quality,
low bias research is needed to investigate their effectiveness in different forms
of reading difficulty and discomfort for adults and children.
PMID- 29633384
TI - 3D Hierarchical Microballs Constructed by Intertwined MnO@N-doped Carbon
Nanofibers towards Superior Lithium-Storage Properties.
AB - MnO is a promising high-capacity anode material for lithium-ion batteries (LIBs),
but pristine material suffers short cycle life and poor rate capability, thus
hindering the practical application. In this work, a new type of porous MnO
microballs stringed with N-doped porous carbon (3DHB-MnO@NC) with a well
connected hierarchical three-dimensional network structure was prepared by the
facile self-template method. The 3DHB-MnO@NC electrode can effectively promote
the ion/electron transfer and buffer the large volume change of electrode during
the electrochemical reaction. As the anode for LIBs, the 3DHB-MnO@NC possesses
outstanding cycling performance (1247.7 mA h g-1 after 90 cycles at 200 mA g-1 )
and good rate capabilities (949.6 mA h g-1 after 450 cycles at 1000 mA g-1 ). The
facile self-template method of the prepared 3DHB-MnO@NC composite paves a new way
for practical applications of MnO in high performance LIBs.
PMID- 29633385
TI - Deep-Ultraviolet Hyperbolic Metacavity Laser.
AB - Given the high demand for miniaturized optoelectronic circuits, plasmonic devices
with the capability of generating coherent radiation at deep subwavelength scales
have attracted great interest for diverse applications such as nanoantennas,
single photon sources, and nanosensors. However, the design of such lasing
devices remains a challenging issue because of the long structure requirements
for producing strong radiation feedback. Here, a plasmonic laser made by using a
nanoscale hyperbolic metamaterial cube, called hyperbolic metacavity, on a
multiple quantum-well (MQW), deep-ultraviolet emitter is presented. The
specifically designed metacavity merges plasmon resonant modes within the cube
and provides a unique resonant radiation feedback to the MQW. This unique plasmon
field allows the dipoles of the MQW with various orientations into radiative
emission, achieving enhancement of spontaneous emission rate by a factor of 33
and of quantum efficiency by a factor of 2.5, which is beneficial for coherent
laser action. The hyperbolic metacavity laser shows a clear clamping of
spontaneous emission above the threshold, which demonstrates a near complete
radiation coupling of the MQW with the metacavity. This approach shown here can
greatly simplify the requirements of plasmonic nanolaser with a long plasmonic
structure, and the metacavity effect can be extended to many other material
systems.
PMID- 29633386
TI - Potent anti-leukemia activities of humanized CD19-targeted Chimeric antigen
receptor T (CAR-T) cells in patients with relapsed/refractory acute lymphoblastic
leukemia.
AB - Chimeric antigen receptor T (CAR-T) cell therapy has shown promising results for
relapsed/refractory (R/R) acute lymphoblastic leukemia (ALL). The immune response
induced by murine single-chain variable fragment (scFv) of the CAR may limit CAR
T cell persistence and thus increases the risk of leukemia relapse. In this
study, we developed a novel humanized scFv from the murine FMC63 antibody. A
total of 18 R/R ALL patients with or without prior murine CD19 CAR-T therapy were
treated with humanized CD19-targeted CAR-T cells (hCART19s). After
lymphodepletion chemotherapy with cyclophosphamide and fludarabine, the patients
received a single dose (1 * 106 /kg) of autologous hCART19s infusion. Among the
14 patients without previous CAR-T therapy, 13 (92.9%) achieved complete
remission (CR) or CR with incomplete count recovery (CRi) on day 30, whereas 1 of
the 3 patients who failed a second murine CAR-T infusion achieved CR after
hCART19s infusion. At day 180, the overall and leukemia-free survival rates were
65.8% and 71.4%, respectively. The cumulative incidence of relapse was 22.6%, and
the nonrelapse mortality rate was 7.1%. During treatment, 13 patients developed
grade 1-2 cytokine release syndrome (CRS), 4 patients developed grade 3-5 CRS,
and 1 patient experienced reversible neurotoxicity. These results indicated that
hCART19s could induce remission in patients with R/R B-ALL, especially in
patients who received a reinfusion of murine CAR-T.
PMID- 29633388
TI - Acne and nutrition: hypotheses, myths and facts.
AB - Acne is an inflammatory and multifactorial skin disease. Different external and
internal factors, including air pollution, aggressive skincare products,
medication, mechanical, hormonal and familial factors and, more recently,
lifestyle and stress, have been suggested as having an impact on acne. Moreover,
for many years nutrition was believed to cause or worsen acne. Over the last
decades, however, it has become a dermatological doctrine that there is no direct
association between diet and acne. Even if recent research has allowed to
identify certain nutritional elements and behaviour that may impact on acne,
including the excessive intake of dairy products and hyperglycaemic food, modern
lifestyle nutrition, obesity and eating disorders, knowledge about the role of
nutrition in the physiopathology of acne still remains sparse and hypotheses and
myths continue to dominate the debate. Thus, further clinical and translational
research is necessary to investigate and confirm the association between
nutrition and acne.
PMID- 29633387
TI - Variant-specific and reciprocal Hsp40 functions in Hsp104-mediated prion
elimination.
AB - The amyloid-based prions of Saccharomyces cerevisiae are heritable aggregates of
misfolded proteins, passed to daughter cells following fragmentation by molecular
chaperones including the J-protein Sis1, Hsp70 and Hsp104. Overexpression of
Hsp104 efficiently cures cell populations of the prion [PSI+ ] by an alternative
Sis1-dependent mechanism that is currently the subject of significant debate.
Here, we broadly investigate the role of J-proteins in this process by
determining the impact of amyloid polymorphisms (prion variants) on the ability
of well-studied Sis1 constructs to compensate for Sis1 and ask whether any other
S. cerevisiae cytosolic J-proteins are also required for this process. Our
comprehensive screen, examining all 13 members of the yeast cytosolic/nuclear J
protein complement, uncovered significant variant-dependent genetic evidence for
a role of Apj1 (antiprion DnaJ) in this process. For strong, but not weak [PSI+ ]
variants, depletion of Apj1 inhibits Hsp104-mediated curing. Overexpression of
either Apj1 or Sis1 enhances curing, while overexpression of Ydj1 completely
blocks it. We also demonstrated that Sis1 was the only J-protein necessary for
the propagation of at least two weak [PSI+ ] variants and no J-protein
alteration, or even combination of alterations, affected the curing of weak [PSI+
] variants, suggesting the possibility of biochemically distinct, variant
specific Hsp104-mediated curing mechanisms.
PMID- 29633390
TI - Candida induces the expression of IL-36gamma in human keratinocytes: implications
for a pathogen-driven exacerbation of psoriasis?
PMID- 29633389
TI - 12 Weeks of a Ribavirin-Free Sofosbuvir and Nonstructural Protein 5A Inhibitor
Regimen Is Enough to Treat Recurrence of Hepatitis C After Liver Transplantation.
AB - : Sofosbuvir (SOF) combined with nonstructural protein 5A (NS5A) inhibitors has
demonstrated its efficacy in treating a recurrence of hepatitis C virus (HCV)
after liver transplantation (LT). However, the duration of treatment and need for
ribavirin (RBV) remain unclear in this population. Our aim was to determine
whether LT recipients could be treated with an SOF + NS5A inhibitor-based regimen
without RBV for 12 weeks post-LT. Between October 2013 and December 2015, 699 LT
recipients experiencing an HCV recurrence were enrolled in the multicenter ANRS
CO23 CUPILT cohort. We selected patients receiving SOF and NS5A inhibitor +/- RBV
and followed for at least 12 weeks after treatment discontinuation. The primary
efficacy endpoint was a sustained virological response 12 weeks after the end of
treatment (SVR12). Among these 699 patients, 512 fulfilled the inclusion
criteria. Their main characteristics were: 70.1% genotype 1, 18.2% genotype 3,
21.1% cirrhosis, and 34.4% previously treated patients. We identified four groups
of patients according to their treatment and duration: SOF + NS5A without RBV for
12 (156 patients) or 24 (239 patients) weeks; SOF + NS5A + RBV for 12 (47
patients) or 24 (70 patients) weeks. SVR12 values reached 94.9%, 97.9%, 95.7%,
and 92.9%, respectively (P = 0.14). Only 20 patients experienced a treatment
failure. Under multivariate analysis, factors such as fibrosis stage, previous
treatment, HCV genotype, and baseline HCV viral load did not influence SVR12
rates in the four groups (P = 0.21). Hematological adverse events (AEs) were more
common in the RBV group: anemia (P < 0.0001) and blood transfusion (P = 0.0001).
CONCLUSION: SOF + NS5A inhibitors without RBV for 12 weeks constituted reliable
therapy for recurrent HCV post-LT with an excellent SVR12 whatever the fibrosis
stage, HCV genotype, and previous HCV treatment. (Hepatology 2018; 00:000-000).
PMID- 29633392
TI - High volume of polysorbate-containing (Tween(r) 80) solutions induces false
positive results in intradermal test.
AB - BACKGROUND: Intradermal test is used to detect causative allergens in IgE
mediated hypersensitivity. The surfactant polysorbate 80 can be added to
intradermal test solutions to more reliably dissolve the allergen and ensure a
constant bioavailability of the injected allergen. Polysorbate 80 has, however,
some histamine-releasing properties which could blur the difference to the
histamine-induced wheal. Routinely serving as a control. OBJECTIVE: Allergen-free
polysorbate 80 containing (0.005%) test solutions were therefore systematically
tested at different injection volumes to see whether polysorbate can falsify skin
reactions and if yes whether conditions can be identified to avoid this. METHODS:
In a partly blinded study, 30 patients were tested intracutaneously at the back:
each received at three separate sites 0.05 mL of polysorbate-containing solvent,
0.02 mL polysorbate-containing solvent as well as 0.02 mL polysorbate-free
solvent. After 15 min, wheal and erythema were documented and planimetrically
quantified. RESULTS: Unexpectedly 23 of 30 (77%) patients showed false-positive
test reactions to the volume of 0.05 mL of the polysorbate-containing solvent
whereas the polysorbate-containing solvent with 0.02 mL and the polysorbate-free
solvent with 0.02 mL injection volume had no reinforcing effect on skin test
reaction. CONCLUSIONS: Different volumes of polysorbate-containing solutions, all
recommended by manufacturers for intradermal tests, may significantly influence
test results. The study shows that a polysorbate 80 used at 0.005% in solvents
for intradermal test to provide a better bioavailability of allergens produces
false-positive reactivity in a surprising and a hitherto unknown 77% if the 0.05
mL volume is injected. It is, therefore, mandatory to strictly adhere to an
intradermal test volume of 0.02 mL as only then falsifying effects of the
polysorbate additive are avoided, and comparable test results are ensured.
PMID- 29633391
TI - The sigma factor sigma54 is required for the long-term survival of Leptospira
biflexa in water.
AB - Leptospira spp. comprise both pathogenic and free-living saprophytic species.
Little is known about the environmental adaptation and survival mechanisms of
Leptospira. Alternative sigma factor, sigma54 (RpoN) is known to play an
important role in environmental and host adaptation in many bacteria. In this
study, we constructed an rpoN mutant by allele exchange, and the complemented
strain in saprophytic L. biflexa. Transcriptome analysis revealed that expression
of several genes involved in nitrogen uptake and metabolism, including amtB1,
glnB-amtB2, ntrX and narK, were controlled by sigma54 . While wild-type L.
biflexa could not grow under nitrogen-limiting conditions but was able to survive
under such conditions and recover rapidly, the rpoN mutant was not. The rpoN
mutant also had dramatically reduced ability to survive long-term in water.
sigma54 appears to regulate expression of amtB1, glnK-amtB2, ntrX and narK in an
indirect manner. However, we identified a novel nitrogen-related gene,
LEPBI_I1011, whose expression was directly under the control of sigma54 (herein
renamed as rcfA for RpoN-controlled factor A). Taken together, our data reveal
that the sigma54 regulatory network plays an important role in the long-term
environmental survival of Leptospira spp.
PMID- 29633393
TI - Towards the Prediction of Global Solution State Properties for Hydrogen Bonded,
Self-Associating Amphiphiles.
AB - Through this extensive structure-property study we show that critical micelle
concentration correlates with self-associative hydrogen bond complex formation
constant, when combined with outputs from low level, widely accessible,
computational models. Herein, we bring together a series of 39 structurally
related molecules related by stepwise variation of a hydrogen bond donor-acceptor
amphiphilic salt. The self-associative and corresponding global properties for
this family of compounds have been studied in the gas, solid and solution states.
Within the solution state, we have shown the type of self-associated structure
present to be solvent dependent. In DMSO, this class of compound show a
preference for hydrogen bonded dimer formation, however moving into aqueous
solutions the same compounds are found to form larger self-associated aggregates.
This observation has allowed us the unique opportunity to investigate and begin
to predict self-association events at both the molecular and extended aggregate
level.
PMID- 29633394
TI - For Cryptococcus neoformans, responding to the copper status in a colonization
niche is not just about copper.
AB - Most fungi express two transcription factors that regulate the expression of
genes associated with copper uptake for nutritional needs, and with copper
resistance when copper approaches a cytotoxic level. These factors are
characterized by cysteine-rich motifs which are associated with copper-sensing,
DNA-binding and release, and/or cytoplasmic retention. Cryptococcus neoformans
differs from most in that it expresses a single such copper-sensing trans-factor,
Cuf1, a protein that up-regulates copper uptake when copper is scarce, and up
regulates copper sequestration when cells become super-replete. For C. neoformans
this is an essential task in as much as copper is relatively bioavailable in lung
airways while the brain interstitium can be copper-limiting for growth. While
fungal dependence on and sensitivity to copper have long been considered targets
for anti-fungal chemistry, fungi have proven adept at finding 'work arounds' by
using a chelated form of copper as nutrient or adapting to a copper-surfaced
hospital bed by increased resistance. However, the cohort of Cuf1 targets
identified in this report represent far more than just the uptake and
sequestration machinery, but include additional loci that, perhaps, are less
easily 'defended' by the fungus. Garcia-Santamarina et al. provide that list and
thus lay the ground-work for developing novel anti-fungal reagents.
PMID- 29633395
TI - Configurable Integration of On-Chip Quantum Dot Lasers and Subwavelength
Plasmonic Waveguides.
AB - The integration of on-chip dielectric lasers and subwavelength plasmonic
waveguides has attracted enormous attention because of the combination of both
the advantages of the high performances of the small dielectric lasers and the
subwavelength plasmonic waveguides. However, the configurable integration is
still a challenge owing to the complexity of the hybrid structures and the
damageability of the gain media in the multistep micro/nanofabrications. By
employing the dark-field optical imaging technique with a position uncertainty of
about 21 nm and combining the high-resolution electron beam lithography, the
small colloidal quantum dot (CQD) lasers without any damages are accurately
aligned with the silver nanowires. As a result, the integration of the CQD lasers
and the silver nanowires can be flexibly configured on chips. In the experiment,
the tangential coupling, radial coupling, and complex coupling between the high
performance CQD lasers and the subwavelength silver nanowires are demonstrated.
Because of the subwavelength field confinements of the silver nanowires, the deep
subwavelength coherent sources (multimode, one-color single-mode, or two-color
single-mode) with a mode area of only 0.008lambda2 are output from these hybrid
structures. This configurable on-chip integration with high flexibility and
controllability will greatly facilitate the developments of the complex
functional hybrid photonic-plasmonic circuits.
PMID- 29633396
TI - Epoxide Hydrolase Conformational Heterogeneity for the Resolution of Bulky
Pharmacologically Relevant Epoxide Substrates.
AB - The conformational landscape of Bacillus megaterium epoxide hydrolase (BmEH) and
how it is altered by mutations that confer the enzyme the ability to accept bulky
epoxide substrates has been investigated. Extensive molecular dynamics (MD)
simulations coupled to active site volume calculations have unveiled relevant
features of the enzyme conformational dynamics and function. Our long-timescale
MD simulations identify key conformational states not previously observed by
means of X-ray crystallography and short MD simulations that present the loop
containing one of the catalytic residues, Asp239, in a wide-open conformation,
which is likely involved in the binding of the epoxide substrate. Introduction of
mutations M145S and F128A dramatically alters the conformational landscape of the
enzyme. These singly mutated variants can accept bulky epoxide substrates due to
the disorder induced by mutation in the alpha-helix containing the catalytic
Tyr144 and some parts of the lid domain. These changes impact the enzyme active
site, which is substantially wider and more complementary to the bulky
pharmacologically relevant epoxide substrates.
PMID- 29633397
TI - A Semitransparent Inorganic Perovskite Film for Overcoming Ultraviolet Light
Instability of Organic Solar Cells and Achieving 14.03% Efficiency.
AB - Organic solar cells (OSCs) can be unstable under ultraviolet (UV) irradiation. To
address this issue and enhance the power conversion efficiency (PCE), an
inorganic-perovskite/organic four-terminal tandem solar cell (TSC) based on a
semitransparent inorganic CsPbBr3 perovskite solar cell (pero-SC) as the top cell
and an OSC as bottom cell is constructed. The high-quality CsPbBr3 photoactive
layer of the planar pero-SC is prepared with a dual-source vacuum coevaporation
method, using stoichiometric precursors of CsBr and PbBr2 with a low evaporation
rate. The resultant opaque planar pero-SC exhibits an ultrahigh open-circuit
voltage of 1.44 V and the highest reported PCE of 7.78% for a CsPbBr3 -based
planar pero-SC. Importantly, the devices show no degradation after 120 h UV light
illumination. The related semitransparent pero-SC can almost completely filter UV
light and well maintain photovoltaic performance; it additionally shows an
extremely high average visible transmittance. When it is used to construct a TSC,
the top pero-SC acting as a UV filter can utilize UV light for photoelectric
conversion, avoiding the instability problem of UV light on the bottom OSC that
can meet the industrial standards of UV-light stability for solar cells, and
leading to the highest reported PCE of 14.03% for the inorganic
perovskite/organic TSC.
PMID- 29633398
TI - Role of bone scan index in the prognosis and effects of therapy on prostate
cancer with bone metastasis: Study design and rationale for the multicenter
Prostatic Cancer Registry of Standard Hormonal and Chemotherapy Using Bone Scan
Index (PROSTAT-BSI) study.
AB - OBJECTIVE: To present the study design and rationale of Prostatic Cancer Registry
of Standard Hormonal and Chemotherapy Using Bone Scan Index, a prospective study
aiming to determine the role of the bone scan index, the amount of bone
metastasis, in the treatment and prognosis of prostate cancer patients. METHODS:
A total of 237 patients were recruited at 30 hospitals in Japan. All had prostate
cancer with bone metastasis and were scheduled to undergo either hormonal therapy
(group H) or chemotherapy (group C). Bone scans were carried out with 99m Tc
methylenediphosphonate. Follow-up studies are planned to continue for 3 years,
and changes in biochemical and tumor markers in response to hormonal therapy and
chemotherapy will be recorded in addition to skeletal-related events, recurrence,
disease progression and death. RESULTS: The basic characteristics of the patients
(n = 200) at the time of registration during December 2016 were as follows: mean
age 71 +/- 8 years; median bone scan index calculated on-site 1.9% (range 0.02
13.3%); median number of hot spots 18 (range 1-128); median prostate-specific
antigen 155 ng/mL (range 0.04-22 412 ng/mL); and the most frequent Gleason score
9 (47%). The prostate-specific antigen value was higher in group H than group C
(288 vs 33 ng/mL, P < 0.0001), whereas bone scan indexes were comparable (1.7 vs
2.3%, not significant) between the two groups. Liver metastasis was more frequent
in group C than group H (6.1% vs 0.8%, P = 0.035). CONCLUSIONS: The baseline
characteristics of the Prostatic Cancer Registry of Standard Hormonal and
Chemotherapy Using Bone Scan Index database have been established. This
collaborative study can now proceed with clarifying the role of the bone scan
index for patient management including treatment strategies and prognosis.
PMID- 29633399
TI - W-Based Atomic Laminates and Their 2D Derivative W1.33 C MXene with Vacancy
Ordering.
AB - Structural design on the atomic level can provide novel chemistries of hybrid MAX
phases and their MXenes. Herein, density functional theory is used to predict
phase stability of quaternary i-MAX phases with in-plane chemical order and a
general chemistry (W2/3 M21/3 )2 AC, where M2 = Sc, Y (W), and A = Al, Si, Ga,
Ge, In, and Sn. Of over 18 compositions probed, only two-with a monoclinic C2/c
structure-are predicted to be stable: (W2/3 Sc1/3 )2 AlC and (W2/3 Y1/3 )2 AlC
and indeed found to exist. Selectively etching the Al and Sc/Y atoms from these
3D laminates results in W1.33 C-based MXene sheets with ordered metal
divacancies. Using electrochemical experiments, this MXene is shown to be a new,
promising catalyst for the hydrogen evolution reaction. The addition of yet one
more element, W, to the stable of M elements known to form MAX phases, and the
synthesis of a pure W-based MXene establishes that the etching of i-MAX phases is
a fruitful path for creating new MXene chemistries that has hitherto been not
possible, a fact that perforce increases the potential of tuning MXene properties
for myriad applications.
PMID- 29633400
TI - Elastic Self-Doping Organic Single Crystals Exhibiting Flexible Optical Waveguide
and Amplified Spontaneous Emission.
AB - Organic crystals are generally brittle and tend to crack under applied stress.
Doped organic crystals are even more brittle because of lattice defects. Herein,
the first doped organic crystals 1d@2d, which display elastic bending ability
under applied stress, are reported. Moreover, the potential applications of
elastic-doped crystals 1d@2d in flexible optoelectronics are impressively
demonstrated. The elastic crystals 1d@2d with high quality and large size are
crystalized by a simple and unique "self-doping" process, which is a regular
solution evaporation of crude product 1d (2,5-dihydro-3,6
bis(octylamino)terephthalate) containing a minute amount of 2d (3,6
bis(octylamino)terephthalate) as the oxidized byproduct. The host 1d is easily
crystallized to form elastic crystals but is nonfluorescent, while the guest 2d
has poor crystallinity and is highly emissive. The doping approach integrates the
advantages of both 1d and 2d, and thus endows doped crystals 1d@2d with good
elasticity as well as intense orange fluorescence. Taking these advantages, the
application potentials of these doped crystals 1d@2d are evaluated by measuring
optical waveguide and amplified spontaneous emission in both the straight and
bent states.
PMID- 29633401
TI - Cerebellar and brainstem functional abnormalities in patients with primary
orthostatic tremor.
PMID- 29633402
TI - Comparing methods for detecting multilocus adaptation with multivariate genotype
environment associations.
AB - Identifying adaptive loci can provide insight into the mechanisms underlying
local adaptation. Genotype-environment association (GEA) methods, which identify
these loci based on correlations between genetic and environmental data, are
particularly promising. Univariate methods have dominated GEA, despite the high
dimensional nature of genotype and environment. Multivariate methods, which
analyse many loci simultaneously, may be better suited to these data as they
consider how sets of markers covary in response to environment. These methods may
also be more effective at detecting adaptive processes that result in weak,
multilocus signatures. Here, we evaluate four multivariate methods and five
univariate and differentiation-based approaches, using published simulations of
multilocus selection. We found that Random Forest performed poorly for GEA.
Univariate GEAs performed better, but had low detection rates for loci under weak
selection. Constrained ordinations, particularly redundancy analysis (RDA),
showed a superior combination of low false-positive and high true-positive rates
across all levels of selection. These results were robust across the demographic
histories, sampling designs, sample sizes and weak population structure tested
here. The value of combining detections from different methods was variable and
depended on the study goals and knowledge of the drivers of selection. Re
analysis of genomic data from grey wolves highlighted the unique, covarying sets
of adaptive loci that could be identified using RDA. Although additional testing
is needed, this study indicates that RDA is an effective means of detecting
adaptation, including signatures of weak, multilocus selection, providing a
powerful tool for investigating the genetic basis of local adaptation.
PMID- 29633403
TI - Diagnosis of anaplastic large cell lymphoma on late peri-implant breast seroma:
Management of cytological sample by an integrated approach.
AB - INTRODUCTION: Peri-implant breast seroma is a late clinical presentation of
reconstructive surgery or augmentation mammoplasty with breast implants. Pre
operative cytological evaluation of the peri-implant breast seroma is a common
clinical approach, showing mainly an inflammatory reaction or more rarely a
breast implant-associated anaplastic large cell lymphoma. Herein, we reported the
role of cytology in the evaluation of peri-implant breast seroma and its critical
pre-operative implications. METHODS: Eight cases of peri-implant breast seroma
from files at Luigi Vanvitelli University were identified between January and
December 2017. In all cases, seroma was aspirated; cytospins were performed and
stained by Papanicolaou stain; finally, in all cases, a cell block was obtained
for immunocytochemical evaluation and, in one case, for FISH to detect ALK1-gene
translocation. RESULTS: The median age of patients was 48 years and the mean time
between the implant placement and the occurrence of peri-implant breast seroma
was 18 months. Microscopic examination showed breast implant-associated
anaplastic large cell lymphoma in one case, aspecific inflammatory reaction in
six cases and silicon-associated reaction in one case. CONCLUSIONS: Peri-implant
breast seroma may be caused by several pathological conditions with different
clinical behaviour. A proper cytological approach to peri-implant breast seroma
allows a correct differential diagnosis between inflammatory conditions and
breast implant-associated anaplastic large cell lymphoma and an appropriate
management of the patient.
PMID- 29633404
TI - The centrality of the nurse-patient relationship: A Scandinavian perspective.
AB - AIMS AND OBJECTIVES: To address aspects of importance in the nurse-patient
relationship, as conceptualised within the Scandinavian healthcare context.
BACKGROUND: An experiment in Beth Israel Hospital uncovered a set of core values
(ontology) that were wiped away by stronger forces. Despite this, some of the
ideas impacted the development of nursing thought and values in the Scandinavian
countries, partly because they connected with deeper social values and also
because investment was being put into explicitly identifying and understanding
the core elements of nursing (ontology) and how to provide evidence that they
found were important (epistemology). From that beginning and through the work of
key thought leaders, Scandinavian nursing is ready to embark on a new phase,
which could be helped by the Fundamentals of Care framework. DESIGN: This
discursive position study offers insights from a public healthcare setting,
influenced by values such as equal rights to equal care and/or cure. This study
presents two complementing perspectives: an ontological and an epistemological,
on establishing caring relationships. CONCLUSION: There are different pathways to
follow in building person-oriented care; however, the nursing approach is both
ontologically and epistemologically grounded and based on dialogue. RELEVANCE TO
CLINICAL PRACTICE: Patients meet different nurses, the meeting may be short and,
routine actions may be on the agenda. However, nurses must engage with patients'
experiences and knowledge, in order to add to patients' present and future well
being with their person-oriented care.
PMID- 29633405
TI - delta13 C values in archaeological 14 C-AMS dated charcoals: assessing mid
Holocene climate fluctuations and human response from a high-resolution isotope
record (Arslantepe, Turkey).
AB - RATIONALE: Past climate has always influenced human adaptation to the
environment. In order to reconstruct palaeoclimate fluctuations and their role in
the evolution of Near Eastern societies during the mid-Holocene, high-resolution
Delta13 C records from fossil wood remains at the archaeological site of
Arslantepe (eastern Turkey) have been developed. METHODS: After chemical
treatment, delta13 C values were measured by sample combustion flow using a FLASH
EA-CHNS instrument interfaced with a Delta V isotope ratio mass spectrometer via
a CONFLO III. Two replicates per sample were analysed. The measurement precision
was evaluated by propagating variations of the delta13 C values of samples and V
PDB standards, whereas the accuracy was checked by a quality control sample. To
account for changes in atmospheric CO2 , Delta13 C values were calculated. In
addition, 14 C/12 C ratios were measured by means of ann AMS system (3 MV tandem
accelerator). RESULTS: Mean Delta13 C curves of deciduous Quercus and Juniperus
from archaeological levels between 4700 and 2000 BC (Arslantepe periods VIII-VI
D) were produced, where the isotope values were ordered by the available RC ages.
Interspecific variations of evergreen vs deciduous plants were postulated for the
juniper Delta13 C values being higher than 30/00. The seasonal rainfall amount
was recorded by the juniper remains, while the water table levels were obtained
from the oak samples. CONCLUSIONS: The local climate experienced times of
enhanced/reduced precipitation in concert with regional trends. Anomalies in the
air mass circulation from the Mediterranean basin also produced oscillations of
rainfall amount. In such a frame the Rapid Climate Change dry events had a
consistent signature in the Arslantepe Delta13 C record, thus potentially
contributing to social or organisational changes at the site.
PMID- 29633406
TI - Fundamental care-the quest for evidence.
PMID- 29633407
TI - Photoexposed skin, skin ageing, Bateman's purpura and local vitamin C deficiency.
PMID- 29633408
TI - Experiences and informational needs on sexual health in people with epilepsy or
multiple sclerosis: A focus group investigation.
AB - AIMS AND OBJECTIVES: To explore experiences and informational needs regarding
sexual health in men and women with epilepsy or multiple sclerosis. BACKGROUND:
Sexual health and well-being are dependent on access to comprehensive information
about sexuality and good-quality sexual health care in an environment that
affirms and promotes sexual health. DESIGN: The study had a qualitative
explorative design using focus group methodology and framework analysis to
capture the patient perspective. METHODS: We strategically selected 26
participants at a neurological clinic at a university hospital in Denmark and
conducted four homogeneous focus group interviews: women with epilepsy (n = 8),
men with epilepsy (n = 7), women with multiple sclerosis (n = 5) and men with
multiple sclerosis (n = 6). We used the framework method for analysis and
initially developed a matrix of disease versus gender before the authors
triangulated their views and decided on the final themes. RESULTS: We identified
four themes and nine subthemes. Our main findings included insights on the impact
of ignorance regarding sexual rehabilitation, demonstrating the importance of
information in patients with sexual dysfunction related to chronic neurologic
disease. The study expanded our understanding of sexual activity in the
unpredictable body of epilepsy and the progressively changing body of multiple
sclerosis. The participants agreed that they would have taken a more constructive
path to solve their sexual problems if they had been aware of common responses to
their disease. CONCLUSIONS: Our study suggests that people with epilepsy or
multiple sclerosis might be under-informed regarding the impact of their disease
on their sexual health. We have shown how knowledge deficits put people at risk
for symptoms of isolation, guilt, frustration and depression. Patient information
is a modifiable factor that, if improved, might increase the quality of life for
this group of patients. RELEVANCE TO CLINICAL PRACTICE: Implementation of
improved patient information at the neurological clinic is recommended.
PMID- 29633409
TI - Hierarchical decision-making balances current and future reproductive success.
AB - Parental decisions in animals are often context-dependent and shaped by fitness
trade-offs between parents and offspring. For example, the selection of breeding
habitats can considerably impact the fitness of both offspring and parents, and
therefore, parents should carefully weigh the costs and benefits of available
options for their current and future reproductive success. Here, we show that
resource-use preferences are shaped by a trade-off between parental effort and
offspring safety in a tadpole-transporting frog. In a large-scale in situ
experiment, we investigated decision strategies across an entire population of
poison frogs that distribute their tadpoles across multiple water bodies. Pool
use followed a dynamic and sequential selection process, and transportation
became more efficient over time. Our results point to a complex suite of
environmental variables that are considered during offspring deposition, which
necessitates a highly dynamic and flexible decision-making process in tadpole
transporting frogs.
PMID- 29633410
TI - Genomewide signatures of selection in Epichloe reveal candidate genes for host
specialization.
AB - Host specialization is a key process in ecological divergence and speciation of
plant-associated fungi. The underlying determinants of host specialization are
generally poorly understood, especially in endophytes, which constitute one of
the most abundant components of the plant microbiome. We addressed the genetic
basis of host specialization in two sympatric subspecies of grass-endophytic
fungi from the Epichloe typhina complex: subsp. typhina and clarkii. The life
cycle of these fungi entails unrestricted dispersal of gametes and sexual
reproduction before infection of a new host, implying that the host imposes a
selective barrier on viability of the progeny. We aimed to detect genes under
divergent selection between subspecies, experiencing restricted gene flow due to
adaptation to different hosts. Using pooled whole-genome sequencing data, we
combined FST and DXY population statistics in genome scans and detected 57
outlier genes showing strong differentiation between the two subspecies.
Genomewide analyses of nucleotide diversity (pi), Tajima's D and dN/dS ratios
indicated that these genes have evolved under positive selection. Genes encoding
secreted proteins were enriched among the genes showing evidence of positive
selection, suggesting that molecular plant-fungus interactions are strong drivers
of endophyte divergence. We focused on five genes encoding secreted proteins,
which were further sequenced in 28 additional isolates collected across Europe to
assess genetic variation in a larger sample size. Signature of positive selection
in these isolates and putative identification of pathogenic function supports our
findings that these genes represent strong candidates for host specialization
determinants in Epichloe endophytes. Our results highlight the role of secreted
proteins as key determinants of host specialization.
PMID- 29633411
TI - Solid nuclei and liquid droplets: A parallel treatment for 3 phase systems.
AB - For solid phase self assembly into crystals or large diameter polymers, the
presence of a liquid-liquid demixing transition has been known to have an
accelerating effect on the nucleation process. We present a novel approach to the
description of accelerated nucleation in which the formation of solid phase
aggregates and liquid-like aggregates compete as parallel pathways to formation
of dense phases. The central idea is that the small aggregates that would
ultimately form the liquid phase are sufficiently labile to sample the
configurations that would form the solid, so that the growing cluster begins as a
liquid, and switches into growth as a solid when the aggregates have equal free
energies. This can accelerate the reaction even when the liquid-demixed state is
thermodynamically unfavorable. The rate-limiting barrier is therefore the energy
at which there is a transition between liquid and solid, and the effective
nucleus size is then concentration independent, even though for both nucleated
demixing and nucleated crystallization, the nucleus size does depend on
concentration. These ideas can be expressed in a chemical potential formalism
that has been successfully used in nucleation of sickle hemoglobin, but not to
our knowledge previously employed in describing LLD processes. The method is
illustrated by considering existing data on Lysozyme.
PMID- 29633412
TI - Volumetric laser endomicroscopy interpretation and feature analysis in dysplastic
Barrett's esophagus.
AB - BACKGROUND AND AIM: Volumetric laser endomicroscopy (VLE) is used to identify
Barrett's esophagus (BE) dysplasia. Selection of a dysplastic region of interest
(ROI) can be challenging due to feature variability across a large amount of
data. The degree of agreement among VLE users in selecting a ROI has not been
studied. METHODS: High-definition videos that divided a VLE scan from 18 patients
with biopsy-proven BE dysplasia into 1-cm segments were reviewed using a four
quadrant grid superimposed for systematic interpretation. VLE scans were selected
based on image quality and appropriate visualization of BE epithelium. Four
experienced VLE users rated each quadrant as dysplastic or non-dysplastic. For
quadrants rated as dysplastic, reviewers selected a single timeframe with
representative features. A high-degree of agreement among reviewers was defined
as >=75% agreement on the quadrant diagnosis and >=50% agreement on selected
timeframe (+/-2 s). RESULTS: Thirty-one videos, each 32 s in length, comprising
124 quadrants were reviewed. There was high-agreement among reviewers in 99 (80%)
quadrants, of which 68 (69%) were rated as dysplastic. Compared with quadrants
rated as non-dysplastic, ROIs of quadrants rated as dysplastic contained a higher
number of epithelial glands (12.7 vs 1.2, P < 0.001) with atypical architecture
(54 vs 1, P < 0.001). A statistically significant difference was observed between
the signal intensity profiles of quadrants rated as dysplastic and quadrants
rated as non-dysplastic (P = 0.004). CONCLUSION: This study highlights that
experienced VLE users can identify ROIs with high-degree of agreement. Selected
ROIs contained VLE features associated with BE dysplasia.
PMID- 29633413
TI - MR lymphography for sentinel lymph node detection in patients with oral cavity
cancer: Preliminary clinical study.
AB - BACKGROUND: The purpose of this study was to evaluate the feasibility of MR
lymphography with interstitial injection of a gadolinium-based contrast agent for
identifying sentinel lymph nodes in patients with oral cavity cancer and
clinically negative neck. METHODS: Pretreatment MR lymphography with a
differential subsampling with cartesian ordering (DISCO) sequence was performed
in 26 patients with resectable oral cavity cancer and clinically negative neck,
after peritumoral injection of 1-mL diluted gadobutrol. The accuracy of sentinel
lymph node identification by MR lymphography was assessed and compared with the
final histopathological results. RESULTS: The MR lymphography consistently
visualized the 44 sentinel lymph nodes in all 26 patients. In all but 1 patient
with pathologically positive neck, assumed sentinel lymph nodes revealed
metastatic involvement. CONCLUSION: Pretreatment MR lymphography is a safe and
feasible imaging technique that can help clinicians identify sentinel lymph nodes
with a high risk of occult metastases in patients with oral cavity cancer,
enabling focused preoperative biopsy in these high-risk patients.
PMID- 29633414
TI - Time-varying synchronous cell ensembles during consummatory periods correlate
with variable numbers of place cell spikes.
AB - Spike rates of a hippocampal place cell are not constant and vary even when an
animal visits an identical place field with nearly identical behavior. As one
potential neurophysiological source underlying place cell spiking variability, we
focused on the temporally fluctuating activity states of neuronal ensembles.
Spike patterns of hippocampal neurons were recorded from rats performing a linear
track task. Within a single consummatory period, similar sets of neurons were
more frequently recruited in synchronous firing events, whereas different
synchronized firing patterns of neuronal populations tended to be identified in
different consummatory periods. A linear regression analysis indicated that the
time-varying activation patterns of neuronal populations during consummatory
periods are correlated with the spike rates of a place cell within its place
field during running. These findings suggest that place cell in-field spiking is
not only triggered by static inputs that represent external environments but also
strongly depends on the time-varying internal states of neuronal populations.
PMID- 29633415
TI - A novel gene-family involved in spermatophore generation in the economically
important salmon louse Lepeophtheirus salmonis.
AB - The salmon louse (Lepeophtheirus salmonis) is an important parasite of Atlantic
salmon (Salmo salar). It is widely spread in aquaculture facilities and leads to
economic losses every year. As it has developed resistances against many common
treatments, new control methods must be established. Here we characterize a novel
gene family of the salmon louse, consisting of two genes, which has not been
described in other species before. We analyzed temporal expression patterns of
both genes, the localization of mRNA and protein. An RNAi mediated gene knockdown
lead to information about the function of the protein. Overall, these two genes
are expressed only in sperm ducts of male sea lice. The mucin-like proteins can
additionally be found in the wall of spermatophores, which are responsible for
sperm transfer to females. Knockdown showed that both genes are essential for
successful fertilization of females. Overall, all results indicate that the two
analyzed genes are necessary for reproduction in sea lice as they are essential
for the formation of a wall surrounding the spermatophores, which is needed for
fertilization. Therefore, we name them Mucin-like spermatophore wall protein 1 &
2 (MLSWP1 & MLSWP2). Analysis of sequence data from other copepod species
suggests that MLSWPs are present in many copepod species and may also play a
similar role in reproduction in those species.
PMID- 29633416
TI - Missed nursing care and its relationship with confidence in delegation among
hospital nurses.
AB - AIMS AND OBJECTIVES: To (i) identify the types and reasons for missed nursing
care among Jordanian hospital nurses; (ii) identify predictors of missed nursing
care based on study variables; and (iii) examine the relationship between nurses'
confidence in delegation and missed nursing care. BACKGROUND: Missed nursing care
is a global concern for nurses and nurse administrators. Investigating the
relation between the confidence in delegation and missed nursing care might help
in designing strategies that enable nurses to minimise missed care and enhance
quality of services. METHODS: A correlational research design was used for this
study. A convenience sample of 362 hospital nurses completed the missed nursing
care survey, and confidence and intent to delegate scale. RESULTS: The results of
the study revealed that ambulating and feeding patients on time, doing mouth care
and attending interdisciplinary care conferences were the most frequent types of
missed care. The mean score for missed nursing care was (2.78) on a scale from 1
5. The most prevalent reasons for missed care were "labour resources, followed by
material resources, and then communication". Around 45% of the variation in the
perceived level of "missed nursing care" was explained by background variables
and perceived reasons for missed nursing. However, the relationship between
confidence in delegation and missed care was insignificant. CONCLUSION: The
results of this study add to the body of international literature on most
prevalent types and reasons for missed nursing care in a different cultural
context. RELEVANCE TO CLINICAL PRACTICE: Highlighting most prevalent reasons for
missed nursing care could help nurse administrators in designing responsive
strategies to eliminate or reduces such reasons.
PMID- 29633417
TI - Editor's note.
PMID- 29633418
TI - Formation of Hierarchical Cu-Doped CoSe2 Microboxes via Sequential Ion Exchange
for High-Performance Sodium-Ion Batteries.
AB - Electrode materials based on electrochemical conversion reactions have received
considerable interest for high capacity anodes of sodium-ion batteries. However,
their practical application is greatly hindered by the poor rate capability and
rapid capacity fading. Tuning the structure at nanoscale and increasing the
conductivity of these anode materials are two effective strategies to address
these issues. Herein, a two-step ion-exchange method is developed to synthesize
hierarchical Cu-doped CoSe2 microboxes assembled by ultrathin nanosheets using Co
Co Prussian blue analogue microcubes as the starting material. Benefitting from
the structural and compositional advantages, these Cu-doped CoSe2 microboxes with
improved conductivity exhibit enhanced sodium storage properties in terms of good
rate capability and excellent cycling performance.
PMID- 29633419
TI - Targeting Aged Astrocytes May Be a New Therapeutic Strategy in Parkinson's
Disease.
PMID- 29633420
TI - Dermatoporosis and vitamin C deficiency.
PMID- 29633421
TI - The provision of nurse-led follow-up at Norwegian intensive care units.
AB - AIMS AND OBJECTIVES: To describe the prevalence, content and administration of
intensive care unit diaries and follow-up practices offered to patients and their
families in Norway. BACKGROUND: Intensive care treatment has been associated with
risks for new or increased health impairments affecting both patients and their
families. These impairments have the potential of continuing beyond the acute
phase of treatment. In parallel, preventive actions have gradually become an
integrated part of critical care nursing, and in Norway, national recommendations
for the use of intensive care unit diaries have been established. DESIGN AND
METHODS: A survey was conducted in Norwegian intensive care units offering care
for adult patients, using a questionnaire asking about the frequency,
administration and content of the follow-up offered to patients, their relatives,
as well as bereaved family members. RESULTS: Thirty-nine of 66 (59.1%) invited
intensive care units answered the questionnaire. The majority (n = 33, 84.6%) of
the responding units had follow-up routines. The provision of diaries was the
most frequent follow-up activity (n = 24, 61.5%), and consultations postdischarge
formed an integrated part of the diary practice. Consultations with bereaved were
conducted in 21 (53.8%) of the intensive care units. About one quarter of the
responding intensive care units had positions for follow-up nursing staff.
CONCLUSION: Nurse-led follow-up after critical care was a common activity in
Norwegian intensive care units, comprising diaries and consultations offered to
patients and family members. The follow-up was mainly driven by bottom-up
processes conducted by dedicated nurses motivated by the patients' and their
families' situation and feedback. RELEVANCE TO CLINICAL PRACTICE: Adherence to
recommendations, as well as the availability of defined positions for aftercare
nurses or teams, may improve the implementation of follow-up practices and reduce
suffering after discharge from Norwegian intensive care units.
PMID- 29633422
TI - Catathrenia in Pitt-Hopkins syndrome associated with 18q interstitial deletion.
PMID- 29633423
TI - MET amplification in endometrial cancers with clear-cell carcinoma components.
AB - Endometrial clear-cell carcinoma (ECC) is relatively rare. The expression of
diagnostic markers in this disease is similar to that of clear-cell carcinoma,
but the molecular carcinogenic events and therapeutic targets are mostly unknown.
MET gene amplification has been reported in various cancers, including ovarian
clear-cell carcinomas; however, the MET gene status has not previously been
examined in ECC. We performed real-time quantitative PCR (QPCR) and fluorescence
in situ hybridization (FISH) to analyze the MET gene statuses of 12 ECC cases. We
found MET amplifications in two cases (2/12; 16.7%) by both methods. Of the 12
cases, 9 were pure clear-cell carcinomas, and 3 were mixed types that included
mixes with endometrioid carcinomas in 2 cases, and the remaining case was a
heterologous-type carcinosarcoma that primarily consisted of a clear-cell
carcinoma component and a scarce chondrosarcoma component. Both of the MET
amplification cases were mixed; one contained endometrioid features, and the
other chondrosarcoma features. This is the first report to analyze the statuses
of the MET gene in ECCs, and the two mixed cases exhibited amplifications that
are shared with ovarian clear-cell carcinomas. Further studies with larger
numbers of cases are necessary to reveal the relationship between ECC and MET
amplification.
PMID- 29633425
TI - Membranous Nephropathy With Light Chain Restricted Deposits.
AB - The literature on Membranous nephropathy (MN) with monoclonal deposits on
immunofluorescence (IF) and their outcome is very scarce. We report our
experience of managing five patients with this clinical entity. Mean age of the
patients was 33.2+/-6.55 years. The mean proteinuria, serum albumin and serum
creatinine was 5.73+/-2.17 g/day, 2.86+/-0.51 g/dL and 1.34+/-1.19 mg/dL,
respectively. None of the patients had a lymphoproliferative disorder. Only one
patient had an elevated free light chain ratio. Four (80%) patients were M-type
phospholipase A2 receptor (PLA2R) negative (tissue and serum), and 1 (20%) was
PLA2R related. Three (60%) cases had monoclonal IgG3/k, one IgG3/lambda, whereas
one patient with PLA2R positivity had an IgG3/IgG4k subtype. Two (67%) patients
treated with cyclical cyclophosphamide and steroids (cCYC/GC) achieved complete
remission and one patient (33%) with elevated baseline creatinine had a reduction
in serum creatinine with persistent proteinuria at the end of 12th month of
follow-up. One patient with PLA2R positive MN was treated with Rituximab and is
in complete remission. The patient with an elevated free light chain at baseline
was treated with Bortezomib/Thalidomide/Dexamethasone, had complete remission at
12 months, however, had a progressive rise in creatinine over the next 40 months
of follow-up. The current series though limited by numbers documents the efficacy
of conventional therapies in non-malignant associated MN with monoclonal deposits
on IF.
PMID- 29633424
TI - Tbx2 is required for the suppression of mesendoderm during early Xenopus
development.
AB - BACKGROUND: T-box family proteins are DNA-binding transcriptional regulators that
play crucial roles during germ layer formation in the early vertebrate embryo.
Well-characterized members of this family, including the transcriptional
activators Brachyury and VegT, are essential for the proper formation of mesoderm
and endoderm, respectively. To date, T-box proteins have not been shown to play a
role in the promotion of the third primary germ layer, ectoderm. RESULTS: Here,
we report that the T-box factor Tbx2 is both sufficient and necessary for
ectodermal differentiation in the frog Xenopus laevis. Tbx2 is expressed
zygotically in the presumptive ectoderm, during blastula and gastrula stages.
Ectopic expression of Tbx2 represses mesoderm and endoderm, while loss of Tbx2
leads to inappropriate expression of mesoderm- and endoderm-specific genes in the
region fated to give rise to ectoderm. Misexpression of Tbx2 also promotes neural
tissue in animal cap explants, suggesting that Tbx2 plays a role in both the
establishment of ectodermal fate and its dorsoventral patterning. CONCLUSIONS:
Our studies demonstrate that Tbx2 functions as a transcriptional repressor during
germ layer formation, and suggest that this activity is mediated in part through
repression of target genes that are stimulated, in the mesendoderm, by
transactivating T-box proteins. Taken together, our results point to a critical
role for Tbx2 in limiting the potency of blastula-stage progenitor cells during
vertebrate germ layer differentiation. Developmental Dynamics 247:903-913, 2018.
(c) 2018 Wiley Periodicals, Inc.
PMID- 29633426
TI - Calcium signals act through histone deacetylase to mediate pronephric kidney
morphogenesis.
AB - BACKGROUND: Autosomal dominant polycystic kidney disease is the most common
monogenetic kidney disorder and is linked to mutations in PKD1 and PKD2. PKD2, a
Ca2+ -conducting TRP channel enriched in ciliated cells and gated by
extracellular signals, is necessary to activate the multifunctional Ca2+/
calmodulin-dependent protein kinase type 2 (CaMK-II), enabling kidney
morphogenesis and cilia stability. RESULTS: In this study, antisense morpholino
oligonucleotides and pharmacological compounds were employed to investigate the
roles of class II HDAC family members (HDAC 4, 5, and 6) in Zebrafish kidney
development. While all three class II HDAC genes were expressed throughout the
embryo during early development, HDAC5-morphant embryos exhibited anterior cysts
and destabilized cloacal cilia, similar to PKD2 and CaMK-II morphants. In
contrast, HDAC4-morphant embryos exhibited elongated cloacal cilia and lacked
anterior kidney defects. Suppression of HDAC4 partially reversed the cilia
shortening and anterior convolution defects caused by CaMK-II deficiency, whereas
HDAC5 loss exacerbated these defects. EGFP-HDAC4, but not EGFP-HDAC5,
translocated into the nucleus upon CaMK-II suppression in pronephric kidney
cells. CONCLUSIONS: These results support a model by which activated CaMK-II
sequesters HDAC4 in the cytosol to enable primary cilia formation and kidney
morphogenesis. Developmental Dynamics 247:807-817, 2018. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29633427
TI - Normal lung function, do we need to go further than ethnic differences? More
questions than answers.
PMID- 29633428
TI - Porous Microrod Arrays Constructed by Carbon-Confined NiCo@NiCoO2 Core@Shell
Nanoparticles as Efficient Electrocatalysts for Oxygen Evolution.
AB - The study of cost-efficient and high-performance electrocatalysts for oxygen
evolution reaction (OER) has attracted much attention. Here, porous microrod
arrays constructed by carbon-confined NiCo@NiCoO2 core@shell nanoparticles
(NiCo@NiCoO2 /C PMRAs) are fabricated by the reductive carbonization of
bimetallic (Ni, Co) metal-organic framework microrod arrays (denoted as NiCo-MOF
MRAs) and subsequent controlled oxidative calcination. They successfully combine
the desired merits including large specific surface areas, high conductivity, and
multiple electrocatalytic active sites for OER. In addition, the oxygen vacancies
in NiCo@NiCoO2 /C PMRAs significantly improve the conductivity of NiCoO2 and
accelerate the kinetics of OER. The above advantages obviously enhance the
electrocatalytic performance of NiCo@NiCoO2 /C PMRAs. The experimental results
demonstrate that the NiCo@NiCoO2 /C PMRAs as electrocatalysts exhibit high
catalytic activity, low overpotential, and high stability for OER in alkaline
media. The strategy reported will open up a new route for the fabrication of
porous bimetallic composite electrocatalysts derived from MOFs with controllable
morphology for electrochemical energy conversion devices.
PMID- 29633429
TI - Effect of nicotine and alpha-7 nicotinic modulators on visceral pain-induced
conditioned place aversion in mice.
AB - BACKGROUND: Preclinical assays of affective and sensorial aspects of nociception
play a key role in research on both the neurobiology of pain and the development
of novel analgesics. Therefore, we investigated the effects of nicotine and alpha
7 nicotinic acetylcholine receptor (nAChR) modulators in the negative affective
and sensory components of visceral pain in mice. METHODS AND RESULTS:
Intraperitoneal acetic acid (AA) administration resulted in a robust stretching
behaviour and conditioned place aversion (CPA) in mice. We observed a dose
dependent reduction in AA-induced stretching and CPA by the nonselective nAChRs
agonist nicotine. Mecamylamine, a nonselective nAChRs agonist, was able to block
its effects; however, hexamethonium, a peripherally restricted nonselective
nicotinic antagonist, was able to block nicotine's effect on stretching behaviour
but not on CPA. In addition, systemic administration of alpha7 nAChR full
agonists PHA543613 and PNU282987 was failed to block stretching and CPA behaviour
induced by AA. However, the alpha7 nAChR-positive allosteric modulator PNU120596
blocked AA-induced CPA in a dose-dependent manner without reducing stretching
behaviours. CONCLUSIONS: Our data revealed that while nonselective nAChR
activation induces antinociceptive properties on the sensorial and affective
signs of visceral pain in mice, alpha7 nAChRS activation has no effect on these
responses. In addition, nonselective nAChR activation-induced antinociceptive
effect on stretching behaviour was mediated by central and peripheral mechanisms.
However, the effect of nonselective nAChR activation on CPA was mediated
centrally. Furthermore, our data suggest a pivotal role of allosteric modulation
of alpha7 nAChRS in the negative affective, but not sensory, component of
visceral pain. SIGNIFICANCE: The present results suggest that allosteric
modulation of alpha7 nAChR may provide new strategies in affective aspects of
nociception.
PMID- 29633430
TI - Natural history of serum HBV-RNA in chronic HBV infection.
AB - Virus-like particles encapsulating HBV-RNA represent a serum biomarker for
assessing viral replication activity in clinical practice. However, baseline
levels of serum HBV-RNA and their associations with viral replicative
intermediates and liver disease in phases of chronic hepatitis B remain unknown.
In this cross-sectional study, 102 patients were categorized into immune-tolerant
(IT), HBeAg-positive immune active (HBeAg+IA), inactive carrier (IC) and HBeAg
negative immune active (HBeAg-IA) phases. HBV-RNA in serum samples and in 66
paired liver biopsies were quantified and correlated with serum ALT levels,
histopathological scores and the levels of other viral replicative intermediates.
Mean levels of serum HBV-RNA differed among phases, with the highest levels among
IT (6.78 +/- 0.83 log10 copies mL-1 ) patients, followed by HBeAg+IA (5.73 +/-
1.16 log10 copies mL-1 ), HBeAg-IA (4.52 +/- 1.25 log10 copies mL-1 ) and IC
(2.96 +/- 0.40 log10 copies mL-1 ) patients. Serum HBV-RNA levels correlated with
HBV DNA in all phases, although correlations with other viral replicative
intermediates weakened or disappeared when cases were stratified into phases.
Distinct compositions of viral products were found among phases: the ratio of
HBsAg to serum HBV-RNA was highest in IC patients, while the ratio of serum HBV
RNA to intrahepatic HBV-RNA and the ratio of intrahepatic HBV-DNA to intrahepatic
HBV-RNA were significantly higher in IT patients. In conclusion, baseline levels
of HBV-RNA and the composition of viral replicative intermediates differ
significantly across the natural course of chronic HBV infection. These findings
shed light on the nature of viral replication and pathogenesis of disease among
different phases of chronic HBV infection.
PMID- 29633431
TI - Clinical examination and non-invasive screening tests in the diagnosis of
peripheral artery disease in people with diabetes-related foot ulceration.
AB - AIM: Peripheral artery disease is common in people with diabetes-related foot
ulceration and is a risk factor for amputation. The best method for the detection
or exclusion of peripheral artery disease is unknown. This study investigated the
utility of clinical examination and non-invasive bedside tests in screening for
peripheral artery disease in diabetes-related foot ulceration. METHODS: Some 60
people presenting with new-onset ulceration participated. Accuracy of pulses,
ankle pressure, toe pressure, toe-brachial index (TBI), ankle-brachial pressure
index (ABPI), pole test at ankle, transcutaneous oxygen pressure and distal
tibial waveform on ultrasound were examined. The gold standard diagnostic test
used was > 50% stenosis in any artery or monophasic flow distal to calcification
in any ipsilateral vessel on duplex ultrasound. RESULTS: The negative and
positive likelihood ratios of pedal pulse assessment (0.75, 1.38) and the other
clinical assessment tools were poor. The negative and positive likelihood ratios
of ABPI (0.53, 1.69), transcutaneous oxygen pressure (1.10, 0.81) and ankle
pressure (0.67, 2.25) were unsatisfactory. The lowest negative likelihood ratios
were for tibial waveform assessment (0.15) and TBI (0.24). The highest positive
likelihood ratios were for toe pressure (17.55) and pole test at the ankle
(10.29) but the negative likelihood ratios were poor at 0.56 and 0.74.
CONCLUSIONS: Pulse assessment and ABPI have limited utility in the detection of
peripheral artery disease in people with diabetes foot ulceration. TBI and distal
tibial waveforms are useful for selecting those needing diagnostic testing.
PMID- 29633432
TI - Medically unexplained dermatologic symptoms still a problem.
PMID- 29633433
TI - Effects of hypoxia inducible factors on pluripotency in human iPS cells.
AB - A hypoxic condition is known to contribute to pluripotency. In the present
article, the effects of transcription factors were first assessed regarding the
proliferation and differentiation of human induced pluripotent stem (iPS) cells
under hypoxic conditions using cell morphology and real-time polymerase chain
reaction (RT-PCR). Morphology evaluations and RT-PCR revealed that the colony
formation was promoted and the expression of pluripotent markers was increased
under hypoxic conditions. In addition, the function of hypoxia inducible factors
(HIFs) in human iPS cells under hypoxic conditions was evaluated in relation to
the morphology and the expression of pluripotency markers by siRNA and RT-PCR.
The HIF-2alpha silencing group showed a reduction in the colony size of human iPS
cells and a statistically significant reduction in the expression of
undifferentiation markers compared to the control group. Furthermore, the
expression of HIF-2alpha was decreased when signal transducer and activator of
transcription 3 (STAT3) was suppressed by its inhibitor, Stattic or S31 201. The
inhibition using Stattic did not produce colony formation. The expression of
pluripotent markers was also decreased using Stattic or S31 201. This study
indicates that the HIF-2alpha expression in human iPS cells was activated under
hypoxic conditions, similarly to that in murine iPS cells, and that HIF-2alpha
among HIFs is the most effective compound for maintaining the pluripotency of
human iPS cells. Furthermore, the STAT3 signal pathway regulates the expression
of HIF-2alpha.
PMID- 29633434
TI - An in vitro evaluation of the influence of neonatal endotracheal tube diameter
and length on the work of breathing.
AB - BACKGROUND: Neonates, particularly premature babies, are often managed with
endotracheal intubation and subsequent mechanical ventilation to maintain
adequate pulmonary gas exchange. There is no consensus on the standard length of
endotracheal tube. Although a short tube reduces resistance and respiratory dead
space, it is believed to increase the risk of accidental extubation. There are
not entirely coherent data regarding the effect of endotracheal tube length on
work of breathing in infants. AIM: The aim of this study was to evaluate the
impact of neonatal endotracheal tube diameter and length on the work of breathing
using an infant in vitro lung model. METHOD: We assessed the work of breathing
index and mechanical ventilation settings with various endotracheal tube
diameters and lengths using the JTR100 in vitro infant lung model. The basic
parameters of the model were breathing frequency of 20 per minutes, inspiratory
expiratory ratio of 1:3, and positive end-expiratory pressure of 5 cmH2 O. In
addition, the diaphragm driving pressure to maintain the set tidal volume was
measured as the work of breathing index. The JTR100 was connected to the Babylog
8000plus through the endotracheal tube. Finally, we monitored the peak
inspiratory pressure generated during assist-control volume guarantee mode with a
targeted tidal volume of 10-30 mL. RESULTS: The diaphragm driving pressure using
a 2.0-mm inner diameter tube was twice as high as that using a 4.0-mm inner
diameter tube. To maintain the targeted tidal volume, a shorter tube reduced both
the diaphragm driving pressure and ventilator-generated peak inspiratory
pressure. The difference in the generated peak inspiratory pressure between the
shortest and longest tubes was 5 cmH2 O. CONCLUSION: In our infant lung model, a
shorter tube resulted in a lower work of breathing and lower ventilator-generated
peak inspiratory pressure.
PMID- 29633435
TI - Calcifications in prostate cancer: An active phenomenon mediated by epithelial
cells with osteoblast-phenotype.
AB - The main aim of this study was to investigate putative correlation between the
formation of prostate calcifications and the presence of cancer cells showing the
ultrastructural and morphological aspects of osteoblasts. To this end, 40
prostate biopsies of prostate cancer were enrolled and investigated from
histological, immunohistochemical, and ultrastructural point of view. To the best
of our knowledge, this is the first study to propose a new cell type related to
the ectopic calcifications in prostate tissue, the prostate osteoblast-like cells
(POLCs). Although our data require further investigations about the molecular
mechanisms of both POLCs Cells generation and calcification formation, this study
can open new and interesting prospective in the management of prostate cancer
patients. In fact, if our data will be validated in large-cohort studies, the
presence of POLCs Cells and/or prostate calcifications could become a poor
negative prognostic marker for cancer occurrence due to the correlation between
the presence of POLCs Cells and epithelial to mesenchymal transition phenomenon.
PMID- 29633437
TI - Pyoderma gangrenosum: a clinical conundrum.
PMID- 29633436
TI - A qualitative study of older adults' and family caregivers' perspectives
regarding their preoperative care transitions.
AB - AIMS AND OBJECTIVES: To explore how older patients with multiple chronic
conditions and their family caregivers perceive their engagement and overall care
experience throughout the preoperative phase of elective orthopaedic hip or knee
joint replacement. BACKGROUND: Patient engagement is a critical component of care
necessary for improving patient outcomes. Little is known about how older adults
with multiple chronic conditions and their family caregivers engage in
preoperative care transitions and the subsequent impact of this experience on
postoperative health outcomes. DESIGN: Prospective qualitative descriptive design
was used. METHODS: Semi-structured telephone interviews with a convenience sample
of older adults coping with multiple chronic conditions and their family
caregivers. Interviews were conducted prior to surgery and, again 21 days
postsurgery, were audio-recorded and transcribed for qualitative content
analysis. The Quality Health Outcomes Model was used to categorise study
findings. RESULTS: Eleven patients and five family caregivers participated.
Guided by the Quality Health Outcomes Model, four major themes were identified.
(i) Older adults perceive that joint replacement is about quality of life. (ii)
Standardised interventions often fail to address the unique needs of complex
older adults. (iii) Family caregivers perceive they are the primary care
coordinators. (iv) Postoperative outcomes and resource utilisation vary widely in
complex older adults. CONCLUSION: Findings suggest that current preoperative care
interventions are often not designed to effectively engage complex older patients
and their family caregivers. Coordinated patient-centred preoperative care that
reflects the needs and goals of complex older patients and their family
caregivers may positively influence perioperative care transitions and outcomes
beyond this episode of care. RELEVANCE TO CLINICAL PRACTICE: The current research
documents the need for more in-depth knowledge about the relationship between
older adults' and their family caregivers' engagement preoperatively and
postoperative outcomes and resource utilisation.
PMID- 29633438
TI - Electromyographic Responses Across Different Pulse-Widths of Sacral
Neuromodulation in Sheep.
AB - OBJECTIVES: In rodents, we reported that short pulse-width (PW) neuromodulation
might provide more efficient therapy delivery than traditional 0.21 msec PW.
Using fully implanted, commercialized systems in the sheep, the goal of this
study was to characterize the relationship of electromyographic (EMG) responses
of the external anal sphincter (EAS) to different PWs of sacral neuromodulation
(SNM). MATERIALS AND METHODS: In seven sheep, InterStim(r) quadripolar tined
leads were implanted adjacent to the S3 nerve root bilaterally to deliver SNM and
two pairs of intramuscular leads were placed on either side of the EAS for EMG
sensing. The EMG responses to SNM with different PWs were examined using variable
intensities in both anesthetized and conscious conditions. RESULTS: The EMG
responses from ipsilateral EAS (IEAS ) and contralateral EAS (CEAS ) were
compared. The area under the curve of EMG responses from IEAS were significantly
stronger than that from CEAS. The late component EMGs were more sensitive to
nerve stimulation with a higher response amplitude in awake sheep. The response
threshold-PW relationship from the IEAS as ascertained visually and with EMG in
anesthetized and awake sheep were fitted with a monoexponential nonlinear
regression; the resulting chronaxies were of 0.05 msec (n = 6), and 0.04 msec (n
= 6), and 0.04 msec (n = 8), respectively. CONCLUSIONS: In both anesthetized and
awake conditions, a similar motor response may be evoked in the EAS at PWs much
shorter (0.04-0.05 msec) than the 0.21 msec typically used with SNM. Potential
battery savings manifested by shorter PW would provide more efficient therapy
delivery and increased longevity of the stimulator.
PMID- 29633439
TI - Insights into the Crystallization and Structural Evolution of Glycine Dihydrate
by In Situ Solid-State NMR Spectroscopy.
AB - In situ solid-state NMR spectroscopy is exploited to monitor the structural
evolution of a glycine/water glass phase formed on flash cooling an aqueous
solution of glycine, with a range of modern solid-state NMR methods applied to
elucidate structural properties of the solid phases present. The glycine/water
glass is shown to crystallize into an intermediate phase, which then transforms
to the beta polymorph of glycine. Our in situ NMR results fully corroborate the
identity of the intermediate crystalline phase as glycine dihydrate, which was
first proposed only very recently.
PMID- 29633440
TI - Augmenter of liver regeneration: A key protein in liver regeneration and
pathophysiology.
AB - Liver is constantly exposed to pathogens, viruses, chemicals, and toxins, and
several of them cause injury, leading to the loss of liver mass and sometimes
resulting in cirrhosis and cancer. Under physiological conditions, liver can
regenerate if the loss of cells is less than the proliferation of hepatocytes. If
the loss is more than the proliferation, the radical treatment available is liver
transplantation. Due to this reason, the search for an alternative therapeutic
agent has been the focus of liver research. Liver regeneration is regulated by
several growth factors; one of the key factors is augmenter of liver regeneration
(ALR). Involvement of ALR has been reported in crucial processes such as
oxidative phosphorylation, maintenance of mitochondria and mitochondrial
biogenesis, and regulation of autophagy and cell proliferation. Augmenter of
liver regeneration has been observed to be involved in liver regeneration by not
only overcoming cell cycle inhibition but by maintaining the stem cell pool as
well. These observations have created curiosity regarding the possible role of
ALR in maintenance of liver health. Thus, this review brings a concise
presentation of the work done in areas exploring the role of ALR in normal liver
physiology and in liver health maintenance by fighting liver diseases, such as
liver failure, non-alcoholic fatty liver disease/non-alcoholic steatohepatitis,
viral infections, cirrhosis, and hepatocellular carcinoma.
PMID- 29633441
TI - Artisanal cachaca and brewer's spent grain as sources of yeasts with promising
biotechnological properties.
AB - AIMS: This study aimed to characterize yeasts isolated from the environment of
artisanal cachaca production and brewer's spent grain-bearing in mind their
further application in bioprocesses. METHODS AND RESULTS: Cell morphology, growth
and fermentative parameters, and karyotyping were employed for the selection and
grouping of yeast strains. The results showed that from 134 yeast strains
studied, 14.2% exhibited cells with snowflake morphology, which is not
appropriate for bioethanol production. The fermentation in sugarcane syrup was
carried out with 71 Saccharomyces cerevisiae, 19 Torulaspora delbrueckii, eight
Wickerhamomyces anomalus, six Candida parapsilosis, five Pichia mashurica, three
Candida intermedia, two Clavispora lusitaniae and one Candida aaseri. Among the
most important ethanol-producing strains, T. delbrueckii LMQA BSG 7 and S.
cerevisiae LMQA SNR 65 presented biomass yield, ethanol yield and productivity
similar or higher than PE-2 and CAT-1 (bioethanol industrial strains).
CONCLUSIONS: This study showed a high potential for industrial application of the
strains LMQA SNR 65 (S. cerevisiae) and LMQA BSG 7 (T. delbrueckii). It was found
that the use of the chromosomal profile is not adequate to qualify yeasts
concerning their technological performance. SIGNIFICANCE AND IMPACT OF THE STUDY:
This study reported yeasts isolated from uncommon sources that present
significant characteristics for potential application in bioprocesses.
PMID- 29633442
TI - Fluorine-Free Synthesis of High-Purity Ti3 C2 Tx (T=OH, O) via Alkali Treatment.
AB - MXenes, 2D compounds generated from layered bulk materials, have attracted
significant attention in energy-related fields. However, most syntheses involve
HF, which is highly corrosive and harmful to lithium-ion battery and
supercapacitor performance. Here an alkali-assisted hydrothermal method is used
to prepare a MXene Ti3 C2 Tx (T=OH, O). This route is inspired from a Bayer
process used in bauxite refining. The process is free of fluorine and yields
multilayer Ti3 C2 Tx with ca. 92 wt % in purity (using 27.5 m NaOH, 270 degrees
C). Without the F terminations, the resulting Ti3 C2 Tx film electrode (ca. 52
MUm in thickness, ca. 1.63 g cm-3 in density) is 314 F g-1 via gravimetric
capacitance at 2 mV s-1 in 1 m H2 SO4 . This surpasses (by ca. 214 %) that of the
multilayer Ti3 C2 Tx prepared via HF treatments. This fluorine-free method also
provides an alkali-etching strategy for exploring new MXenes for which the
interlayer amphoteric/acidic atoms from the pristine MAX phase must be removed.
PMID- 29633443
TI - Melt Electrospinning Writing of Highly Ordered Large Volume Scaffold
Architectures.
AB - The additive manufacturing of highly ordered, micrometer-scale scaffolds is at
the forefront of tissue engineering and regenerative medicine research. The
fabrication of scaffolds for the regeneration of larger tissue volumes, in
particular, remains a major challenge. A technology at the convergence of
additive manufacturing and electrospinning-melt electrospinning writing (MEW)-is
also limited in thickness/volume due to the accumulation of excess charge from
the deposited material repelling and hence, distorting scaffold architectures.
The underlying physical principles are studied that constrain MEW of thick, large
volume scaffolds. Through computational modeling, numerical values variable
working distances are established respectively, which maintain the electrostatic
force at a constant level during the printing process. Based on the computational
simulations, three voltage profiles are applied to determine the maximum height
(exceeding 7 mm) of a highly ordered large volume scaffold. These thick MEW
scaffolds have fully interconnected pores and allow cells to migrate and
proliferate. To the best of the authors knowledge, this is the first study to
report that z-axis adjustment and increasing the voltage during the MEW process
allows for the fabrication of high-volume scaffolds with uniform morphologies and
fiber diameters.
PMID- 29633444
TI - Catalytic Dinitrogen Reduction to Ammonia at a Triamidoamine-Titanium Complex.
AB - Catalytic reduction of N2 to NH3 by a Ti complex has been achieved, thus now
adding an early d-block metal to the small group of mid- and late-d-block metals
(Mo, Fe, Ru, Os, Co) that catalytically produce NH3 by N2 reduction and
protonolysis under homogeneous, abiological conditions. Reduction of [TiIV
(TrenTMS )X] (X=Cl, 1A; I, 1B; TrenTMS =N(CH2 CH2 NSiMe3 )3 ) with KC8 affords
[TiIII (TrenTMS )] (2). Addition of N2 affords [{(TrenTMS )TiIII }2 (MU-eta1
:eta1 -N2 )] (3); further reduction with KC8 gives [{(TrenTMS )TiIV }2 (MU-eta1
:eta1 :eta2 :eta2 -N2 K2 )] (4). Addition of benzo-15-crown-5 ether (B15C5) to 4
affords [{(TrenTMS )TiIV }2 (MU-eta1 :eta1 -N2 )][K(B15C5)2 ]2 (5). Complexes 3-5
treated under N2 with KC8 and [R3 PH][I], (the weakest H+ source yet used in N2
reduction) produce up to 18 equiv of NH3 with only trace N2 H4 . When only acid
is present, N2 H4 is the dominant product, suggesting successive protonation
produces [{(TrenTMS )TiIV }2 (MU-eta1 :eta1 -N2 H4 )][I]2 , and that extruded N2
H4 reacts further with [R3 PH][I]/KC8 to form NH3 .
PMID- 29633445
TI - Cleavable Binary Dyads: Simplifying Data Extraction and Increasing Storage
Density in Digital Polymers.
AB - Digital polymers are uniform macromolecules that store monomer-based binary
sequences. Molecularly stored information is usually extracted from the polymer
by a tandem mass spectrometry (MS/MS) measurement, in which the coded chains are
fragmented to reveal each bit (i.e. basic coded monomer unit) of the sequence.
Here, we show that data-extraction can be greatly simplified by favoring the
formation of MS/MS fragments containing two bits instead of one. In order to do
so, digital poly(alkoxyamine phosphodiester)s, containing binary dyads in each
repeat unit, were prepared by an orthogonal solid-phase approach involving
successive phosphoramidite and radical-radical coupling steps. Three different
sets of monomers were considered to build these polymers. In all cases, four
coded building blocks-two hydroxy-nitroxides and two phosphoramidite monomers
were required to build the dyads. Among the three studied monomer sets, one
combination allowed synthesis of uniform sequence-coded polymers. The resulting
polymers led to clear dyad-containing fragments in MS/MS and could therefore be
efficiently decoded. Additionally, an algorithm was created to detect specific
dyad fragments, thus enabling automated sequencing.
PMID- 29633446
TI - A novel INS mutation in a family with maturity-onset diabetes of the young:
Variable insulin secretion and putative mechanisms.
AB - Insulin gene (INS) mutations cause a rare form of maturity-onset diabetes of the
young (MODY), a heterogeneous group of autosomal dominant diabetes with at least
14 confirmed causative genes. Here, we describe a family with MODY due to a novel
INS mutation, detected using massively parallel sequencing (MPS). The proband
presented aged 11 years with mild diabetic ketoacidosis. She was negative for IA2
and GAD antibodies. She had a strong family history of diabetes affecting both
her two siblings and her mother, none of whom had ketosis but who were considered
to have type 1 diabetes and managed on insulin, and her maternal grandfather, who
was managed for decades on sulfonylureas. Of note, her younger sister had insulin
deficiency but an elevated fasting proinsulin:insulin ratio of 76% (ref 5%-30%).
Sanger sequencing of HNF4A, HNF1A, and HNF1B in the proband was negative.
Targeted MPS using a custom-designed amplicon panel sequenced on an Illumina
MiSeq detected a heterozygous INS mutation c.277G>A (p.Glu93Lys). Sanger
sequencing confirmed the variant segregated with diabetes within the family.
Structural analysis of this variant suggested disruption of a critical hydrogen
bond between insulin and the insulin receptor; however, the clinical picture in
some individuals also suggested abnormal insulin processing and insulin
deficiency. This family has a novel INS mutation and demonstrated variable
insulin deficiency. MPS represents an efficient method of MODY diagnosis in
families with rarer gene mutations.
PMID- 29633447
TI - Two cases of large cell acanthoma successfully treated with photodynamic therapy.
PMID- 29633448
TI - Secukinumab: A positive outcome in a patient with severe psoriasis and HBV-HCV co
infection.
PMID- 29633449
TI - Non-Heme Iron Catalysts for Olefin Epoxidation: Conformationally Rigid Aryl-Aryl
Junction To Support Amine/Imine Multidentate Ligands.
AB - Atom-transfer chemistry represents an important class of reactions catalyzed by
metalloenzymes. As a functional mimic of non-heme iron enzymes that deliver
oxygen atoms to olefins, we have designed monoiron complexes supported by new N
donor chelates. These ligands take advantage of heme-like conformational rigidity
of the pi-conjugated molecular backbone, and synthetic flexibility of tethering
non-heme donor groups for additional steric and electronic control. Iron
complexes generated in situ can be used to carry out catalytic epoxidation of a
wide range of olefin substrates by using mCPBA as a terminal oxidant. The fate of
initial iron-peracid adduct and the involvement of iron-oxo species in this
process were investigated further by mechanistic probes and isotope exchange
studies. Our findings suggest that anilidopyridyl-derived [N,N]-bidentate motif
could serve as a versatile structural platform to build non-heme ligands for
catalytic oxidation chemistry.
PMID- 29633450
TI - Estimating the contribution of surfactant replacement therapy to the alveolar
pool: An in vivo study based on 13 C natural abundance in rabbits.
AB - Variation of the isotopic abundance of selected nutrients and molecules has been
used for pharmacological and kinetics studies under the premise that the
administered molecule has a different isotopic enrichment from the isotopic
background of the recipient subject. The aim of this study is to test the
feasibility of assessing the contribution of exogenous surfactant phospholipids
to the endogenous alveolar pool in vivo after exogenous surfactant replacement
therapy in rabbits. The study consisted in measuring the consistency of 13 C/12 C
ratio of disaturated-phosphatidylcholine palmitate (DSPC-PA) in 7 lots of
poractant alfa, produced over a year, and among bronchoalveolar lavages of 20
rabbits fed with a standard chow. A pilot study was performed in a rabbit model
of lavage-induced surfactant deficiency: 7 control rabbits and 4 treated with
exogenous surfactant. The contribution of exogenous surfactant to the alveolar
pool was assessed after intra-tracheal administration of 200 mg/kg of poractant
alfa. The 13 C content of DSPC-PA was measured by isotope ratio mass
spectrometry. The mean DSPC-PA 13 C/12 C ratio of the 7 lots of poractant alfa
was -18.80/00 with a SD of 0.10/00 (range: -18.90/00; -18.60/00). The mean 13
C/12 C ratio of surfactant DSPC recovered from the lung lavage of 20 rabbits was
28.8 +/- 1.20/00 (range: -31.70/00; -25.70/00). The contribution of exogenous
surfactant to the total alveolar surfactant could be calculated in the treated
rabbits, and it ranged from 83.9% to 89.6%. This pilot study describes a novel
method to measure the contribution of the exogenous surfactant to the alveolar
pool. This method is based on the natural variation of 13 C, and therefore it
does not require the use of chemically synthetized tracers. This method could be
useful in human research and especially in surfactant replacement studies in
preterm infants.
PMID- 29633451
TI - In Situ Monitoring of RAFT Polymerization by Tetraphenylethylene-Containing
Agents with Aggregation-Induced Emission Characteristics.
AB - A facile and efficient approach is demonstrated to visualize the polymerization
in situ. A group of tetraphenylethylene (TPE)-containing dithiocarbamates were
synthesized and screened as agents for reversible addition fragmentation chain
transfer (RAFT) polymerizations. The spatial-temporal control characteristics of
photochemistry enabled the RAFT polymerizations to be ON and OFF on demand under
alternating visible light irradiation. The emission of TPE is sensitive to the
local viscosity change owing to its aggregation-induced emission characteristic.
Quantitative information could be easily acquired by the naked eye without
destroying the reaction system. Furthermore, the versatility of such a technique
was well demonstrated by 12 different polymerization systems. The present
approach thus demonstrated a powerful platform for understanding the controlled
living radical polymerization process.
PMID- 29633452
TI - Research protocol: The initiation, design and establishment of the Global
Angelman Syndrome Registry.
AB - BACKGROUND: Angelman syndrome (AS) is a rare neurodevelopmental disorder
affecting between 1 in 15 000 and 1 in 24 000 individuals. The condition results
in severe developmental and expressive language delays, motor impairments and a
unique behavioural phenotype consisting of excessive laughter, smiling and
sociability. While many studies have contributed knowledge about the causes and
natural history of the syndrome, large scale longitudinal studies are required to
advance research and therapeutics for this rare syndrome. METHOD: This article
describes the protocol for the Global Angelman Syndrome Registry, and some
initial findings. Due to the rarity of AS and the variability in symptom
presentation, the registry team will strive for complete case ascertainment.
Parents and caregivers will submit data to the registry via a secure internet
connection. The registry consists of 10 modules that cover patient demographics;
developmental, diagnostic, medical and surgical history, behaviour and
development, epilepsy, medications and interventions and sleep. RESULTS: Since
its launch at https://angelmanregistry.info in September 2016, almost 470
individuals with AS have been signed up to the registry worldwide: 59% are from
North and South America, 23% are from Europe, 17% are from the Asia Pacific
region and 1% are from the Middle East or Africa. The majority of registrants are
children, with only 16% aged over 20 years. Most participants indicated a
chromosome deletion (76%), with fewer participants indicating a mutation,
uniparental disomy or imprinting defect (20%). CONCLUSION: Findings indicate a
need to consider recruitment strategies that target caregivers of older children
and adults, and parents and caregivers from non-English speaking backgrounds.
PMID- 29633453
TI - Physiological and regulatory underpinnings of geographic variation in reptilian
cold tolerance across a latitudinal cline.
AB - Understanding the mechanisms that produce variation in thermal performance is a
key component to investigating climatic effects on evolution and adaptation.
However, disentangling the effects of local adaptation and phenotypic plasticity
in shaping patterns of geographic variation in natural populations can prove
challenging. Additionally, the physiological mechanisms that cause organismal
dysfunction at extreme temperatures are still largely under debate. Using the
green anole, Anolis carolinensis, we integrate measures of cold tolerance (CTmin
), standard metabolic rate, heart size, blood lactate concentration and RNAseq
data from liver tissue to investigate geographic variation in cold tolerance and
its underlying mechanisms along a latitudinal cline. We found significant effects
of thermal acclimation and latitude of origin on variation in cold tolerance.
Increased cold tolerance correlates with decreased rates of oxygen consumption
and blood lactate concentration (a proxy for oxygen limitation), suggesting
elevated performance is associated with improved oxygen economy during cold
exposure. Consistent with these results, co-expression modules associated with
blood lactate concentration are enriched for functions associated with blood
circulation, coagulation and clotting. Expression of these modules correlates
with thermal acclimation and latitude of origin. Our findings support the oxygen
and capacity-limited thermal tolerance hypothesis as a potential contributor to
variation in reptilian cold tolerance. Moreover, differences in gene expression
suggest regulation of the blood coagulation cascade may play an important role in
reptilian cold tolerance and may be the target of natural selection in
populations inhabiting colder environments.
PMID- 29633454
TI - Electrooxidative Rhodium-Catalyzed C-H/C-H Activation: Electricity as Oxidant for
Cross-Dehydrogenative Alkenylation.
AB - Rhodium(III) catalysis has enabled a plethora of oxidative C-H
functionalizations, which predominantly employ stoichiometric amounts of toxic
and/or expensive metal oxidants. In contrast, we herein describe the first
electrochemical rhodium-catalyzed C-H activation that avoids hazardous chemical
oxidants. Environmentally benign twofold C-H/C-H functionalizations were
accomplished with weakly coordinating benzoic acids and benzamides, employing
electricity as the terminal oxidant and generating H2 as the sole byproduct.
PMID- 29633456
TI - Like-likes-Like: Cooperative Hydrogen Bonding Overcomes Coulomb Repulsion in
Cationic Clusters with Net Charges up to Q=+6e.
AB - Quantum chemical calculations have been employed to study kinetically stable
cationic clusters, wherein the monovalent cations are trapped by hydrogen bonding
despite strongly repulsive electrostatic forces. We calculated linear and cyclic
clusters of the hydroxy-functionalized cation N-(3-hydroxypropyl) pyridinium,
commonly used as cation in ionic liquids. The largest kinetically stable cluster
was a cyclic hexamer that very much resembles the structural motifs of molecular
clusters, as known for water and alcohols. Surprisingly, strong cooperative
hydrogen bonds overcome electrostatic repulsion and result in cationic clusters
with a high net charge up to Q=+6e. The structural, spectroscopic, and electronic
signatures of the cationic and related molecular clusters of 3-phenyl-1-propanol
could be correlated to NBO parameters, supporting the existence of "anti
electrostatic" hydrogen bonds (AEHB), as recently suggested by Weinhold. We also
showed that dispersion forces enhance the cationic cluster formation and
compensate the electrostatic repulsion of one additional positive charge.
PMID- 29633457
TI - Searching for the genetic footprint of ancient and recent hybridization.
AB - Determining the long-term consequences of hybridization remains a central quest
for evolutionary biologists. A particular challenge is to establish whether and
to what extent widespread hybridization results in gene flow (introgression)
between parental taxa. In this issue of Molecular Ecology, Jordan et al. ()
search for evidence of gene flow between two closely related species of Geum
(Rosaceae), which hybridize readily in contemporary populations and where hybrid
swarms have been recorded for at least 200 years (Ruhsam, Hollingsworth, & Ennos,
). The authors find mixed evidence of ancient introgression when analysing
allopatric populations. Intriguingly, when analysing populations of a region
where the two species occur either mixed in the same population or in close
proximity, and where hybrids are presently common, Jordan and colleagues find
that the majority of randomly sampled individuals analysed (92/96) show no
evidence of introgression (defined as individuals with admixture coefficients of
<1%). The few individuals identified as hybrids are shown to likely be F1 or
early-generation backcrosses, indicating that even in sympatric regions,
hybridization does not penetrate beyond a few generations. Based on their
findings, Geum seems to be an example of little to no introgression despite
contemporary hybridization.
PMID- 29633458
TI - Characterization of the 3Dimension optical, geometrical, and mechanical profiles
of iPP fiber with necking deformation.
AB - We present a method for evaluating the 3D refractive indices and 3D true stress
and/or 3D true strain profiles of "isotactic polypropylene iPP" fibers during
necking deformation. Observing the changes in geometrical shape during the
deformation process is necessary to understand the mechanical performance of iPP
fibers. 3D geometric shape profile and actual stress and strain profiles were
measured for iPP fibers during the propagation of neck deformation. These
measurements were performed with the aid of an in-situ opto- mechanical device to
dynamically characterize different properties of fibers at different strain
rates. A software image analysis program was used to calculate the 3D opto
mechanical properties of iPP fibers. The obtained results show that the used
dynamic stretching device can be easily used to monitor the deformation process
with high accuracy. The effective stress and strain can be determined from the
filaments profile. For illustration microinterferograms are given.
PMID- 29633455
TI - Colonization of medical devices by staphylococci.
AB - The use of medical devices in modern medicine is constantly increasing. Despite
the multiple precautionary strategies that are being employed in hospitals, which
include increased hygiene and sterilization measures, bacterial infections on
these devices still happen frequently. Staphylococci are among the major causes
of medical device infection. This is mostly due to the strong capacity of those
bacteria to form device-associated biofilms, which provide resistance to chemical
and physical treatments as well as attacks by the host's immune system. Biofilm
development is a multistep process with specific factors participating in each
step. It is tightly regulated to provide a balance between biofilm expansion and
detachment. Detachment from a biofilm on a medical device can lead to severe
systemic infection, such as bacteremia and sepsis. While our understanding of
staphylococcal biofilm formation has increased significantly and staphylococcal
biofilm formation on medical devices is among the best understood biofilm
associated infections, the extensive effort put in preclinical studies with the
goal to find novel therapies against staphylococcal device-associated infections
has not yet resulted in efficient, applicable therapeutic options for that
difficult-to-treat type of disease.
PMID- 29633459
TI - Exploratory study on the relationship between smoking and other risk behaviours
among young smokers.
AB - AIMS AND OBJECTIVES: To explore the relationship between smoking and other risk
behaviours among Chinese young people in Hong Kong. BACKGROUND: There is growing
concern about coexisting risk behaviours in young smokers. Information about
smoking and other risk behaviours is crucial for developing interventions to
promote smoking abstinence and adoption of healthy lifestyles. The relationship
between smoking and other risk behaviours among Chinese young people in Hong Kong
has not been explored. DESIGN: This is a mixed-method retrospective population
based study. METHODS: A retrospective population-based study was conducted with
1,147 young smokers through Youth Quitline between November 2011-July 2016.
Attitudes, behaviours and experiences related to smoking and risk behaviours
among Chinese young smokers were examined in semi-structured qualitative
interviews with 30 participants randomly selected from the retrospective
population-based study. RESULTS: In total, 45.5% participants reported engaging
in at least one other risk behaviour. The interviews revealed that drinking
alcohol may have a significant impact on quitting smoking. In addition, smoking
may be a gateway for more serious risk behaviours during adolescence. Low health
awareness may explain the unhealthy lifestyles among young smokers. CONCLUSIONS:
This study bridges a gap in existing literature by exploring relationships
between smoking and other risk behaviours among young people in Hong Kong.
RELEVANCE TO CLINICAL PRACTICE: Given the interrelationships between smoking and
other risk behaviours, a holistic approach should be used to promote smoking
cessation and healthy lifestyles among young smokers. If young smokers improve
their health and quit smoking at the same time, their physical and mental
development will benefit as a secondary outcome.
PMID- 29633460
TI - Academic performance of third-year medical students learning in rural settings.
AB - OBJECTIVE: Investigate the academic performance of medical students in rural and
remote discipline rotations by rurality of placement. DESIGN: A retrospective
cohort study. SETTING: Rural and remote clinical placement locations in
Queensland, Australia. PARTICIPANTS: University of Queensland third-year medical
students. MAIN OUTCOME MEASURES: In this study, student results for a range of
assessments are the main outcome measures with rural area of student placement
locations as categorised by the Australian Standard Geographical Classification -
Remoteness Areas system the independent variable of interest. RESULTS: There was
a significant effect of Australian Standard Geographical Classification -
Remoteness Areas of placement on the health project, clinical case presentation,
clinical participation assessment and overall grade, after controlling for the
potential confounding impact of sex, age, students who attended the rural
clinical school, cohort year, rotation during the year and type of health service
where students were placed. No significant effect of rural placement level was
identified for the written examination, poster or journal of achievement
assessments. CONCLUSION: Medical students' academic achievement is associated
with many factors, but this study shows that being placed in remote areas is one
factor that either does not impede or can positively influence the learning and
academic performance of medical students.
PMID- 29633461
TI - Eosinophilia in the muscle layer of the esophagus and the urinary bladder in a
Multiple System Atrophy patient with dysphagia and dysuria.
AB - Multiple system atrophy (MSA) is a spinocerebellar degenerative disease
characterized by cerebellar ataxia, parkinsonism, and autonomic failure. A 75
year-old woman who had suffered from dysphagia and dysuria under a diagnosis of
probable MSA with predominant cerebellar ataxia underwent autopsy. Eosinophilia
was seen extensively in the muscle layer of the esophagus and urinary bladder.
Eosinophilic infiltration to the esophagus was localized in the smooth muscle
layer and could be considered as "eosinophilic esophageal myositis" identified in
patients with nutcracker esophagus and jackhammer esophagus. Dense eosinophilia
was present within the smooth muscle layer of the urinary bladder along with
muscle fiber degeneration. We suspected a neuropathic etiology associated with
MSA as the cause of the histological changes in the esophagus and urinary
bladder; however, the possibility that some other disease might also have been
responsible for the eosinophilic infiltration of the muscle layer cannot be
denied. To our knowledge, this is the first report showing localized eosinophilia
in the muscle layers of the esophagus and urinary bladder in the same patient.
Although localized eosinophilia in visceral muscle has not been understood well,
our case suggests the possibility that it is a feature of functional motility
disorders and may have a neuropathic etiology.
PMID- 29633462
TI - Calcium-Mobilizing Behaviors of Neutral Cyclic ADP-Ribose Mimics that Integrate
Modifications to the Nucleobase, Northern Ribose and Pyrophosphate.
AB - Cyclic adenosine diphosphate ribose (cADPR) is an endogenous Ca2+ mobilizer
involved in diverse cellular processes. Mimics of cADPR play a crucial role in
investigating the molecular mechanism(s) of cADPR-mediated signaling. Here,
compound 3, a mimic of cADPR in which a neutral triazole moiety and an ether
linkage were introduced to substitute the pyrophosphate and "northern" ribose
components, respectively, was synthesized for the first time. The pharmacological
activities in Jurkat cells indicated that this mimic is capable of penetrating
plasma membrane and inciting Ca2+ release from the endoplasmic reticulum (ER)
through the action of ryanodine receptors (RyRs) and triggering Ca2+ influx.
Furthermore, a uridine moiety was introduced in place of adenine and the new
cADPR mimics 4 and 5 were synthesized. The results of biological investigation
showed that these mimics also targeted RyRs and retained moderate Ca2+ agonistic
activities. The results indicated that the neutral cADPR mimics had the same
targets for inducing Ca2+ signaling.
PMID- 29633463
TI - Searching for a mathematical model for blood perfusion of random pattern skin
flaps: a clinical pilot study using in vivo laser speckle contrast imaging.
PMID- 29633464
TI - SUMOylation is required for fungal development and pathogenicity in the rice
blast fungus Magnaporthe oryzae.
AB - Amongst the various post-translational modifications (PTMs), SUMOylation is a
conserved process of attachment of a small ubiquitin-related modifier (SUMO) to a
protein substrate in eukaryotes. This process regulates many important biological
mechanisms, including transcriptional regulation, protein stabilization, cell
cycle, DNA repair and pathogenesis. However, the functional role of SUMOylation
is not well understood in plant-pathogenic fungi, including the model fungal
pathogen Magnaporthe oryzae. In this study, we elucidated the roles of four
SUMOylation-associated genes that encode one SUMO protein (MoSMT3), two E1
enzymes (MoAOS1 and MoUBA2) and one E2 enzyme (MoUBC9) in fungal development and
pathogenicity. Western blot assays showed that SUMO modification was abolished in
all deletion mutants. MoAOS1 and MoUBA2 were mainly localized in the nucleus,
whereas MoSMT3 and MoUBC9 were localized in both the nucleus and cytoplasm.
However, the four SUMOylation-associated proteins were predominantly localized in
the nucleus under oxidative stress conditions. Deletion mutants for each of the
four genes were viable, but showed significant defects in mycelial growth,
conidiation, septum formation, conidial germination, appressorium formation and
pathogenicity. Several proteins responsible for conidiation were predicted to be
SUMOylated, suggesting that conidiation is controlled at the post-translational
level by SUMOylation. In addition to infection-related development, SUMOylation
also played important roles in resistance to nutrient starvation, DNA damage and
oxidative stresses. Therefore, SUMOylation is required for infection-related
fungal development, stress responses and pathogenicity in M. oryzae. This study
provides new insights into the role of SUMOylation in the molecular mechanisms of
pathogenesis of the rice blast fungus and other plant pathogens.
PMID- 29633465
TI - Tuning of the Seebeck Coefficient and the Electrical and Thermal Conductivity of
Hybrid Materials Based on Polypyrrole and Bismuth Nanowires.
AB - The growing demand for clean energy catalyzes the development of new devices
capable of generating electricity from renewable energy resources. One of the
possible approaches focuses on the use of thermoelectric materials (TE), which
may utilize waste heat, water, and solar thermal energy to generate electrical
power. An improvement of the performance of such devices may be achieved through
the development of composites made of an organic matrix filled with
nanostructured thermoelectric materials working in a synergetic way. The first
step towards such designs requires a better understanding of the fundamental
interactions between available materials. In this paper, this matter is
investigated and the questions regarding the change of electrical and thermal
properties of nanocomposites based on low-conductive polypyrrole enriched with
bismuth nanowires of well-defined geometry and morphology is answered. It is
clearly demonstrated that the electrical conductivity and the Seebeck coefficient
may be tuned either simultaneously or separately within particular Bi NWs content
ranges, and that both parameters may be increased at the same time.
PMID- 29633466
TI - Photoactivatable Adhesive Ligands for Light-Guided Neuronal Growth.
AB - Neuro-regeneration after trauma requires growth and reconnection of neurons to
reestablish information flow in particular directions across the damaged tissue.
To support this process, biomaterials for nerve tissue regeneration need to
provide spatial information to adhesion receptors on the cell membrane and to
provide directionality to growing neurites. Here, photoactivatable adhesive
peptides based on the CASIKVAVSADR laminin peptidomimetic are presented and
applied to spatiotemporal control of neuronal growth to biomaterials in vitro.
The introduction of a photoremovable group [6-nitroveratryl (NVOC), 3-(4,5
dimethoxy-2-nitrophenyl)butan-2-yl (DMNPB), or 2,2'-((3'-(1-hydroxypropan-2-yl)
4'-nitro-[1,1'-biphenyl]-4-yl)azanediyl)bis(ethan-1-ol) (HANBP)] at the amino
terminal group of the K residue temporally inhibited the activity of the peptide.
The bioactivity was regained through controlled light exposure. When used in
neuronal culture substrates, the peptides allowed light-based control of the
attachment and differentiation of neuronal cells. Site-selective irradiation
activated adhesion and differentiation cues and guided seeded neurons to grow in
predefined patterns. This is the first demonstration of ligand-based light
controlled interaction between neuronal cells and biomaterials.
PMID- 29633468
TI - Moving on....
PMID- 29633467
TI - Defining extended half-life rFVIII-A critical review of the evidence.
AB - INTRODUCTION: Recent haemophilia treatment advances include new recombinant FVIII
(rFVIII) products with improved pharmacokinetic (PK) properties that aim to
reduce the burden of prophylaxis. These treatments are commonly referred to as
extended half-life rFVIII products (EHL rFVIII). There is no uniform definition
of what constitutes an EHL rFVIII. Such a definition would help physicians,
patients and funders understand the properties of standard and EHL rFVIIIs and
thus provide clarity when selecting an EHL in clinical settings. AIM: To
critically assess the published evidence on new and emerging rFVIII products in
order to propose a definition to classify EHL rFVIIIs. METHODS: We systematically
searched PubMed, EMBASE and regulatory authorities (FDA/EMA/Health Canada)
websites for publications and regulatory submissions describing prospective
crossover PK studies evaluating rFVIIIs that demonstrate improved PK parameters
in adults and adolescents with severe haemophilia A. RESULTS: Following critical
analyses of the published data, we developed a holistic approach to defining
rFVIIIs as EHLs, which requires all of the following: (i) using technology
designed to extend rFVIII half-life; (ii) lacking bioequivalence with a standard
rFVIII comparator-above the FDA/EMA cut-off of 125% for the 90% confidence
intervals for area under the curve ratio; and (iii) having an extended half-life
ratio measured in a PK comparator crossover study. CONCLUSION: In this systematic
review, a pragmatic definition of EHL rFVIII has been proposed that should
provide better clarity in clinical discussions surrounding the appropriate use of
rFVIII products. At present, only products using PEGylation or Fc fusion half
life extension technology meet the proposed criteria for definition of EHL
rFVIII.
PMID- 29633469
TI - Sympatric serpentine endemic Monardella (Lamiaceae) species maintain habitat
differences despite hybridization.
AB - Ecological differentiation and genetic isolation are thought to be critical in
facilitating coexistence between related species, but the relative importance of
these phenomena and the interactions between them are not well understood. Here,
we examine divergence in abiotic habitat affinity and the extent of hybridization
and introgression between two rare species of Monardella (Lamiaceae) that are
both restricted to the same serpentine soil exposure in California. Although
broadly sympatric, they are found in microhabitats that differ consistently in
soil chemistry, slope, rockiness and vegetation. We identify one active hybrid
zone at a site with intermediate soil and above-ground characteristics, and we
document admixture patterns indicative of extensive and asymmetric introgression
from one species into the other. We find that genetic distance among
heterospecific populations is related to geographic distance, such that the
extent of apparent introgression is partly explained by the spatial proximity to
the hybrid zone. Our work shows that plant species can maintain morphological and
ecological integrity in the face of weak genetic isolation, intermediate habitats
can facilitate the establishment of hybrids, and that the degree of apparent
introgression a population experiences is related to its geographic location
rather than its local habitat characteristics.
PMID- 29633470
TI - Evaluation of changes in Bone Scan Index at different acquisition time-points in
bone scintigraphy.
AB - Bone Scan Index (BSI) is a validated imaging biomarker to objectively assess
tumour burden in bone in patients with prostate cancer, and can be used to
monitor treatment response. It is not known if BSI is significantly altered when
images are acquired at a time difference of 1 h. The aim of this study was to
investigate if automatic calculation of BSI is affected when images are acquired
1 hour apart, after approximately 3 and 4 h. We prospectively studied patients
with prostate cancer who were referred for bone scintigraphy according to
clinical routine. The patients performed a whole-body bone scan at approximately
3 h after injection of radiolabelled bisphosphonate and a second 1 h after the
first. BSI values for each bone scintigraphy were obtained using EXINI boneBSI
software. A total of 25 patients were included. Median BSI for the first
acquisition was 0.05 (range 0-11.93) and for the second acquisition 0.21 (range 0
13.06). There was a statistically significant increase in BSI at the second image
acquisition compared to the first (P<0.001). In seven of 25 patients (28%) and in
seven of 13 patients with BSI > 0 (54%), a clinically significant increase (>0.3)
was observed. The time between injection and scanning should be fixed when
changes in BSI are important, for example when monitoring therapeutic efficacy.
PMID- 29633472
TI - 'Men just drink more than women. Women have friends to talk to'-Gendered
understandings of depression among healthcare professionals and their
implications.
AB - Little is known about how gendered understandings of patients can inform
professionals' discretionary actions and decisions to include or exclude in
clinical practice. Using Connell's poststructuralist perspectives on gender as an
analytic framework, this article aims to investigate how professionals'
articulations of depression are framed by signs of masculinity and femininity,
and how these articulations inform service provision to patients with depression
in clinical psychiatry. Building on interview data drawn from an ethnographic
study, the article shows how the professionals' articulations reflected a gender
binary that framed how the feminized patients were often connected to psychiatric
care while masculinized patients were referred to separate alcohol or substance
use treatment outside the psychiatric institution. The article discusses the
societal and institutional conditionality of gendered understandings in
psychiatry. In spite of several limitations, the article elucidates how
professionals' understandings might have wide-ranging implications for the
accuracy of epidemiological research and policy, and how they reflect a power
struggle between patients and professionals about the legitimate right to
interpret patients' conditions and efforts to manage their illness-related
problems.
PMID- 29633471
TI - SUMO1-conjugation is altered during normal aging but not by increased amyloid
burden.
AB - A proper equilibrium of post-translational protein modifications is essential for
normal cell physiology, and alteration in these processes is key in
neurodegenerative disorders such as Alzheimer's disease. Recently, for instance,
alteration in protein SUMOylation has been linked to amyloid pathology. In this
work, we aimed to elucidate the role of protein SUMOylation during aging and
increased amyloid burden in vivo using a His6 -HA-SUMO1 knock-in mouse in the
5XFAD model of Alzheimer's disease. Interestingly, we did not observe any
alteration in the levels of SUMO1-conjugation related to Alzheimer's disease.
SUMO1 conjugates remained localized to neuronal nuclei upon increased amyloid
burden and during aging and were not detected in amyloid plaques. Surprisingly
however, we observed age-related alterations in global levels of SUMO1
conjugation and at the level of individual substrates using quantitative
proteomic analysis. The identified SUMO1 candidate substrates are dominantly
nuclear proteins, mainly involved in RNA processing. Our findings open novel
directions of research for studying a functional link between SUMOylation and its
role in guarding nuclear functions during aging.
PMID- 29633473
TI - Low serum creatinine predicts risk for type 2 diabetes.
AB - AIMS: As an insulin target tissue, skeletal muscle is inversely related to type 2
diabetes mellitus (T2DM). Serum creatinine originates mainly from creatine in
muscle and is considered as a reliable surrogate marker for muscle mass in
apparently healthy subjects. It is therefore hypothesized that low serum
creatinine could effectively predict increased risk of T2DM. Yet information is
scarce regarding the longitudinal relationship between serum creatinine and T2DM.
This study aims to investigate this relation in a large general population of
both men and women. METHODS: A prospective cohort study (n = 57 587; follow-up
range: 1-9 years, mean: 3.57 years, 95% confidence interval: 3.55-3.58 years) was
conducted in a general population sample from Tianjin, China. Multivariable Cox
proportional hazards regression models were used to assess the relationship
between baseline serum creatinine and the risk of developing T2DM (as defined by
the American Diabetes Association criteria). RESULTS: During the follow-up
period, 2017 subjects developed T2DM. The multivariate-adjusted hazard ratios
(95% confidence interval) for T2DM incidence across quintiles of serum creatinine
were 1.00 (reference), 0.86 (0.75, 0.99), 0.82 (0.72, 0.94), 0.85 (0.74, 0.97),
and 0.77 (0.67, 0.89; P for trend <.01). Similar results were observed in both
sexes (interaction P = .56). CONCLUSIONS: These findings indicate that serum
creatinine concentration is inversely related to incident T2DM in both men and
women. Measuring serum creatinine may assist in the early detection of
individuals at high risk of developing T2DM.
PMID- 29633474
TI - Creation of knowledge-based planning models intended for large scale
distribution: Minimizing the effect of outlier plans.
AB - Knowledge-based planning (KBP) can be used to estimate dose-volume histograms
(DVHs) of organs at risk (OAR) using models. The task of model creation, however,
can result in estimates with differing accuracy; particularly when outlier plans
are not properly addressed. This work used RapidPlanTM to create models for the
prostate and head and neck intended for large-scale distribution. Potential
outlier plans were identified by means of regression analysis scatter plots,
Cook's distance, coefficient of determination, and the chi-squared test. Outlier
plans were identified as falling into three categories: geometric, dosimetric,
and over-fitting outliers. The models were validated by comparing DVHs estimated
by the model with those from a separate and independent set of clinical plans.
The estimated DVHs were also used as optimization objectives during inverse
planning. The analysis tools lead us to identify as many as 7 geometric, 8
dosimetric, and 20 over-fitting outliers in the raw models. Geometric and over
fitting outliers were removed while the dosimetric outliers were replaced after
re-planning. Model validation was done by comparing the DVHs at 50%, 85%, and 99%
of the maximum dose for each OAR (denoted as V50, V85, and V99) and agreed within
-2% to 4% for the three metrics for the final prostate model. In terms of the
head and neck model, the estimated DVHs agreed from -2.0% to 5.1% at V50, 0.1% to
7.1% at V85, and 0.1% to 7.6% at V99. The process used to create these models
improved the accuracy for the pharyngeal constrictor DVH estimation where one
plan was originally over-estimated by more than twice. In conclusion, our results
demonstrate that KBP models should be carefully created since their accuracy
could be negatively affected by outlier plans. Outlier plans can be addressed by
removing them from the model and re-planning.
PMID- 29633475
TI - Socio-economic status and HbA1c in type 2 diabetes: A systematic review and meta
analysis.
AB - Up until now, differences in HbA1c levels by socio-economic status (SES) have
been identified, but not yet quantified in people with type 2 diabetes. The aim
of this study was therefore to assess the difference in HbA1c levels between
people with type 2 diabetes of different SES in a systematic review and meta
analysis. A systematic literature search was conducted in MEDLINE, Embase, Ebsco,
and the Cochrane Library until January 14, 2018. Included studies described
adults with type 2 diabetes in whom the association between SES and HbA1c levels
was studied. Studies were rated for methodological quality and data were
synthesized quantitatively (meta-analysis) and qualitatively (levels of
evidence), stratified for type of SES variable, i.e., education, income,
deprivation, and employment. Fifty-one studies were included: 15 high, 27
moderate, and 9 of low methodological quality. Strong evidence was provided that
people of low SES have higher HbA1c levels than people of high SES, for
deprivation, education, and employment status. The pooled mean difference in
HbA1c levels between people of low and high SES was 0.26% (95% CI, 0.09-0.43) or
3.12 mmol/mol (95% CI, 1.21-5.04) for education and 0.20% (95% CI, -0.05 to 0.46)
or 2.36 mmol/mol (95%CI, -0.61 to 5.33) for income. In conclusion, our systematic
review and meta-analysis showed that there was an inverse association between SES
and HbA1c levels in people with type 2 diabetes. Future research should focus on
finding SES-sensitive strategies to reduce HbA1c levels in people with type 2
diabetes.
PMID- 29633476
TI - A framework for operationalizing risk: A practical approach to patient safety.
AB - The importance of patient safety has grown tremendously; however, there are
insufficient resources dedicated to its practical application. We provide an
overview of the framework for addressing patient safety within the Johns Hopkins
Health System, which approaches patient safety in the context of risk at the
patient, provider, unit, and system levels. We present practical examples of how
this approach is applied and highlight the resources needed as well as describe
how it fits within the broader quality management infrastructure in the health
system on its journey toward high reliability.
PMID- 29633477
TI - Radiotherapy in the management of classic Kaposi's sarcoma: A single institution
experience from Northeast Turkey.
AB - Kaposi sarcoma is a rare adult neoplasm and there has been no certain consensus
on standard treatment, either local or systemic. Radiotherapy is an effective,
suitable treatment modality. Between 1996 and 2016, patients who were diagnosed
with Kaposi sarcoma and referred to our clinic for radiotherapy were included in
this retrospective study. Ninety-two patients were examined in total and it was
diagnosed that all the patients had non-HIV associated Kaposi's sarcoma. There
were 36 (39%) females and 56 (61%) males and female to male ratio was 2/3. Median
age at presentation was 72 (30-93) years. Sixty-eight patients (77%) were treated
with 8 Gy (1 fraction), 15 patients (16%) were treated with 20 Gy (2
Gy/fraction), four patients (4%) were treated 25 Gy (2.5 Gy/fraction), and five
patients (6%) were treated 30 Gy (3 Gy/fraction). The median follow-up time was
72 (5-192) months. The complete response at 5 years was 91.6% with >20 Gy and
89.6% with 8 Gy. Radiotherapy is an effective, suitable treatment modality of
Classic Kaposi sarcoma and usually, radiotherapy is well tolerated with minimal
side effects.
PMID- 29633478
TI - "Auslander" (Foreigners), Migrants, or New Germans? Identity-Building Processes
and School Socialization Among Adolescents From Immigrant Backgrounds in Germany.
AB - In public discourse in Germany, identity is widely constructed along the
juxtaposition of two categories: "German"-defined primordially in ethnic terms
and "migrant" or "of migration background." But most urban schools today consist
of a majority of children with such "non-German" backgrounds, while "ethnic
German" children have become one minority among many others. Drawing from
research on German identity, social mobility careers from among second-generation
Turkey-originating families-including retrospective accounts of their school
experiences in the 1960s to 2000s-and a very recent project on urban diversity,
this article compares experiences of native-born adolescents and adults from
immigrant families in relation to representations of Germanness. With immigrant
children attending German schools over almost 50 years, it considers how
demographic changes are shaping different experiences in the second and third
generations and how this challenges German national self-definitions. The
discussion examines meanings and effects on identity building and future
orientations of youth from immigrant families and implications for future
research in "majority-minority" societies.
PMID- 29633479
TI - Future Orientation in Cultural Transition: Acculturation Strategies of Youth From
Three Minority Groups in Israel.
AB - Using adolescents' narratives and survey data presented in earlier studies, we
draw upon Berry's model of four acculturation strategies () to examine
adolescents' narratives regarding the future orientation domains of education-and
career and marriage-and-family (Seginer, ) by three groups of nonimmigrant
minority adolescents in Israel: Muslim, Druze, and ultra-Orthodox Jewish. The
narratives of adolescents from the three communities studied here illustrate
modified assimilation for education-and-career and separation for marriage-and
family, indicating both cultural transition and continuity. Quantitative analyses
mapped domain-specific links from education-and-career and marriage-and-family to
adolescents' academic achievement.
PMID- 29633480
TI - Therapeutic cannabinoids in multiple sclerosis: immunomodulation revisited.
PMID- 29633481
TI - Osteosarcoma mimic in the breast: A recurrent malignant phyllodes tumour
harbouring MED12 and hTERT mutations.
PMID- 29633482
TI - Identification of novel mutations and risk assessment of Han Chinese patients
with autosomal dominant polycystic kidney disease.
AB - AIM: Autosomal dominant polycystic kidney disease (ADPKD) is the most common
hereditary renal disease in humans and is caused by mutations in the PKD1 or PKD2
gene. ADPKD is heterogeneous with regard to locus and allele heterogeneity and
phenotypic variability. METHODS: Using targeted capture associated with next
generation sequencing, we performed a mutational analysis of Han Chinese patients
with ADPKD from 62 unrelated families. Multivariate Cox proportional hazard
modeling of their different clinical characteristics and mutation classes was
performed. RESULTS: The detection rate for a PKD1 and PKD2 mutation in the
Chinese ADPKD patients was 95.2% (59/62). We identified pathogenic mutations in
64.4% (38/59) of patients, including 32PKD1 mutations (15 nonsense mutations, 15
frameshift mutation, one splice mutation, and one large deletion) and six PKD2
mutations (three nonsense mutations and three frameshift mutations). Of the
pathogenic variants we identified, 50% (19/38) were novel variants and 50%
(19/38) were known variants. Patients with PKD2 mutations had milder and
indistinguishable phenotypes. Significant phenotypic differences were observed
among the various types of PKD1 mutations. CONCLUSION: Our results show that
targeted capture associated with next-generation sequencing is an effective
strategy for genetically testing ADPKD patients. This mutation analysis of ADPKD
in Han Chinese extends our understanding of the genetic diversity of different
ethnic groups, enriches the mutation database, and contributes to the genetic
counselling of ADPKD patients.
PMID- 29633483
TI - Versatile Three-Dimensional Porous Cu@Cu2 O Aerogel Networks as Electrocatalysts
and Mimicking Peroxidases.
AB - A facile strategy is presented to form 3D porous Cu@Cu2 O aerogel networks by
self-assembling Cu@Cu2 O nanoparticles with the diameters of ca. 40 nm for
constructing catalytic interfaces. Unexpectedly, the prepared Cu@Cu2 O aerogel
networks display excellent electrocatalytic activity to glucose oxidation at a
low onset potential of ca. 0.25 V. Moreover, the Cu@Cu2 O aerogels also can act
as mimicking-enzymes including horseradish peroxidase and NADH peroxidase, and
show obvious enzymatic catalytic activities to the oxidation of dopamine (DA), o
phenyldiamine (OPD), 3,3,5,5-tetramethylbenzidine (TMB), and dihydronicotinamide
adenine dinucleotide (NADH) in the presence of H2 O2 . These 3D Cu@Cu2 O aerogel
networks are a new class of porous catalytic materials as mimic peroxidases and
electrocatalysts and offer a novel platform to construct catalytic interfaces for
promising applications in electrochemical sensors and artificial enzymatic
catalytic systems.
PMID- 29633484
TI - Cohort study to determine the waist circumference cutoffs for predicting type 2
diabetes mellitus in rural China.
AB - BACKGROUND: Limited information is available on the cutoffs of waist
circumference (WC) for predicting type 2 diabetes mellitus (T2DM). We aimed to
define the optimal WC cutoffs for predicting T2DM among rural Chinese people.
METHODS: A cohort of 11 968 participants (732 new-onset T2DM) from a rural area
in China with age 18 to 87 years was established at baseline during July to
August of 2007 and 2008 and followed up during July to August of 2013 and 2014.
Scatterplot, X-tile plot, and receiver operating characteristic (ROC) curve
analyses were used to determine WC cutoffs for predicting T2DM. RESULTS: The WC
cutoffs for males and females were 84 and 86 cm (scatterplot), 83 and 88 cm (X
tile plot), and 87 and 88 cm (ROC curve). According to the highest risk score,
the optimal WC cutoffs were 87 cm for males and 88 cm for females. With the
optimal WC cutoffs, the sensitivity, specificity, positive likelihood ratio, area
under the ROC curve, and population-attributable risk proportions were 67.9%,
67.0%, 2.06%, 0.70%, and 46%, respectively, for males and 52.5%, 75.0%, 2.10%,
0.69%, and 34%, respectively, for females; the corresponding adjusted hazard
ratio for WC predicting T2DM was 3.66 (95% confidence interval 2.80-4.78) for
males and 2.55 (2.08-3.12) for females. CONCLUSIONS: The optimal WC cutoffs for
predicting T2DM were similar between males and females. As well, the criteria of
WC for central obesity are no longer practical for predicting T2DM.
PMID- 29633485
TI - Identity Development and Future Orientation in Immigrant Adolescents and Young
Adults: A Narrative View of Cultural Transitions From Ethiopia to Israel.
AB - This paper examines a major aspect of identity development in the context of
cultural transition. Following Eriksonian psychosocial and sociocultural
perspectives, it investigates self-continuity and identity integration in light
of inherent discontinuity among young immigrants. More specifically, this
examination draws on three distinct narrative studies, within the framework of
Dynamic Narrative Approach, with first- and second-generation adolescents and
young adult Ethiopian immigrants to Israel. Their negotiations of identity, with
a focus on their narrative construction of past, present, and future across life
domains (education, career, military service, family), are illustrated in this
article in a variety of developmental paths. Dynamics of reciprocity between
early life experiences and future orientation are revealed in the narratives. A
capacity to connect cultural resources in the past with challenges in the new
culture is identified as a key. By processing them and bringing them up-to-date,
meaning becomes relevant to current experiences and developmental challenges.
Across the three distinct studies, a variety of exploratory activities and
relational qualities are found to facilitate or impede the reconstruction and
integration of identity.
PMID- 29633487
TI - Introduction: Navigating Pathways in Multicultural Nations: Identities, Future
Orientation, Schooling, and Careers.
PMID- 29633486
TI - Iridium-Catalyzed Annulation Reactions of Thiophenes with Carboxylic Acids:
Direct Evidence for a Heck-type Pathway.
AB - The functionalization of thiophenes is a fundamental and important reaction.
Herein, we disclose iridium-catalyzed one-pot annulation reactions of
(benzo)thiophenes with (hetero)aromatic or alpha,beta-unsaturated carboxylic
acids, which afford thiophene-fused coumarin-type frameworks. Dearomatization
reactions of 2-substituted thiophenes with alpha,beta-unsaturated carboxylic
acids deliver various thiophene-containing spirocyclic products. The occurrence
of two interconnected reactions provides direct evidence for a Heck-type pathway.
The mechanistic scenario described herein is distinctly different from the SE Ar
and concerted metalation-protodemetalation (CMD) pathways encountered in the well
described oxidative C-H/C-H cross-coupling reactions of thiophenes with other
heteroarenes.
PMID- 29633488
TI - Nephrologists' perspectives on cancer screening in patients with chronic kidney
disease: an interview study.
AB - AIM: Patients with chronic kidney disease (CKD) have an increased risk of cancer
compared with the general population. Despite this, there is considerable
variability in cancer screening practices among nephrologists which may reflect
uncertainties about the benefits and harms of screening, the additional costs,
and competing priorities among the complex issues that patients are confronted
with. We aimed to describe nephrologists' perspectives and approaches to cancer
screening in CKD. METHODS: Semi-structured interviews were conducted with 29
nephrologists from 15 units across Australia and New Zealand. Interviews were
transcribed and thematically analyzed. RESULTS: Five themes were identified:
empowering patients to make informed decisions (respecting patient preferences,
communicating evidence-based recommendations, creating awareness of consequences,
preparing for transplantation); justifiable risk taking (avoiding undue
consequences in vulnerable populations, balancing the costs and benefits,
warranted by long term immunosuppression, assurance of reasonable survival
gains); ambiguity of evidence in supporting decisions (absence of standardized
recommendations, limited transferability of population-based data); depending on
a shared multidisciplinary approach (collaboration with primary health care,
access to coordinated skin cancer clinics); and prioritizing current or imminent
complications. CONCLUSION: Nephrologists approach decisions about cancer
screening in patients with CKD based on patient preferences, assessment of risk,
justifiable survival gains, and current health priorities. Evidence-based
guidelines, communication frameworks and specialist clinics may support informed
and shared decision making about cancer screening in CKD.
PMID- 29633490
TI - Culture, Identity, Belonging, and School Success.
PMID- 29633489
TI - Life stress and symptoms of anxiety and depression in women after cancer: The
mediating effect of stress appraisal and coping.
AB - OBJECTIVE: This paper examines the direct and intermediary relationships between
life stress, stress appraisal, and resilience, and increased anxiety and
depressive symptoms in Australian women after cancer treatment. METHODS: Data
examined from 278 women aged 18 years and older previously treated for breast,
gynaecological, or blood cancer, participating in the Australian Women's Wellness
after Cancer Program. Serial mediation models interrogated the effect of
stressful life events (List of Threatening Experiences-Modified) mediated by
appraisal and coping (Perceived Stress Scale and Connor-Davidson Resilience
Scale), on symptoms of anxiety and depression (Zung Self-rating Anxiety Scale and
Center for Epidemiologic Studies Depression Scale). RESULTS: Over one-quarter
(30.2%) of participants reported 1 or more stressful life events, other than
their cancer, in the previous 6 months. Results indicate that perceived stress
fully mediated the relationships between life stress, anxiety (indirect effect =
0.09, Bias-corrected bootstrap 95% CI 0.02-0.18, Percent mediation = 0.51), and
depressive symptoms (indirect effect = 0.11, Bias-corrected bootstrap 95% CI 0.02
0.23, Percent mediation = 0.71) and accounted for more than half of the
relationship between predictor and outcome. CONCLUSIONS: Findings indicate that
stress appraisal mediated the relationship between past life stressors and
anxiety and depressive symptoms. This analysis also highlights the need to
consider wellness within a broader care context to identify potentially
vulnerable patients to possibly avert future health concerns.
PMID- 29633491
TI - Emerging therapeutic potential for xenin and related peptides in obesity and
diabetes.
AB - Xenin-25 is a 25-amino acid peptide hormone co-secreted from the same
enteroendocrine K-cell as the incretin peptide glucose-dependent insulinotropic
polypeptide. There is no known specific receptor for xenin-25, but studies
suggest that at least some biological actions may be mediated through interaction
with the neurotensin receptor. Original investigation into the physiological
significance of xenin-25 focussed on effects related to gastrointestinal transit
and satiety. However, xenin-25 has been demonstrated in pancreatic islets and
recently shown to possess actions in relation to the regulation of insulin and
glucagon secretion, as well as promoting beta-cell survival. Accordingly, the
beneficial impact of xenin-25, and related analogues, has been assessed in animal
models of diabetes-obesity. In addition, studies have demonstrated that
metabolically active fragment peptides of xenin-25, particularly xenin-8, possess
independent therapeutic promise for diabetes, as well as serving as bioactive
components for the generation of multi-acting hybrid peptides with antidiabetic
potential. This review focuses on continuing developments with xenin compounds in
relation to new therapeutic approaches for diabetes-obesity.
PMID- 29633492
TI - Mapping the Excited-State Potential Energy Surface of a Photomolecular Motor.
AB - A detailed understanding of the operation and efficiency of unidirectional
photomolecular rotary motors is essential for their effective exploitation in
molecular nanomachines. Unidirectional motion relies on light-driven conversion
from a stable (1 a) to a metastable (1 b) conformation, which then relaxes
through a thermally driven helix inversion in the ground state. The excited-state
surface has thus far only been experimentally characterised for 1 a. Here we
probe the metastable, 1 b, excited state, utilising ultrafast transient
absorption and femtosecond stimulated Raman spectroscopy. These reveal that the
"dark" excited-state intermediate between 1 a and 1 b has a different lifetime
and structure depending on the initial ground-state conformation excited. This
suggests that the reaction coordinate connecting 1 a to 1 b differs to that for
the reverse photochemical process. The result is contrasted with earlier
calculations.
PMID- 29633493
TI - Dynamic Nuclear Polarization NMR Spectroscopy of Polymeric Carbon Nitride
Photocatalysts: Insights into Structural Defects and Reactivity.
AB - Metal-free polymeric carbon nitrides (PCNs) are promising photocatalysts for
solar hydrogen production, but their structure-photoactivity relationship remains
elusive. Two PCNs were characterized by dynamic-nuclear-polarization-enhanced
solid-state NMR spectroscopy, which circumvented the need for specific labeling
with either 13 C- or 15 N-enriched precursors. Rapid 1D and 2D data acquisition
was possible, providing insights into the structural contrasts between the PCNs.
Compared to PCN_B with lower performance, PCN_P is a more porous and more active
photocatalyst that is richer in terminal N-H bonds not associated with
interpolymer chains. It is proposed that terminal N-H groups act as efficient
carrier traps and reaction sites.
PMID- 29633494
TI - Selective Conversion of CO2 into Isocyanate by Low-Coordinate Iron Complexes.
AB - Discovery of the mechanisms for selective transformations of CO2 into organic
compounds is a challenge. Herein, we describe the reaction of low-coordinate Fe
silylamide complexes with CO2 to give trimethylsilyl isocyanate and the
corresponding Fe siloxide complex. Kinetic studies show that this is a two-stage
reaction, and the presence of a single equivalent of THF influences the rates of
both steps. Isolation of a thermally unstable intermediate provides mechanistic
insight that explains both the effect of THF in this reaction, and the way in
which the reaction achieves high selectivity for isocyanate formation.
PMID- 29633495
TI - Mechanisms of enhanced thrombin-generating capacity in patients with cirrhosis.
PMID- 29633496
TI - Klinefelter syndrome as a risk factor for recurrent deep vein thrombosis in an
adolescent male: Significance of a thorough physical examination.
PMID- 29633497
TI - In Vitro Biosynthesis of the Nonproteinogenic Amino Acid Methoxyvinylglycine.
AB - Oxyvinylglycines are a family of nonproteinogenic amino acids featuring an
essential vinyl ether conferring mechanism-based inhibition of pyridoxal
phosphate enzymes. The gene clusters for a few oxyvinylglycines are known, yet
the biosynthetic origin of the vinyl ether is elusive. The in vitro biosynthesis
of methoxyvinylglycine or l-2-amino-4-methoxy-trans-3-butenoic acid (AMB) is
reported. It is shown that AMB is made from glutamate as an alanyl-AMB dipeptide
and the rationale is provided for the N-term Ala. Using a chemical capture
method, the order and timing of the modifications on non-ribosomal peptide
synthetase (NRPS)-bound substrates was determined, including a cryptic
hydroxylation of the Glu beta-carbon. Eliminating this hydroxy group likely
generates a key alpha,beta-dehydroamino acid intermediate that facilitates
decarboxylation. This work sheds light on vinyl ether biosynthesis and uncovers
new NRPS chemistry.
PMID- 29633498
TI - Cobalt Phosphide Composite Encapsulated within N,P-Doped Carbon Nanotubes for
Synergistic Oxygen Evolution.
AB - Exploring highly efficient and stable oxygen evolution reaction (OER)
electrocatalysts such as transition-metal phosphides (TMPs) is critical to
advancing renewable hydrogen fuel. TMP nanostructures typically involving binary
or ternary TMPs tuned by cation or anion doping are suggested to be promising low
cost and durable OER catalysts. Herein, the preparation of CoP/CoP2 composite
nanoparticles encapsulated within N,P-doped carbon nanotubes (CoP/CoP2 @NPCNTs)
is demonstrated as a synergistic electrocatalyst for OER via the calcination of a
CoAl-layered double hydroxide/melamine mixture and subsequent phosphorization.
Facile visualization by scanning electron microscopy in conjunction with electron
backscatter diffraction demonstrates the encapsulation of the CoP/CoP2
nanoparticles within the N,P-codoped CNTs. Electrocatalytic evaluation shows that
the composite electrode requires a low overpotential of 300 mV for the OER at 10
mA cm-2 in a 1.0 m KOH solution and, in particular, exhibits an excellent long
term durability of ~100 h, which is superior to that of the state-of-the-art RuO2
electrocatalyst. Density functional theory calculations reveal that the
synergistic effect of CoP and CoP2 can enhance the electrocatalytic performance.
In addition, molecular dynamics simulations demonstrate that the generated O2
molecules can readily diffuse out of the CNTs. Both the effects give rise to the
observed OER enhancement.
PMID- 29633499
TI - Synthesis and Biological Evaluation of a Series of Novel Celastrol Derivatives
with Amino Acid Chain.
AB - The synthesis of celastrol analogues containing amino acid ester at the C(29)
position and their evaluation for cytotoxic activities in vitro were reported.
The MTT test showed that a set of derivatives with lower IC50 values than that of
the positive control group cisplatin and the parent compound celastrol, which
exhibited greater antiproliferative activities. The most potent title compounds
2a and 2e exhibited cytotoxic activities in vitro against HeLa and A549 cell
lines with IC50 values of 0.371 and 0.237 MUm, 0.235 and 0.109 MUm, respectively.
The apoptosis assay demonstrated that 2a and 2e can induces of A549 cell
apoptosis in low concentrations. These results showed that 2a and 2e may be
promising for further research as antitumor agents.
PMID- 29633500
TI - Interfacing Photosynthetic Membrane Protein with Mesoporous WO3 Photoelectrode
for Solar Water Oxidation.
AB - Photosynthetic biocatalysts are emerging as a new class of materials, with their
sophisticated and intricate structure, which promise improved remarkable quantum
efficiency compared to conventional inorganic materials in artificial
photosynthesis. To break the limitation of efficiency, the construction of
bioconjugated photo-electrochemical conversion devices has garnered substantial
interest and stood at the frontier of the multidisciplinary research between
biology and chemistry. Herein, a biohybrid photoanode of a photosynthetic
membrane protein (Photosystem II (PS II)), extracted from fresh spinach entrapped
on mesoporous WO3 film, is fabricated on fluorine-doped tin oxide. The PS II
membrane proteins are observed to communicate with the WO3 electrode in the
absence of any soluble redox mediators and sacrificial reagents under the visible
light of the solar spectrum, even to 700 nm. The biohybrid electrode undergoes
electron transfer and generates a significantly enhanced photocurrent compared to
previously reported PS II-based photoanodes with carbon nanostructures or other
semiconductor substrates for solar water oxidation. The maximum incident photon
to-current conversion efficiency reaches 15.24% at 400 nm in the visible light
region. This work provides some insights and possibilities into the efficient
assembly of a future solar energy conversion system based on visible-light
responsive semiconductors and photosynthetic proteins.
PMID- 29633501
TI - Inferring the effect of genomic variation in the new era of genomics.
AB - Accurate and detailed understanding of the effects of variants in the coding and
noncoding regions of the genome is the next big challenge in the new genomic era
of personalized medicine, especially to tackle newer findings of genetic and
phenotypic heterogeneity of diseases. This is necessary to resolve the gene
variant-disease relationship, the pathogenic variant spectrum of genes,
pathogenic variants with variable clinical consequences, and multiloci diseases.
In turn, this will facilitate patient recruitment for relevant clinical trials.
In this review, we describe the trends in research at the intersection of basic
and clinical genomics aiming to (a) overcome molecular diagnostic challenges and
increase the clinical utility of next-generation sequencing (NGS) platforms, (b)
elucidate variants associated with disease, (c) determine overall genomic
complexity including epistasis, complex inheritance patterns such as "synergistic
heterozygosity," digenic/multigenic inheritance, modifier effect, and rare
variant load. We describe the newly emerging field of integrated functional
genomics, in vivo or in vitro large-scale functional approaches, statistical
bioinformatics algorithms that support NGS genomics data to interpret variants
for timely clinical diagnostics and disease management. Thus, facilitating the
discovery of new therapeutic or biomarker options, and their roles in the future
of personalized medicine.
PMID- 29633502
TI - Evidence for additionally increased apoptosis in the peripheral blood mononuclear
cells of major depressive patients with a high risk for suicide.
AB - Several studies have suggested a pathophysiological role of blood cell apoptosis
in major depressive disorder (MDD). The aim of this study was to evaluate mRNA
expression levels of Bcl-2, Bax, and Fas in peripheral blood mononuclear cells
(PBMCs) of MDD patients with a high risk for suicide relative to those without a
high risk for suicide as well as healthy subjects. The mRNA expression of Bcl-2,
Bax, and Fas as well as the Bcl-2/Bax ratio was examined in the PBMCs of 30 MDD
patients with a high risk for suicide, 30 MDD patients without a high risk for
suicide, and 30 healthy controls. The mRNA expression of target genes was
measured using real-time quantitative Polymerase Chain Reaction (PCR). FAS mRNA
expression was significantly increased, and Bcl-2 mRNA expression and the Bcl
2/Bax expression ratio were significantly decreased, in the PBMCs of MDD patients
with or without a high risk for suicide attempts compared to healthy controls (p
< .001). However, Bax mRNA expression was significantly increased only in MDD
patients with a high risk for suicide. Moreover, MDD patients with a high risk
for suicide had increased Bax and FAS mRNA expression and decreased Bcl-2 and Bcl
2/Bax ratio when compared to patients without risk for suicide (p < .001). Our
findings may support the role of both internal and external apoptotic pathways in
the interplay between the immune system and depressive symptoms, especially in
patients with a high risk for suicide.
PMID- 29633503
TI - Level of empathy among dietitians: A pilot study.
AB - AIM: The health-care providers' empathy has been linked to better patient
outcomes. The increased demand for dietetics services has called for dietitians
to examine their clinical practices and encounters with patients. To date, there
are limited studies published on empathy among dietitians. Hence, this study
aimed to determine the clinical dietitians' empathy level in Malaysia. METHODS:
Using a purposive sampling method, this study was conducted on dietitians
practising in the Malaysian hospitals (government and private). The Toronto
Empathy Questionnaire (TEQ), a validated 16-item questionnaire was self
administered, either by online survey method or face-to-face method. Additional
information on gender, ethnicity, years of experience and percentage of working
time spent on clinical, administrative and other areas were collected. RESULTS: A
total of 69 dietitians participated in the study (private (n = 36) and government
(n = 33)). The dietitians' mean total TEQ scores were 49.72 +/- 5.62 (score
ranged between 35 and 63). Significant difference of mean total TEQ scores was
found between dietitians from private (51.06 +/- 5.26) and government hospitals
(48.27 +/- 5.72). There was no significant difference in the level of empathy by
gender, ethnicity, years of experience and percentage of time spent on clinical
consultations, administration or others while at work. CONCLUSIONS: Results from
this study showed that there were differences in empathy level of Malaysian
dietitians by work setting. This preliminary finding serves as a baseline for
future studies and could facilitate the development of dietetics education in
Malaysia.
PMID- 29633505
TI - Gold-Catalyzed Regiospecific C-H Annulation of o-Ethynylbiaryls with Anthranils:
pi-Extension by Ring-Expansion En Route to N-Doped PAHs.
AB - We describe a novel, short, and flexible approach to diverse N-doped polycyclic
aromatic hydrocarbons (PAHs) through gold-catalyzed pi-extension of anthranils
with o-ethynylbiaryls as reagents. This strategy uses easily accessible starting
materials, is simple due to high step and atom economy, and shows good functional
group compatibility as well as scale-up potential. Mechanistically, the tandem
reaction is proposed to involve a nucleophilic addition/ring
opening/regiospecific C-H annulation/protodeauration sequence terminated by a
Friedel-Crafts-type cyclization. Photophysical studies of the products indicated
violet-blue fluorescence emission with quantum yields up to 0.45.
PMID- 29633504
TI - FBXW7 deletion contributes to lung tumor development and confers resistance to
gefitinib therapy.
AB - Gefitinib, an epidermal growth factor receptor-tyrosine kinase inhibitor (EGFR
TKI), is an effective treatment for non-small-cell lung cancer (NSCLC) with EGFR
activating mutations, but inevitably, the clinical efficacy is impeded by the
emergence of acquired resistance. The tumor suppressor gene FBXW7 modulates
chemosensitivity in various human cancers. However, its role in EGFR-TKI therapy
in NSCLC has not been well studied. Here, we demonstrate that the mice with
deficient Fbxw7 have greater susceptibility to urethane-induced lung tumor
development. Through analysis of The Cancer Genome Atlas data, we show that
deletion of FBXW7 occurs in 30.9% of lung adenocarcinomas and 63.5% of lung
squamous cell carcinomas, which significantly leads to decrease in FBXW7 mRNA
expression. The reduction in FBXW7 mRNA level is associated with poor overall
survival in lung cancer patients. FBXW7 knockdown dramatically promotes
epithelial-mesenchymal transition, migration, and invasion in NSCLC cells.
Moreover, with silenced FBXW7, EGFR-TKI-sensitive cells become resistant to
gefitinib, which is reversed by the mammalian target of rapamycin inhibitor,
rapamycin. Furthermore, xenograft mouse model studies show that FBXW7 knockdown
enhances tumorigenesis and resistance to gefitinib. Combination of gefitinib with
rapamycin treatment suppresses tumor formation of gefitinib-resistant (GR) FBXW7
knockdown cells. In conclusion, our findings suggest that loss of FBXW7 promotes
NSCLC progression as well as gefitinib resistance and combination of gefitinib
and rapamycin may provide an effective therapy for GR NSCLC.
PMID- 29633506
TI - Tumor necrosis factor-alpha -1031T/C polymorphism is associated with cognitive
deficits in chronic schizophrenia patients versus healthy controls.
AB - Recent compelling research has demonstrated a pathophysiologic role for
proinflammatory cytokines of microglial origin in decreasing neurocognitive
function. Psychiatric diseases are already known to have reduced cognitive
function and are also associated with increased inflammation. To elaborate on
these data, our study aims to investigate how a particular polymorphism of the
tumor necrosis factor gene, TNF-alpha -1031T/C, affects neurocognitive
performance in patients with schizophrenia. We recruited 905 patients with
schizophrenia and 571 healthy control subjects. We employed the Repeatable
Battery for the Assessment of Neuropsychological Status (RBANS) to test for
neurocognitive function and the positive and negative syndrome scale to evaluate
schizophrenia severity. The -1031T/C polymorphism was genotyped in both healthy
controls and schizophrenic patients. Our results demonstrate that patients with
the C allele (either T/C or C/C) possessed increased immediate memory index,
visuospatial/constructional index, and RBANS total scores as compared to patients
without it (p < .05). In healthy controls, there was no significant difference
across genotypes (p > .05). Our findings demonstrate that the TNF-alpha -1031T/C
polymorphism may not play a role in the susceptibility of schizophrenia itself,
but may be involved in the cognitive deficits of schizophrenia. This suggests an
important role for cytokine signaling in mediating the severity of cognitive
dysfunction in schizophrenia.
PMID- 29633507
TI - A randomised, placebo-controlled, multicentre, Phase 2 clinical trial to evaluate
the efficacy and safety of GV1001 in patients with benign prostatic hyperplasia.
AB - OBJECTIVES: To evaluate the efficacy and safety of three dosing schemes of GV1001
in patients with benign prostatic hyperplasia (BPH). PATIENTS AND METHODS:
Eligible patients were men aged >=50 years, with an International Prostate
Symptom Score (IPSS) of >=13, maximum urinary flow rate (Qmax ) of 5-15 mL/s,
post-void residual urine volume (PVR) of <=200 mL, and prostate volume of >=30
mL. After a 4 week run-in period, patients were randomly assigned to one of three
treatment schedules: Group 1, GV1001 0.4 mg, 2-week interval; Group 2, GV1001
0.56 mg, 2-week interval; Group 3, GV1001 0.56 mg, 4-week interval) or placebo
(Group 4). The eligible patients were administered GV1001 or placebo, for a total
of seven intradermal injections that were administered at 2-week intervals at
weeks 0, 2, 4, 6, 8, 10, and 12. Treatment continued for 12 weeks, and efficacy
was evaluated at weeks 4, 8, 12, 13, and 16. Safety was evaluated throughout the
16-week period. The primary efficacy variable was change from baseline (CFB) in
total IPSS. Secondary endpoints were CFB in Qmax , PVR, prostate volume,
International Index of Erectile Function score, plasma testosterone level,
dihydrotestosterone level, and prostate-specific antigen level. RESULTS: A total
of 161 patients were included (Group 1, n = 41; Groups 2-4, n = 40). Most
patients (88.8%) received all planned doses of the study treatment. At week 13, a
statistically significant difference in the mean CFB in IPSS was seen in GV1001
treatment Groups 1 and 2 vs the control group for the full analysis population (
3.5 [control] vs -7.2 and -6.8 in Groups 1 and 2, respectively; both P < 0.05).
There were also statistically significant differences in CFB at weeks 8, 12, 13,
and 16 in treatment Groups 1 and 2 vs control in the per-protocol population.
There was a statistically significant reduction in prostate gland volume at week
16 vs control in all treatment groups (0.8 [control] vs -4.6, -2.5, and -4.2 mL
in Groups 1-3, respectively; all P < 0.05). There were no statistically
significant differences found in other secondary outcome measures. Adverse event
(AE) reporting was similar across all four groups. No treatment-emergent AEs were
considered to be related to the study drug. CONCLUSIONS: The results indicate
that GV1001 was effective and well tolerated, and may provide potential
beneficial effects in patients with BPH. Compared with medical therapies that
require daily dosing, the convenient dosing regimen of GV1001 may provide greater
patient adherence. Further investigation of these observations will require large
scale clinical evaluation.
PMID- 29633509
TI - Mutation of a Nicotiana tabacum L. eukaryotic translation-initiation factor gene
reduces susceptibility to a resistance-breaking strain of Potato virus Y.
AB - Eukaryotic translation-initiation factors eIF4E and eIF(iso)4E in plants play key
roles in infection by potyviruses and other plant RNA viruses. Mutations in the
genes encoding these factors reduce susceptibility to the viruses, and are the
basis of several recessive virus resistance genes widely used in plant breeding.
Because virus variants occasionally break such resistance, the molecular basis
for this process must be elucidated. Although deletion mutants of eIF4E1-S of
tobacco (Nicotiana tabacum L.) resist Potato virus Y (PVY; the type member of the
genus Potyvirus), resistance-breaking strains of PVY threaten tobacco production
worldwide. Here, we used RNA interference technology to knock down tobacco eIF4E2
S and eIF4E2-T genes or eIF(iso)4E-S and eIF(iso)4E-T genes. Transgenic plants
with reduced transcript levels of both eIF(iso)4E-S and eIF(iso)4E-T showed
reduced susceptibility to a resistance-breaking PVY strain with a K105E mutation
in the viral genome-associated protein (VPg). By screening a population of
chemically induced mutants of eIF(iso)4E-S and eIF(iso)4E-T, we showed that
plants with a nonsense mutation in eIF(iso)4E-T, but not eIF(iso)4E-S, showed
reduced susceptibility to the resistance-breaking PVY strain. In a yeast two
hybrid assay, VPg of the resistance-breaking strain, but not wild-type PVY,
physically interacted with the eIF(iso)4E-T protein. Thus, eIF4E1-S is required
for infection by PVY, but eIF(iso)4E-T is required for infection by the
resistance-breaking strain. Our study provides the first evidence for the
involvement of a host eukaryotic translation-initiation factor in the infection
cycle of a resistance-breaking virus strain. The eIF(iso)4E-T mutants will be
useful in tobacco breeding to introduce resistance against resistance-breaking
PVY strains.
PMID- 29633508
TI - A canine in vitro model for evaluation of marrow-derived mesenchymal stromal cell
based bone scaffolds.
AB - Tissue engineered bone grafts based on bone marrow mesenchymal stromal cells
(MSCs) are being actively developed for craniomaxillofacial (CMF) applications.
As for all tissue engineered implants, the bone-regenerating capacity of these
MSC-based grafts must first be evaluated in animal models prior to human trials.
Canine models have traditionally resulted in improved clinical translation of CMF
grafts relative to other animal models. However, the utility of canine CMF models
for evaluating MSC-based bone grafts rests on canine MSCs (cMSCs) responding in a
similar manner to scaffold-based stimuli as human MSCs (hMSCs). Herein, cMSC and
hMSC responses to polyethylene glycol (PEG)-based scaffolds were therefore
compared in the presence or absence of osteoinductive polydimethylsiloxane
(PDMS). Notably, the conjugation of PDMS to PEG-based constructs resulted in
increases in both cMSC and hMSC osteopontin and calcium deposition. Based on
these results, cMSCs were further used to assess the efficacy of tethered bone
morphogenic protein 2 (BMP2) in enhancing PEG-PDMS scaffold osteoinductivity.
Addition of low doses of tethered BMP2 (100 ng/mL) to PEG-PDMS systems increased
cMSC expression of osterix and osteopontin compared to both PEG-PDMS and PEG-BMP2
controls. Furthermore, these increases were comparable to effects seen with up to
five-times higher BMP2 doses noted in literature. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 106A:2382-2393, 2018.
PMID- 29633511
TI - Optimization of PLGA formulation containing protein or peptide-based antigen:
Recent advances.
AB - Protein or peptide-based antigens are the most promising forms to generate custom
protective immune responses for clinical applications. Over the last decades,
poly(lactic-co-glycolic acid) (PLGA) as a biodegradable polymer has gained more
attention for delivery of protein and peptide. Besides many appropriate
characteristics, to improve its properties to overcome some obstacles such as
release profile and it is important instability of antigen during both
encapsulation and storage. Therefore, optimized procedures conditions require to
be used to maintain the integrity of protein structure under several stress
factors in formulation process. In this review article, the properties of PLGA
particles, their preparation techniques and strategies for improvement of protein
stability during encapsulation into PLGA, release from particle and storage as
well as stabilization approaches were summarized. (c) 2018 Wiley Periodicals,
Inc. J. Biomed. Mater. Res. Part A: 106A: 2540-2551, 2018.
PMID- 29633512
TI - Mass coral bleaching causes biotic homogenization of reef fish assemblages.
AB - Global climate change is altering community composition across many ecosystems
due to nonrandom species turnover, typically characterized by the loss of
specialist species and increasing similarity of biological communities across
spatial scales. As anthropogenic disturbances continue to alter species
composition globally, there is a growing need to identify how species responses
influence the establishment of distinct assemblages, such that management actions
may be appropriately assigned. Here, we use trait-based analyses to compare
temporal changes in five complementary indices of reef fish assemblage structure
among six taxonomically distinct coral reef habitats exposed to a system-wide
thermal stress event. Our results revealed increased taxonomic and functional
similarity of previously distinct reef fish assemblages following mass coral
bleaching, with changes characterized by subtle, but significant, shifts toward
predominance of small-bodied, algal-farming habitat generalists. Furthermore,
while the taxonomic or functional richness of fish assemblages did not change
across all habitats, an increase in functional originality indicated an overall
loss of functional redundancy. We also found that prebleaching coral composition
better predicted changes in fish assemblage structure than the magnitude of coral
loss. These results emphasize how measures of alpha diversity can mask important
changes in the structure and functioning of ecosystems as assemblages reorganize.
Our findings also highlight the role of coral species composition in structuring
communities and influencing the diversity of responses of reef fishes to
disturbance. As new coral species configurations emerge, their desirability will
hinge upon the composition of associated species and their capacity to maintain
key ecological processes in spite of ongoing disturbances.
PMID- 29633510
TI - Long noncoding RNA MALAT1 enhances the docetaxel resistance of prostate cancer
cells via miR-145-5p-mediated regulation of AKAP12.
AB - Our present work was aimed to study on the regulatory role of MALAT1/miR-145
5p/AKAP12 axis on docetaxel (DTX) sensitivity of prostate cancer (PCa) cells. The
microarray data (GSE33455) to identify differentially expressed lncRNAs and mRNAs
in DTX-resistant PCa cell lines (DU-145-DTX and PC-3-DTX) was retrieved from the
Gene Expression Omnibus (GEO) database. QRT-PCR analysis was performed to measure
MALAT1 expression in DTX-sensitive and DTX-resistant tissues/cells. The human DTX
resistant cell lines DU145-PTX and PC3-DTX were established as in vitro cell
models, and the expression of MALAT1, miR-145-5p and AKAP12 was manipulated in
DTX-sensitive and DTX-resistant cells. Cell viability was examined using MTT
assay and colony formation methods. Cell apoptosis was assessed by TUNEL
staining. Cell migration and invasion was determined by scratch test (wound
healing) and Transwell assay, respectively. Dual-luciferase assay was applied to
analyse the target relationship between lncRNA MALAT1 and miR-145-5p, as well as
between miR-145-5p and AKAP12. Tumour xenograft study was undertaken to confirm
the correlation of MALAT1/miR-145-5p/AKAP12 axis and DTX sensitivity of PCa cells
in vivo. In this study, we firstly notified that the MALAT1 expression levels
were up-regulated in clinical DTX-resistant PCa samples. Overexpressed MALAT1
promoted cell proliferation, migration and invasion but decreased cell apoptosis
rate of PCa cells in spite of DTX treatment. We identified miR-145-5p as a target
of MALAT1. MiR-145-5p overexpression in PC3-DTX led to inhibited cell
proliferation, migration and invasion as well as reduced chemoresistance to DTX,
which was attenuated by MALAT1. Moreover, we determined that AKAP12 was a target
of miR-145-5p, which significantly induced chemoresistance of PCa cells to DTX.
Besides, it was proved that MALAT1 promoted tumour cell proliferation and
enhanced DTX-chemoresistance in vivo. There was an lncRNA MALAT1/miR-145
5p/AKAP12 axis involved in DTX resistance of PCa cells and provided a new thought
for PCa therapy.
PMID- 29633514
TI - Guideline of guidelines: primary monotherapies for localised or locally advanced
prostate cancer.
AB - Decisions regarding the primary treatment of prostate cancer depend on several
patient- and disease-specific factors. Several international guidelines regarding
the primary treatment of prostate cancer exist; however, they have not been
formally compared. As guidelines often contradict each other, we aimed to
systematically compare recommendations regarding the different primary treatment
modalities of prostate cancer between guidelines. We searched Medline, the
National Guidelines Clearinghouse, the library of the Guidelines International
Network, and the websites of major urological associations for prostate cancer
treatment guidelines. In total, 14 guidelines from 12 organisations were included
in the present article. One of the main discrepancies concerned the definition of
'localised' prostate cancer. Localised prostate cancer was defined as cT1-cT3 in
most guidelines; however, this disease stage was defined in other guidelines as
cT1-cT2, or as any T-stage as long as there is no lymph node involvement (N0) or
metastases (M0). In addition, the risk stratification of localised cancer
differed considerably between guidelines. Recommendations regarding radical
prostatectomy and hormonal therapy were largely consistent between the
guidelines. However, recommendations regarding active surveillance,
brachytherapy, and external beam radiotherapy varied, mainly as a result of the
inconsistencies in the risk stratification. The differences in year of
publication and the methodology (i.e. consensus-based or evidence-based) for
developing the guidelines might partly explain the differences in
recommendations. It can be assumed that the observed variation in international
clinical practice regarding the primary treatment of prostate cancer might be
partly due to the inconsistent recommendations in different guidelines.
PMID- 29633513
TI - ANP32E induces tumorigenesis of triple-negative breast cancer cells by
upregulating E2F1.
AB - Triple-negative breast cancer (TNBC) lacks expression of estrogen receptor (ER),
progesterone receptor, and the HER2 receptor; it is highly proliferative and
becomes the deadliest forms of breast cancer. Effective prognostic methods and
therapeutic targets for TNBC are required to improve patient outcomes. Here, we
report that acidic nuclear phosphoprotein 32 family member E (ANP32E), which
promotes cell proliferation in mammalian development, is highly expressed in TNBC
cells compared to other types of breast cancer. High expression of ANP32E
correlates significantly with worse overall survival (OS; P < 0.001) and higher
risks of disease recurrence (P < 0.001) in patients with TNBC. Univariate and
multivariate Cox-regression models show that ANP32E is an independent prognostic
factor in TNBC. Furthermore, we discovered that ANP32E promotes tumor
proliferation in vitro by inducing G1/S transition, and ANP32E inhibition
suppresses tumor formation in vivo. By examining the expression of E2F1, cyclin
E1, and cyclin E2, we discovered that ANP32E promotes the G1/S transition by
transcriptionally inducing E2F1. Taken together, our study shows that ANP32E is
an efficient prognostic marker, and it promotes the G1/S transition and induces
tumorigenesis of TNBC cells by transcriptionally inducing E2F1.
PMID- 29633515
TI - Intermittent vs continuous docetaxel therapy in patients with metastatic
castration-resistant prostate cancer - a phase III study (PRINCE).
AB - OBJECTIVE: To investigate non-inferiority of intermittent docetaxel compared to
continuous docetaxel in patients with metastatic castration-resistant prostate
cancer (mCRPC). PATIENT AND METHODS: The investigator initiated randomised phase
III study included 187 chemotherapy-naive patients with mCRPC who were allocated
to two treatment arms: intermittent docetaxel and continuous docetaxel. Docetaxel
was applied in both arms as weekly (35 mg/m2 ) or 3-weekly (75 mg/m2 ). The
primary endpoint was 1-year survival, which was tested for non-inferiority
(margin delta = 0.125). The secondary endpoints were: overall survival (OS),
progression-free survival (PFS), median time to treatment failure (TTF), and
toxicity. RESULTS: Of 156 eligible patients, 78 were allocated to each arm. The
intermittent treatment met the non-inferiority criteria for 1-year survival (two
sided 95% confidence interval, -0.12, 18, P = 0.022), but not for OS, according
to the result of a post hoc analysis. The differences between the study arms in
PFS and TTF were not significant. The median (range) treatment holiday in the
intermittent arm was 110 (13-486) days, or 38% of the overall treatment duration.
Safety profiles of both study arms were comparable. The main limitation of this
study was that the planned number of patients could not be recruited. CONCLUSION:
Intermittent docetaxel chemotherapy was non-inferior to continuous therapy for 1
year survival; non-inferiority in regard to OS was not reached.
PMID- 29633516
TI - Current concepts of the acontractile bladder.
AB - The acontractile bladder (AcB) is a urodynamic-based diagnosis wherein the
bladder is unable to demonstrate any contraction during a pressure flow study.
Although it is often grouped with underactive bladder, it is a unique phenomenon
and should be investigated independently. The purpose of the present review was
to examine the current literature on AcB regarding its pathology, diagnosis,
current management guidelines, and future developments. We performed a review of
the PubMed database, classifying the evidence for AcB pathology, diagnosis,
treatment, and potential future treatments. Over the 67 years covered in our
review period, 42 studies were identified that met our criteria. Studies were
largely poor quality and mainly consisted of retrospective review or animal
models. The underlying pathology of AcB is variable with both neurological and
myogenic aetiologies. Treatment is largely tailored for renal preservation and
reduction of infection. Although future developments may allow more functional
restorative treatments, current treatments mainly focus on bladder drainage. AcB
is a unique and understudied bladder phenomenon. Treatment is largely based on
symptoms and presentation. While cellular therapy and neuromodulation may hold
promise, further research is needed into the underlying neuro-urological
pathophysiology of this disease so that we may better develop future treatments.
PMID- 29633517
TI - Platelet biology in regenerative medicine of skeletal muscle.
AB - Platelet-based applications such as platelet-rich plasma (PRP) and platelet
releasate have gained unprecedented attention in regenerative medicine across a
variety of tissues as of late. The rationale behind utilizing PRP originates in
the delivery of key cytokines and growth factors from alpha-granules to the
targeted area, which in turn act as cell cycle regulators and promote the healing
process across a variety of tissues. The aim of the present review is to
assimilate current experimental evidence on the role of platelets as biomaterials
in tissue regeneration, particularly in skeletal muscle, by integrating findings
from human, animal and cell studies. This review is composed of 3 parts: firstly,
we review key aspects of platelet biology that precede the preparation and use of
platelet-related applications for tissue regeneration. Secondly, we critically
discuss relevant evidence on platelet-mediated regeneration in skeletal muscle
focusing on findings from (i) clinical trials, (ii) experimental animal studies
and (iii) cell culture studies; and thirdly, we discuss the application of
platelets in the regeneration of several other tissues including tendon, bone,
liver, vessels and nerve. Finally, we review key technical variations in platelet
preparation that may account for the large discrepancy in outcomes from different
studies. This review provides an up-to-date reference tool for biomedical and
clinical scientists involved in platelet-mediated tissue regenerative
applications.
PMID- 29633518
TI - Water deprivation decreases strength in fast twitch muscle in contrast to slow
twitch muscle in rat.
AB - AIM: The effects of dehydration on muscle performance in human are still
contradictory, notably regarding muscle force. The effect of water deprivation
(WD) on mechanical properties of skeletal muscle, and more precisely its impact
on slow and fast muscles, remains largely unknown. The aim of this study was to
determine for the first time whether WD leads to changes in contractile
properties of skeletal muscle and whether these changes were muscle-type
specific. METHODS: Sixteen-week-old male rats were assigned to either a control
group (C) with water or a 96-hour WD group. At the end of the period, twitch and
tetanus properties, as well as biochemical and structural analysis, were
performed on soleus (SOL) and extensor digitorum longus (EDL) muscles. RESULTS:
Absolute twitch (Pt) and tetanic (P0 ) tension were, respectively, 17% and 14%
lower in EDL of WD rats as compared with C rats, whereas unexpected increases of
43% and 25% were observed in SOL. Tensions normalized with respect to muscle mass
were not affected by WD in EDL, whereas they were increased by more than 40% in
SOL. A 96-hour WD period leads to a decrease in fibre cross-sectional area and
absolute myofibrillar content only in EDL. CONCLUSION: It is hypothesized that
differences in the results between slow and fast muscles may come from (i) a
muscle-type-specific effect of WD on protein balance, EDL showing a greater
myofibrillar protein breakdown and (ii) a greater sensitivity to osmolality
changes induced by WD in EDL than in SOL.
PMID- 29633519
TI - Genome-based evolutionary history of Pseudomonas spp.
AB - Pseudomonas is a large and diverse genus of Gammaproteobacteria. To provide a
framework for discovery of evolutionary and taxonomic relationships of these
bacteria, we compared the genomes of type strains of 163 species and 3 additional
subspecies of Pseudomonas, including 118 genomes sequenced herein. A maximum
likelihood phylogeny of the 166 type strains based on protein sequences of 100
single-copy orthologous genes revealed thirteen groups of Pseudomonas, composed
of two to sixty three species each. Pairwise average nucleotide identities and
alignment fractions were calculated for the data set of the 166 type strains and
1224 genomes of Pseudomonas available in public databases. Results revealed that
394 of the 1224 genomes were distinct from any type strain, suggesting that the
type strains represent only a fraction of the genomic diversity of the genus. The
core genome of Pseudomonas was determined to contain 794 genes conferring
primarily housekeeping functions. The results of this study provide a
phylogenetic framework for future studies aiming to resolve the classification
and phylogenetic relationships, identify new gene functions and phenotypes, and
explore the ecological and metabolic potential of the Pseudomonas spp.
PMID- 29633520
TI - How far are we from viral hepatitis elimination service coverage targets?
AB - INTRODUCTION: In 2016, the Global Health Sector Strategy (GHSS) on viral
hepatitis called for elimination of viral hepatitis as a major public health
threat by 2030 (i.e. 90% reduction in incidence and 65% in mortality). In 2017,
WHO's first-ever Global Hepatitis Report presented the baseline values for each
of the core indicators of the strategy. We review the challenges and
opportunities that lie ahead in order to reach the 2030 service coverage targets.
DISCUSSION: Three-dose coverage of hepatitis B vaccine in infancy reached 84% in
2015 (2030 target: 90%); however, only 39% received the timely birth dose (2030
target: 90%). Blood safety (97% of blood units screened with quality assurance,
2030 target: 100%) and injection safety (5% unsafe injections, 2030 target: 0%)
had made substantial progress while harm reduction fell short (27 syringe and
needle sets distributed per person who injects drugs per year, 2030 target: 300).
Worldwide, 9% and 20% of the HBV- and HCV-infected population respectively, were
aware of their status (2030 targets: 90%). In the short term, to reach the 2020
target of diagnosing 50% of those infected, 107 million HBV infected persons and
15 million HCV infected persons should be urgently diagnosed. Overall, in 2015,
less than 10% of known infected persons were on HBV treatment or had started HCV
treatment (2030 targets: 80%). CONCLUSIONS: The prevention component of
elimination is on track with respect to hepatitis B vaccination, blood safety,
and injection safety. However, coverage of the hepatitis B vaccine timely birth
dose requires a substantial increase, particularly in sub-Saharan Africa, and
harm reduction needs to be taken to scale as injecting drug use accounts for a
third of mortality from HCV infection. A promising but limited start in hepatitis
testing and treatment needs to be followed by immediate and sustained action so
that we reach the service coverage targets required to achieve elimination by
2030. Treating persons coinfected with HIV and hepatitis viruses is particularly
urgent and needs to be promoted in the context of the HIV response.
PMID- 29633521
TI - The role of autophagy in age-related macular degeneration.
PMID- 29633522
TI - Valuing Scholarship.
PMID- 29633523
TI - Synthetic MUC1 Antitumor Vaccine with Incorporated 2,3-Sialyl-T Carbohydrate
Antigen Inducing Strong Immune Responses with Isotype Specificity.
AB - The endothelial glycoprotein MUC1 is known to underlie alterations in cancer by
means of aberrant glycosylation accompanied by changes in morphology. The heavily
shortened glycans induce a collapse of the peptide backbone and enable
accessibility of the latter to immune cells, rendering it a tumor-associated
antigen. Synthetic vaccines based on MUC1 tandem repeat motifs, comprising tumor
associated 2,3-sialyl-T antigen, conjugated to the immunostimulating tetanus
toxoid, are reported herein. Immunization with these vaccines in a simple
water/oil emulsion produced a strong immune response in mice to which stimulation
with complete Freund's adjuvant (CFA) was not superior. In both cases, high
levels of IgG1 and IgG2a/b were induced in C57BL/6 mice. Additional glycosylation
in the immunodominant PDTRP domain led to improved binding of the induced
antisera to MCF-7 breast tumor cells, compared with that of the monoglycosylated
peptide vaccine.
PMID- 29633524
TI - Extracellular polysaccharide protects Rhizobium leguminosarum cells against zinc
stress in vitro and during symbiosis with clover.
AB - Rhizobium leguminosarum bv. trifolii is a soil bacterium that establishes
symbiosis with clover (Trifolium spp.) under nitrogen-limited conditions. This
microorganism produces exopolysaccharide (EPS), which plays an important role in
symbiotic interactions with the host plant. The aim of the current study was to
establish the role of EPS in the response of R. leguminosarum bv. trifolii cells,
free-living and during symbiosis, to zinc stress. We show that EPS-deficient
mutants were more sensitive to Zn2+ exposure than EPS-producing strains, and that
EPS overexpression conferred some protection onto the strains beyond that
observed in the wild type. Exposure of the bacteria to Zn2+ ions stimulated EPS
and biofilm production, and increased cell hydrophobicity. However, zinc stress
negatively affected the motility and attachment of bacteria to clover roots, as
well as the symbiosis with the host plant. In the presence of Zn2+ ions, cell
viability, root attachment, biofilm formation and symbiotic efficiency of EPS
overproducing strains were significantly higher than those of the EPS-deficient
mutants. We conclude that EPS plays an important role in the adaptation of
rhizobia to zinc stress, in both the free-living stage and during symbiosis.
PMID- 29633525
TI - What Develops in Cultural Transitions in Identities, Future Orientation, and
School and Career Pathways?
PMID- 29633526
TI - MicroRNAs as possible biomarkers for hepatocellular carcinoma.
PMID- 29633527
TI - Potential of Krebs von den Lungen-6 as a predictor of relapse in interstitial
pneumonia with anti-aminoacyl tRNA synthetase antibodies-positive
dermatomyositis.
AB - OBJECTIVE: To identify a predictor of relapse in interstitial pneumonia (IP) in
patients with anti-aminoacyl tRNA synthetase antibodies-positive dermatomyositis
(ARS-DMIP). METHODS: This retrospective cohort study comprised 27 ARS-DMIP
patients. We compared clinical and laboratory findings between the relapse and
non-relapse groups during 2 years after treatment initiation to find predictors
of relapse in IP. Candidate predictors were further assessed by analysing the
relationship with the relapse of IP. RESULTS: One patient with ARS-DMIP died.
About 7 (26.9%) of the remaining 26 patients with ARS-DMIP had a relapse of IP.
We found that the levels of serum Krebs von den Lungen-6 (KL-6) in the relapse
group were significantly higher than those in the non-relapse group at the time
points before treatment (P = .046) and after treatments, including 6 (P = .004),
12 (P = .013), 18 (P = .003) and 24 months (P < .001). The KL-6 values that
maximised the area under the ROC curve were 2347 U/mL before treatment, 622 U/mL
after 6 months and 468 U/mL after 12 months. The relapse rates after 104 weeks
were significantly higher in patients with KL-6 levels >=2400 U/mL before
treatment (P = .014), >=600 ng/mL after 6 months (P < .005) and >=470 U/mL after
12 months (P = .010). CONCLUSION: These findings suggest that the levels of KL-6
before and after treatment in ARS-DMIP may represent the disease activity of IP,
and they may be useful as the predictor of relapse in IP in patients with ARS
DMIP.
PMID- 29633529
TI - Understanding the epigenetic architecture of psychiatric disorders: Modifications
and beyond.
PMID- 29633530
TI - Poor prognosis of bladder cancer patients with occult lymph node metastases
treated with neoadjuvant chemotherapy.
AB - OBJECTIVES: To characterise the outcomes of neoadjuvant chemotherapy (NAC) pre
treated patients found to be lymph node (LN)-positive at the time of radical
cystectomy and pelvic lymph node dissection (RC/PLND) for urothelial carcinoma of
the bladder (UCB). PATIENTS AND METHODS: Of 1484 patients treated with RC/PLND
for UCB from 2000 to 2010, we analysed 198 patients with clinically non
metastatic (cN0M0) muscle-invasive UCB who were found to be LN-positive at
RC/PLND. As patients not receiving perioperative chemotherapy were significantly
older and comorbid, we compared LN-positive patients previously treated with NAC
(32 patients) to LN-positive patients treated with adjuvant chemotherapy (AC, 49
patients) using Cox proportional hazards models. A sensitivity analysis was
designed to account for the additional time to RC in NAC patients. RESULTS: The 3
year recurrence-free survival estimate for LN-positive NAC patients was 26%,
compared with 60% for LN-positive AC patients. LN-positive patients treated with
NAC had significantly higher risks of disease recurrence and cancer-specific
mortality in univariate analyses (hazard ratio [HR] 2.86, 95% confidence interval
[CI] 1.58-5.19, P = 0.001 and HR 2.50, 95% CI 1.34-4.65, P = 0.004, respectively)
and multivariable analyses adjusting for pathological stage and LN density (HR
3.11, 95% CI 1.59-6.07, P = 0.001 and HR 3.05, 95% CI 1.46-6.35, P = 0.003,
respectively). Sensitivity analyses similarly demonstrated worse outcomes for NAC
pre-treated LN-positive patients. CONCLUSION: LN-positive patients previously
treated with NAC have a poor prognosis, significantly worse than LN-positive
patients subsequently treated with AC, and should be considered for protocols
using sandwich chemotherapy approaches or novel agents. These results should be
considered in the interpretation of and stratification for clinical trials.
PMID- 29633531
TI - Efficient inference of paternity and sibship inference given known maternity via
hierarchical clustering.
AB - Pedigree and sibship reconstruction are important methods in quantifying
relationships and fitness of individuals in natural populations. Current methods
employ a Markov chain-based algorithm to explore plausible possible pedigrees
iteratively. This provides accurate results, but is time-consuming. Here, we
develop a method to infer sibship and paternity relationships from half-sibling
arrays of known maternity using hierarchical clustering. Given 50 or more
unlinked SNP markers and empirically derived error rates, the method performs as
well as the widely used package Colony, but is faster by two orders of magnitude.
Using simulations, we show that the method performs well across contrasting
mating scenarios, even when samples are large. We then apply the method to open
pollinated arrays of the snapdragon Antirrhinum majus and find evidence for a
high degree of multiple mating. Although we focus on diploid SNP data, the method
does not depend on marker type and as such has broad applications in nonmodel
systems.
PMID- 29633532
TI - Evaluation of home enteral nutrition services at public hospitals in New South
Wales, Australia.
AB - AIM: There is currently limited information regarding the home enteral nutrition
population and its service practice at the state and national levels. The aim of
this study is to report on patient numbers and demographics of the home enteral
nutrition population in New South Wales, and to evaluate the implementation of
home enteral nutrition services in public hospitals in the state. METHODS: A
cross-sectional study was conducted using two online questionnaires, which were
completed by the dietitian overseeing home enteral nutrition at each
participating hospital. RESULTS: The home enteral nutrition population of
participating hospitals was approximately 7600, with 81% oral nutrition support
patients and 19% tube-fed patients. Mean compliance score to the home enteral
nutrition implementation checklist was 54.1% (+/-20.7%), with a range of 14.3% to
98.2%. Hospitals with a home enteral nutrition dietitian/coordinator scored a
higher rate of compliance with the implementation checklist compared with
hospitals without one (79.6% (+/-15.6%) vs 47.6% (+/-2.4%); P < 0.001). The key
service improvements suggested by dietitians included increased funding towards a
home enteral nutrition dietitian/coordinator (67.8%); improved resources to
provide outpatient reviews, home visits and multidisciplinary service model
(54.2%); improved database management and a more efficient registration process
(52.5%). CONCLUSIONS: Home enteral nutrition services continue to be inconsistent
across New South Wales. Funding and resource limitations were identified as the
major barriers to addressing gaps in service provision.
PMID- 29633533
TI - Association of mitochondrial displacement loop polymorphisms with diarrhea
predominant irritable bowel syndrome: A preliminary study.
AB - OBJECTIVES: To investigate whether single nucleotide polymorphisms (SNPs) in the
mitochondrial displacement loop (D-loop) were associated with irritable bowel
syndrome (IBS). METHODS: Altogether 40 participants were recruited and classified
into three groups, including 20 that fulfilled the Rome III criteria for diarrhea
predominant IBS (IBS-D), 10 with constipation-predominant IBS (IBS-C), and 10
healthy volunteers (controls). DNA was extracted from biopsy specimens of the
colon obtained during routine colonoscopies. The mitochondrial D-loop was
sequenced and variants were identified in comparison with the reference sequence
from GenBank. We searched GenBank and MITOMAP to determine whether a variant
could be considered an SNP. RESULTS: No significant differences in sex, age and
body mass index were found among the three groups. The average numbers of SNPs in
the IBS-D, IBS-C and control groups were 12.2 +/- 2.7, 9.8 +/- 1.8 and 9.9 +/-
2.1, respectively. The frequency of SNPs was significantly higher in the IBS-D
group than in the IBS-C group and controls (P < 0.05). No significant difference
was found between the latter two groups. Each SNP was compared among the three
groups and the frequency of 199C was found to be significantly higher in the
control group than in the IBS-D group (P = 0.03), but no significant difference
in its frequency was found between the IBS-C group and controls. CONCLUSIONS:
Patients with IBS-D have a higher incidence of SNPs in the mitochondrial D-loop
than controls. The genotype 199C may be associated with a lower risk of IBS-D.
PMID- 29633534
TI - Utility of pooled sequencing for association mapping in nonmodel organisms.
AB - High-density genome-wide sequencing increases the likelihood of discovering genes
of major effect and genomic structural variation in organisms. While there is an
increasing availability of reference genomes across broad taxa, the greatest
limitation to whole-genome sequencing of multiple individuals continues to be the
costs associated with sequencing. To alleviate excessive costs, pooling multiple
individuals with similar phenotypes and sequencing the homogenized DNA (Pool-Seq)
can achieve high genome coverage, but at the loss of individual genotypes.
Although Pool-Seq has been an effective method for association mapping in model
organisms, it has not been frequently utilized in natural populations. To extend
bioinformatic tools for rapid implementation of Pool-Seq data in nonmodel
organisms, we developed a pipeline called PoolParty and illustrate its
effectiveness in genetic association mapping. Alignment expectations based on
five pooled Chinook salmon (Oncorhynchus tshawytscha) libraries showed that
approximately 48% genome coverage per library could be achieved with reasonable
sequencing effort. We additionally examined male and female O. tshawytscha
libraries to illustrate how Pool-Seq techniques can successfully map known genes
associated with functional differences among sexes such as growth hormone 2.
Finally, we compared pools of individuals of different spawning ages for each sex
to discover novel genes involved with age at maturity in O. tshawytscha such as
opsin4 and transmembrane protein19. While not appropriate for every system, Pool
Seq data processed by the PoolParty pipeline is a practical method for
identifying genes of major effect in nonmodel organisms when high genome coverage
is necessary and cost is a limiting factor.
PMID- 29633535
TI - Dynamic changes in morphology, gene expression and microbiome in the jejunum of
compensatory-growth rats induced by protein restriction.
AB - We previously reported that protein-restricted rats experienced compensatory
growth when they were switched to a normal protein diet (NPD). This study aimed
to investigate the changes in gene expression and microbiome in the jejunum of
compensatory-growth rats. Weaned Sprague-Dawley rats were assigned to an N group,
an LN group and an L group. The rats in the L and N groups were fed a low protein
diet (LPD) and the NPD respectively. The rats in the LN group were fed with the
LPD for 2 weeks, followed by the NPD. The experiment lasted 70 days, and the rats
were sacrificed for sampling on days 14, 28 and 70 to determine the jejunal
morphology, microbiome and gene expression related to digestive, absorptive and
barrier function. The results showed that, although rats in the LN group had
temporarily impaired morphology and gene expression in the jejunum on day 14 in
response to the LPD, they had improved jejunal morphology and gene expression
related to jejunal function on day 28 compared to rats in the N group. This
improvement might promote compensatory growth of rats. However, lower expression
of genes related to nutrient absorption and undifferentiated villous height (VH)
were observed in the jejunum of rats in the LN group on day 70. In contrast, rats
in the L group had lower VH on day 28 and day 70, while the expression of
absorptive genes increased on day 28 compared to rats in the N group.
Additionally, dramatic microbial changes in the jejunum of compensatory-growth
rats were observed, principally for Lactobacillus, Streptococcus, Corynebacterium
and Staphylococcus. Moreover, the abundance of Lactobacillus, Streptococcus,
Corynebacterium and Staphylococcus significantly correlated with gene expression
in the jejunum as revealed by the correlation analysis.
PMID- 29633536
TI - Diferrocenylmercury-Bridged Diphosphine: A Chiral, Ambiphilic, and Redox-Active
Bidentate Ligand.
AB - A diphosphine chelate ligand with a wide and flexible bite angle, a unique
stereochemical environment, and redox-active and ambiphilic character is
reported. Initially generated as its HgCl2 complex by reaction of 1,2-fc(PPh2
)(SnMe3 ) (fc=ferrocenediyl) with HgCl2 in acetone, treatment with [n-Bu4 N]CN
readily liberates the free chiral bidentate ligand. An intermolecular ClHg-Cl
>Hgfc2 (2.9929(13) A) interaction that is unprecedented in ambiphilic ligand
chemistry is seen in the solid structure of Hg(fcPPh2 )2 ?HgCl2 where the
bridging mercury atom acts as a sigma-acceptor. Furthermore, a bis-[Rh(COD)Cl]
complex is introduced, which displays relatively short Rh???Hg contacts of
3.4765(5) and 3.4013(1) A. Wiberg indices of 0.12 are determined for these
Rh???Hg interactions and an AIM analysis reveals bond paths with an electron
density rho(r) of 1.2*10-2 and 1.4*10-2 e/a03 at the bond critical points.
PMID- 29633537
TI - HiMAP: Robust phylogenomics from highly multiplexed amplicon sequencing.
AB - High-throughput sequencing has fundamentally changed how molecular phylogenetic
data sets are assembled, and phylogenomic data sets commonly contain 50- to 100
fold more loci than those generated using traditional Sanger sequencing-based
approaches. Here, we demonstrate a new approach for building phylogenomic data
sets using single-tube, highly multiplexed amplicon sequencing, which we name
HiMAP (highly multiplexed amplicon-based phylogenomics) and present bioinformatic
pipelines for locus selection based on genomic and transcriptomic data resources
and postsequencing consensus calling and alignment. This method is inexpensive
and amenable to sequencing a large number (hundreds) of taxa simultaneously and
requires minimal hands-on time at the bench (<1/2 day), and data analysis can be
accomplished without the need for read mapping or assembly. We demonstrate this
approach by sequencing 878 amplicons in single reactions for 82 species of
tephritid fruit flies across seven genera (384 individuals), including some of
the most economically important agricultural insect pests. The resulting filtered
data set (>150,000-bp concatenated alignment, ~20% missing character sites across
all individuals and amplicons) contained >40,000 phylogenetically informative
characters, and although some discordance was observed between analyses, it
provided unparalleled resolution of many phylogenetic relationships in this
group. Most notably, we found high support for the generic status of Zeugodacus
and the sister relationship between Dacus and Zeugodacus. We discuss HiMAP, with
regard to its molecular and bioinformatic strengths, and the insight the
resulting data set provides into relationships of this diverse insect group.
PMID- 29633538
TI - Capital, Alienation, and Challenge: How U.S. Mexican Immigrant Students Build
Pathways to College and Career Identities.
AB - This article considers how the global "academic pipeline problem" constrains
immigrant, low-income, and ethnic minority students' pathways to higher
education, and how some students build pathways to college and career identities.
After aligning theories of social capital, alienation/belonging, and challenge
and their integration in Bridging Multiple Worlds Theory, we summarize six
longitudinal studies based on this theory from a 23-year university-community
partnership serving low-income, primarily U.S. Mexican immigrant youth. Spanning
from childhood to early adulthood, the studies revealed two overarching findings:
First, students built pathways to college and career identities while
experiencing capital, alienation/belonging, and challenges across their evolving
cultural worlds. Second, by "giving back" to families, peers, schools, and
communities, students became cultural brokers and later, institutional agents,
transforming institutional cultures. Findings highlight the value of integrating
interdisciplinary theories, research evidence, and educational systems serving
diverse communities to open individual pathways and academic pipelines in
multicultural societies.
PMID- 29633539
TI - Longterm Analysis of Biliary Complications After Duct-to-Duct Biliary
Reconstruction in Living Donor Liver Transplantations.
AB - Biliary complication (BC) is still regarded as the Achilles' heel of a living
donor liver transplantation (LDLT). This study aims to evaluate the longterm
outcomes of the duct-to-duct (DD) biliary reconstruction using 7-0 suture and to
identify the risk factors of BCs after LDLTs. Data of 140 LDLTs between 2006 and
2015 were analyzed. All biliary reconstructions were performed as DD anastomoses
using 7-0 suture: 102 for the right lobe, 20 for the left lobe, and 18 for right
posterior sector grafts. BC was defined as a bile leakage (BL) or a biliary
stricture (BS), and the median follow-up time after LDLT was 65 months. A total
of 19 recipients (13.5%) developed BCs (8 BLs and 16 BSs) after LDLT. The
survival rates between recipients with and without BCs were 83% and 86.7%,
respectively (P = 0.88). In univariate analyses, the risk factors for BC were
small diameter of the graft's bile duct, long warm ischemic time, small graft-to
recipient weight ratio, and no use of external biliary stent (EBS). The graft's
bile duct diameter <= 3 mm and no use of EBS were determined as independent risk
factors (hazard ratios of 9.74 and 7.68, respectively) in multivariate analyses.
The 116 recipients with EBS had no BL, 11 had BSs (9%), while 24 without EBS had
8 BLs (33%) and 5 BSs (21%). After a propensity score match between the
recipients with and without EBS, the EBS group (24) developed only 1 BS (4%). In
conclusion, DD anastomosis using 7-0 suture combined with EBS could provide
favorable longterm outcomes after LDLT, which should thus be considered the
surgical technique of choice for LDLTs.
PMID- 29633540
TI - Factor analysis of the questionnaire of olfactory disorders in patients with
chronic rhinosinusitis.
AB - BACKGROUND: Olfactory-specific quality of life (QOL) can be measured using the
Questionnaire of Olfactory Disorders-Negative Statements (QOD-NS), which examines
various aspects of olfactory dysfunction. It is unknown if certain factors of the
QOD-NS differentially impact QOL. METHODS: Patients with chronic rhinosinusitis
(CRS) completed the QOD-NS, 22-item Sino-Nasal Outcome Test (SNOT-22), Medical
Outcomes Study Short Form 6-D (SF-6D) health utility measure, and Patient Health
Questionnaire-2 (PHQ-2) depression screen. Exploratory factor analysis of the QOD
NS was performed. Associations between QOD-NS factors and other QOL metrics were
analyzed before and after endoscopic sinus surgery (ESS). RESULTS: Outcomes were
examined on 132 patients. The QOD-NS contains 4 distinct factors. There was no
difference in associations between the different factors and baseline clinical
characteristics. ESS had greatest effect size (d) on factors 2 and 4 (d = 0.29
and 0.27, respectively, p < 0.05). Postsurgical changes in the SF-6D and SNOT-22
had the strongest correlation with factor 2 scores (r = 0.29 and 0.34,
respectively, p < 0.05), and changes in the PHQ-2 had the strongest correlation
to factor 3 (r = 0.24, p < 0.05). Abnormal QOD-NS scores at baseline were
associated with effect size increases of 50% to 100% (p < 0.05). CONCLUSION: The
QOD-NS measures 4 distinct factors. Eating-related questions had the greatest
improvement after ESS. Health utility and CRS-specific QOL improvement most
strongly associated with factor 2, while PHQ-2 changes are most highly associated
with factor 3, suggesting a differential impact of the factors of the QOD-NS on
varying aspects of QOL.
PMID- 29633541
TI - Tuning the Hydrophobicity of a Mitochondria-Targeted NO Photodonor.
AB - A few compounds in which the nitric oxide (NO) photodonor N-[4-nitro-3
(trifluoromethyl)phenyl]propane-1,3-diamine is joined to the mitochondria
targeting alkyltriphenylphosphonium moiety via flexible spacers of variable
length were synthesized. The lipophilicity of the products was evaluated by
measuring their partition coefficients in n-octanol/water. The obtained values,
markedly lower than those calculated, are consistent with the likely collapsed
conformation assumed by the compounds in solution, as suggested by molecular
dynamics simulations. The capacity of the compounds to release NO under visible
light irradiation was evaluated by measuring nitrite production by means of the
Griess reaction. The accumulation of compounds in the mitochondria of human lung
adenocarcinoma A549 cells was assessed by UPLC-MS. Interestingly, compound 13 [(9
((3-((4-nitro-3-(trifluoromethyl)phenyl)amino)propyl)amino)-9-oxononyl)
triphenylphosphonium bromide] displayed both the highest accumulation value and
high toxicity toward A549 cells upon irradiation-mediated NO release in
mitochondria.
PMID- 29633543
TI - Simultaneous detection and quantitation of organic impurities in methamphetamine
by ultra-high-performance liquid chromatography-tandem mass spectrometry, a
complementary technique for methamphetamine profiling.
AB - The analysis of organic impurities plays an important role in the impurity
profiling of methamphetamine, which in turn provides valuable information about
methamphetamine manufacturing, in particular its synthetic route, chemicals, and
precursors used. Ultra-high-performance liquid chromatography-tandem mass
spectrometry (UHPLC-MS/MS) is ideally suited for this purpose due to its
excellent sensitivity, selectivity, and wide linear range in multiple reaction
monitoring (MRM) mode. In this study, a dilute-and-shoot UHPLC-MS/MS method was
developed for the simultaneous identification and quantitation of 23 organic
manufacturing impurities in illicit methamphetamine. The developed method was
validated in terms of stability, limit of detection (LOD), lower limit of
quantification (LLOQ), accuracy, and precision. More than 100 illicitly prepared
methamphetamine samples were analyzed. Due to its ability to detect
ephedrine/pseudoephedrine and its high sensitivity for critical target markers
(eg, chloro-pseudoephedrine, N-cyclohexylamphetamine, and compounds B and P),
more impurities and precursor/pre-precursors were identified and quantified
versus the current procedure by gas chromatography-mass spectrometry (GC-MS).
Consequently, more samples could be classified by their synthetic routes.
However, the UHPLC-MS/MS method has difficulty in detecting neutral and
untargeted emerging manufacturing impurities and can therefore only serve as a
complement to the current method. Despite this deficiency, the quantitative
information acquired by the presented UHPLC-MS/MS methodology increased the
sample discrimination power, thereby enhancing the capacity of methamphetamine
profiling program (MPP) to conduct sample-sample comparisons.
PMID- 29633542
TI - Quality assurance of geometric accuracy based on an electronic portal imaging
device and log data analysis for Dynamic WaveArc irradiation.
AB - The purpose of this study was to develop a simple verification method for the
routine quality assurance (QA) of Dynamic WaveArc (DWA) irradiation using
electronic portal imaging device (EPID) images and log data analysis. First, an
automatic calibration method utilizing the outermost multileaf collimator (MLC)
slits was developed to correct the misalignment between the center of the EPID
and the beam axis. Moreover, to verify the detection accuracy of the MLC position
according to the EPID images, various positions of the MLC with intentional
errors in the range 0.1-1 mm were assessed. Second, to validate the geometric
accuracy during DWA irradiation, tests were designed in consideration of three
indices. Test 1 evaluated the accuracy of the MLC position. Test 2 assessed dose
output consistency with variable dose rate (160-400 MU/min), gantry speed (2.2-6
degrees /s), and ring speed (0.5-2.7 degrees /s). Test 3 validated dose output
consistency with variable values of the above parameters plus MLC speed (1.6-4.2
cm/s). All tests were delivered to the EPID and compared with those obtained
using a stationary radiation beam with a 0 degrees gantry angle. Irradiation log
data were recorded simultaneously. The 0.1-mm intentional error on the MLC
position could be detected by the EPID, which is smaller than the EPID pixel
size. In Test 1, the MLC slit widths agreed within 0.20 mm of their exposed
values. The averaged root-mean-square error (RMSE) of the dose outputs was less
than 0.8% in Test 2 and Test 3. Using log data analysis in Test 3, the RMSE
between the planned and recorded data was 0.1 mm, 0.12 degrees , and 0.07 degrees
for the MLC position, gantry angle, and ring angle, respectively. The proposed
method is useful for routine QA of the accuracy of DWA.
PMID- 29633544
TI - Multiscale FEM simulations of cross-linked actin network embedded in cytosol with
the focus on the filament orientation.
AB - The present contribution focuses on the application of the multiscale finite
element method to the modeling of actin networks that are embedded in the
cytosol. These cell components are of particular importance with regard to the
cell response to external stimuli. The homogenization strategy chosen uses the
Hill-Mandel macrohomogeneity condition for bridging 2 scales: the macroscopic
scale that is related to the cell level and the microscopic scale related to the
representative volume element. For the modeling of filaments, the Holzapfel-Ogden
beta-model is applied. It provides a relationship between the tensile force and
the caused stretches, serves as the basis for the derivation of the stress and
elasticity tensors, and enables a novel finite element implementation. The
elements with the neo-Hookean constitutive law are applied for the simulation of
the cytosol. The results presented corroborate the main advantage of the concept,
namely, its flexibility with regard to the choice of the representative volume
element as well as of macroscopic tests. The focus is particularly placed on the
study of the filament orientation and of its influence on the effective behavior.
PMID- 29633545
TI - Challenges to controlling rabies in skunk populations using oral rabies
vaccination: A review.
AB - Controlling rabies in skunk populations is an important public health concern in
many parts of the United States due to the potential for skunk rabies outbreaks
in urban centres and the possible role for skunks in raccoon rabies variant
circulation. Oral rabies vaccination (ORV) programmes have supported wildlife
rabies control efforts globally but using ORV to control rabies in skunk
populations has proven more challenging than with other target species, like
foxes, coyotes and raccoons. A review of published studies found that some ORV
constructs are immunogenic in skunks and protect against virulent rabies virus
challenges, especially when delivered by direct installation into the oral
cavity. However, in field ORV programmes using currently available vaccine-bait
formats and distribution methods targeting other rabies reservoir species, skunks
often fail to seroconvert. Field effectiveness of ORV in skunks appears to be
limited by poor bait uptake or inadequate ingestion of vaccine rather than from
poor vaccine efficacy. Observations of captive skunks revealed vaccine spillage
when handling and biting into baits such that modification of bait formats might
improve field effectiveness. In addition, a dose-response relationship between
bait distribution density and post-baiting seroconversion among skunks was
observed across the limited number of field studies. Additional research is
needed to identify opportunities to modify ORV baits and distribution strategies
to improve the viability of ORV as a rabies control strategy in skunks.
PMID- 29633547
TI - Crystal Adaptronics: Mechanically Reconfigurable Elastic and Superelastic
Molecular Crystals.
AB - Mechanically reconfigurable molecular crystals-ordered materials that can adapt
to variable operating and environmental conditions by deformation, whereby they
attain motility or perform work-are quickly shaping a new research direction in
materials science, crystal adaptronics. Properties such as elasticity,
superelasticity, and ferroelasticity, which are normally related to inorganic
materials, and phenomena such as shape-memory and self-healing effects, which are
well-established for soft materials, are increasingly being reported for
molecular crystals, yet their mechanism, quantification, and relation to the
crystal structure of organic crystals are not immediately apparent. This
Minireview provides a condensed topical overview of elastic, superelastic, and
ferroelastic molecular crystals, new classes of materials that bridge the gap
between soft matter and inorganic materials. The occurrence and detection of
these unconventional properties, and the underlying structural features of the
related molecular materials are discussed and highlighted with selected prominent
recent examples.
PMID- 29633546
TI - The pattern of 1-aminocyclopropane-1-carboxylate oxidase induction in the tomato
leaf petiole abscission zone is independent of expression of the ribonuclease-LX
encoding LeLX gene.
AB - The abscission of tomato leaves occurs in the petiole abscission zone, and its
late stage includes two spatially divided processes: cell separation and
programmed cell death (PCD). Both of these processes are regulated by ethylene.
The last step in ethylene biosynthesis is conversion of 1-aminocyclopropane-1
carboxylic acid to ethylene, which is catalysed by the enzyme 1-aminocyclopropane
1-carboxylate oxidase (ACO); however, the location of ACO in the leaf petiole
abscission zone is not known. The tomato gene LeLX encodes ribonuclease LX, which
is a marker for PCD and is induced by ethylene during abscission, but its
association with ACO has not been explored. In a tomato transgenic line 1-7 with
inhibited expression of LeLX showing delayed leaf abscission, the morphology and
ultrastructure of the leaf petiole abscission zone was examined. In this zone of
the cv.'VF36' and of a transgenic line 1-7, spatiotemporal differences in
expression of LeACO1 and LeACO4 were analysed and ACO protein was detected
immunohistochemically. In comparison to wild-type plants, there were no obvious
morphological and ultrastructural features in the abscission zone of plants of a
transgenic line 1-7 before and after abscission induction. LeACO1 expression was
low before abscission induction, and increased 24 h after induction, although
with no apparent spatial pattern. In contrast, LeACO4 was expressed before
abscission induction, and its transcript level declined 24 h after induction on
the distal side of the abscission zone fracture. In the LeLX-inhibited transgenic
line, there were no significant differences in LeACO1 and LeACO4 expression in
the petiole abscission zone, in comparison to wild-type plants. In addition, the
ACO protein was immunolocalised to the vascular tissues that traverse the petiole
abscission zone in plants of wild type and of a transgenic line 1-7; and
additionally in the plane of future abscission zone fracture of transgenic-line
plants. The results suggest temporal differential expression of the LeACO genes
in tomato leaf petioles and vascular localisation of ACO1 protein. Additionally,
the results indicate that expression of LeACO genes is not affected by
suppression of the LeLX expression.
PMID- 29633548
TI - Transmission of breast cancer by a single multiorgan donor to 4 transplant
recipients.
AB - We report 4 cases of breast cancer transmission to transplant recipients from a
single organ donor that occurred years after donation. The diagnosis of breast
cancer was occult at the time of donation. All of the recipients developed a
histologically similar type of breast cancer within 16 months to 6 years after
transplantation. Three out of 4 recipients died as a result of widely
metastasized disease. One of the recipients survived after transplant nephrectomy
followed by cessation of immunosuppression and chemotherapy. This extraordinary
case points out the often fatal consequences of donor-derived breast cancer and
suggests that removal of the donor organ and restoration of immunity can induce
complete remission.
PMID- 29633549
TI - "Dropping Out is Not an Option": How Educationally Resilient First-Generation
Students See the Future.
AB - First-generation college students (FGCS) often have different cultural values,
practices, and goals from those of students from college-going families. As they
navigate college, FGCS coordinate these values, practices, and goals with those
of their families, noncollege-going friends, and communities. We draw on
longitudinal and cross-sectional studies of FGCS attending a public university in
California to address three research questions: (1) What challenges do FGCS face
in their transition to and through college?; (2) What resources do they use to
surmount these challenges?; and (3) What is the association between FGCS'
resources and challenges and their academic persistence and career goals? Results
showed that FGCS who surmounted challenges and persisted toward graduation had
emotional support from family and friends from home; developed supportive
relationships with university peers, staff, and faculty; and believed that
college would allow them to attain their future life and career goals.
PMID- 29633550
TI - Preventing discrimination based on psychiatric risk biomarkers.
AB - Recent studies have identified genomic and nongenomic psychiatric risk biomarkers
(PRBs; e.g., genomic variants, blood analytes, gray matter volume). PRBs may soon
become a powerful tool for improving psychiatric care and prevention. PRB
research and its translation to clinical care, however, may prove to be a double
edged sword. Mental health stigma and discrimination are already widespread, and
data caution that biological explanations of psychiatric disorders can exacerbate
these stigmatizing attitudes, increasing the desire for social distance and
heightening the perceived dangerousness of the patient. As a reaction to the
Human Genome Project and historical concerns about eugenics, the international
community mobilized to establish legislation to prevent genomic discrimination.
But in most countries, these laws are limited to few contexts (e.g., employment,
health insurance), and very few countries protect against discrimination based on
nongenomic risk biomarkers. Like genomic PRBs, nongenomic PRBs provide
information regarding risk for stigmatized psychiatric disorders and have similar
and in some cases greater-predictive value. Numerous large-scale neuroscience and
neurogenomics projects are advancing the identification and translation of PRBs.
The prospect of PRB-based stigma however, threatens to undermine the potential
benefits of this research. Unbridaled by nonexistent or limited PRB anti
discrimination protections, the threat of PRB-based stigma and discrimination may
lead many to forego PRB testing, even if shown to have clinical utility. To
maximize the clinical and social benefits of PRB-based technologies, educational
campaigns should address mental health and PRB stigma, and lawmakers should
carefully consider expanding legislation that prohibits PRB-based discrimination.
PMID- 29633551
TI - The single berberine bridge enzyme homolog of Physcomitrella patens is a
cellobiose oxidase.
AB - : The berberine bridge enzyme from the California poppy Eschscholzia californica
(EcBBE) catalyzes the oxidative cyclization of (S)-reticuline to (S)-scoulerine,
that is, the formation of the berberine bridge in the biosynthesis of
benzylisoquinoline alkaloids. Interestingly, a large number of BBE-like genes
have been identified in plants that lack alkaloid biosynthesis. This finding
raised the question of the primordial role of BBE in the plant kingdom, which
prompted us to investigate the closest relative of EcBBE in Physcomitrella patens
(PpBBE1), the most basal plant harboring a BBE-like gene. Here, we report the
biochemical, structural, and in vivo characterization of PpBBE1. Our studies
revealed that PpBBE1 is structurally and biochemically very similar to EcBBE. In
contrast to EcBBE, we found that PpBBE1 catalyzes the oxidation of the
disaccharide cellobiose to the corresponding lactone, that is, PpBBE1 is a
cellobiose oxidase. The enzymatic reaction mechanism was characterized by a
structure-guided mutagenesis approach that enabled us to assign a catalytic role
to amino acid residues in the active site of PpBBE1. In vivo experiments revealed
the highest level of PpBBE1 expression in chloronema, the earliest stage of the
plant's life cycle, where carbon metabolism is strongly upregulated. It was also
shown that the enzyme is secreted to the extracellular space, where it may be
involved in later steps of cellulose degradation, thereby allowing the moss to
make use of cellulose for energy production. Overall, our results suggest that
the primordial role of BBE-like enzymes in plants revolved around primary
metabolic reactions in carbohydrate utilization. DATABASE: Structural data are
available in the PDB under the accession numbers 6EO4 and 6EO5.
PMID- 29633552
TI - What do clinicians need to watch for with direct-acting antiviral therapy?
AB - INTRODUCTION: The introduction of drugs targeting the virus replication cycle has
revolutionized treatment of chronic hepatitis C virus. These drugs, called direct
acting antivirals, have brought about extremely high rates of virological cure
and have increased the number of patients who can receive treatment due to the
lack of absolute contraindications. A combination of different classes of direct
acting antivirals is the current standard of care. Although treatment
administration and monitoring has been simplified in recent years, it is still
relatively complex and mostly in the hands of specialists. Several factors must
be assessed before starting treatment to maximize efficacy and minimize side
effects of treatment. In this review, we describe the factors that impact on the
efficacy and safety of antiviral treatment for hepatitis C and provide clear
recommendations for clinicians prescribing direct-acting antivirals. METHODS: We
reviewed literature to define best practice, based on factors associated with
treatment efficacy and safety data to recommend treatment options, baseline and
on-treatment assessments. The review included searches in PubMed, and the
abstracts presented at the International Liver Congress TM and The Liver Meeting
TM between January 2013 and September 2017. RESULTS: Clinical features that must
be assessed before starting treatment include virological factors, such as
hepatitis C virus genotype, HIV and hepatitis B coinfection and host factors,
such as concomitant medications, liver disease stage and renal function.
CONCLUSIONS: Patients who start antiviral treatment for chronic hepatitis C
require a thorough clinical evaluation. There is a need for assessing factors
that impact on the treatment schedule and duration or affect the pharmacokinetics
of direct-acting antivirals.
PMID- 29633553
TI - Toxicological Aspects of the Essential Oil from Cinnamodendron dinisii.
AB - The objective of this study was to determine cytotoxic activity, hemolytic
activity, and to evaluate the ability of the essential oil from Cinnamodendron
dinisii to induce DNA fragmentation of human lymphocytes. The essential oil was
obtained by hydrodistillation. Cytotoxic activity was determined by the MTT
method. Hemolytic activity was evaluated by spectrophotometric quantification of
hemoglobin released by erythrocytes. Damage to lymphocyte DNA molecules was
assessed by the Comet assay. The essential oil under study showed high cytotoxic
activity on Vero cells (CC50 = 35.72 MUg/mL) and induced hemolysis in both
hematocrits, besides leading to the oxidation of hemoglobin released. The
genotoxic activity of C. dinisii essential oil was also observed, which induced
concentration-dependent DNA fragmentation of human lymphocytes and, at 50 MUL/mL,
it was more active than the positive control. The essential oil from C. dinisii
has a toxic action, suggesting a special attention in the application of this oil
to health-promoting activities; however, among its components, there are
molecules with potential for future application in anticancer therapies.
PMID- 29633554
TI - The Rocky Road to viral hepatitis elimination: assuring access to antiviral
therapy for ALL coinfected patients from low- to high-income settings.
PMID- 29633555
TI - Microbial rRNA gene expression and co-occurrence profiles associate with
biokinetics and elemental composition in full-scale anaerobic digesters.
AB - This study examined whether the abundance and expression of microbial 16S rRNA
genes were associated with elemental concentrations and substrate conversion
biokinetics in 20 full-scale anaerobic digesters, including seven municipal
sewage sludge (SS) digesters and 13 industrial codigesters. SS digester contents
had higher methane production rates from acetate, propionate and phenyl acetate
compared to industrial codigesters. SS digesters and industrial codigesters were
distinctly clustered based on their elemental concentrations, with higher
concentrations of NH3 -N, Cl, K and Na observed in codigesters. Amplicon
sequencing of 16S rRNA genes and reverse-transcribed 16S rRNA revealed divergent
grouping of microbial communities between mesophilic SS digesters, mesophilic
codigesters and thermophilic digesters. Higher intradigester distances between
Archaea 16S rRNA and rRNA gene profiles were observed in mesophilic codigesters,
which also had the lowest acetate utilization biokinetics. Constrained ordination
showed that microbial rRNA and rRNA gene profiles were significantly associated
with maximum methane production rates from acetate, propionate, oleate and phenyl
acetate, as well as concentrations of NH3 -N, Fe, S, Mo and Ni. A co-occurrence
network of rRNA gene expression confirmed the three main clusters of anaerobic
digester communities based on active populations. Syntrophic and methanogenic
taxa were highly represented within the subnetworks, indicating that obligate
energy-sharing partnerships play critical roles in stabilizing the digester
microbiome. Overall, these results provide new evidence showing that different
feed substrates associate with different micronutrient compositions in anaerobic
digesters, which in turn may influence microbial abundance, activity and
function.
PMID- 29633556
TI - Are Value-Neutrality and Value-Engagement Properties of Social Actors or Social
Moments?
PMID- 29633558
TI - The evolution of empathy and devo-evo-What is the connection?
PMID- 29633557
TI - Subcutaneous administration of a neutralizing IL-1beta antibody prolongs limb
allograft survival.
AB - Cytokine-expression profiles revealed IL-1beta highly upregulated in rejecting
skin of limb allografts. We investigate the effect of intragraft treatment with a
neutralizing IL-1beta antibody in limb transplantation. Following allogenic hind
limb transplantation, Lewis rats were either left untreated or treated with anti
lymphocyte serum + tacrolimus (baseline); baseline immunosuppression + anti-IL
1beta (1 mg/kg once/week, 6-8 subcutaneous injections) into the transplanted or
contralateral limb. Endpoint was rejection grade III or day 100. Graft rejection
was assessed by histology, immunohistochemistry, flow cytometry phenotyping of
immune cells, and monitoring cytokine expression. Anti-IL-1beta injections into
the allograft or contralateral limb resulted in a significant delay of rejection
onset (controls: 58.60 +/- 0.60; group 3: 75.80 +/- 10.87, P = .044; group 4:
73.00 +/- 6.49, P = .008) and prolongation of graft survival (controls: 64.60 +/-
0.87; group 3: 86.60 +/- 5.33, P = .002; group 4: 93.20 +/- 3.82, P = .002),
compared to controls. Although the phenotype of the graft infiltrating immune
cells did not differ between groups, significantly decreased skin protein levels
of IL-1beta, IL-4, IL-13, IP-10, MCP-1, and MCP-3 in long-term-survivors indicate
an overall decrease of chemoattraction and infiltration of immune cells as the
immunosuppressive mechanism of anti-IL-1beta. Inhibition of IL-1beta with short
term systemic immunosuppression prolongs limb allograft survival and represents a
promising target for immunosuppression in extremity transplantation.
PMID- 29633559
TI - Linkage and retention in HCV care for HIV-infected populations: early data from
the DAA era.
AB - INTRODUCTION: There is currently no published data on the effectiveness of DAA
treatment for elimination of HCV infection in HIV-infected populations at a
population level. However, a number of relevant studies and initiatives are
emerging. This research aims to report cascade of care data for emerging HCV
elimination initiatives and studies that are currently being evaluated in HIV/HCV
co-infected populations in the context of implementation science theory. METHODS:
HCV elimination initiatives and studies in HIV co-infected populations that are
currently underway were identified. Context, intervention characteristics and
cascade of care data were synthesized in the context of implementation science
frameworks. RESULTS: Seven HCV elimination initiatives and studies were
identified in HIV co-infected populations, mainly operating in high-income
countries. Four were focused mainly on HCV elimination in HIV-infected gay and
bisexual men (GBM), and three included a combination of people who inject drugs
(PWID), GBM and other HIV-infected populations. None were evaluating treatment
delivery in incarcerated populations. Overall, HCV RNA was detected in 4894 HIV
infected participants (range within studies: 297 to 994): 48% of these initiated
HCV treatment (range: 21% to 85%; within studies from a period where DAAs were
broadly available the total is 57%, range: 36% to 74%). Among studies with
treatment completion data, 96% of 1109 initiating treatment completed treatment
(range: 94% to 99%). Among those who could be assessed for sustained virological
response at 12 weeks (SVR12), 1631 of 1757 attained SVR12 (93%, range: 86% to
98%). CONCLUSIONS: Early results from emerging research on HCV elimination in HIV
infected populations suggest that HCV treatment uptake is higher than reported
levels prior to DAA treatment availability, but approximately half of patients
remain untreated. These results are among diagnosed populations and additional
effort is required to increase diagnosis rates. Among those who have initiated
treatment, completion and SVR rates are promising. More data are required in
order to evaluate the effectiveness of these elimination programmes in the long
term, assess which intervention components are effective, and whether they need
to be tailored to particular population groups.
PMID- 29633560
TI - Is hepatitis C virus elimination possible among people living with HIV and what
will it take to achieve it?
AB - INTRODUCTION: The World Health Organization targets for hepatitis C virus (HCV)
elimination include a 90% reduction in new infections by 2030. Our objective is
to review the modelling evidence and cost data surrounding feasibility of HCV
elimination among people living with HIV (PLWH), and identify likely components
for elimination. We also discuss the real-world experience of HCV direct acting
antiviral (DAA) scale-up and elimination efforts in the Netherlands. METHODS: We
review modelling evidence of what intervention scale-up is required to achieve
WHO HCV elimination targets among HIV-infected (HIV+) people who inject drugs
(PWID) and men who have sex with men (MSM), review cost-effectiveness of HCV
therapy among PLWH and discuss economic implications of elimination. We
additionally use the real-world experience of DAA scale-up in the Netherlands to
illustrate the promise and potential challenges of HCV elimination strategies in
MSM. Finally, we summarize key components of the HCV elimination response among
PWLH. RESULTS AND DISCUSSION: Modelling indicates HCV elimination among HIV+ MSM
and PWID is potentially achievable but requires combination treatment and either
harm reduction or behavioural risk reductions. Preliminary modelling indicates
elimination among HIV+ PWID will require elimination efforts among PWID more
broadly. Treatment for PLWH and high-risk populations (PWID and MSM) is cost
effective in high-income countries, but costs of DAAs remain a barrier to scale
up worldwide despite the potential low production price ($50 per 12 week course).
In the Netherlands, universal DAA availability led to rapid uptake among HIV+ MSM
in 2015/16, and a 50% reduction in acute HCV incidence among HIV+ MSM from 2014
to 2016 was observed. In addition to HCV treatment, elimination among PLWH
globally also likely requires regular HCV testing, development of low-cost
accurate HCV diagnostics, reduced costs of DAA therapy, broad treatment access
without restrictions, close monitoring for HCV reinfection and retreatment, and
harm reduction and/or behavioural interventions. CONCLUSIONS: Achieving WHO HCV
Elimination targets is potentially achievable among HIV-infected populations.
Among HIV+ PWID, it likely requires HCV treatment scale-up combined with harm
reduction for both HIV+ and HIV- populations. Among HIV+ MSM, elimination likely
requires both HCV treatment and behaviour risk reduction among the HIV+ MSM
population, the latter of which to date has not been observed. Lower HCV
diagnostic and treatment costs will be key to ensuring scale-up of HCV testing
and treatment without restriction, enabling elimination.
PMID- 29633561
TI - Approaches for simplified HCV diagnostic algorithms.
AB - INTRODUCTION: In the light of the advances in HCV antiviral therapy, global
control of HCV infection becomes feasible but depends on the capacity of
countries to identify infected people and to offer them treatment. To achieve the
WHO goal which targets a diagnosis rate of 90% by 2030, simplification of
screening and diagnosis will be crucial. METHODS: Published literature,
unpublished data and expert consensus were used to determine key parameters,
including point-of-care, rapid diagnostic testing, screening, the use of HCV core
Ag and dried blood spots; starting from 2008 until November 2017. In addition, a
manual search was undertaken to detect relevant papers or websites related to
specific data from countries which underwent or are planning a programme of HCV
elimination. RESULTS: Several strategies have been developed and evaluated these
last years to simplify and facilitate access to screening and diagnosis, the
development of reliable HCV core antigen tests and new nucleic acid amplification
technologies for use in decentralized settings. In high prevalence settings, a
one-step screening and diagnosis strategy could simplify diagnostic algorithms
provided the cost is reduced. Finally, genotyping may no longer be required in
the context of availability of pangenotypic antiviral therapy. CONCLUSIONS:
Despite relevant advances in HCV screening and diagnosis, the overall diagnosis
package is still too expensive today and efforts must be made to allow
generalized implementation of reliable tests in low and middle income countries.
These efforts will be key factors to foster a real public health approach to HCV
elimination.
PMID- 29633562
TI - Hep-CORE: a cross-sectional study of the viral hepatitis policy environment
reported by patient groups in 25 European countries in 2016 and 2017.
AB - INTRODUCTION: The first World Health Organization (WHO) global health sector
strategy on hepatitis B and C viruses (HBV and HCV) has called for the
elimination of viral hepatitis as a major public health threat by 2030. This
study assesses policies and programmes in support of elimination efforts as
reported by patient groups in Europe. METHODS: In 2016 and 2017, hepatitis
patient groups in 25 European countries participated in a cross-sectional survey
about their countries' policy responses to HBV and HCV. The English-language
survey addressed overall national response; public awareness/engagement; disease
monitoring; prevention; testing/diagnosis; clinical assessment; and treatment. We
performed a descriptive analysis of data and compared 2016 and 2017 findings.
RESULTS: In 2017, 72% and 52% of the 25 European study countries were reported to
not have national HBV and HCV strategies respectively. The number of respondents
indicating that their governments collaborated with civil society on viral
hepatitis control increased from 13 in 2016 to 18 in 2017. In both 2016 and 2017,
patient groups reported that 9 countries (36%) have disease registers for HBV and
11 (44%) have disease registers for HCV. The number of countries reported to have
needle and syringe exchange programmes available in all parts of the country
dropped from 10 (40%) in 2016 to 8 in 2017 (32%). In both 2016 and 2017, patient
groups in 5 countries (20%) reported that HCV treatment is available in non
hospital settings. From 2016 to 2017, the reported number of countries with no
restrictions on access to direct-acting antivirals for HCV increased from 3 (12%)
to 7 (28%), and 5 fewer countries were reported to refuse treatment to people who
are currently injecting drugs. CONCLUSIONS: The patient-led Hep-CORE study offers
a unique perspective on the readiness of study countries to undertake
comprehensive viral hepatitis elimination efforts. Viral hepatitis monitoring
should be expanded to address policy issues more comprehensively and to
incorporate civil society perspectives, as is the case with global HIV
monitoring. Policy components should also be explicitly added to the WHO
framework for monitoring country-level progress against viral hepatitis.
PMID- 29633563
TI - Mild hyperbaric oxygen inhibits the growth-related decline in skeletal muscle
oxidative capacity and prevents hyperglycemia in rats with type 2 diabetes
mellitus.
AB - BACKGROUND: Humans and animals with type 2 diabetes mellitus (T2DM) exhibit low
skeletal muscle oxidative capacity and impaired glucose metabolism. The aim of
the present study was to investigate the effects of exposure to mild hyperbaric
oxygen on these changes in obese rats with T2DM. METHODS: Five-week-old non
diabetic Long-Evans Tokushima Otsuka (LETO) and diabetic Otsuka Long-Evans
Tokushima Fatty (OLETF) rats were divided into normobaric (LETO-NB and OLETF-NB)
and mild hyperbaric oxygen (LETO-MHO and OLETF-MHO) groups. The LETO-MHO and
OLETF-MHO groups received 1266 hPa with 36% oxygen for 3 h daily for 22 weeks.
RESULTS: Fasting and non-fasting blood glucose, HbA1c, and triglyceride levels
were lower in the OLETF-MHO group than in the OLETF-NB group (P < 0.05). In the
soleus muscle, peroxisome proliferator-activated receptor delta/beta
(Ppardelta/beta), Ppargamma, and PPARgamma coactivator-1alpha (Pgc-1alpha) mRNA
levels were lower in the OLETF-NB group than in all other groups (P < 0.05),
whereas myogenin (Myog) and myogenic factor 5 (Myf5) mRNA levels were higher in
the OLETF-MHO group than in the LETO-NB and OLETF-NB groups (P < 0.05). The
soleus muscles in the OLETF-NB group contained only low-oxidative Type I fibers,
whereas those in all other groups contained high-oxidative Type IIA and Type IIC
fibers in addition to Type I fibers. CONCLUSIONS: Exposure to mild hyperbaric
oxygen inhibits the decline in skeletal muscle oxidative capacity and prevents
the hyperglycemia associated with T2DM. Pgc-1alpha, Myog, and Myf5 mRNA levels
appear to be closely associated with skeletal muscle oxidative capacity in rats
with T2DM.
PMID- 29633564
TI - Research gaps in viral hepatitis.
AB - INTRODUCTION: The World Health Organization has aimed for global elimination of
both hepatitis B virus (HBV) and hepatitis C virus (HCV) by 2030. Treatments
available to cure HCV and control HBV, as well as vaccination to prevent HBV
infection, have certainly allowed for such bold goals, yet the final steps to
usher in elimination require further evidence. DISCUSSION: We broadly discuss the
needs for three major public health approaches. First, an effective vaccine
exists for HBV and mass-vaccination campaigns have resulted in decreases in
hepatitis B surface antigen seroprevalence and overall rates of liver-related
morality. Still, HBV vaccination coverage is poor in certain regions of the
world, while the reasons for such low coverage require further study. A
prophylactic vaccine is probably needed to eliminate HCV, but is not being
readily developed. Second, identifying HBV/HCV infected individuals remains a
priority to increase awareness of disease status, particularly for key
populations. Research evaluating large-scale implementation of novel, rapid and
mobile point-of-care tests would be helpful to determine whether increased
awareness is achievable in these settings. Third, antiviral therapy allows for
strong HBV suppression and HCV cure, while its access depends on financial
factors among many others. Although there is strong evidence to treat key
populations and specific groups with progressed disease, as stated in current
guidelines, the advantages of extending treatment eligibility to decrease onward
spread of HBV/HCV infection and prevent further burden of disease are lacking
"real world" evidence. Novel anti-HBV treatments are being developed to target
intrahepatocellular HBV replication, but are still in the early phases of
clinical development. Each of the strategies mentioned above has specific
implications for HIV infection. CONCLUSIONS: There are certainly effective tools
to combat the spread of viral hepatitis and treat infected individuals - yet how
they are able to reach key populations, and the infrastructure required to do so,
continue to represent the largest research gap when evaluating the progress
towards elimination. Continuously adapted and informed research is required to
establish the priorities in achieving elimination goals.
PMID- 29633566
TI - Simple and efficient germline copy number variant visualization method for the
Ion AmpliSeqTM custom panel.
AB - BACKGROUND: Recent advances in molecular genetic analysis using next-generation
sequencing (NGS) have drastically accelerated the identification of disease
causing gene mutations. Most next-generation sequencing analyses of inherited
diseases have mainly focused on single-nucleotide variants and short indels,
although, recently, structure variations including copy number variations have
come to be considered an important cause of many different diseases. However,
only a limited number of tools are available for multiplex PCR-based target
genome enrichment. METHODS: In this paper, we reported a simple and efficient
copy number variation visualization method for Ion AmpliSeqTM target resequencing
data. Unlike the hybridization capture-based target genome enrichment system, Ion
AmpliSeqTM reads are multiplex PCR products, and each read generated by the same
amplicon is quite uniform in length and position. Based on this feature, the
depth of coverage information for each amplicon included in the barcode/amplicon
coverage matrix file was used for copy number detection analysis. We also
performed copy number analysis to investigate the utility of this method through
the use of positive controls and a large Japanese hearing loss cohort. RESULTS:
Using this method, we successfully confirmed previously reported copy number loss
cases involving the STRC gene and copy number gain in trisomy 21 cases. We also
performed copy number analysis of a large Japanese hearing loss cohort (2,475
patients) and identified many gene copy number variants. The most prevalent copy
number variation was STRC gene copy number loss, with 129 patients carrying this
copy number variation. CONCLUSION: Our copy number visualization method for Ion
AmpliSeqTM data can be utilized in efficient copy number analysis for the
comparison of a large number of samples. This method is simple and requires only
easy calculations using standard spread sheet software.
PMID- 29633567
TI - Dirty Work, Dirty Resistance: Digital Warfare in the Era of Precarious Labor.
AB - This qualitative content analysis of 723 anonymous reviews of 60 Canadian food
service employers, posted on RateMyEmployer.ca, explores how digital spaces
publically circulate precarious workers' resistances and management of
occupational stigma. We introduce to literature on "dirty work" the concept of
socioeconomic hygiene, which identifies a particular kind of social and moral
order within which the positions of the subordinated are naturalized between the
socially and morally "clean" and "unclean."
PMID- 29633568
TI - Mix-and-match nanobiosensor design: Logical and spatial programming of biosensors
using self-assembled DNA nanostructures.
AB - The evergrowing need to understand and engineer biological and biochemical
mechanisms has led to the emergence of the field of nanobiosensing. Structural
DNA nanotechnology, encompassing methods such as DNA origami and single-stranded
tiles, involves the base pairing-driven knitting of DNA into discrete one-, two-,
and three-dimensional shapes at nanoscale. Such nanostructures enable a versatile
design and fabrication of nanobiosensors. These systems benefit from DNA's
programmability, inherent biocompatibility, and the ability to incorporate and
organize functional materials such as proteins and metallic nanoparticles. In
this review, we present a mix-and-match taxonomy and approach to designing
nanobiosensors in which the choices of bioanalyte and transduction mechanism are
fully independent of each other. We also highlight opportunities for greater
complexity and programmability of these systems that are built using structural
DNA nanotechnology. This article is categorized under: Implantable Materials and
Surgical Technologies > Nanomaterials and Implants Diagnostic Tools > Biosensing
Biology-Inspired Nanomaterials > Nucleic Acid-Based Structures Nanotechnology
Approaches to Biology > Nanoscale Systems in Biology.
PMID- 29633565
TI - Hfq chaperone brings speed dating to bacterial sRNA.
AB - Hfq is a ubiquitous, Sm-like RNA binding protein found in most bacteria and some
archaea. Hfq binds small regulatory RNAs (sRNAs), facilitates base pairing
between sRNAs and their mRNA targets, and directly binds and regulates
translation of certain mRNAs. Because sRNAs regulate many stress response
pathways in bacteria, Hfq is essential for adaptation to different environments
and growth conditions. The chaperone activities of Hfq arise from multipronged
RNA binding by three different surfaces of the Hfq hexamer. The manner in which
the structured Sm core of Hfq binds RNA has been well studied, but recent work
shows that the intrinsically disordered C-terminal domain of Hfq modulates sRNA
binding, creating a kinetic hierarchy of RNA competition for Hfq and ensuring the
release of double-stranded sRNA-mRNA complexes. A combination of structural,
biophysical, and genetic experiments reveals how Hfq recognizes its RNA
substrates and plays matchmaker for sRNAs and mRNAs in the cell. The interplay
between structured and disordered domains of Hfq optimizes sRNA-mediated post
transcriptional regulation, and is a common theme in RNA chaperones. This article
is categorized under: Regulatory RNAs/RNAi/Riboswitches > Regulatory RNAs RNA
Interactions with Proteins and Other Molecules > RNA-Protein Complexes RNA
Structure and Dynamics > RNA Structure, Dynamics, and Chemistry.
PMID- 29633569
TI - Low molecular-weight fucoidan protects against hindlimb ischemic injury in type 2
diabetic mice through enhancing endothelial nitric oxide synthase
phosphorylation.
AB - BACKGROUND: Diabetes mellitus (DM) complications are associated with ischemic
injury. Angiogenesis is a therapeutic strategy for diabetic foot. The aim of this
study was to investigate the possible angiogenic effect of low molecular weight
fucoidan (LMWF) in diabetic peripheral arterial disease (PAD). METHODS: Diabetic
db/db mice and age-matched C57BL/6 mice underwent femoral artery ligation
followed by LMWF (30, 60, 80 mg/kg per day, p.o.) or cilostazol (30 mg/kg/day,
p.o.) treatment for 6 weeks. Endothelium-dependent vasodilation and blood flow of
the hindlimb were measured. Histological and western blot analyses of CD34,
vascular endothelial growth factor (VEGF), eNOS, and inflammatory factors in the
gastrocnemius were performed. The effects of LMWF were confirmed in human
umbilical vein endothelial cells (HUVEC). RESULTS: Diabetic mice with ligation
exhibited hindlimb ulceration, hydrosarca, and necrosis, increased expression of
inflammatory factors, and decreased levels of VEGF and eNOS phosphorylation.
Treatment with LMWF markedly ameliorated foot lesions, suppressed expression of
inflammatory factors, and improved plantar perfusion by promoting endothelium
dependent vasodilation and revascularization in diabetic PAD mice. In high
glucose treated HUVEC, LMWF (40 MUg/mL) reversed blunted endothelial cell
proliferation, migration, and tube formation, and promoted eNOS phosphorylation
and VEGF expression, whereas HUVEC pretreatment with 100 MUmol/L NG -nitro-l
arginine methyl ester, an eNOS antagonist, markedly inhibited the effects of
LMWF. CONCLUSION: This study demonstrates that LMWF alleviates hindlimb ischemic
damage, at least in part by promoting eNOS phosphorylation, nitric oxide
production, and VEGF expression, resulting in enhanced angiogenesis in the
ischemic region.
PMID- 29633570
TI - Partial resection of the middle turbinate during endoscopic sinus surgery for
chronic rhinosinusitis does not lead to an increased risk of empty nose syndrome:
a cohort study of a tertiary practice.
AB - BACKGROUND: The treatment of the middle turbinate (MT) during endoscopic sinus
surgery (ESS) for chronic rhinosinusitis (CRS) remains a contentious issue with
arguments both for and against its resection. The purpose of this study was to
examine the clinical impact of partial MT resection (PMTR) during ESS, paying
particular attention to the risk of developing empty nose syndrome (ENS) and
alteration to olfaction. METHODS: This cohort study was performed on
prospectively collected data. A total of 177 patients underwent ESS for CRS; 93
had PMTR and 84 MT preservation (MTP). Preoperative data collection included
subjective symptom scores as per the Adelaide Disease Severity Score (ADSS), Lund
Mackay scores, and nasal polyp status. The Empty Nose Syndrome 6-Item
Questionnaire (ENS6Q) was administered by telephone consultation and analyzed
alongside postoperative symptom scores. RESULTS: There was no difference in ENS6Q
scores in patients who underwent PMTR vs those who had MTP. Patients who
underwent PMTR had a higher baseline disease on Lund-Mackay scoring, and were
more likely to be nasal polyp patients and be undergoing revision surgery. ADSS
scores demonstrated significant improvements in all rhinologic symptoms, with no
difference between the cohorts. CONCLUSION: PMTR is an adjunctive procedure to
ESS. This study has established that PMTR as performed by the senior author
carries no additional risk of developing ENS symptoms as defined by the ENS6Q,
and that it carries no additional risk to olfaction or other rhinologic symptoms.
PMTR can be safely considered at time of ESS, especially in patients at risk of
lateralization of the MT.
PMID- 29633572
TI - Automated identification of structurally heterogeneous and patentable
antiproliferative hits as potential tubulin inhibitors.
AB - By employing a recently developed hierarchical computational platform, we
identified 37 novel and structurally diverse tubulin targeting compounds. In
particular, hierarchical molecular filters, based on molecular shape similarity,
structure-based pharmacophore, and molecular docking, were applied on a large
chemical collection of commercial compounds to identify unexplored and patentable
microtubule-destabilizing candidates. The herein proposed 37 novel hits, showing
new molecular scaffolds (such as 1,3,3a,4-tetraaza-1,2,3,4,5,6,7,7a
octahydroindene or dihydropyrrolidin-2-one fused to a chromen-4-one), are
provided with antiproliferative activity in the MUm range toward MCF-7 (human
breast cancer lines). Importantly, there is a likely causative relationship
between cytotoxicity and the inhibition of tubulin polymerization at the
colchicine binding site, assessed through fluorescence polymerization assays.
PMID- 29633571
TI - ERCC6L2-associated inherited bone marrow failure syndrome.
AB - BACKGROUND: ERCC6L2-associated disorder has recently been described and only five
patients were reported so far. The described phenotype included bone marrow,
cerebral, and craniofacial abnormalities. The aim of this study was to further
define the genetic and phenotypic spectrum of the disorder by summarizing the
five published cases and an additional case that we identified through whole
exome sequencing performed at the University of Toronto. METHODS: Clinical data
was extracted from the Canadian Inherited Marrow Failure Registry. Whole exome
sequencing was performed to identify causative mutations. RESULTS: All six cases
had homozygous truncating mutations either at or upstream of the helicase domain
of ERCC6L2. All patients displayed bone marrow failure, learning or developmental
delay and microcephaly. Our patient was unique in displaying features of
cerebellar disease, including ataxia and dysmetria as well as an interval
deterioration of the corpus callosum and generalized volume loss on MRI. Another
unique feature of our patient was retinal dystrophy with macular involvement.
Along with one other patient, our patient displayed craniofacial abnormalities by
presenting with low-set prominent ears, a pointed prominent chin, and deep-set
eyes. Leukemia is common among patients with inherited bone marrow failure, but
thus far, none of the patients have developed this complication. CONCLUSIONS:
ERCC6L2-associated disorder is a multisystem disorder. The phenotype spectrum
includes bone marrow failure, cerebral, and craniofacial abnormalities, as well
as cerebellar and retinal abnormalities.
PMID- 29633573
TI - Does the second messenger cAMP have a more complex role in controlling
filamentous fungal morphology and metabolite production?
AB - The effect of second messenger cAMP on the physiological aspects of fungal cells
such as pigmentation has been reported previously. However, their actual role in
the cellular biochemical cascade that eventually affects the fungal growth
morphology, such as mycelial pellet formation, is unclear. This article intends
to open up the detailed study on the possible correlative effect of cAMP on the
morphological and physiological growth aspects of filamentous fungi, with special
emphasis on the industrial metabolite production.
PMID- 29633574
TI - Morphological defects, sperm DNA integrity, and protamination of bovine
spermatozoa.
AB - The association between sperm morphology characteristics and DNA conformation and
integrity is still controversial. In bulls, major morphological sperm
abnormalities have been associated with reduced fertility, and morphological
assessment is used to provide an indication of potential fertility of the
individual. Sperm DNA fragmentation and damage has a negative effect on embryo
development and subsequently fertility, with bull spermatozoa generally
displaying low levels of DNA damage and tight chromatin. However, sensitive
methods for detecting chromatin damage may reveal associations with morphological
defects. The objective was to determine whether morphological sperm abnormalities
and variables expressing sperm DNA integrity and protamination are correlated in
bulls, using the sperm chromatin structure assay (SCSA) and the sperm protamine
deficiency assay (SPDA). Electroejaculated samples (n = 1009) from two-year-old
tropically adapted bulls were split and fixed and submitted to microscopic sperm
morphology assessment, and snap-frozen for sperm nuclear integrity assessments by
SPDA and SCSA. For SPDA, the variables were defective (MCB) and deprotaminated
(HCB), and for SCSA, the variables were DNA fragmentation index (DFI) and high
DNA stainability (HDS). HCB correlated with DFI; tauKen2 = 0.317 and HDS; 0.098,
and MCB correlated with DFI; 0.183 (p < 0.001). The percentage of morphological
normal spermatozoa was correlated negatively to DFI; tauKen2 = -0.168, MCB;
0.116 and HCB; -0.137 (p < 0.001). HCB and DFI were both positively correlated to
head defects, proximal droplets, and spermatogenic immaturity, but not to distal
droplets, vacuoles, or diadems. Sperm DNA integrity and protamination, using the
SCSA and SPDA, respectively, in bulls show associations with morphological
parameters, particularly with head shape abnormalities and indicators of
spermatogenic immaturity, including proximal droplets. The vacuoles and diadem
defects were not correlated with sperm nuclear integrity, and hence, these are
likely physiological features that may not directly affect sperm chromatin
configuration.
PMID- 29633575
TI - Anaplastic lymphoma kinase (ALK) gene rearrangements in radiation-related human
papillary thyroid carcinoma after the Chernobyl accident.
AB - Childhood radiation exposure has been associated with increased papillary thyroid
carcinoma (PTC) risk. The role of anaplastic lymphoma kinase (ALK) gene
rearrangements in radiation-related PTC remains unclear, but STRN-ALK fusions
have recently been detected in PTCs from radiation exposed persons after
Chernobyl using targeted next-generation sequencing and RNA-seq. We investigated
ALK and RET gene rearrangements as well as known driver point mutations in PTC
tumours from 77 radiation-exposed patients (mean age at surgery 22.4 years) and
PTC tumours from 19 non-exposed individuals after the Chernobyl accident. ALK
rearrangements were detected by fluorescence in situ hybridisation (FISH) and
confirmed with immunohistochemistry (IHC); point mutations in the BRAF and RAS
genes were detected by DNA pyrosequencing. Among the 77 tumours from exposed
persons, we identified 7 ALK rearrangements and none in the unexposed group. When
combining ALK and RET rearrangements, we found 24 in the exposed (31.2%) compared
to two (10.5%) in the unexposed group. Odds ratios increased significantly in a
dose-dependent manner up to 6.2 (95%CI: 1.1, 34.7; p = 0.039) at Iodine-131
thyroid doses >500 mGy. In total, 27 cases carried point mutations of BRAF or RAS
genes, yet logistic regression analysis failed to identify significant dose
association. To our knowledge we are the first to describe ALK rearrangements in
post-Chernobyl PTC samples using routine methods such as FISH and IHC. Our
findings further support the hypothesis that gene rearrangements, but not
oncogenic driver mutations, are associated with ionising radiation-related tumour
risk. IHC may represent an effective method for ALK-screening in PTCs with known
radiation aetiology, which is of clinical value since oncogenic ALK activation
might represent a valuable target for small molecule inhibitors.
PMID- 29633577
TI - Mutations in COL1A1 Gene Change Dentin Nanostructure: A Response.
PMID- 29633576
TI - Polarized light microscopy for 3-dimensional mapping of collagen fiber
architecture in ocular tissues.
AB - Collagen fibers play a central role in normal eye mechanics and pathology. In
ocular tissues, collagen fibers exhibit a complex 3-dimensional (3D) fiber
orientation, with both in-plane (IP) and out-of-plane (OP) orientations. Imaging
techniques traditionally applied to the study of ocular tissues only quantify IP
fiber orientation, providing little information on OP fiber orientation. Accurate
description of the complex 3D fiber microstructures of the eye requires
quantifying full 3D fiber orientation. Herein, we present 3dPLM, a technique
based on polarized light microscopy developed to quantify both IP and OP collagen
fiber orientations of ocular tissues. The performance of 3dPLM was examined by
simulation and experimental verification and validation. The experiments
demonstrated an excellent agreement between extracted and true 3D fiber
orientation. Both IP and OP fiber orientations can be extracted from the sclera
and the cornea, providing previously unavailable quantitative 3D measures and
insight into the tissue microarchitecture. Together, the results demonstrate that
3dPLM is a powerful imaging technique for the analysis of ocular tissues.
PMID- 29633578
TI - Label-free bacterial colony detection and viability assessment by continuous-wave
terahertz transmission imaging.
AB - Timely and accurate bacterial detection is critical for various health and safety
applications, which promotes the continuous development of versatile optical
sensors for bacterial investigations. Here, we report a new strategy for
bacterial colony sensing using terahertz (THz) imaging with minimal assay
procedures. The proposed method utilizes the acute sensitivity of THz wave to the
changes in the water content and cellular structures. Single bacterial colonies
of 4 bacterial species were directly distinguished using THz imaging by utilizing
their differences in THz absorption. In addition, the distribution of mixed
bacterial samples has been demonstrated by THz imaging, which demonstrated that
the target bacterium could be easily recognized. Furthermore, we investigated the
differentiation of bacterial viability, which indicated that bacteria under
different living states could be distinguished by THz imaging because of their
different hydration levels and cellular structures. Our results suggest that THz
imaging has the potential to be used for mixed bacterial sample detection and
bacterial viability assessment in a label-free and nondestructive manner.
PMID- 29633579
TI - Quality metrics in transplantation - A new emphasis on transplant access.
PMID- 29633580
TI - Treatment advocate tactics to expand access to antiviral therapy for HIV and
viral hepatitis C in low- to high-income settings: making sure no one is left
behind.
AB - INTRODUCTION: Worldwide, 71 million people are infected with hepatitis C virus
(HCV), which, without treatment, can lead to liver failure or hepatocellular
carcinoma. HCV co-infection increases liver- and AIDS-related morbidity and
mortality among HIV-positive people, despite ART. A 12-week course of HCV direct
acting antivirals (DAAs) usually cures HCV - regardless of HIV status. However,
patents and high prices have created access barriers for people living with HCV,
especially people who inject drugs (PWID). Inadequate access to and coverage of
harm reduction interventions feed the co-epidemics of HIV and HCV; as a result,
the highest prevalence of HCV is found among PWID, who face additional obstacles
to treatment (including stigma, discrimination and other structural barriers).
The HIV epidemic occurred during globalization of intellectual property rights,
and highlighted the relationship between patents and the high prices that prevent
access to medicines. Indian generic manufacturers produced affordable generic HIV
treatment, enabling global scale-up. Unlike HIV, donors have yet to step forward
to fund HCV programmes, although DAAs can be mass-produced at a low and
sustainable cost. Unfortunately, although voluntary licensing agreements between
originators and generic manufacturers enable low-income (and some lower-middle
income countries) to buy generic versions of HIV and HCV medicines, most middle
income countries with large burdens of HCV infection and HIV/HCV co-infection are
excluded from these agreements. Our commentary presents tactics from the HIV
experience that treatment advocates can use to expand access to DAAs. DISCUSSION:
A number of practical actions can help increase access to DAAs, including new
research and development (R&D) paradigms; compassionate use, named-patient and
early access programmes; use of TRIPS flexibilities such as compulsory licences
and patent oppositions; and parallel importation via buyers' clubs. Together,
these approaches can increase access to antiviral therapy for people living with
HIV and viral hepatitis in low-, middle- and high-income settings. CONCLUSIONS:
The HIV example provides helpful parallels for addressing challenges to expanding
access to HCV DAAs. HCV treatment access - and harm reduction - should be
massively scaled-up to meet the needs of PWID, and efforts should be made to
tackle stigma and discrimination, and stop criminalization of drug use and
possession.
PMID- 29633581
TI - Persistent socket pain postenucleation and post evisceration: a systematic
review.
AB - PURPOSE: To investigate causes, diagnostics and treatment modalities for
persistent socket pain (PSP) after enucleation and evisceration. METHODS: A
systematic search was undertaken in accordance with the PRISMA Statement, in
PubMed, Embase.com and Thomson Reuters/Web of Science. We searched for relevant
papers until the 28th of July 2016. Inclusion criteria were (1) patients with a
history of enucleation or evisceration, (2) PSP, (3) report of the cause and/or
used diagnostics and/or treatment modality, (4) full text in English, Dutch or
Spanish language. Excluded were (1) review articles, (2) comments, and
publications concerning, (3) nonhumans, (4) exenterated patients, (5) acute
postoperative pain, or (6) periorbital pain without pain in the socket. Given the
lack of high quality evidence from randomized controlled trials, we examined all
available evidence from primary observational studies and assessed quality within
this lower level of evidence. RESULTS: A total of 32 studies were included.
Causes of PSP found were prosthesis-related (n = 5), dry socket (n = 2),
trochleitis (n = 3), compression of the trigeminal nerve (n = 2), implant-related
(n = unknown), inflammation (n = 5), surgery-related (n = 4), neuromas (n = 8),
malignant tumours (n = 3), psychiatric/psychosocial (n = 2), phantom pain (n =
149), rarer entities (n = 3) or unknown (n = 14). Nonsurgical treatments suffice
for conditions as trochleitis, prosthesis-related pain, dry socket and for
phantom pain. Other causes of pain may require more invasive treatments such as
implant removal. CONCLUSION: Careful history and examination can give some
direction in the diagnostic procedure; however, PSP is probably multifactorial
and the specific origin(s) may remain uncertain. Implant replacement can be an
effective treatment. Studies to identifiy less invasive procedures are required.
PMID- 29633582
TI - Polyurethane/polyurethane nanoparticle-modified expanded
poly(tetrafluoroethylene) vascular patches promote endothelialization.
AB - Expanded poly(tetrafluoroethylene) (ePTFE) has been widely used as a vascular
graft material due to the fact that it is durable, porous, flexible, and inert.
However, ePTFE grafts easily induce thrombosis, calcification and neointimal
hyperplasia in small-diameter (<6 mm) graft bypass surgeries and thus cause
surgical failure. Therefore, it is necessary to improve the in vitro and in vivo
performances of ePTFE grafts. In this work, we first prepared a
polyurethane/polyurethane nanoparticles (PU/PU-NPs) composite film by a simple
cosedimentation method. Compared with the pure PU film, the blood compatibility
and the cell compatibility of the PU/PU-NPs composite film were significantly
improved. Then, we constructed a PU/PU-NPs/ePTFE vascular patch (PPVP) by coating
PU and PU-NPs onto the surface of an ePTFE graft. PU-NP modification endowed the
ePTFE graft with the nanopatterned surface similar to the luminal surface of a
blood vessel. PU NPs and the structural likeness of the surface synergistically
optimized the overall performance, and thus improved the blood and cell
compatibilities, effectively inhibited platelet adhesion, enhanced cell
attachment and proliferation, and facilitated the formation of endothelial tissue
endothelialization. The abdominal artery patched with PPVP was not blocked and
the endothelialization was achieved 30 days after the implantation. All the
results taken together indicate that PPVP may be a promising alternative for a
vascular patch. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A:
2131-2140, 2018.
PMID- 29633583
TI - Pattern of Daily Steps is Associated with Weight Loss: Secondary Analysis from
the Step-Up Randomized Trial.
AB - OBJECTIVE: The aim of this study was to examine the association between the
amount, intensity, and pattern of steps.day-1 with weight loss. METHODS:
Participants (N = 260; age = 42.8 +/- 8.9 y; BMI = 32.8 +/- 3.5 kg/m2 ) completed
an 18-month weight-loss intervention that included a calorie-restricted diet and
prescribed physical activity. Participants were categorized by 18-month weight
loss as weight gain (GAIN), weight loss of 0% to < 5% (WL < 5%), 5% to < 10% (WL
< 10%), or >= 10% (WL >= 10%). Steps.day-1 were measured at 0, 6, 12, and 18
months and defined as total steps.day-1 , total steps.day-1 of moderate-to
vigorous physical activity (MVPA) (>=3 metabolic equivalents) in bouts of >= 10
minutes (BOUT-MVPA), MVPA in bouts of < 10 minutes (NON-BOUT-MVPA), or non-MVPA
steps.day-1 (NON-MVPA). RESULTS: There was a weight-loss category by time
interaction (P < 0.0001) for total and BOUT-MVPA steps.day-1 . The total
steps.day-1 at 18 months were WL >= 10% = 9,822 (95% CI: 9,073-10,571), WL < 10%
= 8,612 (7,613-9,610), WL < 5% = 7,802 (6,782-8,822), and GAIN = 7,801 (6,549
9,053). BOUT-MVPA steps.day-1 at 18 months were WL >= 10% = 3,482 (2,982-3,981),
WL < 10% = 1,949 (1,269-2,629), WL < 5% = 1,735 (1,045-2,426), and GAIN = 1,075
(210-1,941). Participants were also categorized based on achieving >= 10% weight
loss at either 6 or 18 months, and a similar pattern was observed. CONCLUSIONS:
These findings show that 10,000 steps.day-1 , with approximately 3,500 steps.day
1 performed as BOUT-MVPA, are associated with enhanced weight loss in a
behavioral intervention.
PMID- 29633584
TI - Virtual Fragment Screening Identification of a Quinoline-5,8-dicarboxylic Acid
Derivative as a Selective JMJD3 Inhibitor.
AB - The quinoline-5,8 dicarboxylic acid scaffold has been identified by a fragment
based approach as new potential lead compound for the development of JMJD3
inhibitors. Among them, 3-(2,4-dimethoxypyrimidin-5-yl)quinoline-5,8-dicarboxylic
acid (compound 3) shows low micromolar inhibitory activity against Jumonji domain
containing protein 3 (JMJD3). The experimental evaluation of inhibitory activity
against seven related isoforms of JMJD3 highlighted an unprecedented selectivity
toward the biological target of interest.
PMID- 29633585
TI - A multiobjective optimization procedure for the electrode design of cochlear
implants.
AB - This paper presents a new procedure to design optimal electrodes for cochlear
implants. The main objective of this study is to find a set of electrode designs
that maximize the focalization and minimize the power consumption simultaneously.
To achieve that, a criterion to measure the ability of focalization of an
electrode is proposed. It is presented a procedure to determine (1) the
electrical potential induced by an electrode by solving the Laplace equation
through the finite element method; (2) the response of a neuron to an applied
field using NEURON, a compartmentalized cell model; (3) the optimization to find
the best electrode designs according to power consumption and focalization by 2
evolutionary multiobjective methods based on the non-dominated sorting genetic
algorithm II: a straight multiobjective approach and a seeded multiobjective
approach. An electrode design formed by 2 conductive rings with a possible
difference of potential between them is proposed. It is analyzed that the
response of the neuron is determined by the shape and the difference of the
potential between the electrode rings. Our procedure successfully achieves a
nondominated set of optimum electrode designs improving a standard electrode in
both objectives, as designs with better focalization allow to include extra
electrodes in the cochlear implant, and designs with lower power consumption
extend the length of the battery.
PMID- 29633586
TI - Congenital X-Linked Retinoschisis: An Updated Clinical Review.
AB - We present an updated clinical review of the pathophysiology, progression, and
current treatments in pediatric patients with congenital X-linked retinoschisis
(CXLRS). CXLRS is an X-linked inherited retinal degeneration characterized by
splitting of the superficial layers of the retina. Most recent classification
divides CXLRS into 4 distinct clinical phenotypes: type 1, foveal; type 2,
foveolamellar; type 3, complex; and type 4, foveoperipheral. The majority of
retinoschisis cavities remain stable throughout life and may spontaneously
collapse. However, a select number of patients progress to macula-involving
peripheral retinoschisis, rhegmatogenous, and combined tractional-rhegmatogenous
detachments that require further intervention. Although several advances have
been made over the past several decades, medical therapy remains limited to case
series-based carbonic anhydrase therapy and prophylactic laser retinopexy. Recent
advances in genetic-based clinical trials with the retinoschisis gene are
promising. Vitreoretinal surgical approaches remain complex, case-based, and
require careful planning depending on the configuration and location of the
retinoschisis cavity.
PMID- 29633587
TI - Anti-VEGF for ROP and Pediatric Retinal Diseases.
AB - Vascular endothelial growth factor (VEGF) is an important mediator of the
pathological neovascularization and vascular permeability of the eye. The
increasing use of intravitreal therapies targeting VEGF has revolutionized the
treatment of pediatric vitreoretinal diseases. In retinopathy of prematurity
(ROP), the role of VEGF in pathogenesis has been well recognized and the use of
anti-VEGF treatment in phase 2 ROP has demonstrated promising results,
particularly in severe cases of posterior disease. This has made VEGF an
established target in the treatment of pediatric retinal diseases known to have
abnormal vascular activity. However, questions remain about late systemic and
neurodevelopmental effects after anti-VEGF therapy for children because
intravitreal injection of anti-VEGF may result in systemic circulation of anti
VEGF agent and a corresponding suppression of systemic VEGF. We do not currently
know whether the short-term suppression of systemic VEGF affects long-term
neurodevelopmental outcomes because VEGF is a vital supporting factor during
neurodevelopment. This review article focuses on the evidence for the use of anti
VEGF treatment in certain pediatric ocular diseases, including ROP, Coats
disease, and retinoblastoma. More extensive and prospective studies are warranted
to further elucidate the role of anti-VEGF therapy in these diseases and
illustrate how we can optimally use these agents in pediatric patients.
PMID- 29633588
TI - Familial Exudative Vitreoretinopathy: Pathophysiology, Diagnosis, and Management.
AB - Familial exudative vitreoretinopathy (FEVR) is a heritable vitreoretinopathy
characterized by anomalous retinal vascular development. The principal feature of
the disease is an avascular peripheral retina. This in turn can cause further
pathological changes including neovascularization, exudation, hemorrhage, and
retinal detachment. The biological basis of the disease is thought to be from
defects in the Wnt signaling pathway. Many gene mutations have been implicated,
and these can be inherited in an autosomal dominant (most common), autosomal
recessive, and X-linked recessive fashion. Examination with wide-field
fluorescein angiography is essential and can identify the disease in its earlier
stages, enabling timely treatment, in addition to helping identify asymptomatic
family members. The current treatment paradigm involves laser photocoagulation of
the avascular peripheral retina for neovascular sequelae and vitreoretinal
surgery for progressive retinal detachment. Further studies are underway to
better characterize this complex vitreoretinopathy.
PMID- 29633589
TI - Skeletal Muscle CLARITY: A Preliminary Study of Imaging The Three-Dimensional
Architecture of Blood Vessels and Neurons.
AB - OBJECTIVES: Passive CLARITY is a whole-tissue clearing protocol, based on sodium
dodecyl sulfate (SDS) clearing, for imaging intact tissue containing transgenic
or immunolabeled fluorescent proteins. In this study, we present an improved
passive CLARITY protocol with efficient immunolabeling without the need for
electrophoresis or complex instrumentation. MATERIALS AND METHODS: In this
experimental study, after perfusion of C57BL/6N mice with phosphate-buffered
saline (PBS) and then with acrylamide-paraformaldehyde (PFA), the quadriceps
femoris muscle was removed. The muscle samples were post-fixed and degassed to
initiate polymerization. After removing the excess hydrogel around the muscle,
lipids were washed out with the passive CLARITY technique. The transparent whole
intact muscles were labeled for vessel and neuron markers, and then imaged by
confocal microscopy. Three-dimensional images were reconstructed to present the
muscle tissue architecture. RESULTS: We established a simple clearing protocol
using wild type mouse muscle and labeling of vasculatures and neurons. Imaging
the fluorescent signal was achieved by protein fixation, adjusting the pH of the
SDS solution and using an optimum temperature (37C) for tissue clearing, all of
which contributed to the superiority of our protocol. CONCLUSIONS: We conclude
that this passive CLARITY protocol can be successfully applied to three
dimensional cellular and whole muscle imaging in mice, and will facilitate
structural analyses and connectomics of large assemblies of muscle cells, vessels
and neurons in the context of three-dimensional systems.
PMID- 29633590
TI - Testosterone Reduces Spinal Cord Injury-Induced Effects on Male Reproduction by
Preventing CADM1 Defect.
AB - OBJECTIVES: This study evaluated the effects of exogenous testosterone molecule-1
(CADM1) pathological defect during early and chronic periods of spinal cord
injury (SCI). MATERIALS AND METHODS: In this experimental study, Testosterone was
administered immediately or after one week of SCI induction. Along with
quantification of CADM1 gene expression and its immunoreactivity, we evaluated
sperm parameters and serum testosterone level post-SCI. RESULTS: Different grades
of abnormalities in sperm parameters and testis architecture were observed along
with significant reductions in the level of CADM1 expression and its
immunoreactivity in the seminiferous tubules of both acute and chronic SCI
groups. Exogenous testosterone, by compensating the serum testosterone level.
reduced the percentage of apoptotic and both short head and abnormal sperm froms
in the caudal epididymis. Importantly, the beneficial effects of immediate
administration of testosterone were prominent. Increases in the level of CADM1
transcription and its immunoreactivity in the testis of SCI mice treated with
testosterone were accompanied by improvement of sperm motility as well as
testicular Johnsen's and Miller's criteria. CONCLUSIONS: Since immediate
testosterone treatment improved the immunoreactivity and transcription level of
CADM1, the observed beneficial effect of exogenouse testosterone can be
attributed to its effect on CADM1 dynamics.
PMID- 29633591
TI - Targetome Analysis Revealed Involvement of MiR-126 in Neurotrophin Signaling
Pathway: A Possible Role in Prevention of Glioma Development.
AB - OBJECTIVES: For the first time, we used molecular signaling pathway enrichment
analysis to determine possible involvement of miR-126 and IRS-1 in neurotrophin
pathway. MATERIALS AND METHODS: In this prospective study, Validated and
predicted targets (targetome) of miR-126 were collected following searching
miRtarbase (http://mirtarbase.mbc.nctu.edu.tw/) and miRWalk 2.0 databases,
respectively. Then, approximate expression of miR-126 targeting in Glioma tissue
was examined using UniGene database (http://www.ncbi. nlm.nih.gov/unigene). In
silico molecular pathway enrichment analysis was carried out by DAVID 6.7
database (http://david. abcc.ncifcrf.gov/) to explore which signaling pathway is
related to miR-126 targeting and how miR-126 attributes to glioma development.
RESULTS: MiR-126 exerts a variety of functions in cancer pathogenesis via
suppression of expression of target gene including PI3K, KRAS, EGFL7, IRS-1 and
VEGF. Our bioinformatic studies implementing DAVID database, showed the
involvement of miR-126 target genes in several signaling pathways including
cancer pathogenesis, neurotrophin functions, Glioma formation, insulin function,
focal adhesion production, chemokine synthesis and secretion and regulation of
the actin cytoskeleton. CONCLUSIONS: Taken together, we concluded that miR-126
enhances the formation of glioma cancer stem cell probably via down regulation of
IRS-1 in neurotrophin signaling pathway.
PMID- 29633592
TI - The Effects of De-Whiskering and Congenital Hypothyroidism on The Development of
Nitrergic Neurons in Rat Primary Somatosensory and Motor Cortices.
AB - OBJECTIVES: The aim of the present study is to investigate the effects of chronic
whisker deprivation on possible alterations to the development of nitrergic
neurons in the whisker part of the somatosensory (wS1) and motor (wM1) cortices
in offspring with congenital hypothyroidism (CH). MATERIALS AND METHODS: In the
experimental study, CH was induced by adding propylthiouracil to the rats
drinking water from embryonic day 16 to postnatal day (PND) 60. In whisker
deprived (WD) pups, all the whiskers were trimmed from PND 1 to 60. Nitrergic
interneurons in the wS1/M1 cortices were detected by NADPH-diaphorase
histochemistry staining technique in the control (Ctl), Ctl+WD, Hypo and Hypo+WD
groups. RESULTS: In both wS1 and wM1 cortices the number of nitrergic neurons was
significantly reduced in the Hypo and Hypo+WD groups compared to Ctl and Ctl+WD
groups, respectively (P<0.05) while bilateral whisker deprivation had no
remarkable effect. The mean soma diameter size of NADPH-d labeled neurons in the
Ctl+WD and Hypo+WD groups was decreased compared to the Ctl and Hypo groups,
respectively. A similar patterns of decreased NADPH-d labeled neurons in the
wS1/M1 cortices occur in the processes of nitrergic neurons in both congenital
hypothyroidism and whisker deprivation. CONCLUSIONS: Our results suggest that
both congenital hypothyroidism and whisker deprivation may disturb normal
development of the wS1 and wM1 cortical circuits in which nitrergic neurons are
involved.
PMID- 29633593
TI - Differentiation of Human Scalp Adipose-Derived Mesenchymal Stem Cells into Mature
Neural Cells on Electrospun Nanofibrous Scaffolds for Nerve Tissue Engineering
Applications.
AB - OBJECTIVES: This study aimed to isolate and culture SADS cells, investigate their
neurogenic capacity and evaluate their application for nerve tissue engineering.
MATERIALS AND METHODS: In this experimental study, SADS cells were isolated from
human adipose tissue. After 7-day treatment of SADS cells with insulin,
indomethacin and isobutylmethylxanthine, neurogenic differentiation of SADS cells
was investigated. During this study, Poly (epsilon-caprolactone) (PCL) and
PCL/gelatin nanofibrous scaffolds were fabricated using electrospinning and
subsequently nanofibrous scaffolds were coated with platelet-rich plasma (PRP).
SADS cells were also seeded on nanofibrous scaffolds and neurogentic
differentiation of these cells on nanofibers was also evaluated. Effect of PRP on
proliferation and differentiation of SADS cells on scaffolds was also studied.
RESULTS: Our results showed that after 7-day treatment of SADS cells with
insulin, indomethacin and isobutylmethylxanthine, SADS cells expressed markers
characteristic of neural cells such as nestin and neuron specific nuclear protein
(NEUN) (as early neuronal markers) as well as microtubule-associated protein 2
(MAP2) and neuronal microtubule-associated (TAU) (as mature neuronal markers)
while mature astrocyte maker (GFAP) was not expressed. MTT assay and SEM results
showed that incorporation of gelatin and PRP into the structure of nanofibrous
scaffolds has a significant positive influence on the bioactivity of scaffolds.
Our results also showed neurogentic differentiation of SADS cells on scaffolds.
CONCLUSIONS: Our results demonstrated that SADS cells have potential to
differentiate into early and mature progenitor neurons, in vitro. PCL/gelatin/PRP
was found to be a promising substrate for proliferation of SADS cells and
differentiation of these cells into neural cells which make these scaffolds a
candidate for further in vivo experiments and suggest their application for nerve
tissue engineering.
PMID- 29633594
TI - OX40 Gene and Serum Protein Expression Profiles in Patients with Parkinson's
Disease.
AB - OBJECTIVES: Inflammation of the immune system and the central nervous system has
been known as an important predisposing factor for Parkinson's disease (PD).
Increased expression of OX40 protein (CD134) is a known factor for increased
inflammation and initiation of NF-kappa-B signaling pathway in different
diseases. We aimed to investigate the expression of OX40 at the transcript and
serum protein levels. MATERIALS AND METHODS: Twenty individuals with PD and 20
healthy individuals, as controls, were enrolled in this casecontrol study.
Expression of OX40 at the transcript level and serum protein levels were measured
by quantitative real-time polymerase chain reaction (qRT-PCR) and enzyme-linked
immunosorbent assays respectively. RESULTS: The mean expression level of OX40 was
increased in patients but not at a significant level (P>0.05). Consistently, the
mean serum concentration of OX40 showed a mild, but non-significant, increase in
the patients (P>0.05). CONCLUSIONS: We conclude that OX40 expression at either
the transcript or protein level has no diagnostic utility in asymptomatic PD.
This shows the need for clinical, cellular and interventional research to detect
new robust biomarkers.
PMID- 29633595
TI - Ghrelin Upregulates Hoxb4 Gene Expression in Rat Bone Marrow Stromal Cells.
AB - OBJECTIVES: Ghrelin is a peptide which has a proliferative and antiapoptotic
effect in many cells including bone marrow stromal cells (BMSCs). Homeobox
protein B4 (HOXB4) is a transcription factor involved in stem cell regeneration
and survival. The aim of the study was to find out the efect of ghrelin on Hoxb4
expression in BMSCs. MATERIALS AND METHODS: In this experimental study, rat BMSCs
were cultivated in Dulbecco's Modified Eagle Medium (DMEM). Passage three BMSCs
were treated with ghrelin 100 MUM for 48 hours. Real-time polymerase chain
reaction (PCR) was carried out from the untreated BMSCs (B), BMSCs treated with
125 MUM H2O2 (BH), BMSCs treated with 100 MUM ghrelin then 125 MUM H2O2 (BGH) and
BMSCs treated with 100 MUM ghrelin (BG) groups. For immunofluorescence, cells
were incubated with an anti-HOXB4 monoclonal antibody. Primary antibodies were
visualized using the Fluorescein isothiocyanate (FITC) method. All data are
presented as mean +/- SEM and P<0.05 was considered as statistical significant.
RESULTS: Hoxb4 expression significantly increased in the BG compared with BH and
BGH groups. Furthermore, 100 MUM ghrelin, increased the mean of HOXB4 positive
immunoreactive cells compared to the BH group. CONCLUSIONS: Ghrelin probably
enhances proliferation and viability of BMSCs through Hoxb4 upregulation.
However, the signaling pathway and other biological outcomes of this effect
should be elucidated in different stem cells.
PMID- 29633596
TI - Promoter Methylation Status of Survival-Related Genes in MOLT- 4 Cells Co
Cultured with Bone Marrow Mesenchymal Stem Cells under Hypoxic Conditions.
AB - OBJECTIVES: DNA methylation is a well-studied epigenetic mechanism that is a
potent arm of the gene expression controlling machinery. Since the hypoxic
situation and the various cells of bone marrow microenvironment, e.g. mesenchymal
stem cells, play a role in the in vivo and in vitro biology of leukemic cells, we
decided to study the effects of hypoxia and mesenchymal stem cells (MSCs) on the
promoter methylation pattern of BAX and BCL2 genes. MATERIALS AND METHODS: In
this experimental study, the co-culture of MOLT-4 cells with MSCs and treatment
with CoCl2 was done during 6, 12, and 24 hour periods. Total DNA was extracted
using commercial DNA extraction kits, and sodium bisulfite (SBS) treatment was
performed on the extracted DNA. Methylation specific polymerase chain reaction
(MSP) was used to evaluate the methylation status of the selected genes' promoter
regions. RESULTS: The BAX and BCL2 promoters of untreated MOLT-4 cells were in
partial methylated and fully unmethylated states, respectively. After incubating
the cancer cells with CoCl2 and MSCs, the MSP results after 6, 12, and 24 hours
were the same as untreated MOLT-4 cells. In other words, the exposure of MOLT-4
cells to the hypoxia-mimicry agent and MSCs in various modes and different time
frames showed that these factors have exerted no change on the methylation
signature of the studied fragments from the promoter region of the mentioned
genes. CONCLUSIONS: Hypoxia and MSCs actually have no notable effect on the
methylation status of the promoters of BAX and BCL2 in the specifically studied
regions. DNA methylation is probably not the main process by which MSCs and CoCl2
induced hypoxia regulate the expression of these genes. Finally, we are still far
from discovering the exact functional mechanisms of gene expression directors,
but these investigations can provide new insights into this field for upcoming
studies.
PMID- 29633597
TI - MicroRNA Microarray Profiling during Megakaryocyte Differentiation of Cord Blood
CD133+ Hematopoietic Stem Cells.
AB - OBJECTIVES: In order to clarify the role of microRNAs (miRNA) in megakaryocyte
differentiation, we ran a microRNA microarray experiment to measure the
expression level of 961 human miRNA in megakaryocytes differentiated from human
umbilical cord blood CD133+ cells. MATERIALS AND METHODS: In this experimental
study, human CD133+ hematopoietic stem cells were collected from three human
umbilical cord blood (UCB) samples, and then differentiated to the megakaryocytic
lineage and characterized by flow cytometry, CFU-assay and ploidy analysis.
Subsequently, microarray analysis was undertaken followed by quantitative
polymerase chain reaction (qPCR) to validate differentially expressed miRNA
identified in the microarray analysis. RESULTS: A total of 10 and 14 miRNAs were
upregulated (e.g. miR-1246 and miR-148-a) and down-regulated (e.g. miR- 551b and
miR-10a) respectively during megakaryocyte differentiation, all of which were
confirmed by qPCR. Analysis of targets of these miRNA showed that the majority of
targets are transcription factors involved in megakaryopoiesis. CONCLUSIONS: We
conclude that miRNA play an important role in megakaryocyte differentiation and
may be used as targets to change the rate of differentiation and further our
understanding of the biology of megakaryocyte commitment.
PMID- 29633598
TI - The Association between PARP1 and LIG3 Expression Levels and Chromosomal
Translocations in Acute Myeloid Leukemia Patients.
AB - OBJECTIVES: Chromosomal translocations are among the most common mutational
events in cancer development, especially in hematologic malignancies. However,
the precise molecular mechanism of these events is still not clear. It has been
recently shown that alternative non-homologous end-joining (alt-NHEJ), a newly
described pathway for double-stranded DNA break repair, mediates the formation of
chromosomal translocations. Here, we examined the expression levels of the main
components of alt-NHEJ (PARP1 and LIG3) in acute myeloid leukemia (AML) patients
and assessed their potential correlation with the formation of chromosomal
translocations. MATERIALS AND METHODS: This experimental study used reverse
transcription-quantitative polymerase chain reaction (RTqPCR) to quantify the
expression levels of PARP1 and LIG3 at the transcript level in AML patients
(n=78) and healthy individuals (n=19). RESULTS: PARP1 was the only gene
overexpressed in the AML group when compared with healthy individuals (P=0.0004),
especially in the poor prognosis sub-group. Both genes were, however, found to be
up-regulated in AML patients with chromosomal translocations (P=0.04 and 0.0004
respectively). Moreover, patients with one isolated translocation showed an over
expression of only LIG3 (P=0.005), whereas those with two or more translocations
over-expressed both LIG3 (P=0.002) and PARP1 (P=0.02). CONCLUSIONS: The
significant correlations observed between PARP1 and LIG3 expression and the rate
of chromosomal translocations in AML patients provides a molecular context for
further studies to investigate the causality of this association.
PMID- 29633599
TI - The Protein Extract of Chlorella minutissima Inhibits The Expression of MMP-1,
MMP-2 and MMP-9 in Cancer Cells through Upregulation of TIMP-3 and Down
Regulation of c-Jun.
AB - OBJECTIVES: Considering the bioactivities exhibited by microalgae, the effect of
protein extract of Chlorella minutissimma (CP extract) was investigated on the
expression of human matrix metalloproteinases-1 (MMP-1) in the breast cancer cell
line MDA-MB231, and that of MMP-2 and -9 in hepatocellular cancer cell line HepG2
at different expression levels. The study aimed identification and analysis of
inhibitory activity of microalgal components extracted from Chlorella minutissima
against human MMPs. MATERIALS AND METHODS: In this experimental study, we
analysed the effect of Chlorella extracts on MMP-1, -2, and -9 expression at
various levels. Gelatin zymography was performed to study the inhibitory effect
of Chlorella exracts on human gelatinases at the activity level, followed by
western blotting to analyse the expression of all three MMPs at the protein
level. The similar effect at the mRNA level along with the probable mechanism
underlying inhibition of MMPs was assessed using real-time polymerase chain
reaction (PCR). RESULTS: The results reveal that the treatment with CP extract
decreased the mRNA expression of MMP-1, MMP-2, and MMP-9 by 0.26-, 0.29-, and
0.40-fold, respectively, at 20 MUg/ml concentration as well as inhibited the
activity of MMP-2 and MMP-9 by 37.56 and 42.64%, respectively, at 15 MUg/ml
concentration. Additionally, upregulated mRNA expression of tissue inhibitor of
metalloproteinases-3 (TIMP-3) by 1.68-fold was seen in HepG2 cells at 20 MUg/ml
concentration treatment group. However, CP extract did not induce any change in
the mRNA expression of the TIMP-1, -2 and -4 in HepG2 and TIMP-1, -2, -3 and -4
in MDA-MB231 cells. Activator protein-1 (AP-1)-dependent c-Jun-mediated
transcriptional regulation of MMP-1, -2, and -9 was also studied to elucidate the
appropriate mechanism involved in the inhibition of MMPs. CONCLUSIONS: The CP
extract successfully inhibited MMP-1, -2, and -9 at different expression levels
through TIMP-3 upregulation and c-Jun downregulation.
PMID- 29633600
TI - The Role of MicroRNA Signature as Diagnostic Biomarkers in Different Clinical
Stages of Colorectal Cancer.
AB - OBJECTIVES: Colorectal cancer (CRC) is one of the most common cancers and a major
cause of cancer-related death worldwide. The early diagnosis of colorectal tumors
is one of the most important challenges in cancer management. MicroRNAs (miRNAs)
have provided new insight into CRC development and have been suggested as
reliable and stable biomarkers for diagnosis and prognosis. This study's
objective was to analyze the differential expression of miRNAs at differentstages
of CRC searching for possible correlation with clinicopathological features to
examine their potential value as diagnostic biomarkers. MATERIALS AND METHODS: In
this case-control study, plasma and matched tissue samples were collected from 74
CRC patients at stage II-IV as well as blood samples from 32 healthy controls.
After exhaustive study of the current literature, eight miRNAs including miR
200c, 20a, 21, 31,135b, 133b,145 and let-7g were selected. The expression level
of the miRNAs was assayed by quantitative reverse transcriptase-polymerase chain
reaction (qRT-PCR). Statistical analysis, including t test , Mann-Whitney U,
Kruskall-Wallis tests and receiver operating characteristic (ROC) curve was
applied, where needed. RESULTS: Significantly elevated levels of miR-21, miR-31,
miR-20a, miR-135b, and decreased levels of miR- 200c, miR-145 and let-7 g were
detected in both plasma and matched tissue samples compared to the healthy group
(P<0.05). However, no significant differences were observed in the expression
level of plasma and tissue miR-133b (P>0.05). ROC for tissue miRNAs showed an
area under the ROC curve (AUC) of 0.98 and P<0.001 for miR-21, 0.91 and P<0.001
for miR-135b, 0.91 and P<0.001 for miR-31, and 0.92 and P<0.001 for miR-20a.
CONCLUSIONS: Our results indicate that the expression levels of microRNAs are
systematically altered in CRC tissue and plasma. In conclusion, detection of miR
21, miR-135b, miR-31 and miR-20a levels in the tissue might be helpful to
illuminate the molecular mechanisms underlying CRC carcinogenesis and serve as
tumor-associated biomarkers for diagnosis.
PMID- 29633601
TI - Effect of (R)-(+) Pulegone on Ovarian Tissue; Correlation with Expression of
Aromatase Cyp19 and Ovarian Selected Genes in Mice.
AB - OBJECTIVES: Pulegone (PGN) is a monoterpene ketone, whose metabolites exert
several cytotoxic effects in various tissues. The present study was conducted in
order to evaluate the (R)-(+) PGN-induced alterations in ovarian aromatization,
proto-oncogenes and estrogen receptoralpha ( ERalpha) and ERbeta receptors
expressions. MATERIALS AND METHODS: In this experimental study, mature albino
mice were divided into experimental (received 25 mg/kg, 50 mg/kg and 100 mg/kg
PGN, orally for 35 days) and control (received 2% solution of Tween 80 as a PGN
solvent, orally) groups. The mRNA levels of Eralpha, Erbeta, p53, Bcl-2, and
cytochrome p450 (Cyp19) as well as ovarian angiogenesis were analyzed through
reverse transcription polymerase chain reaction and immunohistochemical
techniques, respectively. Moreover, apoptosis of follicular cells, serum estrogen
and progesterone levels and mRNA damage were investigated via using terminal
transferase and biotin-16-dUTP staining, electrochemilunescence and fluorescent
microscopy methods, respectively. RESULTS: The PGN reduced Eralpha, Erbeta and
Cyp19 expression at 50 mg/kg and 100 mg/kg doses, while significantly elevating
p53 and reducing Bcl-2 expression. Finally, PGN impaired ovarian angiogenesis,
increased apoptosis, elevated follicular atresia and reduced serum levels of
estrogen and progesterone. CONCLUSIONS: Chronic exposure to PGN (50 mg/kg and 100
mg/kg), severely affects ovarian aromatization, protooncogenes mRNA levels and
expression of ERs.
PMID- 29633602
TI - Melatonin Modifies Histone Acetylation During In Vitro Maturation of Mouse
Oocytes.
AB - OBJECTIVES: We evaluated the effect of melatonin, as a potent antioxidant agent,
on glutathione (GSH) and reactive oxygen species (ROS) levels, as well as histone
H3 lysine 9 (H3K9), and H4 lysine 12 (H4K12) acetylation when added to oocytes
culture medium. MATERIALS AND METHODS: In this experimental study, two in vitro
and in vivo groups were used. In the in vitro group, cumulus oocyte complexes
(COCs) from the ovaries of B6D2F1 mice were cultured in maturation medium
containing two doses of melatonin (10-9 and 10-6 M) and without melatonin
[control group treated with dimethyl sulfoxide (DMSO)] for 22-24 hour. The
cumulus expansion and nuclear status were monitored by an inverted microscope.
Next, COCs were isolated from the oviducts of superovulated mice and studied as
the in vivo group. In in vitro and in vivo matured oocytes, GSH and ROS levels
were assessed by monochlorobimane (MCB) and 2-7-dichlorodihydrofluorescein
diacetate (H2DCFDA) staining, respectively. Changes in histone acetylation were
examined by immunofluorescent staining with specific antibodies against
acetylated H3K9 and H4K12. RESULTS: The H4K12 acetylation and ROS levels were
significantly higher in the oocytes matured in the in vitro group compared to the
in vivo group (P<0.05). Furthermore, glutathione levels in the in vitro group
were considerably lower than that of the in vivo group (P<0.05). Melatonin at the
concentration of 10-6 M had the most substantial effect on nuclear maturation and
histone acetylation as well as glutathione and ROS levels in the in vitro group
(P<0.05). CONCLUSIONS: Exogenous melatonin improves the competence of mouse
oocytes during in vitro maturation (IVM).
PMID- 29633603
TI - Advanced Glycation End-Products-, C-Type Lectin- and Cysteinyl/ Leukotriene
Receptors in Distinct Mesenchymal Stromal Cell Populations: Differential
Transcriptional Profiles in Response to Inflammation.
AB - OBJECTIVES: We aimed at characterizing the transcription profiles of
immunological receptors associated with the biology of mesenchymal stromal cells
(MSCs). MATERIALS AND METHODS: In this experimental study, quantitative real time
polymerase chain reaction (qRTPCR) was performed to establish the transcription
profiles of advanced glycation end-products (RAGE) receptor, C-type lectin
receptors (CLRs, including DECTIN-1, DECTIN-2 and MINCLE), leukotriene B4 (LTB4)
receptors (BLT1 and BLT2) and cysteinyl leukotrienes (CysLTs) receptors (CYSLTR1
and CYSLTR2) in distinct populations of MSCs grown under basic or inflammatory
conditions. RESULTS: MSCs derived from adipose tissue (AT), foreskin (FSK),
Wharton's jelly (WJ) and bone marrow (BM) exhibited significantly different
transcription levels for these genes. Interestingly, these transcription profiles
substantially changed following exposure of MSCs to inflammatory signals.
CONCLUSIONS: Collectively, for the first time, our data highlights that MSCs
depending on their tissue-source, present several relevant receptors potentially
involved in the regulation of inflammatory and immunological responses.
Understanding the roles of these receptors within MSCs immunobiology will
incontestably improve the efficiency of utilization of MSCs during cell-based
therapies.
PMID- 29633604
TI - Prostaglandin F-2alpha Stimulates The Secretion of Vascular Endothelial Growth
Factor and Induces Cell Proliferation and Migration of Adipose Tissue Derived
Mesenchymal Stem Cells.
AB - OBJECTIVES: Tissue engineering today uses factors that can induce differentiation
of mesenchymal stem cells (MSCs) into other cell types. However, the problem of
angiogenesis in this differentiated tissue remains an unresolved area of research
interest. The aim of this study was to investigate the effects of prostaglandin F
2alpha (PGF-2alpha) on the expression of vascular endothelial growth factor
(VEGF) in human adipose tissue derived MSCs. MATERIALS AND METHODS: In this
experimental research, human adipose tissue was digested using collagenase. The
isolated MSCs cells were treated with PGF-2alpha (up to 5 MUg/ml) and incubated
for 96 hours. Cell proliferation, secretion of VEGF and cell migration were
spontaneously assayed by MTT, BrdU, ELISA, RT-PCR and scratching methods.
RESULTS: Cell growth at 1.0, 2.5, 5 MUg/ml of PGF-2alpha was not significantly
reduced compared to control cells, suggesting that these concentrations of PGF
2alpha are not toxic to cell growth. The results of the BrdU incorporation assay
indicated that, in comparison to untreated cells, BrdU incorporation was
respectively 1.08, 1.96, 2.0 and 1.8 fold among cells treated with 0.1, 1.0, 2.5
and 5.0 MUg/ml of PGF-2alpha. The scratching test also demonstrated a positive
influence on cell proliferation and migration. Cells treated with 1.0 MUg/ml of
PGF-2alpha for 12 hours showed the highest relative migration and coverage in
comparison to untreated cells. Quantitative VEGF ELISA and RTPCR results
indicated an increase in VEGF expression and secretion in the presence of PGF
2alpha. The amount of VEGF produced in response to 0.1, 1.0, 2.5 and 5.0 MUg/ml
of PGF-2alpha was 62.4 +/- 3.2 , 66.3 +/- 3.7, 53.1 +/- 2.6 and 49.0 +/- 2.3
pg/ml, respectively, compared to the 35.2 +/- 2.1 pg/ml produced by untreated
cells. CONCLUSIONS: Stimulation of VEGF secretion by PGF-2alpha treated MSCs
could be useful for the induction of angiogenesis in tissue engineering in vitro.
PMID- 29633606
TI - Protective Effect of Aloe vera Extract against Bisphenol A Induced Testicular
Toxicity in Wistar Rats.
AB - OBJECTIVES: Bisphenol A (BPA), an endocrine-disrupting chemical, has been
considered as a possible risk factor for fertility because it induces testicular
toxicity. Thus, we sought to analyze the effect of Aloe vera as plant with
antioxidant properties on tissues and oxidative stress parameters in male rats.
MATERIALS AND METHODS: In this experimental study, 50 adult male Wistar rats (200
+/- 20 g) have been used in this 56 day study. Animals were completely randomized
and divided into five groups: A1 (control), A2 (vehicle control), A3 (Aloe vera
gel 300 mg/kg), B1 (BPA 20 MUg/kg bw) and B2 (Aloe vera gel+ BPA). At the end of
the study, the rats were anesthetized and 2 ml blood samples were obtained for
evaluation of oxidative stress markers. Also, both testes were collected for
histological examinations. RESULTS: BPA significantly decreased (P<0.05) body and
testis weights. Seminiferous tubule diameter (STD) and height of seminiferous
epithelium (HSE), were significantly decreased (P<0.05) in the groups receiving
BPA as compared to the control. There was also a reduction in the quantity of
spermatocyte and spermatids. Moreover, malondialdehyde (MDA) increased and thiol
protein (G-SH) decreased. But, co-administration of Aloe vera with BPA
accelerated the total antioxidant capacity and testicular tissue structure
healing. CONCLUSIONS: According to our findings, Aloe vera gel extract can
overcome the damaging effects of BPA on the reproductive system of rats and
protects rats' testes against BPA-induced toxicity.
PMID- 29633605
TI - COMPARE CPM-RMI Trial: Intramyocardial Transplantation of Autologous Bone Marrow
Derived CD133+ Cells and MNCs during CABG in Patients with Recent MI: A Phase
II/III, Multicenter, Placebo-Controlled, Randomized, Double-Blind Clinical Trial.
AB - OBJECTIVES: The regenerative potential of bone marrow-derived mononuclear cells
(MNCs) and CD133+ stem cells in the heart varies in terms of their pro-angiogenic
effects. This phase II/III, multicenter and double-blind trial is designed to
compare the functional effects of intramyocardial autologous transplantation of
both cell types and placebo in patients with recent myocardial infarction (RMI)
post-coronary artery bypass graft. MATERIALS AND METHODS: This was a phase
II/III, randomized, double-blind, placebo-controlled trial COMPARE CPM-RMI
(CD133, Placebo, MNCs - recent myocardial infarction) conducted in accordance
with the Declaration of Helsinki that assessed the safety and efficacy of CD133
and MNCs compared to placebo in patients with RMI. We randomly assigned 77
eligible RMI patients selected from 5 hospitals to receive CD133+ cells, MNC, or
a placebo. Patients underwent gated single photon emission computed tomography
assessments at 6 and 18 months post-intramyocardial transplantation. We tested
the normally distributed efficacy outcomes with a mixed analysis of variance
model that used the entire data set of baseline and between-group comparisons as
well as within subject (time) and group*time interaction terms. RESULTS: There
were no related serious adverse events reported. The intramyocardial
transplantation of both cell types increased left ventricular ejection fraction
by 9% [95% confidence intervals (CI): 2.14% to 15.78%, P=0.01] and improved
decreased systolic wall thickening by -3.7 (95% CI: -7.07 to -0.42, P=0.03). The
CD133 group showed significantly decreased non-viable segments by 75% (P=0.001)
compared to the placebo and 60% (P=0.01) compared to the MNC group. We observed
this improvement at both the 6- and 18-month time points. CONCLUSIONS:
Intramyocardial injections of CD133+ cells or MNCs appeared to be safe and
efficient with superiority of CD133+ cells for patients with RMI. Although the
sample size precluded a definitive statement about clinical outcomes, these
results have provided the basis for larger studies to confirm definitive evidence
about the efficacy of these cell types (Registration Number: NCT01167751).
PMID- 29633607
TI - Identification of A Novel Compound Heterozygous Mutation in BBS12 in An Iranian
Family with Bardet-Biedl Syndrome Using Targeted Next Generation Sequencing.
AB - Bardet-Biedl syndrome (BBS) is a pleiotropic and multisystemic disorder
characterized by rod-cone dystrophy, polydactyly, learning difficulties, renal
abnormalities, obesity and hypogonadism. This disorder is genetically
heterogeneous. Until now, a total of nineteen genes have been identified for BBS
whose mutations explain more than 80% of diagnosed cases. Recently, the
development of next generation sequencing (NGS) technology has accelerated
mutation screening of target genes, resulting in lower cost and less time
consumption. Here, we screened the most common BBS genes (BBS1-BBS13) using NGS
in an Iranian family of a proposita displaying symptoms of BBS. Among the 18
mutations identified in the proposita, one (BBS12 c.56T>G and BBS12 c.1156C>T)
was novel. This compound heterozygosity was confirmed by Sanger sequencing in the
proposita and her parents. Although our data were presented as a case report,
however, we suggest a new probable genetic mechanism other than the conventional
autosomal recessive inheritance of BBS. Additionally, given that in some Iranian
provinces, like Khuzestan, consanguineous marriages are common, designing
mutational panels for genetic diseases is strongly recommended, especially for
those with an autosomal recessive inheritance pattern.
PMID- 29633608
TI - Identification of A Novel Missense Mutation in The Norrie Disease Gene: The First
Molecular Genetic Analysis and Prenatal Diagnosis of Norrie Disease in An Iranian
Family.
AB - Norrie disease (ND) is a rare X-linked recessive disorder, which is characterized
by congenital blindness and, in several cases, accompanied with mental
retardation and deafness. ND is caused by mutations in NDP, located on the
proximal short arm of the X chromosome (Xp11.3). The disease has been observed in
many ethnic groups worldwide, however, no such case has been reported from Iran.
In this study, we present the molecular analysis of two patients with ND and the
subsequent prenatal diagnosis. Screening of NDP identified a hemizygous missense
mutation (p.Ser133Cys) in the affected male siblings of the family. The mother
was the carrier for the mutation (p.Ser133Cys). In a subsequent chorionic
amniotic pregnancy, we carried out prenatal diagnosis by sequencing NDP in the
chorionic villi sample at 11 weeks of gestation. The fetus was carrying the
mutation and thus unaffected. This is the first mutation report and prenatal
diagnosis of an Iranian family with ND, and highlights the importance of prenatal
diagnostic screening of this congenital disorder and relevant genetic counseling.
PMID- 29633609
TI - Method using in vivo quantitative spectroscopy to guide design and optimization
of low-cost, compact clinical imaging devices: emulation and evaluation of
multispectral imaging systems.
AB - With recent proliferation in compact and/or low-cost clinical multispectral
imaging approaches and commercially available components, questions remain
whether they adequately capture the requisite spectral content of their
applications. We present a method to emulate the spectral range and resolution of
a variety of multispectral imagers, based on in-vivo data acquired from spatial
frequency domain spectroscopy (SFDS). This approach simulates spectral responses
over 400 to 1100 nm. Comparing emulated data with full SFDS spectra of in-vivo
tissue affords the opportunity to evaluate whether the sparse spectral content of
these imagers can (1) account for all sources of optical contrast present
(completeness) and (2) robustly separate and quantify sources of optical contrast
(crosstalk). We validate the approach over a range of tissue-simulating phantoms,
comparing the SFDS-based emulated spectra against measurements from an
independently characterized multispectral imager. Emulated results match the
imager across all phantoms (<3 % absorption, <1 % reduced scattering). In
vivo test cases (burn wounds and photoaging) illustrate how SFDS can be used to
evaluate different multispectral imagers. This approach provides an in-vivo
measurement method to evaluate the performance of multispectral imagers specific
to their targeted clinical applications and can assist in the design and
optimization of new spectral imaging devices.
PMID- 29633611
TI - Microfluidic Print-to-Synthesis Platform for Efficient Preparation and Screening
of Combinatorial Peptide Microarrays.
AB - In this paper, we introduce a novel microfluidic combinatorial synthesis
platform, referred to as Microfluidic Print-to-Synthesis (MPS), for custom high
throughput and automated synthesis of a large number of unique peptides in a
microarray format. The MPS method utilizes standard Fmoc chemistry to link amino
acids on a polyethylene glycol (PEG)-functionalized microdisc array. The
resulting peptide microarrays permit rapid screening for interactions with
molecular targets or live cells, with low nonspecific binding. Such combinatorial
peptide microarrays can be reliably prepared at a spot size of 200 MUm with 1 mm
center-to-center distance, dimensions that require only minimal reagent
consumption (less than 30 nL per spot per coupling reaction). The MPS platform
has a scalable design for extended multiplexibility, allowing for 12 different
building blocks and coupling reagents to be dispensed in one microfluidic
cartridge in the current format, and could be further scaled up. As proof of
concept for the MPS platform, we designed and constructed a focused tetrapeptide
library featuring 2560 synthetic peptide sequences, capped at the N-terminus with
4-[( N'-2-methylphenyl)ureido]phenylacetic acid. We then used live human T
lymphocyte Jurkat cells as a probe to screen the peptide microarrays for their
interaction with alpha4beta1 integrin overexpressed and activated on these cells.
Unlike the one-bead-one-compound approach that requires subsequent decoding of
positive beads, each spot in the MPS array is spatially addressable. Therefore,
this platform is an ideal tool for rapid optimization of lead compounds found in
nature or discovered from diverse combinatorial libraries, using either
biochemical or cell-based assays.
PMID- 29633610
TI - Pancreatic cancer cell detection by targeted lipid microbubbles and multiphoton
imaging.
AB - Surgical resection of pancreatic cancer represents the only chance of cure and
long-term survival in this common disease. Unfortunately, determination of a
cancer-free margin at surgery is based on one or two tiny frozen section
biopsies, which is far from ideal. Not surprisingly, cancer is usually left
behind and is responsible for metastatic disease. We demonstrate a method of
receptor-targeted imaging using peptide ligands, lipid microbubbles, and
multiphoton microscopy that could lead to a fast and accurate way of examining
the entire cut surface during surgery. Using a plectin-targeted microbubble, we
performed a blinded in-vitro study to demonstrate avid binding of targeted
microbubbles to pancreatic cancer cells but not noncancerous cell lines. Further
work should lead to a much-needed point-of-care diagnostic test for determining
clean margins in oncologic surgery.
PMID- 29633612
TI - Low-Temperature Presynthesized Crystalline Tin Oxide for Efficient Flexible
Perovskite Solar Cells and Modules.
AB - Organic-inorganic metal halide perovskite solar cells (PSCs) have been emerging
as one of the most promising next generation photovoltaic technologies with a
breakthrough power conversion efficiency (PCE) over 22%. However, aiming for
commercialization, it still encounters challenges for the large-scale module
fabrication, especially for flexible devices which have attracted intensive
attention recently. Low-temperature processed high-performance electron
transporting layers (ETLs) are still difficult. Herein, we present a facile low
temperature synthesis of crystalline SnO2 nanocrystals (NCs) as efficient ETLs
for flexible PSCs including modules. Through thermal and UV-ozone treatments of
the SnO2 ETLs, the electron transporting resistance of the ETLs and the charge
recombination at the interface of ETL/perovskite were decreased. Thus, the
hysteresis-free highly efficient rigid and flexible PSCs were obtained with PCEs
of 19.20 and 16.47%, respectively. Finally, a 5 * 5 cm2 flexible PSC module with
a PCE of 12.31% (12.22% for forward scan and 12.40% for reverse scan) was
fabricated with the optimized perovskite/ETL interface. Thus, employing
presynthesized SnO2 NCs to fabricate ETLs has showed promising for future
manufacturing.
PMID- 29633613
TI - Enhanced Purification Efficiency and Thermal Tolerance of Thermoanaerobacterium
aotearoense beta-Xylosidase through Aggregation Triggered by Short Peptides.
AB - To simplify purification and improve heat tolerance of a thermostable beta
xylosidase (ThXylC), a short ELK16 peptide was attached to its C-terminus, which
is designated as ThXylC-ELK. Wild-type ThXylC was normally expressed in soluble
form. However, ThXylC-ELK assembled into aggregates with 98.6% of total beta
xylosidase activity. After simple centrifugation and buffer washing, the ThXylC
ELK particles were collected with 92.57% activity recovery and 95% purity,
respectively. Meanwhile, the wild-type ThXylC recovery yield was less than 55%
after heat inactivation, affinity and desalting chromatography followed by HRV 3C
protease cleavage purification. Catalytic efficiency ( Kcat/ Km) was increased
from 21.31 mM-1 s-1 for ThXylC to 32.19 mM-1 s-1 for ThXylC-ELK accompanied by a
small increase in Km value. Heat tolerance of ThXylC-ELK at high temperatures was
also increased. The ELK16 peptide attachment resulted in 6.2-fold increase of
half-life at 65 degrees C. Released reducing sugars were raised 1.3-fold during
sugar cane bagasse hydrolysis when ThXylC-ELK was supplemented into the
combination of XynADeltaSLH and Cellic CTec2.
PMID- 29633614
TI - MnO2 Motor: A Prospective Cancer-Starving Therapy Promoter.
AB - Here, a tumor-targeted MnO2 motor nanosystem (designed as MG/HA) was constructed
by the assembly of glucose oxidase (GOD), manganese dioxide (MnO2), and
glycoprotein CD44-targeting polymer hyaluronic acid (HA) to elevate cancer
starving therapy efficacy in solid tumor. Upon the specific uptake of MG/HA by
CD44 overexpressed cancer cells, GOD catalyzed the oxidation of glucose into
gluconic acid and hydrogen peroxide (H2O2) accompanying the consumption of oxygen
(O2). Meanwhile, MnO2 would react with H2O2 and acid to generate O2, which is in
turn supplied to the glucose-depletion process, running like a loop. As a result,
MnO2 is displayed as a motor to promote the rate of glucose depletion that
contributed to the starving therapy. In contrast to G/HA, MG/HA could not only
achieve effective glucose consumption to depress cancer progression, but also
alleviate hypoxia and reduce the expression of Glut1 to inhibit the metabolism
for further restraining the tumor aggressiveness and metastasis. The concept of
MnO2 motor shows a promising prospect to overcome the restriction of the starving
therapy.
PMID- 29633615
TI - Evidence of Enhanced Carrier Collection in Cu(In,Ga)Se2 Grain Boundaries:
Correlation with Microstructure.
AB - Solar cells containing a polycrystalline Cu(In,Ga)Se2 absorber outperform the
ones containing a monocrystalline absorber, showing a record efficiency of 22.9%.
However, the grain boundaries (GBs) are very often considered to be partly
responsible for the enhanced recombination activity in the cell and thus cannot
explain the registered record efficiency. Therefore, in the present work, we
resolve this conundrum by performing correlative electron beam-induced current
electron backscatter diffraction investigations on more than 700 grain boundaries
and demonstrating that 58% of the grain boundaries exhibit an enhanced carrier
collection compared to the grain interior. Enhanced carrier collection thus
indicates that GBs are beneficial for the device performance. Moreover, 27% of
the grain boundaries are neutral and 15% are recombination-active. Correlation
with microstructure shows that most of the ?3 GBs are neutral, whereas the random
high-angle grain boundaries are either beneficial or detrimental. Enhanced
carrier collection observed for a big fraction of high-angle grain boundaries
supports the "type-inversion" model and hence the downward band bending at GBs.
The decrease in current collection observed at one of the high-angle grain
boundaries is explained by Cu being enriched at this GB and hence by the upward
shift of the valence band maximum.
PMID- 29633616
TI - In Vitro Model To Assess Arsenic Bioaccessibility and Speciation in Cooked
Shrimp.
AB - Shrimp, a popular and readily consumed seafood, contains high concentrations of
arsenic. However, few studies have focused on whether arsenic in the shrimp could
be transformed during the cooking process and gastrointestinal digestion. In this
study, a combined in vitro model [Unified Bioaccessibility Research Group of
Europe (BARGE) Method-Simulator of Human Intestinal Microbial Ecosystem (UBM
SHIME)] was used to investigate arsenic bioaccessibility and its speciation in
raw and cooked shrimps. The results showed that the cooking practices had little
effect on the arsenic content and speciation. Bioaccessibility of arsenic in raw
shrimp was at a high level, averaging 76.9 +/- 4.28 and 86.7 +/- 3.74% in gastric
and small intestinal phases, respectively. Arsenic speciation was stable in all
of the shrimp digestions, with nontoxic arsenobetaine (AsB) being the dominated
speciation. The cooking practice significantly increased the bioaccessibility of
arsenate ( p < 0.05) in shrimp digests, indicating the increase of the potential
health risks.
PMID- 29633617
TI - MptriA, an Acetyltransferase Gene Involved in Pigment Biosynthesis in M.
purpureus YY-1.
AB - Monascus pigments (Mps) have been used as food colorants for several centuries in
Asian countries. MptriA is a putative acetyltransferase gene involved in the MPs
biosynthesis. To analyze the function of MptriA, an MptriA disruption strain
(Delta MptriA) and a complementation strain (Delta MptriA:: MptriA) were
successfully obtained In addition to the loss of color, the disruption of MptriA
had little effect on the phenotypes during growth on four different media. The
Delta MptriA strain showed decreased pigment and citrinin production during the
liquid-fermentation process. Transcriptional analysis showed that the expression
of several genes involved in the synthesis of pigments and citrinin was down
regulated in Delta MptriA. These results demonstrated that the role of MptriA was
to transfer an acyl group to the pyranoquinone structure of the polyketide
chromophore during Monascus pigment biosynthesis and to influence the citrinin
biosynthesis pathway. This study contributes to the exploration of pigment
biosynthesis in M. purpureus.
PMID- 29633618
TI - Self-Healing Phase Change Salogels with Tunable Gelation Temperature.
AB - Chemically cross-linked polymer matrices have demonstrated strong potential for
shape stabilization of molten phase change materials (PCM). However, they are not
designed to be fillable and removable from a heat exchange module for an easy
replacement with new PCM matrices and lack self-healing capability. Here, a new
category of shapeable, self-healing gels, "salogels", is introduced. The salogels
reversibly disassemble in a high-salinity environment of a fluid inorganic PCM
[lithium nitrate trihydrate (LNH)], at a preprogrammed temperature. LNH was
employed as a high latent heat PCM and simultaneously as a solvent, which
supported the formation of a network of polyvinyl alcohol (PVA) chains via
physical cross-linking through poly(amidoamine) dendrimers of various
generations. The existence of hydrogen bonding and the importance of low
hydration state of PVA for the efficient gelation were experimentally confirmed.
The thermal behavior of PCM salogels was highly reversible and repeatable during
multiple heating/cooling cycles. Importantly, the gel-sol transition temperature
could be precisely controlled within a range of temperature above LNH's melting
point by the choice of dendrimer generation and their concentration. Shape
stabilization and self-healing properties of the salogels, taken together with
tunability of their temperature-induced fluidization make these materials
attractive for thermal energy storage applications that require on-demand removal
and replacement of used inorganic PCM salt hydrates.
PMID- 29633619
TI - [Applicability of non-invasive imaging methods in forensic medicine and forensic
anthropology in particular].
AB - Massive progress in developing even more precise imaging modalities influenced
all medical branches including the forensic medicine. In forensic anthropology,
an inevitable part of forensic medicine itself, the use of all imaging modalities
becomes even more important. Despite of acquiring more accurate informations
about the deceased, all of them can be used in the process of identification
and/or age estimation. X - ray imaging is most commonly used in detecting foreign
bodies or various pathological changes of the deceased. Computed tomography, on
the other hand, can be very helpful in the process of identification, whereas
outcomes of this examination can be used for virtual reconstruction of living
objects. Magnetic resonance imaging offers new opportunities in detecting
cardiovascular pathological processes or develompental anomalies. Ultrasonography
provides promising results in age estimation of living subjects without excessive
doses of radiation. Processing the latest information sources available, authors
introduce the application examples of X - ray imaging, computed tomography,
magnetic resonance imaging and ultrasonography in everyday forensic medicine
routine, with particular focusing on forensic anthropology.
PMID- 29633620
TI - [Deaths of people in the mountainous territory of High Tatras].
AB - Authors Poprad, since the establishment of the Department of Forensic Medicine in
Poprad in 1991 up to now. As mountainous environment is considered an area above
the border of Tatranska magistralna (a tourist footpath which leads across the
High Tatras and partially Western Tatras). The file discusses the causes and
mechanisms of death and their causal relationships, shows the nationality, age,
gender or place of death of deceased people, amounts of deaths in the months of
year and also in the days of week. Some results are shown in the graphs, the
percentage results are described in a text. Valuable and complete results were
reached only in cases with describe statistically a group of people who died in
the mountainous environment of the High and Belianske Tatras for the last 25
years and who were dissected on the Department of Forensic Medicine in complete
documentation (and also after autopsy, not every case of death was autopsied). At
the same time, the authors describe the system of cooperation between the
Department of Forensic medicine and Pathological Anatomy of Health care
surveillance Poprad with Mountain rescue components and the Police force of
Slovak Republic, operating in the territory of the High and Belianske Tatras.
These components help us to gain information about the case, which are not
usually known during the first inspection of dead body in a mortuary or at the
moment of an autopsy (hikers and climbers are often alone in the mountains, so
their accidents are without witnesses).
PMID- 29633621
TI - Omega-3 polyunsaturated fatty acids-enriched hen eggs consumption enhances
microvascular reactivity in young healthy individuals.
AB - The beneficial effect of omega-3 polyunsaturated fatty acids (PUFA)
supplementation on the cardiovascular (CV) system is well supported in CV
patients; however, the effect of the consumption of omega-3 PUFA-enriched
functional food in healthy individuals is still not fully elucidated. This study
aimed to determine the effect of the consumption of omega-3 PUFA-enriched hen
eggs on the microvascular reactivity (primary outcome), blood pressure (BP), and
serum lipid profile in young healthy individuals. The control group (N = 16) ate
3 ordinary hen eggs (277 mg of omega-3 PUFAs/day), and the OMEGA-3 group (N = 20)
ate 3 omega-3 PUFA-enriched eggs containing 259 mg of omega-3 PUFAs/egg daily
(alpha-linolenic acid (ALA), 167 mg/egg; eicosapentaenoic acid (EPA), 7 mg/egg;
docosahexaenoic acid (DHA), 84 mg/egg) for 3 weeks (777 mg of omega-3 PUFA/day).
Postocclusive reactive hyperemia (PORH) in skin microcirculation assessed by
laser Doppler flowmetry, serum lipid profile, fasting blood glucose, high
sensitivity C-reactive protein (hsCRP), and arterial BP were measured in all
subjects before and after the protocol. PORH was significantly enhanced, and
triglycerides, hsCRP, and BP were significantly decreased in the OMEGA-3 group
compared with baseline measurements, whereas there was no significant difference
in the control group after the protocol when compared with baseline. To the best
of our knowledge, this is the first study to demonstrate that consumption of a
mixture of omega-3 PUFA (ALA + EPA + DHA), provided via enriched hen eggs,
elicits changes in the microvascular reactivity, BP, and triglyceride level in
healthy subjects that are associated with CV benefits, thus suggesting that daily
consumption of omega-3 PUFA-enriched eggs in healthy individuals may potentially
contribute to CV risk factor attenuation and disease prevention.
PMID- 29633622
TI - Next-generation sequencing showing potential leachate influence on bacterial
communities around a landfill in China.
AB - The impact of contaminated leachate on groundwater from landfills is well known,
but the specific effects on bacterial consortia are less well-studied. Bacterial
communities in a landfill and an urban site located in Suzhou, China, were
studied using Illumina high-throughput sequencing. A total of 153 944 good
quality reads were produced and sequences assigned to 6388 operational taxonomic
units. Bacterial consortia consisted of up to 16 phyla, including Proteobacteria
(31.9%-94.9% at landfill, 25.1%-43.3% at urban sites), Actinobacteria (0%-28.7%
at landfill, 9.9%-34.3% at urban sites), Bacteroidetes (1.4%-25.6% at landfill,
5.6%-7.8% at urban sites), Chloroflexi (0.4%-26.5% at urban sites only), and
unclassified bacteria. Pseudomonas was the dominant (67%-93%) genus in landfill
leachate. Arsenic concentrations in landfill raw leachate (RL) (1.11 * 103 MUg/L)
and fresh leachate (FL2) (1.78 * 103 MUg/L) and mercury concentrations in RL
(10.9 MUg/L) and FL2 (7.37 MUg/L) exceeded Chinese State Environmental Protection
Administration standards for leachate in landfills. The Shannon diversity index
and Chao1 richness estimate showed RL and FL2 lacked richness and diversity when
compared with other samples. This is consistent with stresses imposed by elevated
arsenic and mercury and has implications for ecological site remediation by
bioremediation or natural attenuation.
PMID- 29633623
TI - Cystamine slows but not inverses the progression of monocrotaline-induced
pulmonary arterial hypertension in rats.
AB - Tissue transglutaminase (TG2) plays an important role in pulmonary arterial
hypertension (PAH). Previous research indicate that TG2 and protein
serotonylation catalyzed by TG2 are upregulated in PAH. Serotonin transporter
inhibitor fluoxetine ameliorates PAH via inhibition of protein serotonylation. It
is still unknown whether PAH is inhibited through direct inhibition of TG2.
Therefore, the present study aimed to investigate the effects of TG2 inhibitor
cystamine on monocrotaline-induced PAH in rats. Rats were treated with
monocrotaline (60 mg.kg-1, i.p.) in combination with or without cystamine (20, 40
mg.kg-1.day-1, p.o.). The results showed that compared with monocrotaline alone,
combination of monocrotaline with cystamine (40 mg.kg-1.day-1, p.o.) relieved
right ventricle hypertrophy, inhibited pulmonary arteriolar remodeling, and
downregulated protein expression of TG2, phosphorylated protein kinase B (Akt),
and extracellular regulated protein kinase (ERK) at day 21. However, except for
TG2 expression, these changes were not significantly inhibited by cystamine at
day 35. In addition, cystamine dose-dependently enhanced the survival rate of
rats injected with monocrotaline at day 35. The findings suggest that cystamine
slows but not reverses monocrotaline-induced PAH in rats, which was largely
associated with the inhibition of TG2 protein expression and Akt and ERK
activation.
PMID- 29633624
TI - Imidazolines increase the levels of the autophagosomal marker LC3-II in
macrophage-like RAW264.7 cells.
AB - This study evaluated whether imidazolines can induce autophagy in the murine
macrophage-like cell line RAW264.7. Idazoxan increased the content of LC3-II, an
autophagosomal marker, in RAW264.7 cells. To determine whether this effect was
due to the induction of its synthesis or inhibition of its degradation, idazoxan
treatment was performed in the presence of bafilomycin A1, which blocks
autophagosome-lysosome fusion, as well as Pepstatin A and E-64d, both of which
block protein degradation in autolysosomes. An increased content of LC3-II was
observed in the presence of bafilomycin A1 as well as the protease inhibitors.
Furthermore, an increased number of autophagosomes was observed following
idazoxan treatment using an autophagosome-specific dye. This indicated that
idazoxan induced autophagy. Other imidazolines, such as efaroxan, clonidine, and
2-(2-benzofuranyl)-2-imidazoline, also increased the LC3-II content in RAW264.7
cells in the presence of bafilomycin A1. Taken together, these results indicate
that some imidazolines, including idazoxan, can induce autophagy in RAW264.7
cells.
PMID- 29633625
TI - Abundance of the arbuscular mycorrhizal fungal taxa associated with the roots and
rhizosphere soil of different durum wheat cultivars in the Canadian prairies.
AB - Understanding the variation in how wheat genotypes shape their arbuscular
mycorrhizal (AM) fungal communities in a prairie environment is foundational to
breeding for enhanced AM fungi-wheat interactions. The AM fungal communities
associated with 32 durum wheat genotypes were described by pyrosequencing of
amplicons. The experiment was set up at two locations in the Canadian prairies.
The intensively managed site was highly dominated by Funneliformis. Genotype
influenced the AM fungal community in the rhizosphere soil, but there was no
evidence of a differential genotype effect on the AM fungal community of durum
wheat roots. The influence of durum wheat genotype on the AM fungal community of
the soil was less important at the intensively managed site. Certain durum wheat
genotypes, such as Strongfield, Plenty, and CDC Verona, were associated with high
abundance of Paraglomus, and Dominikia was undetected in the rhizosphere of the
recent cultivars Enterprise, Eurostar, Commander, and Brigade. Genetic variation
in the association of durum wheat with AM fungi suggests the possibility of
increasing the sustainability of cropping systems through the use of durum wheat
genotypes that select highly effective AM fungal taxa residing in the
agricultural soils of the Canadian prairies.
PMID- 29633626
TI - The effects of adenine nucleotide perfusion on interstitial adenosine production
in rat skeletal muscle.
AB - The purpose of the present study was to utilize the microdialysis technique in
rat skeletal muscle to perfuse varying concentrations of AMP, ADP, and ATP into
the interstitium to examine the effects that these adenine nucleotides have on
the production of adenosine in the interstitial space. Interstitial adenosine
production appears to be related to the type (ATP, ADP, or AMP) and concentration
(2-60 MUmol/L) of the adenine nucleotide perfused. Interstitial adenosine levels
increased (P < 0.05) from baseline (0.18 +/- 0.02 and 0.22 +/- 0.02 MUmol/L) to
0.23 +/- 0.02 and 0.41 +/- 0.05 MUmol/L following 5 and 30 MUmol/L AMP perfusion,
respectively. Similarly, perfusion with 30 MUmol/L ADP and 30, 40, and 60 MUmol/L
ATP resulted in an increase (P < 0.05) in interstitial adenosine concentration
from baseline (0.25 +/- 0.02, 0.26 +/- 0.02, 0.19 +/- 0.03, and 0.14 +/- 0.02
MUmol/L) to 0.30 +/- 0.02, 0.32 +/- 0.02, 0.36 +/- 0.04, and 0.33 +/- 0.04
MUmol/L, respectively. Interestingly, the most prominent increase in interstitial
adenosine production occurred during the perfusion of 60 MUmol/L ATP (126%
increase from baseline). These data strongly suggest that interstitial ATP may
play a more potent role in stimulating interstitial adenosine production as
compared with ADP or AMP. In addition, interstitial adenosine production can
occur independent of muscle contraction (voluntary or involuntary) or hypoxia
when adequate concentrations of adenine nucleotides are available.
PMID- 29633627
TI - Cardiotoxicity of beta-mimetic catecholamines during ontogenetic development -
possible risks of antenatal therapy.
AB - Catecholamines are involved in the regulation of a wide variety of vital
functions. The beta-adrenergic receptor (beta-AR) - adenylyl cyclase system has
been identified early in embryogenesis before the heart has received adrenergic
innervation. The structure of beta-receptors in the immature myocardium is
similar to that in adults; there are, however, significant quantitative
developmental changes in the inotropic and chronotropic responsiveness.
Information on the toxic effect of the beta-AR agonists in the immature heart is
surprisingly scarce, even though these agents are used in clinical practice both
during pregnancy and in early postnatal development. Large doses of beta-AR
agonists induce malformations of the cardiovascular system; the type of change
depends upon the time at which the beta-AR agonist was administered during
embryogenesis. During postnatal ontogeny, the cardiotoxicity of beta-AR agonists
increased from birth to adulthood. It seems likely that despite interspecies
differences, developmental changes in the cardiac sensitivity to beta-AR agonists
may exist in all mammals, depending on the degree of maturation of the system
involved in beta-adrenergic signaling. All the existing data draw attention to
the possible harmful consequences of the clinical use of beta-AR agonists during
early phases of cardiac development. Late effects of the early disturbances of
the cardiac muscle cannot be excluded.
PMID- 29633629
TI - Letter to the Editor: re Heo et al. (SMMR, November 9 2017).
PMID- 29633628
TI - 17beta-Estradiol treatment reversed left ventricular dysfunction in castrated
male rats: an echocardiographic study.
AB - No data are available on heart function in chronic testosterone deficiency and on
the effect of estrogen treatment. Eighteen 4-week-old male Lewis rats were
randomly divided into 3 groups (n = 6): 1 group of sham-operated rats and 2
groups of castrated rats. Sixty-six weeks after surgery, 1 castrated group
received a dose of 17beta-estradiol (10 MUg/kg per day) and the remaining 2
groups received a placebo subcutaneously for 14 days. Left ventricular (LV)
systolic and diastolic functions were measured by transthoracic echocardiography.
Castration decreased LV ejection fraction (9%) and fractional shortening (15%)
and deteriorated LV diastolic function (94%). 17beta-Estradiol treatment
increased LV ejection fraction (15%) and fractional shortening (31%) and improved
LV diastolic function (48%). Plasma testosterone concentrations were decreased in
both castrated groups. In conclusion, chronic testosterone deficiency induced LV
systolic and diastolic dysfunction; these disorders were reversed by short-term
treatment with 17beta-estradiol.
PMID- 29633630
TI - Reply to Walter et al.'s letter to the editor.
PMID- 29633631
TI - Potentiation of the humoral immune response elicited by a commercial vaccine
against bovine respiratory disease by Enterococcus faecalis CECT7121.
AB - Vaccination against pathogens involved in bovine respiratory disease (BRD) is a
useful tool to reduce the risk of this disease however, it has been observed that
the commercially available vaccines only partially prevent the infections caused
by Pasteurella multocida and Mannheimia haemolytica. Therefore, it is recommended
to search for new adjuvant strategies to minimise the economic impact of this
respiratory syndrome. A possibility to improve the conventional vaccine response
is to modulate the immune system with probiotics, since there is accumulating
evidence that certain immunomodulatory strains administered around the time of
vaccination can potentiate the immune response. Considering veterinary vaccines
are frequently tested in murine models, we have developed an immunisation
schedule in BALB/c mice that allows us to study the immune response elicited by
BRD vaccine. In order to evaluate a potential strategy to enhance vaccine
efficacy, the adjuvant effect of Enterococcus faecalis CECT7121 on the murine
specific humoral immune response elicited by a commercial vaccine against BRD was
studied. Results indicate that the intragastric administration of E. faecalis
CECT7121 was able to induce an increase in the specific antibody titres against
the bacterial components of the BRD vaccines (P. multocida and M. haemolytica).
The quality of the humoral immune response, in terms of antibody avidity, was
also improved. Regarding the cellular immune response, although the BRD
vaccination induced a low specific secretion of cytokines in the spleen cell
culture supernatants, E. faecalis CECT7121-treated mice showed higher interferon
gamma production than immunised control mice. Our results allowed us to conclude
that the administration of E. faecalis CECT7121 could be employed as an adjuvant
strategy to potentiate humoral immune responses.
PMID- 29633632
TI - Oral administration of Simbioflora(r) (synbiotic) attenuates intestinal damage in
a mouse model of 5-fluorouracil-induced mucositis.
AB - The use of probiotics to prevent or treat mucosal inflammation has been studied;
however, the combined effect of probiotics and prebiotics is unclear. The aim of
this study was to test whether oral administration of a synbiotic
(Simbioflora(r)) preparation containing Lactobacillus paracasei, Lactobacillus
rhamnosus, Lactobacillus acidophilus and Bifidobacterium lactis plus
fructooligosaccharide could help control mucosal inflammation in experimental
mucositis induced by 5-fluorouracil (5-FU). Male BALB/c mice were randomly
divided into six groups: control (CTL), control + prebiotic (CTL+P), control +
synbiotic (CTL+S), mucositis (MUC), mucositis + prebiotic (MUC+P), and mucositis
+ synbiotic (MUC+S). Mice from the CTL+S, MUC+S, CTL+P, and MUC+P groups received
synbiotic or prebiotic daily by oral gavage for 13 days. Mice in the CTL and MUC
groups received the same volume of saline. On day 11, mice in the MUC, MUC+P, and
MUC+S groups received an intraperitoneal injection of 300 mg/kg 5-FU to induce
mucositis. After 72 h, all mice were euthanised. Intestinal permeability,
intestinal histology, and biochemical parameters were analysed. Group MUC showed
a greater weight loss and increased intestinal permeability (0.020 counts per min
[cpm]/g) compared to the CTL group (0.01 cpm/g) P<0.05. Both treatments
attenuated weight loss compared to the MUC group. Nonetheless, the synbiotic
caused a greater reduction in intestinal permeability (0.012 cpm/g) compared to
the MUC (0.020 cpm/g) and MUC+P (0.016 cpm/g) groups P<0.05. Mice in groups MUC+P
and MUC+S displayed significant recovery of lesions and maintenance of the mucus
layer. There were no differences in the short-chain fatty acid concentrations in
the faeces between the MUC and CTL groups (P>0.05). Increased acetate and
propionate concentrations were evidenced in the faeces of the MUC+P and MUC+S
groups. Only the synbiotic treatment increased the butyrate concentration
(P<0.05). The results indicate that administration of synbiotic can decrease
mucosal damage caused by mucositis.
PMID- 29633633
TI - Lactobacillus fermentum UCO-979C strongly inhibited Helicobacter pylori SS1 in
Meriones unguiculatus.
AB - Searching for bacterial probiotics active upon Helicobacter pylori continue to be
an important clinical challenge because of the increased prevalence of this
highly priority pathogen in humans. In this work, we assess the in vivo anti-H.
pylori SS1 (cagA+/vacAs2m2+) properties of a previously isolated human gastric
probiotic strain Lactobacillus fermentum UCO-979C by using a Meriones
unguiculatus (Mongolian gerbil) model. Animals were administered with a saline
suspension of L. fermentum UCO-979C or H. pylori SS1 as negative and positive
control for H. pylori colonisation controls, prior to assayed the challenge group
that was administered with these two species per animal for detecting protective
activity of the probiotic strain against colonisation. The results showed that L.
fermentum UCO-979C strongly inhibited the colonisation of H. pylori decreasing up
to 87% of the colonisation in the antrum by the pathogen, suggesting that this
probiotic strain has a strong probiotic activity against H. pylori in the most
valuable animal model for in vivo assays nowadays.
PMID- 29633634
TI - Manipulation of intestinal dysbiosis by a bacterial mixture ameliorates
loperamide-induced constipation in rats.
AB - Constipation has a significant influence on quality of life. Patients with
constipation have slow waves in their gastrointestinal smooth muscles and less
faecal water contents, which are closely associated with down-regulation of the
interstitial cells of Cajal (ICC) in the gastrointestinal muscles and the
aquaporin protein AQP3 expressed in colon epithelial cells. Recent studies
supported that patients with constipation have altered intestinal microbial
structures compared with healthy controls. Intestinal dysbiosis might be one
possible pathophysiological mechanism causing constipation. Bacterial strains,
such as Lactobacillus spp., have shown many beneficial effects on the
amelioration of constipation. However, few studies reported the structural
changes of intestinal microbiota post-intervention of probiotics. In this study,
a bacterial mixture was administrated to rats with loperamide-induced
constipation. Effects of the bacterial mixture on small intestine transit (SIT),
faecal water content, and the intestinal microbiome in rats were evaluated.
Meanwhile, we investigated several factors involved in signalling pathways that
regulate function of ICC and expression of AQP3 to discuss the possible
underlying molecular mechanisms. Intervention of the bacterial mixture improved
SIT and faecal water content in constipated rats. The up-regulation of C-kit/SP
signalling pathways in ICC and AQP3 significantly contributed to improvements.
These changes were closely associated with the manipulation of intestinal
dysbiosis in constipated rats. Furthermore, our results revealed the important
role of intestinal microbiota in affecting gut motility through regulation of
serotonin biosynthesis. This monoamine neurotransmitter, secreted from
enterochromaffin cells, up-regulated both substance P/neurokinin 1 receptors
pathway of ICC and the expression of AQP3 in intestinal epithelial cells. Our
study suggested that the disrupted microbiome in patients could be a potential
therapeutic target for the improvement of constipation.
PMID- 29633635
TI - Prophylactic Bifidobacterium adolescentis ATTCC 15703 supplementation reduces
partially allergic airway disease in Balb/c but not in C57BL/6 mice.
AB - Allergic asthma is a chronic disease mainly characterised by eosinophil
inflammation and airway remodelling. Many studies have shown that the gut
microbiota of allergic individuals differs from that of non-allergic individuals.
Although high levels of bifidobacteria have been associated with healthy persons,
Bifidobacterium adolescentis ATCC 15703, a gut bacteria, has been associated with
allergic individuals in some clinical studies. The relationship between B.
adolescentis ATCC 15703 and asthma or allergies has not been well elucidated, and
its effect may be dependent on the host's genetic profile or disease state. To
elucidate this question, we evaluated the role of preventive B. adolescentis ATCC
15703 treatment on experimental allergic airway inflammation in two genetically
different mouse strains, Balb/c and C57BL/6 (B6). Balb/c mice display a greater
predisposition to develop allergic responses than B6 mice. Oral preventive
treatment with B. adolescentis ATCC 15703 modulated experimental allergic airway
inflammation, specifically in Balb/c mice, which showed decreased levels of
eosinophils in the airway. B6 mice did not exhibit any significant alterations in
eosinophils but showed an increased influx of total leukocytes and neutrophils
into the airway. The mechanism underlying the beneficial effects of these
bacteria in experimental allergic mice may involve products of bacteria
metabolism, as dead bacteria did not mimic the ability of live B. adolescentis
ATCC 15703 to attenuate the influx of eosinophils into the airway. To conclude,
preventive oral B. adolescentis ATCC 15703 treatment can attenuate the major
characteristic of allergic asthma, eosinophil airway influx, in Balb/c but not B6
mice. These results suggest that oral treatment with this specific live bacterial
strain may have therapeutic potential for the treatment of allergic airway
disease, although its effect is mouse-strain-dependent.
PMID- 29633636
TI - Krill oil, vitamin D and Lactobacillus reuteri cooperate to reduce gut
inflammation.
AB - Current research into original therapies to treat intestinal inflammation is
focusing on no-drug therapies. KLD is a mixture of krill oil (KO), probiotic
Lactobacillus reuteri (LR), and vitamin D (VitD3). The aim of this study was to
assess in vitro and in vivo the potential cooperative effects of KLD in reducing
gut inflammation. Colorectal adenocarcinoma cell lines, CACO2 and HT29, and
C57BL/6 mice were used for in vitro and in vivo analyses, respectively. Cells
were exposed to cytomix (interferon gamma + tumour necrosis factor alpha (TNF
alpha)) to induce inflammation or co-exposed to cytomix and KO, LR and VitD3
alone or to cytomix and KLD. Animals were treated for 7 days with dextran sodium
sulphate (DSS) to induce colitis or with DSS and KLD. In vitro assays: F-actin
expression was analysed by immunofluorescence; scratch test and trans-epithelial
electric resistance test were performed to measure wound healing;
adhesion/invasion assays of adhesive and invasive Escherichia coli (AIEC)
bacteria were made; mRNA expression of TNF-alpha, interleukin (IL)-8 and vitamin
D receptor (VDR) was detected by quantitative PCR. In vivo assays: body weight,
clinical score, histological score and large intestine weight and length were
estimated; mRNA expression of TNF-alpha, IL-1beta, IL-6, IL-10 by quantitative
PCR; VDR expression was detected by quantitative PCR and immunohistochemistry. In
vitro: KLD restores epithelial cell-cell adhesion and mucosal healing during
inflammation, while decreases the adhesiveness and invasiveness of AIEC bacteria
and TNF-alpha and IL-8 mRNA expression and increases VDR expression. In vivo: KLD
significantly improves body weight, clinical score, histological score and large
intestine length of mice with DSS-induced colitis and reduces TNF-alpha, IL-1beta
and IL-6 mRNA levels, while increases IL-10 mRNA and VDR levels. KLD has
significant effects on the intestinal mucosa, strongly decreasing inflammation,
increasing epithelial restitution and reducing pathogenicity of harmful commensal
bacteria.
PMID- 29633637
TI - Multifactorial inhibition of lactobacilli against the respiratory tract pathogen
Moraxella catarrhalis.
AB - Probiotics, mainly lactic acid bacteria (LAB), are widely focused on
gastrointestinal applications. However, recent microbiome studies indicate that
LAB can be endogenous members of other human body sites such as the upper
respiratory tract (URT). Interestingly, DNA-based microbiome research suggests an
inverse correlation between the presence of LAB and the occurrence of potential
pathogens, such as Moraxella catarrhalis, an important URT pathogen linked to
otitis media, sinusitis and chronic obstructive pulmonary disease. However, a
direct interaction between these microbes has not been explored in detail. This
study investigated the direct antipathogenic effects of Lactobacillus species,
including several well-documented probiotic strains, on M. catarrhalis using agar
based assays, time course analysis, biofilm assays and minimal inhibitory
concentration (MIC) testing. These assays were performed using spent culture
supernatans (SCS) at two pHs (4.3 and 7) and D- and/or L-lactic acid at three pHs
(2, 4 and 7). In addition, cell line assays for adhesion competition and
immunomodulation were used to substantiate the inhibitory effect of lactobacilli
against M. catarrhalis. A proportion of Lactobacillus strains, including the
model probiotic Lactobacillus rhamnosus GG, showed a strong and direct activity
against M. catarrhalis. Screening of the activity of the SCS after different
treatments demonstrated that lactic acid has an important antimicrobial activity
against this pathogen - at least in vitro - with mean MIC values for D- and L
lactic acid varying between 0.5 and 27 g/l depending on the pH. Furthermore, L.
rhamnosus GG also decreased the adhesion of M. catarrhalis to human airway
epithelial Calu-3 cells with more than 50%, and the expression of mucin MUC5AC,
pro-inflammatory cytokines interleukin (IL)-8, IL-1beta, and tumor necrosis
factor-alpha at least 1.2 fold. This study suggests that several lactobacilli and
their key metabolite lactic acid are possible candidates for probiotic
therapeutic interventions against URT infections.
PMID- 29633638
TI - Lipoteichoic acids are embedded in cell walls during logarithmic phase, but
exposed on membrane vesicles in Lactobacillus gasseri JCM 1131T.
AB - Lipoteichoic acid (LTA) is a cell surface molecule specific to Gram-positive
bacteria. How LTA localises on the cell surface is a fundamental issue in view of
recognition and immunomodulation in hosts. In the present study, we examined LTA
localisation using strain JCM 1131T of Lactobacillus gasseri, which is a human
intestinal lactic acid bacterium, during various growth phases by immunoelectron
microscopy. We first evaluated the specificity of anti-LTA monoclonal antibody
clone 55 used as a probe. The glycerophosphate backbone comprising almost intact
size (20 to 30 repeating units) of LTA was required for binding. The antibody did
not bind to other cellular components, including wall-teichoic acid.
Immunoelectron microscopy indicated that LTA was embedded in the cell wall during
the logarithmic phase, and was therefore not exposed on the cell surface. Similar
results were observed for Lactobacillus fermentum ATCC 9338 and Lactobacillus
rhamnosus ATCC 7469T. By contrast, membrane vesicles were observed in the
logarithmic phase of L. gasseri with LTA exposed on their surface. In the
stationary and death phases, LTA was exposed on cell wall-free cell membrane
generated by autolysis. The dramatic alternation of localisation in different
growth phases and exposure on the surface of membrane vesicles should relate with
complicated interaction between bacteria and host.
PMID- 29633639
TI - Stool and urine trefoil factor 3 levels: associations with symptoms, intestinal
permeability, and microbial diversity in irritable bowel syndrome.
AB - Previously we showed that urine trefoil factor 3 (TFF3) levels were higher in
females with irritable bowel syndrome (IBS) compared to non-IBS females. To
assess if TFF3 is associated with symptoms and/or reflect alterations in
gastrointestinal permeability and gut microbiota in an IBS population, we
correlated stool and urine TFF3 levels with IBS symptoms, intestinal
permeability, stool microbial diversity and relative abundance of predominant
bacterial families and genera. We also tested the relationship of stool TFF3 to
urine TFF3, and compared results based on hormone contraception use. Samples were
obtained from 93 females meeting Rome III IBS criteria and completing 4-week
symptom diaries. TFF3 levels were measured by ELISA. Permeability was assessed
with the urine lactulose/mannitol (L/M) ratio. Stool microbiota was assessed
using 16S rRNA. Stool TFF3, but not urine TFF3, was associated positively with
diarrhoea and loose stool consistency. Higher stool TFF3 was also associated with
lower L/M ratio and microbial diversity. Of the 20 most abundant bacterial
families Mogibacteriaceae and Christensenellaceae were inversely related to stool
TFF3, with only Christensenellaceae remaining significant after multiple
comparison adjustment. There were no significant relationships between stool or
urine TFF3 levels and other symptoms, nor between stool and urine levels. In
premenopausal females, urine TFF3 levels were higher in those reporting hormone
contraception. Collectively these results suggest that higher stool TFF3 levels
are associated with IBS symptoms (loose/diarrhoeal stools), lower gut
permeability, and altered stool bacteria composition (decreased diversity and
decreased Christensenellaceae), which further suggests that TFF3 may be an
important marker of host-bacteria interaction.
PMID- 29633640
TI - Probiotic properties of native Lactobacillus spp. strains for dairy calves.
AB - The use of native microorganisms with probiotic capacity is an alternative tool
for the treatment and prevention of several diseases that affect animals, such as
neonatal calf diarrhoea. The selection of probiotic strains within a collection
is based on different in vitro and in vivo assays, which predict their potential.
The aim of this study was to characterise a group of native Lactobacillus spp.
strains isolated from faeces of healthy calves using an in vitro approach and to
assess their ability to colonise the gastrointestinal tract (GIT) of calves.
Native Lactobacillus spp. strains were evaluated on their capacity to survive low
pH conditions and bile salts presence, biofilm formation and adhesion to both
mucus and Caco-2 cells. Based on the in vitro characterisation, four strains
(Lactobacillus johnsonii TP1.1, Lactobacillus reuteri TP1.3B, L. johnsonii TP1.6
and Lactobacillus amylovorus TP8.7) were selected to evaluate their capacity to
colonise and persist in the GIT of calves. The assessment of enteric persistence
involved an in vivo assay with oral administration of probiotics and
quantification in faeces of the administered bacterial species with real-time
quantitative PCR (qPCR). The study was conducted using 15 calves (1-month-old)
which were divided into five groups of three animals, four of which were treated
with four different selected strains and one was the control group. Strains
TP1.3B and TP1.6 managed to persist in treated animals until ten days after the
end of the administration period, indicating that they could be promising
candidates for the design of probiotics for calves.
PMID- 29633641
TI - Lactobacillus johnsonii N6.2 diminishes caspase-1 maturation in the
gastrointestinal system of diabetes prone rats.
AB - The cells of the gastrointestinal (GI) epithelium are the first to contact the
microbiota and food components. As a direct consequence of this, these cells are
the first line of defence and key players in priming the immune response. One of
the first responses against GI insults is the formation of the inflammasome, a
multiprotein complex assembled in response to environmental threats. The
formation of the inflammasome regulates caspase-1 by cleaving it into its active
form. Once activated, caspase-1 can cleave interleukin-1beta (IL-1beta), which
promotes adaptive and humoral immunity. Some strains, like Lactobacillus
johnsonii N6.2, are able to modulate the biosynthesis of important host
metabolites mediating inflammation. Of these metabolites are the pro-inflammatory
kynurenines. L. johnsonii N6.2 is able to downregulate kynurenines biosynthesis
via a redox active mechanism negatively affecting indoleamine 2,3-dioxygenase
activity. In this study, we evaluated the effects of L. johnsonii N6.2 combined
with the natural antioxidant and anti-inflammatory molecule rosmarinic acid (RA).
Inflammasome assembly and the kynurenine pathway were evaluated in GI samples of
BioBreeding diabetes-prone (BB-DP) rats. In this work, BB-DP rats were fed daily
with RA, L. johnsonii N6.2; or both combined. The transcriptional rate and
proteins levels of inflammasome and kynurenine pathway components in ileum tissue
were evaluated. Elevated levels of pro-caspase-1 were observed in rats fed with
L. johnsonii, while RA had no effect on pro-caspase-1 expression. Western blot
assays demonstrated that L. johnsonii fed rats showed lower levels of mature
caspase-1, when compared to the other treatments. Furthermore, IL-1beta
maturation followed a similar pattern across the treatments. Differences were
also observed between treatments in expression levels of key enzymes in the
kynurenine pathway. These findings support the role of L. johnsonii in modulating
the assembly of the inflammasome as well as some steps of the pro-inflammatory
kynurenine pathway.
PMID- 29633642
TI - A synbiotic mixture of scGOS/lcFOS and Bifidobacterium breve M-16V increases
faecal Bifidobacterium in healthy young children.
AB - Little is known about the impact of nutrition on toddler gut microbiota. The
plasticity of the toddler gut microbiota indicates that nutritional modulation
beyond infancy could potentially impact its maturation. The objective of this
study was to determine the effect of consuming Young Child Formula (YCF)
supplemented with short chain galactooligosaccharides and long chain
fructooligosaccharides (scGOS/lcFOS, ratio 9:1) and Bifidobacterium breve M-16V
on the development of the faecal microbiota in healthy toddlers. A cohort of 129
Thai children aged 1-3 years were included in a randomised controlled clinical
study. The children were assigned to receive either YCF with 0.95 g/100 ml of
scGOS/lcFOS and 1.8*107 cfu/g of B. breve M-16V (Active-YCF) or Control-YCF for
12 weeks. The composition and metabolic activity of the faecal microbiota, and
the level of secretory immunoglobulin A were determined in the stool samples. The
consumption of Active-YCF increased the proportion of Bifidobacterium (mean 27.3%
at baseline to 33.3%, at week 12, P=0.012) with a difference in change from
baseline at week 12 between the Active and Control of 7.48% (P=0.030). The
consumption of Active-YCF was accompanied with a more acidic intestinal milieu
compared to the Control-YCF. The pH value decreased statistically significantly
in the Active-YCF group from a median of 7.05 at baseline to 6.79 at week 12
(P<0.001). The consumption of Active-YCF was associated with a softer pudding
like stool consistency compared to the Control-YCF. At week 6 and week 12, the
between-group difference in stool consistency was statistically significant
(P=0.004 and P<0.001, respectively). A Young Child Formula supplemented with
scGOS/lcFOS and B. breve M-16V positively influences the development of the
faecal microbiota in healthy toddlers by supporting higher levels of
Bifidobacterium. The synbiotic supplementation is also accompanied with a more
acidic intestinal milieu and softer stools.
PMID- 29633643
TI - Degradation of food-derived opioid peptides by bifidobacteria.
AB - Some food-derived opioid peptides have been reported to cause diseases, such as
gastrointestinal inflammation, celiac disease, and mental disorders.
Bifidobacterium is a major member of the dominant human gut microbiota,
particularly in the gut of infants. In this study, we evaluated the potential of
Bifidobacterium in the degradation of food-derived opioid peptides. All strains
tested showed some level of dipeptidyl peptidase activity, which is thought to be
involved in the degradation of food-derived opioid peptides. However, this
activity was higher in bifidobacterial strains that are commonly found in the
intestines of human infants, such as Bifidobacterium longum subsp. longum, B.
longum subsp. infantis, Bifidobacterium breve and Bifidobacterium bifidum, than
in those of other species, such as Bifidobacterium animalis and Bifidobacterium
pseudolongum. In addition, some B. longum subsp. infantis and B. bifidum strains
showed degradative activity in food-derived opioid peptides such as human and
bovine milk-derived casomorphin-7 and wheat gluten-derived gliadorphin-7. A
further screening of B. bifidum strains revealed some bifidobacterial strains
that could degrade all three peptides. Our results revealed the potential of
Bifidobacterium species in the degradation of food-derived opioid peptides,
particularly for species commonly found in the intestine of infants. Selected
strains of B. longum subsp. infantis and B. bifidum with high degradative
capabilities can be used as probiotic microorganisms to eliminate food-derived
opioid peptides and contribute to host health.
PMID- 29633644
TI - Effects of heat-treated Lactobacillus crispatus KT-11 strain consumption on
improvement of oral cavity environment: a randomised double-blind clinical trial.
AB - Heat-treated lactic acid bacteria is added to some foods because it is easier to
store and transport, and have less interference with other food ingredient
compared with living lactic acid bacteria. We have reported that oral
administration of heat-treated Lactobacillus crispatus KT-11 strain (KT-11)
suppressed the symptoms of periodontal disease in mice orally infected with
Porphyromonas gingivalis. However, the preventive effect of KT-11 on periodontal
disease in human is unclear. The aim of this randomised double-blind clinical
trial was to examine the effects of KT-11 consumption on the oral environment in
healthy volunteers. Sixteen healthy volunteers were randomly assigned to two
groups (KT-11 or placebo), and they consumed a KT-11 food tablet (1.2*1010 KT-11
cells) or a placebo food tablet (without KT-11) every day for 4 weeks. Clinical
parameters including numbers of major periodontopathic bacteria in saliva, plaque
score, gum conditions, and oral mucosal fluid level were evaluated at weeks 0 and
4. Amount of change in P. gingivalis numbers decreased in the KT-11 group
compared to that in the placebo group at week 4. Female participants in the KT-11
group had decreased plaque scores, reddish tinge, and gingival swelling scores
compared to those in the placebo group at week 4. Furthermore, male participants
in the KT-11 group demonstrated increased oral mucosa fluid scores. These
clinical findings suggest that daily KT-11 intake can prevent periodontal disease
through the improvement of oral conditions.
PMID- 29633645
TI - Enterococcus faecium SF68 as a model for efficacy and safety evaluation of
pharmaceutical probiotics.
AB - As normal inhabitants of diverse ecosystems, including the human gastrointestinal
tract, the enterococci, and especially the two species Enterococcus faecalis and
Enterococcus faecium, can be considered ubiquitous with regard to our natural
environment. E. faecium has gained special importance thanks to beneficial
strains marketed as probiotics, and because of its beneficial role in traditional
fermented foods such as artisanal cheeses in some Southern European countries.
Yet, following reports on the increasing association of some enterococcal strains
with nosocomial infections such as endocarditis and bacteraemia, it became
evident that strains from clinical origin are frequently highly resistant to
'last-defence-line' antibiotics such as the glycopeptide derivatives. For this
reason enterococci have been classified in risk group 2 in the European Directive
93/88. With this paper it is intended to clarify the uncertain situation around
the safety of the species E. faecium, also with referring to intra-species
heterogeneity. In fact, well established scientific and surveillance data support
the safety of some probiotic E. faecium strains for both human and animal
applications. As a model, summarising yet extensive information is provided on
the efficacy and safety of E. faecium SF68(r), a pharmaceutical probiotic with a
long history of safe use. We propose the approach presented in this review as a
model for the evaluation of safety of probiotic strains of this species.
PMID- 29633646
TI - Effect of Lactobacillus rhamnosus and Bifidobacterium lactis on gingival health,
dental plaque, and periodontopathogens in adolescents: a randomised placebo
controlled clinical trial.
AB - To determine the effect of a probiotic combination of Lactobacillus rhamnosus GG
(LGG) and Bifidobacterium lactis BB-12 on the gingival health, dental plaque
accumulation, and the oral carriage of four putative periodontal pathogens in
healthy adolescents. 108 schoolboys, aged 13-15 years, participated in this
study. They were divided into two groups: probiotics (n=54) and placebo (n=54).
Both groups received two probiotic-laced or placebo lozenges twice a day during a
four-week period. Plaque Index (PI) and Gingival Index (GI) were recorded at
baseline and after four weeks. Salivary and plaque carriage of Aggregatibacter
actinomycetemcomitans, Porphyromonas gingivalis, Prevotella intermedia,
Fusobacterium nucleatum were also monitored likewise. 101 subjects completed the
study. A statistically significant reduction in GI was seen in the probiotic
group as compared to the placebo group (P=0.012). A reduction in PI was found for
both groups, with no difference observed between the groups after intervention
(P=0.819). Probiotic lozenges significantly reduced levels of A.
actinomycetemcomitans and F. nucleatum in saliva and plaque (P<0.05) and levels
of P. gingivalis in plaque (P<0.05), while no significant changes were found in
the control group. A significant reduction (P<0.001) was also noted in the total
salivary bacterial counts of the test group. The short-term daily consumption of
LGG and BB-12 probiotic lozenges improved the gingival health in adolescents and
decreased the microbial counts of A. actinomycetemcomitans, and P. gingivalis.
Hence probiotic supplements may serve as a simple adjunct to standard oral care
for promoting the oral health in adolescents.
PMID- 29633647
TI - Lactobacillus fermentum L930BB and Bifidobacterium animalis subsp. animalis IM386
initiate signalling pathways involved in intestinal epithelial barrier
protection.
AB - The manipulation of intestinal microbiota with beneficial microbes represents a
promising alternative or adjunct therapy in gastrointestinal disorders and
inflammation. The current study aims to clarify the signalling pathways and
evaluate the possible beneficial effects of the combination of two strains. We
used a dextran sulphate sodium (DSS)-induced mouse model of colitis. RNA
extracted from the middle part of the colon tissue was used for examination of
the global gene expression with Affymetrix microarrays. An enrichment analysis of
the KEGG pathways was performed, and a subset of genes associated with intestinal
epithelial barrier function was verified with qPCR. A clinical condition
assessment of the differently treated mice revealed that the combination of these
two bacterial strains was safe for use as a dietary supplement. All animals
treated with DSS had affected colons and suffered weight loss. There were very
small differences between the diseased groups, although the depth of inflammation
was lower when cyclosporine A or the strain mixture was used. We discovered that
the prophylactic administration of the Lactobacillus fermentum L930BB (L930BB)
and Bifidobacterium animalis subsp. animalis IM386 (IM386) strains led to an anti
apoptotic pathway through phosphatidylinositol-4,5-bisphosphate 3-kinase
(PI3K)/Akt and to the activation of pathways involved in the regulation of actin
cytoskeleton via protein kinase C and GTPases. Reorganisation of actin
cytoskeleton and decreased apoptosis are both helpful in intestinal epithelial
cell reconstitution. We confirm important previous observations, showing that
these pathways are downstream targets of Toll-like receptor 2 and fibroblast
growth factor initiated signalling. Taken together, these results suggest that
the combination of L930BB and IM386 could aid in the regeneration of the
intestinal epithelium during pathogenesis via pattern recognition receptors and
the stimulation of growth factor synthesis.
PMID- 29633648
TI - Self reported involvement in emergency medicine among GPs in Norway.
AB - OBJECTIVE: To examine general practitioners' (GPs') perception of their role in
emergency medicine and participation in emergency services including ambulance
call outs, and the characteristics of the GPs and casualty clinics associated
with the GPs' involvement in emergency medicine. DESIGN: Cross-sectional online
survey. SETTING: General practice. SUBJECTS: General practitioners in Norway (n =
1002). MAIN OUTCOME MEASURES: Proportion of GPs perceiving that they have a large
role in emergency medicine, regularly being on call, and the proportion of
ambulance callouts with GP participation. RESULTS: Forty six percent of the GPs
indicated that they play a large role in emergency medicine, 63 percent of the
GPs were regularly on call, and 28 percent responded that they usually took part
in ambulance call outs. Multivariable logistic regression analyses indicated that
these outcomes were strongly associated with participation in multidisciplinary
training. Furthermore, the main outcomes were associated with traits commonly
seen at smaller casualty clinics such as those with an absence of nursing
personnel and extra physicians, and based on the distance to the hospital.
CONCLUSION: Our findings suggest that GPs play an important role in emergency
medicine. Multidisciplinary team training may be important for their continued
involvement in prehospital emergencies. Key Points Health authorities and other
stakeholders have raised concerns about general practitioner's (GPs)
participation in emergency medicine, but few have studied opinions and
perceptions among the GPs themselves. * Norwegian GPs report playing a large
role in emergency medicine, regularly being on call, and taking part in selected
ambulance call outs. * A higher proportion of GPs who took part in team
training perceived themselves as playing a large role in emergency medicine,
regularly being on call, and taking part in ambulance call outs. * These
outcomes were also associated with attributes commonly seen at smaller casualty
clinics.
PMID- 29633649
TI - Functional energetic responses and individual variance of the human brain
revealed by quantitative imaging of adenosine triphosphate production rates.
AB - Cellular ATP energy metabolism and regulation are essential for brain function
and health. Given the high ATP expenditure at resting-state, it is not yet clear
how the human brain at working-state can effectively regulate ATP production to
meet higher energy requirement. Through quantitative measurement of regional
cerebral ATP production rates and associated neurophysiological parameters in
human visual cortex at rest and during visual stimulation, we found significant
stimulus-induced and highly correlated neuroenergetic changes, indicating
distinctive and complementary roles of the ATP synthesis reactions in supporting
evoked neuronal activity and maintaining ATP homeostasis. We also uncovered large
individual variances in the neuroenergetic responses and significant reductions
in intracellular [H+] and free [Mg2+] during the stimulation. These results
provide new insights into the mechanism underlying the brain ATP energy
regulation and present a sensitive and much-needed neuroimaging tool for
quantitatively assessing neuroenergetic state in healthy and diseased human
brain.
PMID- 29633650
TI - Minimization of the perianal infection rate of hematological malignancies with
agranulocytosis by quality control circle activity and patient-hospital-student
win-win concept.
AB - Objective The agranulocytosis-associated perianal infection (PI) rate ranges from
60% to 100% among patients with hematopoietic malignancies. In this study, we
assessed the efficacy of a quality control circle (QCC) to minimize the PI rate.
Methods Among 274 patients with severe immunodeficiency (agranulocytosis of >=2
weeks) in our bone marrow transplantation center, the PI rate was 17.20%. A QCC
was established following the 10 steps of the plan-do-check-act (PDCA) model;
this was scientifically supported by culturing the bacterial colony from
patients' perianal skin to determine the sanitization effect and interval time.
Because a warm aqueous solution of potassium permanganate is recommended for
sanitization, the bacterial colony culture was also used to determine the proper
drug concentration, water temperature, and soaking time. All procedures were
standardized. Patients, hospital staff, and medical students were enrolled into
the QCC team based on the patient-hospital-student (PHS) win-win concept. Results
After establishment of the PDCA model, the PI rate among 253 patients decreased
from 17.20% to 5.93% and remained at 5.25% during the following year. The medical
expenses and length of hospital stay consequently decreased. Conclusion The QCC
and PHS win-win concept can reduce the PI rate and promote medical quality.
PMID- 29633651
TI - Age/disease duration influence on activities of daily living and quality of life
after levodopa-carbidopa intestinal gel in Parkinson's disease.
AB - AIM: To determine if age and Parkinson's disease duration at therapy initiation
influence the efficacy of levodopa-carbidopa intestinal gel (LCIG) on quality of
life and activities of daily living. PATIENTS & METHODS: This post hoc analysis
assessed subgroups of patients stratified by baseline age, disease duration,
hours/day of 'off' time and levodopa equivalent dose. Patients' data were
collected from the GLORIA study, a 24-month observational registry evaluating
long-term effectiveness of LCIG. RESULTS & CONCLUSION: LCIG therapy led to
sustained improvements in quality of life irrespective of patient age and disease
duration at baseline. Improvements in activities of daily living were observed
across all subgroups, particularly in younger patients, patients with shorter
disease duration and in patients with the highest baseline levodopa equivalent
dose.
PMID- 29633652
TI - Music Therapy Reduces Radiotherapy-Induced Fatigue in Patients With Breast or
Gynecological Cancer: A Randomized Trial.
AB - PURPOSE: To investigate the influence of music therapy on the reduction of
fatigue in women with breast or gynecological malignant neoplasia during
radiotherapy, since it is one of the most frequent side effects of this type of
treatment, and may interfere with self-esteem, social activities, and quality of
life. EXPERIMENTAL DESIGN: Randomized controlled trial (control group [CG] and
music therapy group [MTG]) to assess fatigue, quality of life, and symptoms of
depression in women undergoing radiotherapy using the Functional Assessment of
Cancer Therapy: Fatigue (FACT-F) version 4, Functional Assessment of Cancer
Therapy-General (FACT-G) version 4, and Beck Depression Inventory in 3 separate
times, namely, during the first week of radiotherapy, on the week of the
intermediary phase, and during the last week of radiotherapy. Individual 30- to
40-minute sessions of music therapy with the presence of a trained music
therapist were offered to participants. RESULTS: In this study, 164 women were
randomized and 116 (63 CG and 53 MTG) were included in the analyses, with mean
age of 52.90 years (CG) and 51.85 years (MTG). Participants in the MTG had an
average of 10 music therapy sessions, totaling 509 sessions throughout the study.
FACT-F results were significant regarding Trial Outcome Index ( P = .011), FACT-G
( P = .005), and FACT-F ( P = .001) for the MTG compared with the CG.
CONCLUSIONS: Individual music therapy sessions may be effective to reduce fatigue
related to cancer and symptoms of depression, as well as to improve quality of
life for women with breast or gynecological cancer undergoing radiotherapy.
Further well-designed research studies are needed to adequately determine the
effects of music therapy on fatigue.
PMID- 29633653
TI - Is there any relationship between serum levels of total bilirubin and the
severity of erectile dysfunction?
AB - OBJECTIVE: Recent studies have shown that atherosclerosis is associated with
erectile dysfunction and the serum bilirubin level. In this study, the serum
total bilirubin levels of patients with erectile dysfunction were measured to
investigate the relationship between the levels of erectile dysfunction and total
bilirubin. METHODS: A total of 94 patients with erectile dysfunction were divided
into three groups; severe erectile dysfunction (33 patients), moderate erectile
dysfunction (31 patients), and mild erectile dysfunction (30 patients). In
addition, a control group was formed with 31 healthy men. The International Index
of Erectile Function-5 Questionnaire was used to measure the quality of erection
in all the groups. The body mass index was calculated for all the participants.
The serum glucose, low-density lipoprotein and high-density lipoprotein,
cholesterol, triglyceride, total bilirubin, and total testosterone levels were
also determined. RESULTS: No statistically significant difference was observed
between the groups in terms of the mean age, hypertension, smoking status,
alcohol use, cardiovascular diseases, hepatobiliary disease, diabetes mellitus,
and levels of total testosterone, low-density lipoprotein-cholesterol, and
triglyceride. However, high-density lipoprotein, body mass index, and total
bilirubin were significantly lower compared to the control group (p < 0.001). The
serum total bilirubin level was found to be 0.41 +/- 0.21 ng/dL in the severe
erectile dysfunction, 0.43 +/- 0.19 ng/dL in the moderate erectile dysfunction,
and 0.48 +/- 0.11 ng/dL in the mild erectile dysfunction groups (p < 0.001).
CONCLUSION: Considering the significant differences between the erectile
dysfunction and control groups in terms of serum total bilirubin levels, a low
level of bilirubin may have a role in the etiology of erectile dysfunction.
PMID- 29633654
TI - Comment to the reply letter: re Walter et al.'s letter to the editor.
PMID- 29633655
TI - Kick Scooter Injuries in Children and Adolescents: Minor Fractures and Bruise.
AB - BACKGROUND:: Kick scooters are popular among children in both transportation and
recreational activities. The aim of this retrospective study was to assess the
incidence of and injury patterns associated with kick scooter accidents in school
aged children and adolescents. METHODS:: All 171 patients at the age of 7-15
years who were treated for kick scooter-related injuries in the metropolitan
Helsinki area, Southern Finland from January 2008 to December 2013 were included.
Electronic medical records were reviewed and Pediatric Trauma Scores and Injury
Severity Scores were utilized to assess the injuries. RESULTS:: The annual number
of patients increased from 7 in 2008 to 55 in 2013. Almost all patients (94%, n =
161) were injured after a fall from their own height. Most patients (n = 118;
69%) were diagnosed with a fracture but only 26 patients (15%) required surgical
procedures under general anesthesia. Pediatric Trauma Scores were low and only
one patient had an Injury Severity Score > 15 which can be considered major
trauma. CONCLUSION:: Most injuries acquired from kick scooter injuries were
easily treatable fractures and bruises. Considering the background population of
105,000 in the respective age group and the 6-year period of data collection from
tertiary care, scooting seems a safe means of increasing the physical activity
levels of school-aged children and adolescents.
PMID- 29633656
TI - Could spinal anesthesia be a choice for retrograde intrarenal surgery.
AB - OBJECTIVE:: In this study, we aimed to compare cases of retrograde intrarenal
surgery performed under spinal or general anesthesia through investigating
relevant parameters for the first time in the literature. MATERIAL AND METHOD::
In total, 86 patients with diagnosis of kidney stone who were treated by
retrograde intrarenal surgery were included in this randomized controlled
prospective study. In total, 43 of these operations were performed under spinal
anesthesia (group I) and 43 were performed under general anesthesia (group II).
The groups were compared in terms of demographic features, American Society of
Anesthesiologists score, duration of operation, complication rates, postoperative
visual analogue scale, postoperative hospitalization period, stone-free rates,
and cost value ratios. RESULTS:: There were no significant differences between
the two groups in terms of demographic findings, preoperative stone loads,
postoperative stone-free rates, complication rates and postoperative
hospitalization periods (p > 0.05). Postoperative visual analogue scale scores
and cost value ratios were found statistically significantly lower in the spinal
anesthesia group (group I) when compared with the general anesthesia group (group
II; p < 0.001). CONCLUSION:: Performing retrograde intrarenal surgery in the
presence of spinal anesthesia is equally effective with general anesthesia.
Spinal anesthesia also appears to be a more advantageous method due to
statistically significantly lower mean postoperative pain scores and treatment
cost value ratios.
PMID- 29633657
TI - The role of blood neutrophil count and the neutrophil-to-lymphocyte ratio as a
predictive factor for prostate biopsy results.
AB - BACKGROUND:: It is apparent that prostate cancer has harmful effects on the
erythrocytes, leucocytes, and platelets. In addition, it has been suggested that
the toxic granules in neutrophils lead to inflammation in the cancerous tissues
besides the activation of monocytes, so in this study we aimed to evaluate the
blood neutrophil count besides the neutrophil-to-lymphocyte ratio as a predictive
factor for prostate biopsy results and their relationship with prostate cancer
grade in patients undergoing biopsy of the prostate. METHODS:: For all men with
irritative lower urinary tract symptoms visiting Hasheminezhad Hospital from
January to July 2015, in case of having a suspicious digital rectal examination
or aged above 40 years, prostate-specific antigen was requested and in case of
abnormal results, they underwent prostate biopsy. In order to examine the study
hypothesis, the blood neutrophil count and the neutrophil-to-lymphocyte ratio
were measured and compared with the abnormal prostate-specific antigen results
and suspicious digital rectal examination. RESULTS:: Among the 500 referred
samples for biopsy, 352 (70.4%) had a negative biopsy result, while it was
positive in the other 148 (29.6). The mean neutrophil count showed no statistical
difference regarding the biopsy results (p = 0.381). When measuring the
neutrophil-to-lymphocyte ratio again with biopsy results, no statistically
significant difference was obtained based on the biopsy results (p = 0.112).
CONCLUSION:: Neutrophil count and neutrophil-to-lymphocyte ratio cannot be
predictive factors for positive prostate cancer biopsy.
PMID- 29633658
TI - Development of Electronic Chemotherapy Roadmaps for Pediatric Oncology Patients.
AB - A chemotherapy roadmap is a summary of the chemotherapy plan for a pediatric
oncology patient. Chemotherapy roadmaps exist as paper documents for most, if not
all, pediatric oncology programs. Paper chemotherapy roadmaps are associated with
risks that can negatively affect the safety of the chemotherapy process. This
institution explored the feasibility of converting paper chemotherapy roadmaps
into an electronic form. The pediatric information systems team developed an
innovative computer application that can generate electronic chemotherapy
roadmaps, and the pediatric oncology program established a novel workflow that
can operationalize them. Electronic chemotherapy roadmaps have been produced for
36 treatment protocols, and 369 electronic chemotherapy roadmaps have been used
for 352 pediatric oncology patients. They have functioned as designed and have
not had any unintended effects. In the 5 years after their implementation, the
average proportion of patient safety events involving paper or electronic
chemotherapy roadmaps decreased by 78.7%. This report is the first to demonstrate
the feasibility of creating and implementing electronic chemotherapy roadmaps.
Continued expansion of the current library will be necessary to formally test the
hypothesis that electronic chemotherapy roadmaps can decrease the risks
associated with their paper counterparts and increase the safety of the
chemotherapy process.
PMID- 29633659
TI - Road to Home Program: A Performance Improvement Initiative to Increase Family and
Nurse Satisfaction With the Discharge Education Process for Newly Diagnosed
Pediatric Oncology Patients [Formula: see text].
AB - Families of children, adolescents, and young adults with a new oncology diagnosis
must absorb knowledge and skills needed to care for their child at home during a
period of emergent hospitalizations and intense medical interventions. Effective
nurse-led teaching and discharge planning begun at diagnosis can prepare families
to safely care for their child following discharge, and be a source of
satisfaction. The objective of this performance improvement initiative was to
increase family and nurse satisfaction with new diagnosis education and
discharge. Using standard performance improvement techniques, family and nursing
stakeholder satisfaction with current processes was assessed. Working from
established best practice and literature review; The Road to Home was designed
and implemented. This novel, comprehensive program includes a visual, interactive
display of required education based on the Children's Oncology Group Family
Handbook(c) and hospital specific discharge materials; standardized teaching,
tools and documentation for nursing; and caregiver discharge tool kits.
Stakeholder input guided improvement strategies throughout the phases of
implementation. Sustained increases in family and nurse satisfaction resulted
from each phase. The Road to Home program is established for all families of
newly diagnosed oncology patients and is meeting goals of increased family and
nurse satisfaction with discharge education.
PMID- 29633660
TI - IGRA-Based Screening for Latent Tuberculosis Infection in Persons Newly
Incarcerated in New York City Jails.
AB - In the United States, latent tuberculosis infection (LTBI) detection in
correctional settings is a public health priority. Interferon gamma release assay
(IGRA)-based LTBI screening was introduced in New York City jails in 2011 to
2012, replacing historically used tuberculin skin testing (TST), which was
associated with substantial incomplete screening rates. This retrospective, cross
sectional study evaluated LTBI screening outcomes and correlates of positivity in
40,986 persons newly incarcerated in 2011 to 2013. Of 35,090 eligible patients
tested (96.4%), final results were 6.3% positive, 93.4% negative, and 0.2%
indeterminate. In multivariable regression modeling, sex, age, race/ethnicity,
nativity, marital status, prior jail incarceration, and HIV status were
correlated with positivity. IGRA-based screening yielded high screening and low
indeterminate test rates and may be recommended in correctional and other
settings where TST is currently used.
PMID- 29633661
TI - Commentary: Is Prophylactic Paving of Highly Calcified Femoropopliteal Occlusions
All It's Cracked Up to Be?
PMID- 29633662
TI - Commentary: Adapting Access Techniques to Cap Morphology to Enhance Successful
Crossing of Chronic Total Occlusions: Tibiopedal Access Enters the Era of
Revascularization Strategy.
PMID- 29633663
TI - Contact to the out-of-hours service among Danish parents of small children - a
qualitative interview study.
AB - OBJECTIVE: In Denmark, parents with small children have the highest contact
frequency to out-of-hours (OOH) service, but reasons for OOH care use are
sparsely investigated. The aim was to explore parental contact pattern to OOH
services and to explore parents' experiences with managing their children's acute
health problems. DESIGN: A qualitative study was undertaken drawing on a
phenomenological approach. We used semi-structured interviews, followed by an
inductive content analysis. Nine parents with children below four years of age
were recruited from a child day care centre in Aarhus, Denmark for interviews.
RESULTS: Navigation, information, parental worry and parental development
appeared to have an impact on OOH services use. The parents found it easy to
navigate in the health care system, but they often used the OOH service instead
of their own general practitioner (GP) due to more compatible opening hours and
insecurity about the urgency of symptoms. When worried about the severity, the
parents sought information from e.g. the internet or the health care
professionals. The first child caused more worries and insecurity due to less
experience with childhood diseases and the contact frequency seemed to decrease
with parental development. CONCLUSION: Parents' use of the OOH service is
affected by their health literacy levels, e.g. level of information, how easy
they find access to their GP, how trustworthy and authorized health information
is, as well as how much they worry and their parental experience. These findings
must be considered when planning effective health services for young families.
Key points The main findings are that the parents in our study found it easy to
navigate in the healthcare system, but they used the OOH service instead of their
own general practitioner, when this suited their needs. The parents sought
information from e.g. the internet or the health care professionals when they
were worried about the severity of their children's diseases. They sometimes
navigated strategically in the healthcare system by e.g. using the OOH service
for reassurance and when it was most convenient according to opening hours. The
first child seemed to cause more worries and insecurity due to limited experience
with childhood diseases, and parental development seems to decrease contact
frequency. Overall, this study contributes with valuable insights into the
understanding of parents' help seeking behaviour. There seems to be a potential
for supporting especially first-time parents in their use of the out of hours
services.
PMID- 29633664
TI - Mean platelet volume at baseline and immune thrombocytopenia relapse in Chinese
newly-diagnosed patients: a retrospective cohort study.
AB - OBJECTIVE: In China, the ability of the current immune thrombocytopenia (ITP)
guideline to stratify recurrent risk at diagnosis is limited. This study aimed to
investigate whether mean platelet volume at diagnosis (MPV) is a risk factor for
ITP relapse in Chinese. METHODS: The present study was a retrospective cohort
study. Two hundred thirty-three adult patients with newly diagnosed ITP were
consecutively and nonselectively collected from March 2013 to June 2017. The
exposure and outcome variable were MPV at baseline and relapse-free survival at 6
months. Other covariants included demographic data, general information,
variables that can affect MPV reported by previous literature and risk factors of
ITP relapse. RESULTS: After adjusting potential confounders, the non-linear
relationship was detected between MPV and ITP relapse, and inflection point was
21. The effect sizes and the confidence intervals on the left and right sides of
inflection point were 1.30 (1.22-1.39) and 0.89 (0.76-1.04), respectively.
Subgroup analysis showed, in subjects with hyperuricemia (1.54 (1.24, 1.90)), MPV
showed significant differences from non-hyperuricemia (1.19 (1.13, 1.25)), and
the p for interaction was less than 0.05. CONCLUSION: The relationship between
MPV and ITP relapse is non-linear. MPV is an independent risk factor of ITP
relapse when MPV is less than 21 fl.
PMID- 29633666
TI - Impaired facial expression recognition in patients with social anxiety disorder:
a case-control study.
AB - INTRODUCTION: The aim of this study was to investigate whether social anxiety
disorder (SAD) patients have low emotion recognition accuracy, take longer for
emotion recognition and tended to interpret a stimulus more negatively than
controls. METHODS: Fifty-six SAD patients and 56 healthy controls were
participated in this study. We evaluated facial emotion recognition using a
computer program in which participants chose one of seven emotions as displayed
in each of 55 photographs of faces. We compared the accuracy and reaction times
of the patients and controls. We further analysed which emotions were selected in
the incorrect answers. RESULTS: SAD patients showed delayed reaction times for
all emotions except anger and lower accuracy for fear, surprise, neutrality and
happiness compared to controls. After applying Bonferroni correction, only
delayed reaction time for surprise and happiness were remain significant.
Neutrality was not mistaken for a negative emotion at a higher rate by SAD
patients than by controls. CONCLUSION: This result suggests that the alterations
of reaction time and accuracy of emotion recognition of SAD patients, especially
in emotions with positive valence, play a more important role than negative bias
in the cognitive aspects of SAD.
PMID- 29633667
TI - Successful Use of Veno-Venous Extracorporeal Membrane Oxygenation in an Adult
Patient with Sickle Cell Anemia and Severe Acute Chest Syndrome.
AB - A 25-year-old female with sickle cell anemia presented with respiratory failure
due to acute chest syndrome (ACS). Given her severely compromised cardiopulmonary
status, she was started on veno-venous extracorporeal membrane oxygenation (VV
ECMO). After 20 days, the patient's respiratory status improved and she was
successfully decannulated. Veno-venous extracorporeal membrane oxygenation can be
utilized for severe ACS in adult patients with sickle cell disease. Prompt
initiation of this modality may improve outcomes in adult patients with sickle
cell disease complicated by life threatening ACS.
PMID- 29633668
TI - Left Ventricular Diastolic Dysfunction in beta-Thalassemia Major with Heart
Failure.
AB - We studied the clinical, electrocardiographic, echocardiographic, Doppler and T2*
cardiac magnetic resonance (CMR) data of all adult beta-thalassemia major (beta
TM) patients with heart failure (HF) consecutively observed at our referral
center of the Sicilian region between 2008 and 2016. There were 16 patients
enrolled in the study. Echocardiographic examination showed that only one patient
had HF with systolic dysfunction of the left ventricle (HFrEF), whereas the
others had HF with preserved systolic function of the left ventricle (HFpEF).
Systolic dysfunction of the right ventricle (RV) was observed in 13 cases.
Furthermore, 30.0% of the patients presented T2* CMR values consistent with
intermediate risk of systolic dysfunction of the left ventricle (LV) due to iron
overload, whereas 70.0% had normal values. Typical electrocardiographic
abnormalities (wide T wave inversion and low voltages) were observed in 11 out of
16 patients. In conclusion, in the adult beta-TM patients with HF recently
observed at our center, the predominant form was that with diastolic dysfunction
of the LV, and with systolic dysfunction of the RV. Only 30.0% had low values of
T2* CMR. Typical electrocardiographic abnormalities were found in 69.0%.
PMID- 29633670
TI - PREVALENCE OF CHILDHOOD OBESITY AND UNDERNUTRITION AMONG URBAN SCHOOL CHILDREN IN
BANGLADESH.
AB - SummaryDespite the ongoing problems of undernutrition and infectious disease,
obesity and overweight have become a major problem in developing countries,
including Bangladesh. This cross-sectional study was undertaken to determine the
prevalence of obesity, overweight and underweight among school children aged 6-12
years in Bangladesh. The study was conducted from June 2012 to May 2013 and the
study sample comprised 1768 children (980 boys; 788 girls) from eight purposively
selected schools in different areas of Dhaka city. Students were interviewed
about their diet and physical activity, and anthropometric measurements were
made, including height, weight, mid-upper-arm circumference (MUAC), waist
circumference, hip circumference and body mass index (BMI). Undernutrition,
overweight and obesity were defined using internationally accepted BMI cut-off
points. Mean height, weight, BMI, MUAC, waist circumference and hip circumference
values were found to be higher in boys than in girls, except at age 12 when these
were found to be significantly higher in girls than in boys (p<0.05). The mean
prevalence of overweight was 10.0% (boys 10.2%; girls 9.8%), and that of obesity
5.0% (boys 4.3%; girls 5.8%). The prevalence of underweight was 16.3% in boys and
12.7% in girls. The prevalence of underweight was significantly higher in poor
than in rich children (22.1% vs 11.2%) and that of obesity was higher in rich
than in poor children (9.9% vs 1.3%; p<0.001). A family history of obesity and
hypertension emerged as a significant predictor of developing overweight and
obesity (p<0.001). The data suggest that underweight and obesity co-exist in
urban areas of Bangladesh, posing a challenge for the nutritional health of
Bangladeshi children.
PMID- 29633671
TI - REGIONAL DIFFERENCES IN POSITIVE SEXUAL BEHAVIOUR AMONG YOUTH IN SUB-SAHARAN
AFRICA.
AB - SummaryThe question of youth sexual behaviour has been widely debated, with
researchers such as Berhan and Berhan (2015) arguing that young adults aged 15-24
are more likely to engage in risky behaviours. However, research has not
adequately addressed the issue of positive sexual behaviours, in particular among
young people in sub-Saharan Africa. Adapting the compensatory model of risk and
resiliency theory, this study examined the determinants of positive sexual
behaviours among youth in sub-Saharan Africa. Using recent data from Demographic
and Health Surveys of sixteen countries representative of each African region
(East, West, Southern and Central), it was hypothesized that positive sexual
behaviours of youth (condom use at last sex and single sexual partnership) would
be most strengthened by protective factors at the individual and family levels,
and that these behaviours would differ by region due to regional variation in
socio-cultural practices. Delayed age at sexual debut (first sex after the age of
15) was found to be the strongest protective factor for positive sexual
behaviours among males and females in sub-Saharan Africa. Certain socioeconomic
variables were found to be positively associated with positive sexual behaviours
and the associations differed by gender.
PMID- 29633672
TI - ETHICS EVALUATION REVEALING DECISION-MAKER MOTIVES: A CASE OF NEONATAL SCREENING.
AB - OBJECTIVES: This paper aims to describe the added value of combining cost
effectiveness and ethical evaluations when the preferences of the decision maker
toward cost-effectiveness evaluation outcomes are not known, with the French
national neonatal screening of cystic fibrosis (CF) as a case-study. METHODS: A
cost-effectiveness analysis comparing four CF neonatal screening strategies, with
or without DNA testing, was performed. Ethical positions toward their outcomes
were described. In addition, a post-hoc analysis of the ethical issues being
considered relevant from the decision-makers' perspective was conducted. RESULTS:
Two strategies were found equally cost-effective. Among them, choosing the non
DNA or a DNA-based strategy constrains the decision maker to render a judgement
between different ethical issues or disagreements associated with the screening
program. CONCLUSIONS: The analysis supports the relevance of combining cost
effectiveness and ethics evaluation in developing health policy, as a way to
reveal or clarify the motives associated with health. The choice of the decision
maker to favor the DNA-based strategy, which was not originally recommended,
creates the opportunity to make explicit the role played by ethical issues in the
decision.
PMID- 29633673
TI - DECISION-COMPONENTS OF NICE'S TECHNOLOGY APPRAISALS ASSESSMENT FRAMEWORK.
AB - OBJECTIVES: Value assessment frameworks have gained prominence recently in the
context of U.S. healthcare. Such frameworks set out a series of factors that are
considered in funding decisions. The UK's National Institute of Health and Care
Excellence (NICE) is an established health technology assessment (HTA) agency. We
present a novel application of text analysis that characterizes NICE's Technology
Appraisals in the context of the newer assessment frameworks and present the
results in a visual way. METHODS: A total of 243 documents of NICE's medicines
guidance from 2007 to 2016 were analyzed. Text analysis was used to identify a
hierarchical set of decision factors considered in the assessments. The frequency
of decision factors stated in the documents was determined and their association
with terms related to uncertainty. The results were incorporated into visual
representations of hierarchical factors. RESULTS: We identified 125 decision
factors, and hierarchically grouped these into eight domains: Clinical
Effectiveness, Cost Effectiveness, Condition, Current Practice, Clinical Need,
New Treatment, Studies, and Other Factors. Textual analysis showed all domains
appeared consistently in the guidance documents. Many factors were commonly
associated with terms relating to uncertainty. A series of visual representations
was created. CONCLUSIONS: This study reveals the complexity and consistency of
NICE's decision-making processes and demonstrates that cost effectiveness is not
the only decision-criteria. The study highlights the importance of processes and
methodology that can take both quantitative and qualitative information into
account. Visualizations can help effectively communicate this complex information
during the decision-making process and subsequently to stakeholders.
PMID- 29633674
TI - DIRECT COSTS OF TYPE 2 DIABETES: A BRAZILIAN COST-OF-ILLNESS STUDY.
AB - OBJECTIVES: The aim of this study was to evaluate the direct costs of type 2
diabetes mellitus patients treated in a Brazilian public hospital. METHODS: This
was an exploratory retrospective cost-of-illness study with quantitative
approach, using medical records of patients treated in a public hospital (2012
14), with at least one consultation over a period of 12 months. Data on patient's
profile, exams, number of consultations, medications, hospitalizations, and
comorbidities were collected. The cost per patient per year (pppy) was calculated
as well as the costs related to glycated hemoglobin (HbA1c) values, using
thresholds of 7 and 8 percent. RESULTS: Data of 726 patients were collected with
mean age of 62 +/- 11 years (68.3 percent female). A total of 67.1 percent
presented HbA1c > 7 percent and 44.9 percent > 8 percent. The median cost of
diabetes was United States dollar (USD) 197 pppy. The median costs of medication
were USD 152.49 pppy, while costs of exams and consultations were USD 40.57 pppy
and 8.70 pppy, respectively. Thirty-eight patients (4 percent) were hospitalized
and presented a median cost of 3,656 per patient per hospitalization with a cost
equivalent to 53.1 percent of total expenses. Total costs of patients with HbA1c
<= 7 percent were lower for this group and also costs of medications and
consultations, whereas for patients with HbA1c <= 8 percent, only total costs and
costs of medications were lower when compared with HbA1c > 8 percent patients.
CONCLUSIONS: Medications and hospitalizations were the major contributor of
diabetes expenses. Preventing T2DM, or reducing its complications through
adequate control, may help avoid the substantial costs related to this disease.
PMID- 29633675
TI - CONSISTENCY OF THE EFFECTS OF FEMALE EDUCATION ON FERTILITY ACROSS THE NORTH
SOUTH DEMOGRAPHIC DIVIDE IN NIGERIA, 2003-2013.
AB - SummaryMost studies examining the association between female education and
fertility have reported an inverse association. However, little is known about
the consistency of the relationship, or what level of education triggers an
inverse association. This study examined the consistency of the association
between female education and fertility across the north-south demographic divide
in Nigeria. Data on women aged 40-49 were taken from the 2003, 2008 and 2013
Nigerian DHS data sets. The results showed that female education remained
significantly and consistently inversely related to fertility in both the north
and south of Nigeria. Women with secondary or higher level of education reported
a lower number of children ever born (CEB) than those with primary or no
education in both the north and south (p<0.05). The findings suggest that female
education has a more effective negative effect on fertility in the south, where
the level of female schooling is higher, than in the north, with its limited
level of female education. Primary-level female education appeared to be
ineffective in reducing fertility in the study sample. Women with primary
schooling reported a slightly higher CEB than those who did not have any formal
education. Also, age at marriage and child mortality were found to be consistent
and significant predictors of fertility in both the north and south (p<0.001).
Women who married at relatively higher ages and those who had never lost a child
reported a smaller CEB consistently in both the north and south (p<0.001).
Therefore, to attain sustainable fertility decline throughout Nigeria, it is
imperative that policies aimed at increasing the prevalence and quality of female
education are pursued, and there must be a focus on social, physical,
environmental and cultural factors influencing age at marriage and child
mortality.
PMID- 29633676
TI - Waterborne Norovirus outbreak at a seaside resort likely originating from
municipal water distribution system failure.
AB - In May 2016 a Norovirus (NoV) gastroenteritis outbreak involved a high school
class visiting a seaside resort near Taormina (Mascali, Sicily). Twenty-four
students and a teacher were affected and 17 of them showed symptoms on the second
day of the journey, while the others got ill within the following 2 days.
Symptoms included vomiting, diarrhoea and fever, and 12 students required
hospitalisation. Stool samples tested positive for NoV genome by Real-Time
polymerase chain reaction assay in all 25 symptomatic subjects. The GII.P2/GII.2
NoV genotype was linked to the outbreak by ORF1/ORF2 sequence analysis. The
epidemiological features of the outbreak were consistent with food/waterborne
followed by person-to-person and/or vomit transmission. Food consumed at a shared
lunch on the first day of the trip was associated to illness and drinking un
bottled tap water was also considered as a risk factor. The analysis of water
samples revealed the presence of bacterial indicators of faecal contamination in
the water used in the resort as well as in other areas of the municipal water
network, linking the NoV gastroenteritis outbreak to tap water pollution from
sewage leakage. From a single water sample, an amplicon whose sequence
corresponded to the capsid genotype recovered from patients could be obtained.
PMID- 29633677
TI - The interaction between monoamine oxidase A (MAOA) and childhood maltreatment as
a predictor of personality pathology in females: Emotional reactivity as a
potential mediating mechanism - ERRATUM.
PMID- 29633678
TI - Predicting Suicidal Ideation in Adolescent Boys and Girls: The Role of
Psychological Maturity, Personality Traits, Depression and Life Satisfaction.
AB - In recent years, suicide rates have increased in adolescents and the young
population, so these age groups are considered as populations at risk.
Considering that suicidal ideation is the first sign of possible future suicide
behavior, the objective of this study is to determine the relative importance of
psychological maturity, personality, depression and life satisfaction in
predicting suicidal ideation in adolescents. Results show that depressive
symptoms is the variable that best predicts suicidal ideation, but psychological
maturity, life satisfaction and emotional stability are predictors as well (R2 =
.51, p < .001). However, the Multigroup Structural Equation Models analyses
carried out show that emotional stability has an indirect relationship with
suicidal ideation, through its relationship with depressive symptoms, life
satisfaction and identity. Two Multigroup Structural Equation Models were
proposed to better understand the relationships between these variables for each
sex. The results show that the fit of the model that includes the variable Self
reliance is better for boys than for girls (chi-square contributions of 8.175 for
girls and 1.978 for boys) unlike the other model (chi-square contributions of
0.288 for girls and 1.650 for boys). These results suggest that the psychological
maturity subscale Self-reliance play a role in suicidal ideation in males but not
in females. Although there have been no previous studies on the role of
psychological maturity as a predictor of suicidal phenomena, the current study
suggests that it is a feature to be considered in the prediction of adolescent
suicidal ideation.
PMID- 29633679
TI - Granulysin as a novel factor for the prognosis of the clinical course of
chickenpox.
AB - Granulysin is a recently discovered cytolytic protein of natural killer (NK)
cells and cytotoxic T lymphocytes. Studies of healthy and immunocompromised
patients with primary or recurrent varicella-zoster infections demonstrate the
importance of virus-specific cellular immunity in controlling viral replication,
but also some studies presented granulysin as a molecule that can play a role in
chickenpox immunopathogenesis. This study investigated possible correlation
between serum granulysin levels and clinical course of chickenpox. A total of 69
patients with chickenpox were included in the study. We measured the levels of
granulysin and percentage count for CD4+, CD8+ and NK cells in serum for all
patients and healthy controls. For detection and quantification of granulysin in
sera, we performed ELISA test and flow cytometry for detection, identification
and percentage measurement of T and B lymphocytes. Descriptive methods, analysis
of variance and multivariate logistic regression were used for statistical data
analysis. We found respective correlation between serum granulysin level and
severity of clinical presentation. These findings can be a good input for further
studies, since there is no relevant prognostic parameter of chickenpox in
everyday clinical practice. Granulysin, as a therapeutic, also deserves to be a
point of interests in the future. If we prove its potential to stop dissemination
of human herpes viruses, possibilities to use it in some life-threatening forms
of viral disease can be very valuable.
PMID- 29633680
TI - Antidepressant prescription rates and suicide attempt rates from 2004 to 2016 in
a nationally representative sample of adolescents in the USA.
PMID- 29633681
TI - Subjective wellbeing, suicide and socioeconomic factors: an ecological analysis
in Hong Kong.
AB - AIMS: There has recently been an increased interest in mental health indicators
for the monitoring of population wellbeing, which is among the targets of
Sustainable Development Goals adopted by the United Nations. Levels of subjective
wellbeing and suicide rates have been proposed as indicators of population mental
health, but prior research is limited. METHODS: Data on individual happiness and
life satisfaction were sourced from a population-based survey in Hong Kong
(2011). Suicide data were extracted from Coroner's Court files (2005-2013). Area
characteristic variables included local poverty rate and four factors derived
from a factor analysis of 21 variables extracted from the 2011 census. The
associations between mean happiness and life satisfaction scores and suicide
rates were assessed using Pearson correlation coefficient at two area levels: 18
districts and 30 quantiles of large street blocks (LSBs; n = 1620). LSB is a
small area unit with a higher level of within-unit homogeneity compared with
districts. Partial correlations were used to control for area characteristics.
RESULTS: Happiness and life satisfaction demonstrated weak inverse associations
with suicide rate at the district level (r = -0.32 and -0.36, respectively) but
very strong associations at the LSB quantile level (r = -0.83 and -0.84,
respectively). There were generally very weak or weak negative correlations
across sex/age groups at the district level but generally moderate to strong
correlations at the LSB quantile level. The associations were markedly attenuated
or became null after controlling for area characteristics. CONCLUSIONS:
Subjective wellbeing is strongly associated with suicide at a small area level;
socioeconomic factors can largely explain this association. Socioeconomic factors
could play an important role in determining the wellbeing of the population, and
this could inform policies aimed at enhancing population wellbeing.
PMID- 29633682
TI - Children of parents who have been hospitalised with psychiatric disorders are at
risk of poor school readiness.
AB - AIMS: Children of parents with psychiatric disorders are at risk of poor
outcomes. However, there is limited evidence regarding the relationship between
parental psychiatric disorders and child school readiness, which is linked to
later academic achievement. This study aims to investigate these relationships
and broaden the evidence underlying the rationale for family-focused
interventions for parental psychiatric disorders. METHOD: This study used linked
administrative data. Children's school readiness in multiple developmental
domains (physical, social, emotional, communicative, cognitive) was measured by
the Australian Early Development Census (AEDC) for 19 071 Western Australian
children (mean age 5.5 years). Children scoring in the bottom 25% on any AEDC
domain were considered developmentally vulnerable, or at risk of vulnerability,
on that domain. Biological child-parent pairs were identified using birth
records. Parents with psychiatric disorders were identified from hospital
records, which included information on diagnosis and frequency/duration of
psychiatric admissions. Logistic regressions, adjusted for parent age, mother's
marital status, child Aboriginality, child English language status, local
community remoteness and socioeconomic index, estimated the odds of children
being vulnerable/at-risk on each of the AEDC domains. RESULTS: A total of 719
mothers and 417 fathers had a psychiatric hospitalisation during the study period
(12 months prior to the child's birth, up to the end of 2009). Children whose
parents had psychiatric disorders had increased odds of being classified as
vulnerable/at-risk for school readiness. This increase in odds was evident for
both maternal (adjusted odds ratio, aOR 1.37- 1.51) and paternal psychiatric
disorders (aOR 1.38-1.50); and for a single admission of one day (aOR 1.32-1.59),
a single admission of multiple days (aOR 1.30-1.47), and multiple admissions (aOR
1.35-1.63). Some variability in child outcome was found depending on the parents'
psychiatric diagnosis (mood, anxiety, substance abuse or comorbid disorder).
CONCLUSIONS: Children of parents who have been hospitalised with psychiatric
disorders are at risk for poor school readiness. These findings add support to
recommendations that mental health professionals consider dependent children in
discharge and treatment planning for adult psychiatric inpatients. It is also
important to ensure that the impact of psychiatric illness in fathers is not
overlooked in assessment and intervention. Family-based approaches to adult
psychiatric care could meet the dual needs of intervention for parents and
preventative measures for children. These findings can inform policy regarding
the importance of integrating and coordinating services to meet the needs of
families.
PMID- 29633683
TI - Bovine herpes virus type-4 infection among postpartum dairy cows in California:
risk factors and phylogenetic analysis.
AB - The aims of this study were to determine the prevalence and associated risk
factors of bovine herpes virus type-4 (BoHV-4) infection and describe the genetic
characteristics and predominant genotypes of the virus in Yolo and Tulare
counties, California. A cross-sectional study involving multi-stage sampling
technique was used. One hundred and forty-eight post-partum cows were enrolled
from 11 dairy farms. Uterine/vaginal samples were collected and tested for BoHV-4
and other co-infecting viruses using real-time PCR. Data were analysed using
multilevel logistic mixed-effect model. Phylogenetic analysis of 10 BoHV-4
isolates was conducted by targeting three open reading frames (ORF3, ORF8 and ORF
22) of the viral genome. The prevalence of BoHV-4 infection was 22.3% (33/148),
while post-partum metritis was 33.8% (48/142). Strong association was found
between BoHV-4 infection and lactation number, lactation stage and post-partum
metritis. The odds of being positive for BoHV-4 infection were 6.47 times (95% CI
1.17-35.92; P 240 days). Cows with post-partum metritis were 4.51 times (95% CI
1.27-16.02; P < 0.05) more likely to test positive for BoHV-4 infection compared
with those without post-partum metritis. Phylogenetic analysis of BoHV-4 based on
sequencing of glycoprotein and thymidine kinase (TK) genes revealed genetic
variability of the virus with glycoprotein B genotype 1 and TK genotype 2 as
being dominant genotypes. The reported high genetic variability of BoHV-4
indicates the possibility of co-infection with multiple genotypes.
PMID- 29633684
TI - Portuguese Norms of Name Agreement, Concept Familiarity, Subjective Frequency and
Visual Complexity for 150 Colored and Tridimensional Pictures.
AB - Pictures are complex stimuli that require a careful control of several
characteristics and attributes standardized for different languages. In this work
we present for the first time European Portuguese (EP) norms for name agreement,
concept familiarity, subjective frequency and visual complexity for a new set of
150 colored pictures. These pictures were selected to represent exemplars of the
most used semantic categories in research and to depict objects which, though
familiar to the participants, were rarely used in daily life, which makes them
particularly prone to speech failures such as tip-of-the-tongue (TOT) states.
Norms were collected from 640 EP native speakers that rated each picture in the
four variables through a web-survey procedure. Results showed, as expected, that
a large number of pictures in the dataset elicited a TOT response, and
additionally that the ratings obtained in each of the dimensions are in line with
those observed in other pictorial datasets. Norms can be freely downloaded at
https://www.psi.uminho.pt/en/Research/Psycholinguistics/Pages/Databases.aspx.
PMID- 29633685
TI - Bayesian evidence and epidemiological implications of environmental contamination
from acute respiratory infection in long-term care facilities.
AB - Skilled nursing home facilities (SNFs) house a vulnerable population frequently
exposed to respiratory pathogens. Our study aims to gain a better understanding
of the transmission of nursing home-acquired viral respiratory infections in non
epidemic settings. Symptomatic surveillance was performed in three SNFs for
residents exhibiting acute respiratory symptoms. Environmental surveillance of
five high-touch areas was performed to assess possible transmission. All resident
and environmental samples were screened using a commercial multiplex polymerase
chain reaction platform. Bayesian methods were used to evaluate environmental
contamination. Among nursing home residents with respiratory symptoms, 19% had a
detectable viral pathogen (parainfluenza-3, rhinovirus/enterovirus, RSV, or
influenza B). Environmental contamination was found in 20% of total room surface
swabs of symptomatic residents. Environmental and resident results were all
concordant. Target period prevalence among symptomatic residents ranged from 5.5
to 13.3% depending on target. Bayesian analysis quantifies the probability of
environmental shedding due to parainfluenza-3 as 92.4% (95% CI: 86.8-95.8%) and
due to rhinovirus/enterovirus as 65.6% (95% CI: 57.9-72.5%). Our findings confirm
that non-epidemic viral infections are common among SNF residents exhibiting
acute respiratory symptoms and that environmental contamination may facilitate
further spread with considerable epidemiological implications. Findings further
emphasise the importance of environmental infection control for viral respiratory
pathogens in long-term care facilities.
PMID- 29633686
TI - Giardia and Cryptosporidium antibody prevalence and correlates of exposure among
Alaska residents, 2007-2008.
AB - Giardia duodenalis and Cryptosporidium spp. are common intestinal protozoa that
can cause diarrhoeal disease. Although cases of infection with Giardia and
Cryptosporidium have been reported in Alaska, the seroprevalence and correlates
of exposure to these parasites have not been characterised. We conducted a
seroprevalence survey among 887 residents of Alaska, including sport hunters,
wildlife biologists, subsistence bird hunters and their families and non-exposed
persons. We tested serum using a multiplex bead assay to evaluate antibodies to
the Giardia duodenalis variant-specific surface protein conserved structural
regions and to the Cryptosporidium parvum 17- and 27-kDa antigens. Approximately
one third of participants in each group had evidence of exposure to
Cryptosporidium. Prevalence of Giardia antibody was highest among subsistence
hunters and their families (30%), among whom positivity was associated with lack
of community access to in-home running water (adjusted prevalence ratio [aPR]
1.15, 95% confidence interval (CI) 1.02-1.28) or collecting rain, ice, or snow to
use as drinking water (aPR 1.09, 95% CI 1.01-1.18). Improving in-home water
access for entire communities could decrease the risk of exposure to Giardia.
PMID- 29633687
TI - Validation Evidence of the Motivation for Teaching Scale in Secondary Education.
AB - Grounded in self-determination theory, the aim of this study was to develop a
scale with adequate psychometric properties to assess motivation for teaching and
to explain some outcomes of secondary education teachers at work. The sample
comprised 584 secondary education teachers. Analyses supported the five-factor
model (intrinsic motivation, identified regulation, introjected regulation,
external regulation and amotivation) and indicated the presence of a continuum of
self-determination. Evidence of reliability was provided by Cronbach's alpha,
composite reliability and average variance extracted. Multigroup confirmatory
factor analyses supported the partial invariance (configural and metric) of the
scale in different sub-samples, in terms of gender and type of school. Concurrent
validity was analyzed by a structural equation modeling that explained 71% of the
work dedication variance and 69% of the boredom at work variance. Work dedication
was positively predicted by intrinsic motivation (beta = .56, p < .001) and
external regulation (beta = .29, p < .001) and negatively predicted by
introjected regulation (beta = -.22, p < .001) and amotivation (beta = -.49, p <
.001). Boredom at work was negatively predicted by intrinsic motivation (beta =
.28, p < .005) and positively predicted by amotivation (beta = .68, p < .001).
The Motivation for Teaching Scale in Secondary Education (Spanish acronym EME-ES,
Escala de Motivacion por la Ensenanza en Educacion Secundaria) is discussed as a
valid and reliable instrument. This is the first specific scale in the work
context of secondary teachers that has integrated the five-factor structure
together with their dedication and boredom at work.
PMID- 29633688
TI - Brain structural covariance network centrality in maltreated youth with PTSD and
in maltreated youth resilient to PTSD.
AB - Child maltreatment is a major cause of pediatric posttraumatic stress disorder
(PTSD). Previous studies have not investigated potential differences in network
architecture in maltreated youth with PTSD and those resilient to PTSD. High
resolution magnetic resonance imaging brain scans at 3 T were completed in
maltreated youth with PTSD (n = 31), without PTSD (n = 32), and nonmaltreated
controls (n = 57). Structural covariance network architecture was derived from
between-subject intraregional correlations in measures of cortical thickness in
148 cortical regions (nodes). Interregional positive partial correlations
controlling for demographic variables were assessed, and those correlations that
exceeded specified thresholds constituted connections in cortical brain networks.
Four measures of network centrality characterized topology, and the importance of
cortical regions (nodes) within the network architecture were calculated for each
group. Permutation testing and principle component analysis method were employed
to calculate between-group differences. Principle component analysis is a
methodological improvement to methods used in previous brain structural
covariance network studies. Differences in centrality were observed between
groups. Larger centrality was found in maltreated youth with PTSD in the right
posterior cingulate cortex; smaller centrality was detected in the right inferior
frontal cortex compared to youth resilient to PTSD and controls, demonstrating
network characteristics unique to pediatric maltreatment-related PTSD. Larger
centrality was detected in right frontal pole in maltreated youth resilient to
PTSD compared to youth with PTSD and controls, demonstrating structural
covariance network differences in youth resilience to PTSD following
maltreatment. Smaller centrality was found in the left posterior cingulate cortex
and in the right inferior frontal cortex in maltreated youth compared to
controls, demonstrating attributes of structural covariance network topology that
is unique to experiencing maltreatment. This work is the first to identify
cortical thickness-based structural covariance network differences between
maltreated youth with and without PTSD. We demonstrated network differences in
both networks unique to maltreated youth with PTSD and those resilient to PTSD.
The networks identified are important for the successful attainment of age
appropriate social cognition, attention, emotional processing, and inhibitory
control. Our findings in maltreated youth with PTSD versus those without PTSD
suggest vulnerability mechanisms for developing PTSD.
PMID- 29633689
TI - A Comparison of Patients' Local Conceptions of Illness and Medicines in the
Context of C-Reactive Protein Biomarker Testing in Chiang Rai and Yangon.
AB - Antibiotic resistance is not solely a medical but also a social problem,
influenced partly by patients' treatment-seeking behavior and their conceptions
of illness and medicines. Situated within the context of a clinical trial of C
reactive protein (CRP) biomarker testing to reduce antibiotic over-prescription
at the primary care level, our study explores and compares the narratives of 58
fever patients in Chiang Rai (Thailand) and Yangon (Myanmar). Our objectives are
to 1) compare local conceptions of illness and medicines in relation to health
care seeking and antibiotic demand; and to 2) understand how these conceptions
could influence CRP point-of-care testing (POCT) at the primary care level in low
and middle-income country settings. We thereby go beyond the current knowledge
about antimicrobial resistance and CRP POCT, which consists primarily of clinical
research and quantitative data. We find that CRP POCT in Chiang Rai and Yangon
interacted with fever patients' preexisting conceptions of illness and medicines,
their treatment-seeking behavior, and their health-care experiences, which has
led to new interpretations of the test, potentially unforeseen exclusion
patterns, implications for patients' self-assessed illness severity, and an
increase in the status of the formal health-care facilities that provide the
test. Although we expected that local conceptions of illness diverge from inbuilt
assumptions of clinical interventions, we conclude that this mismatch can
undermine the intervention and potentially reproduce problematic equity patterns
among CRP POCT users and nonusers. As a partial solution, implementers may
consider applying the test after clinical examination to validate rather than
direct prescription processes.
PMID- 29633690
TI - Genomic Characterization and Seroprevalence Studies on Alphaviruses in Uruguay.
AB - Alphaviruses (Togaviridae) are arboviruses frequently associated with emerging
infectious diseases. In this study, we aimed to investigate the presence of
alphaviruses in Uruguay by detecting the viral genome in mosquitoes and
neutralizing antibodies in equines. A total of 3,575 mosquitoes were analyzed for
alphavirus genome detection. Serologic studies were performed on 425 horse sera
by plaque reduction neutralization test (PRNT80) against Venezuelan equine
encephalitis virus (VEEV) subtype IAB, Pixuna virus (PIXV), Rio Negro virus
(RNV), western equine encephalitis virus (WEEV), and Madariaga virus (MADV).
Mosquitoes belonging to six genera were captured and 82.9% were identified as
Culex pipiens. Two Cx. pipiens pools collected in Fray Bentos and Las Toscas
localities were alphavirus positive, and phylogenetic analyses showed that the
sequences grouped into two different clusters: the lineage I of eastern equine
encephalitis virus and RNV (VEEV complex), respectively. Plaque reduction
neutralization test assays showed antibodies against strains of the VEEV complex,
MADV, and WEEV. Rio Negro virus was the most geographically widespread virus,
showing higher seroprevalences (up to 20%). Seroprevalences against VEEV IAB
ranged between 4.6% and 13%; antibodies against PIXV, WEEV, and MADV were less
frequent (3-4%). In conclusion, RNV exhibited the highest seroprevalence in
horses, a wide geographical distribution, and viral genome was detected in Cx.
pipiens mosquitoes. Madariaga virus had a low seroprevalence in equines, but an
epizootic lineage typical of North America was detected in Cx. pipiens
mosquitoes. Taken together, our results show that alphaviruses are present in
Uruguay with variable occurrence and geographical distribution being a potential
threat for human and equine health.
PMID- 29633691
TI - Mechanical thrombectomy for acute ischemic stroke: the therapeutic window is
larger but still "time is brain".
PMID- 29633692
TI - Pharmacological differences and clinical implications of various botulinum toxin
preparations: a critical appraisal.
AB - Three different type A botulinum neurotoxins (BoNTAs) - onabotulinumtoxinA,
abobotulinumtoxinA and incobotulinumtoxinA) - are currently marketed in Europe to
treat several conditions. Differences between BoNTA preparations, which depend on
their specific biotypes and manufacturing processes, lead to clinically relevant
pharmacotherapeutic dissimilarities. All three available products are separately
recognized and reviewed in American Academy of Neurology guidelines. The
neurotoxin load/100U is likewise different among the different BoNTAs, with the
result that the specific potency of the 150kD BoNTA neurotoxin is calculated as
137 units/ng for onabotulinumtoxinA, 154 units/ng for abobotulinumtoxinA, and 227
units/ng for incobotulinumtoxinA. It is important for clinicians to have all
three BoNTAs available in order to choose the most suitable preparation for the
specific indication in the single patient. Commercially available BoNTAs must be
recognized as different from one another, and therefore as non-interchangeable.
The essential experience of the clinician is of the utmost importance in choosing
the most appropriate treatment.
PMID- 29633693
TI - Multicentre registry of brain-injured patients with disorder of consciousness:
rationale and preliminary data.
AB - Diagnostic accuracy and reliable estimation of clinical evolution are challenging
issues in the management of patients with disorders of consciousness (DoC).
Longitudinal systematic investigations conducted in large cohorts of patients
with DoC could make it possible to identify reliable diagnostic and prognostic
markers. On the basis of this consideration, we devised a multicentre prospective
registry for patients with DoC admitted to ten intensive rehabilitation units.
The registry collects homogeneous and detailed data on patients' demographic and
clinical features, neurophysiological and neuroimaging findings, and medical and
surgical complications. Here we present the rationale and the design of the
registry and the preliminary results obtained in 53 patients with DoC (vegetative
state or minimally conscious state) enrolled during the first seven months of the
study. Data at 6-month post-injury follow-up were available for 46 of them. This
registry could be an important tool for collecting high-quality data through the
application of rigorous methods, and it could be used in the routine management
of patients with DoC admitted to rehabilitation settings.
PMID- 29633694
TI - Apathy in Parkinson's disease: differences between caregiver's report and self
evaluation.
AB - Apathy is a state of diminished goal-directed speech, motor activity and
emotions. The prevalence of apathy in Parkinson's disease (PD) ranges from 16 to
62%. Several studies have investigated the relationships between apathy and other
dimensions of PD, but little is known about possible discrepancies between self
evaluation (SE) and caregiver reporting (CR) of this symptom. The aim of this
study is twofold: 1) to investigate the differences in apathy evaluations
according to the point of view from which apathy is reported (SE vs CR); 2) to
identify the possible relationships between each of the two evaluations (SE and
CR) and cognitive and affective dimensions of PD. Forty-eight patients with PD
were assessed using the Apathy Evaluation Scale (AES) in its SE and CR versions
(AES-SE and AES-CR); cognitive, affective and behavioral symptoms were also
assessed. AES-SE scores were significantly higher than AESCR ones. Neither AES
version correlated with depression, whereas both correlated with motor
impairment, disease stage and behavioral symptoms. Mini-Mental State Examination
and Frontal Assessment Battery scores showed significant negative correlations
only with AES-SE scores. Our findings suggest that the point of view from which
apathy is seen can lead to significant discrepancies, even when using the same
tool. This should be taken into account in order to obtain correct assessment of
this disabling and distressing symptom.
PMID- 29633695
TI - Management of spasticity with onabotulinumtoxinA: practical guidance based on the
italian real-life post-stroke spasticity survey.
AB - The present paper provides practical guidance on the management of adult
spasticity with OnabotulinumtoxinA. Advisory Board members reviewed the available
evidence and discussed their personal experiences in order to address the unmet
needs in the management of spasticity with botulinum toxin type A identified by
the recent Italian Real-Life Post-Stroke Spasticity Survey. Stroke patients
should be referred to spasticity services that have adequate facilities and
multidisciplinary teams with the necessary training, competence and expertise.
The current literature shows a strong correlation between the development of post
stroke spasticity and the degree of central sensorimotor system
destruction/disorganization. Use of tools such as the Poststroke Checklist may
help clinicians in the long-term follow-up of stroke patients. The maximum dose
of onabotulinumtoxinA - according to the current literature this ranges from 300U
to 400U for upper limb and from 500U to 600U for lower limb aggregate postures -
should be re-considered. In addition, there is a need for future consensus (also
based on pharmacoeconomic considerations) on consistent clinical care models for
the management of patients with post-stroke spasticity.
PMID- 29633696
TI - A role for accelerometry in the differential diagnosis of tremor syndromes.
AB - Accelerometry is a reliable tool for gauging the occurrence, amplitude and
frequency of tremor. However, there is no consensus on criteria for
accelerometric diagnosis of tremor syndromes. We enrolled 20 patients with
essential tremor (ET), 20 with dystonic tremor (DT), and 20 with classic
parkinsonian tremor (PD-T), all meeting accepted clinical criteria. All the
patients underwent dopamine transporter imaging (by means of single-photon
emission computed tomography) and triaxial accelerometric tremor analysis. The
latter revealed groupwise differences in tremor frequency, peak dispersion,
spectral coherence, unilaterality and resting vs action tremor amplitude. From
the above, five diagnostic criteria were extrapolated for each condition.
Receiver operating characteristic curves, depicting criteriabased scoring of each
tremor type, showed negligible declines in specificity for scores >=4 in patients
with ET or DT and scores >=3 in patients with PD-T, thus providing a simple
scoring method (accelerometrically derived) for differential diagnosis of the
principal tremor syndromes.
PMID- 29633697
TI - Effectiveness of a digital platform for sharing knowledge on headache management:
a two-year experience.
AB - It is crucial that all headache specialists receive adequate training.
Considering the unsatisfactory results obtained with standard updating courses
and the growing need for continuing professional education, a digital platform
was developed as a training tool. The platform has been active since 1 October
2014. It is readily accessible to doctors by free registration. Users have access
to all the material available on the platform, which includes scientific
articles, e-books, presentations and images. Users can share their own material
and clinical cases directly. At the time of this study, the platform had 37
users. In the second year following its launch 316 files were downloaded and five
discussions were started. These saw 22 contributions. Fifteen of the 37 members
did not perform any action on the platform. In total, 74 files were uploaded in
the second year of activity, but 90% of the contributions came from a very small
group of users. There were no significant differences in use of the platform
between members of the Italian Society for the Study of Headache and other
specialists. Even though the platform appears to be an easily accessible,
interactive and inexpensive instrument, the higher number of downloads than
uploads suggests that it is used passively.
PMID- 29633698
TI - If you want to understand what it really means to live with cluster headache,
imagine... fostering empathy through European patients' own stories of their
experiences.
AB - Cluster headache (CH) is arguably the most severe pain condition that afflicts
humans. The severity of the pain has earned it the nickname "suicide headache".
Understanding how CH impacts on those who suffer from it is essential, because
this understanding creates empathy, which is so valuable to these patients. On
the occasion of Cluster Headache Day 2017, we asked CH patients from different
European countries to share their experiences, in order to help people to imagine
what it means to live with the condition. Here, we look at some extracts from
their stories. These CH patients' direct accounts provide an important
illustration of the clinical features and consequences of the disease, helping to
contextualise it and its psychological and social consequences. Reports of CH
patients' first-person accounts might help to underline the physicians' role and
responsibility with regard to the psychological and social consequences of this
disease.
PMID- 29633700
TI - Optimizing renal replacement therapy deliverables through multidisciplinary work
in the intensive care unit.
PMID- 29633701
TI - Challenges of performing renal replacement therapy in the intensive care unit -
The nephrologist perspective.
PMID- 29633699
TI - Pharmacokinetics of immediate release, extended release, and gastric retentive
gabapentin formulations in healthy adults?.
AB - OBJECTIVE: Gabapentin immediate release (GBP-IR), gabapentin gastric retentive
(GBP-GR), and the prodrug gabapentin enacarbil extended release formulation (GEn)
have been approved for management of postherpetic neuralgia (PHN) in adults. This
is the first pharmacokinetic (PK) comparison of all three formulations using FDA
recommended doses for PHN. MATERIALS: This study compared the steady-state PK of
GBP-IR 600 mg t.i.d., GBP-GR 1,800 mg q.d., and GEn 600 mg b.i.d. in healthy
adults. METHODS: The open-label study consisted of a 3-day lead-in of escalating
doses of GBP-IR, 5 days of treatment with each formulation (GPB-IR, GPB-GR, and
GEn), and a 7-day taper period on 600 mg GEn q.d.. Plasma concentrations were
collected on day 5 for each formulation. PK parameters were estimated from plasma
concentration data. RESULTS: 14 healthy subjects (7 men, 7 women; mean (SD) age,
46.8 (7.60) years; mean (SD) body mass index, 26.7 (1.7) kg/m2)
received all doses and completed the study. GBP-GR resulted in substantially (~ 4
fold) higher peak-to-trough ratio and percent fluctuation compared to GEn. GEn
resulted in more sustained and less fluctuating daily exposure relative to GBP
IR, particularly at the end of 24 hours of dosing. In contrast, gabapentin
fluctuation from GBP-IR consisted of 3 distinct peaks. After dose normalization,
gabapentin exposure with GEn was ~ 2.2-fold and ~ 1.4-fold higher compared to GBP
GR and GBP-IR, respectively. All treatments were well tolerated. CONCLUSION: GEn
requires less frequent dosing compared with GBP-IR and fluctuates less with
sustained gabapentin exposure throughout the day. These PK differences may have
clinically relevant implications.?.
PMID- 29633702
TI - Challenges of performing renal replacement therapy in the intensive care unit -
The intensivist perspective.
PMID- 29633703
TI - Proteinuria: A presenting manifestation of mirror syndrome in pregnancy?.
AB - Hypertension and proteinuria in pregnant women are most commonly signs of
preeclampsia which develops after 20 weeks of gestation. There are rare
incidences of uncontrolled hypertension and nephrotic range proteinuria even in
the first trimester of pregnancy which can be indicators of severe underlying
fetal and placental abnormalities rather than preeclampsia. A G2P0 30-year-old
Caucasian woman was admitted to University Hospital for the incidental finding of
severe hypertension and proteinuria during her regular prenatal checkup at 14
weeks. She had complaints of mild bifrontal headache, facial and lower extremity
edema. Her admission blood pressure was 193/108 mmHg, she had 8 g proteinuria,
normal creatinine, and negative immunological and infectious workup. Further
evaluation with dedicated obstetric ultrasonography showed hydropic placenta and
fetus with aneuploidy. These findings strengthened the suspicion for a rare
disease process called mirror syndrome, and emergent delivery was done to treat
maternal disease process. Mirror syndrome is a rare disease that occurs basically
due to fetal/placental pathology. It can present at any gestational period, and
the clinical features include edema, proteinuria, and hypertension, mimicking
preeclampsia. Prompt diagnosis and treatment is very crucial to prevent maternal
complications.?.
PMID- 29633704
TI - Diastolic blood pressure variability in 24 hour-ABPM and outcomes of chronic
kidney disease?.
AB - BACKGROUND: Blood pressure variability (BPV) has been associated with increased
morbidity and mortality. There are a few studies that reported worse outcomes of
chronic kidney disease (CKD) with greater visit-to-visit BPV (VVV), but data with
ambulatory blood pressure monitoring (ABPM) is scarce. MATERIALS AND METHODS:
Ambulatory hypertensive CKD (stage 2 - 4) patients (> 18 years old) with complete
24 hours of ABPM study (SpaceLabs), who were followed up between January 2012 and
December 2016, were retrospectively analyzed for the baseline characteristics and
outcomes of CKD. Coefficient of variation (CV) in diastolic blood pressure (DBP)
was used as an index of BPV. RESULTS: Data of 191 patients (mean age 59.7 +/-
12.4 years, 54.9% males, 42.1% diabetic, mean eGFR-EPI (Chronic Kidney Disease
Epidemiology Collaboration) 51.7 +/- 22.0 mL/min/1.73m2, mean follow-up 26.2 +/-
10.4 months) were available for the analysis. On multivariate linear regression
analysis, greater DBP-CV was associated with slower decline in eGFR-EPI per year
(B -0.648, p = 0.000). Likewise, the hazard ratio (HR) for dialysis inception
(occurred in 9.4%) was found significantly lower with increasing DBP-CV in
unadjusted and fully adjusted Cox models (HR 0.730, 95% CI 0.618 - 0.861, p =
0.000, and HR 0.678, 95% CI 0.526 - 0.874, p = 0.003, respectively). CONCLUSION:
These findings suggest that DBP variability in 24-hour ABPM may be a good
prognostic factor for the outcomes of CKD. Further studies are needed to
determine the impact of 24-hour ABPM BPV on CKD progression and its differences
from VVV.?.
PMID- 29633705
TI - Early postoperative measurement of fibroblast growth factor 23 predicts severe
acute kidney injury in infants after cardiac surgery?.
AB - AIMS: Acute kidney injury (AKI) occurs in 30 - 40% of children after cardiac
surgery (CS) and is associated with poor prognosis. Fibroblast growth factor 23
(FGF23) is a bone-derived hormone with a pivotal role in phosphorus and vitamin D
metabolism. We assessed FGF23 as an early marker for severe AKI (sAKI) in infants
after CS. MATERIALS AND METHODS: Samples were previously collected in a
multicenter observational study from children after CS. Serum FGF23 (n = 41) and
urine AKI biomarker levels (n = 35) were assessed 4 - 8 hours after bypass. sAKI
was defined as >= 100% rise in serum creatinine over baseline. Non-parametric and
ROC analyses were used to evaluate the association between FGF23, urine AKI
markers, and sAKI in the week after CS. RESULTS: Serum FGF23, urine NGAL, and
urine KIM1 were higher in sAKI patients. The AUC-ROC for urine NGAL (0.74, [0.49
0.99]), urine KIM1 (0.79, [0.68 - 0.98]), and serum FGF23 (0.74, [0.5 - 0.9])
showed fair prediction of sAKI. CONCLUSION: Early measurement of FGF23 has
predictive ability in infants who develop sAKI after CS with cardiopulmonary
bypass.?.
PMID- 29633706
TI - A preliminary survey of Trichinella spp. in pigs raised under controlled housing
conditions in Colombia: 2014-2016.
AB - A preliminary survey of Trichinella spp. infection was conducted in Colombian
swine herds between 2014 and 2016. A total of 1,773 pigs reared on farms under
controlled housing conditions and processed in 34 slaughterhouses were tested
either by the artificial digestion of pooled muscle samples (n = 1,173) or by
serology (n = 600). In addition, 550 rats trapped on 29 swine farm premises were
also tested by artificial digestion. No positive pig samples were detected.
Similarly, no Trichinella spp. muscle larvae were detected in rats. These results
are in agreement with the lack of historical Trichinella infection reports in
domestic and wild animals and humans in Colombia. However, a more extensive
epidemiological investigation and a continuous surveillance program are needed to
continue declaring swine herds in Colombia free of Trichinella infection.
PMID- 29633707
TI - A tripartite survey of hyperparasitic fungi associated with ectoparasitic flies
on bats (Mammalia: Chiroptera) in a neotropical cloud forest in Panama.
AB - The Darien province in eastern Panama is one of the most unexplored and
biodiverse regions in the world. The Chucanti Nature Reserve, in Serrania de
Maje, consists of a diverse tropical cloud forest ecosystem. The aim of this
research was to explore and study host associations of a tripartite system of
bats, ectoparasitic flies on bats (Diptera, Streblidae), and ectoparasitic fungi
(Ascomycota, Laboulbeniales) that use bat flies as hosts. We captured bats at
Chucanti, screened each bat for presence of bat flies, and screened collected bat
flies for presence of Laboulbeniales. We mistnetted for 68 mistnet hours and
captured 227 bats representing 17 species. We captured Micronycteris schmidtorum,
a species previously unreported in Darien. In addition, we encountered the rarely
collected Platyrrhinus dorsalis, representing the westernmost report for this
species. Of all captured bats, 148 carried bat flies (65%). The number of sampled
bat flies was 437, representing 16 species. One species represents a new country
record (Trichobius anducei) and five species represent first reports for Darien
(Basilia anceps, Anatrichobius scorzai, Nycterophilia parnelli, T. johnsonae, T.
parasiticus). All 74 bat fly species currently reported in Panama are presented
in tabulated form. Of all screened bat flies, 30 bore Laboulbeniales fungi (7%).
Based on both morphology and large ribosomal subunit (LSU) sequence data, we
delimited 7 species of Laboulbeniales: Gloeandromyces nycteribiidarum (newly
reported for Panama), G. pageanus, G. streblae, Nycteromyces streblidinus, and 3
undescribed species. Of the 30 infected flies, 21 were Trichobius joblingi. This
species was the only host on which we observed double infections of
Laboulbeniales.
PMID- 29633708
TI - Molecular and serological prevalence of Toxoplasma gondii and Anaplasma spp.
infection in goats from Chongqing Municipality, China.
AB - Toxoplasmosis and anaplasmosis are severe zoonotic diseases, the former caused by
Toxoplasma gondii and the latter by Anaplasma spp. In the present study, 332 goat
blood samples were randomly collected from Chongqing Municipality, China to
screen for T. gondii and Anaplasma spp. We used a polymerase chain reaction (PCR)
to detect DNA, and enzyme-linked immunosorbent assay (ELISA) to test for T.
gondii antibodies. The prevalence of T. gondii and Anaplasma spp. was 38% and 35%
respectively by PCR, and 42% for T. gondii antibodies by ELISA. The co-infection
rate by T. gondii and Anaplasma was 13%, where the two predominant pathogens co
infecting were Anaplasma phagocytophilum + A. bovis (10%), followed by T. gondii
+ A. phagocytophilum (9.64%). While co-infection by three pathogens varied
ranging from 1.81% to 5.72%, less than 1% of goats were found to be positive for
four pathogens. This is the first investigation of T. gondii and Anaplasma spp.
infection in goats from Chongqing.
PMID- 29633709
TI - Seroprevalence of Toxoplasma gondii in free-living European mouflon (Ovis
orientalis musimon) hunted in central Germany.
AB - Despite increasing consumption of mouflon (Ovis orientalis musimon) meat in
Germany, there is currently no surveillance of Toxoplasma gondii infection in
populations of these animals and generally little knowledge about the prevalence
of this protozoan in German wild ungulates. Between 2011 and 2015, we collected
138 blood samples from a free-living mouflon population in central German and
tested sera for the presence of T. gondii antibodies using a modified
agglutination test (MAT, cut-off 1:20). Antibodies were detected in 31 of the 138
samples (22.46%). There was a significant difference in seroprevalence between
the different age classes, with antibodies to T. gondii more frequent in adults.
In contrast, there was no significant difference in seroprevalence depending on
sex and year of sample collection. Game meat is frequently consumed as raw or
undercooked meat and may therefore represent a potential source of human
infection with T. gondii.
PMID- 29633710
TI - Angiostrongylus costaricensis infection in Martinique, Lesser Antilles, from 2000
to 2017.
AB - Human abdominal angiostrongyliasis (HAA) is a parasitic disease caused by the
accidental ingestion of the nematode Angiostrongylus costaricensis in its larval
form. Human infection can lead to severe ischemic and inflammatory intestinal
lesions, sometimes complicated by life-threatening ileal perforations. Only one
case had been reported in Martinique, an Island in the French Antilles, in 1988.
We retrospectively reviewed the medical charts of patients diagnosed with
abdominal angiostrongyliasis at the University Hospital of Martinique between
2000 and 2017. The objectives of this study were to evaluate the incidence and
perform a descriptive analysis of the clinical, biological, radiological, and
histopathological features of HAA in Martinique. Two confirmed cases and two
probable cases were identified in patients aged from 1 to 21 years during the 18
year period, with an estimated incidence of 0.2 cases per year (0.003
case/year/100.000 inhabitants (IC95% = 0.00-0.05)). All patients presented with
abdominal pain associated with high blood eosinophilia (median: 7.24 G/L [min
4.25; max 52.28 G/L]). Two developed ileal perforation and were managed by
surgery, with diagnostic confirmation based on histopathological findings on
surgical specimens. The other two cases were probable, with serum specimens
reactive to Angiostrongylus sp. antigen in the absence of surgery. All cases
improved without sequelae. The description of this case series highlights the
need to increase awareness of this life-threatening disease in the medical
community and to facilitate access to specific diagnostic tools in Martinique.
Environmental and epidemiological studies are needed to broaden our knowledge of
the burden of this disease.
PMID- 29633712
TI - Regaining the senses of touch and movement.
AB - Artificially activating neurons in the cortex can make a tetraplegic patient feel
naturalistic sensations of skin pressure and arm movement.
PMID- 29633713
TI - Correction: PGAM5 promotes lasting FoxO activation after developmental
mitochondrial stress and extends lifespan in Drosophila.
PMID- 29633711
TI - Dynamic representation of 3D auditory space in the midbrain of the free-flying
echolocating bat.
AB - Essential to spatial orientation in the natural environment is a dynamic
representation of direction and distance to objects. Despite the importance of 3D
spatial localization to parse objects in the environment and to guide movement,
most neurophysiological investigations of sensory mapping have been limited to
studies of restrained subjects, tested with 2D, artificial stimuli. Here, we show
for the first time that sensory neurons in the midbrain superior colliculus (SC)
of the free-flying echolocating bat encode 3D egocentric space, and that the
bat's inspection of objects in the physical environment sharpens tuning of single
neurons, and shifts peak responses to represent closer distances. These findings
emerged from wireless neural recordings in free-flying bats, in combination with
an echo model that computes the animal's instantaneous stimulus space. Our
research reveals dynamic 3D space coding in a freely moving mammal engaged in a
real-world navigation task.
PMID- 29633715
TI - Willingness for deceased organ donation under different legislative systems in
Hong Kong: population-based cross-sectional survey.
AB - INTRODUCTION: Under the current opt-in system, the deceased organ donation rate
remains low in Hong Kong. An opt-out system and an opt-in system combined with
organ allocation priority (ie, priority to donors as transplant recipients) have
been proposed to encourage willingness among the general population towards
deceased organ donation. This study aimed to compare willingness, and its
determinants, across these three legislative systems. METHODS: A random telephone
survey of Hong Kong permanent residents aged >=18 years was conducted between
August and October 2016 using an anonymous questionnaire. Willingness towards
deceased organ donation was compared between the legislative systems with
McNemar's test. Determinants of willingness were tested by logistic regression.
RESULTS: The proportion of those willing to consider deceased organ donation
under the current opt-in system would significantly increase after combining it
with allocation priority (64.5% vs 73.4%; P=0.018). "Fairness or reciprocity" was
the major reported reason underlying the increase. In contrast, willingness would
decrease after introducing the opt-out system (60.1%), although not significantly
(P=0.336). The reduction might be attributable to a "belief of being forced to
donate". Under the allocation priority system, reduced willingness to donate was
associated with advanced age, lower educational attainment, and lower monthly
household income. Under the opt-out system, reduced willingness was associated
with being married, having a lower household income, and distrust of local
government. CONCLUSIONS: An opt-in system with allocation priority could induce
willingness to donate, whereas an opt-out system may reduce willingness. The
findings have implications for policy-making and promotion of organ donation.
PMID- 29633716
TI - Enhancing hydrogen storage performances of MgH2 by Ni nano-particles over
mesoporous carbon CMK-3.
AB - Nano-dispersed Ni particles over mesoporous carbon material CMK-3 (Ni/CMK-3) was
fabricated by means of impregnation-reduction strategy using precursor NiCl2 .
6H2O, which is beneficial to improving the de/rehydrogenation performances of
MgH2. The dehydrogenation onset temperature of MgH2-Ni/CMK-3 is significantly
lowered by 170 K from that of pristine MgH2 (around 603 K). Totally 5.9 wt% of
hydrogen absorption capacity is liberated within 1 h at a temperature of 423 K
under a pressure of 3 MPa. This composite can absorb 3.9 wt% hydrogen even at a
temperature of 328 K under 3 MPa H2. Activation energy values of both
dehydrogenation (43.4 kJ mol-1) and rehydrogenation (37.4 kJ mol-1) for MgH2
Ni/CMK-3 are greatly enhanced from those of as-milled MgH2. Ni/CMK-3 also
slightly destabilizes the dehydrogenation of MgH2 by 1.5 kJ mol [Formula: see
text] The enhanced performances can be attributed to the synergistic effects of
both destabilization and activation from nano-dispersed Ni particles.
PMID- 29633714
TI - Proprioceptive and cutaneous sensations in humans elicited by intracortical
microstimulation.
AB - Pioneering work with nonhuman primates and recent human studies established
intracortical microstimulation (ICMS) in primary somatosensory cortex (S1) as a
method of inducing discriminable artificial sensation. However, these artificial
sensations do not yet provide the breadth of cutaneous and proprioceptive
percepts available through natural stimulation. In a tetraplegic human with two
microelectrode arrays implanted in S1, we report replicable elicitations of
sensations in both the cutaneous and proprioceptive modalities localized to the
contralateral arm, dependent on both amplitude and frequency of stimulation.
Furthermore, we found a subset of electrodes that exhibited multimodal
properties, and that proprioceptive percepts on these electrodes were associated
with higher amplitudes, irrespective of the frequency. These novel results
demonstrate the ability to provide naturalistic percepts through ICMS that can
more closely mimic the body's natural physiological capabilities. Furthermore,
delivering both cutaneous and proprioceptive sensations through artificial
somatosensory feedback could improve performance and embodiment in brain-machine
interfaces.
PMID- 29633717
TI - Thermoelectricity in correlated narrow-gap semiconductors.
AB - We review many-body effects, their microscopic origin, as well as their impact on
thermoelectricity in correlated narrow-gap semiconductors. Members of this class
such as FeSi and FeSb2-display an unusual temperature dependence in various
observables: insulating with large thermopowers at low temperatures, they turn
bad metals at temperatures much smaller than the size of their gaps. This
insulator-to-metal crossover is accompanied by spectral weight-transfers over
large energies in the optical conductivity and by a gradual transition from
activated to Curie-Weiss-like behaviour in the magnetic susceptibility. We show a
retrospective of the understanding of these phenomena, discuss the relation to
heavy-fermion Kondo insulators-such as Ce3Bi4Pt3 for which we present new results
and propose a general classification of paramagnetic insulators. From the latter,
FeSi emerges as an orbital-selective Kondo insulator. Focussing on intermetallics
such as silicides, antimonides, skutterudites, and Heusler compounds we showcase
successes and challenges for the realistic simulation of transport properties in
the presence of electronic correlations. Further, we explore new avenues in which
electronic correlations may contribute to the improvement of thermoelectric
performance.
PMID- 29633718
TI - Laser irradiation-induced laminated graphene/MoS2 composites with synergistically
improved tribological properties.
AB - Engineering lubricant additives that have extraordinary friction reduction and
anti-wear performance is critical to almost any modern mechanical machines. Here,
we demonstrate the fabrication of laminated lubricant additives that can combine
the advantages of zero-dimensional nanospheres and two-dimensional nanosheets. A
simple in situ laser irradiation method is developed to prepare the laminated
composite structure composed of ideally ultrasmooth MoS2 sub-microspheres
embedded within multiple layers of graphene. These ultrasmooth MoS2 spheres
within the laminated structure can change sliding friction into rolling friction
under strong shear force created by moving contact surfaces to significantly
reduce the friction. Meantime, the graphene layers can behave as 'protection
pads' to efficiently avoid the formation of scars on the metal-to-metal contact
surfaces. Overall, the laminated composites as lubricant additives
synergistically improve the friction reduction and anti-wear properties.
Additionally, due to the unique loosely packed laminated structure, the
composites can stably disperse in the lubricant for more than 15 d and work under
high temperatures without being oxidized. Such constructed laminated composites
with outstanding tribological properties by an in situ laser irradiation method
supply a new concept in designing lubricant additives that can combine the
advantages of 0D and 2D structures.
PMID- 29633719
TI - Magnetic reversal and thermal stability of CoFeB perpendicular magnetic tunnel
junction arrays patterned by block copolymer lithography.
AB - Dense arrays of pillars, with diameters of 64 and 25 nm, were made from a
perpendicular CoFeB magnetic tunnel junction thin film stack using block
copolymer lithography. While the soft layer and hard layer in the 64 nm pillars
reverse at different fields, the reversal of the two layers in the 25 nm pillars
could not be distinguished, attributed to the strong interlayer magnetostatic
coupling. First-order reversal curves were used to identify the steps that occur
during switching, and the thermal stability and effective switching volume were
determined from scan rate dependent hysteresis measurements.
PMID- 29633720
TI - Use of active personal dosimeters in hospitals: EURADOS survey.
AB - Considering that occupational exposure in medicine is a matter of growing
concern, active personal dosimeters (APDs) are also increasingly being used in
different fields of application of ionising radiation in medicine. An extensive
survey to collect relevant information regarding the use of APDs in medical
imaging applications of ionising radiation was organised by the EURADOS (European
Radiation Dosimetry Group) Working Group 12. The objective was to collect data
about the use of APDs and to identify the basic problems in the use of APDs in
hospitals. APDs are most frequently used in interventional radiology and
cardiology departments (54%), in nuclear medicine (29%), and in radiotherapy
(12%). Most types of APDs use silicon diodes as the detector; however, in many
cases their calibration is not given proper attention, as radiation beam
qualities in which they are calibrated differ significantly from those in which
they are actually used. The survey revealed problems related to the use of APDs,
including their reliability in pulsed x-ray fields that are widely used in
hospitals. Guidance from regulatory authorities and professional organisations on
the testing and calibration of APDs used in hospital would likely improve the
situation.
PMID- 29633721
TI - Fractal form PEDOT/Au assemblies as thin-film neural interface materials.
AB - Electrically conducting polymer formulations have emerged as promising approaches
for the development of interfaces and scaffolds in neural engineering,
facilitating the development of physicochemically modified constructs capable of
cell stimulation through electrical and ionic charge transfer. In particular,
topographically functionalized or neuromorphic materials are able to guide the
growth of axons and promote enhanced interfacing with neuroelectrodes in vitro.
In this study, we present a novel method for the formation of conducting
polymer/gold assemblies via a combinational sputter and spin coating technique.
The resulting multilayered PEDOT/Au substrates possessed enhanced electrochemical
properties as a function of the number of deposited organic/inorganic layers. It
was observed that through subsequent electrochemical conditioning it was possible
to form neuromorphic fractal-like assemblies of gold particles, which
significantly impacted on the electrochemical characteristics of the PEDOT/Au
films. PEDOT/Au assemblies were observed to possess unique topographical
features, advantageous charge storage capacity (34.9 +/- 2.6 mC cm-2) and low
electrical impedance (30 +/- 2 Omega at 1 kHz). Furthermore, PEDOT/Au assemblies
were observed to facilitate the outgrowth of neurites in a mixed ventral
mesencephalon cell population and promotean increase in the neurons/astrocytes
ratio relative to all experimental groups, indicating PEDOT/Au biomimetic
neuromorphic assemblies as promising materials in engineering electrically
conductive neural interface systems.
PMID- 29633722
TI - A novel ratiometric fluorescent sensor for Ag+ based on two fluorophores.
AB - A novel ratiometric fluorescent probe BCB was reported for the first time for the
sensitive and selective analysis of Ag+ based on two Boradiazaindacene (BODIPY)
as both the reference fluorophore and recognition part. Upon the addition of Ag+
ions, a strong fluorescence emission at 570 nm from recognition BODIPY would be
appeared, whereas the fluorescence of the other BODIPY at 535 nm would be a
constant reference fluorescence signal. As a result, the ratio of fluorescence
intensity (lambda570/lambda535) was changed from 0.16 to 1.0. And the selectivity
of BCB towards Ag+ over other metal ion was excellent. Other metal ions, such as
Cd2+, Cu2+, Fe3+, Hg2+, Mg2+, Ni2+, Pb2+ and Zn2+ showed negligible changes in
the both absorption and fluorescence spectra of BCB.
PMID- 29633723
TI - Synaptic characteristics with strong analog potentiation, depression, and short
term to long-term memory transition in a Pt/CeO2/Pt crossbar array structure.
AB - A crossbar array of Pt/CeO2/Pt memristors exhibited the synaptic characteristics
such as analog, reversible, and strong resistance change with a ratio of ~103,
corresponding to wide dynamic range of synaptic weight modulation as potentiation
and depression with respect to the voltage polarity. In addition, it presented
timing-dependent responses such as paired-pulse facilitation and the short-term
to long-term memory transition by increasing amplitude, width, and repetition
number of voltage pulse and reducing the interval time between pulses. The memory
loss with a time was fitted with a stretched exponential relaxation model,
revealing the relation of memory stability with the input stimuli strength. The
resistance change was further enhanced but its stability got worse as increasing
measurement temperature, indicating that the resistance was changed as a result
of voltage- and temperature-dependent electrical charging and discharging to
alter the energy barrier for charge transport. These detailed synaptic
characteristics demonstrated the potential of crossbar array of Pt/CeO2/Pt
memristors as artificial synapses in highly connected neuron-synapse network.
PMID- 29633724
TI - Measurement of scattered and transmitted x-rays from intra-oral and panoramic
dental x-ray equipment.
AB - The aim of this study was to quantify the levels of transmitted radiation arising
from the use of intra-oral dental x-ray equipment and scattered radiation arising
from the use of both intra-oral and panoramic x-ray equipment. Levels of
scattered radiation were measured at 1 m from a phantom, using an ion chamber
with a volume of 1800 cm3. Transmitted radiation was measured using both (i) a
phantom and dose-area product (DAP) meter and (ii) a patient and a 1800 cm3 ion
chamber. For intra-oral radiography the patient study gave a maximum transmission
of 1.80% (range 0.04-1.80%, mean 0.26%) and the phantom study gave a maximum
transmission of 6% (range 2-6%, mean 5%). The maximum scattered radiation, per
unit DAP, was 5.5 nGy (mGy cm2)-1 at 70 kVp and a distance of 1 m. For panoramic
radiography the maximum scattered radiation was 9.3 nGy (mGy cm2)-1 at 80 kVp and
a distance of 1 m. Dose values are presented to enable the calculation of
adequate protective measures for dental radiography rooms. Previous studies have
used a phantom and measured radiation doses at 1 m from the phantom to determine
the radiation dose transmitted through a patient, whereas this study uses both
patient and phantom measurements together with a large-area dosemeter, positioned
to capture the entire x-ray beam, to ensure that more realistic dose measurements
can be made.
PMID- 29633725
TI - Surface plasmon resonance induced enhancement of photoluminescence and Raman line
intensity in SnS quantum dot-Sn nanoparticle hybrid structure.
AB - In this article, we report on enhancement in photoluminescence and Raman line
intensity of SnS quantum dots embedded in a mesh of Sn nanostructures. SnS
nanoparticles synthesized by homogenous precipitation method show strong quantum
confinement with a band gap of ~2.7 eV (blue shift of ~1 eV compared to bulk SnS
particles). The optical band gap of SnS quantum dots is controlled by varying the
pH (~0 to 2.25), ageing time (24 to 144 h) and molarity (0 to 2 M) of the
precursors. These SnS nanoparticles are embedded in a mesh of Sn nanostructures
which are synthesized from tin chloride by using sodium borohydride as reducing
agent. The Sn nanostructures have a morphology dependent, tunable surface plasmon
resonance (SPR), ranging from UV (~295 nm) to visible region (~400 nm) of the
electromagnetic spectrum. In the SnS-Sn nanohybrids, the excitons are strongly
coupled with plasmons leading to a shift in the excitonic binding energy (~400
meV). The pure SnS quantum dots have a very weak photoluminescence peak at ~560
nm and Raman shift of low intensity at 853.08 cm-1, 1078.17 cm-1, 1255.60 cm-1,
1466.91 cm-1. The coupling of SnS nanoparticles with Sn nanoparticles results in
strong exciton-plasmon interactions leading to enhanced photoluminescence and
Raman line intensity. The nanohybrids formed using Sn nanosheets whose SPR
matches with absorption onset of the SnS nanoparticles shows an enhancement of
~104 times higher than pure SnS nanoparticles. Thus, Sn nanosheet with surface
plasmon resonance in visible region (400 nm) like Au and Ag is a promising
material for surface enhanced Raman spectroscopy, plasmon assisted fluorescence
imaging and for enhancing the emission intensity of semiconductors with weak
emission intensity.
PMID- 29633726
TI - Haematological malignancies in Qatar: from childhood to adulthood.
AB - Not available.
PMID- 29633727
TI - Testicular damage in children and adolescents treated for malignancy: a short
review.
AB - Significant advances have been made over recent decades in the treatment of
childhood malignancies. These advances had an incredible cost, as an increasing
number of young survivors suffer subfertility or infertility, because of the high
sensitivity of testicular cells, especially the rapidly dividing germ cells, to
cytotoxic drugs and irradiation. Therefore, the impact of treatment on future
fertility is of significant concern, both to parents and patients. Assessment of
fertility damage in childhood remains problematic. For post-pubertal males, semen
analysis represents a good indicator of spermatogenesis and testicular function,
and allows for sperm cryopreservation. The available method for prepubertal
children is only gonadal tissue cryopreservation. This method is still
experimental and raises ethical concerns. Ideally, a multidisciplinary team
approach needs to be used in addressing the needs of fertility preservation for
this population. Precise knowledge of these issues would help pediatric
oncologists and endocrinologists to counsel their patients and inform them for
factors and resources that may protect or preserve parenthood options in the
future.
PMID- 29633728
TI - The Impact of Iron Overload in Patients with Acute Leukemia and Myelodysplastic
Syndrome on Hepatic and Endocrine functions.
AB - Patients with hematologic malignancies undergoing chemotherapy and requiring
blood transfusion usually have an elevated serum ferritin. These findings have
led to the suggestion that iron overload is common and may have deleterious
effects in these patients. However, the relationship between serum ferritin and
parenchymal iron overload in such patients is unknown. Therefore, we measured the
liver iron content (LIC) by the FerriScan(r) method and investigated the liver
function and some endocrine tests in 27 patients with acute leukemia (AL) or
myelodysplastic syndromes (MDS). Using FerriScan(r) method, the normal mean LIC
levels are: 4.3 +/- 2.9 mg Fe/g dry weight (d.w.). In our patients, the mean
serum ferritin level was 1965 +/- 2428 ng/mL. In our patients, the mean total
iron in the blood received by them was 7177 +/- 5009 mg. In 6 out of 27 patients
LIC was > 7 mg Fe/g d.w. and in 11/27 serum ferritin was > 1000 ng/ml. Measuring
fasting blood glucose revealed 3/27 with diabetes mellitus and 4/27 with impaired
fasting glucose (IFG). All patients had normal serum concentrations of calcium,
parathormone (PTH), free thyroxine (FT4) and thyrotropin (TSH). Four patients had
elevated serum alanine transferase (ALT). LIC was correlated significantly with
ferritin level (r = 0.5666; P < 0.001) and the cumulative amount of iron in the
transfused blood (r = 0.523; P <0.001). LIC was correlated significantly with ALT
(r = 0.277; P = 0.04) and fasting blood glucose (FBG) was correlated
significantly with the amount of iron transfused (r = 0.52, p < 0.01) and ALT
level (r = 0.44; P< 0.01). The age of patients did not correlate with LIC, FBG or
ALT. In conclusions, these results contribute to our understanding of the
prevalence of dysglycemia and hepatic dysfunction in relation to parenchymal iron
overload in patients with hematologic malignancies undergoing chemotherapy and
requiring blood transfusions.
PMID- 29633729
TI - Hypercalcemia and acute pancreatitis in a male patient with acute promyelocytic
leukemia and pulmonary tuberculosis.
AB - We report a rare case of hypercalcemia and acute pancreatitis in a subject with
acute promyelocytic leukemia (APL) and pulmonary tuberculosis, during all-trans
retinoic acid (ATRA) treatment. Both associated complications were potentially
due to several causes. A careful monitoring and exclusion of all causative
factors must be addressed. Further research is necessary to improve our
understanding of risk factors for these complications in patients with (APL).
Studying these patterns may help us to improve outcomes for all children and
young adults with hematologic malignancies.
PMID- 29633730
TI - An uncommon case of chronic myeloid leukemia with variant cytogenetic.
AB - Chronic Myeloid Leukemia (CML) is myeloproliferative neoplasm characterized by
Philadelphia chromosome which is a balanced translocation between chromosome 9
and 22 in 90% of cases. However, variant cytogenetic still happens in 5-10 % of
cases, the importance of which is controversial as well as its response to
therapy, prognosis and progression to acute leukemias. Here we report a male
patient with CML and variant cytogenetic who responded to low dose of Dasatinib
(50 mg daily).
PMID- 29633731
TI - Thrombocytosis in a male patient with acute promyelocytic leukaemia during all
trans retinoic (ATRA) acid treatment.
AB - We present a rather uncommon side effect observed in a 20-year-old man with acute
promyelocytic leukemia during treatment with ATRA. He developed a high platelet
counts reaching up to 1655*109/L on day 29 of ATRA treatment, and started to
recover spontaneously on day 33 of treatment, without any change in ATRA, or
adding any cytoreduction therapy. No complications associated with thrombocytosis
were observed. IL-6 seems to play an important role in the pathogenesis of the
thrombocytosis induced by ATRA. However, it is unclear what are the precipitating
factors for this rare phenomenon and whether it is caused by certain predisposing
factors that might be related to patient's, disease pathogenesis or other unknown
factors.
PMID- 29633732
TI - Chronic Myeloid Leukemia with cryptic Philadelphia translocation and
extramedullary B-lymphoid blast phase as an initial presentation.
AB - Chronic Myeloid Leukemia (CML) is a clonal myeloproliferative neoplasm (MPN)
characterized by the presence of a reciprocal translocation between the long arms
of chromosomes 9 and 22, t(9;22)(q34:q11), resulting in fusion of the break point
cluster region (BCR) with the ABL gene, which forms an oncogene, the transcript
of which is an oncoprotein with a tyrosine kinase function. In the great majority
of CML; BCR/ABL1 is cytogenetically visualized as t(9;22); giving rise to the Ph
chromosome, harboring the chimeric gene. Cryptic or masked translocations occur
in 2-10% patients with no evidence for the BCR/ABL rearrangement by conventional
cytogenetics but are positive by Fluorescence in Situ Hybridization (FISH) and/or
reverse transcriptase polymerase chain reaction (RT-PCR). These patients are
described as Philadelphia negative (Ph negative) BCR/ABL1- positive CML with the
chimeric gene present on the derivative chromosome 22, as in most CML cases, or
alternatively on the derivative 9 in rare occasions. In the majority of cases,
CML is diagnosed in the chronic phase; it is less frequently diagnosed in
accelerated crises, and occasionally, its initial presentation is as acute
leukemia. The prevalence of extramedullary blast phase (BP) has been reported to
be 7-17% in patients with BP. Surprisingly, no extra-medullary blast crises of B-
lymphoid lineage have been reported before among cases of CML as the initial
presentation. We report an adult male diagnosed as CML- chronic phase when he was
shortly presented with treatment-naive extramedullary B-lymphoid blast crises
involving multiple lymph nodes, with no features of acceleration or blast crises
in the peripheral blood (PB) and bone marrow (BM). In addition the patient had
variant/cryptic Philadelphia translocation. This is the first report of CML, on
the best of our knowledge, with extramedullary B-lymphoid blast phase, as initial
presentation, that showed a cryptic Ph translocation.
PMID- 29633733
TI - Diabetic ketoacidosis as the onset of type 1 diabetes in children.
AB - Not available.
PMID- 29633734
TI - Current treatment of hypoparathyroidism: Theory versus reality waiting guidelines
for children and adolescents.
AB - The diagnosis of hypoparathyroidism(HPT)is readily made in the presence of
hypocalcemia with markedly reduced or absent parathormone (PTH) levels. Currently
available treatments for HPT include high dose vitamin D (ergocalciferol, D2 and
cholecalciferol, D3) or, the active metabolite dihydroxy vitamin D (calcitriol),
in addition to calcium supplements.This regimen, if not well monitored, can lead
to hypercalciuria, as PTH deficiency impairs renal calcium reabsorption. Thus the
goal of treatment, is to maintain serum calcium at the low end of the normal
range. Undertreatment can cause symptomatic hypocalcemia, while overtreatment
hypercalciuria, which may lead to nephrolithiasis, nephrocalcinosis, and renal
insufficiency. At present, there is no consensus on the management of HPT in
children and adolescents and only few studies are available on the long term
outcome of patients with recombinant HPT treatment. The purpose of this article
is to review, in a comprehensive manner, the major aspects of HPT management in
children and adolescents waiting for authoritative guidelines for the treatment
of HPT in this group of patients. Further research, addressing specific questions
for this population are urgently needed to improve long-term safety of patients.
Educational interventions are also needed for professionals, parents and patients
to enable them to improve knowledge, quality of life and effective management
care at home.
PMID- 29633735
TI - Endocrinopathies in celiac disease: When the endocrinologist sees what is
invisible to the gastroenterologist.
AB - Celiac disease (CD) is a systemic, immune mediated and genetically determined
small intestinal disorder characterized by intolerance to dietary gluten that
generally presents with gastrointestinal symptoms in young children and extra
intestinal manifestations. Furthermore, there is close association between CD and
endocrine diseases, including diabetes, autoimmune thyroid diseases, growth and
pubertal disorders, etc. probably due to the presence of a common genetic
predisposition. The present review aims to highlight and give more insight to the
endocrine changes in CD, especially when there are few or no gastrointestinal
symptoms and to emphasize on screening opportunities in some endocrine diseases.
PMID- 29633736
TI - Thyroid Disorders in Subjects with Down Syndrome: An Update.
AB - Down syndrome (DS) is the commonest chromosomal disorder among live born infants.
DS is associated with increased risk of endocrine abnormalities particularly
thyroid gland disorders. The spectrum of thyroid dysfunction in patients with DS
include congenital hypothyroidism, subclinical hypothyroidism, acquired
hypothyroidism (autoimmune - non autoimmune), and hyperthyroidism. This review
will focus on the characteristics of the different presentations of thyroid
abnormalities in DS, screening and management recommendations.
PMID- 29633737
TI - Smartphone APPlications in the clinical care and management of Rheumatic
Diseases.
AB - BACKGROUND: Rheumatic diseases (RDs) are the most common cause of severe long
term pain and physical disability, affecting hundreds of millions of people
around the world. Smartphones technology have the potential to become an
important tool that rheumatologist can employ in the clinical care management of
RD. METHODS: Research of the published literature on the principle electronic
databases available as Ovid MEDLINE, Health Technology Assessment Database,
Embase, and PsycINFO was conducted, and the studies evaluated eligible were
reviewed. RESULTS: Our search produced 120 results from which 47 eligible
articles were identified reporting studies of smartphone apps for patients with
RD. All examined feasibility and five assessed the efficacy of a smartphone
intervention for clinical care management. CONCLUSIONS: It has been demonstrated
a strong evidence for the feasibility of using smartphone to enhance care of
patients with RD. Based on the available literature and our personal
experiences, we consider useful the development of some mobile phone apps, to
simplify and assist the rheumatologist during his clinical practice. Still
remains limited data on the efficacy of such interventions.
PMID- 29633738
TI - The ethics of organizational change in healthcare.
AB - The article addresses the increasingly important issue of organizational ethics
in healthcare. Assuming that the governance of organizational change consists of
a seriousness of continuous choices and of objectives to be determined and
achieved, a possible definition of this new discipline is then deepened, and its
fields of application are identified. In the discussion therefore emerge the
close link between ethical choice in organization and legality, passing through
transparency and prevention of corruptive phenomena. After a focus on the
strategic role played by the Medical Doctor of the healthcare management team, in
conclusion, the need arises to support a strengthened supervisory and evaluation
system and a culture of the ethical organizational choice of health managers, a
rooting of the sense of identity and belonging to the healthcare organization and
its mission by Professionals. Also governing this last aspect is the new
challenge for the management of public healthcare enterprises.
PMID- 29633739
TI - Aspirin for thromboprophylaxis in major orthopedic surgery: old drug, new tricks?
AB - Major orthopedic surgery, mainly entailing hip fracture surgery, hip and knee
arthroplasty, is associated with significant morbidity and mortality, which are
especially attributable to the high risk of postoperative VTE. Such a
considerable risk is mainly due to a procoagulant state sustained by several
important mechanisms, including massive release of procoagulants from tissue and
bone damage, blood vessel injury, reduced venous emptying, perioperative
immobilization and cement polymerization, among others. The risk of VTE during
and after major orthopedic surgery approximates 50-80% in patients with no
thromboprophylaxis, and persists for up 3 to 6 months after surgery. The
anticoagulant or antithrombotic armamentarium entails several anticoagulants such
as heparin, coumarins, fondaparinux, and the recently developed DOACs inhibiting
either activated factor Xa (i.e., rivaroxaban, apixaban, edoxaban) or thrombin
(i.e., dabigatran), as well as aspirin, i.e., the oldest antiplatelet drug to be
ever discovered and used in clinical practice. The current guidelines are not in
complete agreement regarding the choice of the ideal thromboprophylaxis, since
some consider aspirin, and some discourage it. Recent evidence seems to support
the use of aspirin in selected situations and in selected protocols. Therefore,
we believe that consideration should be made about increasing the use of this old
but still effective drug for perioperative prophylaxis of VTE, especially in
patients for whom the administration of DOACs may be challenging.
PMID- 29633740
TI - Self-determination, healthcare treatment and minors in Italian clinical practice:
ethical, psychological, juridical and medical-legal profiles.
AB - BACKGROUND AND AIM: The social role of the minor, as indeed that of the
physician, has changed markedly. This transformation has given rise to new
patterns and responsibilities in the management of healthcare procedures that
involve minors. DISCUSSION: According to international legislation, in the
clinical setting, as in other areas of social life, minors have the right to be
heard and to have their opinions taken into consideration as an increasingly
determining factor, in accordance with their age and degree of maturity and
discernment. The authors describe the right to information and the decision
making process when the patient is a minor and underline the role of the parties
involved (physicians, parents, under-age patient, judge) in various
circumstances. Specifically, the paper analyzes the ethical and legal issues
relating to the entitlement to decisions concerning the medical treatment of
children and assesses the importance that Italian law attaches to the will of
minors in the healthcare choices that affect them. CONCLUSIONS: Healthcare
workers are called upon to face new challenges in order to ensure that
healthcare services are able to safeguard the interests of minors while, at the
same time, respecting their will. How to evaluate children's competence to
consent and how to balance the autonomy of parents and minors are crucial
questions which the law courts in the various countries are increasingly being
asked to address. These issues require close collaboration among various figures
(parents, doctors, psychologists, judges) and imply the ethical need to undergo
continuous training.
PMID- 29633741
TI - Intramuscular oxygen-ozone therapy in the treatment of low back pain.
AB - BACKGROUND AND AIM OF THE WORK: Intramuscular paravertebral injections of ozone
are minimally invasive, safe and efficacy in reducing pain and disability. The
aim of this paper is to present the early results of paravertebral lumbar ozone
injections in the treatment of low back pain. METHODS: Between February 2011 and
December 2015, a total of 109 patients underwent intramuscular paravertebral
lumbar injections of ozone due to low back pain. Of them, 42 interrupted the
treatment at a medium of 5.4 injections and were lost to follow-up. Of the 67
remaining patients, only 24 answered to our questionnaire. Local and radiating
pain was assessed using a 10-cm horizontal Visual Analogue Scale. Perceived
functional status and disability were evaluated using the Oswestry Disability
Index, administered before treatment and one month after the last injection.
RESULTS: Visual Analogue Scale reduction was demonstrated in 23 out of 29 cycles
(79%) of ozone therapy. Regarding disability evaluation, Oswestry Disability
Index score reduction was assessed in all except one. No complications were
recorded. Our results are similar to the other reports: 79% of patients had VAS
reduction of 2.3 points and all except one patient reported ODI reduction
(average reduction of 9%). CONCLUSIONS: Lumbar paravertebral oxygen-ozone
injections are minimally invasive, safe, cheaper and effective in relieving pain
as well as disability. This technique is easy to perform, it doesn't need
computed-tomography or anesthesiologist support. We suggest its application in
low back pain as first choice to replace intradiscal computed-tomography-guided
infiltrations and to avoid or delay surgery.
PMID- 29633742
TI - A pilot study on sperm DNA damage in beta-thalassemia major: is there a role for
antioxidants?
AB - Excess iron deposition in patients with beta thalassemia major (BTM) causes
excess free radical formation, damages the hypothalamic pituitary testicular axis
and production of sperms with DNA defects. As antioxidants were reported to
improve fertility in healthy males; their effectiveness to improve sperm DNA
defects in adult males with BTM was studied. Twenty fully pubertal BTM patients
were included consecutively, all had semen analysis; 10 were found to be
azoospermic, so further analysis for sperms and DNA defects was conducted on the
remaining 10 participants. Semen was analyzed for antioxidants in seminal plasma
and sperms for defects including the DNA fragmentation index, sperm deformity
index, teratozospermia index and acrosomal index. Participants were then given L
carnitine and N-acetylcysteine for 6 months. All semen parameters were reassessed
after treatment. The sperm deformity index and teratozospermia index increased
significantly after treatment from 1.90+/-0.33 to 2.46+/-0.61 and from 1.59+/
0.22 to 1.86+/-0.28 respectively. So, apparently antioxidants accentuated sperm
deformities in men with BTM. Therefore, the results of this study are not in
favour with the use of antioxidants in BTM patients for improving potential
fertility. Larger studies, however, are needed to confirm these preliminary
results.
PMID- 29633743
TI - The frequency of hypothyroidism and its relationship with HCV positivity in
patients with thalassemia major in southern Iran.
AB - INTRODUCTION: Hypothyroidism is one the most complication due to iron overload in
patients with beta-thalassemia major (TM). On the other hand these patients are
prone to Hepatitis C virus (HCV) infection that can cause thyroid dysfunction by
itself or as the side effect of treatment with interferon (INF) or IFN plus
ribavirin. The aim of this study is to evaluate the association of hypothyroidism
with HCV positivity and serum ferritin levels in patients with TM. METHODS: In
this cross-sectional study, 201 randomly selected patients with TM who were
registered at the Thalassemia Clinic of a tertiary hospital in Shiraz, southern
Iran were investigated. Thyroid function tests and serologic screening assays for
HCV seropositivity (HCV Ab and HCV-RNA) were conducted for all patients. RESULTS:
Frequency of hypothyroidism was 22.9% including 19.9% subclinical hypothyroidism,
2% primary overt hypothyroidism and 1% central hypothyroidism. Eighty six
patients (42.8%) were HCV Ab positive and 60 patients (29.9%) were HCV RNA
positive. No significant relationship was found between hypothyroidism and HCV
positivity or receiving IFN-alpha (P>0.05). Hypothyroidism showed a borderline
significant association with high serum ferritin levels in TM patients (P=0.055).
CONCLUSION: Our results showed no significant association between hypothyroidism
and HCV infection in TM patients. It seems that the main mechanism of
hypothyroidism in our patients is iron overload; however, for better evaluation a
larger multicenter study is recommended. Also due to the importance of
consequences of HCV infection, more careful pre-transfusional screening of blood
should be considered in TM patients.
PMID- 29633744
TI - One-year experience in carotid endarterectomy combining general anaesthesia with
preserved consciousness and sequential carotid cross-clamping.
AB - BACKGROUND AND AIM OF THE WORK: We report 1-year single-centre experience in
carotid endarterectomy (CEA) combining general anaesthesia with preserved
consciousness (GAPC) and standardized carotid sequential cross-clamping, for our
protocol effectiveness evaluation in reduction of perioperative stroke, death or
cardiologic complications. METHODS: We considered all patients who underwent CEA
in 2016. All patients underwent superficial cervical plexus block and GAPC with
Remifentanil. The surgical technique consisted of common carotid artery (CCA)
cross-clamping, carotid bifurcation isolation, external (ECA) and internal
carotid artery (ICA) cross-clamping. After CCA cross-clamping, we performed a
neurological tolerance test (NTT); this allowed selective shunting only for
positive NTT. Primary end-points were: transient ischemic attack (TIA)/stroke,
myocardial infarction, death in perioperative period. Secondary end-points were:
carotid shunting, peripheral cranial nerves injuries (PCNI), GAPC intolerance,
other complications, reintervention in perioperative period, length of hospital
stay. RESULTS: 104 consecutive patients underwent CEA with this protocol in the
considered period. Twenty-seven (25.9%) patients were symptomatic. Mean clamping
time was 48+/-13.5 minutes. Five cases (4.8%) requested internal carotid artery
shunting. No TIA/stroke, myocardial infarction or death were recorded in the
perioperative period. PCNI were observed in 19 cases (18.2%) in the immediate
post-operative period; 16 of them (84.2%) showed complete or partial resolution
at discharge. Only one patient (0.9%) showed GAPC intolerance. No other
complication occurred. Three patients (2.9%) underwent reintervention for neck
haematoma drainage. Mean hospital stay were 3+/-0.9 days. CONCLUSIONS: GAPC
associated with sequential carotid cross-clamping appeared to be safe and
effective in prevention of major neurological and cardiologic complications
during CEA.
PMID- 29633745
TI - Diabetic ketoacidosis at the onset of Type 1 diabetes in young children Is it
time to launch a tailored campaign for DKA prevention in children <5 years?
AB - AIM: To analyze clinical characteristics associated with the occurrence of
diabetic ketoacidosis (DKA) at the onset of type 1 diabetes (T1D) in children
aged <5 years in order to identify early signs or symptoms useful to prevent DKA
appearance. METHODS: Data of patients with newly diagnosed TID aged <5 years
(Group 1) and 6-10 years old (Group 2) coming from the province of Parma were
collected in the period 2012-2016. RESULTS: Mild/moderate ketoacidosis at
diabetes diagnosis occurred more frequently in Group 1 than in Group 2 patients
(p<0.0015). Severe DKA incidence was higher in children below 5 (21.8%) than in
those over 5 years of age (3.75%; p=0.021). Latent period before overt T1D
diagnosis was longer in Group 1 than in Group 2 patients (p=0.0081). During this
latent period similar indicators were recorded among parents of children <3 years
old: frequent use of disposable baby diapers (87%), wet baby diapers because of a
large amount of urine (86%), body weight loss (79%). In children aged 3-4 years
reported symptoms consisted of polyuria (89%), polydipsia (79%), fatigue (72%).
In Group 2 patients predominant signs concern unusual episodes of enuresis.
CONCLUSIONS: We believe that it is time to launch a DKA prevention campaign
tailored for children under 5 years old and focused just on the above-mentioned
three warning signs. Information program must involves pediatricians, pediatric
nurses, new moms and nursery school teachers.
PMID- 29633746
TI - Comparison between self-gripping, semi re-absorbable meshes with polyethylene
meshes in Lichtenstein, tension-free hernia repair: preliminary results from a
single center.
AB - Even tough inguinal hernia repair is among the commonest operations in general
surgery, the choice for an optimal approach continues to be a controversial
topic. Because of the low recurrence rates and low prevalence of complications,
tension-free mesh augmented operation has become the standard technique in
inguinal hernia surgery, significantly reducing hernia recurrence rates. On the
contrary, prevalence of chronic postoperative groin pain (CPGI) i.e. pain beyond
a three month-postoperative period still remains significant: as rates of CPGI
may range between 15% and 53%, surgical approaches aimed to avoid chronic post
hernioplasty pain have been extensively debated, and the avoidance of CPGI has
become one of the primary endpoints of surgical research on inguinal hernia
repair). Recently, a sound base of evidence suggested that the entrapment of
peripheral nervous fibers innervating part of the structures in the inguinal
canal and stemming from ilioinguinal (Th12), iliohypogastric (L1) nerves as well
as from the genital branch of the genito-femoral nerve (L1, L2), may eventually
elicit CPGI (1-10). Consequently, innovative fixation modalities (e.g. self
gripping meshes, glue fixation, absorbable sutures), and new material types (e.g.
large-pored meshes) with self-adhesive sticking or mechanical characteristics,
have been developed in order to avoid penetrating fixings such as sutures, clips
and tacks. However, some uncertainties still remain about the pros and cons of
such meshes in terms of chronic pain, as new, innovative mesh apparently does not
significantly reduce the rate of CPGI. Parietex ProGrip(r) (MedtronicsTM) is a
bicomponent mesh comprising of monofilament polyester and a semi re-absorbable
polylactic acid gripping system that allows sutureless fixation of prosthetic
mesh to the posterior inguinal wall. As ProGrip(r) does not requires additional
fixation, inguinal canal may be closed within minutes after adequate groin
dissection, ultimately shortening operating time. In other words, ProGrip(r) has
the potential for significant savings, in terms of surgical and post-operating
costs as well (10). The aim of our study is therefore to compare the results of
the same technique with two different mesh materials (ProGrip(r) mesh vs.
polyethylene mesh), in terms of operative time, post-operative pain,
complications, and recurrence rates.
PMID- 29633747
TI - Multidimensional approach usefulness in early Alzheimer's disease: Advances in
clinical practice.
AB - BACKGROUND AND AIM: Improving quality of life of patients with early Alzheimer's
Disease (AD) is a primary concern of health professionals involved in dementia
treatment. The aim of this study is to reveal associations among psychiatric
symptoms and wellbeing aspects, dysfunctional lifestyles and stress-related
behaviors, illness perception, personality traits, and life quality satisfaction,
in order to offer a comprehensive evaluation of psychological and behavioral
aspects characterizing patients with early AD. METHODS: This is a cross-sectional
study in which all the outpatients included were evaluated at the Dementia Clinic
in Parma (Italy). 21 patients with probable AD were assessed by an overall
cognitive screening (Milan Overall Dementia Assessment), the evaluation of
personal and instrumental autonomy (Activities of Daily Living and Instrumental
Activities of Daily Living), and of dementia severity (Clinical Dementia Rating
Scale). After the neurocognitive assessment, a wide battery of clinical and
psychological measures (Symptom Questionnaire, Pisa Stress Questionnaire, Illness
Behavior Questionnaire, Sixteen Personality Factor Questionnaire and Satisfaction
Profile) was administered to the patients. Spearman's rho correlations between
clinical and psychological measures were performed. RESULTS: A tendency to deny
anxiety, depressive and somatic symptoms might be present in patients with early
AD. They also present with hypochondriasis, resulting in higher level of anxiety
and depression. Reduced liveliness and self-reliance as personality traits may
influence the intensity of such symptoms. CONCLUSIONS: A comprehensive assessment
including psychological and clinical measures should be routinely integrated in
clinical practice for the evaluation of patients with early AD.
PMID- 29633748
TI - The economic crisis and lifestyle changes: a survey on frequency of use of
medications and of preventive and specialistic medical care, in the Marche Region
(Italy).
AB - BACKGROUND AND AIM: In the words of one observer, one of the many effects of the
economic downturn has been a "health system shock" marked by reductions in the
availability of healthcare resources and increases in the demand for health
services. The financial situation influences negatively the low-income family
groups, particularly those who normally use the government provided primary
prevention services. The goal of this study was to assess the impact of the
global recession on the use of medicines and medical investigation recession in
different areas of the Marche Region. METHODS: An anonymous questionnaire
prepared by the National Institute of Statistics, modified and validated by the
University of Camerino, has been distributed to junior highschool students of
Central Italy to provide a statistically representative sample of families. The
questionnaire has been administered in 2016-2017. RESULTS: This article examines
the results about healthcare habits, specifically, regarding medicines and
medical examinations. Data obtained emphasize a reduction in the use of
nonsteroidal anti-inflammatory drugs (NSAIDs). The parents category showed the
higher change in medicines use (72.9%). Comparing the data of the Fabriano area
with that of the Civitanova Marche area, Fabriano reported a greater reduction in
the frequency of taking medicine. Concerning the medical examinations, half of
the respondents (62.5%), indicated that they and their family members have
regular medical check-up. CONCLUSIONS: Respondents who admitted that the economic
crisis had reduced their quality of life indicated that the parents were the ones
who had experienced the greatest change. This is confirmed by the information on
the reduced frequency of medicine use, which affected the parents more than the
children, whom they sought to protect and safeguard the most. This reduction was
most marked in the Fabriano area. In contrast, in the Civitanova Marche area,
with different socioeconomic characteristics, an increase in the use of all the
categories of medicines was reported. Concerning visits the situation in the
Marche Region appears encouraging.
PMID- 29633749
TI - Survey about the potential effects of economic downturn on alcohol consumption,
smoking and quality of life in a sample of Central Italy population.
AB - BACKGROUND AND AIM OF THE WORK: Negative health effects have been associated with
the changes in lifestyles in relation with the low income of population.
Consequently, in our study we investigated the frequency changes of alcohol and
smoke consumption, physical activity, and quality of life in families of Marche
Region in Central Italy. METHODS: In the period 2016-2017, an anonymous
questionnaire has been distributed to junior highschool students of Camerino,
Fabriano, and Civitanova Marche of Marche Region. The Manchester Short Assessment
of Quality of Life (MANSA), was used to assess subjective quality of life.
RESULTS: Data obtained in this research were used to analyze lifestyle changes,
specifically those involving alcohol consumption, smoking, and physical activity,
and to assess perceived general quality of life. In all categories of population,
an increase of frequency in alcohol consumption was observed. On the contrary,
for the tobacco smoke we observed a reduction in particular in the parents
category. The MANSA mean value was 4.5 with a Standard Deviation of 1.3.
CONCLUSIONS: As underlighted, also, by results of the MANSA test we can
hypothesize a reduction in the family income produces a change of lifestyles.
PMID- 29633750
TI - Vascular Parkinsonism sensitive to Rotigotine therapy is found in aged patients:
a clinical case description.
AB - Previous and recent papers have pointed out several discussions about the so
called 'Vascular Parkinsonism' (VP), particularly about some distinctive
characteristics with respect to the Idiopathic Parkinson Disease (IPD);
differences commonly described are sudden onset of extrapyramidal symptoms after
a stroke, main involvement of lower limbs in diffuse brain microinfarcts (lower
body parkinsonism), low, short in time or absent response to classic IPD therapy
in all kinds of vascular brain pathologies. But few published studies have also
emphasized some relationship linking brain morphological signs of vascular damage
in elder patients suffering from diagnosed IPD, both 'normally' responding to
classic Levodopa therapy or not.
PMID- 29633751
TI - Role of Transesophageal 3D Echocardiography in Adult Cor Triatriatum Diagnosis.
AB - Cor triatriatum is a very rare congenital abnormality, symptomatic during
childhood;the non restrictive form is usually diagnosed as an incidental finding.
We report the case of a 88 years old man referred to our hospital for elective
endovascular repair of an aortic aneurysm; transthoracic cardiac bidimensional
echocardiography showed an abnormal mass into the left atrium and a the diagnosis
of cor triatriatum was fully made by a three dimensional transesophageal
echocardiography. 3D echocardiography is an excellent noninvasive method that
provides a rapid bedside diagnosis , without having to use ionizing radiation.
PMID- 29633752
TI - Hypochlorite accident during wndodontic therapy with nerve damage - A case
report.
AB - Endodontic therapy is a routinely practised clinical procedure with few reported
complications but, as a bleaching agent, inadvertent spillage of sodium
hypochlorite beyond the root canal system may result in extensive soft tissue or
nerve damage, and even airway compromise. Although very rare, complications
arising from hypochlorite extrusion beyond the root apex are described. NaOCl
causes oxidation of protein and lipid membrane and causes necrosis, hemolysis and
dermal ulcerations (2-4). Neurological complication are very rare. Paraesthesia
and anaesthesia may affect the mental, inferior dental and infra-orbital branches
of the trigeminal nerve and normal sensation may take many months to completely
resolve (6, 7). Nerve damage (the buccal branch) was described in 2005 by Witton
et al. (8) and patients exhibited a loss of the naso-labial groove and a down
turning of the angle of the mouth and the motor function was regained after
several months. We present a case in which the extrusion of NaOCl solution during
endodontic therapy led to important destructive effects on soft tissues and
nerves. The arisen medico legal issues are discussed.
PMID- 29633753
TI - Percutaneous treatment of traumatic talus extrusion: a case report.
AB - BACKGROUND AND AIM OF WORK: Total traumatic extrusion of talus with interruption
of all ligaments (missing talus) is a very rare injury. We represent the case of
a 44-years-old male who reported total extrusion of talus and Lisfranc
dislocation after a motorbike accident. This rare injury has a wide choice of
treatment but usually there is not a successful functional restore. METHODS: We
decided to treat the patient with an immediate reimplantation of extruded talus
using 3 Kirschner wires and antibiotic therapy. We performed a temporary fixation
of talus with calcaneus, tibia and scaphoid. RESULTS: Usually, this kind of
injuries are treated with a tibiocalcaneal arthrodesis and show common
complications such as avascular necrosis and infection. Against our expectations
we managed to treat successfully our patient. CONCLUSIONS: After 5 years of
follow up the patient reported good clinical outcomes without performing
arthrodesis and recording major complications.
PMID- 29633754
TI - A rare case of gint lipomatous hypertrophy of the atrial septum.
AB - Benign lipomatous lesion of the heart includes an heterogeneous group of
entities including neoplastic, congenital and reparative phenomena. Among these
lipomas and lipomatous hypertrophy of the atrial septum ( LHIS) represent the
most common lesion. Patients suffering from LHIS are often asymptomatic, however
atrial fibrillation, congestive heart failure and supraventricular tachycardia
are typical findings. Here we present a rare case of LHIS symptomatic for
asthenia and dyspnea.
PMID- 29633755
TI - Interleukin (IL)-13, Prostaglandin E2 (PGE2), and Prostacyclin 2 (PGI2) Activate
Hepatic Stellate Cells via Protein kinase C (PKC) Pathway in Hepatic Fibrosis.
AB - BACKGROUND Protein kinase C (PKC), interleukin (IL)-13, prostaglandin E2 (PGE2),
and prostacyclin 2 (PGI2) can all play crucial roles in pulmonary fibrosis.
However, their functions remain unclear in hepatic fibrosis mediated by hepatic
stellate cells (HSCs), which has been demonstrated to be related to transforming
growth factor-beta (TGF-beta) and platelet-derived growth factor (PDGF). MATERIAL
AND METHODS All the experiments were based on LX-2 Hepatic stellate cells. The
expression of TGF-beta1 and PDGF were assessed by ELISA, RT-PCR, and Western
blotting in human HSCs treated by IL-13, PGE2, and PGI2, respectively. At the
same time, bridge assay and CCK8 assay were used to detect the cell proliferation
and activity, PKC activity assay was used to test the activity of PKC, and PKC
agonist and antagonist were used to verify the results obtained previously.
RESULTS We found that IL-13, PGE2, and PGI2 significantly enhanced the expression
of TGF-beta1 and PDGF in human HSCs, which also clearly improved the
proliferation and cell activity of HSCs. Moreover, PKC activity was significantly
increased following IL-13, PGE2, and PGI2 treatments. We also found that the
expression of TGF-beta1 and PDGF, as well as the proliferation and cell activity
of HSCs, were significantly enhanced by the PKC agonist phorbol 12-myristate 13
acetate (PMA), but suppressed by the PKC antagonist calphostin C. CONCLUSIONS We
found that IL-13, PGE2, and PGI2 stimulated HSCs proliferation and secretion of
TGF-beta1 and PDGF by activating PKC, which predicted their potential roles in
hepatic fibrosis.
PMID- 29633756
TI - Publisher Correction: Experimental orthotopic transplantation of a tissue
engineered oesophagus in rats.
AB - This corrects the article DOI: 10.1038/ncomms4562.
PMID- 29633757
TI - Publisher Correction: Immediate tool incorporation processes determine human
motor planning with tools.
AB - This corrects the article DOI: 10.1038/ncomms5524.
PMID- 29633758
TI - Publisher Correction: ZNF143 provides sequence specificity to secure chromatin
interactions at gene promoters.
AB - This corrects the article DOI: 10.1038/ncomms7186.
PMID- 29633759
TI - Publisher Correction: miR-196b directly targets both HOXA9/MEIS1 oncogenes and
FAS tumour suppressor in MLL-rearranged leukaemia.
AB - This corrects the article DOI: 10.1038/ncomms1681.
PMID- 29633760
TI - Publisher Correction: Species traits and phylogenetic conservatism of climate
induced range shifts in stream fishes.
AB - This corrects the article DOI: 10.1038/ncomms6053.
PMID- 29633762
TI - Publisher Correction: In vivo single-molecule imaging of syntaxin1A reveals
polyphosphoinositide- and activity-dependent trapping in presynaptic
nanoclusters.
AB - This corrects the article DOI: 10.1038/ncomms13660.
PMID- 29633763
TI - Author Correction: The transcriptional repressor complex FRS7-FRS12 regulates
flowering time and growth in Arabidopsis.
AB - This corrects the article DOI: 10.1038/ncomms15235.
PMID- 29633764
TI - Publisher Correction: General rules for the arrangements and gating motions of
pore-lining helices in homomeric ion channels.
AB - This corrects the article DOI: 10.1038/ncomms5641.
PMID- 29633765
TI - Hybrid MoSe2-indocyanine green nanosheets as a highly efficient phototheranostic
agent for photoacoustic imaging guided photothermal cancer therapy.
AB - Phototheranostic technology based on photoacoustic imaging (PAI) and photothermal
therapy (PTT) is emerging as a powerful tool for tumor theranostic applications.
For effective tumor eradication, a novel PAI/PTT theranostic nanoagent with an
excellent optical absorption and photothermal capability is highly desired.
Herein, we present a new PAI/PTT nanohybrid named sMoSe2-ICG NSs by covalently
conjugating aminated indocyanine green (ICG) onto a single layer of molybdenum
selenide nanosheets (sMoSe2 NSs). We first validate the sMoSe2-ICG NS agent for
the PAI and PTT effect in vitro and then use it for highly-sensitive PAI guided
highly efficient tumor PTT in vivo. The sMoSe2-ICG NS hybrid possesses several
advantages for PAI/PTT applications: (1) the sMoSe2-ICG NSs have strong
absorbance in the broad near-infrared (NIR) region, enabling a highly efficient
PAI/PTT theranostic effect and the selection of the most widely used excitation
wavelength of 808 nm for PTT; (2) the photothermal ability of ICG in sMoSe2-ICG
NSs is augmented due to ICG aggregation induced fluorescence quenching and the re
absorbance of ICG fluorescence by sMoSe2 NSs, which further enhances the PAI/PTT
theranostic effect. (3) The characteristic absorption peak of sMoSe2-ICG NSs is
red-shifted compared to free ICG, resulting in a higher PAI signal-to-noise ratio
(SNR) in vivo. Thus, combined with the good stability, high biocompatibility and
minimal toxicity properties, the obtained sMoSe2-ICG NSs hybrid has bright
prospects for use in future PAI/PTT clinical applications.
PMID- 29633768
TI - How graphene crosses a grain boundary on the catalyst surface during chemical
vapour deposition growth.
AB - The chemical vapour deposition (CVD) growth of graphene is normally an epitaxial
process, where the atomic structure of the adlayer should copy the texture of the
substrate. However, it has been widely observed that single crystalline graphene
grown on metal foil may cross a grain boundary (GB) of the substrate without
forming any line defect, a necessary condition to change its crystalline
orientation and maintain the structure registry with the substrate on the other
side of the GB. Here, we present a comprehensive theoretical study on graphene
growth behavior on polycrystalline metal substrates. Our density functional
theory (DFT) calculations reveal that for graphene growth on most metal surfaces,
the binding energy difference between the epitaxial and non-epitaxial graphene on
the substrate is not large enough to compensate for the formation energy of a GB
in graphene and therefore, during the CVD process, the growing graphene can pass
through a GB on the metal surface without changing its crystalline orientation.
Hence, graphene CVD growth cannot be strictly regarded as an epitaxial process;
this conclusion is further verified by atomic simulations. The present study
shows that the growth of graphene on a metal catalyst surface should be regarded
rather as a quasi-epitaxial process, where a graphene domain is aligned only on
the single crystalline metal facet on which it nucleates, but this structural
registry with the metal substrate may be lost when the graphene crosses a GB on
the metal surface.
PMID- 29633761
TI - Publisher Correction: Evidence that breast cancer risk at the 2q35 locus is
mediated through IGFBP5 regulation.
AB - This corrects the article DOI: 10.1038/ncomms5999.
PMID- 29633769
TI - Manganese catalyzed reductive amination of aldehydes using hydrogen as a
reductant.
AB - A one-pot two-step procedure was developed for the alkylation of amines via
reductive amination of aldehydes using molecular dihydrogen as a reductant in the
presence of a manganese pyridinyl-phosphine complex as a pre-catalyst. After the
initial condensation step, the reduction of imines formed in situ is performed
under mild conditions (50-100 degrees C) with 2 mol% of catalyst and 5 mol% of
tBuOK under 50 bar of hydrogen. Excellent yields (>90%) were obtained for a large
combination of aldehydes and amines (40 examples), including aliphatic aldehydes
and amino-alcohols.
PMID- 29633771
TI - Enantioselective amination of nitroolefins under base-free and water-rich
conditions using chiral bifunctional phase-transfer catalysts.
AB - The direct enantioselective amination of nitroolefins has been performed with l
tert-leucine-derived squaramide-scaffold bifunctional phase-transfer catalysts
under base-free and water-rich conditions with low catalyst loading (0.5-1 mol%)
to provide 2-aminonitroalkanes in good yields (up to 96%) and
enantioselectivities (up to 93% ee).
PMID- 29633772
TI - Chiral proton-transfer shuttle catalysts for carbene insertion reactions.
AB - Transition metal-catalyzed carbene insertion into X-H bonds (X = N, O, S, and C)
represents a typical carbene transfer reaction and has been widely used in
organic synthesis. The enantioselectivity-determining step in some of these
insertion reactions is the proton transfer of active intermediates such as
ylides, metal enolates, or free enols. Since most of the traditional chiral
transition metal catalysts tend to dissociate from these active intermediates and
cannot be involved in the proton-transfer step, enantiocontrol of these insertion
reactions has long been a challenging task. Since 2011, we have developed chiral
spiro phosphoric acids as chiral proton-transfer shuttle (CPTS) catalysts, which
have been proven to be efficient catalysts for the proton transfer of active
intermediates in carbene insertion reactions. Upon combining with achiral
dirhodium catalysts, the CPTS catalysts accomplish highly enantioselective
insertions of N-H, S-H, and C-H bonds. Herein, a number of important chiral
building blocks, including alpha-amino acid derivatives, alpha-amino ketones,
alpha-thioesters, and alpha,alpha-diaryl acetates, were prepared with high yields
and high enantioselectivities through these insertion reactions.
PMID- 29633773
TI - Secondary amine salt catalyzed controlled activation of 2-deoxy sugar lactols
towards alpha-selective dehydrative glycosylation.
AB - A new organocatalytic glycosylation method exploiting the lactol functionality
has been disclosed. The catalytic generation of glycosyl oxacarbenium ions from
lactols under forcible conditions via weakly Bronsted-acidic, readily available
secondary amine salts affects the diastereoselective glycosylation of 2
deoxypyranoses and furanoses. This operationally simple iminium catalyzed
activation of 2-deoxy hemi-acetals is a potential alternative to the existing
cumbersome methods that need specialized handling. The mechanisms for this unique
transformation and kinetic/thermodynamic effects have been discussed based on
both experimental evidence and theoretical studies.
PMID- 29633775
TI - Observation of current rectification by a new asymmetric iron(iii) surfactant in
a eutectic GaIn|LB monolayer|Au sandwich.
AB - In this paper we expand on the search for molecular rectifiers of electrical
current and report on a hexacoordinate metallosurfactant [FeIII(LN3O)(OMe)2],
where (LN3O)- is the deprotonated form of the new asymmetric ligand 2-((E)-((4,5
bis(2-methoxyethoxy)-2-(((E)-pyridin-2-ylmethylene)amino)phenyl)imino)methyl)-4,6
di-tert-butyl-phenol. This species rectifies current when deposited as a Langmuir
Blodget film in a "EGaIn/Ga2O3|LB|Au" sandwich with rectification ratios ranging
from 25 to 300 at 1 Volt.
PMID- 29633776
TI - Anion-dependent thermo-responsive supramolecular superstructures of Cu(ii)
macrocycles.
AB - This investigation highlights the role of counter anion towards the formation of
thermo-responsive supramolecular assemblies of pre-defined discrete molecular
Cu(ii) macrocycles. A new heteroditopic ligand (L) composed of two terminal
triazolyl-pyridine units and a central pyridine-2,6-carboxamide unit connected
via ethylene spacers predominantly forms dinuclear Cu(ii) macrocycles [L2Cu2]X4
(X = ClO4-, NO3-, Cl-) in the presence of respective monoanion-based Cu2+ salts.
In contrast, dianionic SO42--based Cu2+ salt produces a mixture of both dinuclear
[L2Cu2(SO4)2] and mononuclear [LCu(SO4)] Cu(ii) macrocycles. Importantly,
detailed molecular structure determinations revealed the presence of multiple
secondary interactions of the different counter anions with the corresponding
macrocycles. The field emission scanning electron microscopy (FESEM) studies
confirmed the ability of the individual macrocycles to further assemble upon slow
evaporation of the solvent. Morphologically pure rod, sphere, and sheet-like
superstructures were obtained for ClO4-, NO3-, and Cl- monoanion-based
macrocycles, respectively. In contrast, a mixture of linear ribbon and
microflower-like assemblies were obtained for SO42--based macrocycles. Moreover,
it was found that upon heating, the rod-like assembly of [L2Cu2](ClO4)4 was
gradually transformed into nanoparticles through a microparticle formation, which
regenerated the rod-like assembly upon standing at room temperature. This was
established from the FESEM, atomic force microscopy (AFM), and dynamic light
scattering (DLS) studies.
PMID- 29633777
TI - Shell-corona microgels from double interpenetrating networks.
AB - Polymer microgels with a dense outer shell offer outstanding features as
universal carriers for different guest molecules. In this paper, microgels formed
by an interpenetrating network comprised of collapsed and swollen subnetworks are
investigated using dissipative particle dynamics (DPD) computer simulations, and
it is found that such systems can form classical core-corona structures, shell
corona structures, and core-shell-corona structures, depending on the subchain
length and molecular mass of the system. The core-corona structures consisting of
a dense core and soft corona are formed at small microgel sizes when the
subnetworks are able to effectively separate in space. The most interesting shell
corona structures consist of a soft cavity in a dense shell surrounded with a
loose corona, and are found at intermediate gel sizes; the area of their
existence depends on the subchain length and the corresponding mesh size. At
larger molecular masses the collapsing network forms additional cores inside the
soft cavity, leading to the core-shell-corona structure.
PMID- 29633774
TI - C-C bond forming radical SAM enzymes involved in the construction of carbon
skeletons of cofactors and natural products.
AB - Covering: up to the end of 2017 C-C bond formations are frequently the key steps
in cofactor and natural product biosynthesis. Historically, C-C bond formations
were thought to proceed by two electron mechanisms, represented by Claisen
condensation in fatty acids and polyketide biosynthesis. These types of
mechanisms require activated substrates to create a nucleophile and an
electrophile. More recently, increasing number of C-C bond formations catalyzed
by radical SAM enzymes are being identified. These free radical mediated
reactions can proceed between almost any sp3 and sp2 carbon centers, allowing
introduction of C-C bonds at unconventional positions in metabolites. Therefore,
free radical mediated C-C bond formations are frequently found in the
construction of structurally unique and complex metabolites. This review
discusses our current understanding of the functions and mechanisms of C-C bond
forming radical SAM enzymes and highlights their important roles in the
biosynthesis of structurally complex, naturally occurring organic molecules.
Mechanistic consideration of C-C bond formation by radical SAM enzymes identifies
the significance of three key mechanistic factors: radical initiation, acceptor
substrate activation and radical quenching. Understanding the functions and
mechanisms of these characteristic enzymes will be important not only in
promoting our understanding of radical SAM enzymes, but also for understanding
natural product and cofactor biosynthesis.
PMID- 29633780
TI - Refolding of helical soluble alpha-synuclein through transient interaction with
lipid interfaces.
AB - alpha-Synuclein (alphaSyn) is a key player in the pathogenesis of Parkinson's
disease and other synucleinopathies. Here, we report the existence of a novel
soluble alpha-helical conformer of alphaSyn, obtained through transient
interaction with lipid interfaces, and propose dynamic oligomerization as the
mechanism underlying its stability. The conformational space of alphaSyn appears
to be highly context-dependent, and lipid bilayers might thus play crucial roles
as molecular chaperones in a cellular environment.
PMID- 29633781
TI - A prefatory note for the 50th anniversary of The Japanese Society for
Neurochemistry.
PMID- 29633783
TI - Honey for acute cough in children.
AB - BACKGROUND: Cough causes concern for parents and is a major cause of outpatient
visits. Cough can impact quality of life, cause anxiety, and affect sleep in
children and their parents. Honey has been used to alleviate cough symptoms. This
is an update of reviews previously published in 2014, 2012, and 2010. OBJECTIVES:
To evaluate the effectiveness of honey for acute cough in children in ambulatory
settings. SEARCH METHODS: We searched CENTRAL (2018, Issue 2), which includes the
Cochrane Acute Respiratory Infections Group's Specialised Register, MEDLINE (2014
to 8 February 2018), Embase (2014 to 8 February 2018), CINAHL (2014 to 8 February
2018), EBSCO (2014 to 8 February 2018), Web of Science (2014 to 8 February 2018),
and LILACS (2014 to 8 February 2018). We also searched ClinicalTrials.gov and the
World Health Organization International Clinical Trial Registry Platform (WHO
ICTRP) on 12 February 2018. The 2014 review included searches of AMED and CAB
Abstracts, but these were not searched for this update due to lack of
institutional access. SELECTION CRITERIA: Randomised controlled trials comparing
honey alone, or in combination with antibiotics, versus no treatment, placebo,
honey-based cough syrup, or other over-the-counter cough medications for children
aged 12 months to 18 years for acute cough in ambulatory settings. DATA
COLLECTION AND ANALYSIS: We used standard methodological procedures expected by
Cochrane. MAIN RESULTS: We included six randomised controlled trials involving
899 children; we added three studies (331 children) in this update.We assessed
two studies as at high risk of performance and detection bias; three studies as
at unclear risk of attrition bias; and three studies as at unclear risk of other
bias.Studies compared honey with dextromethorphan, diphenhydramine, salbutamol,
bromelin (an enzyme from the Bromeliaceae (pineapple) family), no treatment, and
placebo. Five studies used 7-point Likert scales to measure symptomatic relief of
cough; one used an unclear 5-point scale. In all studies, low score indicated
better cough symptom relief.Using a 7-point Likert scale, honey probably reduces
cough frequency better than no treatment or placebo (no treatment: mean
difference (MD) -1.05, 95% confidence interval (CI) -1.48 to -0.62; I2 = 0%; 2
studies; 154 children; moderate-certainty evidence; placebo: MD -1.62, 95% CI
3.02 to -0.22; I2 = 0%; 2 studies; 402 children; moderate-certainty evidence).
Honey may have a similar effect as dextromethorphan in reducing cough frequency
(MD -0.07, 95% CI -1.07 to 0.94; I2 = 87%; 2 studies; 149 children; low-certainty
evidence). Honey may be better than diphenhydramine in reducing cough frequency
(MD -0.57, 95% CI -0.90 to -0.24; 1 study; 80 children; low-certainty
evidence).Giving honey for up to three days is probably more effective in
relieving cough symptoms compared with placebo or salbutamol. Beyond three days
honey probably had no advantage over salbutamol or placebo in reducing cough
severity, bothersome cough, and impact of cough on sleep for parents and children
(moderate-certainty evidence). With a 5-point cough scale, there was probably
little or no difference between the effects of honey and bromelin mixed with
honey in reducing cough frequency and severity.Adverse events included
nervousness, insomnia, and hyperactivity, experienced by seven children (9.3%)
treated with honey and two children (2.7%) treated with dextromethorphan (risk
ratio (RR) 2.94, 95% Cl 0.74 to 11.71; I2 = 0%; 2 studies; 149 children; low
certainty evidence). Three children (7.5%) in the diphenhydramine group
experienced somnolence (RR 0.14, 95% Cl 0.01 to 2.68; 1 study; 80 children; low
certainty evidence). When honey was compared with placebo, 34 children (12%) in
the honey group and 13 (11%) in the placebo group complained of gastrointestinal
symptoms (RR 1.91, 95% CI 1.12 to 3.24; I2 = 0%; 2 studies; 402 children;
moderate-certainty evidence). Four children who received salbutamol had rashes
compared to one child in the honey group (RR 0.19, 95% CI 0.02 to 1.63; 1 study;
100 children; moderate-certainty evidence). No adverse events were reported in
the no-treatment group. AUTHORS' CONCLUSIONS: Honey probably relieves cough
symptoms to a greater extent than no treatment, diphenhydramine, and placebo, but
may make little or no difference compared to dextromethorphan. Honey probably
reduces cough duration better than placebo and salbutamol. There was no strong
evidence for or against using honey. Most of the children received treatment for
one night, which is a limitation to the results of this review. There was no
difference in occurrence of adverse events between the honey and control arms.
PMID- 29633784
TI - Optical correction of refractive error for preventing and treating eye symptoms
in computer users.
AB - BACKGROUND: Computer users frequently complain about problems with seeing and
functioning of the eyes. Asthenopia is a term generally used to describe symptoms
related to (prolonged) use of the eyes like ocular fatigue, headache, pain or
aching around the eyes, and burning and itchiness of the eyelids. The prevalence
of asthenopia during or after work on a computer ranges from 46.3% to 68.5%.
Uncorrected or under-corrected refractive error can contribute to the development
of asthenopia. A refractive error is an error in the focusing of light by the eye
and can lead to reduced visual acuity. There are various possibilities for
optical correction of refractive errors including eyeglasses, contact lenses and
refractive surgery. OBJECTIVES: To examine the evidence on the effectiveness,
safety and applicability of optical correction of refractive error for reducing
and preventing eye symptoms in computer users. SEARCH METHODS: We searched the
Cochrane Central Register of Controlled Trials (CENTRAL); PubMed; Embase; Web of
Science; and OSH update, all to 20 December 2017. Additionally, we searched trial
registries and checked references of included studies. SELECTION CRITERIA: We
included randomised controlled trials (RCTs) and quasi-randomised trials of
interventions evaluating optical correction for computer workers with refractive
error for preventing or treating asthenopia and their effect on health related
quality of life. DATA COLLECTION AND ANALYSIS: Two authors independently assessed
study eligibility and risk of bias, and extracted data. Where appropriate, we
combined studies in a meta-analysis. MAIN RESULTS: We included eight studies with
381 participants. Three were parallel group RCTs, three were cross-over RCTs and
two were quasi-randomised cross-over trials. All studies evaluated eyeglasses,
there were no studies that evaluated contact lenses or surgery. Seven studies
evaluated computer glasses with at least one focal area for the distance of the
computer screen with or without additional focal areas in presbyopic persons. Six
studies compared computer glasses to other types of glasses; and one study
compared them to an ergonomic workplace assessment. The eighth study compared
optimal correction of refractive error with the actual spectacle correction in
use. Two studies evaluated computer glasses in persons with asthenopia but for
the others the glasses were offered to all workers regardless of symptoms. The
risk of bias was unclear in five, high in two and low in one study. Asthenopia
was measured as eyestrain or a summary score of symptoms but there were no
studies on health-related quality of life. Adverse events were measured as
headache, nausea or dizziness. Median asthenopia scores at baseline were about
30% of the maximum possible score.Progressive computer glasses versus monofocal
glassesOne study found no considerable difference in asthenopia between various
progressive computer glasses and monofocal computer glasses after one-year follow
up (mean difference (MD) change scores 0.23, 95% confidence interval (CI) -5.0 to
5.4 on a 100 mm VAS scale, low quality evidence). For headache the results were
in favour of progressive glasses.Progressive computer glasses with an
intermediate focus in the upper part of the glasses versus other glassesIn two
studies progressive computer glasses with intermediate focus led to a small
decrease in asthenopia symptoms (SMD -0.49, 95% CI -0.75 to -0.23, low-quality
evidence) but not in headache score in the short-term compared to general purpose
progressive glasses. There were similar small decreases in dizziness. At medium
term follow-up, in one study the effect size was not statistically significant
(SMD -0.64, 95% CI -1.40 to 0.12). The study did not assess adverse
events.Another study found no considerable difference in asthenopia between
progressive computer glasses and monofocal computer glasses after one-year follow
up (MD change scores 1.44, 95% CI -6.95 to 9.83 on a 100 mm VAS scale, very low
quality evidence). For headache the results were inconsistent.Progressive
computer glasses with far-distance focus in the upper part of the glasses versus
other glassesOne study found no considerable difference in number of persons with
asthenopia between progressive computer glasses with far-distance focus and
bifocal computer glasses after four weeks' follow-up (OR 1.00, 95% CI 0.40 to
2.50, very low quality evidence). The number of persons with headache, nausea and
dizziness was also not different between groups.Another study found no
considerable difference in asthenopia between progressive computer glasses with
far-distance focus and monofocal computer glasses after one-year follow-up (MD
change scores -1.79, 95% CI -11.60 to 8.02 on a 100 mm VAS scale, very low
quality evidence). The effects on headaches were inconsistent.One study found no
difference between progressive far-distance focus computer glasses and trifocal
glasses in effect on eyestrain severity (MD -0.50, 95% CI -1.07 to 0.07, very low
quality evidence) or on eyestrain frequency (MD -0.75, 95% CI -1.61 to 0.11, very
low quality evidence).Progressive computer glasses versus ergonomic assessment
with habitual (computer) glassesOne study found that computer glasses optimised
for individual needs reduced asthenopia sum score more than an ergonomic
assessment and habitual (computer) glasses (MD -8.9, 95% CI -16.47 to -1.33,
scale 0 to 140, very low quality evidence) but there was no effect on the
frequency of eyestrain (OR 1.08, 95% CI 0.38 to 3.11, very low quality
evidence).We rated the quality of the evidence as low or very low due to risk of
bias in the included studies, inconsistency in the results and imprecision.
AUTHORS' CONCLUSIONS: There is low to very low quality evidence that providing
computer users with progressive computer glasses does not lead to a considerable
decrease in problems with the eyes or headaches compared to other computer
glasses. Progressive computer glasses might be slightly better than progressive
glasses for daily use in the short term but not in the intermediate term and
there is no data on long-term follow-up. The quality of the evidence is low or
very low and therefore we are uncertain about this conclusion. Larger studies
with several hundreds of participants are needed with proper randomisation,
validated outcome measurement methods, and longer follow-up of at least one year
to improve the quality of the evidence.
PMID- 29633785
TI - Analysis of fentanyl analogs and novel synthetic opioids in blood, serum/plasma,
and urine in forensic casework.
AB - In recent years an increasing number of novel opioids have appeared on the
illicit drug market and have been linked to the growing opioid crisis in the
United States. It is suspected that synthetic-opioid-related deaths are
underestimated since many laboratories either use a screening method that is not
specific and sensitive enough for these compounds or testing is not performed for
fentanyl and its related analogs in general. We describe a method for the
analysis of 19 of the most current novel opioid drugs quantitatively in whole
blood and serum, and 17 analytes qualitatively in urine using solid phase
extraction with liquid chromatography-tandem mass spectrometry (LC-MS/MS). The
limit of detection was determined to be at a minimum 0.25 ng/mL for beta
hydroxythiofentanyl, 0.05 ng/mL for butyryl/isobutyrylfentanyl, AH-7921, 2
furanylfentanyl, 4-ANPP, and U-47700; 0.025 ng/mL for MT-45, para
methoxybutyrylfentanyl, 4-methylphenethyl acetyl fentanyl, U-50488,
acrylfentanyl, valerylfentanyl, and carfentanil; and 0.0125 ng/mL for para
fluorofentanyl, ortho-fluorofentanyl, para-fluorobutyrylfentanyl/FIBF, and alpha
methylfentanyl. The lower limit of quantitation was determined to be 0.1 ng/mL
for all analytes except AH-7921, U-47700, U-50488 which were 0.05 ng/mL and beta
hydroxythiofentanyl which was 0.5 ng/mL. The method was validated successfully
according to a Scientific Working Group in Forensic Toxicology (SWGTOX) compliant
approach. This method was applied to the analysis of 2758 samples between October
2016 and September 2017. It was determined that 4-ANPP, furanylfentanyl, and
carfentanil were the 3 most prevalent fentanyl related compounds detected: 56.1%
of cases were positive for 4-ANPP, 44.5% were positive for furanylfentanyl, and
25.2% cases were positive for carfentanil.
PMID- 29633786
TI - Vascular Anomalies of the Orbit--A Reappraisal.
AB - The recent International Society for the Study of Vascular Anomalies (ISSVA)
classification of vascular anomalies can be applied to orbital lesions, dividing
them into vascular tumors and vascular malformations. Orbital cavernous
hemangiomas are probably best considered cavernous venous malformations under
this classification. Management of symptomatic lesions can be with surgical
excision or stereotactic fractionated radiotherapy in selected cases. Beta
blockers including propranolol and topical timolol maleate represent first-line
therapy for infantile hemangiomas, although surgery has a role in selected cases.
Orbital venous-lymphatic malformations are problematic but with improved imaging,
neuroradiological intervention, and a multidisciplinary approach to management,
outcomes are improving.
PMID- 29633787
TI - miR-31 and miR-145 as Potential Non-Invasive Regulatory Biomarkers in Patients
with Endometriosis.
AB - This article published in Cell J (Yakhteh), Vol 20, No 1, Apr-Jun 2018, on pages
84-89, the labels of columns in "Figure 3B" was changed. The correct one is
presented below.
PMID- 29633788
TI - The impact of a structured intensive modular training in the learning curve of
robot assisted radical prostatectomy.
AB - AIM: The success of Robot Assisted Laparoscopic Prostatectomy (RALP) is mainly
due to his relatively short learning curve. Twenty cases are needed to reach a "4
hours-proficiency". However, to achieve optimal functional outcomes such as
urinary continence and potency recovery may require more experience. We aim to
report the perioperative and early functional outcomes of patients undergoing
RALP, after a structured modular training program. METHODS: A surgeon with no
previous laparoscopic or robotic experience attained a 3 month modular training
including: a) e-learning; b) assistance and training to the operating table; c)
dry console training; d) step by step in vivo modular training performing 40
surgical steps in increasing difficulty, under the supervision of an experienced
mentor. Demographics, intraoperative and postoperative functional outcomes were
recorded after his first 120 procedures, considering four groups of 30 cases.
RESULTS: All procedures were completed successfully without conversion to open
approach. Overall 19 (15%) post operative complications were observed and 84%
were graded as minor (Clavien I-II). Overall operative time and console time
gradually decreased during the learning curve, with statistical significance in
favour of Group 4. The overall continence rate at 1 and 3 months was 74% and 87%
respectively with a significant improvement in continence rate throughout the
four groups (p = 0.04). Considering those patients submitted to nerve-sparing
procedure we found a significant increase in potency recovery over the four
groups (p = 0.04) with the higher potency recovery rate up to 80% in the last 30
cases. CONCLUSIONS: Optimal perioperative and functional outcomes have been
attained since early phase of the learning curve after an intensive structured
modular training and less than 100 consecutive procedures seem needed in order to
achieve optimal urinary continence and erectile function recovery.
PMID- 29633789
TI - Injection therapy for chronic prostatitis: A retrospective analysis of 77 cases.
AB - OBJECTIVE: To compare pre- and post-therapy symptom scores reported on the
National Institute of Health Chronic Prostatitis Symptom Index (NIHCPSI) after
trans-rectal antibiotic injections therapy for men suffering from chronic
prostatitis. MATERIALS AND METHODS: Retrospective analysis of NIHCPSI symptom
scores obtained from chart reviews of 77 treated males suffering from chronic
prostatitis before and after trans rectal injections for the treatment of chronic
prostatitis. RESULTS: Most patients reported a 40% to 60% improvement in symptom
scores. In subgroups comparing scores in patients with less than 5 injections,
the improvement was less than in patients who received 10 or more injections.
Patients' responses after a shorter (3 months) follow up showed better pain
scores than patient's scores after longer, over one-year or more, follow- up
periods. CONCLUSION: Our findings show that direct antibiotic injection for
chronic prostatitis is a viable addition to standard therapies. Improvements in
symptom scores are long lasting. Discomfort is minimal and side effects are rare
and avoidable.
PMID- 29633790
TI - The impact of prostate artery embolization (PAE) on the the physical history and
pathophysiology of benign prostatic hyperplasia (BPH).
AB - AIM: Prostate artery embolization (PAE) is a non invasive modality for the
treatment of benign prostate hypertrophy (BPH) related lower urinary tract
symptoms (LUTS). As a relatively new procedure, data determining the clinical
success is somehow scarce. In the present article we examine the current clinical
outcome measures in order to identify the most accurate. RESULTS: Current imaging
outcome measures are consistent with clinical ones only in the group of patients
with adenomatous- dominant BPH while are inconsistent in patients with small
sized adenomas. CONCLUSIONS: Additional studies and/or evaluation tools are
needed in order to provide accurate evaluation of clinical success in the
subgroup of patients with non- adenomatous-dominant BPH while they may inspire
new options and novel techniques for both BPH treatment and treatment-follow up.
PMID- 29633791
TI - Pathology outcomes in patients with transurethral bladder tumour resection in a
Turkish population: A retrospective analysis.
AB - OBJECTIVES: Transurethral bladder tumour resection (TURBT) is the common surgical
method used in the diagnosis, staging and treatment of patients with bladder
tumour. Most of the rare tumours other than the urothelial carcinomas of the
bladder are in advanced stage on diagnosis and necessitate aggressive treatment.
In our study, we aimed to the histologic types of bladder cancer and to determine
the regional incidence of rare bladder cancer types in our region. MATERIALS AND
METHODS: We retrospectively evaluated 815 patients who underwent TURBT surgery
between January 2010 and March 2016 in our clinic with a diagnosis of bladder
cancer and at least 1 year follow-up. Patients with tumour histopathological
examination including histological tumour type, grade and were reported. Thirty
nine patients with an unclear pathology report (neighboring organ invasion,
cautery artifact, etc) and 17 patients whose data could not be accessed were
excluded from the study. The patients who had received chemotherapy or
radiotherapy due to any type of malignancy (23) were also excluded from the
study. RESULTS: The outcomes of 736 patients operated in our clinics due to
bladder tumour were evaluated. The mean age was 65.2 +/- 8.4; 135 were female and
601 were male. Among them 711 patients with urothelial carcinoma were reported
(94.2%). According to TNM classification, stage Ta was observed in 270 patients
(37.9%), stage T1 in 297 (41.7%), and stage T2 in 144 (20.3%). Non-urothelial
cancers were reported in 25 cases (3.3%). CONCLUSION: The incidence of bladder
carcinoma varies between regions. The results of our study are similar to those
of the western countries. Increased smoking and exposure to environmental
carcinogenetic agents may lead to altered incidences and histological types of
bladder tumours. Revision of regional tumour records may be useful to develop and
evaluate future treatment strategies.
PMID- 29633792
TI - Intravesical administration of combined hyaluronic acid and chondroitin sulfate
can improve symptoms in patients with refractory bacillus Calmette-Guerin-induced
chemical cystitis: Preliminary experience with one-year follow-up.
AB - OBJECTIVE: We investigated the efficacy of intravesical instillations of combined
hyaluronic acid (HA) and chondroitin sulphate (CS) in patients with bacillus
Calmette-Guerin (BCG)-induced chemical cystitis unresponsive to first-line
therapies. PATIENTS AND METHODS: We retrospectively reviewed the clinical records
of patients with grade 2 BCG-induced chemical cystitis unresponsive to first line
therapeutic options performed according to the International Bladder Cancer Group
guidelines who underwent intravesical instillations of HA/CS. Bladder pain,
urinary urgency, voiding volume and number of voids/24 hours recorded prior to
treatment, at the end of the treatment, at six months and at one-year follow-up
were recorded and analyzed. RESULTS: The records of 20 patients were identified.
All patients underwent eight weekly instillations of HA/CS. Mean baseline visual
analogue scale (VAS) scores +/- Standard Deviation (SD) for urinary urgency and
bladder pain were 7.8 +/- 0.5 and 7.2 +/- 1.0, respectively. Mean number of
voids/24 hours +/- SD was 15.4 +/- 2.3 and mean urine volume per void +/- SD was
85.8 +/- 21.0 mL. At the end of the treatment, mean VAS scores +/- SD for urgency
and pain significantly decreased to 4.7 +/- 1.1 and 4.2 +/- 0.9, respectively (p
< 0.05 in both cases). Mean number of voids/24 hours +/- SD decreased to 9.6 +/-
1.4 (p < 0.05) and mean urine volume per void +/- SD significantly increased to
194.1 +/- 59.5 mL (p < 0.05). At six months and one-year followup, all outcome
measures remained stable. CONCLUSIONS: Bladder instillations of HA/CS provide
significant and durable improvement of bladder pain, urinary urgency, urinary
volume per void and urinary frequency in patients with refractory BCG-induced
chemical cystitis.
PMID- 29633793
TI - The impact of ureteral Double-J stent insertion following ureterorenoscopy in
patients with ureteral stones accompanied by perirenal fat stranding.
AB - OBJECTIVE: To evaluate the impact of ureteral stent insertion following semirigid
ureterorenoscopy (URS) in patients with perirenal fat stranding (PFS) due to
ureteral stones. MATERIAL AND METHODS: Data of 600 patients who underwent URS
were analyzed retrospectively. Seventy-two patients detected to have PFS
accompanying ureteral stone were included. Patients who did not undergo double J
(DJ) stent insertion following semirigid URS were classified as Group I (n: 52),
while those who underwent stent insertion were classified as Group II (n: 20).
Side distribution; localization of the stones, stone size, presence of fever,
urinary tract infection (UTIs) and urosepsis rates were compared in the two
groups. RESULTS: The average age of the patients was 44.4 (20-71) years.
Male/female ratio and side of the stone location showed similar distribution in
both groups (p > 0.05). Fever occurred in 23 cases (44.2%) in Group I and in 15
cases (75%) in Group II (p = 0.038). UTIs occurred in 15 cases (28.9%) in Group I
and in 12 cases (60%) in Group II (p = 0.03). Urosepsis presented in 3 (5.8%) and
5 (25%) of the patients in Group I and II, respectively (p = 0.033). CONCLUSIONS:
According to our results, ureteral DJ stent insertion following URS in patients
with PFS due to ureteral stone caused an increase on postoperative infection
related complications.
PMID- 29633794
TI - Semirigid ureteroscopy prior retrograde intrarenal surgery (RIRS) helps to select
the right ureteral access sheath.
AB - OBJECTIVE: To evaluate ureteral compliance through semirigid ureteroscopy (sURS)
in order to select the proper ureteral access sheath (UAS) size for retrograde
intrarenal surgery (RIRS). PATIENTS AND METHODS: In a prospective study, 100
consecutive patients selected for elective sURS or RIRS were recruited. Each
patient, initially underwent 9.5 Fr sURS with a safety guidewire 3Fr, in order to
estimate ureteral compliance. If the ureter was compliant, a gently passage of a
12/14Fr UAS was attempted. If the ureter was not deemed compliant, passage of
either a smaller UAS or a smaller semirigid 7Fr or a flexible 7.5Fr or a digital
8.5Fr scope with and without safety guidewire, was attempted. Age, gender,
disease location, prestenting, previous RIRS and/or stone elimination,
hydronephrosis, ureteral strictures, unsuccessful procedures, and complications,
were analyzed as possible correlated factors of ureteral compliance. RESULTS: In
77 patients the ureter was deemed compliant >= 14Fr. Of the preoperative factors
that were examined, stent placement before RIRS (P < 0.002), previous RIRS (P =
0.000) and previous stone elimination (P = 0.004), correlated with ureter >=
14Fr. Ureteral lithiasis (P < 0.001), ureteral strictures (P < 0.05),
unsuccessful procedures (P < 0.005) and complications (P = 0.01) correlated with
ureter < 14Fr. The complication rate was 10% (10 patients) with ureteral injuries
grade I in 9 patients and grade III in 1 patient according to the endoscopic
grading system. Age, gender, hydronephrosis and urothelial carcinoma (UC) had no
influence. CONCLUSIONS: sURS performed before RIRS allows selection of the right
ureteral access sheath (UAS) and avoidance of major complications. Pre-stenting,
previous RIRS and stone elimination history are all factors correlating with a
compliant ureter.
PMID- 29633795
TI - Comparison of three most frequently used alpha blocker agents in medical
expulsive therapy for distal ureteral calculi, result of a retrospective
observational study.
AB - PURPOSE: In this study, we compared the effects of three agents frequently used
in daily life for medical expulsive therapy. MATERIALS AND METHODS: A total of
143 patients meeting the criteria were included in the study. Patients were
divided into three homogeneous drug groups which were tamsulosin group (n:48),
alfuzosin group (n:47) and silodosin group (n:48). The time of stone expulsion,
analgesic needs, side effects of the medicine and endoscopic intervention needs
of the patients were recorded. RESULTS: The rate of stone expulsion was 70.8%
(n:34) in tamsulosin group, 70.2% (n:33) in alfuzosin group, and 75% (n:36) in
silodosin group. No significant difference was observed among the rates of stone
expulsion in three groups, and the rates of stone expulsion were similar (p =
0.778). The duration of stone expulsion was significantly different in the groups
(p = 0.012): the time of stone expulsion for tamsulosin was 2.33 +/- 0.78 days
longer than for Silodosin, indicating a significant difference. There was no
significant difference between tamsulosin-alfuzosin and silodosin-alfuzosin
(respectively p = 0.147, p = 0.925). CONCLUSIONS: The results of this study
showed that medical expulsive therapy by using alpha blocker agents is safe and
efficacious. This option must be kept in mind for patients who do not ask for
surgery as the first-step treatment for eligible patients.
PMID- 29633796
TI - A new technique of ultrasound guided percutaneous renal biopsy by perforated
probe and perpendicular needle trajectory.
AB - The percutaneous biopsy of native kidneys according to the classical methodology
is performed under real time ultrasound guidance with the needle introduction
along a trajectory of about 30 degrees , aimed to the lower pole of the kidney.
Recently, a variant of the classical technique has been introduced by which a
perforated ultrasound probe is used to guide the needle along a perpendicular
trajectory to the terminal section of the lower kidney pole where the front and
back margins of the cortical kidney tissue join each other without renal sinus
interposition so to offer to the needle a 3-4 cm thick cortical tissue front
which allows to obtain a cortical tissue sample suitable for histological
examination even with a single needle pass, while at the same time limiting the
possibility of damaging the smaller kidney calices of the lower group whose
lesion causes hematuria. In this paper, we present a large survey (50 patients)
to compare to data from the literature obtained by using similar needle gauge and
with a similar follow-up period after biopsy. The result of this comparison
confirms the efficacy of this variant of the classical technique because in front
of a statistically lower number of needle passes, it allowed to obtain 100% of
samples suitable for histological analysis, in absence of major complications and
with a statistically lower post-biopsy hemoglobin drop in comparison to that
observed in a group of 44 patients biopsied with a greater number of needle
passes, in the only study of the literature which is directly comparable to our
study in relation to needle gauge and duration of monitoring.
PMID- 29633797
TI - Erectile dysfunction in patients taking psychotropic drugs and treated with
phosphodiesterase-5 inhibitors.
AB - OBJECTIVES: The aim of this study was to assess the prevalence of patients with
Erectile Dysfunction (ED) receiving psychotropic drugs, the impact of these drugs
on hormonal profile, and the efficacy of PDE5-i in these patients. MATERIALS AND
METHODS: We recruited 1872 patients referring for ED to our Andrology Unit.
Assessment included serum testosterone, gonadotropins, TSH, prolactin, and PSA,
and the IIEF-5 questionnaire for ED diagnosis. Inclusion criteria were age 21-75
years and IIEF-5 total score <= 21; exclusion criteria included hypogonadism,
diabetes mellitus, previous prostatectomy, other medication intake, and ED
diagnosis prior to psychotropic drug treatment. Efficacy was rated with the IIEF
5 (remission: total score >= 22). RESULTS: The prevalence of ED patients treated
with psychotropic drugs since >= 3 months was 9.5% (178/1872), subdivided
according to the drugs used into: Group A, 16 patients treated with atypical
antipsychotics (9.0%); Group B, 55 patients with benzodiazepines (30.9%); Group
C, 33 patients with antidepressant drugs (18.5%); and Group D, 74 patients with
multiple psychotropic drugs (41.6%). Patients in Group A were significantly
younger than other groups (p < 0.05). The hormonal profile presented only higher
prolactin level in patients treated with antipsychotics, alone or in combination
(p < 0.05). Overall, 146 patients received PDE5-i. Remission rate, after three
months of treatment, was significantly higher in Group B compared to C and D
groups (p < 0.05). CONCLUSIONS: A substantial portion of patients receiving
psychotropic drugs show ED. Sexual performance in these patients benefits from
PDE5-i. Age, effects of psychiatric disorders, psychotropic drugs, and PDE5-i
treatment modality accounted for variability of response in this sample.
PMID- 29633798
TI - Seminal transferrin in the seminal quality evaluation of hemodialytic patients.
AB - OBJECTIVE: to verify the association between seminal quality and seminal
transferrin (ST) level and fertility index in patients undergoing chronic
hemodialysis (CH). MATERIAL AND METHODS: This is a cross-sectional study in a
group of 60 men (case) undergoing CH for more than 6 months, and a group of 30
healthy men (control), aged 18-60 years, without clinical or laboratory signs of
infection/inflammation. Spermiogram was performed, fertility index (FI) was
calculated and ST and sex hormones (SH) levels were measured, including follicle
stimulating hormone, luteinizing hormone, total testosterone, and prolactin.
RESULTS: All individuals were eugonadal. No differences for age (49.47 +/- 5.56,
47.90 +/- 6.2, p = 0.22) were observed between cases and controls, whereas there
were significant differences between the individuals in the case and control
groups with respect to the mean FI (p = 0.000), seminal parameters (SP) (p =
0.000), and ST levels (40.12 +/- 08.25 vs 73.32 +/- 06.8, p = 0.000). ST levels
were correlated with FI (r = 0.787, p = 0.00) and SP (motility: r = 0.857, p =
0.000; vitality: r = 0.551, p = 0.000; density: r = 0.850, p = 0.000; normal
morphology: r = 0.386, p = 0.000). Linear regression model showed relationship of
ST levels with total sperm motility (R2 = 0.701; p = 0.000) and and FI (R2 =
0.569; p = 0.000). CONCLUSIONS: Our results suggest that seminal quality is
associated with ST levels and FI and that it can be used the initial
investigation of subfertility/infertility of patients undergoing chronic
hemodialysis..
PMID- 29633799
TI - How do vegetable oils (hazelnut and canola) affect the reproductive system in
male rats?
AB - OBJECTIVE: Vegetable oils have an important place in our daily diet. This study
starts from this point to investigate the effects of canola oil and hazelnut oil
in the male reproductive system in rats. MATERIAL AND METHODS: 30 male rats were
used in this 16-week study. The animals were divided into three groups: the
animals in group I served as the control group, while the animals in group II and
group III were fed with hazelnut and canola oil, respectively. The testes of all
rats were excised for histopathologic evaluation and immunohistochemical (IHC)
evaluation with a standard method. Blood samples were obtained for determination
of serum hormone levels. RESULTS: No significant differences were noted with
respect to behavior or weight among the three groups. Rats in the canola oil
group (group III) had higher luteinizing hormone (LH) and higher testosterone
levels than rats in the control group. Rats who received hazelnut oil (group II)
exhibited similar findings, with these levels being higher than they were in the
control group. No statistical differences were shown for histopathology or IHC
testosterone antibody levels across all treatment groups. Conclussion: Canola oil
was shown to have a greater effect on serum LH and testosterone compared to the
control group and the group fed with hazelnut oil. Further investigation is
required into how these oils affect serum hormone and sperm activity.
PMID- 29633800
TI - The role of diallyl thiosulfinate associated with nuciferine and diosgenin in the
treatment of premature ejaculation: A pilot study.
AB - OBJECTIVE: To assess the efficacy and safety of an association of diallyl
thiosulfinate with nuciferine and diosgenin in the treatment of a group of
patients suffering from premature ejaculation (PE), primary or secondary to
erectile dysfunction (ED). MATERIALS AND METHODS: From July 2015 to October 2016,
143 patients (mean age 25.3; range 18-39) affected by PE completed the study and
were finally analyzed in this phase I study. All patients, after clinical
assessment and laboratory evaluation were asked to take an association of diallyl
thiosulfinate with nuciferine and diosgenin as oral tablet, once a day, on
alternate days, for three months. At the baseline and after three months of
treatment, each patient was asked to complete the following questionnaires:
International Index of Erectile Function (IIEF-5), Premature Ejaculation
Diagnostic Tool (PEDT), Male Sexual Health Questionnaire (MSHQ). RESULTS: A
statistical significant improvement in terms of erectile function, comparing the
IIEF-5 value at baseline and follow- up visit was found (respectively IIEF-5: 8.7
vs 14.01; p < 0.001). Moreover, at follow-up visit, 97/143 men (67.8%) referred a
subjective improvement of the erection quality and a better control of the
ejaculation (PROs). The IELT improved too between the baseline evaluation and the
follow-up visit (p < 0.001). CONCLUSION: In conclusion, our study, even if
supported by preliminary results, showed how Diallyl Thiosulfinate, Nuciferine
and Diosgenin is able to improve the control of ejaculation in patients suffering
from PE, primary or secondary to ED without any significant adverse effects.
PMID- 29633801
TI - Robotic perineal radical prostatectomy with high prostate volume.
AB - BACKGROUND: Minimally invasive techniques are ever improving and are preferred
more. Many techniques were developed in radical prostatectomy operations. Robotic
radical prostatectomy with the perineal approach is a new technique. CASE
PRESENTATION: A 66-year-old male patient presented because of lower urinary tract
symptoms, a PSA value of 5.5 ng/ml was detected, prostate biopsy was performed
under transrectal ultrasound guide, a Gleason 3+3 adenocarcinoma on 3/12 foci was
reported at pathology. Robotic perineal radical prostatectomy (r-PRP) operation
was performed in the patient who had a prostate volume of 130 cc with middle lobe
and a body mass index of 32 without additional disease. The duration of operation
was 140 minutes in total and the duration at the console was 95 minutes, the
amount of bleeding was 85 cc and no intraoperative complication was detected.
CONCLUSION: r-PRP is a technique that can be applied safely without prolonging
the operation period and without additional morbidity to the patient, preserving
the oncologic and functional outcomes in patients with surgical history and large
prostate volume.
PMID- 29633802
TI - Bilateral synchronous testicular seminoma: A rare presentation of a rare disease.
AB - OBJECTIVE: To present a case of a bilateral synchronous testicular seminoma in a
young male clinical stage IIB. MATERIAL AND METHOD: A 37 years old man presented
a bilateral testicular mass with elevated tumoral markers. Histology of frozen
section revealed bilateral seminoma and bilateral radical orchiectomy was
performed. RESULT: Enhanced chest and abdominopelvic staging CT scan revealed a
lymphadenopathy of 30 mm within the inter-aortocava nodal chain (stage IIB).
Patient received three cycles of BEP. Three months later 18F-FDG PET showed no
evidence of hypermetabolic activity and serum tumoral markers were normal.
CONCLUSION: Bilateral testicular germ cell tumors are a rare disease. Management
of this tumors is controversial. Bilateral radical orchiectomy is the standard of
care, nevertheless, in order to preserve fertility and androgen production, an
organsparing surgery can be attempted in selected cases. Although prognosis is
good, with overall survival rates similar to patients with unilateral disease,
life-long close follow-up may be advocated due to relapse risk.
PMID- 29633803
TI - Conservative management of a bladder leiomyosarcoma in a 43-year-old patient.
AB - Leiomyosarcoma of the bladder is an aggressive and rare tumor, with less than 200
reported cases. The treatment of bladder leiomyosarcoma is controversial although
in most cases an aggressive surgical therapy is preferred. Usually, a radical
cystectomy is performed, as it is considered to have a better disease-specific
survival rate. A 43-year-old man presented to our Urology Department with
painless macroscopic hematuria. He was submitted to transurethral resection of
the tumor. The transurethral resection was complete and revealed only this small
single lesion and the rest of the bladder was normal with no other lesion or
suspicious lesion. The final histology revealed leiomyosarcoma of the bladder.
Due to his age and the aggressiveness of the tumor after a thorough and detailed
discussion with the patient a conservative management with aggressive follow up
was decided. The patient a year after the diagnosis is in perfect condition
without sign of a recurrence or metastastes.
PMID- 29633804
TI - Metastasis of the epididymis and spermatic cord from pancreatic adenocarcinoma: A
rare entity. Description of a case and revision of literature.
AB - INTRODUCTION: Metastatic epididymal and spermatic cord adenocarcinoma from
epithelial tumors are a rare condition. The most frequent primary cancers are
prostate, lung, kidney, gastrointestinal tumors and breast. In literature, there
are very low number of cases reporting metastasis from pancreatic cancer to
epididymis and spermatic cord. CASE DESCRIPTION: We report a case of 70-years old
man with history of left orchiectomy for undescended testicle, who presented to
our department with a palpable nodule in the right scrotum. Scrotal ultrasound
revealed an inhomogeneous hypoechoic nodule of epididymis and/or spermatic cord.
Neoplastic markers showed high levels of CEA (carcinoembryonic antigen) and bHCG
(beta Human Chorionic Gonadotropin). The patient underwent right surgical scrotal
exploration with orchifunicolectomy. Pathologic examination revealed pathologic
tissue showing rare glandular structures. Immunohistochemistry profile was
compatible with malign epithelial neoplasm with glandular differentiation. Total
body CT-scan revealed pathologic tissue in pancreas between head and body and a
suspect pathologic lesion in liver and 18-FDG PET-scan confirmed the pancreatic
neoplastic mass and a suspect secondary hepatic lesion. Biopsy of pancreatic
pathologic area was positive for ductal pancreatic adenocarcinoma. The patient
was sent to oncologic evaluation and started chemotherapy. CONCLUSIONS:
Malignancies of epididymis and spermatic cord are rare entities and, in
literature, very low number of cases of metastasis from pancreatic carcinoma to
epididymis and spermatic cord are described. Early differential diagnosis is
fundamental mostly in those patients with age range unusual for testis cancers.
PMID- 29633805
TI - Pulmonary recurrence from prostate cancer and biochemical remission after
metastasis directed therapy. A case report.
AB - We report a case of a 69-years-old man who presented with a solitary 1 cm nodule
in the lower lobe of the left lung almost 3 years after radical prostatectomy for
pT3aN0M0, Gleason score 4+3 disease, without evidence of osseous or lymphatic
spread. Surgical resection of the pulmonary lobe confirmed the metastatic nature
of the lesion, with subsequent reduction of serum PSA to undetectable levels.
After 2 years from the metastasis resection, serum PSA is still undetectable,
without the necessity of additional treatments. Solitary pulmonary metastases
from prostate cancer (Pca) are rare in clinical practice, with only 29 previous
cases described besides the one that we present.
PMID- 29633806
TI - Facile Fabrication of Large-Scale Silver Nanowire Bilayer Films and Its
Application as Sensitive and Reproducible Surface-Enhanced Raman Scattering
Substrates.
AB - The homogeneous surface-enhanced Raman scattering (SERS) active hot spots on a
SERS substrate is the most crucial factor in ensuring their application as
reproducible and ultrasensitive sensing platforms. In this paper, we report on a
simply shaking-assisted liquid-liquid (water-chloroform) interfacial assembly
process for fabricating aligned Ag nanowire (AgNW) bilayer films on solid
substrates. A scalable fabrication process can be easily realized by using a
large size of container. These AgNW bilayer films can be used as ideal SERS
active substrates for chemical and biomolecular detection with highly sensitivity
and excellent reproducibility. Significantly, sensitive and quantitative
detection of carbaryl with a detection limit of 0.1 ppm using these SERS
substrates to demonstrate potential applications for environmental pollutant
analysis.
PMID- 29633807
TI - Phosphorus-Modified Palladium Hydrogenation Catalysts: An Electron-Microscopy
Study.
AB - Size and phase composition of the Pd-P catalyst, which is highly active in the
hydrogenation of unsaturated compounds have been established using X-ray powder
diffraction (XRD) analysis, high resolution transmission electron microscopy
(HRTEM) technique in combination with energy-dispersive X-ray (EDX) analysis and
selected area electron diffraction (SAED) analysis. The reduction of Pd(acac)2
with hydrogen in the presence of white phosphorus (P/Pd = 0.3) in N,N
dimethylformamide (DMF) at 80 degrees C results in formation of Pd-enriched
polycrystalline nanoparticles with a predominant diameter of 5.7 nm (C(Pd) = 1
mmol.L-1) and 5.1 nm (C( Pd) = 5 mmol . L-1). The polycrystallites consist of
palladium phosphides (Pd5P2, Pd3P0.8 and palladium crystallites. It is found that
phase composition of the Pd-P catalyst is changed at the stage of Pd-P sample
preparation for XRD analysis. It is established that palladium phosphide Pd6P
detected by XRD technique is a product of the solid-phase reaction between Pd
crystallites and Pd5P2, Pd3P(0.8) palladium phosphides at the stage of high
temperature sample preparation (400 degrees C). The mechanism of low-temperature
synthesis of palladium phosphides was proposed.
PMID- 29633808
TI - Synthesis of Silver Nanowire and Preparation of Uniform, Highly Conductive
Transparent Films on Flexible Substrate with Extremely Excellent Film
Performance.
AB - Silver nanowires were successfully synthesized by the two-step injection polyol
method. Reaction time and centrifugation speed are critical factors enabling high
length and diameter ratio of silver nanowires. The effects of the two factors on
the morphology of silver nanowires were investigated in the experiment of
synthesis of silver nanowires, respectively. The optimal experimental conditions
are that the reaction time is 1 hour and the centrifugal speed is 2000 rpm. The
length and diameter of the prepared silver nanowires reaches 5-10 MUm and 60 nm,
respectively. Silver nanowires were used for preparing transparent conductive
film through vacuum filtration method, and then transferred to polyethylene
glycol terephthalate (PET) substrate. The adhesive ability between the substrate
and silver nanowire layer is greatly improved with spinning Polyvinylidene
Fluoride (PVDF) on the silver nanowire network. Silver nanowire network on PET
substrates showed an excellent stability, a good combination of high electrical
conductivity and light transmittance. The transmittance of silver nanowires film
reaches 71.5% and resistance is 65.5 ohm/sq. And the sheet resistance decreased
significantly while the light transmittance had a little change when spun coated
PVDF solutions on top of the silver nanowire film.
PMID- 29633809
TI - Effluent Disinfection of Real Wastewater by Ag-TiO2 Nanoparticles Photocatalysis.
AB - Currently pathogen microorganisms, presents in wastewater, are more resistant to
conventional disinfection process, due to its constant change induced for the
antibiotic for human diseases. One of the new options for the pathogen
microorganisms is the heterogeneous photocatalysis, which has been used for
remove microorganism, but never in real wastewater effluent. This paper shown the
synthesis of Ag-TiO2 nanoparticles, its physical characterization was carried out
by TEM, SEM, S-BET, XPS and band gap measurement by UV-vis spectroscopy showing
that Ag-TiO2 are spherical particles with sizes around 50 nm with 1 and 10 %w of
Ag, and a significant decrease in the band gap. The disinfection system was
illuminated using the solar radiation of a spring day at Queretaro, Mexico, in
lapses from 11:00 am to 03:00 pm; the microbiological tests were performed
according to the Official Mexican Norm (NOM-003-SEMARNAT-1996), the results shows
that after 3 hours of solar photocatalysis disinfection process the material 1 %w
Ag-TiO2 at 0.2 gL-1, removes the fecal and total coliform microorganisms from
effluent, leaving Enterobacter, Escherichia, Citrobacter, Salmonella and
Klebsiella microorganisms alive due to its capability of reactivation.
PMID- 29633810
TI - Nanostructured Bimetallic Iron Molybdenum Nitride as a Non-Precious Cathode
Catalyst for Li-O2 Batteries.
AB - Herein we report the facile fabrication and electrocatalytic activity of
nanostructured bimetallic iron molybdenum nitride (Fe3Mo3N), which was prepared
by an ammonolysis process directly towards the solid state mixture of Mo
precursor and Fe precursor. The prepared nanostructured Fe3Mo3N presented
remarkable electrocatalytic activities towards both oxygen reduction reaction and
oxygen evolution reaction in nonaqueous phase, due to the modulation of
electronic configuration of catalyst by Fe element and porous structure. Then,
lithium-O2 batteries with nanostructured Fe3Mo3N as cathode catalysts were
assembled, which show alleviated polarization and enhanced cyclability.
PMID- 29633811
TI - Synthesis and Scanning Tunneling Microscopy Observation of 1,3,5-Triazine Bearing
Azo-Carboxylate.
AB - A new compound (2,4,6-tris-(3,5-di-methyl formate-4'-hydroxy azobenzene)-1,3,5
triazine,TDHAT) has been synthesized and the scanning tunneling microscopy (STM)
is utilized to clarify the geometrical configuration on the highly oriented
pyrolytic graphite (HOPG) surface. The star-shaped molecule self-assembles into
uniform and regular triangular petal structure at liquid-solid interface, and
high-resolution images has been obtained, which implied a high stability of this
two dimensional configuration. The distance between the scattered bright spot and
the center of the petal is measured to be about 1.2 nm (L2), which corresponds to
the actual size of each arm. Moreover, a comparison has been made between the
TDHAT molecule and the TMA 4a molecule which has similar star-shaped structure
with a long alkyl chain at the each end of the three arms, drawing a conclusion
that both the structure of arms and the substituent groups would impact the
nanoarchitecture. The results give us insight into a better comprehension of the
self-assembly of the star-shaped molecule, which benefits the construction of
functional nanostructures.
PMID- 29633812
TI - A comparison of two different concentrations and infusion rates of ropivacaine in
perineural infusion administered at the same total dose for analgesia after foot
and ankle surgery: a randomized, double blinded, controlled study.
AB - BACKGROUND: Continuous popliteal nerve block is utilized for postoperative
analgesia after foot and ankle surgery. Whether only the total dose of local
anesthetic or the combination of concentration and volume determine the
characteristics of a continuous popliteal nerve infusion remains currently
unknown. We hypothesized a reduction of the incidence of insensate extremity in
patients given ropivacaine 0.4% at 4 mL/h compared to patients given ropivacaine
0.2% at 8mL/h. METHODS: Sixty-four patients scheduled for major foot and ankle
surgery requiring a continuous popliteal catheter infusion for postoperative
analgesia were studied. Thirty-three patients were randomized to receive a
continuous popliteal nerve block with 0.2% (basal 8 mL/h) and thirty-one with
0.4% (basal 4 mL/h) ropivacaine, reaching the same total dose (16 mg/h). The
primary outcome was the incidence of persistent sensory block in the distal
sciatic nerve distributions in the postoperative period. Secondary outcomes were
the incidence of motor block, NRS pain scores at rest in the postoperative period
up to 48 hours after surgery, opioid use and related side effects, patients'
satisfaction. RESULTS: The incidence of persistent sensory block was similar in
patients given 0.2% and in patients receiving 0.4% ropivacaine. The incidence of
motor block, postoperative pain scores at rest, use of oral opioids, side effects
and patients' satisfaction with the quality of recovery were also similar in both
groups. CONCLUSIONS: Our results suggest that local anesthetic total dose is the
primary determinant of continuous popliteal perineural infusion effects.
PMID- 29633813
TI - Effects of warmed, humidified CO2 insufflation on body core temperature and
cytokine response: head-to-head randomized comparison vs. standard insufflation
during RARP.
PMID- 29633814
TI - Patient management after total knee arthroplasty: and the quest continues!
PMID- 29633815
TI - Unconventional monitoring methods: can BIS(r) predict airway obstruction?
PMID- 29633816
TI - Erector spinae plane block and dual antiplatelet therapy.
PMID- 29633817
TI - Obstructive sleep apnea: screening is the waiting room for preoperative testing,
postoperative monitoring, and safety measures.
PMID- 29633818
TI - Patient-targeted light sedation in the Intensive Care Unit: are we ready for
precision medicine with dexmedetomidine?
PMID- 29633819
TI - In-Situ Preparation of Surface-Functionalized SiO2 Nanoparticles with
Controllable Sizes.
AB - Controllable preparation of small-sized SiO2 nanoparticles via sol-gel method
remains a big challenge due to high reactivity of these nanoparticles. In this
study, we demonstrated that when introducing coupling reagents, typically 3
(methacryloxy)propyltrimethoxysilane during reaction, the growth of silica
nanoparticles can be inhibited to a large degree and the diameters can be kept
nearly constant. Further, the minimum diameter can be greatly reduced to around
10 nm. DLS and SEM measurements indicated that the dispersion of the size of as
prepared silica nanoparticles was narrow. No obvious aggregation was found in the
absence of any stabilizing reagents. FT-IR results showed that the surface of the
silica was functionalized with considerably large number of vinyl groups. Further
grafting experiment demonstrated that the amount of vinyl groups was adequately
large for the grafting of polymers to form core-shell structures. Overall, the
coupling reagents served not only as surface modifier, but also as terminator of
sol-gel reaction.
PMID- 29633820
TI - Exploring patients' experiences with thyroid hormones' replacement therapy:
gaining a better insight into drivers and barriers.
PMID- 29633821
TI - One-Dimensional CoO@C Core-Shell Nanostructures for Improved Lithium Storage
Properties.
AB - Exploring conversion-type anode materials with large and stable lithium storage
properties as well as good rate performance still remains a great challenge. This
work presents one-dimensional core-shell CoO@C nanostructures as high-performance
anode materials for lithium ion batteries. TEM measurements show that interior
voids and carbon shell can be observed in the core-shell nanostructures.
Electrochemical impedance spectroscopy reveals that the coated carbon layer can
remarkably reduce the charge transfer resistance of the electrochemical
conversion reaction. Endowed with the combined advantages mentioned above, the
present sample exhibits good electrochemical properties when tested as anode
materials, such as high and stable lithium storage properties (877.2 mAh g-1
after 100 cycles at 100 mA g-1 and 661.5 mAh g-1 after 500 cycles at 1 A g-1),
superior rate performance, and long cycling life (over 500 cycles at high current
density of 1 A g-1). These good performances make it a promising candidate for
high-performance anode materials.
PMID- 29633822
TI - Synthesis of Bi2Te3 Nanotubes Using Te Nanotubes as a Template.
AB - Bi2Te3 nanotubes are synthesized by a facile two-step hydrothermal method. Te
nanotubes are prepared firstly and then used as a template to produce Bi2Te3
nanotubes. The structure and morphology of the synthesized nanotubes are
characterized by X-ray diffraction, field emission scanning electron microscope,
and transmission electron microscope. The synthesized Bi2Te3 nanotubes are
several microns in length and about 400 nm in diameter. The growth process is
investigated in detail under different experimental conditions. The formation
mechanism of Bi2Te3 nanotubes from the Te nanotube template is proposed and
discussed. Electrical property of single Bi2Te3 nanotube is investigated. The
synthesis of smooth Bi2Te3 nanotubes opens up the opportunities of investigating
novel physical phenomena of topological insulators with two independent surfaces.
PMID- 29633823
TI - Ionic Liquid-Assisted Synthesis and Catalytic Properties of AuPd Bimetallic
Particles.
AB - Ionic liquid-assisted synthesis of inorganic materials has been demonstrated to
be an efficient synthesis route in the inorganic community. Here AuPd alloy
particles are successfully synthesized with the assistance of the ionic liquid 1
octyl-3-methylimidazolium chloride ([OMIM]Cl) at room temperature. The p
nitrophenol reduction reaction using the synthesized metal particles as the
catalysts indicates that the synthesized Au(1)Pd(1) particles exhibit the highest
catalytic activity in comparison with the studied AuPd particles, the Au and the
Pd particles. Therefore, the present synthesis route could be used as an
efficient synthesis strategy for fabrication of metal alloy particles with
interesting catalytic properties.
PMID- 29633824
TI - Room-Temperature and Aqueous Solution-Processed Two-Dimensional TiS2 as an
Electron Transport Layer for Highly Efficient and Stable Planar n-i-p Perovskite
Solar Cells.
AB - In this study, a room-temperature and aqueous solution-processed two-dimensional
(2D) transition-metal dichalcogenide TiS2 was applied as an electron transport
layer (ETL) in planar n-i-p perovskite solar cells (Pero-SCs). Upon insertion of
the 2D TiS2 ETL with UV-ozone (UVO) treatment, the power conversion efficiency
(PCE) of the planar Pero-SCs was optimized to 18.79%. To the best of our
knowledge, this value should be the highest efficiency to date among those PCEs
of the n-i-p Pero-SCs with room-temperature-processed metal compound ETLs. More
importantly, the n-i-p Pero-SCs with the UVO-treated 2D TiS2 as an ETL also show
extremely high stability, where the average PCE remained over 95% of its initial
value after 816 h storage without encapsulation.
PMID- 29633825
TI - Toward High-Performance and Low-Cost Hydrogen Evolution Reaction
Electrocatalysts: Nanostructuring Cobalt Phosphide (CoP) Particles on Carbon
Fiber Paper.
AB - In this communication, we facily fabricated nanostructured CoP particles (150 to
200 nm) on carbon fiber paper (CFP) for hydrogen evolution reaction (HER) by a
simple two-step process via a green route. In the first step, crystalline Co3O4
nanocubes (150-200 nm) were loaded on CFP through a hydrothermal process at low
temperature (120 degrees C). Interestingly, crystalline Co3O4 nanocubes with a
size 150-200 nm exhibited different growth mechanisms in contrast to the
crystalline Co3O4 nanocubes with a size <100 nm reported earlier. In the second
step, these crystalline Co3O4 nanocubes were converted to catalytically active
CoP particles through chemical vapor deposition (CVD) phosphorization (denoted as
CoP/CFP-H). Remarkably, CoP/CFP-H exhibited a low Tafel slope of 49.7 mV/dec and
only required overpotentials of 128.1, 144.4, and 190.8 mV to drive geometric
current densities of -10, -20, and -100 mA cm-2, respectively. Besides, the
CoP/CFP-H also demonstrated an excellent durability in an acidic environment
under 2000 sweeps at a high scan rate (100 mV s-1) and a 24 h chronopotentiometry
testing. For comparison, CoP was also fabricated through the electrodeposition
method, followed by CVD phosphorization (denoted as CoP/CFP-E). It was found that
the latter had exhibited inferior activity compared to CoP/CFP-H. The good
performances of CoP/CFP-H are essentially due to the rational designs of
electrode: (i) the applications of highly HER active CoP electrocatalyst, (ii)
the intimate contact of nanostructured CoP on carbon fibers, and (iii) the large
electrochemical surface area at electrocatalyst/electrolyte interface due to the
large retaining of particles features after phosphorization. Notably, the
intermediate Co3O4/CFP can serve as a platform to develop other cobalt-based
functional materials.
PMID- 29633826
TI - Tunable Crystallization and Nucleation of Planar CH3NH3PbI3 through Solvent
Modified Interdiffusion.
AB - A smooth and compact light absorption perovskite layer is a highly desirable
prerequisite for efficient planar perovskite solar cells. However, the rapid
reaction between CH3NH3I methylammonium iodide (MAI) and PbI2 often leads to an
inconsistent CH3NH3PbI3 crystal nucleation and growth rate along the film depth
during the two-step sequential deposition process. Herein, a facile solvent
additive strategy is reported to retard the crystallization kinetics of
perovskite formation and accelerate the MAI diffusion across the PbI2 layer. It
was found that the ultrasmooth perovskite thin film with narrow crystallite size
variation can be achieved by introducing favorable solvent additives into the MAI
solution. The effects of dimethylformamide, dimethyl sulfoxide, gamma
butyrolactone, chlorobenzene, and diethyl ether additives on the morphological
properties and cross-sectional crystallite size distribution were investigated
using atomic force microscopy, X-ray diffraction, and scanning electron
microscopy. Furthermore, the light absorption and band structure of the as
prepared CH3NH3PbI3 films were investigated and correlated with the photovoltaic
performance of the equivalent solar cell devices. Details of perovskite
nucleation and crystal growth processes are presented, which opens new avenues
for the fabrication of more efficient planar solar cell devices with these
ultrasmooth perovskite layers.
PMID- 29633827
TI - Gut Microbiota: Rational Manipulation of Gut Bacterial Metalloenzymes Provides
Insights into Dysbiosis and Inflammation.
PMID- 29633828
TI - Synergistic Effect of Charge Generation and Separation in Epitaxially Grown
BiOCl/Bi2S3 Nano-Heterostructure.
AB - Nano-heterostructures are widely used in the field of optoelectronic devices, and
an optimal proportion usually exists between the constituents that make up the
structures. Investigation on the mechanism underlying the optimal ratio is
instructive for fabricating nano-heterostructures with high efficiency. In this
work, BiOCl/Bi2S3 type-II nano-heterostructures with different Bi2S3/BiOCl ratios
have been prepared via epitaxial growth of Bi2S3 nanorods on BiOCl nanosheets
with solvothermal treatment at different sulfuration temperatures (110-180
degrees C) and their photoelectrochemical (PEC) performances as photoanodes have
been studied. Results indicate that the Bi2S3 content increases with the
sulfuration temperature. BiOCl/Bi2S3-170 (i.e., sulfurized@170 degrees C)
exhibits the highest PEC performance under visible-light illumination, whereas
BiOCl/Bi2S3-180 with the maximum Bi2S3 content shows the highest visible-light
absorption, i.e., possessing the best potential for charge generation. Further
analysis indicates that the BiOCl/Bi2S3 heterojunction interface is also crucial
in determining the PEC performance of the obtained heterostructures by
influencing the charge separation process. With increasing Bi2S3 content, the
interface area in the BiOCl/Bi2S3 nano-heterostructures increases first and then
decreases due to the mechanical fragility of the nanosheet-nanorod structure and
the structural instability in the [010] direction of Bi2S3 with higher Bi2S3
content. Therefore, the increasing content of the Bi2S3 does not necessarily
correspond to higher heterojunction area. The optimal performance of BiOCl/Bi2S3
170 results from the maximum of the synthetic coordination of the charge
generation and separation. This is the first time ever to figure out the detailed
explanation of the optimal property in the nano-heterostructures. The result is
inspiring in designing high-performance nano-heterostructures from the point of
synthesizing morphological mechanically robust heterostructure and structurally
stable constituents to reach a high interfacial area, as well as high light
absorption ability.
PMID- 29633829
TI - Noncanonical Photodynamics of the Orange/Green Cyanobacteriochrome Power Sensor
NpF2164g7 from the PtxD Phototaxis Regulator of Nostoc punctiforme.
AB - Forward and reverse primary (<10 ns) and secondary (>10 ns) photodynamics of
cyanobacteriochrome (CBCR) NpF2164g7 were characterized by global analysis of
ultrafast broadband transient absorption measurements. NpF2164g7 is the most C
terminal bilin-binding GAF domain in the Nostoc punctiforme phototaxis sensor
PtxD (locus Npun_F2164). Although a member of the canonical red/green CBCR
subfamily phylogenetically, NpF2164g7 exhibits an orange-absorbing 15ZPo dark
adapted state instead of the typical red-absorbing 15ZPr dark-adapted state
characteristic of this subfamily. The green-absorbing 15EPg photoproduct of
NpF2164g7 is unstable, allowing this CBCR domain to function as a power sensor.
Photoexcitation of the 15ZPo state triggers inhomogeneous excited-state dynamics
with three spectrally and temporally distinguishable pathways to generate the
light-adapted 15EPg state in high yield (estimated at 25-30%). Although observed
in other CBCR domains, the inhomogeneity in NpF2164g7 extends far into secondary
relaxation dynamics (10 ns -1 ms) through to formation of 15EPg. In the reverse
direction, the primary dynamics after photoexcitation of 15EPg are qualitatively
similar to those of other red/green CBCRs, but secondary dynamics involve a "pre
equilibrium" step before regenerating 15ZPo. The anomalous photodynamics of
NpF2164g7 may reflect an evolutionary adaptation of CBCR sensors that function as
broadband light intensity sensors.
PMID- 29633830
TI - Ceria Nanoparticles-Decorated Microcapsules as a Smart Drug Delivery/Protective
System: Protection of Encapsulated P. pyralis Luciferase.
AB - The design of novel, effective drug delivery systems is one of the most promising
ways to improve the treatment of socially important diseases. This article
reports on an innovative approach to the production of composite microcontainers
(microcapsules) bearing advanced protective functions. Cerium oxide (CeO2)
nanoparticles were incorporated into layer-by-layer polyelectrolyte microcapsules
as a protective shell for an encapsulated enzyme (luciferase of Photinus
pyralis), preventing its oxidation by hydrogen peroxide, the most abundant type
of reactive oxygen species (ROS). The protective effect depends on CeO2 loading
in the shell: at a low concentration, CeO2 nanoparticles only scavenge ROS,
whereas a higher content leads to a decrease in access for both ROS and the
substrate to the enzyme in the core. By varying the nanoparticle concentration in
the microcapsule, it is possible to control the level of core shielding, from ROS
filtering to complete blocking. A comprehensive analysis of microcapsules by
transmission electron microscopy, scanning electron microscopy, atomic force
microscopy, confocal laser scanning microscopy, and energy-dispersive X-ray
spectroscopy techniques was carried out. Composite microcapsules decorated with
CeO2 nanoparticles and encapsulated luciferase were shown to be easily taken up
by rat B-50 neuronal cells; they are nontoxic and are able to protect cells from
the oxidative stress induced by hydrogen peroxide. The approach demonstrated that
the active protection of microencapsulated substances by CeO2 nanoparticles can
be used in the development of new drug delivery and diagnostic systems.
PMID- 29633831
TI - Protein Enables Conformation Transition of a Hydrogel Based on Pentapeptide and
Boosts Immune Response in Vivo.
AB - We report a supramolecular hydrogel based on dihistidine containing pentapeptides
serving as a novel vaccine delivery system. Protein encapsulated into the
hydrogel not only enhances the mechanical property up to 15-fold but also changes
the conformation of the resulting nanostructure from a beta-sheet to an alpha
helix. The resulting hybrid hydrogel enhances antigen uptake and moderately
promotes dendritic cell (DC) maturation in vitro. More importantly, the
pentapeptide hydrogel promotes antigen-specific antibody production in vivo and
splenocyte proliferation ex vivo.
PMID- 29633832
TI - Mechanical Forces Guiding Staphylococcus aureus Cellular Invasion.
AB - Staphylococcus aureus can invade various types of mammalian cells, thereby
enabling it to evade host immune defenses and antibiotics. The current model for
cellular invasion involves the interaction between the bacterial cell surface
located fibronectin (Fn)-binding proteins (FnBPA and FnBPB) and the alpha5beta1
integrin in the host cell membrane. While it is believed that the extracellular
matrix protein Fn serves as a bridging molecule between FnBPs and integrins, the
fundamental forces involved are not known. Using single-cell and single-molecule
experiments, we unravel the molecular forces guiding S. aureus cellular invasion,
focusing on the prototypical three-component FnBPA-Fn-integrin interaction. We
show that FnBPA mediates bacterial adhesion to soluble Fn via strong forces
(~1500 pN), consistent with a high-affinity tandem beta-zipper, and that the
FnBPA-Fn complex further binds to immobilized alpha5beta1 integrins with a
strength much higher than that of the classical Fn-integrin bond (~100 pN). The
high mechanical stability of the Fn bridge favors an invasion model in which Fn
binding by FnBPA leads to the exposure of cryptic integrin-binding sites via
allosteric activation, which in turn engage in a strong interaction with
integrins. This activation mechanism emphasizes the importance of protein
mechanobiology in regulating bacterial-host adhesion. We also find that Fn
dependent adhesion between S. aureus and endothelial cells strengthens with time,
suggesting that internalization occurs within a few minutes. Collectively, our
results provide a molecular foundation for the ability of FnBPA to trigger host
cell invasion by S. aureus and offer promising prospects for the development of
therapeutic approaches against intracellular pathogens.
PMID- 29633833
TI - Bacteria-Targeting Nanoparticles with Microenvironment-Responsive Antibiotic
Release To Eliminate Intracellular Staphylococcus aureus and Associated
Infection.
AB - Staphylococcus aureus ( S. aureus) is a causative agent in life-threatening human
diseases that afflict millions of people annually. Traditional antibiotic
treatments are becoming less efficient because S. aureus can invade host cells
including osteoblasts and macrophages, constituting a reservoir that is
relatively protected from antibiotics that can lead to recrudescent infection. We
herein report a unique intracellular antibiotic delivery nanoparticle, which is
composed of (i) a mesoporous silica nanoparticle (MSN) core loaded with
gentamicin, (ii) an infected microenvironment (bacterial toxin)-responsive lipid
bilayer surface shell, and (iii) bacteria-targeting peptide ubiquicidin (UBI29
41) that is immobilized on the lipid bilayer surface shell. The lipid material
acts as a gate that prevents drug release before the MSNs reach the target cells
or tissue, at which point they are degraded by bacterial toxins to rapidly
release the drug, thus eliminating efficient bacteria. We confirm rapid drug
release in the presence of bacteria in an extracellular model and observe that S.
aureus growth is effectively inhibited both in vitro and in vivo of planktonic
and intracellular infection. The inflammation-related gene expression in infected
preosteoblast or macrophage is also downregulated significantly after treatment
by the antibiotic delivery nanoparticles. The antibiotic delivery nanoparticles
offer advantages in fighting intracellular pathogens and eliminating the
inflammation caused by intracellular bacterial infections.
PMID- 29633834
TI - Tuned Amperometric Detection of Reduced beta-Nicotinamide Adenine Dinucleotide by
Allosteric Modulation of the Reductase Component of the p-Hydroxyphenylacetate
Hydroxylase Immobilized within a Redox Polymer.
AB - We report the fabrication of an amperometric NADH biosensor system that employs
an allosterically modulated bacterial reductase in an adapted osmium(III)-complex
modified redox polymer film for analyte quantification. Chains of complexed
Os(III) centers along matrix polymer strings make electrical connection between
the immobilized redox protein and a graphite electrode disc, transducing
enzymatic oxidation of NADH into a biosensor current. Sustainable anodic
signaling required (1) a redox polymer with a formal potential that matched the
redox switch of the embedded reductase and avoided interfering redox interactions
and (2) formation of a cross-linked enzyme/polymer film for stable biocatalyst
entrapment. The activity of the chosen reductase is enhanced upon binding of an
effector, i.e. p-hydroxy-phenylacetic acid ( p-HPA), allowing the acceleration of
the substrate conversion rate on the sensor surface by in situ addition or
preincubation with p-HPA. Acceleration of NADH oxidation amplified the response
of the biosensor, with a 1.5-fold increase in the sensitivity of analyte
detection, compared to operation without the allosteric modulator. Repetitive
quantitative testing of solutions of known NADH concentration verified the
performance in terms of reliability and analyte recovery. We herewith established
the use of allosteric enzyme modulation and redox polymer-based enzyme electrode
wiring for substrate biosensing, a concept that may be applicable to other
allosteric enzymes.
PMID- 29633835
TI - Reversible Carboxylate Shift in a MU-Oxo Diferric Complex in Solution by Acid
/Base-Addition.
AB - A reversible carboxylate shift has been observed in a MU-oxo diferric complex in
solution by UV-vis-NIR and FTIR spectroscopy triggered by the addition of a base
or an acid. A terminal acetate decoordinates upon the addition of a proton,
resulting in a shift of the remaining terminal acetato to a MU-eta1:eta1 bridge.
The addition of a base restores the original structure containing only terminal
acetates. The implications for metalloenzymes with carboxylate-bridged nonheme
diiron active sites are discussed.
PMID- 29633836
TI - Multimodal Cleavable Reporters versus Conventional Labels for Optical
Quantification of Accessible Amino and Carboxy Groups on Nano- and
Microparticles.
AB - Many applications of nanometer- and micrometer-sized particles include their
surface functionalization with linkers, sensor molecules, and analyte recognition
moieties like (bio)ligands. This requires knowledge of the chemical nature and
number of surface groups accessible for subsequent coupling reactions.
Particularly attractive for the quantification of these groups are
spectrophotometric and fluorometric assays, which can be read out with simple
instrumentation. In this respect, we present here a novel family of cleavable
spectrophotometric and multimodal reporters for conjugatable amino and carboxyl
surface groups on nano- and microparticles. This allows determination of particle
bound labels, unbound reporters in the supernatant, and reporters cleaved off
from the particle surface, as well as the remaining thiol groups on particle, by
spectrophotometry and inductively coupled optical emission spectrometry (32S ICP
OES). Comparison of the performance of these cleavable reporters with
conductometry and conventional labels, utilizing changes in intensity or color of
absorption or emission, underlines the analytical potential of this versatile
concept which elegantly circumvents signal distortions by scattering and encoding
dyes and enables straightforward validation by method comparison.
PMID- 29633837
TI - Insight into Chemistry on Cloud/Aerosol Water Surfaces.
AB - Cloud/aerosol water surfaces exert significant influence over atmospheric
chemical processes. Atmospheric processes at the water surface are observed to
follow mechanisms that are quite different from those in the gas phase. This
Account summarizes our recent findings of new reaction pathways on the water
surface. We have studied these surface reactions using Born-Oppenheimer molecular
dynamics simulations. These studies provide useful information on the reaction
time scale, the underlying mechanism of surface reactions, and the dynamic
behavior of the product formed on the aqueous surface. According to these
studies, the aerosol water surfaces confine the atmospheric species into a
specific orientation depending on the hydrophilicity of atmospheric species or
the hydrogen-bonding interactions between atmospheric species and interfacial
water. As a result, atmospheric species are activated toward a particular
reaction on the aerosol water surface. For example, the simplest Criegee
intermediate (CH2OO) exhibits high reactivity toward the interfacial water and
hydrogen sulfide, with the reaction times being a few picoseconds, 2-3 orders of
magnitude faster than that in the gas phase. The presence of interfacial water
molecules induces proton-transfer-based stepwise pathways for these reactions,
which are not possible in the gas phase. The strong hydrophobicity of methyl
substituents in larger Criegee intermediates (>C1), such as CH3CHOO and
(CH3)2COO, blocks the formation of the necessary prereaction complexes for the
Criegee-water reaction to occur at the water droplet surface, which lowers their
proton-transfer ability and hampers the reaction. The aerosol water surface
provides a solvent medium for acids (e.g., HNO3 and HCOOH) to participate in
reactions via mechanisms that are different from those in the gas and bulk
aqueous phases. For example, the anti-CH3CHOO-HNO3 reaction in the gas phase
follows a direct reaction between anti-CH3CHOO and HNO3, whereas on a water
surface, the HNO3-mediated stepwise hydration of anti-CH3CHOO is dominantly
observed. The high surface/volume ratio of interfacial water molecules at the
aerosol water surface can significantly lower the energy barriers for the proton
transfer reactions in the atmosphere. Such catalysis by the aerosol water surface
is shown to cause the barrier-less formation of ammonium bisulfate from hydrated
NH3 and SO3 molecules rather than from the reaction of H2SO4 with NH3. Finally,
an aerosol water droplet is a polar solvent, which would favorably interact with
high polarity substrates. This can accelerate interconversion of different
conformers (e.g., anti and syn) of atmospheric species, such as glyoxal,
depending on their polarity. The results discussed here enable an improved
understanding of atmospheric processes on the aerosol water surface.
PMID- 29633838
TI - Control of the Speed of a Light-Induced Spin Transition through Mesoscale Core
Shell Architecture.
AB - The rate of the light-induced spin transition in a coordination polymer network
solid dramatically increases when included as the core in mesoscale core-shell
particles. A series of photomagnetic coordination polymer core-shell
heterostructures, based on the light-switchable Rb aCo b[Fe(CN)6] c. mH2O (RbCoFe
PBA) as core with the isostructural K jNi k[Cr(CN)6] l. nH2O (KNiCr-PBA) as
shell, are studied using temperature-dependent powder X-ray diffraction and SQUID
magnetometry. The core RbCoFe-PBA exhibits a charge transfer-induced spin
transition (CTIST), which can be thermally and optically induced. When coupled to
the shell, the rate of the optically induced transition from low spin to high
spin increases. Isothermal relaxation from the optically induced high spin state
of the core back to the low spin state and activation energies associated with
the transition between these states were measured. The presence of a shell
decreases the activation energy, which is associated with the elastic properties
of the core. Numerical simulations using an electro-elastic model for the spin
transition in core-shell particles supports the findings, demonstrating how
coupling of the core to the shell changes the elastic properties of the system.
The ability to tune the rate of optically induced magnetic and structural phase
transitions through control of mesoscale architecture presents a new approach to
the development of photoswitchable materials with tailored properties.
PMID- 29633839
TI - Extracting the Americyl Hydration from an Americium Cationic Mixture in Solution:
A Combined X-ray Absorption Spectroscopy and Molecular Dynamics Study.
AB - Am(VI) solution chemistry differs from that of lighter actinoids, as U, Pu, and
Np, where the actinyl [AnO2]2+ is the most stable form and plays an important
role in nuclear fuel technology. The behavior of americium in solution shows the
trend to stabilize lower oxidation states, mainly Am(III). Riddle and co-workers
recently reported the EXAFS and first XANES spectra of an americium-containing
aqueous solution where the americyl species is detected in a mixture. We have
developed Am3+-H2O and [AmO2]2+-H2O intermolecular potentials based on quantum
mechanical calculations to carry out classical MD simulations of these two
cations in water. Structural information extracted from the statistical
trajectories has been used to simulate EXAFS and XANES spectra of both solutions.
For the Am3+ case the theoretical-experimental agreement for both EXAFS and XANES
spectra is satisfactory. This is not the case for the [AmO2]2+ aqueous solutions.
However, when an aqueous solution mixture of both cationic forms in a 55/45
[AmO2]2+/Am3+ ratio is considered, the theoretical-experimental agreement is
recovered. EXAFS and XANES spectra which would correspond to a pure [AmO2]2+
aqueous solution are proposed. In the XANES case, the main features
characterizing the simulated spectrum are consistent with those previously found
in the experimental XANES spectra of stable [UO2]2+ and [PuO2]2+ in water.
PMID- 29633840
TI - Quantum Chemical Calculation of p Kas of Environmentally Relevant Functional
Groups: Carboxylic Acids, Amines, and Thiols in Aqueous Solution.
AB - Developing accurate quantum chemical approaches for calculating p Kas is of broad
interest. Useful accuracy can be obtained by using density functional theory
(DFT) in combination with a polarizable continuum solvent model. However, some
classes of molecules present problems for this approach, yielding errors greater
than 5 p K units. Various methods have been developed to improve the accuracy of
the combined strategy. These methods perform well but either do not generalize or
introduce additional degrees of freedom, increasing the computational cost. The
Solvation Model based on Density (SMD) has emerged as one of the most commonly
used continuum solvent models. Nevertheless, for some classes of organic
compounds, e.g., thiols, the p Kas calculated with the original SMD model show
errors of 6-10 p K units, and we traced these errors to inaccuracies in the
solvation free energies of the anions. To improve the accuracy of p Kas
calculated with DFT and the SMD model, we developed a scaled solvent-accessible
surface approach for constructing the solute-solvent boundary. By using a
"direct" approach, in which all quantities are computed in the presence of the
continuum solvent, the use of thermodynamic cycles is avoided. Furthermore, no
explicit water molecules are required. Three benchmark data sets of
experimentally measured p Ka values, including 28 carboxylic acids, 10 aliphatic
amines, and 45 thiols, were used to assess the optimized SMD model, which we call
SMD with a scaled solvent-accessible surface (SMDsSAS). Of the methods tested,
the M06-2X density functional approximation, 6-31+G(d,p) basis set, and SMDsSAS
solvent model provided the most accurate p Kas for each set, yielding mean
unsigned errors of 0.9, 0.4, and 0.5 p K units, respectively, for carboxylic
acids, aliphatic amines, and thiols. This approach is therefore useful for
efficiently calculating the p Kas of environmentally relevant functional groups.
PMID- 29633841
TI - A Ring Polymer Molecular Dynamics Approach to Study the Transition between
Statistical and Direct Mechanisms in the H2 + H3+ -> H3+ + H2 Reaction.
AB - Because of its fundamental importance in astrochemistry, the H2 + H3+ -> H3+ + H2
reaction has been studied experimentally in a wide temperature range. Theoretical
studies of the title reaction significantly lag primarily because of the
challenges associated with the proper treatment of the zero-point energy (ZPE).
As a result, all previous theoretical estimates for the ratio between a direct
proton-hop and indirect exchange (via the H5+ complex) channels deviate from the
experiment, in particular, at lower temperatures where the quantum effects
dominate. In this work, the ring polymer molecular dynamics (RPMD) method is
applied to study this reaction, providing very good agreement with the
experiment. RPMD is immune to the shortcomings associated with the ZPE leakage
and is able to describe the transition from direct to indirect mechanisms below
room temperature. We argue that RPMD represents a useful tool for further studies
of numerous ZPE-sensitive chemical reactions that are of high interest in
astrochemistry.
PMID- 29633843
TI - Six-Coordinate Nitrato Complexes of Iron Porphyrins with Trans S-Donor Ligands.
AB - The reaction of dimethyl sulfide (DMS) and tetrahydrothiophene (THT) with thin,
amorphous layers of the nitrato complexes Fe(Por)(eta2-O2NO) (Por = meso
tetraphenylporphyrinato dianion or meso-tetra- p-tolylporphyrinato dianion) at
low temperature leads to formation of the corresponding six-coordinate complexes
Fe(Por)(L)(eta1-ONO2) (L = DMS, THT) as characterized by Fourier transform
infrared and optical spectroscopy measurements. Adduct formation was accompanied
by bidentate-to-monodentate linkage isomerization of the nitrato ligand, with the
FeIII center remaining in a high-spin electronic state. These adducts are
thermally unstable; warming to room temperature restores the initial Fe(Por)(eta2
O2NO) species.
PMID- 29633844
TI - Ionic Liquid Designed for PEDOT:PSS Conductivity Enhancement.
AB - Poly-3,4-ethylenedioxythiophene:polystyrenesulfonate (PEDOT:PSS) is a water
processable conducting polymer with promise for use in transparent flexible
electrodes and thermoelectric devices, but its conductivity is not satisfactory.
Its low conductivity is attributed to the formation of hydrophilic/insulating PSS
outer layers encapsulating the conducting/hydrophobic p-doped PEDOT cores.
Recently a significant conductivity enhancement has been achieved by adding ionic
liquid (IL). It is believed that ion exchange between PEDOT:PSS and IL components
helps PEDOT to decouple from PSS and to grow into large-scale conducting domains,
but the exact mechanism is still under debate. Here we show through free energy
calculations using density functional theory on a minimal model that the most
efficient IL pairs are the least tightly bound ones with the lowest binding
energies, which would lead to the most efficient ion exchange with PEDOT:PSS.
This spontaneous ion exchange followed by nanophase segregation between PEDOT and
PSS, with formation of a pi-stacked PEDOT aggregate decorated by IL anions, is
also supported by molecular dynamics performed on larger PEDOT:PSS models in
solution. We also show that the most efficient IL anions would sustain the
highest amount of charge carriers uniformly distributed along the PEDOT backbone
to further enhance the conductivity, providing that they remain in the PEDOT
domain after the ion exchange. Hence, our design principle is that the high
performance IL should induce not only an efficient ion exchange with PEDOT:PSS to
improve the PEDOT morphology (to increase mobility) but also a uniform high-level
p-doping of PEDOT (to enhance intrinsic conductivity). Based on this principle, a
promising (electron-withdrawing, but bulky, soft, and hydrophobic) new IL pair is
proposed.
PMID- 29633842
TI - Investigation of Substrate Recognition and Biosynthesis in Class IV Lanthipeptide
Systems.
AB - Lanthipeptides belong to the family of ribosomally synthesized and post
translationally modified peptides (RiPPs) and are subdivided into four classes.
The first two classes have been heavily studied, but less is known about classes
III and IV. The lanthipeptide synthetases of classes III and IV share a similar
organization of protein domains: A lyase domain at the N-terminus, a central
kinase domain, and a C-terminal cyclase domain. Here, we provide deeper insight
into class IV enzymes (LanLs). A series of putative producer strains was screened
to identify production conditions of four new venezuelin-like lanthipeptides, and
an Escherichia coli based heterologous production system was established for a
fifth. The latter not only allowed production of fully modified core peptide but
was also employed as the basis for mutational analysis of the precursor peptide
to identify regions important for enzyme recognition. These experiments were
complemented by in vitro binding studies aimed at identifying the region of the
leader peptide recognized by the LanL enzymes as well as determining which domain
of the enzyme is recognizing the substrate peptide. Combined, these studies
revealed that the kinase domain is mediating the interaction with the precursor
peptide and that a putatively alpha-helical stretch of residues at the center to
N-terminal region of the leader peptide is important for enzyme recognition. In
addition, a combination of in vitro assays and tandem mass spectrometry was used
to elucidate the order of dehydration events in these systems.
PMID- 29633845
TI - A Lanthanum Carboxylate Framework with Exceptional Stability and Highly Selective
Adsorption of Gas and Liquid.
AB - The development of porous metal-organic frameworks that can retain structural
integrity under harsh physical and chemical conditions is essential from the
perspective of their use in adsorption, catalysis, and sensors. Herein, a
lanthanum carboxylate framework was found to exhibit exceptional stability, not
only robust in boiling aqueous solutions at pH 2-12 and in boiling common organic
solvents over 24 h but also stable upon ball milling for 1 h. Furthermore, this
framework displayed highly selective separation for CO2 over N2 ( Sads = 940), as
well as size-dependent selective adsorption behavior of water and alcohols.
PMID- 29633846
TI - Studies toward the Synthesis of Iriomoteolide-2a: Construction of the C(6)-C(28)
Fragment.
AB - The synthesis of an appropriately functionalized advanced C(6-28) fragment (3) of
the marine macrolide iriomoteolide-2a (1) has been achieved in a highly efficient
manner. The C(6)-C(18) fragment of 1 is prepared via a radical cyclization of a
vinyl ether intermediate and palladium-promoted hydrostannylation/iodination.
Paterson aldol reaction and Peterson olefination are used to construct the C(19)
C(28) fragment. The union of the C(6)-C(18) and C(19)-C(28) fragments is
accomplished via a Suzuki-Miyaura coupling reaction.
PMID- 29633847
TI - Direct Synthesis of 2-Methylbenzofurans from Calcium Carbide and Salicylaldehyde
p-Tosylhydrazones.
AB - A new methodology for the construction of methyl-substituted benzofuran rings
from the reactions of calcium carbide with salicylaldehyde p-tosylhydrazones/2
hydroxyacetophenone p-tosylhydrazones is described. Various 2-methylbenzofurans
and 2,3-dimethylbenzofurans could be obtained in satisfactory yield by using a
cuprous chloride catalyst. The advantages of this protocol include the use of a
readily available and easy-to-handle acetylene source and simple workup
procedure.
PMID- 29633848
TI - Maximum Spreading and Rebound of a Droplet Impacting onto a Spherical Surface at
Low Weber Numbers.
AB - The spreading and rebound patterns of low-viscous droplets upon impacting
spherical solid surfaces are investigated numerically. The studied cases consider
a droplet impinging onto hydrophobic and superhydrophobic surfaces with various
parameters varied throughout the study, and their effects on the postimpingement
behavior are discussed. These parameters include impact Weber number (through
varying the surface tension and impingement velocity), the size ratio of the
droplet to the solid surface, and the surface contact angle. According to the
findings, the maximum spreading diameter increases with the impact velocity, with
an increase of the sphere diameter, with a lower surface wettability, and with a
lower surface tension. Typical outcomes of the impact include (1) complete
rebound, (2) splash, and (3) a final deposition stage after a series of spreading
and recoiling phases. Finally, a novel, practical model is proposed, which can
reasonably predict the maximum deformation of low Reynolds number impact of
droplets onto hydrophobic or superhydrophobic spherical solid surfaces.
PMID- 29633849
TI - Reconfigurable Vanadium Dioxide Nanomembranes and Microtubes with Controllable
Phase Transition Temperatures.
AB - Two additional structural forms, free-standing nanomembranes and microtubes, are
reported and added to the vanadium dioxide (VO2) material family. Free-standing
VO2 nanomembranes were fabricated by precisely thinning as-grown VO2 thin films
and etching away the sacrificial layer underneath. VO2 microtubes with a range of
controllable diameters were rolled-up from the VO2 nanomembranes. When a VO2
nanomembrane is rolled-up into a microtubular structure, a significant
compressive strain is generated and accommodated therein, which decreases the
phase transition temperature of the VO2 material. The magnitude of the
compressive strain is determined by the curvature of the VO2 microtube, which can
be rationally and accurately designed by controlling the tube diameter during the
rolling-up fabrication process. The VO2 microtube rolling-up process presents a
novel way to controllably tune the phase transition temperature of VO2 materials
over a wide range toward practical applications. Furthermore, the rolling-up
process is reversible. A VO2 microtube can be transformed back into a
nanomembrane by introducing an external strain. Because of its tunable phase
transition temperature and reversible shape transformation, the VO2 nanomembrane
microtube structure is promising for device applications. As an example
application, a tubular microactuator device with low driving energy but large
displacement is demonstrated at various triggering temperatures.
PMID- 29633850
TI - Medical approaches to suffering are limited, so why critique Improving Access to
Psychological Therapies from the same ideology.
AB - Although the article by Scott rightly questions the dynamics of the Improving
Access to Psychological Therapies system and re-examines the recovery rates,
finding quite shocking results, his recommendations are ultimately flawed. There
is a strong critique of the diagnostic procedures in Improving Access to
Psychological Therapies services, but the answer is not to diagnose more
rigorously and to adhere more strictly to a manualised approach to psychotherapy.
The opposite may be required. Alternatives to the medical model of distress offer
a less stigmatising and more human approach to helping people with their
problems. Perhaps psychological therapists and the people they work alongside
would be better served by a psychological approach rather than a psychiatric one.
PMID- 29633851
TI - Internal extractive electrospray ionization-mass spectrometry: a powerful
platform for bioanalysis.
PMID- 29633853
TI - The prevalence of autistic traits in a homeless population.
AB - Anecdotal evidence suggests that autistic people experience an elevated risk of
homelessness, but systematic empirical research on this topic is lacking. As a
step towards filling this gap in knowledge, we conducted a preliminary
investigation of the prevalence of Diagnostic and Statistical Manual of Mental
Disorders (5th ed.) autism symptoms in a group of long-term homeless people. The
entire caseload ( N = 106) of a UK homeless outreach team was screened (excluding
individuals born outside of the United Kingdom or Republic of Ireland) using an
in-depth, semi-structured interview with keyworkers, based on Diagnostic and
Statistical Manual of Mental Disorders (5th ed.) diagnostic criteria. This showed
adequate inter-rater reliability, as well as evidence of criterion and construct
validity. Of the sample, 13 people (12.3%, 95% confidence interval (7.0, 20.4))
screened positive, meeting Diagnostic and Statistical Manual of Mental Disorders
(5th ed.) autism criteria by keyworker report. A further nine people (8.5%, 95%
confidence interval (4.5, 15.3)) were 'marginal', having autistic traits that
were not quite sufficient to meet Diagnostic and Statistical Manual of Mental
Disorders (5th ed.) criteria. Those with elevated autistic traits, compared to
those without, tended to be more socially isolated and less likely to use
substances. This study has provided initial evidence that autistic traits are
over-represented among homeless people and that autistic homeless people may show
a distinct pattern of characteristics and needs. Further investigation is
required to build upon these provisional findings.
PMID- 29633852
TI - Implementing systems-based innovations to improve access to early screening,
diagnosis, and treatment services for children with autism spectrum disorder: An
Autism Spectrum Disorder Pediatric, Early Detection, Engagement, and Services
network study.
AB - In 2013, the National Institute of Mental Health funded five trials of unique,
multicomponent, systems-based innovations designed to improve access to early
screening, diagnosis, and treatment of autism spectrum disorder-collectively
known as the Autism Spectrum Disorder Pediatric, Early Detection, Engagement, and
Services Network. As part of an ongoing effort to pool data and learn from shared
experience, we collected information across all studies about innovation
components and implementation strategies. First, each study group completed
standardized checklists based on the Template for Intervention Description and
Replication and the Expert Recommendation for Implementing Change. Then, we
interviewed principal and co-investigators of each study (n = 9) to further
explore innovation components and assess barriers and facilitators to
implementation. Innovation strategies were diverse (five different autism
spectrum disorder screeners were used, 40% included early intervention trainings,
60% involved new technology). Common implementation strategies included
developing stakeholder relationships and provider trainings. Barriers included
inefficient systems of care, difficulty engaging families in the innovations,
provider attitudes, and organizational culture (e.g. difficulty changing clinic
processes). These findings suggest that-despite diverse settings and a variety of
innovation content-common facilitators and challenges exist in implementing
innovations to enhance access to early autism spectrum disorder screening,
diagnosis, and treatment.
PMID- 29633854
TI - Validated UHPLC-MS/MS method for quantification of doxycycline in abdominal
aortic aneurysm patients.
AB - AIM: There is a strong evidence that doxycycline can benefit abdominal aortic
aneurysms patients because of its ability to inhibit matrix metalloproteinase
enzymes. There is a need for a specific quantification method for doxycycline in
these patients. We report herein the development and validation of a selective,
specific, simple and rapid UHPLC-MS/MS method for doxycycline. RESULTS: The assay
was linear (r2 > 0.994) over a concentration range of 0.010-7.005 MUg/ml. This
validated method has good lower limit of quantification (LLOQ) (10 ng/ml),
accuracies (89.1-103.4%), precision (<=7.0%) and extraction recoveries (95.8%).
Doxycycline was stable during short- and long-term storage. CONCLUSION: The assay
is reliable and has been successfully applied to serum samples obtained from the
patients of N-TA3CT clinical study.
PMID- 29633855
TI - A novel role of HIF-1alpha/PROX-1/LYVE-1 axis on tissue regeneration after renal
ischaemia/reperfusion in mice.
AB - CONTEXT: Renal ischaemia reperfusion (I/R) is a common clinical condition with a
high morbidity and mortality rate. To date, I/R-induced renal injury remains an
ineffective treatment. OBJECTIVE: We hypothesis that angiogenesis and
lymphangiogenesis markers, prospero homeobox-1 (PROX-1) and lymphatic endothelial
hyaluronan receptor-1 (LYVE-1), are critical during I/R. MATERIAL AND METHODS:
Kunming mice were subjected to I/R and observed for the following eight
consecutive days. Pathology analysis and protein distribution were detected by
H&E staining, immunohistochemistry and immunofluorescence confocal analysis.
RESULTS: After I/R treatment, renal pathology was changed. HIF-1alpha was induced
in the early stage and colocalisation with PROX-1 mainly in the renal tubular
region, whereas PROX-1 and LYVE-1 were colocalised in the glomerulus of the
endothelial region. CONCLUSIONS: In this study, we revealed HIF-1alpha/PROX
1/LVYE-1 axis dynamic changes in different regions after I/R and demonstrated for
the first time it activates during I/R repair.
PMID- 29633856
TI - Reduction of Environmental Listeria Using Gaseous Ozone in a Cheese Processing
Facility.
AB - A cheese processing facility seeking to reduce environmental Listeria
colonization initiated a regime of ozonation across all production areas as an
adjunct to its sanitation regimes. A total of 360 environmental samples from the
facility were tested for Listeria over a 12-month period. A total of 15 areas
before and 15 areas after ozonation were tested. Listeria isolations were
significantly ( P < 0.001) reduced from 15.0% in the preozonation samples to
1.67% in the postozonation samples in all areas. No deleterious effects of
ozonation were noted on the wall paneling, seals, synthetic floors, or cheese
processing equipment. The ozonation regime was readily incorporated by sanitation
staff into the existing good manufacturing practice program. The application of
ozone may result in a significant reduction in the prevalence of Listeria in food
processing facilities.
PMID- 29633857
TI - Smartphone-Based Self-Management of Non-Insulin-Dependent Diabetes: A Japanese
System at Use by an Italian Patients' Cohort.
PMID- 29633858
TI - US Army Soldiers With Type 1 Diabetes Mellitus.
AB - US Army soldiers diagnosed with type 1 diabetes were previously considered unfit
for duty. For highly motivated soldiers, current advanced technologies allow the
possibility of not only retention on active duty, but military deployment. We
present our experience at Fort Bragg, North Carolina, taking care of soldiers
newly diagnosed with type 1 diabetes mellitus. Through intensive diabetes
education, extensive military and physical training, optimization of diabetes
technology, and remote real-time monitoring, soldiers are able to continue to
serve their country in the most specialized roles.
PMID- 29633859
TI - Conjugated linoleic acid enriched skim milk prepared with Lactobacillus fermentum
DDHI27 endorsed antiobesity in mice.
AB - AIM: This study evaluated the antiobesity effect of skim milk prepared with
conjugated linoleic acid producing probiotic Lactobacillus fermentum DDHI27
(PCLA). MATERIALS & METHODS: C57BL/6 J mice were divided into five groups, and
different obesity-associated parameters were studied. RESULTS: PCLA
supplementation alleviated body weight, epididymal and mesenteric fats and
improves lipid profiles. Significant ameliorations in leptin, blood glucose,
hepatic steatosis and reduction in adipocytes size were also observed.
Additionally, feeding also led to positive alterations in the adipogenesis
transcription factors and key lipogenesis genes. Improvement in the gut
microbiota dysbiosis was also revealed. CONCLUSION: Results inferred that PCLA
exerted an antiobesity effect in diet-induced obese mice and may be further
developed in the functional foods for the management of obesity.
PMID- 29633860
TI - Proposal for risk-based scientific approach on full and partial validation for
general changes in bioanalytical method.
AB - The guidance and several guidelines on bioanalytical method validation, which
were issued by the US FDA, EMA and Ministry of Health, Labour and Welfare, list
the 'full' validation parameters; however, none of these provide any details for
'partial' validation. Japan Bioanalysis Forum approved a total of three annual
discussion groups from 2012 to 2014. In the discussion groups, members from
pharmaceutical companies and contract research organizations discussed the
details of partial validation from a risk assessment viewpoint based on surveys
focusing on bioanalysis of small molecules using LC-MS/MS in Japan. This
manuscript presents perspectives and recommendations for most conceivable changes
that can be made to full and partial validations by members of the discussion
groups based on their experiences and discussions at the Japan Bioanalysis Forum
Symposium.
PMID- 29633861
TI - Approaches to measure protein binding of enzymatically unstable compounds in
plasma.
AB - AIM: To develop approaches to measure plasma protein binding (PPB) of
enzymatically unstable compounds. METHODOLOGY: Bis-para-nitrophenyl phosphate
(BNPP) was used to inhibit enzyme activity and stabilize two model compounds
(diltiazem and oseltamivir) that are subject to enzyme-catalyzed hydrolysis in
plasma. Protein binding of the compounds in BNPP-treated rat plasma was measured
using equilibrium dialysis or ultrafiltration. CONCLUSION: PPB measurement of
unstable compounds was improved by using enzyme inhibitor to stabilize the
compounds in plasma during the assay. The effect of BNPP concentration on drug
protein binding appeared to be compound dependent. Given the compound's
nonspecific binding to the assay device can be accounted for in the unbound
fraction measurement, ultrafiltration can be a viable alternative or
complementary approach for PPB assay of unstable compounds while minimizing the
potential impact of enzyme inhibitor on drug-protein binding.
PMID- 29633862
TI - Validated HPLC-UV detection method for the simultaneous determination of
ceftolozane and tazobactam in human plasma.
AB - AIM: A simple, rapid, economical and sensitive HPLC-UV method was developed for
the simultaneous quantification of ceftolozane and tazobactam in plasma samples.
METHODOLOGY: After deproteinization followed by a liquid-liquid back-extraction,
the compounds were separated on a C18 column (150 mm * 4.6 mm, 5 MUm) with UV
visible detection at 220 nm. The mobile phase consisted of acetonitrile and
potassium dihydrogenphosphate buffer at pH 3.0 (8:92, v/v), delivered
isocratically at a flow rate of 1.0 ml/min and at a column oven temperature of 30
degrees C. Cefepime was used as an internal standard. RESULTS: Linearity was
achieved in the concentration range of 0.50-100.00 MUg/ml for ceftolozane and
0.25-50.00 MUg/ml for tazobactam. The intra- and interday precision showed good
reproducibility with coefficients of variation of less than 9.26% for ceftolozane
and 9.62% for tazobactam. CONCLUSION: The sample preparation procedure avoids
expensive or time-consuming steps used by other previously published methods. The
methodology was validated according to standard guidelines and was used for
quantification of ceftolozane and tazobactam in plasma samples from critically
ill patients.
PMID- 29633863
TI - Developing novel methods for protein, peptide and metabolite analysis.
AB - Rainer Bischoff, Professor of Analytical Biochemistry at the University of
Groningen (Groningen, The Netherlands), talks to Sankeetha Nadarajah, Managing
Commissioning Editor (Bioanalysis), regarding the development of novel methods
for protein, peptide and metabolite analysis.
PMID- 29633864
TI - Common drug-drug interactions in antifungal treatments for superficial fungal
infections.
AB - INTRODUCTION: Antifungal agents can be co-administered alongside several other
medications for a variety of reasons such as the presence of comorbidities.
Pharmacodynamic interactions such as synergistic and antagonistic interactions
could be the result of co-administered medications. Pharmacokinetic interactions
could also transpire through the inhibition of metabolizing enzymes and drug
transport systems, altering the absorption, metabolism and excretion of co
administered medications. Both pharmacodynamic and pharmacokinetic interactions
can result in hospitalization due to serious adverse effects associated with
antifungal agents, lower therapeutic doses required to achieve desired antifungal
activity, and prevent antifungal resistance. Areas covered: The objective of this
review is to summarize pharmacodynamic and pharmacokinetic interactions
associated with common antifungal agents used to treat superficial fungal
infections. Pharmacodynamic and pharmacokinetic interactions that impact the
therapeutic effects of antifungal agents and drugs that are influenced by the
presence of antifungal agents was the context to which these antifungal agents
were addressed. Expert opinion: The potential for drug-drug interactions is
minimal for topical antifungals as opposed to oral antifungals as they have
minimal exposure to other co-administered medications. Developing non-lipophilic
antifungals that have unique metabolizing pathways and are topical applied are
suggested properties that could help limit drug-drug interactions associated with
future treatments.
PMID- 29633865
TI - Uptake of rheumatology biosimilars in the absence of forced switching.
AB - BACKGROUND: To describe the uptake and system-level effects of the introduction
of biosimilars in a setting without forced switching. RESEARCH DESIGN AND
METHODS: We used data from the Swedish Rheumatology Quality register from start
of marketing of infliximab (Remsima(r) and Inflectra(r)) and etanercept
(Benepali(r)) biosimilars until 31 December 2016. We compared users of each
originator-product and its biosimilar(s) by line of treatment: bDMARD-naive
patients, non-medical switchers (vs. matched patients remaining on originator),
and patients switching from a previous bDMARD of another type. RESULTS: From the
start of marketing 1343 patients started an infliximab biosimilar (22 months) and
2691 started etanercept (9 months). Overall, the introduction of these
biosimilars resulted in an increase of the total number of ongoing infliximab and
etanercept treatments (originator + biosimilar) . At the end of the study period,
biosimilars accounted for 31% of all infliximab treatments and 31% of all
etanercept-treated patients. For each line of therapy, we noted only small
differences in patient characteristics between those starting the originator
product vs. its biosimilar(s). CONCLUSIONS: Introduction of biosimilars have
effects beyond replacement of the originator product, in terms of an increased
rate of bDMARD initiation. Selection to non-medical switching displayed no
particular disease- or patient-characteristics.
PMID- 29633866
TI - Triangular gold nanoparticles modify shell characteristics and increase
antioxidant enzyme activities in the clam Ruditapes decussatus.
AB - CONTEXT: Nanoparticles may cause adverse environmental effects but there is
limited information on their interactions with marine organisms. OBJECTIVE: Our
aim was to examine the effects of triangular gold nanoparticles (Tr-Au NPs) on
the clam, Ruditapes decussatus. MATERIALS AND METHODS: Clams were exposed to Tr
Au1 = 5 ug/L and Tr-Au2 = 10 ug/L for 2 and 7 days. Effects on shell structure
were investigated. Superoxide dismutase (SOD), catalase (CAT), glutathione
transferase (GST) activities, protein carbonyl levels and malondialdehyde content
were used to assess biochemical status. RESULTS: Transmission electron microscopy
(TEM) and electron dispersive X-ray microanalysis (EDX) showed that Tr-Au NPs
modified shell structure and morphology. Tr-Au NPs size increased forming
aggregate particles. Tr-Au NPs increased SOD, CAT and GST activities in gill and
digestive gland in a concentration- and time-dependent manner indicating defence
against oxidative stress. Enhanced lipid peroxidation and protein carbonyl levels
confirmed oxidative stress. CONCLUSION: Tr-Au NPs cause oxidative stress and
affect shell structure of clams. These findings may have relevance to other
marine species.
PMID- 29633867
TI - An update on adverse drug reactions related to beta-lactam antibiotics.
AB - INTRODUCTION: beta-lactams have been consistently associated with the majority of
drug-related adverse events. Generally, these are mild under proper dosing and
judicious selection. Areas covered: Immediate hypersensitivity reactions are the
most feared adverse events encountered after beta-lactam administration. Emerging
evidence shows that immediate reactions are not as common as previously thought.
Specialist consultation and testing seems prudent before a patient is officially
declared allergic to beta-lactams. The risk of cross-reactions between not only
members of the beta-lactam super-family but also between specific classes is also
lower than previously thought. Newer studies have shown that cross-reactions are
not universal and pertain to specific agents with similar side chains or
metabolites of the beta-lactam core. The frequency of severe kidney or liver
toxicity, neurotoxicity, cytopenias and Clostiridium difficile infection
following beta-lactam administration seem to be agent-specific. Expert opinion:
The currently available data denote that in addition to age, gender, co
morbidity, renal or liver function, and co-administered agents, the antibiotic
levels rather than the dose itself seem to be associated with the emergence of
adverse events. Most of them subside with time after withdrawal of the offending
agent, but the number of cases resulting in chronic disabilities or even deaths
in not negligible.
PMID- 29633868
TI - Topically applied 1% voriconazole induces dysplastic changes on the ocular
surface: animal study.
AB - PURPOSE: To identify the risk of inducing ocular surface dysplasia following
topical administration of 1% voriconazole eye drop. METHODS: Fourteen noninflamed
healthy eyes of 14 white adult New Zealand rabbits were included in the study.
The rabbits were randomly divided into two groups comprised of 7 rabbits each.
Group 1 received topical 1% voriconazole and Group 2 received topical saline as
the control group. In all animals, right eye was selected for the study. In Group
1 (Voriconazole Group), single drop of voriconazole was instilled every 10 min
consecutively for 17 times a day for 60 days. In Group 2 (Control Group), single
drop of saline was instilled every 10 min consecutively for 17 times a day for 60
days. At two months, animals were sacrificed and study eyes were enucleated with
the eyelids. The specimens were stained with hematoxylin-eosin and
histopathologic changes in cornea, bulbar and palpebral conjunctiva were
evaluated under light microscope. RESULTS: There were no macroscopically visible
lesions on the ocular surface of any rabbits. Histopathological evaluation showed
mild to moderate dysplasia localized mainly in the limbus and extending to the
adjacent cornea and bulbar conjunctiva in all rabbits in Voriconazole Group.
Severe dysplasia or carcinoma in situ was not observed. In the Control Group,
dysplasia was not observed, at all. CONCLUSION: This animal study provides a
possible relationship between topically administered 1% voriconazole and ocular
surface dysplasia. We recommend ophthalmologists to be aware of the risk of
ocular surface dysplasia in patients received voriconazole eye drop.
PMID- 29633869
TI - Cabotegravir in the treatment and prevention of Human Immunodeficiency Virus-1.
AB - INTRODUCTION: Human Immunodeficiency Virus (HIV) is a chronic infection that
depletes the immune system of essential components causing those infected to be
at risk for multiple life-threatening infections. Worldwide, millions live with
this infection, the vast majority attributable to HIV-1. Transmission persists
with hundreds of thousands of new infections reported yearly. Implementation of
combination antiretroviral therapy (cART) has been effective in improving
outcomes and decreasing transmission. Newer co-formulated agents have provided
simpler medication regimens, fewer side effects, and, in some cases, a higher
barrier to the emergence of medication resistance. Areas covered: Here, we review
trials of cabotegravir (CAB) as treatment of HIV-1 infection and its potential
use as pre-exposure prophylaxis (PrEP) in high risk individuals, including issues
around oral lead in and potential resistance emergence. Expert opinion: CAB is
efficacious when used in combination therapy orally or given intramuscularly
every 4 to 8 weeks. Its availability in a long-acting injectable formulation (CAB
LA) makes it a valuable, novel drug to treat HIV-1 infection when combined with
long-acting injectable rilpivirine (RPV-LA). Moreover, pre-clinical and early
Phase 2a studies support its testing as monotherapy as PrEP. Studies are underway
comparing the efficacy of every 8 week CAB-LA to tenofovir disoproxil
fumarate/emtricitabine (TDF/FTC).
PMID- 29633871
TI - Timely intervention can reduce the cost of falls.
PMID- 29633870
TI - Designing and implementing a trust-wide quality assurance programme.
AB - Derbyshire Community Health Services (DCHS) NHS Foundation Trust provides a wide
range of community-based health services. After the Care Quality Commission (CQC)
found gaps in the trust's assurance process, its board decided to develop a
method of continuous quality improvements that could be used as a basis for the
trust's quality assurance system. The trust adapted and built on an acute model
so it was suitable for community services. The final assurance system, Quality
Always, has four elements: the clinical assessment and accreditation scheme;
leadership development; 'champions' within clinical teams to support and promote
the scheme; and dashboards to record and monitor progress. A system to recognise
and reward achievement was essential for success. Quality Always has resulted in
better care quality, an improved CQC rating, a sense of achievement among staff,
the development of support networks, learning (especially among support staff)
and good practice being shared.
PMID- 29633872
TI - Focusing on the individual.
PMID- 29633873
TI - Shifting intravenous therapy from hospital to the patient's home.
PMID- 29633875
TI - Supporting community nurses to cope with patient suicide.
PMID- 29633874
TI - Real-time simulation: first-hand experience of the challenges of community
nursing for students.
AB - The Community Challenge is a simulated community event for pre-registration
nursing students across all four fields. Through the provision of real-time
simulation, the Community Challenge has combined a deeper learning for both
nursing students and the drama students who were involved in making the scenarios
real and interactive. The event was run over 5 days, with positive evaluations
from students and staff. Furthermore, Community Challenge has been found to be
successful in expanding opportunities for students that align with national
drivers, curriculum planning and interprofessional learning. The event has
allowed students to engage in learning with other fields, enhancing their own
practice. The Community Challenge has been found to enhance the link between
theory and practice within primary care, promoting the relevance and importance
of community care within nursing.
PMID- 29633876
TI - Put resources into district nursing and reduce strain on the NHS.
PMID- 29633877
TI - Nursing simulation: a community experience.
AB - The education sector faces major challenges in providing learning experiences so
that newly qualified nurses feel adequately prepared to work in a community
setting. With this in mind, higher education institutions need to develop more
innovative ways to deliver the community-nurse experience to student nurses. This
paper presents and explores how simulation provides an opportunity for educators
to support and evaluate student performance in an environment that models a
complete patient encounter in the community. Following the simulation, evaluative
data were collated and the answers analysed to identify key recommendations.
PMID- 29633878
TI - Self-assessed competence among nurses working in municipal health-care services
in Norway.
AB - Demands made on nursing staff are expanding and changing, requiring a broad set
of competencies that require evaluation and enhancement in places. This study
used the Nurse Competence Scale to measure self-assessed competence among nurses
working in three municipal health-care services in Norway. Results indicate that
nurses perceive their competence as being satisfactory overall, but there are
areas that would benefit from improvement: providing patients' family members
with education and guidance, quality assurance, and using research to evaluate
and develop services. These competencies could be the focus of departments'
future competence plans. The Nurse Competence Scale can be used to assess the
impact of training and the efficacy of competence-enhancing actions.
PMID- 29633880
TI - Embracing palliative care within compassionate communities: a personal viewpoint.
PMID- 29633879
TI - A guide to community mental health law for district nurses: the right to
aftercare.
AB - District nurses frequently provide physical care and treatment to patients in
their own homes and care homes who have previously been detained under the Mental
Health Act 1983 for the treatment of a mental illness. Such patients are commonly
subject to community provisions of the Mental Health Act 1983 and it is important
that districts nurses are aware of the implications of these provisions on the
patients care and support. In this article the author considers a detained mental
health patient's right to aftercare under the Mental Health Act 1983, section
117.
PMID- 29633881
TI - Gaps in Training in Interdisciplinary Social and Behavioral Sciences and
Applications Among Undergraduate and Graduate Students in the 22 Top-Ranked
Schools of Public Health in China.
AB - The next generation of public health professionals requires rigorous training in
behavioral health, in order to design effective behavioral interventions to
respond effectively to the epidemiological transition in China. This study aimed
to investigate issues in training in social and behavioral sciences in public
health in China. A cross-sectional survey was conducted among 1285 and 835 last
year undergraduate and graduate public health students in 2013. The results
showed that (1) majority of undergraduate students but a minority of graduate
students had enrolled in psychology, social medicine, and health promotion
courses; (2) very few had enrolled in other social and behavioral sciences
courses; (3) high percentages of students perceived significance, needs, and
interests related to social sciences courses; (4) very few were familiar with
commonly used behavioral health theories and constructs, or had applied such
theories/constructs to their thesis. The situation deviates from international
accreditation requirement. A timely review and benchmarking are warranted.
PMID- 29633882
TI - Changes in ovarian reserve and ovarian blood flow in patients with polycystic
ovary syndrome following laparoscopic ovarian drilling.
AB - This prospective cohort study was conducted on 80 patients with clomiphene
citrate (CC)-resistant polycystic ovary syndrome undergoing laparoscopic ovarian
drilling (LOD). Pre- and post-LOD ovarian reserve parameters (anti-Mullerian
hormone: AMH, ovarian volume: OV, and antral follicle count: AFC) and ovarian
stromal blood flow indices (Vascularization index: VI, flow index: FI, and
vascularization flow index: VFI) were measured to explore the effect of LOD and
to find out the correlation between serum AMH and different clinical, hormonal,
and ultrasonic variables. There was a highly significant reduction of the serum
AMH (p < .001) after LOD with significant reduction in OV, AFC and vascular
indices (VI, FI and VFI) of the right and left ovaries (p < .05). LOD
significantly reduced ovarian reserve parameters (AMH, OV and AFC) and ovarian
stromal blood flow indices (VI, FI and VFI) with no observed correlation between
AMH levels and Doppler indices.
PMID- 29633883
TI - Challenges and prospects in the diagnosis and treatment of primary central
nervous system lymphoma.
AB - INTRODUCTION: Primary central nervous system lymphoma (PCNSL) retains peculiar
biological and clinical characteristics and a worse prognosis with respect to
other comparable lymphomas. The need for high doses of chemotherapy to achieve
valid drug concentrations in cerebral tissues and/or radiotherapy results in
severe treatment-related toxicities, mainly neurologic, which are frequently as
disabling as the disease itself. Areas covered: Several emerging combined
therapies are addressed that focus on treating PCNSL. The prognosis has improved
in the last years but several questions remain unanswered and the research of
more effective therapies goes on. Information and data were obtained from direct
authors' experience and a PubMed search of recent peer-reviewed original
articles, review articles, and clinical guidelines. Expert commentary: The
substantial progress observed in PCNSL has to be ascribed to a carefully
combination of standard chemotherapeutic drugs. High-dose methotrexate-based
polychemotherapy followed by mainteinance therapy offers one of the best chances
to control the disease. Major issues that deserve many efforts by researchers are
the definition of optimal consolidation treatment and a shared management of
specific conditions such as elderly population and intra-ocular localization.
PMID- 29633884
TI - Gelatinolytic activity of autocrine matrix metalloproteinase-9 leads to
endothelial de-arrangement in Moyamoya disease.
AB - Moyamoya disease (MMD) is a rare steno-occlusive cerebrovascular disorder.
Mechanisms driving the formation of aberrant MMD vessels remain elusive. We
collected serum and vessel specimens from MMD and atherosclerotic cerebrovascular
disease (ACVD) patients serving as controls due to the same hypoxic stimulus but
substantial differences in terms of vascular features. Based on patient material
and an in vitro model mimicking ACVD and MMD conditions, matrix metalloproteinase
9 (MMP-9) and vascular-endothelial growth factor (VEGF) were tested for their
potential involvement in cerebrovascular disintegration. While serum
concentration of both molecules did not significantly differ in both patient
groups, excessive collagenase activity and lowered collagen IV protein amount in
MMD vessels pointed to a focal MMP-9 activity at the affected vessel sites. We
observed overexpressed and autocrinely secreted MMP-9 and VEGF along with
disturbances of EC-matrix interactions in MMD but not ACVD serum-treated cEND
cells. These seemingly brain-specific effects were partially attenuated by VEGF
signaling inhibition suggesting its role in the MMD etiology. In conclusion, our
findings support the understanding of the high incidence of hemorrhagic and
ischemic events in MMD and provide the basis for novel therapeutic strategies
stopping or slowing the development of fragile cerebrovasculature or micro-bleeds
characterizing the disease.
PMID- 29633885
TI - Comparison of the montreal cognitive assessment and the mini-mental state
examination as screening tests in hemodialysis patients without symptoms.
AB - Cognitive impairment in end-stage renal disease patients is associated with an
increased risk of mortality. We examined the cognitive function in hemodialysis
(HD) patients and compared the Korean versions of the Montreal Cognitive
Assessment (K-MoCA) and of the Mini-Mental State Examination (K-MMSE) to identify
the better cognitive screening instrument in these patients. Thirty patients
undergoing hemodialysis and 30 matched reference group of apparently healthy
control were included. All subjects underwent the K-MoCA, K-MMSE and a
neuropsychological test battery to measure attention, visuospatial function,
language, memory and executive function. All cognitive data were converted to z
scores with appropriate age and education level prior to group comparisons.
Cognitive performance 1.0 SD below the mean was defined as modest cognitve
impairment while 1.5 below the mean was defined as severe cognitive impairment.
Modest cognitive impairment in memory plus other cognitive domains was detected
in 27 patients (90%) while severe cognitive impairment in memory plus other
cognitive domains was detected in 23 (77%) patients. Total scores in the K-MoCA
were significantly lower in HD patients than in the reference group. However, no
significant group difference was found in the K-MMSE. The K-MMSE ROC AUC (95%
confidence interval) was 0.72 (0.59-0.85) and K-MoCA ROC AUC was 0.77 (0.65
0.89). Cognitive impairment is common but under-diagnosed in this population. The
K-MoCA seems to be more sensitive than the K-MMSE in HD patients.
PMID- 29633886
TI - Comorbidities, risk factors and outcomes in patients with heart failure and an
ejection fraction of more than or equal to 40% in primary care- and hospital care
based outpatient clinics.
AB - OBJECTIVE: The aim of this study is to describe patients with heart failure and
an ejection fraction (EF) of more than or equal to 40%, managed in both Primary-
and Hospital based outpatient clinics separately with their prognosis,
comorbidities and risk factors. Further to compare the heart failure medication
in the two groups. DESIGN: We used the prospective Swedish Heart Failure Registry
to include 9654 out-patients who had HF and EF >=40%, 1802 patients were
registered in primary care and 7852 in hospital care. Descriptive statistical
tests were used to analyze base line characteristics in the two groups and
multivariate logistic regression analysis to assess mortality rate in the groups
separately. SETTING: The prospective Swedish Heart Failure Registry. SUBJECTS:
Patients with heart failure and an ejection fraction (EF) of more than or equal
to 40%. MAIN OUTCOME MEASURES: Comorbidities, risk factors and mortality.
RESULTS: Mean-age was 77.5 (primary care) and 70.3 years (hospital care) p <
0.0001, 46.7 vs. 36.3% women respectively (p < 0.0001) and EF >=50% 26.1 vs.
13.4% (p < 0.0001). Co-morbidities were common in both groups (97.2% vs. 92.3%),
the primary care group having more atrial fibrillation, hypertension, ischemic
heart disease and COPD. According to the multivariate logistic regression
analysis smoking, COPD and diabetes were the most important independent risk
factors in the primary care group and valvular disease in the hospital care
group. All-cause mortality during mean follow-up of almost 4 years was 31.5% in
primary care and 27.8% in hospital care. One year-mortality rates were 7.8%, and
7.0% respectively. CONCLUSION: Any co-morbidity was noted in 97% of the HF
patients with an EF of more than or equal to 40% managed at primary care based
out-patient clinics and these patients had partly other independent risk factors
than those patients managed in hospital care based outpatients clinics. Our
results indicate that more attention should be payed to manage COPD in the
primary care group. KEY POINTS 97% of heart failure patients with an ejection
fraction of more than or equal to 40% managed at primary care based out-patient
clinics had any comorbidity. Patients in primary care had partly other
independent risk factors than those in hospital care. All-cause mortality during
mean follow-up of almost 4 years was higher in primary care compared to hospital
care. In matched HF-patients RAS-antagonists, beta-blockers as well as the
combination of the two drugs were more seldom prescribed when managed in primary
care compared with hospital care.
PMID- 29633887
TI - Diabetic retinopathy may predict the renal outcomes of patients with diabetic
nephropathy.
AB - BACKGROUND: The patients with Type 2 diabetes mellitus (T2DM) and diabetic
retinopathy (DR) are prone to develop diabetic nephropathy (DN). In this study,
we aimed to clarify the relationship between DR and the progression of DN in
patients with T2DM. METHODS: In the cross-section study, 250 patients with T2DM
and biopsy-proven DN were divided into two groups: 130 in the DN without DR group
(DN group) and 120 in the DN + DR group. Logistic regression analysis was
performed to identify risk factors for DR. Of the above 250 patients, 141 were
recruited in the cohort study who received follow-up for at least 1 year and the
influence of DR on renal outcome was assessed using Cox regression. Renal outcome
was defined as the progression to end-stage renal disease (ESRD). RESULTS: In the
cross-section study, the severity of glomerular lesions (class IIb + III) and DM
history >10 years were significantly associated with the odds of DR when
adjusting for baseline proteinuria, hematuria, e-GFR, and interstitial
inflammation. In the cohort study, a multivariate COX analysis demonstrated that
the DR remained an independent risk factor for progression to ESRD when adjusting
for important clinical variables and pathological findings (p < .05).
CONCLUSIONS: These findings indicated that the severity of glomerular lesions was
significantly associated with DR and DR was an independent risk factor for the
renal outcomes in patients with DN, which suggested that DR may predict the renal
prognosis of patients with T2DM and DN.
PMID- 29633889
TI - Prenatal reflex DNA screening for trisomy 21, 18 and 13.
PMID- 29633891
TI - Correction to: Inhibitors of alanine racemase enzyme: a review.
PMID- 29633890
TI - Risk factors associated with self-reported injury history in female youth soccer
players.
AB - BACKGROUND: studies that investigate risk factors for musculoskeletal injuries in
female youth athletes are limited, especially related to training attributes and
position status. OBJECTIVE: to determine risk factors including training
attributes and position status for a self-reported musculoskeletal injury history
in female youth soccer players. METHODS: we conducted a cross-sectional study of
young female soccer players (mean age: 13.6 +/- 2.3 years). we asked about their
history of musculoskeletal injuries using an electronic questionnaire. the
proportion of young female soccer players with and without a history of soccer
related musculoskeletal injuries were compared based on physical characteristics,
training attributes, position status (single vs. multiple), lower extremity
strength, and joint laxity. a binary logistic regression analysis was used to
generate, and adjusted odds ratios adjusted for potential co-variates (aor). a
95% confidence interval (95%ci) that did not cross one or p < 0.05 were
considered statistically significant. RESULTS: a total of 160 young female soccer
players (mean age: 13.6 +/- 2.3 years) participated in the study. an independent
association was found between prior musculoskeletal injuries and older ages (aor:
1.60, 95%ci: 1.17, 2.20, p = 0.004), higher weight (aor: 1.10, 95%ci: 1.01, 1.20,
p = 0.026), and greater bmi (aor: 1.43, 95%ci: 1.07, 1.90, p = 0.014).
CONCLUSIONS: musculoskeletal injuries were associated with age, weight, and bmi
in female youth players. the current study indicates that maintaining proper body
composition may be beneficial to reduce musculoskeletal injuries among female
youth soccer players.
PMID- 29633888
TI - Expanding syphilis testing: a scoping review of syphilis testing interventions
among key populations.
AB - INTRODUCTION: Syphilis is an important sexually transmitted infection (STI).
Despite inexpensive and effective treatment, few key populations receive syphilis
testing. Innovative strategies are needed to increase syphilis testing among key
populations. Areas covered: This scoping review focused on strategies to increase
syphilis testing in key populations (men who have sex with men (MSM), sex
workers, people who use drugs, transgender people, and incarcerated individuals).
Expert commentary: We identified many promising syphilis testing strategies,
particularly among MSM. These innovations are separated into diagnostic, clinic
based, and non-clinic based strategies. In terms of diagnostics, self-testing,
dried blood spots, and point-of-care testing can decentralize syphilis testing.
Effective syphilis self-testing pilots suggest the need for further attention and
research. In terms of clinic-based strategies, modifying default clinical
procedures can nudge physicians to more frequently recommend syphilis testing. In
terms of non-clinic based strategies, venue-based screening (e.g. in correctional
facilities, drug rehabilitation centres) and mobile testing units have been
successfully implemented in a variety of settings. Integration of syphilis with
HIV testing may facilitate implementation in settings where individuals have
increased sexual risk. There is a strong need for further syphilis testing
research and programs.
PMID- 29633892
TI - Pharmacological and biotechnological advances with Rosmarinus officinalis L.
AB - INTRODUCTION: Rosmarinus officinalis L. is an aromatic plant with a number of
biological properties. Recently, has been studied regarding its therapeutic
potential. The objective of this study was to perform a systematic review on R.
officinalis essential oil for its pharmacological properties and biotechnological
applications. Areas covered: The databases were searched for articles (Science
Direct, Pub Med and Web of Science) and patents (INPI, WIPO and EPO) with
publications on R. officinalis and associations with essential oil (EO-Ro),
cardiovascular system, hypertension and cyclodextrin. We selected 305 articles on
EO-Ro in the most diverse subjects and six articles with of R. officinalis
associated with hypertension. 59 patents were analyzed. The results demonstrate
how extensive the studies are on the biological activities with the extract and
EO-Ro. These have shown effects antibacterial, antifungal, anti-inflammatory,
antitumor and other. The properties exhibited by EO-Ro reinforce the use of this
plant as a phytotherapeutic agent. Expert opinion: Although there are several
pharmacological properties, studies on the prevention or treatment of
cardiovascular diseases with EO-Ro are scarce, especially to evaluate the
antihypertensive activity of EO-Ro. It has also become clear that EO-Ro can be
exploited in different commercial products as supplement, cosmetics and new
formulations.
PMID- 29633894
TI - Eugene T. Lyons.
PMID- 29633893
TI - A comprehensive analysis of Wnt/beta-catenin signaling pathway-related genes and
crosstalk pathways in the treatment of As2O3 in renal cancer.
AB - We aimed to investigate the effect of As2O3 treatment on Wnt/beta-catenin
signaling pathway-related genes and pathways in renal cancer. Illumina-based RNA
seq of 786-O cells with or without As2O3 treatment was performed, and
differentially expressed genes (DEGs) were identified using Cuffdiff software.
TargetMine was utilized to perform Gene Ontology (GO) pathway and Disease
Ontology enrichment analyses. Furthermore, TRANSFAC database and LPIA method were
applied to select differentially expressed transcription factors (TFs) and
pathways related to Wnt/beta-catenin signaling pathway, respectively.
Additionally, transcriptional regulatory and pathway crosstalk networks were
constructed. In total, 1684 DEGs and 69 TFs were screened out. The 821 up
regulated DEGs were mainly enriched in 67 pathways, 70 GO terms, and 46 disease
pathways, while only 1 pathway and 5 GO terms were enriched for 863 down
regulated DEGs. A total of 18 DEGs (4 up-regulated and 14 down-regulated genes)
were involved in the Wnt/beta-catenin signaling pathway. Among the 18 DEGs, 4
ones were TFs. Furthermore, 211 pathways were predicted to be linked to the
Wnt/beta-catenin signaling pathway. In conclusion, As2O3 may have a significant
effect on the Wnt/beta-catenin signaling pathway for renal cancer treatment. The
potential key DEGs are expected to be used as therapeutic targets.
PMID- 29633895
TI - Introduction: Just Say No? New Insights About Change Versus Constancy in
Substance Use Behavioral Decisions in Youth With and Without ADHD.
AB - Despite enormous social-psychological and economic consequences of substance
abuse in youth and young adults, too little is known about effective
interventions among substance users, both with and without ADHD. This special
issue reports on four linked investigations that employed a novel research
strategy when the Multimodal Treatment Children with ADHD Study (MTA)
participants were between ages 21.7 and 27.3 years old (14-16 years after initial
assessments). Using combination of in-depth qualitative narrative interviews and
quantitative analyses ("mixed methods") of 183 participants from four to six
original MTA sites, investigators sought to obtain a more complete understanding
of factors contributing to youths' substance use (SU) initiation, maintenance,
and desistence, (both among youth with ADHD and control participants). The
articles in this special issue illuminate important new insights about possible
influences contributing to SU, particularly persistent use/abuse. Findings also
illustrate the benefits of mixed-methods studies, not only to better understand
the linkages between ADHD and SU, but also to understand other areas of
child/adult psychopathology.
PMID- 29633896
TI - THERPA: A small molecule database related to prion protein regulation and prion
diseases progression.
AB - Prion diseases are fatal neurodegenerative disorders that affect humans and
animals. Although various small molecules have been evaluated for application in
the treatment of prion diseases, none have been shown to be efficacious.
Expanding our knowledge of these molecules is important for understanding of the
complex mechanisms of prion diseases. To improve access to the scattered
information on small molecules related to prion diseases, we built a database of
therapeutic molecules associated with prion diseases (THERPA,
therpa.pythonanywhere.com). THERPA includes 119 small molecules and their 283
relationships with prion diseases. THERPA is an interactive visual database and
useful for improving search efficiency which can help researchers identify
intrinsic small molecules that can be used for developing therapeutics for prion
diseases.
PMID- 29633897
TI - Clinical aspects of Emery-Dreifuss muscular dystrophy.
AB - Emery-Dreifuss muscular dystrophy (EDMD), clinically characterized by scapulo
humero-peroneal muscle atrophy and weakness, multi-joint contractures with spine
rigidity and cardiomyopathy with conduction defects, is associated with
structural/functional defect of genes that encode the proteins of nuclear
envelope, including lamin A and several lamin-interacting proteins. This paper
presents clinical aspects of EDMD in context to causative genes, genotype
phenotype correlation and its emplacement within phenotypic spectrum of skeletal
muscle diseases associated with envelopathies.
PMID- 29633899
TI - Predictors of Nursing Facility Entry by Medicaid-Only Older Adults and Persons
With Disabilities in California.
AB - Nearly one-third of adult Medicaid beneficiaries who receive long-term services
and supports (LTSS) consist of older adults and persons with disabilities who are
not eligible for Medicare. Beneficiaries, advocates, and policymakers have all
sought to shift LTSS to home and community settings as an alternative to
institutional care. We conducted a retrospective cohort study of Medicaid-only
adults in California with new use of LTSS in 2006-2007 (N = 31 849) to identify
unique predictors of entering nursing facilities versus receiving Medicaid home
and community-based services (HCBS). Among new users, 18.3% entered into nursing
facilities, whereas 81.7% initiated HCBS. In addition to chronic conditions,
functional and cognitive limitations, substance abuse disorders (odds ratio [OR]
1.35; 95% confidence interval [CI]: 1.23, 1.48), and homelessness (OR: 4.35, 9%
CI: 3.72, 5.08) were associated with higher odds of nursing facility entry. For
older adults and persons with disabilities covered by Medicaid only, integration
with housing and behavioral health services may be key to enabling beneficiaries
to receive LTSS in noninstitutional settings.
PMID- 29633900
TI - From portable dialysis to a bioengineered kidney.
AB - INTRODUCTION: Since the advent of peritoneal dialysis (PD) in the 1970s, the
principles of dialysis have changed little. In the coming decades, several major
breakthroughs are expected. Areas covered: Novel wearable and portable dialysis
devices for both hemodialysis (HD) and PD are expected first. The HD devices
could facilitate more frequent and longer dialysis outside of the hospital, while
improving patient's mobility and autonomy. The PD devices could enhance blood
purification and increase technique survival of PD. Further away from clinical
application is the bioartificial kidney, containing renal cells. Initially, the
bioartificial kidney could be applied for extracorporeal treatment, to partly
replace renal tubular endocrine, metabolic, immunoregulatory and secretory
functions. Subsequently, intracorporeal treatment may become possible. Expert
commentary: Key factors for successful implementation of miniature dialysis
devices are patient attitudes and cost-effectiveness. A well-functioning and safe
extracorporeal blood circuit is required for HD. For PD, a double lumen PD
catheter would optimize performance. Future research should focus on further
miniaturization of the urea removal strategy. For the bio-artificial kidney
(BAK), cost effectiveness should be determined and a general set of functional
requirements should be defined for future studies. For intracorporeal
application, water reabsorption will become a major challenge.
PMID- 29633898
TI - Reactivation of endogenous retroviral elements via treatment with DNMT- and HDAC
inhibitors.
AB - Inhibitors of DNA methyltransferases (DNMTis) or histone deacetylases (HDACis)
are epigenetic drugs which are investigated since decades. Several have been
approved and are applied in the treatment of hematopoietic and lymphatic
malignancies, although their mode of action has not been fully understood. Two
recent findings improved mechanistic insights: i) activation of human endogenous
retroviral elements (HERVs) with concomitant synthesis of double-stranded RNAs
(dsRNAs), and ii) massive activation of promoters from long terminal repeats
(LTRs) which originated from past HERV invasions. These dsRNAs activate an
antiviral response pathway followed by apoptosis. LTR promoter activation leads
to synthesis of non-annotated transcripts potentially encoding novel or cryptic
proteins. Here, we discuss the current knowledge of the molecular effects exerted
by epigenetic drugs with a focus on DNMTis and HDACis. We highlight the role in
LTR activation and provide novel data from both in vitro and in vivo epigenetic
drug treatment.
PMID- 29633901
TI - Orthosteric and benzodiazepine cavities of the alpha1beta2gamma2 GABAA receptor:
insights from experimentally validated in silico methods.
AB - gamma-aminobutyric acid-type A (GABAA) receptors mediate fast synaptic inhibition
in the central nervous system of mammals. They are modulated via several sites by
numerous compounds, which include GABA, benzodiazepines, ethanol, neurosteroids
and anaesthetics among others. Due to their potential as targets of novel drugs,
a detailed knowledge of their structure-function relationships is needed. Here,
we present the model of the alpha1beta2gamma2 subtype GABAA receptor in the APO
state and in complex with selected ligands, including agonists, antagonists and
allosteric modulators. The model is based on the crystallographic structure of
the human beta3 homopentamer GABAA receptor. The complexes were refined using
atomistic molecular dynamics simulations. This allowed a broad description of the
binding modes and the detection of important interactions in agreement with
experimental information. From the best of our knowledge, this is the only model
of the alpha1beta2gamma2 GABAA receptor that represents altogether the
desensitized state of the channel and comprehensively describes the interactions
of ligands of the orthosteric and benzodiazepines binding sites in agreement with
the available experimental data. Furthermore, it is able to explain small
differences regarding the binding of a variety of chemically divergent ligands.
Finally, this new model may pave the way for the design of focused experimental
studies that will allow a deeper description of the receptor.
PMID- 29633902
TI - Pediatric irritable bowel syndrome and other functional abdominal pain disorders:
an update of non-pharmacological treatments.
AB - INTRODUCTION: Functional abdominal pain disorders, including irritable bowel
syndrome, are common in children and treatment can often be difficult.
Pharmacological therapies and complementary treatments are widely used, despite
the limited data in pediatrics. Areas covered: This review provides an overview
of the available data for the use of diet, probiotics, percutaneous electrical
nerve stimulation, and psychosocial interventions, including hypnotherapy, yoga,
cognitive and behavioral therapy, and mind-body interventions for the treatment
of functional abdominal pain disorders in children. The literature review
included a PubMed search by each therapy, children, abdominal pain, and irritable
bowel syndrome. Relevant articles to this review are discussed. Expert
commentary: The decision on the use of pharmacological and complementary
therapies should be based on clinical findings, evidence, availability, and in
depth discussion with the patient and family. The physician should provide
education on the different interventions and their role on the treatment in an
empathetic and warm manner providing ample time for the family to ask questions.
PMID- 29633903
TI - The role of neoadjuvant chemotherapy in ovarian cancer.
AB - INTRODUCTION: Ovarian cancer is mostly diagnosed at advanced stage. Better
survival is achieved through complete debulking surgery and chemotherapy.
Historically, neoadjuvant chemotherapy (NAC) has been introduced for unresectable
disease to decrease tumor load and perform a unique complete surgery. Four
randomized control trials have compared primary debulking surgery to NAC, but
there is still controversy about the use of neoadjuvant chemotherapy and
questions about its modalities. Areas covered: We made a review of knowledge on
benefits of NAC compared to primary debulking chemotherapy, in terms of survival
and morbidity, methods of administration, new drugs in early and late phase
trials, the selection of patients. Similar survival was observed after NAC and
interval debulking surgery or primary debulking surgery. Morbidity of surgery was
decreased after interval debulking compared primary debulking surgery.
Conventional drugs are carboplatin and paclitaxel. Safety of bevacizumab was
evaluated in phase 2 trials associated with conventional drugs. Immunotherapy
trials are enrolling patients in phase 1 study. Expert commentary: NAC followed
by debulking surgery is the best treatment for patients with advanced ovarian
cancer.
PMID- 29633904
TI - Metabolic reprogramming enables hepatocarcinoma cells to efficiently adapt and
survive to a nutrient-restricted microenvironment.
AB - Hepatocellular carcinoma (HCC) is a metabolically heterogeneous cancer and the
use of glucose by HCC cells could impact their tumorigenicity. Dt81Hepa1-6 cells
display enhanced tumorigenicity compared to parental Hepa1-6 cells. This
increased tumorigenicity could be explained by a metabolic adaptation to more
restrictive microenvironments. When cultured at high glucose concentrations,
Dt81Hepa1-6 displayed an increased ability to uptake glucose (P<0.001), increased
expression of 9 glycolytic genes, greater GTP and ATP (P<0.001), increased
expression of 7 fatty acid synthesis-related genes (P<0.01) and higher levels of
Acetyl-CoA, Citrate and Malonyl-CoA (P<0.05). Under glucose-restricted
conditions, Dt81Hepa1-6 used their stored fatty acids with increased expression
of fatty acid oxidation-related genes (P<0.01), decreased triglyceride content
(P<0.05) and higher levels of GTP and ATP (P<0.01) leading to improved
proliferation (P<0.05). Inhibition of lactate dehydrogenase and aerobic
glycolysis with sodium oxamate led to decreased expression of glycolytic genes,
reduced lactate, GTP and ATP levels (P<0.01), increased cell doubling time
(P<0.001) and reduced fatty acid synthesis. When combined with cisplatin, this
inhibition led to lower cell viability and proliferation (P<0.05). This metabolic
induced tumorigenicity was also reflected in human Huh7 cells by a higher glucose
uptake and proliferative capacity compared to HepG2 cells (P<0.05). In HCC
patients, increased tumoral expression of Glut-1, Hexokinase II and Lactate
dehydrogenase correlated with poor survival (P = 2.47E-5, P = 0.016 and P = 6.58E
5). In conclusion, HCC tumorigenicity can stem from a metabolic plasticity
allowing them to thrive in a broader range of glucose concentrations. In HCC,
combining glycolytic inhibitors with conventional chemotherapy could lead to
improved treatment efficacy.
PMID- 29633905
TI - Insights into the structure-function relationship of brown plant hopper
resistance protein, Bph14 of rice plant: a computational structural biology
approach.
AB - Brown plant hopper (BPH) is one of the major destructive insect pests of rice,
causing severe yield loss. Thirty-two BPH resistance genes have been identified
in cultivated and wild species of rice Although, molecular mechanism of rice
plant resistance against BPH studied through map-based cloning, due to non
existence of NMR/crystal structures of Bph14 protein, recognition of leucine-rich
repeat (LRR) domain and its interaction with different ligands are poorly
understood. Thus, in the present study, in silico approach was adopted to predict
three-dimensional structure of LRR domain of Bph14 using comparative modelling
approach followed by interaction study with jasmonic and salicylic acids. LRR
domain along with LRR-jasmonic and salicylic acid complexes were subjected to
dynamic simulation using GROMACS, individually, for energy minimisation and
refinement of the structure. Final binding energy of jasmonic and salicylic acid
with LRR domain was calculated using MM/PBSA. Free-energy landscape analysis
revealed that overall stability of LRR domain of Bph14 is not much affected after
forming complex with jasmonic and salicylic acid. MM/PBSA analysis revealed that
binding affinities of LRR domain towards salicylic acid is higher as compared to
jasmonic acid. Interaction study of LRR domain with salicylic acid and jasmonic
acid reveals that THR987 of LRR form hydrogen bond with both complexes. Thus,
THR987 plays active role in the Bph14 and phytochemical interaction for inducing
resistance in rice plant against BPH. In future, Bph14 gene and phytochemicals
could be used in BPH management and development of novel resistant varieties for
increasing rice yield.
PMID- 29633906
TI - Empathic responses are reduced to competitive but not non-competitive outgroups.
AB - Individuals feel more empathy for those in their group (i.e. ingroup members)
than those who are not (i.e. outgroup members). But empathy is not merely
selective to group distinctions, rather it fluctuates according to how groups are
perceived. The goal of this research was to determine whether group-based
evaluations can drive biases in self-reported empathy as well as in the
underlying neural activity. Participants were asked to rate a target's physical
pain while BOLD responses were recorded via functional magnetic resonance imaging
(fMRI). The target was either a member of the ingroup or one of two outgroups,
one which was more of a rival to the ingroup than the other. Participants
reported feeling more empathy for targets experiencing painful compared to
innocuous events, showing bias only in favour of their ingroup. Neural responses
were stronger while observing painful, compared to innocuous, events but only for
targets from the ingroup or the less competitive outgroup. The difference was non
significant and trended in the opposite direction when the target was from the
more competitive outgroup. This provides evidence that empathy is not merely
selective to "us" vs "them" but is more nuanced by whom we refer to by "them".
PMID- 29633907
TI - Strategic accident reduction in an energy company and its resulting financial
benefits.
AB - This study provides a case example of an energy company that prioritized
occupational safety and health and accident reduction as long-term, strategic
development targets. Furthermore, this study describes the monetary benefits of
this strategic decision. Company-specific accident indicators and monetary costs
and benefits are evaluated. During the observation period (2010-2016), strategic
investments in occupational safety and health cost the company EUR 0.8 million.
However, EUR 1.8 million were saved in the same period, resulting in a 2.20 cost
benefit ratio. The trend in cost savings is strongly positive. Annual accident
costs were EUR 0.4 million lower in 2016 compared to costs in 2010. This study
demonstrates that long-term, strategic commitment to occupational safety and
health provides monetary value.
PMID- 29633908
TI - Molecular dynamics simulation and binding free energy studies of novel leads
belonging to the benzofuran class inhibitors of Mycobacterium tuberculosis
Polyketide Synthase 13.
AB - In this work, the binding mechanism of new Polyketide Synthase 13 (Pks13)
inhibitors has been studied through molecular dynamics simulation and free energy
calculations. The drug Tam1 and its analogs, belonging to the benzofuran class,
were submitted to 100 ns simulations, and according to the results obtained for
root mean square deviation, all the simulations converged from approximately 30
ns. For the analysis of backbone flotation, the root mean square fluctuations
were plotted for the Calpha atoms; analysis revealed that the greatest
fluctuation occurred in the residues that are part of the protein lid domain. The
binding free energy value (DeltaGbind) obtained for the Tam16 lead molecule was
of -51.43 kcal/mol. When comparing this result with the DeltaGbind values for the
remaining analogs, the drug Tam16 was found to be the highest ranked: this result
is in agreement with the experimental results obtained by Aggarwal and
collaborators, where it was verified that the IC50 for Tam16 is the smallest
necessary to inhibit the Pks13 (IC50 = 0.19 MUM). The energy decomposition
analysis suggested that the residues which most interact with inhibitors are:
Ser1636, Tyr1637, Asn1640, Ala1667, Phe1670, and Tyr1674, from which the greatest
energy contribution to Phe1670 was particularly notable. For the lead molecule
Tam16, a hydrogen bond with the hydroxyl of the phenol not observed in the other
analogs induced a more stable molecular structure. Aggarwal and colleagues
reported this hydrogen bonding as being responsible for the stability of the
molecule, optimizing its physic-chemical, toxicological, and pharmacokinetic
properties.
PMID- 29633909
TI - Cultural expressions of depression and the development of the Indonesian
Depression Checklist.
AB - Depression may manifest differently across cultural settings, suggesting the
value of an assessment tool that is sensitive enough to capture these variations.
The study reported in this article aimed to develop a depression screening tool
for Indonesians derived from ethnographic interviews with 20 people who had been
diagnosed as having depression by clinical psychologists at primary health
centers. The tool, which we have termed the Indonesian Depression Checklist
(IDC), consists of 40 items. The tool was administered to 125 people assessed to
have depression by 40 clinical psychologists in primary health centers. The data
were analyzed with Confirmatory Factor Analysis (CFA) (IBM SPSS AMOS Software).
CFA identified a five-factor hierarchical model ( chi 2 = 168.157, p = .091; CFI
= .963; TLI = .957; RMSEA = .036). A 19-item inventory of the IDC, with five
factors - Physical Symptoms, Affect, Cognition, Social Engagement and Religiosity
- was identified. There was a strong correlation between the total score of the
IDC and total score of the Center for Epidemiological Studies-Depression scale
(revised version CES-D), a standard tool for assessing symptoms of depression.
The IDC accommodates culturally distinctive aspects of depression among
Indonesians that are not included in the CES-D.
PMID- 29633910
TI - Interaction of flavonols with human serum albumin: a biophysical study showing
structure-activity relationship and enhancement when coated on silver
nanoparticles.
AB - Binding affinities of flavonols namely quercetin, myricetin, and kaempferol to
human serum albumin (HSA) were determined fluorimetrically and the order was
observed to be myricetin > quercetin > kaempferol demonstrating structure
activity relationship. Quercetin-coated silver nanoparticles (AgNPs) show higher
binding affinity to HSA compared to free quercetin with binding constants 6.04 *
107 M-1 and 4.2 * 106 M-1, respectively. Using site-specific markers it is
concluded that free quercetin and that coated on AgNPs bind at different sites.
Significant structural changes in circular dichroism (CD) spectra of HSA were
recorded with quercetin-coated AgNPs compared to free quercetin. These results
were further substantiated by time-resolved fluorescence spectroscopy where
fluorescence life time of the tryptophan residue in HSA-quercetin-coated AgNPs
complex decreased to 3.63 ns from 4.22 ns in HSA-quercetin complex. Isothermal
calorimetric studies reveal two binding modes for quercetin-coated AgNPs and also
higher binding constants compared to free quercetin. These higher binding
affinities are attributed to altered properties of quercetin when coated on AgNPs
enabling it to reach the binding sites other than site II where free quercetin
mainly binds.
PMID- 29633911
TI - A structural comparison of 'real' and 'model' calmodulin clarified allosteric
interactions regulating domain motion.
AB - Calmodulin (CaM) is a multifunctional calcium-binding protein, which regulates
various biochemical processes. CaM acts via structural changes and complex
forming with its target enzymes. CaM has two globular domains (N-lobe and C-lobe)
connected by a long linker region. Upon calcium binding, the N-lobe and C-lobe
undergo local conformational changes, after that, entire CaM wraps the target
enzyme through a large conformational change. However, the regulation mechanism,
such as allosteric interactions regulating the conformational changes, is still
unclear. In order to clarify the allosteric interactions, in this study,
experimentally obtained 'real' structures are compared to 'model' structures
lacking the allosteric interactions. As the allosteric interactions would be
absent in calcium-free CaM (apo-CaM), allostery-eliminated calcium-bound CaM
(holo-CaM) models were constructed by combining the apo-CaM's linker and the holo
CaM's N- and C-lobe. Before the comparison, the 'real' and 'model' structures
were clustered and cluster-cluster relationship was determined by a principal
component analysis. The structures were compared based on the relationship, then,
a distance map and a contact probability analysis clarified that the inter-domain
motion is regulated by several groups of inter-domain contacting residue pairs.
The analyses suggested that these residues cause inter-domain translation and
rotation, and as a consequence, the motion encourage structural diversity. The
resultant diversity would contribute to the functional versatility of CaM.
PMID- 29633912
TI - DNA Topoisomerase IB as a Potential Ionizing Radiation Exposure and Dose
Biomarker.
AB - In radiation exposure scenarios where physical dosimetry is absent or
inefficient, dose estimation must rely on biological markers. A reliable
biomarker is of utmost importance in correlating biological system changes with
radiation exposure. Human DNA topoisomerase IB (topo I) is a ubiquitous nuclear
enzyme, which is involved in essential cellular processes, including
transcription, DNA replication and DNA repair, and is the target of anti-cancer
drugs. It has been shown that the cellular activity of this enzyme is
significantly sensitive to various DNA lesions, including radiation-induced DNA
damages. Therefore, we investigated the potential of topo I as a biomarker of
radiation exposure and dose. We examined the effect of exposure of different
human cells to beta, X-ray and gamma radiation on the cellular catalytic activity
of topo I. The results demonstrate a significant reduction in the DNA relaxation
activity of topo I after irradiation and the level of the reduction was
correlated with radiation dose. In normal human peripheral blood lymphocytes,
exposure for 3 h to an integral dose of 0.065 mGy from tritium reduced the enzyme
activity to less than 25%. In MG-63 osteoblast-like cells and in human pulmonary
fibroblast (HPF) cells exposed to gamma radiation from a 60Co source (up to 2 Gy)
or to X rays (up to 2.8 Gy), a significant decrease in topo I catalytic activity
was also observed. We observed that the enzyme-protein level was not altered but
was partially posttranslational modified by ADP-ribosylation of the enzyme
protein that is known to reduce topo I activity. The results of this study
suggest that the decrease in the cellular topo I catalytic activity after low
dose exposure to different radiation types may be considered as a novel biomarker
of ionizing radiation exposure and dose. For this purpose, a suitable ELISA-based
method for large-scale analysis of radiation-induced topo I modification is under
development.
PMID- 29633913
TI - The use of pyrosequencing for detection of hemagglutinin mutations associated
with increased pathogenicity of H5N1 avian influenza viruses in mammals.
AB - Hemagglutinin (HA) cleavage is critical for virulence of influenza viruses. The
amino acid residue at the P6 position of the HA cleavage site (HACS) has been
shown to be most variable and to have a direct correlation with the cleavage
efficiency and pathogenicity of H5N1 avian influenza viruses (AIVs) in mammals.
Among these amino acid variants, serine has been associated with the highest
virulence in mammals, and its detection may serve as an indicator for H5N1 AIVs
with high pathogenicity and potential public risk. We developed a rapid detection
method based on reverse-transcription (RT)-PCR and pyrosequencing to detect a
mutation at the HACS that is associated with increased pathogenicity of H5N1 AIVs
in mammals. Herein, we provide a specific, sensitive, and reliable method for
rapid detection of one of the virulence determinants associated with increased
pathogenicity of H5N1 AIVs in mammals.
PMID- 29633914
TI - What am I? A philosophical account of personhood and its applications to people
with brain injury.
AB - This article identifies the dilemma faced by clinical staff when asked to support
the withdrawal of clinically assisted nutrition and hydration in a patient in a
vegetative state. On the one hand, they are expected to treat the patient as a
person in their daily interactions; on the other, they are asked to withdraw
treatment on the grounds that it is futile, which may seem to run counter to
treating people as persons. The article highlights that similar debates exist
within the philosophical community about the nature of personhood and describes
two philosophical accounts of personhood. The aim is to help clinicians
articulate the reasons for their intuitions more clearly, and thus justify their
beliefs.
PMID- 29633915
TI - Perioperative anaesthetic adverse events in Thailand (PAAd THAI) study: Incident
report of perioperative convulsion.
AB - The aim of this study was to identify the characteristics of perioperative
convulsion and to suggest possible correcting strategies. The multi-centre study
was conducted prospectively in 22 hospitals across Thailand in 2015. The
occurrences of perioperative adverse events were collected. The data was collated
by site manager and forwarded to the data management unit. All perioperative
convulsion incidences were enrolled and analysed. The consensus was documented
for the relevant factors and the corrective strategies. Descriptive statistics
were used. From 2,000 incident reports, perioperative convulsions were found in
16 patients. Six episodes (37.5%) were related to anaesthesia, 31.3% to patients,
18.8% to surgery, and 12.5% to systemic processes. The contributing factor was an
inexperienced anaesthesia performer (25%), while the corrective strategy was
improvements to supervision (43.8%). Incidents of perioperative convulsion were
found to be higher than during the last decade. The initiation and maintenance of
safe anaesthesia should be continued.
PMID- 29633916
TI - Discovery of novel estrogen-related receptor alpha inverse agonists by virtual
screening and biological evaluation.
PMID- 29633917
TI - Multiple e-Pharmacophore modeling to identify a single molecule that could target
both streptomycin and paromomycin binding sites for 30S ribosomal subunit
inhibition.
AB - The bacterial ribosome is an established target for anti-bacterial therapy since
decades. Several inhibitors have already been developed targeting both defined
subunits (50S and 30S) of the ribosome. Aminoglycosides and tetracyclines are two
classes of antibiotics that bind to the 30S ribosomal subunit. These inhibitors
can target multiple active sites on ribosome that have a complex structure. To
screen putative inhibitors against 30S subunit of the ribosome, the crystal
structures in complex with various known inhibitors were analyzed using
pharmacophore modeling approach. Multiple active sites were considered for
building energy-based three-dimensional (3D) pharmacophore models. The generated
models were validated using enrichment factor on decoy data-set. Virtual
screening was performed using the developed 3D pharmacophore models and molecular
interaction towards the 30S ribosomal unit was analyzed using the hits obtained
for each pharmacophore model. The hits that were common to both streptomycin and
paromomycin binding sites were identified. Further, to predict the activity of
these hits a robust 2D-QSAR model with good predictive ability was developed
using 16 streptomycin analogs. Hence, the developed models were able to identify
novel inhibitors that are capable of binding to multiple active sites present on
30S ribosomal subunit.
PMID- 29633918
TI - A novel device for preoperative skin preparation to reduce the risk of injury and
surgical site infection during lower limb surgery.
AB - Surgical site infection (SSI) continues to be a feared complication of surgery
but especially orthopaedic surgery where a superficial SSI has been estimated to
add nine days to the hospital stay and an average of L2500 to the cost. Despite
the efficacy of all skin preparation agents being maximal at around 10 minutes no
current system, apart from the double prep method attributed to Sir John
Charnley, allows adequate control of bacteria. Current techniques of skin
preparation require lifting of the limb with an associated risk of injury to
theatre operatives. In order to provide for longer duration of contact,
potentially better antisepsis and to remove the dangers inherent in lifting the
limb we developed a novel system for limb antisepsis prior to surgery. To
demonstrate efficacy we performed a single blind randomised controlled trial
powered to demonstrate non-inferiority. We demonstrated a significant benefit of
the device in terms of bactericidal effect. Whilst only recently entering full
production, we would recommend the system as a significant improvement over
current techniques of limb antisepsis.
PMID- 29633919
TI - Computational study of the binding mechanism between farnesoid X receptor alpha
and antagonist N-benzyl-N-(3-(tertbutyl)-4-hydroxyphenyl)-2,6-dichloro-4
(dimethylamino) benzamide.
AB - Farnesoid X receptor alpha (FXRalpha) is a bile acid-activated transcription
factor, which plays important roles in the regulation of multiple metabolic
processes. Development of FXR antagonist has revealed great potential for the
treatment of metabolic disorders. The compound N-Benzyl-N-(3-(tertbutyl)-4
hydroxyphenyl)-2,6-dichloro-4-(dimethylamino). Benzamide (NDB) was recently
determined as a selective antagonist of FXRalpha, while the detailed interaction
mechanism is not well understood. In this study, the combined computational
methods including molecular dynamics simulations, binding free energy
calculation, and principal component analysis were utilized to investigate the
effect of NDB on the dynamics behaviors and dimerization of FXRalpha The binding
free energy calculation indicated that the protein dimerization increases NDB
affinity and the binding of NDB also stabilizes the interaction between two
subunits of FXRalpha. Further decomposition of the overall binding free energies
into individual residues identifies several residues significant for NDB binding,
including Leu291, Met294, Ala295, His298, Met332, Ser336, Ala452, and Leu455. It
also suggests that the interactions of L289(A)-W458(B), W458(A)-L289(B), R459(A)
N461(B), and N461(A)-R459(B) are important for the dimer stabilization. This
study provides a molecular basis for the understanding of binding mechanism
between antagonist NDB and FXRalpha and valuable information for the novel FXR
modulators design for the treatment of metabolic syndrome.
PMID- 29633920
TI - The tragedy of becoming tired of living: Youth and young adults' suicide in
Greenland and Denmark.
AB - BACKGROUND: Suicide is a tremendous public health issue and worldwide the second
leading cause of death among young people. In 2015, Greenland had the highest
burden of disease due to self-harm with loss of 2,952.97 disability-adjusted life
years per 100,000 inhabitants, more than six times as many as Denmark. AIMS: What
are possible reasons for Greenland's higher youth suicide rate compared to
Denmark, despite being one kingdom of Denmark? METHOD: Mixed methods in the form
of qualitative, semi-structured interviews, the analysis of available data for
2003-2016 and a literature review were conducted with the aim to answer this
question. RESULTS: Several exposures cause this difference, most significantly
adverse effects of the colonial past, such as social issues and experienced
traumas in Greenland compared to its former coloniser Denmark. CONCLUSION: The
ongoing burden of youth suicide in Greenland requires enhanced actions of all
stakeholders involved in suicide prevention, intervention and postvention.
PMID- 29633923
TI - Improvements in Tritrichomonas foetus molecular testing.
AB - Bovine trichomoniasis is a sexually transmitted disease that results in
infertility, abortion, and calf age variability. To date, management strategies
include testing for Tritrichomonas foetus and culling of infected males.
Challenges associated with testing include cost of culture medium, time and labor
burden of sample incubation and processing, and adverse effects of bacterial
growth on detection sensitivity. To overcome these challenges, we developed a
direct reverse-transcription quantitative real-time PCR (direct RT-qPCR)
utilizing smegma, eliminating the use of culture medium. In an analysis of 166
field samples (56 positives and 110 negatives as determined using microscopic
reading of cultures as the reference test), the direct RT-qPCR exhibited 100%
diagnostic sensitivity and 100% specificity, whereas the currently employed qPCR
(culture qPCR), which utilizes cultured samples, exhibited 95% diagnostic
sensitivity and 100% specificity. Agreement between direct RT-qPCR and culture
qPCR was 98%. Moreover, direct RT-qPCR identified 3 more positive samples and
exhibited lower quantification cycle (Cq) values among positives by culture
reading than did culture qPCR (direct RT-qPCR Cq range = 14.6-32.3 vs. culture
qPCR Cq range = 18.7-37.4). The direct RT-qPCR enables simplified sample
collection, elimination of culture medium, faster results, applicability in cows,
and lower cost than culture qPCR.
PMID- 29633924
TI - Occlusive Hepatic Artery Thrombus in a Deceased-Donor Liver Procured From a Donor
With Blunt Abdominal Trauma Following a Road Traffic Collision Accident.
AB - Here, we describe a case of occlusive hepatic artery thrombus in a liver procured
from an 18-year-old deceased donor after circulatory death. The donor had died of
multiple trauma following a road traffic collision. Occlusive thrombus was found
at the hepatic artery bifurcation during back-table preparation. Consequently,
the liver transplant did not proceed. We suggest careful assessment of hepatic
arteries of all donor livers before transplant, particularly those from donors
who are involved in deceleration injuries. Transplanting such livers may lead to
primary nonfunction.
PMID- 29633922
TI - Recent advances in magnetic resonance imaging for peripheral artery disease.
AB - The global burden of peripheral artery disease (PAD) is significant. This has led
to numerous recent advances in magnetic resonance imaging (MRI) techniques in
PAD. Older techniques such as time of flight MRI or phase contrast MRI are
burdened by long acquisition times and significant issues with artifacts. In
addition, the most used MRI modality, contrast-enhanced MR angiography (CE-MRA)
is limited by the use of gadolinium contrast and its potential toxicity. Novel
MRI techniques such as arterial spin labeling (ASL), blood-oxygen-level dependent
imaging (BOLD), and first-pass perfusion gadolinium enhancement are advancing the
field by providing skeletal muscle perfusion/oxygenation data while maintaining
excellent spatial and temporal resolution. Perfusion data can be critical to
providing objective clinical data of a visualized stenosis. In addition, there
are a number of new MRI sequences assessing plaque composition and lesion
severity in the absence of contrast. These approaches used in combination can
provide useful clinical and prognostic data and provide critical endpoints in PAD
research.
PMID- 29633925
TI - A Rare Case of Calciphylaxis in an Orthotopic Liver Transplant Recipient with
Acute Kidney Injury.
AB - Calciphylaxis is a rare disease characterized by calcification of small- to
medium-sized blood vessels in the dermis and subcutaneous fat, resulting in
cutaneous necrosis. Although most commonly shown in patients with end-stage
kidney disease, it has also been reported in patients with other diseases,
including alcoholic cirrhosis and malignancies. Here, we report an unusual case
of calciphylaxis in an orthotopic liver transplant recipient with acute kidney
injury. The patient, a 43-year-old white female with a history of type 2 diabetes
mellitus, alcoholic cirrhosis, and normal kidney function, presented with
decompensated liver disease and hepatorenal syndrome; she no longer responded to
medical treatment and required treatment with dialysis. Ten days after admission,
she underwent liver transplant, resulting in improved liver function tests. She
had acute tubular necrosis (creatinine peak: 325 MUmol/L) from sustained
hypotension during and after surgery, which required 4 sessions of dialysis over
2weeks. Six weeks after her transplant, she developed painful, nonulcerating,
erythematous plaques over her shins and thighs. Skin biopsy of the lesions showed
calciphylaxis, calcium deposits, and thrombotic vasculopathy. She also developed
severe hypercalcemia (calcium level of 2.75 mmol/L) from immobility, which
required treatment with a bisphosphonate and hemodialysis. The lesions improved 6
weeks later, and her renal function returned to normal. Calciphylaxis diagnosed
in an orthotopic liver transplant recipient with acute kidney injury has not been
previously reported. We hypothesize that her chronic inflammatory state caused
down-regulation and low levels of fetuin A and protein C. She also had other risk
factors, including hypoalbuminemia, obesity, systemic glucocorticoids, and
alcoholic liver disease. Calciphylaxis can occur in patients with alcoholic
cirrhosis and acute renal failure even after liver transplant. Further studies
into the pathogenesis of this disease may help us understand why it develops in
these patients and not others with the same risk factors.
PMID- 29633926
TI - Alemtuzumab Induction Reduces Early Rejection in Female Renal Allograft
Recipients: A Single Center Study.
AB - OBJECTIVES: Previous research studies have highlighted differences in rejection
and graft survival across sexes that favor men. We compared delayed graft
function, rejection, graft survival, and overall patient survival between sexes
following alemtuzumab induction. MATERIALS AND METHODS: After Internal Review
Board approval, a retrospective analysis of kidney transplants completed at the
University of Toledo Medical Center between March 2004 and November 2015 was
conducted. RESULTS: During the study period, 675 transplants were performed. This
included 429 male patients (63.6%) and 246 female patients (36.4%). Recipient sex
was not associated with delayed graft function. Acute rejection occurred less
frequently in women than in men at 3 months (12.6% vs 20.7%; P = .009) and at 6
months (15.9% vs 24.6%; P = .008). Cumulative patient survival was superior in
women (P = .032). Female recipient death-censored graft survival was inferior at
3 years (85.4% vs 91.6%; P = .034) and at 5 years (77.7% vs 86.9%; P = .019)
versus male patients. CONCLUSIONS: Compared with men, early female rejection is
reduced and overall female survival is longer after alemtuzumab induction.
However, intermediate-term female graft survival is less.
PMID- 29633927
TI - Psychiatric Evaluation of Children During the Organ Transplant Process.
AB - OBJECTIVES: Organ transplantation is performed frequently in Turkey. A routine
psychiatric examination is performed before all transplant procedures, and
psychiatric support is continued during the posttransplant period. In this study,
we reviewed the psychiatric consultation records of child and adolescent patients
admitted to Baskent University Hospital who underwent or were scheduled to
undergo transplant to determine the prevalence of psychiatric disorders in this
population. MATERIALS AND METHODS: Fifty-nine transplant patients were seen by
the Department of Child and Adolescent Psychiatry between 2012 and 2015 and were
evaluated based on the Diagnostic and Statistical Manual of Mental Disorders, 4th
Edition. RESULTS: Thirty patients (50.8%) were female, and 29 patients (49.2%)
were male. The mean age of the study population was 10.2 +/- 4.5 years, and the
mean age at transplant was 9.9 +/- 4.6 years. In total, 69.5% of participants
were diagnosed with a psychiatric disorder. The most common diagnosis was
adjustment disorder, with a prevalence of 52.4%. CONCLUSIONS: Our study found
that psychiatric disorders are frequently encountered in pediatric transplant
patients. This study revealed a higher prevalence of psychiatric disorders during
the posttransplant period than during the pretransplant period.
PMID- 29633928
TI - Living-Donor Liver Transplant for Fibrolamellar Hepatocellular Carcinoma With
Hilar Lymph Node Metastasis: A Case Report.
AB - Fibrolamellar hepatocellular carcinoma is a rare primary malignant liver
neoplasm. Benefits from liver transplant for patients with fibrolamellar
hepatocellular carcinoma have not yet been reported. Here, we report a 19-year
old female patient who presented with abdominal pain. A computed tomography scan
revealed bilobar and multiple solid lesions with the largest measuring 15 cm in
diameter on the right lobe of her liver. Her blood alpha-fetoprotein level and
viral hepatitis markers were normal. A fine-needle biopsy of the largest lesion
detected fibrolamellar heptocellular carcinoma. Because no distant metastasis was
evident and the carcinoma was unresectable, a right lobe living-donor liver
transplant with hilar lymph node dissection was performed. A pathology report
revealed poorly differentiated fibrolamellar hepatocellular carcinoma, and
further testing indicated microvascular invasion and hilar lymph node metastasis.
The largest tumor measured 12 cm. She was discharged on postoperative day 14.
During postoperative month 22, multiple vertebral metastases were detected, and
she died with diffuse metastasis during postoperative month 26. Our patient, with
poor prognostic criteria such as hilar lymph node metastasis, microvascular
invasion, and poor differentiation, had 22 months of tumor-free survival and 26
months of overall survival after having undergone living-donor liver transplant.
PMID- 29633929
TI - Liver Regeneration-Related Cytokine Profiles in Donors and Recipients Before and
After Living-Donor Liver Transplant.
AB - OBJECTIVES: The liver's capability to completely regenerate after injury is a
unique phenomenon in which cytokines are of particular interest. Here, we aimed
to assess the release patterns and prognostic relevance of liver regeneration
related cytokines in the setting of living-donor liver transplant. MATERIALS AND
METHODS: Eleven cytokines related to liver regeneration (hepatocyte growth
factor, interleukin 6, insulin-like growth factor-1, tumor necrosis factor alpha,
transforming growth factor beta, granulocyte colony-stimulating factor, stem cell
factor, chemokine (C-X-C motif) ligand 12, angiogenin, fibroblast growth factor
2, and vascular endothelial growth factor) were compared in 13 living-donor liver
transplant recipients and their corresponding donors before and daily (10 days)
after transplant. Patients and donors were stratified by clinical outcomes (early
graft loss within 4 weeks after transplant vs beneficial outcome). RESULTS: Most
cytokines tested (especially tumor necrosis factor alpha and stem cell factor)
were elevated in recipients versus donors. Many cytokines were also increased in
recipients with graft loss (especially CXCL12) and in donors of recipients with
beneficial outcomes (especially fibroblast growth factor 2). Fibroblast growth
factor 2 levels were also correlated positively with serum gamma
glutamyltransferase, and higher preoperative concentrations in donors were
associated with recipients having beneficial outcomes, indicating an improved
regenerative capacity. In contrast, elevated CXCL12 levels in recipients before
and after LDLT predicted graft loss and were linked to ongoing liver damage.
CONCLUSIONS: In living-donor liver transplant, there are distinct differences
between donors and recipients regarding the release of liver regeneration-related
cytokines. Moreover, fibroblast growth factor 2 and CXCL12 may be of diagnostic
value in a complementary way to describe or even predict the possible outcomes
after transplant. These results may be of clinical interest not only for living
donor liver transplant but also for acute liver failure.
PMID- 29633931
TI - Autologous Hematopoietic Stem Cell Transplantation for Antiphospholipid Syndrome:
Case Report and Review of the Literature.
AB - Refractory antiphospholipid syndrome represents a challenge for preventing
thrombosis that may occur despite adequate anticoagulation and immunomodulation
therapy. Here, we report a 35-year-old male patient who presented with variable
venous thromboembolic events. Autologous hematopoietic stem cell transplant was
performed after conditioning with cyclophosphamide and antithymocyte globulin.
Five years after transplant, the treatment continued to show a successful outcome
in preventing new thrombotic events. Autologous hematopoietic stem cell
transplant represents a chance for cure from antiphospholipid syndrome despite
the paucity of reported data so far.
PMID- 29633930
TI - Clinical Significance of Pulmonary Nodules in the Pretransplant Evaluation of
Liver Transplant Recipients With Hepatocellular Carcinoma.
AB - OBJECTIVES: Pulmonary nodules are common in patients with hepatocellular
carcinoma who are being evaluated for a possible liver transplant. MATERIALS AND
METHODS: In this retrospective study, we analyzed the records of liver transplant
recipients at our institution with a primary diagnosis of hepatocellular
carcinoma who received transplants between 2000 and 2015. All patients had
magnetic resonance imaging-confirmed disease within Milan criteria and a
concurrent staging chest computed tomography. Patient survival was estimated
using Kaplan-Meier methods and compared between pulmonary nodule characteristic
groups. A Cox proportional hazards model was constructed for adjusted analysis.
RESULTS: Of the 197 liver transplant recipients who met our study inclusion
criteria (median follow-up, 40 mo), 115 (58.4%) had a total of 231 pulmonary
nodules, with 57 (49.6%) having multiple nodules and 108 (93.9%) having nodules
<= 1 cm. The presence of pulmonary nodules did not negatively affect patient
survival, per our univariate and multivariate analysis, nor did their presence
affect their number, location, laterality, shape, edge, density, or the presence
of calcifications (P >=.05). However, pulmonary nodules >= 1 cm were associated
with decreased overall survival. CONCLUSIONS: In our pretransplant evaluation of
patients with hepatocellular carcinoma, pulmonary nodules <= 1 cm did not portend
worse patient or graft survival posttransplant.
PMID- 29633932
TI - Tacrolimus-Induced Autoimmune Hemolytic Anemia in a Previously Reported Child
With History of Thrombocytopenia Following Liver Transplant.
PMID- 29633933
TI - Successful Repair of Bilateral Ureteral Stricture 3 Years after En Bloc Kidney
Transplant: A Case Report.
AB - En bloc kidney transplants of small pediatric kidneys into adult recipients have
been shown to have outcomes similar to transplants from standard adult deceased
donors. Here, we report a 27-year-old male patient with successful repair of
bilateral ureteral stricture 3 years after en bloc kidney transplant at the
Shahid Modarres Hospital (Tehran, Iran). The patient received an en bloc deceased
kidney donation from a 9-month-old infant. An end-to-end anastomosis of the aorta
to the internal iliac artery and an end-to-side external iliac vein anastomosis
to vena cava were performed. At an outpatient visit about 2.5 years later, the
patient showed increasing levels of creatinine from 1.1 to 1.8 mg/dL compared
with measurements at his 2-month visit. A computed tomography scan performed
without any contrast agent at that time confirmed the existence of hydronephrosis
in both the medial and lateral kidneys. A nephrostography showed a ureteral
stricture. Because endoscopic surgery for ureteral dilatation was not successful,
the decision was made to perform ureteral repair by the open surgical technique.
The short length of the ureters resulted in the lateral renal pelvis being
anastomosed to the bladder by flap (Boari flap). The ureter of the patient's
native kidney was transected in the middle portion, and the distal ureter was
anastomosed to the medial renal pelvis using the end-to-end method. Here, we
showed that, despite limited experiences with pediatric donors, en bloc kidney
transplant can be performed under the guidance of experienced surgical techniques
and precise postoperative follow-up.
PMID- 29633934
TI - Antibacterial activity and mode of action of epsilon-polylysine against
Escherichia coli O157:H7.
AB - PURPOSE: Gram-negative Escherichia coli O157:H7 were chosen as model bacteria to
evaluate the antimicrobial mechanism of epsilon-polylysine (epsilon-PL).
METHODOLOGY: The antibacterial activity of epsilon-PL was detected by measuring
the minimum inhibitory concentration values as well as the time-kill curve. The
membrane integrity was determined by ultraviolet (UV) absorption, membrane
potential (MP) assay and flow cytometry (FCM) experiments. The permeability of
the inner membrane was detected by beta-galactosidase activity assay.
Furthermore, electron microscopy [scanning electron microscopy (SEM) and
transmission electron microscopy (TEM)] was utilized to observe bacterial
morphology. KEY FINDINGS: These results demonstrated that epsilon-PL showed its
antibacterial activity by changing the integrity and permeability of cell
membranes, leading to rapid cell death. The electron microscopy analysis (SEM and
TEM) results indicated that the bacterial cell morphology, membrane integrity and
permeability were spoiled when the E. coli O157:H7 cells were exposed to minimum
inhibitory concentrations of epsilon-PL (16 ug ml-1). In addition, the bacterial
membrane was damaged more severely when the concentration of epsilon-PL was
increased. CONCLUSION: The present study investigated the antimicrobial mechanism
of epsilon-PL by measuring the content of cytoplasmic beta-galactosidase,
proteins and DNA. In addition, SEM and TEM were carried out to assess the
mechanism. These results show that epsilon-PL has the ability to decrease the
content of large molecules, cellular soluble proteins and nucleic acids
associated with increasing the content of cytoplasmic beta-galactosidase in
supernatant by causing damage to the cell membranes. Consequently, the use of
epsilon-PL as a natural antimicrobial agent should eventually become an appealing
method in the field of food preservation.
PMID- 29633935
TI - Correlation between bacterial G+C content, genome size and the G+C content of
associated plasmids and bacteriophages.
AB - Based on complete bacterial genome sequence data, we demonstrate a correlation
between bacterial chromosome length and the G+C content of the genome, with
longer genomes having higher G+C contents. The correlation value decreases at
shorter genome sizes, where there is a wider spread of G+C values. However,
although significant (P<0.001), the correlation value (Pearson R=0.58) suggests
that other factors also have a significant influence. A similar pattern was seen
for plasmids; longer plasmids had higher G+C values, although the large number of
shorter plasmids had a wide spread of G+C values. There was also a significant
(P<0.0001) correlation between the G+C content of plasmids and the G+C content of
their bacterial host. Conversely, the G+C content of bacteriophages tended to
reduce with larger genome sizes, and although there was a correlation between
host genome G+C content and that of the bacteriophage, it was not as strong as
that seen between plasmids and their hosts.
PMID- 29633936
TI - Chitin-induced T6SS in Vibrio cholerae is dependent on ChiS activation.
AB - Vibrio cholerae regularly colonizes the chitinous exoskeleton of crustacean
shells in the aquatic region. The type 6 secretion system (T6SS) in V. cholerae
is an interbacterial killing device. This system is thought to provide a
competitive advantage to V. cholerae in a polymicrobial community of the aquatic
region under nutrient-poor conditions. V. cholerae chitin sensing is known to be
initiated by the activation of a two-component sensor histidine kinase ChiS in
the presence of GlcNAc2 (N,N'-diacetylchitobiose) residues generated by the
action of chitinases on chitin. It is known that T6SS in V. cholerae is generally
induced by chitin. However, the effect of ChiS activation on T6SS is unknown.
Here, we found that ChiS inactivation resulted in impaired bacterial killing and
reduced expression of T6SS genes. Active ChiS positively affected T6SS-mediated
natural transformation in V. cholerae. ChiS depletion or inactivation also
resulted in reduced colonization on insoluble chitin surfaces. Therefore, we have
shown that V. cholerae colonization on chitinous surfaces activates ChiS, which
promotes T6SS-dependent bacterial killing and horizontal gene transfer. We also
highlight the importance of chitinases in T6SS upregulation.
PMID- 29633937
TI - Incidence and predictors of acute kidney injury in patients undergoing proximal
protected carotid artery stenting.
AB - AIMS: Many studies have analysed the occurrence of acute kidney injury (AKI)
after percutaneous coronary intervention (PCI) but there are limited data
relating to AKI risk in patients undergoing carotid artery stenting (CAS). The
aim of this study was to determine the incidence and predictors of AKI in
patients undergoing proximal protected CAS. METHODS AND RESULTS: We analysed 456
patients undergoing proximal protected CAS. A binomial multivariate logistic
model was developed including patients' clinical and angiographic/procedural
characteristics. AKI (defined as an sCr increase >=0.3 mg/dl or >=1.5-fold sCr
increase from baseline or more than 50% increase from baseline, within 48 hours
post procedure) occurred in 155 patients (34%). AKI patients were more frequently
affected by hypertension, diabetes, dyslipidaemia and anaemia, and presented
lower renal function at baseline. Higher contrast volume to creatinine clearance
ratio (2.40+/-1.44 vs. 2.08+/-1.15; p=0.01), lower post-procedural mean arterial
pressure (MAP) (94.3+/-17.7 vs. 99.6+/-18.5 mmHg; p=0.003) and a more frequent
post-procedural systolic pressure drop (?SBP >50 mmHg) (23.9% vs. 14.3%, p=0.01)
were observed in the AKI group of patients. At multivariate analysis, independent
predictors of AKI were ?SBP >50 mmHg, diabetes mellitus and dyslipidaemia.
CONCLUSIONS: AKI can occur quite frequently after proximal protected CAS and is
related to clinical and procedural features. These data should be confirmed in
larger registries or randomised trials.
PMID- 29633938
TI - First experience with the 34 mm self-expanding Evolut R in a multicentre
registry.
PMID- 29633939
TI - Renal artery anatomy assessed by quantitative analysis of selective renal
angiography in 1,000 patients with hypertension.
AB - AIMS: With increasing attention to renovascular causes and targets for
hypertension there arises a critical need for more detailed knowledge of renal
arterial anatomy. However, a standardised nomenclature is lacking. The present
study sought to develop a standardised nomenclature for renal anatomy considering
the complexity and variation of the renal arterial tree and to assess the
applicability of the nomenclature. METHODS AND RESULTS: One thousand hypertensive
patients underwent invasive selective renal artery angiography in nine centres.
Further, renovasography was performed in 249 healthy swine as a surrogate for
normotensive anatomy. Anatomical parameters were assessed by quantitative
vascular analysis. Patients' mean blood pressure was 168/90+/-26/17 mmHg. The
right main renal artery was longer than the left (41+/-15 mm vs. 35+/-13 mm,
p<0.001), but the left had a greater diameter (5.4+/-1.2 vs. 5.2+/-1.2 mm,
p<0.001). Accessory renal arteries and renal artery disease were documented in
22% and 9% of the patients, respectively. Other than exhibiting a longer left
main renal artery in uncontrolled hypertensives (+2.7 mm, p=0.034) there was no
anatomical difference between patients with controlled and uncontrolled
hypertension. Main renal artery mean diameter was smaller in patients with
impaired kidney function (GFR <90 ml/min, left -0.5 mm, right -0.4 mm, both
p<0.001). CONCLUSIONS: Renal arterial anatomy differs between sides but shows no
difference between patients with and without blood pressure control. Impaired GFR
was associated with small main renal artery diameter.
PMID- 29633940
TI - Long-term consequences of optical coherence tomography findings during
percutaneous coronary intervention: the Centro Per La Lotta Contro L'infarto -
Optimization Of Percutaneous Coronary Intervention (CLI-OPCI) LATE study.
AB - AIMS: The role of intraprocedural optical coherence tomography (OCT) on the long
term clinical outcome of percutaneous coronary interventions (PCI) remains
undefined. The aim of the present study was to evaluate the impact of
quantitative OCT-defined suboptimal stent implantation at long-term follow-up.
METHODS AND RESULTS: In the context of the multicentre Centro per la Lotta contro
l'Infarto - Optimisation of Percutaneous Coronary Intervention (CLI-OPCI)
registry, we compared the long-term PCI outcome of 1,211 patients from 13
independent OCT-experienced centres according to end-procedural OCT findings. OCT
assessment revealed suboptimal stent implantation in 30.9% of lesions, with an
increased prevalence in patients experiencing device-oriented cardiovascular
events (DoCE) (52.8% vs. 28.0%, p<0.001). At a median follow-up of 833
(interquartile range 415-1,447) days, in-stent minimum lumen area (MLA) <4.5 mm2
(HR 1.82, p<0.001), distal stent edge dissection >200 um (HR 2.03, p=0.004), and
significant reference vessel plaque and lumen area <4.5 mm2 at either the distal
(HR 5.22, p<0.001) or proximal (HR 5.67, p<0.001) stent edges were independent
predictors of device failure. Conversely, in-stent MLA/mean reference lumen area
<70%, acute stent malapposition, and intra-stent plaque/thrombus protrusion were
not associated with worse outcomes. Using multivariable Cox hazard analysis, the
presence of at least one of the significant criteria for suboptimal OCT stent
deployment was confirmed as an independent predictor of DoCE (HR 1.92, p=0.001).
CONCLUSIONS: Suboptimal stent deployment, defined according to specific
quantitative OCT criteria, was confirmed as an independent outcome predictor at
long-term follow-up.
PMID- 29633941
TI - Transcatheter treatment of tricuspid regurgitation using edge-to-edge repair:
procedural results, clinical implications and predictors of success.
AB - AIMS: The aim of this study was to analyse the feasibility, safety and
effectiveness of tricuspid valve (TV) repair using the MitraClip system in
patients at high surgical risk. METHODS AND RESULTS: Forty-two elderly high-risk
patients (76.8+/-7.3 years, EuroSCORE II 8.1+/-5.7) with isolated TR or combined
TR and mitral regurgitation (MR) underwent edge-to-edge repair of the TV (n=11)
or combined edge-to-edge repair of the TV and mitral valve (n=31). Procedural
details, success rate, impact on TR severity and predictors of success at 30-day
follow-up were analysed. Successful edge-to-edge repair of TR was achieved in
35/42 patients (83%, 68 clips in total, 94% in the anteroseptal commissure, 6% in
the posteroseptal commissure). In five patients, grasping of the leaflets was
impossible and two patients had no decrease in TR after clipping. In those with
procedural success, clipping of the TV led to a reduction in effective
regurgitant orifice area by -62.5% (from 0.8+/-0.4 to 0.3+/-0.2 cm2; p<0.0001).
In both patients with isolated TV and combined procedures, six-minute walking
distance improved (from 285+/-118 to 344+/-81 m and from 225+/-113 to 261+/-130
m, p=0.02 and 0.03, respectively). Predominant anteroseptal or central TR was
identified as a predictor of procedural success (p=0.025). CONCLUSIONS: Edge-to
edge repair of the TV is feasible with a promising reduction in TR, which could
result in clinical improvement.
PMID- 29633942
TI - [New conceptual framework in advanced prostate cancer.]
PMID- 29633943
TI - [Locally advanced prostate cancer. Definition, diagnosis and treatment.]
AB - : Prostate cancer is a disease that presents a wide spectrum from low
aggressiveness localized to disseminated cancer. Locally advanced prostate cancer
(LAPC) is a particularly difficult to manage phase of this spectrum. OBJECTIVES:
We review the definition, diagnosis and treatment of this phase of the disease.
METHODS: We performed a non systematic literature review of the most relevant
features of this pathology. RESULTS: LAPC is more aggressive than organ confined
disease. Its clinical diagnosis is not always easy. Local treatment, in spite of
being aggressive with potential sequelae, seems to be advantageous in terms of
patient survival. CONCLUSIONS: Prostate cancer local staging is currently based
on multiparametric magnetic resonance imaging (mpMRI). Local radical treatment
with surgery or radiotherapy, with probable addition of systemic treatment,
offers promising results for disease control and quality of life improvement.
PMID- 29633944
TI - [Oligometastatic prostate cancer. concept and implications.]
AB - Oligometastatic prostate cancer has been proposed as an intermediate stage
between localized and extensively disseminated disease. Oligometastatic disease
is being diagnosed more frequently due to the advances in imaging tests.
Nevertheless, there is no consensus definition yet of oligometastatic prostate
cancer. The importance of this entity is that several studies have pointed out
that local and metastasis directed treatment may improve survival in selected
patients. However, we need the results of well controlled prospective randomized
clinical trials to help a better understanding and management of oligometastatic
prostate cancer.
PMID- 29633945
TI - [Current status of androgen deprivation therapy in hormone-sensitive prostate
cancer.]
AB - OBJECTIVE: To analyze the current available evidence of androgen deprivation
therapy in hormone-sensitive metastatic prostate cancer, focused on the relevance
of suppressing circulating testosterone levels and its prognostic significance.
To assess the optimal value of castration levels and PSA reduction under hormone
treatment. METHODS: We performed a bibliographic review through automatized
search in the Pubmed bibliographic database and Clinical Key. The search strategy
included the following terms: "prostate cancer" AND "hormones", "metastatic
prostate cancer", "testosterone" AND "prostate cancer", "hormone naive/sensitive"
AND "prostate cancer". RESULTS: Lower testosterone levels are associated with
better survival and have prognostic significance. Values below 32-20 ng/dl, in
accordance to different reported studies, have been established as optimal
castration levels with clinical significance, with impact on cancer specific
survival and time to castration resistance. Similarly, low PSA levels after
starting hormone therapy have been suggested as a strong predictor of survival
and treatment response. CONCLUSIONS: Close monitoring of PSA and testosterone
levels is necessary in patients with metastatic prostate cancer during hormone
deprivation treatment. Combination of both values allows to predict treatment
response and early identification of tumor progression, and to put forward
subsequent therapeutic strategies improving survival in this group of patients.
PMID- 29633946
TI - [Preventive management of bone disease in advanced prostate cancer.]
AB - OBJECTIVES: Prostate cancer is linked to bone disease by two different entities.
On one hand, androgen deprivation therapy (ADT) usually causes osteoporosis, on
the other a great number of patients with advanced prostate cancer will present
bone that condition not only their vital prognosis but also an important quality
of life deterioration. METHODS: We performed a bibliographic review on both the
physiology and therapy of osteoporosis secondary to ADT and bone metastasis in
prostatic neoplasias. RESULTS: Osteoporosis: Long term ADT is associated with
osteopenia/osteoporosis in 80% of the patients, with a 5-20% incidence of
osteoporotic fractures. We should monitor bone mineral density before starting
ADT therapy and during treatment. Treatment is based on risk factors reduction,
regular physical exercise, calcium and vitamin D supplements, and drugs such as
biphosphonates or denosumab. Bone metastasis: Currently, both zolendronic acid
and denosumab have approval for the prevention of skeletal events in patients
with castration resistant prostate cancer (CPRC). Although the last one seems to
be more effective, it is associated with a higher risk of hypocalcemia and jaw
osteonecrosis so that the choice of drug must be individualized in every patient.
The duration of treatment is not clear. Currently, the indication for the use of
this drugs in earlier phases of advanced disease is not approved. CONCLUSIONS:
Comprehensive management of the patient with advanced prostate cancer should
include the study and treatment of osteoporosis and bone metastases. Currently,
very effective therapies are available for both entities.
PMID- 29633947
TI - [Maximal androgen deprivation with abiraterone acetate in hormone sensitive
prostate cancer.]
AB - OBJECTIVES: The treatment of metastatic prostate cancer has remained unchanged
for more than 70 years, based on androgen deprivation therapy (ADT). In 2015,
following the CHAARTED and STAMPEDE trials, it was established that the addition
of 6 cycles of docetaxel to ADT was associated with significantly increased
survival. In June 2017, the LATITUDE trial and the G arm of the STAMPEDE trial
showed that the addition of Abiraterone with Prednisone (5 mg/day) to ADT was
also associated with a significant increase in survival in metastatic patients.
The present study analyzes these two trials. RESULTS: LATITUDE demonstrated a 38%
reduction in the risk of death (HR=0.62, 95% CI, 0.61-0.76) in almost all sub
groups. Risk reduction for radiological progression was 53% (HR=0.47, 95% CI 0.39
0.55). Secondary objectives such as prostate specific antigen progression, time
to chemotherapy or a new skeletal event are also significantly delayed. STAMPEDE
also showed that the combination of Abiraterone and Prednisone is associated with
a 37% increase in survival (HR=0.63, 95% CI, 0.52- 0.76, p<0.001) in metastatic
patients, but not in nonmetastatic patients. Progression-free survival was
greatly improved in this arm (HR=0.29, 95% CI 0.25-0.34, p<0.001). The side
effects reported show the known pattern of mineral corticosteroid excess with
increased blood pressure, hypokalemia, and of liver enzymes elevation.
CONCLUSIONS: The indirect comparison of docetaxel and abiraterone studies
confirms that both populations and results are comparable. Two comparative
indirect metanalysis (>6000 patients) gave marginal superiority to abiraterone.
In favor of abiraterone we have that it is an oral, comfortable medication with a
good tolerance profile and side effects that are easy to manage, useful in
patients who are old and fragile, in whom chemotherapy may not be indicated; the
downsides are prolonged exposure to the drug and its current price. Future
trials, currently in progress, will determine the ideal patient profile, or a
potential association of both therapies.
PMID- 29633948
TI - [The role of chemotherapy in the treatment of hormone sensitive metastatic
prostate cancer.]
AB - OBJECTIVE: Several studies have assessed the role of adding chemotherapy to
hormonal treatment for metastatic hormone-sensitive prostate cancer (MHSPC). The
objective of this manuscript is to review these studies and to provide
recommendations for the management of these patients. METHODS: We identified
published clinical trials comparing hormone blockade (HB) with HB plus docetaxel
as first-line treatment of HSMPC and we analyzed their results in terms of
efficacy and toxicity. RESULTS: Of the 3 trials published, two demonstrated
increased overall survival by adding docetaxel to the first-line treatment of
MHSPC (CHAARTED and Stampede-Docetaxel studies) and the third one did not show
such an advantage (GETUG-AFU15). In the CHAARTED study, the survival advantage
was limited to patients presenting high tumor volume. Toxicity was increased in
patients who received docetaxel. CONCLUSIONS: The addition of docetaxel to
treatment with HB should be considered in patients with MHSPC, especially in
those with high tumor volume. However, the toxicity and recent results of trials
performed with abiraterone in MHSPC should also be taken in consideration.
PMID- 29633949
TI - [The role of corticoids in the management of advanced prostate cancer.]
AB - Prostate cancer is the most frequent malignant tumor in males in developed
countries and represents the second cause of cancer death. Over the last years,
the number of treatments available for patients with advanced prostate cancer has
improved significantly, achieving better disease control and notably better
overall survival (1). Corticosteroids have been extensively used in the treatment
of castration resistant prostate cancer due to their palliative benefits on
symptoms secondary to their potent anti-inflammatory activity and their
demonstrated antitumor activity. At present time, we have a wide therapeutic
arsenal for patients with metastatic prostate cancer and concomitant medication
with corticosteroids may counteract adverse events of the main validated
therapies. Nevertheless, long term exposition to corticosteroid treatment
required by prostate cancer patients may have negative implications in terms of
development of potential adverse events and, in certain cases, even facilitating
disease progression.
PMID- 29633950
TI - [Surgical treatment of local disease in metastatic prostate cancer.]
AB - OBJECTIVES: Metastatic prostate cancer is a very heterogeneous disease with
several treatment options. In some cases of oligometastatic disease, local
treatment of the primary tumor complemented by metastasis directed therapy seems
to improve oncological results. The objectives of this study are to define and
understand oligometastatic prostate cancer, to show the usefulness and rationale
of cytoreductive surgery in this scenario and to review all published studies
about radical prostatectomy in patients with initially metastatic prostate
cancer. METHODS: We performed a Pubmed bibliographic search using the keywords:
prostate cancer, metastatic, oligometastatic, local treatment, radical
prostatectomy, and cytoreductive surgery. We included all published works on
radical prostatectomy in initially metastatic patient. Furthermore, we reviewed
published articles about cytoreductive surgery and biology of the oligometastatic
disease in journals of different medical specialties. RESULTS: Oligometastatic
prostate cancer is recognized as an intermediate clinical stage between local and
disseminated disease that seems to benefit from local treatment of the primary
tumor plus metastasis directed treatment. In this scenario, different
retrospective studies have demonstrated that radical prostatectomy diminishes
local complication rate and improves oncological results without increasing
morbidity. Currently, there is no consensus definition about the number,
location, and imaging techniques to employ to consider a patient oligometastatic.
Thus, it is difficult to compare the results of the different studies and
identification of a subgroup of patients that could benefit from this local
treatment. CONCLUSIONS: In absence of prospective randomized data, radical
prostatectomy seems to be useful for local treatment of the primary tumor in a
selected group of patients with oligometastatic prostate cancer.
PMID- 29633951
TI - [Radiotherapy for local disease in metastatic prostate cancer.]
AB - OBJECTIVES: We elaborate the bases and rationale for the application of
multimodal extended treatment including local radiotherapy in patients with
oligometastatic prostate cancer (omPCa). We performed a bibliographic review on
the state of the art in this field and propose a therapeutic strategy that
incorporates ablative radiotherapy of the primary tumor +/- oligometastatic
lesions. METHODS: We performed a comprehensive literature review consulting
different sources that include data bases (Pubmed/Medline), and international
treatment guidelines ((NCCN, NCI, EUA). Search criteria: Locally advanced
prostate cancer, oligometastatic, disseminated and radiotherapy, ablative or
stereotactic radiotherapy (SBRT). RESULTS: The most accepted definition for
oligometastatic prostate cancer or oligotopic prostatic neoplasia is when we
recognize at least 3 non-visceral metastatic lesions in an extrapelvic location.
Whole body MRI and PET scan (Choline/PSMA) are non conventional useful tests for
staging in the workup for oligometastatic disease. From a clinical point of view,
omPCa behaves as an intermediate entity between locally advanced and disseminated
or multimetastatic prostate cancer. Androgen deprivation therapy (ADT) represents
the base of treatment for castration sensitive PCa. To date there is no
biological marker/genetic sign identified that differentiate aggressiveness
profiles in omPca. Most evidence on the use of radiotherapy for this entity comes
from retrospective studies, showing a benefit in control and prevention of local
symptoms. To date, the survival benefit derived from the application of local
treatment to the primary tumor with demonstrable metastatic disease is uncertain,
and it has not been shown in the available randomized prospective clinical
trials. CONCLUSIONS: Primary tumor radiotherapy in omPca positively influences
local control and prevention of local symptoms progression. The level of evidence
to recommend prostatic radiotherapy as a therapeutic variable with impact on
survival on omPca is limited (Level 2B-3 Category). Research lines in omPca
deserve the inclusion of a multimodal systemic treatment including ADT, ablative
radiotherapy for the tumor and consolidation radiotherapy in metastatic distant
lesions.
PMID- 29633952
TI - [Advanced prostate cancer and quality of life.]
AB - OBJECTIVES: The therapeutic range in advanced and castration resistant prostate
cancer is widening. Therapies must offer real clinical efficacy, and they also
should be acceptable and desirable for patients, specially in advanced disease.
We analyze the value of quality of life analysis in patients with advanced
prostate cancer. METHODS: We performed a bibliographic review (Pubmed) with the
various health related quality of life scales available and different clinical
trials on advanced prostate cancer. RESULTS: There are numerous therapeutic
options but, due to variations in study design, a different evaluation of adverse
events and different therapeutic regimens, comparisons are difficult. A common
method to interpret results is not available, so most of the times that
interpretation is left to statistical significance, which is not always well
correlated with clinical significance. CONCLUSIONS: To propose the most adequate
treatment in patient's interest, we need results focused on patients that combine
not only quantity or overall survival but also quality of life. Parameters such
as QALY should be included in clinical trials as evaluation objectives in order
to favor decision taking.
PMID- 29633953
TI - [Multidisciplinary teams in the treatment of prostate cancer.]
AB - In the last decade, prostate cancer management has dramatically evolved to such a
complexity that different medical specialties have to participate for its
optimization, even making necessary in many cases super specialization in every
discipline for such aim. All Guidelines and every Scientific Association do
recommend multidisciplinary teams for its management as a rule, but translation
from multidisciplinary committees to daily assistance is heterogeneous and faces,
many times, particular interests and conflicts between different specialties
implying that objective information of all the therapeutic options does not reach
the patient to enroll him in his own therapeutic pathway.This is an opinion paper
reviewing the advantages of the multidisciplinary team daily work as a
prolongation of the multidisciplinary committee decisions, relying in the
literature to set the legal framework and recommendations to generate an
operative and real model of multidisciplinary teamwork for the benefit of both
patient and all professionals involved in prostate cancer management.
PMID- 29633954
TI - Investigation of indirect excitons in bulk 2H-MoS2 using transmission electron
energy-loss spectroscopy.
AB - We have investigated indirect excitons in bulk 2H-MoS2 using transmission
electron energy-loss spectroscopy. The electron energy-loss spectra were measured
for various momentum transfer values parallel to the [Formula: see text] and
[Formula: see text] directions of the Brillouin zone. The results allowed the
identification of the indirect excitons between the valence band K v and
conduction band Lambdac points, the Gammav and K c points as well as adjacent K v
and [Formula: see text] points. The energy-momentum dispersions for the K v
Lambdac, Gammav-K c and K v1-[Formula: see text] excitons along the [Formula: see
text] line are presented. The former two transitions exhibit a quadratic
dispersion which allowed calculating their effective exciton masses based on the
effective mass approximation. The K v1-[Formula: see text] transition follows a
more linear dispersion relationship.
PMID- 29633955
TI - Stable gelatin-based phantom materials with tunable x-ray attenuation properties
and 3D printability for x-ray imaging.
AB - We report a novel method for developing gelatin-based phantom materials for
transmission x-ray imaging with high stability at room temperature and tunable x
ray attenuation properties. This is achieved by efficiently cross-linking gelatin
in a glycerin solution with only 10% water by volume and systematically
decreasing their x-ray attenuation coefficients by doping with microbubbles that
are originally designed to be used as lightweight additives for paints and crack
fillers. For demonstration, we mimic breast glandular and adipose tissues by
using such gelatin materials and also study the feasibility of 3D printing them
based on the extrusion-based technique. Results from x-ray spectroscopy (15-45
keV) show the materials to have stable x-ray attenuation properties of glandular
and adipose tissues over a period of two months. Micro-CT analysis of
independently prepared samples shows the materials to be uniform and easy to
reproduce with minimum variability in attenuation values. These materials can be
used to 3D print realistic phantoms that mimic x-ray properties of various
biological tissues.
PMID- 29633956
TI - Multi-threading performance of Geant4, MCNP6, and PHITS Monte Carlo codes for
tetrahedral-mesh geometry.
AB - In this study, the multi-threading performance of the Geant4, MCNP6, and PHITS
codes was evaluated as a function of the number of threads (N) and the complexity
of the tetrahedral-mesh phantom. For this, three tetrahedral-mesh phantoms of
varying complexity (simple, moderately complex, and highly complex) were prepared
and implemented in the three different Monte Carlo codes, in photon and neutron
transport simulations. Subsequently, for each case, the initialization time,
calculation time, and memory usage were measured as a function of the number of
threads used in the simulation. It was found that for all codes, the
initialization time significantly increased with the complexity of the phantom,
but not with the number of threads. Geant4 exhibited much longer initialization
time than the other codes, especially for the complex phantom (MRCP). The
improvement of computation speed due to the use of a multi-threaded code was
calculated as the speed-up factor, the ratio of the computation speed on a multi
threaded code to the computation speed on a single-threaded code. Geant4 showed
the best multi-threading performance among the codes considered in this study,
with the speed-up factor almost linearly increasing with the number of threads,
reaching ~30 when N = 40. PHITS and MCNP6 showed a much smaller increase of the
speed-up factor with the number of threads. For PHITS, the speed-up factors were
low when N = 40. For MCNP6, the increase of the speed-up factors was better,
but they were still less than ~10 when N = 40. As for memory usage, Geant4 was
found to use more memory than the other codes. In addition, compared to that of
the other codes, the memory usage of Geant4 more rapidly increased with the
number of threads, reaching as high as ~74 GB when N = 40 for the complex
phantom (MRCP). It is notable that compared to that of the other codes, the
memory usage of PHITS was much lower, regardless of both the complexity of the
phantom and the number of threads, hardly increasing with the number of threads
for the MRCP.
PMID- 29633957
TI - Inverse optimization of objective function weights for treatment planning using
clinical dose-volume histograms.
AB - We developed and evaluated a novel inverse optimization (IO) model to estimate
objective function weights from clinical dose-volume histograms (DVHs). These
weights were used to solve a treatment planning problem to generate 'inverse
plans' that had similar DVHs to the original clinical DVHs. Our methodology was
applied to 217 clinical head and neck cancer treatment plans that were previously
delivered at Princess Margaret Cancer Centre in Canada. Inverse plan DVHs were
compared to the clinical DVHs using objective function values, dose-volume
differences, and frequency of clinical planning criteria satisfaction. Median
differences between the clinical and inverse DVHs were within 1.1 Gy. For most
structures, the difference in clinical planning criteria satisfaction between the
clinical and inverse plans was at most 1.4%. For structures where the two plans
differed by more than 1.4% in planning criteria satisfaction, the difference in
average criterion violation was less than 0.5 Gy. Overall, the inverse plans were
very similar to the clinical plans. Compared with a previous inverse optimization
method from the literature, our new inverse plans typically satisfied the same or
more clinical criteria, and had consistently lower fluence heterogeneity.
Overall, this paper demonstrates that DVHs, which are essentially summary
statistics, provide sufficient information to estimate objective function weights
that result in high quality treatment plans. However, as with any summary
statistic that compresses three-dimensional dose information, care must be taken
to avoid generating plans with undesirable features such as hotspots; our
computational results suggest that such undesirable spatial features were
uncommon. Our IO-based approach can be integrated into the current clinical
planning paradigm to better initialize the planning process and improve planning
efficiency. It could also be embedded in a knowledge-based planning or adaptive
radiation therapy framework to automatically generate a new plan given a
predicted or updated target DVH, respectively.
PMID- 29633958
TI - MR-ARFI-based method for the quantitative measurement of tissue elasticity:
application for monitoring HIFU therapy.
AB - Monitoring thermal therapies through medical imaging is essential in order to
ensure that they are safe, efficient and reliable. In this paper, we propose a
new approach, halfway between MR acoustic radiation force imaging (MR-ARFI) and
MR elastography (MRE), allowing for the quantitative measurement of the elastic
modulus of tissue in a highly localized manner. It relies on the simulation of
the MR-ARFI profile, which depends on tissue biomechanical properties, and on the
identification of tissue elasticity through the fitting of experimental
displacement images measured using rapid MR-ARFI. This method was specifically
developed to monitor MR-guided high intensity focused ultrasound (MRgHIFU)
therapy. Elasticity changes were followed during HIFU ablations (N = 6)
performed ex vivo in porcine muscle samples, and were compared to temperature
changes measured by MR-thermometry. Shear modulus was found to increase
consistently and steadily a few seconds after the heating started, and such
changes were found to be irreversible. The shear modulus was found to increase
from 1.49 +/- 0.48 kPa (before ablation) to 3.69 +/- 0.93 kPa (after ablation
and cooling). Thanks to its ability to perform quantitative elasticity
measurements in a highly localized manner around the focal spot, this method
proved to be particularly attractive for monitoring HIFU ablations.
PMID- 29633959
TI - Local deformation gradients in epitaxial Pb(Zr0.2Ti0.8)O3 layers investigated by
transmission electron microscopy.
AB - Lead zirconate titanate samples are used for their piezoelectric and
ferroelectric properties in various types of micro-devices. Epitaxial layers of
tetragonal perovskites have a tendency to relax by forming [Formula: see text]
ferroelastic domains. The accommodation of the a/c/a/c polydomain structure on a
flat substrate leads to nanoscale deformation gradients which locally influence
the polarization by flexoelectric effect. Here, we investigated the deformation
fields in epitaxial layers of Pb(Zr0.2Ti0.8)O3 grown on SrTiO3 substrates using
transmission electron microscopy (TEM). We found that the deformation gradients
depend on the domain walls inclination ([Formula: see text] or [Formula: see
text] to the substrate interface) of the successive [Formula: see text] domains
and we describe three different a/c/a domain configurations: one configuration
with parallel a-domains and two configurations with perpendicular a-domains (V
shaped and hat-[Formula: see text]-shaped). In the parallel configuration, the c
domains contain horizontal and vertical gradients of out-of-plane deformation. In
the V-shaped and hat-[Formula: see text]-shaped configurations, the c-domains
exhibit a bending deformation field with vertical gradients of in-plane
deformation. Each of these configurations is expected to have a different
influence on the polarization and so the local properties of the film. The
deformation gradients were measured using dark-field electron holography, a TEM
technique, which offers a good sensitivity (0.1%) and a large field-of-view
(hundreds of nanometers). The measurements are compared with finite element
simulations.
PMID- 29633961
TI - Benchmark study of ionization potentials and electron affinities of armchair
single-walled carbon nanotubes using density functional theory.
AB - The intrinsic parameters of carbon nanotubes (CNTs) such as ionization potential
(IP) and electron affinity (EA) are closely related to their unique properties
and associated applications. In this work, we demonstrated the success of optimal
tuning method based on range-separated (RS) density functionals for both accurate
and efficient prediction of vertical IPs and electron affinities (EAs) of a
series of armchair single-walled carbon nanotubes C20n H20 (n = 2-6) compared
to the high-level IP/EA equation-of-motion coupled-cluster method with single and
double substitutions (IP/EA-EOM-CCSD). Notably, the resulting frontier orbital
energies (-epsilon HOMO and -epsilon LUMO) from the tuning method exhibit an
excellent approximation to the corresponding IPs and EAs, that significantly
outperform other conventional density functionals. In addition, it is suggested
that the RS density functionals that possess both a fixed amount of exact
exchange in the short-range and a correct long-range asymptotic behavior are
suitable for calculating electronic structures of finite-sized CNTs. Next the
performance of density functionals for description of various molecular
properties such as chemical potential, hardness and electrophilicity are assessed
as a function of tube length. Thanks to the efficiency and accuracy of this
tuning method, the related behaviors of much longer armchair single-walled CNTs
until C200H20 were studied. Lastly, the present work is proved to provide an
efficient theoretical tool for future materials design and reliable
characterization of other interesting properties of CNT-based systems.
PMID- 29633960
TI - Superpixel-based and boundary-sensitive convolutional neural network for
automated liver segmentation.
AB - Segmentation of liver in abdominal computed tomography (CT) is an important step
for radiation therapy planning of hepatocellular carcinoma. Practically, a fully
automatic segmentation of liver remains challenging because of low soft tissue
contrast between liver and its surrounding organs, and its highly deformable
shape. The purpose of this work is to develop a novel superpixel-based and
boundary sensitive convolutional neural network (SBBS-CNN) pipeline for automated
liver segmentation. The entire CT images were first partitioned into superpixel
regions, where nearby pixels with similar CT number were aggregated. Secondly, we
converted the conventional binary segmentation into a multinomial classification
by labeling the superpixels into three classes: interior liver, liver boundary,
and non-liver background. By doing this, the boundary region of the liver was
explicitly identified and highlighted for the subsequent classification. Thirdly,
we computed an entropy-based saliency map for each CT volume, and leveraged this
map to guide the sampling of image patches over the superpixels. In this way,
more patches were extracted from informative regions (e.g. the liver boundary
with irregular changes) and fewer patches were extracted from homogeneous
regions. Finally, deep CNN pipeline was built and trained to predict the
probability map of the liver boundary. We tested the proposed algorithm in a
cohort of 100 patients. With 10-fold cross validation, the SBBS-CNN achieved mean
Dice similarity coefficients of 97.31 +/- 0.36% and average symmetric surface
distance of 1.77 +/- 0.49 mm. Moreover, it showed superior performance in
comparison with state-of-art methods, including U-Net, pixel-based CNN, active
contour, level-sets and graph-cut algorithms. SBBS-CNN provides an accurate and
effective tool for automated liver segmentation. It is also envisioned that the
proposed framework is directly applicable in other medical image segmentation
scenarios.
PMID- 29633962
TI - Computation of reliable textural indices from multimodal brain MRI: suggestions
based on a study of patients with diffuse intrinsic pontine glioma.
AB - Few methodological studies regarding widely used textural indices robustness in
MRI have been reported. In this context, this study aims to propose some rules to
compute reliable textural indices from multimodal 3D brain MRI. Diagnosis and
post-biopsy MR scans including T1, post-contrast T1, T2 and FLAIR images from
thirty children with diffuse intrinsic pontine glioma (DIPG) were considered. The
hybrid white stripe method was adapted to standardize MR intensities. Sixty
textural indices were then computed for each modality in different regions of
interest (ROI), including tumor and white matter (WM). Three types of intensity
binning were compared [Formula: see text]: constant bin width and relative
bounds; [Formula: see text] constant number of bins and relative bounds;
[Formula: see text] constant number of bins and absolute bounds. The impact of
the volume of the region was also tested within the WM. First, the mean Hellinger
distance between patient-based intensity distributions decreased by a factor
greater than 10 in WM and greater than 2.5 in gray matter after standardization.
Regarding the binning strategy, the ranking of patients was highly correlated for
188/240 features when comparing [Formula: see text] with [Formula: see text], but
for only 20 when comparing [Formula: see text] with [Formula: see text], and nine
when comparing [Formula: see text] with [Formula: see text]. Furthermore, when
using [Formula: see text] or [Formula: see text] texture indices reflected tumor
heterogeneity as assessed visually by experts. Last, 41 features presented
statistically significant differences between contralateral WM regions when ROI
size slightly varies across patients, and none when using ROI of the same size.
For regions with similar size, 224 features were significantly different between
WM and tumor. Valuable information from texture indices can be biased by
methodological choices. Recommendations are to standardize intensities in MR
brain volumes, to use intensity binning with constant bin width, and to define
regions with the same volumes to get reliable textural indices.
PMID- 29633963
TI - Ab initio study for the IR spectroscopy of PbTiO3 and PbZrO3, primary blocks of
PbZr1-x Ti x O3.
AB - PbTiO3 (PT) and PbZrO3 (PZ) are the two primary blocks of the solid solution
PbZr1-x Ti x O3 (PZT). They can be modelled in different ways; but, in order to
do comparable DFT calculations on PZT, with different values of x, one must find
a unique method that can be used for both PT and PZ. In particular, we want to
evaluate their vibrational properties to compare them with experimental data.
Density functional theory (DFT) is used to perform structure geometry
optimizations and electronic structure calculations, both on low- and high
temperature phase. Then, harmonic vibrational frequencies of their low
temperature phase are determined for transverse and longitudinal optical (TO &
LO) phonons. Moreover, a detailed study of the eigenvectors shows that accurate
calculations are necessary to correctly interpret and understand the IR spectra.
In the end, the comparison of our theoretical results with previous experimental
and theoretical data confirm the strong potential of the SOGGA (second-order
generalized gradient approximation) functional to correctly describe PT, PZ and,
hopefully, PZT; especially their structural and vibrational properties.
PMID- 29633964
TI - Simplified DFT methods for consistent structures and energies of large systems.
AB - Kohn-Sham density functional theory (DFT) is routinely used for the fast
electronic structure computation of large systems and will most likely continue
to be the method of choice for the generation of reliable geometries in the
foreseeable future. Here, we present a hierarchy of simplified DFT methods
designed for consistent structures and non-covalent interactions of large systems
with particular focus on molecular crystals. The covered methods are a minimal
basis set Hartree-Fock (HF-3c), a small basis set screened exchange hybrid
functional (HSE-3c), and a generalized gradient approximated functional evaluated
in a medium-sized basis set (B97-3c), all augmented with semi-classical
correction potentials. We give an overview on the methods design, a comprehensive
evaluation on established benchmark sets for geometries and lattice energies of
molecular crystals, and highlight some realistic applications on large organic
crystals with several hundreds of atoms in the primitive unit cell.
PMID- 29633965
TI - Crystal structure of chorismate mutase from Burkholderia phymatum.
AB - The bacterium Burkholderia phymatum is a promiscuous symbiotic nitrogen-fixating
bacterium that belongs to one of the largest groups of Betaproteobacteria. Other
Burkholderia species are known to cause disease in plants and animals, and some
are potential agents for biological warfare. Structural genomics efforts include
characterizing the structures of enzymes from pathways that can be targeted for
drug development. As part of these efforts, chorismate mutase from B. phymatum
was produced and crystallized, and a 1.95 A resolution structure is reported.
This enzyme shares less than 33% sequence identity with other homologs of known
structure. There are two classes of chorismate mutase: AroQ and AroH. The
bacterial subclass AroQgamma has reported roles in virulence. Chorismate mutase
from B. phymatum has the prototypical AroQgamma topology and retains the
characteristic chorismate mutase active site. This suggests that substrate-based
chorismate mutase inhibitors will not be specific and are likely to affect
beneficial bacteria such as B. phymatum.
PMID- 29633966
TI - Crystal structure of pyrimidine-nucleoside phosphorylase from Bacillus subtilis
in complex with imidazole and sulfate.
AB - Pyrimidine-nucleoside phosphorylase catalyzes the phosphorolytic cleavage of
thymidine and uridine with equal activity. Investigation of this protein is
essential for anticancer drug design. Here, the structure of this protein from
Bacillus subtilis in complex with imidazole and sulfate is reported at 1.9 A
resolution, which is an improvement on the previously reported structure at 2.6 A
resolution. The localization and position of imidazole in the nucleoside-binding
site reflects the possible binding of ligands that possess an imidazole ring.
PMID- 29633967
TI - Bacteriophage N4 large terminase: expression, purification and X-ray
crystallographic analysis.
AB - Genome packaging is a critical step in the assembly of dsDNA bacteriophages and
is carried out by a powerful molecular motor known as the large terminase. To
date, wild-type structures of only two large terminase proteins are available,
and more structural information is needed to understand the genome-packaging
mechanism. Towards this goal, the large and small terminase proteins from
bacteriophage N4, which infects the Escherichia coli K12 strain, have been
cloned, expressed and purified. The purified putative large terminase protein
hydrolyzes ATP, and this is enhanced in the presence of the small terminase. The
large terminase protein was crystallized using the sitting-drop vapour-diffusion
method and the crystal diffracted to 2.8 A resolution using a home X-ray source.
Analysis of the X-ray diffraction data showed that the crystal belonged to space
group P212121, with unit-cell parameters a = 53.7, b = 93.6, c = 124.9 A, alpha =
beta = gamma = 90 degrees . The crystal had a solvent content of 50.2% and
contained one molecule in the asymmetric unit.
PMID- 29633968
TI - Structural view of the helicase reveals that Zika virus uses a conserved
mechanism for unwinding RNA.
AB - Recent studies suggest a link between infection by Zika virus (ZIKV) and the
development of neurological complications. The lack of ZIKV-specific therapeutics
has alarmed healthcare professionals worldwide. Here, crystal structures of apo
and AMPPNP- and Mn2+-bound forms of the essential helicase of ZIKV refined to
1.78 and 1.3 A resolution, respectively, are reported. The structures reveal a
conserved trimodular topology of the helicase. ATP and Mn2+ are tethered between
two RecA-like domains by conserved hydrogen-bonding interactions. The binding of
ligands induces the movement of backbone Calpha and side-chain atoms. Numerous
solvent molecules are observed in the vicinity of the AMPPNP, suggesting a role
in catalysis. These high-resolution structures could be useful for the design of
inhibitors targeting the helicase of ZIKV for the treatment of infections caused
by ZIKV.
PMID- 29633969
TI - Structure of proliferating cell nuclear antigen (PCNA) bound to an APIM peptide
reveals the universality of PCNA interaction.
AB - Proliferating cell nuclear antigen (PCNA) provides a molecular platform for
numerous protein-protein interactions in DNA metabolism. A large number of
proteins associated with PCNA have a well characterized sequence termed the PCNA
interacting protein box motif (PIPM). Another PCNA-interacting sequence termed
the AlkB homologue 2 PCNA-interacting motif (APIM), comprising the five consensus
residues (K/R)-(F/Y/W)-(L/I/V/A)-(L/I/V/A)-(K/R), has also been identified in
various proteins. In contrast to that with PIPM, the PCNA-APIM interaction is
less well understood. Here, the crystal structure of PCNA bound to a peptide
carrying an APIM consensus sequence, RFLVK, was determined and structure-based
interaction analysis was performed. The APIM peptide binds to the PIPM-binding
pocket on PCNA in a similar way to PIPM. The phenylalanine and leucine residues
within the APIM consensus sequence and a hydrophobic residue that precedes the
APIM consensus sequence are crucially involved in interactions with the
hydrophobic pocket of PCNA. This interaction is essential for overall binding.
These results provide a structural basis for regulation of the PCNA interaction
and might aid in the development of specific inhibitors of this interaction.
PMID- 29633970
TI - Crystal structure of RecR, a member of the RecFOR DNA-repair pathway, from
Pseudomonas aeruginosa PAO1.
AB - DNA damage is usually lethal to all organisms. Homologous recombination plays an
important role in the DNA damage-repair process in prokaryotic organisms. Two
pathways are responsible for homologous recombination in Pseudomonas aeruginosa:
the RecBCD pathway and the RecFOR pathway. RecR is an important regulator in the
RecFOR homologous recombination pathway in P. aeruginosa. It forms complexes with
RecF and RecO that can facilitate the loading of RecA onto ssDNA in the RecFOR
pathway. Here, the crystal structure of RecR from P. aeruginosa PAO1 (PaRecR) is
reported. PaRecR crystallizes in space group P6122, with two monomers per
asymmetric unit. Analytical ultracentrifugation data show that PaRecR forms a
stable dimer, but can exist as a tetramer in solution. The crystal structure
shows that dimeric PaRecR forms a ring-like tetramer architecture via crystal
symmetry. The presence of a ligand in the Walker B motif of one RecR subunit
suggests a putative nucleotide-binding site.
PMID- 29633971
TI - The putative compatible solute-binding protein ProX from Mycobacterium
tuberculosis H37Rv: biochemical characterization and crystallographic data.
AB - In Mycobacterium tuberculosis, the proX gene encodes a putative compatible solute
binding protein (MtProX). However, it was found through sequence alignment that
the MtProX protein has very different ligand-binding residues compared with other
compatible solute-binding proteins, implying that MtProX may bind to ligands that
are as yet uncharacterized. In this work, it was demonstrated that MtProX binds
to polyphenols such as phloretin, monoacetylphloroglucinol and 2,4
dihydroxyacetophloroglucinol with dissociation constants between 20 and 70 uM.
Crystals of MtProX were obtained using a precipitant consisting of 0.2 M NaCl,
0.1 M Tris pH 8.5, 25%(w/v) polyethylene glycol 3350. The crystals diffracted to
2.10 A resolution and belonged to space group P43212, with unit-cell parameters a
= b = 90.17, c = 161.92 A, alpha = beta = gamma = 90.0 degrees . Assuming the
presence of two MtProX molecules in the asymmetric unit, the Matthews coefficient
was calculated to be 2.74 A3 Da-1, which corresponds to a solvent content of 55%.
PMID- 29633972
TI - High-resolution crystal structure of Streptococcus agalactiae glyceraldehyde-3
phosphate dehydrogenase.
AB - Glyceraldehyde-3-phosphate dehydrogenase (GAPDH) is a multifunctional enzyme that
plays critical roles in bacterial pathogenesis in some pathogenic bacteria. In
this study, the crystal structure of group B streptococcus GAPDH was determined
at 1.36 A resolution. The structure contained an asymmetric mixed holo tetramer,
with two NAD ligands bound to two protomers. Further structural analysis
identified interesting phosphate ion-binding sites, which shed light on its
catalytic mechanism.
PMID- 29633974
TI - Structural view of the 2A protease from human rhinovirus C15.
AB - The majority of outbreaks of the common cold are caused by rhinoviruses. The 2A
protease (2Apro) of human rhinoviruses (HRVs) is known to play important roles in
the propagation of the virus and the modulation of host signal pathways to
facilitate viral replication. The 2Apro from human rhinovirus C15 (HRV-C15) has
been expressed in Escherichia coli and purified by affinity chromatography, ion
exchange chromatography and gel-filtration chromatography. The crystals
diffracted to 2.6 A resolution. The structure was solved by molecular replacement
using the structure of 2Apro from coxsackievirus A16 (CVA16) as the search model.
The structure contains a conserved His-Asp-Cys catalytic triad and a Zn2+-binding
site. Comparison with other 2Apro structures from enteroviruses reveals that the
substrate-binding cleft of 2Apro from HRV-C15 exhibits a more open conformation,
which presumably favours substrate binding.
PMID- 29633973
TI - The crystal structure of the drug target Mycobacterium tuberculosis methionyl
tRNA synthetase in complex with a catalytic intermediate.
AB - Mycobacterium tuberculosis is a pathogenic bacterial infectious agent that is
responsible for approximately 1.5 million human deaths annually. Current
treatment requires the long-term administration of multiple medicines with
substantial side effects. Lack of compliance, together with other factors, has
resulted in a worrisome increase in resistance. New treatment options are
therefore urgently needed. Here, the crystal structure of methionyl-tRNA
synthetase (MetRS), an enzyme critical for protein biosynthesis and therefore a
drug target, in complex with its catalytic intermediate methionyl adenylate is
reported. Phenylalanine 292 of the M. tuberculosis enzyme is in an 'out'
conformation and barely contacts the adenine ring, in contrast to other MetRS
structures where ring stacking occurs between the adenine and a protein side
chain ring in the 'in' conformation. A comparison with human cytosolic MetRS
reveals substantial differences in the active site as well as regarding the
position of the connective peptide subdomain 1 (CP1) near the active site, which
bodes well for arriving at selective inhibitors. Comparison with the human
mitochondrial enzyme at the amino-acid sequence level suggests that arriving at
inhibitors with higher affinity for the mycobacterial enzyme than for the
mitochondrial enzyme might be achievable.
PMID- 29633975
TI - Crystallization of the rice immune receptor RGA5A_S with the rice blast fungus
effector AVR1-CO39 prepared via mixture and tandem strategies.
AB - RGA5 is a component of the Pia resistance-protein pair (RGA4/RGA5) from Oryza
sativa L. japonica. It acts as an immune receptor that directly recognizes the
effector AVR1-CO39 from Magnaporthe oryzae via a C-terminal non-LRR domain
(RGA5A_S). The interaction between RGA5A_S and AVR1-CO39 relieves the repression
of RGA4, leading to effector-independent cell death. To determine the structure
of the complex of RGA5A_S and AVR1-CO39 and to understand the details of this
interaction, the complex was prepared by fusing the proteins together, by mixing
them in vitro or by co-expressing them in one host cell. Samples purified via the
first two strategies were crystallized under two different conditions. A mixture
of AVR1-CO39 and RGA5A_S (complex I) crystallized in 1.1 M ammonium tartrate
dibasic, 0.1 M sodium acetate-HCl pH 4.6, while crystals of the fusion complex
RGA5A_S-TEV-AVR1-CO39 (complex II) were grown in 2 M NaCl. The crystal of complex
I belonged to space group P3121, with unit-cell parameters a = b = 66.2, c =
108.8 A, alpha = beta = 90, gamma = 120 degrees . The crystals diffracted to a
Bragg spacing of 2.4 A, and one molecule each of RGA5A_S and AVR1-CO39 were
present in the asymmetric unit of the initial model. The crystal of complex II
belonged to space group I4, with unit-cell parameters a = b = 137.4, c = 66.2 A,
alpha = beta = gamma = 90 degrees . The crystals diffracted to a Bragg spacing of
2.72 A, and there were two molecules of RGA5A_S and two molecules of AVR1-CO39 in
the asymmetric unit of the initial model. Further structural characterization of
the interaction between RGA5A_S and AVR1-CO39 will lead to a better understanding
of the mechanism underlying effector recognition by R proteins.
PMID- 29633976
TI - Transplantation in Germany: Erratum.
PMID- 29633977
TI - Use of Everolimus in Liver Transplantation: Recommendations From a Working Group:
Erratum.
PMID- 29633978
TI - Paying It Forward: Live Kidney Donation Now to (POSSIBLY) Benefit a Long-term
Future Recipient.
PMID- 29633979
TI - The Emerging Importance of Skeletal Muscle Function in Assessing Candidates for
Transplantation.
PMID- 29633980
TI - Severe Allograft Rejection and Autoimmune Hemolytic Anemia After Anti-PD1 Therapy
in a Kidney Transplanted Patient.
PMID- 29633981
TI - Kidney Paired Donation and the "Valuable Consideration" Problem: The Experiences
of Australia, Canada, and the United States.
AB - As organ donation rates remain unable to meet the needs of individuals waiting
for transplants, it is necessary to identify reasons for this shortage and
develop solutions to address it. The introduction of kidney paired donation (KPD)
programs represents one such innovation that has become a valuable tool in
donation systems around the world. Although KPD has been successful in increasing
kidney donation and transplantation, there are lingering questions about its
legality. Donation through KPD is done in exchange for-and with the expectation
of-a reciprocal kidney donation and transplantation. It is this reciprocity that
has caused concern about whether KPD complies with existing law. Organ donation
systems around the world are almost universally structured to legally prohibit
the commercial exchange of organs. Australia, Canada, and the United States have
accomplished this goal by prohibiting the exchange of an organ for "valuable
consideration," which is a legal term that has not historically been limited to
monetary exchange. Whether or not KPD programs violate this legislative
prohibition will depend on the specific legislative provision being considered,
and the legal system and case law of the particular jurisdiction in question.
This article compares the experiences of Australia, Canada, and the United States
in determining the legality of KPD and highlights the need for legal clarity and
flexibility as donation and transplantation systems continue to evolve.
PMID- 29633983
TI - Effects of replacing diet beverages with water on weight loss and weight
maintenance: 18-month follow-up, randomized clinical trial.
AB - BACKGROUND: Beneficial effects of replacing diet beverages (DBs) with water on
weight loss, during a 24-week hypoenergetic diet were previously observed.
However, it is not known whether this difference is sustained during a subsequent
12-month weight maintenance period. OBJECTIVE: To evaluate effects of replacing
DBs with water on body weight maintenance over a 12-month period in participants
who undertook a 6-month weight loss plan. DESIGN: Seventy-one obese and
overweight adult women (body mass index (BMI): 27-40 kg m-2; age: 18-50 years)
who usually consumed DBs in their diet were randomly assigned to either
substitute water for DBs (water group: 35) or continue drinking DBs five times
per week (DBs group: 36) after their lunch for the 6-month weight loss
intervention and subsequent 12-month weight maintenance program. RESULTS: A total
of 71 participants who were randomly assigned were included in the study by using
an intention-to-treat analysis. Greater additional weight loss (mean+/-s.d.) in
the water group was observed compared with the DBs group after the 12-month
follow-up period (-1.7+/-2.8 vs -0.1+/-2.7 kg, P=0.001). BMI decreased more in
the water group than in the DBs group (-0.7+/-1 vs -0.05+/-1.1 kg m-2, P=0.003).
There was also a greater reduction in fasting insulin levels (-0.5+/-1.4 vs
0.02+/-1.5 mmol l-1, P=0.023), better improvement in homeostasis model assessment
of insulin resistance (-0.2+/-0.4 vs -0.1+/-0.3, P=0.013) and a greater decrease
in 2-h postprandial plasma glucose (-0.2+/-0.3 vs -0.1+/-0.3 mmol l-1, P<0.001)
in the water group compared with the DBs over the 12-month weight maintenance
period. CONCLUSIONS: Replacement of DBs with water after the main meal in women
who were regular users of DBs may cause further weight reduction during a 12
month weight maintenance program. It may also offer benefits in carbohydrate
metabolism including improvement of insulin resistance over the long-term weight
maintenance period.
PMID- 29633984
TI - Tundra landform and vegetation productivity trend maps for the Arctic Coastal
Plain of northern Alaska.
AB - Arctic tundra landscapes are composed of a complex mosaic of patterned ground
features, varying in soil moisture, vegetation composition, and surface hydrology
over small spatial scales (10-100 m). The importance of microtopography and
associated geomorphic landforms in influencing ecosystem structure and function
is well founded, however, spatial data products describing local to regional
scale distribution of patterned ground or polygonal tundra geomorphology are
largely unavailable. Thus, our understanding of local impacts on regional scale
processes (e.g., carbon dynamics) may be limited. We produced two key
spatiotemporal datasets spanning the Arctic Coastal Plain of northern Alaska
(~60,000 km2) to evaluate climate-geomorphological controls on arctic tundra
productivity change, using (1) a novel 30 m classification of polygonal tundra
geomorphology and (2) decadal-trends in surface greenness using the Landsat
archive (1999-2014). These datasets can be easily integrated and adapted in an
array of local to regional applications such as (1) upscaling plot-level
measurements (e.g., carbon/energy fluxes), (2) mapping of soils, vegetation, or
permafrost, and/or (3) initializing ecosystem biogeochemistry, hydrology, and/or
habitat modeling.
PMID- 29633982
TI - Necroptosis Is Involved in CD4+ T Cell-Mediated Microvascular Endothelial Cell
Death and Chronic Cardiac Allograft Rejection.
AB - BACKGROUND: Despite advances in immunosuppressive therapies, the rate of chronic
transplant loss remains substantial. Organ injury involves various forms of cell
death including apoptosis and necrosis. We now recognize that early injury of
cardiac transplants involves a newly described form of programmed necrotic cell
death, termed necroptosis. Because this involves receptor-interacting protein
(RIP) kinase 1/3, this study aimed to establish the role of RIP3 in chronic
cardiac allograft rejection. METHODS: We used major histocompatibility complex
class II mismatched C57BL/6N (H-2; B6) or B6.RIP3 (H-2; RIP3) mice to B6.C-H-2
(H2-Ab1; bm12) mouse cardiac transplantation. Microvascular endothelial cells
(MVEC) were developed from B6 and RIP3 cardiac grafts. RESULT: CD4 T cell
mediated cardiac graft rejection is inhibited using RIP3 deficient donor grafts,
with reduced cellular infiltration and vasculopathy compared with wild type
cardiac grafts. Alloreactive CD4 T cell-mediated MVEC death involves TNFalpha,
Fas ligand (FasL) and granzyme B. Although necroptosis and release of danger
molecule high-mobility group box 1 are eliminated by the absence of RIP3, CD4 T
cells had attenuated MVEC death through granzyme B and FasL. CONCLUSIONS: CD4 T
cell-mediated MVEC death involves in TNFalpha, FasL and granzyme B. Necroptotic
cell death and release of the danger molecule may promote inflammatory responses
and transplant rejection. Although loss of RIP3 does not eliminate alloimmune
responses, chronic graft injury is reduced. RIP3 is an important therapeutic
target but additional granzyme and caspases inhibition is required for
sufficiently improving long-term graft survival.
PMID- 29633985
TI - Ensemble of European regional climate simulations for the winter of 2013 and 2014
from HadAM3P-RM3P.
AB - Large data sets used to study the impact of anthropogenic climate change on the
2013/14 floods in the UK are provided. The data consist of perturbed initial
conditions simulations using the Weather@Home regional climate modelling
framework. Two different base conditions, Actual, including atmospheric
conditions (anthropogenic greenhouse gases and human induced aerosols) as at
present and Natural, with these forcings all removed are available. The data set
is made up of 13 different ensembles (2 actual and 11 natural) with each having
more than 7500 members. The data is available as NetCDF V3 files representing
monthly data within the period of interest (1st Dec 2013 to 15th February 2014)
for both a specified European region at a 50 km horizontal resolution and
globally at N96 resolution. The data is stored within the UK Natural and
Environmental Research Council Centre for Environmental Data Analysis repository.
PMID- 29633986
TI - Massively parallel recordings in macaque motor cortex during an instructed
delayed reach-to-grasp task.
AB - We publish two electrophysiological datasets recorded in motor cortex of two
macaque monkeys during an instructed delayed reach-to-grasp task, using
chronically implanted 10-by-10 Utah electrode arrays. We provide a) raw neural
signals (sampled at 30 kHz), b) time stamps and spike waveforms of offline sorted
single and multi units (93/49 and 156/19 SUA/MUA for the two monkeys,
respectively), c) trial events and the monkey's behavior, and d) extensive
metadata hierarchically structured via the odML metadata framework (including
quality assessment post-processing steps, such as trial rejections). The dataset
of one monkey contains a simultaneously saved record of the local field potential
(LFP) sampled at 1 kHz. To load the datasets in Python, we provide code based on
the Neo data framework that produces a data structure which is annotated with
relevant metadata. We complement this loading routine with an example code
demonstrating how to access the data objects (e.g., raw signals) contained in
such structures. For Matlab users, we provide the annotated data structures as
mat files.
PMID- 29633987
TI - The Alexandria library, a quantum-chemical database of molecular properties for
force field development.
AB - Data quality as well as library size are crucial issues for force field
development. In order to predict molecular properties in a large chemical space,
the foundation to build force fields on needs to encompass a large variety of
chemical compounds. The tabulated molecular physicochemical properties also need
to be accurate. Due to the limited transparency in data used for development of
existing force fields it is hard to establish data quality and reusability is
low. This paper presents the Alexandria library as an open and freely accessible
database of optimized molecular geometries, frequencies, electrostatic moments up
to the hexadecupole, electrostatic potential, polarizabilities, and
thermochemistry, obtained from quantum chemistry calculations for 2704 compounds.
Values are tabulated and where available compared to experimental data. This
library can assist systematic development and training of empirical force fields
for a broad range of molecules.
PMID- 29633988
TI - A data discovery index for the social sciences.
AB - This paper describes a novel search index for social and economic research data,
one that enables users to search up-to-date references for data holdings in these
disciplines. The index can be used for comparative analysis of publication of
datasets in different areas of social science. The core of the index is the da|ra
registration agency's database for social and economic data, which contains high
quality searchable metadata from registered data publishers. Research data's
metadata records are harvested from data providers around the world and included
in the index. In this paper, we describe the currently available indices on
social science datasets and their shortcomings. Next, we describe the motivation
behind and the purpose for the data discovery index as a dedicated and curated
platform for finding social science research data and gesisDataSearch, its user
interface. Further, we explain the harvesting, filtering and indexing procedure
and give usage instructions for the dataset index. Lastly, we show that the index
is currently the most comprehensive and most accessible collection of social
science data descriptions available.
PMID- 29633990
TI - Holocene dwarf mammoths from Wrangel Island in the Siberian Arctic.
AB - THE cause of extinction of the woolly mammoth, Mammuthus primigenius
(Blumenbach), is still debated. A major environmental change at the Pleistocene
Holocene boundary, hunting by early man, or both together are among the main
explanations that have been suggested. But hardly anyone has doubted that
mammoths had become extinct everywhere by around 9,500 years before present (BP).
We report here new discoveries on Wrangel Island in the Arctic Ocean that force
this view to be revised. Along with normal-sized mammoth fossils dating to the
end of the Pleistocene, numerous teeth of dwarf mammoth dated 7,000-4,000 yr BP
have been found there. The island is thought to have become separated from the
mainland by 12,000 yr BP. Survival of a mammoth population may be explained by
local topography and climatic features, which permitted relictual preservation of
communities of steppe plants. We interpret the dwarfing of the Wrangel mammoths
as a result of the insularity effect, combined with a response to the general
trend towards unfavourable environment in the Holocene.
PMID- 29633989
TI - Long-term dataset on aquatic responses to concurrent climate change and recovery
from acidification.
AB - Concurrent regional and global environmental changes are affecting freshwater
ecosystems. Decadal-scale data on lake ecosystems that can describe processes
affected by these changes are important as multiple stressors often interact to
alter the trajectory of key ecological phenomena in complex ways. Due to the
practical challenges associated with long-term data collections, the majority of
existing long-term data sets focus on only a small number of lakes or few
response variables. Here we present physical, chemical, and biological data from
28 lakes in the Adirondack Mountains of northern New York State. These data span
the period from 1994-2012 and harmonize multiple open and as-yet unpublished data
sources. The dataset creation is reproducible and transparent; R code and all
original files used to create the dataset are provided in an appendix. This
dataset will be useful for examining ecological change in lakes undergoing
multiple stressors.
PMID- 29633992
TI - Reaction cycles.
PMID- 29633991
TI - Back-door regulation.
PMID- 29633993
TI - Lighting up logic.
PMID- 29633994
TI - Transition between crack patterns in quenched glass plates.
AB - THE study of fracture is an old topic1, but only recently has an understanding
begun to emerge of crack formation, propagation and morphology (which is often
fractal) 2-8. When a brittle material such as glass is broken under tensile
stress9, the cracks have a complicated morphology10. Fineberg et al.11 showed
that this process may be caused by a dynamic instability, whereby the speed of
crack propagation increases until it approaches the speed of sound: at this
point, complex structures appear. But crack morphology in quasistatic fracture,
where the speed of the crack tip is much smaller than the speed of sound, can
also exhibit marked changes12. Here we present studies of crack propagation in
glass plates caused by sudden but carefully controlled cooling. We observe a
transition from straight to regular, wavy cracks as the tip speed increases. The
scaling behaviour of an appropriately defined relaxation time suggests that this
transition is a Hopf bifurcation13, like those seen in a variety of other
nonlinear systems. At still higher speeds, the oscillatory cracks split into
first two and then four or more branches.
PMID- 29633995
TI - Going with the flow.
PMID- 29633996
TI - Curtain falls on Britain's nuclear structure facility.
PMID- 29633997
TI - Support for a unified model of radio galaxies and quasars from isotropic [O II]
emission.
AB - UNIFIED models1-3 of radio-loud quasars and powerful radio galaxies suggest that
they are intrinsically similar objects observed from different angles. This can
be tested by comparing the isotropically emitted radiation from the spatially
extended nebulae surrounding the nuclei; the unified models predict that the
intensities of these emissions should be comparable for the two classes of
object. But when this prediction was examined4 for the [O III] 5,007-A emission
line, it was found that quasar [O III] luminosities significantly exceed those of
otherwise similar radio galaxies. We have measured the spatially integrated [O
II] 3,727-A emission-line luminosities for a number of quasars and radio galaxies
taken from the 3C catalogue. Supplementing our data with values from the
literature, we find no systematic difference in the [O II] luminosities. We argue
that this emission is indeed isotropic, and that our results are consistent with
the unification hypothesis; the [O III] line, on the other hand, may still have a
significant component from the nuclear region, and thus be subject to pronounced
anisotropic obscuration.
PMID- 29633998
TI - The laughing physicist.
PMID- 29633999
TI - Life after death for nuclear physics.
PMID- 29634000
TI - Mammoths in miniature.
PMID- 29634001
TI - Hidden message.
PMID- 29634002
TI - Cambrian sea water preserved as inclusions in marine low-magnesium calcite
cement.
AB - THE existence of temporal changes in the chemical composition of the oceans,
which could provide constraints on the potential variability of the ocean
atmosphere; system, remains an open question. Assessments of the chemistry of
ancient oceans have relied largely on analysis of marine precipitates, generally
carbonate and evaporite minerals1. These studies suggest that, whereas marine
salinity has remained relatively stable over Phanerozoic time1, magnesium,
calcium and sulphate concentrations of ancient oceans and CO2 partial pressure of
ancient atmospheres may have changed2-4. The ratios of isotopes of carbon,
oxygen, sulphur and strontium also appear to have varied3,5,6. Here we present
analyses of primary, one-phase fluid inclusions in Cambrian and Ordovician marine
cements, which appear to represent aliquots of early Palaeozoic oceans. The
cements have trace element, stable isotope and strontium isotope contents that
are consistent with their having been precipitated in a Cambrian-Ordovician
marine environment, and the fluids have marine salinities. As these (apparently
primary) cements are low-magnesium calcite, unlike the predominantly high
magnesium calcite and aragonite of today's carbonate precipitates, the chemistry
of the Cambrian ocean-atmosphere system seems to have been different from that of
today.
PMID- 29634003
TI - China in Brief.
PMID- 29634005
TI - Cool work.
PMID- 29634004
TI - In peace and in war.
PMID- 29634007
TI - In at the deep end.
PMID- 29634006
TI - A young source of optical emission from distant radio galaxies.
AB - DISTANT radio galaxies provide valuable insights into the properties of the young
Universe-they are the only known extended optical sources at high redshift and
might represent an early stage in the formation and evolution of galaxies in
general. This extended optical emission often has very complex morphologies, but
the origin of the light is still unclear. Here we report spectroscopic
observations for several distant radio galaxies (0.75<= z <= 1.1) in which the
rest-frame spectra exhibit featureless continua between 2,500 A and 5,000 A. We
see no evidence for the break in the spectrum at 4,000 A expected for an old
stellar population1-3, and suggest that young stars or scattered emissions from
the active nuclei are responsible for most of the observed light. In either case,
this implies that the source of the optical emission is com-parable in age to the
associated radio source, namely 107 years or less.
PMID- 29634008
TI - Cracks take a new turn.
PMID- 29634011
TI - Hacking in court.
PMID- 29634010
TI - The jewel in the crown.
PMID- 29634012
TI - No easy answers for UK science.
PMID- 29634013
TI - Waldegrave says White Paper will not seek radical changes.
PMID- 29634014
TI - Eye on the marketplace.
PMID- 29634015
TI - Two circadian oscillators in one cell.
AB - A CIRCADIAN clock, which continues to oscillate in constant conditions, is almost
ubiquitous in eukaryotes as well as some prokaryotes1. This class of biological
oscillators drives daily rhythms as diverse as photosynthesis in plants2 and the
sleep-wake cycle in man3 and enables organisms to anticipate environmental
changes or segregate in time-incompatible processes4. Circadian oscillators share
many properties, suggesting that the clock is a single mechanism, preserved
throughout evolution, which is capable of controlling all the different circadian
functions. Here we show that two rhythms in a unicellular organism can, under
certain experimental conditions, run independently, and thus each rhythm must be
controlled by its own distinct oscillator.
PMID- 29634016
TI - It takes two to nano.
PMID- 29634018
TI - Mind and meaning.
PMID- 29634019
TI - French voters shun 'greens'.
PMID- 29634021
TI - Ancient sea water.
PMID- 29634020
TI - Isotopic evidence for the source of lead in Greenland snows since the late 1960s.
AB - IN 1969, Murozumi et al.1 demonstrated that the concentration of lead in
Greenland snow had increased by a factor of 200 since ancient times, and
concluded that most of this increase was a result of the use of alkyl-leaded
petrol. Partly because of these findings, the United States and other western
countries limited the use of lead additives in petrol from about 1970. Recently,
Boutron et al.2 showed that the lead concentration in Greenland snow had
decreased by a factor of ~7.5 over the past 20 years, and suggested that this was
a result of the decline in use of leaded petrol. We present here measurements of
the 206Pb/207Pb ratio of the lead contained in the samples studied by Boutron et
al. Because aerosols from the atmosphere above the United States are more
radiogenic than those from Eurasia, we can trace the relative contributions of
these two sources in the Greenland lead over the period analysed by Boutron et
al.We find that the United States was a significant source of lead in the 1970s,
but it has since declined considerably in relative importance. This decline
mirrors the decrease in use of leaded petrol in the United States, confirming the
earlier hypothesis.
PMID- 29634022
TI - How to make the NPT more effective.
PMID- 29634024
TI - Web of intrigue.
PMID- 29634023
TI - Giant African lakes revisited.
PMID- 29634025
TI - Syria prodded to end human rights abuses.
PMID- 29634027
TI - Perfect stress.
PMID- 29634028
TI - Clinton accepts court's ruling on Antarctica.
PMID- 29634030
TI - Food for thought.
PMID- 29634029
TI - Guide to authors.
PMID- 29634031
TI - Intolerant mice.
PMID- 29634033
TI - Australopithecus afarensis couple.
PMID- 29634032
TI - Germany avoids large projects in favour of economic payoffs.
PMID- 29634034
TI - Renovation of Paris museum leaves collection in disarray.
PMID- 29634035
TI - A purple protist.
PMID- 29634036
TI - Hard physics.
PMID- 29634038
TI - MITI reshuffles institutes to keep up with the times.
PMID- 29634037
TI - Role of sulphur photochemistry in tropical ozone changes after the eruption of
Mount Pinatubo.
AB - RECENT observations suggest that the eruption of Mount Pinatubo in June 1991 has
had a considerable effect on ozone concentrations in the tropical stratosphere
(refs 1, 2, and J. W. Waters, personal communication). Although stratospheric
ozone losses following volcanic eruptions are generally attributed to the
presence of sulphate aerosol3-7, we present model calculations which demonstrate
that gas-phase sulphur chemistry may have played a part in the tropical ozone
perturbations that followed the Pinatubo eruption. We find that in the first
month or so after the eruption, the large amount of SO2 injected into the
tropical atmosphere catalyses mid-stratospheric ozone production. On the other
hand, the SO2 cloud absorbs solar radiation, thereby reducing the rate of O2
photolysis (and hence of ozone production) below it. These two effects cancel
each other out at an altitude of about 25 kilometres. After one or two months,
most of the SO2 has been oxidized to sulphate; the efficiency of these two
mechanisms then becomes negligible (although ozone remains perturbed in the lower
stratosphere because of its long photochemical lifetime in this region). The
model features show good agreement with initial ozone measurements following the
eruption, including both the mid-altitude switch from ozone loss to ozone gain1,
and the increase and subsequent decrease in the total ozone column2,7.
PMID- 29634039
TI - Correction: How much does a heart valve implantation cost and what are the health
care costs afterwards?
AB - [This corrects the article DOI: 10.1136/openhrt-2017-000672.][This corrects the
article DOI: 10.1136/openhrt-2017-000672.].
PMID- 29634040
TI - Correction: Endoscopic perforation during EMR or ESD: who should take care of the
patient?
AB - [This corrects the article DOI: 10.1055/s-0044-101348.].
PMID- 29634041
TI - Corrigendum: Stochastic Individual-Based Modeling of Bacterial Growth and
Division Using Flow Cytometry.
AB - [This corrects the article on p. 2626 in vol. 8, PMID: 29354110.].
PMID- 29634042
TI - Corrigendum: Inducing the Alternative Oxidase Forms Part of the Molecular
Strategy of Anoxic Survival in Freshwater Bivalves.
AB - [This corrects the article on p. 100 in vol. 9, PMID: 29527172.].
PMID- 29634044
TI - Commentary: Advances in Diagnosis and Management of Hemodynamic Instability in
Neonatal Shock.
PMID- 29634043
TI - The European Vasculitis Society 2016 Meeting Report.
AB - The 2016 European Vasculitis Society (EUVAS) meeting, held in Leiden, the
Netherlands, was centered around phenotypic subtyping in antineutrophil
cytoplasmic antibody (ANCA)-associated vasculitis (AAV). There were parallel
meetings of the EUVAS petals, which here report on disease assessment; database;
and long-term follow-up, registries, genetics, histology, biomarker studies, and
clinical trials. Studies currently conducted will improve our ability to
discriminate between different forms of vasculitis. In a project that involves
the 10-year follow-up of AAV patients, we are working on retrieving data on
patient and renal survival, relapse rate, the cumulative incidence of
malignancies, and comorbidities. Across Europe, several vasculitis registries
were developed covering over 10,000 registered patients. In the near future,
these registries will facilitate clinical research in AAV on a scale hitherto
unknown. Current studies on the genetic background of AAV will explore the
potential prognostic significance of genetic markers and further refine genetic
associations with distinct disease subsets. The histopathological classification
of ANCA-associated glomerulonephritis is currently evaluated in light of data
coming out of a large international validation study. In our continuous search
for biomarkers to predict clinical outcome, promising new markers are important
subjects of current research. Over the last 2 decades, a host of clinical trials
have provided evidence for refinement of therapeutic regimens. We give an
overview of clinical trials currently under development, and consider refractory
vasculitis in detail. The goal of EUVAS is to stimulate ongoing research in
clinical, serological, and histological management and techniques for patients
with systemic vasculitis, with an outlook on the applicability for clinical
trials.
PMID- 29634046
TI - Correction.
AB - [This corrects the article on p. 74 in vol. 106, PMID: 29339936.].
PMID- 29634045
TI - Correction: Post-pancreaticoduodenectomy hemorrhage: DSA diagnosis and
endovascular treatment.
AB - [This corrects the article DOI: 10.18632/oncotarget.17450.].
PMID- 29634047
TI - Correction: Subclinical magnesium deficiency: a principal driver of
cardiovascular disease and a public health crisis.
AB - [This corrects the article DOI: 10.1136/openhrt-2017-000668.][This corrects the
article DOI: 10.1136/openhrt-2017-000668.].
PMID- 29634049
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1080/2162402X.2017.1408750.].
PMID- 29634048
TI - Remote Patient Management for Home Dialysis Patients.
AB - Remote patient management (RPM) offers renal health care providers and patients
with end-stage kidney disease opportunities to embrace home dialysis therapies
with greater confidence and the potential to obtain better clinical outcomes.
Barriers and evidence required to increase adoption of RPM by the nephrology
community need to be clearly defined. Ten health care providers from specialties
including nephrology, cardiology, pediatrics, epidemiology, nursing, and health
informatics with experience in home dialysis and the use of RPM systems gathered
in Vienna, Austria to discuss opportunities for, barriers to, and system
requirements of RPM as it applies to the home dialysis patient. Although improved
outcomes and cost-effectiveness of RPM have been demonstrated in patients with
diabetes mellitus and heart disease, only observational data on RPM have been
gathered in patients on dialysis. The current review focused on RPM systems
currently in use, on how RPM should be integrated into future care, and on the
evidence needed for optimized implementation to improve clinical and economic
outcomes. Randomized controlled trials and/or large observational studies could
inform the most effective and economical use of RPM in home dialysis. These
studies are needed to establish the value of existing and/or future RPM models
among patients, policy makers, and health care providers.
PMID- 29634050
TI - Retraction Note to: Engineering Bacillus licheniformis as a thermophilic platform
for the production of l-lactic acid from lignocellulose-derived sugars.
AB - [This retracts the article DOI: 10.1186/s13068-017-0920-z.].
PMID- 29634051
TI - Identification and characterization of different tissues in blood vessel by
multiplexed fluorescence lifetimes.
AB - Herein, fluorescence lifetime imaging microscopy (FLIM) was used to directly
measure eosin fluorescence lifetimes from H&E-stained umbilical artery, and a
further utilization of eosin for high-content and multi-target analysis was
proposed for the first time. Smooth muscles, collagens, and elastic fibers can be
distinguished by eosin fluorescence lifetimes (P < 0.001). Erythrocytes, smooth
muscles, elastic fibers, and type I and III collagen from the H&E-stained
umbilical artery can be simultaneously identified by multiplexed fluorescence
lifetimes of eosin. Use of eosin and lifetime-based separation is a potential
method to simplify the special staining for clinicopathologic examination.
Multiplexed eosin fluorescence lifetimes may be a newly developed method that can
directly determine the relative content of elastic fiber and collagens from the
H&E-stained sections. FLIM may have potential applications as an assisted tool in
the assessment of the severity and complexity of cardiovascular diseases.
PMID- 29634052
TI - Fabrication of paper-based analytical devices optimized by central composite
design.
AB - In this work, an application of a design of experiments approach for the
optimization of an isoniazid assay on a single-area inkjet-printed paper-based
analytical device (PAD) is described. For this purpose, a central composite
design was used for evaluation of the effect of device geometry and amount of
assay reagents on the efficiency of the proposed device. The factors of interest
were printed length, width, and sampling volume as factors related to device
geometry, and amounts of the assay reagents polyvinyl alcohol (PVA), NH4OH, and
AgNO3. Deposition of the assay reagents was performed by a thermal inkjet
printer. The colorimetric assay mechanism of this device is based on the chemical
interaction of isoniazid, ammonium hydroxide, and PVA with silver ions to induce
the formation of yellow silver nanoparticles (AgNPs). The in situ-formed AgNPs
can be easily detected by the naked eye or with a simple flat-bed scanner. Under
optimal conditions, the calibration curve was linear in the isoniazid
concentration range 0.03-10 mmol L-1 with a relative standard deviation of 3.4%
(n = 5 for determination of 1.0 mmol L-1). Finally, the application of the
proposed device for isoniazid determination in pharmaceutical preparations
produced satisfactory results.
PMID- 29634053
TI - Correction: Selenium effects on the metabolism of a Se-metabolizing Lactobacillus
reuteri: analysis of envelope-enriched and extracellular proteomes.
AB - Correction for 'Selenium effects on the metabolism of a Se-metabolizing
Lactobacillus reuteri: analysis of envelope-enriched and extracellular proteomes'
by E. Mangiapane et al., Mol. BioSyst., 2014, 10, 1272-1280.
PMID- 29634054
TI - Networks of micronized fat crystals grown under static conditions.
AB - Dispersing micronized fat crystals (MFCs) in oil is a novel route to largely
decouple fat crystallisation and network formation and thus to simplify the
manufacture of fat-continuous food products. MFCs dispersed in oil form a weak
interaction network organized by crystal aggregates in a continuous net of
crystalline nanoplatelets. The rough surface of MFC nanoplatelets hampers
stacking into one-dimensional aggregates, which explains the high mass fractal
dimensions of the networks formed in MFC dispersions. Applying shear does not
have a significant effect on the fractal dimensions of MFC networks, and MFC
aggregates in the range of 5-10 MUm remain intact. However, shear leads to a
significant loss of storage modulus and yield stress over a time frame of an
hour. This can be attributed to irreversible disruption of the continuous net of
nanoplatelets. Rheo-SAXS revealed that shear releases nanoplatelets from the
continuous net, which subsequently align in the shear field and undergo rapid
recrystallisation. The release of thin and metastable nanoplatelets from the weak
link network bears relevance for simplified and more effective manufacturing of
emulsified food products by effectively decoupling crystallisation, network
formation and emulsification.
PMID- 29634055
TI - Confined co-assembly of AB/BC diblock copolymer blends under 3D soft confinement.
AB - Compared to synthesizing a new block copolymer, blending of two types of block
copolymers or a block copolymer and a homopolymer is a simple yet effective
approach to create new self-assembled nanostructures. Here, we apply Monte Carlo
(MC) simulations to mimic the co-assembly of AB/BC diblock copolymer blends
within a three-dimensional (3D) soft confined space, which corresponds to the co
assembly confined in an emulsion droplet in experiment. The confined co
assemblies of four types of block copolymer blends at different block ratios,
i.e., A8B8/B8C8, A6B10/B10C6, A12B4/B4C12 and A12B4/B10C6, are investigated by MC
simulations. The simulation results reveal that the ratio of different types of
blocks and the polymer-solvent interactions between the different blocks and the
solvent determine the final self-assembled nanostructures. By tailoring these two
controlling parameters, we not only reproduced some classic nanostructures, i.e.,
pupa-, onion-, and bud-like particles, but also predicted some unconventional
nanostructures, such as patch-, Janus-, peanut-, disc- and snowman-like particles
via MC simulations.
PMID- 29634056
TI - Noncompetitive homogeneous immunodetection of small molecules based on beta
glucuronidase complementation.
AB - In this study, a novel noncompetitive homogeneous immunoassay for antigen
detection was developed. We utilized beta-glucuronidase (GUS), a homotetrameric
enzyme, the assembly of all of whose subunits is necessary to attain its
activity. By using a mutant GUS (GUSm), wherein the dimerization of dimers, which
is a rate-limiting step, can be effectively inhibited by a set of interface
mutations, we attempted to create a biosensor for detecting various molecules.
Usually, the affinity between the two variable region domains (VH and VL) of an
antibody, especially for a small molecule, is relatively low. However, in the
presence of an antigen, the affinity increases so that they bind tighter to each
other. A pair of fusion proteins, comprising the VH and VL regions of the
antibody as the detector tethered to a GUSm subunit as the reporter, was
constructed to detect antigen 4-hydroxy-3-nitrophenylacetyl (NP) and bone Gla
protein (BGP) through GUS activity measurement. Colorimetric and fluorescence
assays could detect NP, 5-iodo-NP, and BGP within 1 h without separation steps
and with a higher signal/background ratio than conventional ELISA. The
instantaneous response after simple mixing of the components makes this system
convenient and high-throughput. The system could be effective for the analyses of
various small molecules in environmental and clinical settings.
PMID- 29634057
TI - Formal synthesis of cis-solamin: acid-catalyzed one-step construction of 2,5
disubstituted tetrahydrofuran.
AB - A divergent strategy has been used for the concise and efficient enantioselective
formal synthesis of Annonaceous acetogenin cis-solamin. Our synthetic strategy
comprises concise preparation of the diepoxyester via an 11-membered silaketal
constructed by ring-closing metathesis after the dimerization of chiral epoxides,
and uses an acid-catalyzed tandem intramolecular SN2-like reaction to construct
the threo-cis-threo configuration of the tetrahydrofuran-diol moiety.
PMID- 29634058
TI - A highly efficient dual-diazonium reagent for protein crosslinking and
construction of a virus-based gel.
AB - A new bench-stable reagent with double diazonium sites was designed and
synthesized for protein crosslinking. Based on the highly efficient diazonium-Tyr
coupling reaction, a direct mixture of the reagent and tobacco mosaic virus led
to the formation of a new hydrogel, which could be degraded by chemicals and
could be used to encapsulate small molecules for sustained release. Because plant
viruses exhibit many chemical characteristics like protein labelling and nucleic
acid packaging, the virus-based hydrogel will have large chemical space for
further functionalization. Besides, this dual-diazonium reagent should be a
generally useful crosslinker for chemical biology and biomaterials.
PMID- 29634059
TI - Decomposition of methanol-d4 on Au-Rh bimetallic nanoclusters on a thin film of
Al2O3/NiAl(100).
AB - The decomposition of methanol-d4 that was adsorbed on Au-Rh bimetallic
nanoclusters grown by the sequential deposition of Au and Rh vapors onto ordered
thin-film Al2O3/NiAl(100) at 300 K, occurred by means of dehydrogenation and
primarily on the surface Rh. Nevertheless, the surface Rh atoms were not equally
reactive; their reactivity altered with both structural and electronic effects
arising from the alloying. The Au deposited on Rh clusters decorated the surface
and deactivated Rh by not only directly obstructing them but also by neighboring
them. As the initially incorporated Au tended to aggregate around reactive low
coordinated Rh atoms, such as corner Rh atoms, the reactivity of the cluster,
indicated by the CO and deuterium (D2) produced per surface Rh, decreased
markedly. In contrast, the Rh deposited on Au clusters promoted their reactivity.
The reactivity was sharply enhanced by a few incorporated Rh atoms, as they
preferentially decorated the edge Au atoms, resulting in their lower
coordination, more positive charge, higher energetic d-band centers, and high
reactivity. On the reactive Rh, the scission of the O-D bond in the initial
dehydrogenation of methanol-d4 became more preferential than the competing
desorption. The further incorporated Rh failed to promote the reactivity, but the
clusters remained more reactive than those formed by Rh clusters incorporating Au
as their structuring involved an active atomic segregation that yielded more low
coordinated and reactive surface Rh.
PMID- 29634060
TI - Synthesis, characterization, and cytotoxic properties of mono- and di-nuclear
cobalt(ii)-polypyridyl complexes.
AB - We report the synthesis and characterisation of mono- and di-nuclear cobalt(ii)
complexes (1-3) containing L1, a polypyridyl ligand with pyrazole moieties. DNA
binding studies suggest that the mono-nuclear complex, 1, binds to DNA via the
grooves prior to inducing oxidative DNA cleavage whereas the larger di-nuclear
complexes, 2 and 3, bind to DNA via the grooves and through intercalation prior
to inducing oxidative DNA cleavage. The cobalt(ii) complexes display micromolar
potency towards U2OS (bone osteosarcoma), HepG2 (liver hepatocellular carcinoma),
and GM05757 (normal human fibroblast) cells, comparable to clinically used
platinum agents, cisplatin and carboplatin. The cellular mechanism of action
studies show that the most effective cobalt(ii) complex, 2, enters U2OS cells,
penetrates the nucleus, induces genomic DNA damage, and triggers caspase
dependent apoptosis in a p53-independent manner. This study highlights the
potential of di-nuclear cobalt(ii) complexes as artificial oxidative
metallonucleases and tangible cancer cell-potent agents.
PMID- 29634061
TI - Direct growth of 2D nickel hydroxide nanosheets intercalated with polyoxovanadate
anions as a binder-free supercapacitor electrode.
AB - A mesoporous nanoplate network of two-dimensional (2D) layered nickel hydroxide
Ni(OH)2 intercalated with polyoxovanadate anions (Ni(OH)2-POV) was built using a
chemical solution deposition method. This approach will provide high flexibility
for controlling the chemical composition and the pore structure of the resulting
Ni(OH)2-POV nanohybrids. The layer-by-layer ordered growth of the Ni(OH)2-POV is
demonstrated by powder X-ray diffraction and cross-sectional high-resolution
transmission electron microscopy. The random growth of the intercalated Ni(OH)2
POV nanohybrids leads to the formation of an interconnected network morphology
with a highly porous stacking structure whose porosity is controlled by changing
the ratio of Ni(OH)2 and POV. The lateral size and thickness of the Ni(OH)2-POV
nanoplates are ~400 nm and from ~5 nm to 7 nm, respectively. The obtained thin
films are highly active electrochemical capacitor electrodes with a maximum
specific capacity of 1440 F g-1 at a current density of 1 A g-1, and they
withstand up to 2000 cycles with a capacity retention of 85%. The superior
electrochemical performance of the Ni(OH)2-POV nanohybrids is attributed to the
expanded mesoporous surface area and the intercalation of the POV anions. The
experimental findings highlight the outstanding electrochemical functionality of
the 2D Ni(OH)2-POV nanoplate network that will provide a facile route for the
synthesis of low-dimensional hybrid nanomaterials for a highly active
supercapacitor electrode.
PMID- 29634062
TI - Expanding antibiotic chemical space around the nidulin pharmacophore.
AB - Reinvestigating antibiotic scaffolds that were identified during the Golden Age
of antibiotic discovery, but have long since been "forgotten", has proven to be
an effective strategy for delivering next-generation antibiotics capable of
combatting multidrug-resistant superbugs. In this study, we have revisited the
trichloro-substituted depsidone, nidulin, as a selective and unexploited
antibiotic lead produced by the fungus Aspergillus unguis. Manipulation of halide
ion concentration proved to be a powerful tool for modulating secondary
metabolite production and triggering quiescent pathways in A. unguis.
Supplementation of the culture media with chloride resulted in a shift in co
metabolite profile to dichlorounguinols and nornidulin at the expense of the non
chlorinated parent, unguinol. Surprisingly, only marginal enhancement of nidulin
was observed, suggesting O-methylation may be rate-limiting. Similarly,
supplementation of the media with bromide led to the production of the
corresponding bromo-analogues, but also resulted in a novel family of depsides,
the unguidepsides. Unexpectedly, depletion of chloride from the media halted the
biosynthesis of the non-chlorinated parent compound, unguinol, and redirected
biosynthesis to a novel family of ring-opened analogues, the unguinolic acids.
Supplementation of the media with a range of unnatural salicylic acids failed to
yield the corresponding nidulin analogues, suggesting the compounds may be
biosynthesised by a single polyketide synthase. In total, 12 new and 11
previously reported nidulin analogues were isolated, characterised and assayed
for in vitro activity against a panel of bacteria, fungi and mammalian cells,
providing a comprehensive structure-activity profile for the nidulin scaffold.
PMID- 29634063
TI - A simple and sensitive detection of small molecule-protein interactions based on
terminal protection-mediated exponential strand displacement amplification.
AB - We herein describe a simple and sensitive strategy to detect a small molecule
protein interaction based on terminal protection-mediated exponential strand
displacement amplification (eSDA). In principle, the small molecule linked to a
DNA probe protects the DNA probe against the exonuclease I-catalyzed degradation
after its binding to the corresponding target protein. The protected DNA probe
then serves as a template to promote eSDA. Consequently, a large number of
duplexes are produced, which leads to a high fluorescence from a double-stranded
DNA specific fluorescent dye, SYBR Green I. As a model system to prove this
sensing strategy, the interaction between biotin and streptavidin (SA), which is
known to be the strongest among the non-covalent biological interactions, was
selected and its analytical performance was thoroughly investigated. As a result,
SA was sensitively detected with the limit of detection of 16 pM. In addition,
the practical applicability of this method was successfully demonstrated by
reliably determining the SA in human serum.
PMID- 29634064
TI - Ca-Tetrafluorophthalate and Sr-isophthalate: mechanochemical synthesis and
characterization in comparison with other Ca-and Sr-coordination polymers.
AB - New Ca- and Sr-based coordination polymers (CPs) were mechanochemically
synthesized by milling metal hydroxide samples (M = Ca, Sr) with
tetrafluorophthalic acid (H2oBDC-F4) and isophthalic acid (H2mBDC). [Ca(oBDC
F4)(H2O)2] (1) exhibits a small surface area which is slightly increased after
removing the crystal water. On the other hand, the hydrated sample of the
nonfluorinated [Sr(mBDC)(H2O)3.4] (2) reveals a small BET surface area which
remains unchanged even after the release of crystal water via thermal treatment.
The new compounds 1 and 2 are similar to their Sr- and Ca-analogs, respectively.
These findings are confirmed by thermal analysis, MAS NMR, and ATR-IR
measurements, in addition to the Le Bail refinements for the measured powder X
ray data of 1 and 2. Ca- and Sr-CPs based on perfluorinated dicarboxylic systems
and their nonfluorinated analogs diverse in structural and chemical properties
depending on the geometries of the organic linkers and the presence of fluorine
atoms. The fluorinations of organic ligands lead to the formation of fluorinated
CPs with higher dimensionalities compared to their nonfluorinated counterparts.
Conversely, the thermal stabilities of the latter are higher than those of the
fluorinated CPs.
PMID- 29634065
TI - Super electron donor-mediated reductive transformation of nitrobenzenes: a novel
strategy to synthesize azobenzenes and phenazines.
AB - The transformation of nitrobenzenes into azobenzenes by pyridine-derived super
electron donor 2 is described. This method provides an efficient synthesis of
azobenzenes because of not requiring the use of expensive transition-metals,
toxic or flammable reagents, or harsh conditions. Moreover, when using 2
fluoronitrobenzenes as substrates, phenazines were found to be obtained. The
process affords a novel synthesis of phenazines.
PMID- 29634066
TI - Design and synthesis of novel monoterpenoid indole alkaloid-like analogues and
their antitumour activities in vitro.
AB - A biomimetic synthetic strategy and combinatorial chemistry were used to
synthesize 34 novel monoterpenoid indole alkaloid (MIA) analogues, and their
cytotoxic activities against five cancer cell lines (SW-480, A-549, HL-60, SMMC
7721, and MCF-7) were determined using the 3-(4,5-dimethylthiazol-2-yl)-5-(3
carboxymethoxyphenyl)-2-(4-sulfophenyl)-2H-tetrazolium (MTS) assay. Fourteen of
these analogues (7, 16-18, and 23-32) showed significantly greater inhibition of
tumour cell proliferation than cisplatin. Compounds 17 and 18 showed the highest
cytotoxic activity against the HL-60 cell line with IC50 values of 0.90 MUM and
0.43 MUM, respectively. Compound 18 slightly induced apoptosis and arrested the
cell cycle in SW-480, A-549, HL-60, SMMC-7721, and MCF-7 cells. Analysis of the
primary structure-activity relationships reveals that the introduction of
different substituent groups at the C-3, C-5, and C-6 positions of the indole
moiety and the C-10 position of the genipin moiety might have an effect on the
antitumour activity of the resulting compounds.
PMID- 29634068
TI - ?
PMID- 29634067
TI - Hierarchically structured iron-doped silver (Ag-Fe) lotus flowers for an
efficient oxygen reduction reaction.
AB - The development of cheap and efficient electrocatalysts for the oxygen reduction
reaction (ORR) is vital for the immediate commercialization of fuel cells which
are still limited by the high cost and low performance of the utilized commercial
Pt-based electrodes. As a promising alternative, this study reports on the
synthesis of hierarchical iron-doped silver lotus flowers (AgFelotus) by a facile
chemical procedure as robust and efficient ORR electrocatalysts. Succinic acid
was used as a structure directing agent to tune the morphology of undoped and
iron-doped silver particles. In the absence of succinic acid, ball-like silver
particles were obtained, while using 2 mM succinic acid led to peony-like flower
structures. The doping of silver peony-flowers with iron resulted in lotus-like
flower structures with high electrocatalytic activity for ORR together with
outstanding tolerance against poisoning with various hydrocarbon (HC) impurities,
in situ generated during fuel cell operation, as well as different fuels from
anodic crossover. AgFelotus exhibited a superior ORR activity with more than 40
times higher stability than the commercial Pt/C catalyst in alkaline media. This
substantial performance enhancement is attributed to the unique lotus-like flower
structures providing more electroactive surface sites, in addition to the iron
dopants which facilitate ORR charge transfer.
PMID- 29634069
TI - ?
PMID- 29634070
TI - ?
PMID- 29634071
TI - [
One steroid injection in combination with HIV-medication resulted in a total
adrenal insufficiency
].
AB - This case report describes a woman living with HIV on treatment including
ritonavir-boosted darunavir, who suffered complete secondary adrenal
insufficiency after a single intra-articular injection of the corticosteroid
triamcinolone. There is a known pharmacological interaction between ritonavir and
those corticosteroids which are metabolised by the CYP3A4 pathway. This
interaction may lead to complete adrenal insufficiency, which is a life
threatening condition. Adrenal insufficiency must be promptly diagnosed and
hydrocortisone replacement started. People living with HIV should be on lifelong
antiretroviral treatment, and corticosteroids are common in the treatment of many
different conditions seen by various specialists. This case highlights that not
only physicians engaged in HIV treatment need to be aware of this important
interaction.
PMID- 29634073
TI - ?
PMID- 29634072
TI - [Treatment with metformin in type 2 diabetes mellitus - new routines when renal
function is reduced and in connection with administration of iodine contrast
media].
AB - Metformin is eliminated through glomerular filtration and tubular secretion in
the kidneys. New guidelines recommend use of metformin down to a GFR of 30 mL/min
under the condition that the dose is adjusted. As the risk of inducing lactic
acidosis is very low in connection with administration of iodine contrast media,
new recommendations in Sweden say that metformin must be stopped only when GFR is
below 45 mL/min. Determination of metformin levels in serum is useful to guide
therapeutic dose when GFR is low but also to confirm that lactic acidosis is
caused by metformin.
PMID- 29634074
TI - ?
PMID- 29634075
TI - ?
PMID- 29634076
TI - Surgically Treated Status Epilepticus due to Large Cortical Tuber and Long-Term
Follow-Up Results.
AB - We present a case of invasive monitoring of a patient while he was being
surgically treated in the status state. Our patient was a 27-year-old male who
was hospitalized for frequent seizures, which began after a head trauma at the
age of 3. Video electroencephalography was performed, and 25 clinical seizures
were observed in 24 h. Cranial magnetic resonance imaging revealed a T2
hyperintense and T1 hypointense lesion at the right frontal lobe and subependymal
nodule. For the purpose of invasive monitoring, subdural electrodes were placed
on the cortex surface via a right frontal craniotomy. The patient was reoperated,
and epileptic zone resection was performed. There was no sign of neurological
deficit. Histopathological examination revealed cortical tuber, and the patient
was scanned for tuberous sclerosis. There was no sign of tuberous sclerosis in
other organs. Our patient was diagnosed with tuberous sclerosis, cortical tuber,
subependymal nodule, epilepsy, and intermediate mental retardation. Radiological
diagnosis should also be considered. Cortical tuber can be confused with focal
cortical dysplasia. Finally, staged resection may be necessary for surgical
treatment in some cases.
PMID- 29634077
TI - The Predictor Role of the Aqueduct Cerebrospinal Fluid Flow on Endoscopic Third
Ventriculostomy: Explication on Assumption Physical Model.
AB - AIM: To evaluate the cerebrospinal fluid (CSF) flow dynamics in the aqueductus
sylvii of patients with obstructive hydrocephalus who underwent endoscopic third
ventriculostomy (ETV) and to predict ventriculostomy patency via aqueduct flow
measurements. MATERIAL AND METHODS: Twenty-four patients with obstructive
hydrocephalus caused by primary aqueduct stenosis who underwent ETV were included
in the study. All the patients underwent conventional and cine magnetic resonance
imaging before and after treatment. The flow of CSF in the aqueduct of Sylvius
and prepontine cistern was assessed, and the diameter of the third ventricle was
also measured. Increase in the aqueduct flow velocity after a successful ETV was
supported by the assumption physical model that highlights a possible mechanism
that explains the clinical findings. RESULTS: The flow pattern and velocity in
the prepontine cistern and aqueduct were normal in 17 out of 24 patients who
responded to ETV clinically. However, seven patients who did not respond to ETV
had an abnormal flow pattern in both the prepontine cistern and aqueduct.
CONCLUSION: The flow pattern in the aqueduct was normalised and velocity was
increased compared with those of preoperative values after a successful ETV. The
flow of CSF in the prepontine cistern is routinely used for ventriculostomy
patency assessment. In addition, aqueduct measurements may be useful in
predicting ventriculostomy patency. The physical model provides valuable insights
on a possible mechanism that affected the experimental data.
PMID- 29634078
TI - Is temporary proximal artery clipping in AVM surgery safe?
AB - AIM: Surgical excision of arteriovenous malformations (AVMs) still poses a
challenge, with uncontrolled bleeding and brain swelling being dreaded
intraoperative complications. The authors describe their experiences with
surgical excision of cerebral AVMs using temporary proximal artery clipping to
reduce intraoperative bleeding and excision time. MATERIAL AND METHODS: Temporary
proximal artery clipping was employed by the authors during surgery on 14
patients with cerebral AVMs in a public-sector institution. Seven AVMs were
situated in eloquent areas like the sensory, motor, and speech areas and the
other seven were located in non-eloquent areas. Four patients each were
classified as Spetzler grades 2 and 4 while three patients each were classified
as grades 3 and 5. A large craniotomy flap was fashioned to enable access to the
proximal feeding arteries along with the AVM. After wide dural opening, the
proximal feeding arteries were first approached. The proximal middle cerebral
artery was approached by opening the proximal sylvian cistern, an
interhemispheric approach was employed for the distal anterior cerebral artery,
and a subtemporal route was used for the P2 portion of the posterior cerebral
artery. After placing a temporary aneurysm clip on the proximal feeding artery,
excision of the AVM was performed, employing the basic principles of AVM
excision. RESULTS: Total excision of the AVM was achieved in all cases. There
were no instances of cerebral infarction or neurological deficits attributable to
temporary clipping of the proximal artery. There was no mortality in this series.
CONCLUSION: Temporary proximal artery clipping helps in surgical excision by
reducing intraoperative bleeding and resection time.
PMID- 29634079
TI - Benign-appearing Intraspinal Malignant Peripheral Nerve Sheath Tumors: Treatments
and Outcomes of 14 Consecutive Patients.
AB - AIM: To investigate the clinical characteristics and prognostic factors that may
influence outcomes of patients undergoing benignappearing intraspinal malignant
peripheral nerve sheath tumors (MPNSTs). MATERIAL AND METHODS: This study
included a total of 14 consecutive patients who were diagnosed with benign
intraspinal tumors firstly and confirmed as intraspinal MPNSTs by pathology. The
univariate analyses of various clinical factors were performed to identify
variables that could predict prognosis. Endpoints were mortality and local
recurrence. The survival rate was estimated using the Kaplan?Meier method, and
differences were analyzed by carrying out the log-rank test. In addition, factors
with p values of ?0.05 were considered statistically significant. RESULTS: Benign
appearing intraspinal MPNSTs showed some different clinical features compared
with spinal MPNSTs and intraspinal benign tumors. The present study found that
age at diagnosis, Neurofibromatosis type 1 (NF-1) history, tumor sites, malignant
grade, Ki-67 and tumor resection method might be factors closely associated with
recurrence and survival of intraspinal MPNSTs patients. CONCLUSION: Benign
appearing intraspinal MPNSTs are relatively rare tumors with a better overall
prognosis compared with common spinal MPNSTs. A lot of factors can affect
patients" survival and local recurrence. These prognostic factors still require
further confirmation with further studies including more patients.
PMID- 29634080
TI - Simpson Grade 2 Resection and Tumor Recurrence in Ventrally Located Spinal
Meningiomas.
AB - AIM: To evaluate postoperative clinical outcome and recurrence rates in cases
with ventrally located spinal meningiomas who underwent Simpson grade 2
resection. MATERIAL AND METHODS: We evaluated eight cases (six females and two
males; age, 28?86 years; mean age, 60 years) with ventrally located spinal
meningioma that underwent surgical treatment in our clinic. The tumors were
located in the thoracic region in seven cases and in the cervical region in one
case. All cases underwent surgery, which was performed using a traditional
posterior midline approach. Laminectomy was unilaterally extended. The case with
cervically located tumor differed from the other cases in that a skull clamp was
used and laminoplasty was performed. RESULTS: The tumor was completely resected
in all cases. Furthermore, in all cases, the tumor nidus that caused the
thickening of the dura was cauterized using bipolar cautery and peeled off
(Simpson grade 2). The pathological examination of the tumor specimens revealed
typical grade 1 meningioma in all cases (fibropsammomatous type, n=1;
meningothelial type, n=3; and psammomatous type, n=4). Recurrence was not
observed in any of the cases during the follow-up. None of the cases demonstrated
postoperative neurological deterioration. At 1 month postoperatively, pain and
motor deficit completely improved in all cases, with remarkable improvements of
motor deficits in the early postoperative period. CONCLUSION: Simpson grade 2
resection in ventrally located spinal meningiomas results in low complication
rates and is satisfactory in terms of recurrence.
PMID- 29634081
TI - Assessing Aneurysm Obliteration and Neck Remnants in 225 Clipped Aneurysms Using
Indocyanine Green Video Angiography, Micro-Doppler Ultrasonography and
Postoperative Digital Subtraction Angiography.
AB - AIM: To present our experience of 225 clipped aneurysms in 196 patients to
compare indocyanine green video angiography (ICGVA), micro-Doppler
ultrasonography (MDUSG), and postoperative digital subtraction angiography (DSA)
in terms of determining aneurysm obliteration, neck remnants, and parent artery
patency. MATERIAL AND METHODS: This retrospective study included 196 patients
(108 female and 88 male patients) treated between 2013 and 2016. In all cases,
aneurysm neck remnants and vessel patency were assessed using ICG-VA and 16-Hz
MDUSG. DSA was performed in every case postoperatively within the first 5 days.
RESULTS: The mean patient age was 55.8 years (range, 31-80 years), and the mean
follow-up duration was 25 months (range, 2-48 months). Of the 225 clipped
aneurysms, 86 were located in the anterior cerebral artery and its branches, 103
in the bifurcation of the middle cerebral artery (MCA) and the branches of the
MCA, 34 in the internal cerebral artery and its branches, 1 in the posterior
inferior cerebellar artery, and 1 at the basilar apex. We observed 2 neck
remnants (0.8%), 2 parent/perforating artery occlusions (0.8%), and 2 residual
aneurysm fillings (0.8%). There were no striking differences among the assessed
methods. CONCLUSION: ICG-VA, MDUSG, and dome puncturing are all useful techniques
in aneurysm surgery for assessing complete obliteration of the aneurysm. In our
experience, all the 3 tools are complementary to each other, and none of them is
superior to the others. We recommend the use of all 3 tools to obtain a favorable
outcome.
PMID- 29634082
TI - The Preventative Effect of alpha-Tocopherol on Spinal Epidural Fibrosis After
Laminectomy in a Rat Model.
AB - AIM: Postlaminectomy epidural fibrosis is a major source of decreased quality of
life after spinal surgery. Prevention of epidural fibrosis seems a logical way
for avoiding of some uneventful effects and reoperation. Many chemicals used for
prevention of epidural fibrosis up to this day. The aim of this study is to
assess efficacy of alpha-tocopherol as a topical antifibrotic agent on epidural
fibrosis for lumbar laminectomy procedures. MATERIAL AND METHODS: Thirty-two
adults rats assigned into 4 groups, with 8 rats in each group were used in this
study. Standard laminectomy was performed for every rat. The rats in group I no
treatment after laminectomy was administered. The rats in Group II gelatin sponge
was soaked alpha-tocopherol. The rats in Group III saline soaked absorbable
gelatin sponge used and left on dura mater after laminectomy. And the rats in
Group IV, only alpha-tocopherol were used on the dura mater after laminectomy.
The an-bloc vertebral columns of the rats were removed after sacrificing between
T10 and L5 in 30th postoperative day. Specimens were evaluated for degree of
fibrosis and arachnoidal invasions. RESULTS: Statistical differences between the
mean of fibrosis grades of groups were significant by a p value of 0.011. Between
the mean of arachnoidal invasion of groups were not statistically significant (p
0.05). CONCLUSION: This study proven that topical application of alpha-tocopherol
could effectively reduce the development of epidural fibrosis in an experimental
laminectomy model.
PMID- 29634083
TI - Risperidone for psychosis-induced aggression or agitation (rapid
tranquillisation).
AB - BACKGROUND: Aggressive, agitated or violent behaviour due to psychosis
constitutes an emergency psychiatric treatment where fast-acting interventions
are required. Risperidone is a widely accessible antipsychotic that can be used
to manage psychosis-induced aggression or agitation. OBJECTIVES: To examine
whether oral risperidone alone is an effective treatment for psychosis-induced
aggression or agitation. SEARCH METHODS: We searched the Cochrane Schizophrenia
Group's Study-Based Register of Trials (up to April 2017); this register is
compiled by systematic searches of major resources (including AMED, BIOSIS
CINAHL, Embase, MEDLINE, PsycINFO, PubMed, and registries of clinical trials) and
their monthly updates, handsearches, grey literature, and conference proceedings.
There are no language, date, document type, or publication status limitations for
inclusion of records into the register. SELECTION CRITERIA: Randomised controlled
trials (RCTs) comparing rapid use of risperidone and other drugs, combinations of
drugs or placebo for people exhibiting aggression or agitation (or both) thought
to be due to psychosis. DATA COLLECTION AND ANALYSIS: We independently inspected
all citations from searches, identified relevant abstracts, and independently
extracted data from all included studies. For binary data we calculated risk
ratio (RR) and for continuous data we calculated mean difference (MD), all with
95% confidence intervals (CI) and used a fixed-effect model. We assessed risk of
bias for the included studies and used the GRADE approach to produce a 'Summary
of findings' tables. MAIN RESULTS: The review now contains data from nine trials
(total n = 582) reporting on five comparisons. Due to risk of bias, small size of
trials, indirectness of outcome measures and a paucity of investigated and
reported 'pragmatic' outcomes, evidence was graded as very-low quality. None of
the included studies provided useable data on our primary outcome
'tranquillisation or asleep' by 30 minutes, repeated need for tranquillisation or
any economic outcomes. Data were available for our other main outcomes of
agitation or aggression, needing restraint, and incidence of adverse
effects.Risperidone versus haloperidol (up to 24 hours follow-up)For the outcome,
specific behaviour - agitation, no clear difference was found between risperidone
and haloperidol in terms of efficacy, measured as at least 50% reduction in the
Positive and Negative Syndrome Scale - Psychotic Agitation Sub-score (PANSS-PAS)
(RR 1.04, 95% CI 0.86 to 1.26; participants = 124; studies = 1; very low-quality
evidence) and no effect was observed for need to use restraints (RR 2.00, 95% CI
0.43 to 9.21; participants = 28; studies = 1; very low-quality evidence).
Incidence of adverse effects was similar between treatment groups (RR 0.94, 95%
CI 0.54 to 1.66; participants = 124; studies = 1; very low-quality
evidence).Risperidone versus olanzapineOne small trial (n = 29) reported useable
data for the comparison risperidone versus olanzapine. No effect was observed for
agitation measured as PANSS-PAS endpoint score at two hours (MD 2.50, 95% CI
2.46 to 7.46; very low-quality evidence); need to use restraints at four days (RR
1.43, 95% CI 0.39 to 5.28; very-low quality evidence); specific movement
disorders measured as Behavioural Activity Rating Scale (BARS) endpoint score at
four days (MD 0.20, 95% CI -0.43 to 0.83; very low-quality evidence).Risperidone
versus quetiapineOne trial reported (n = 40) useable data for the comparison
risperidone versus quetiapine. Aggression was measured using the Modified Overt
Aggression Scale (MOAS) endpoint score at two weeks. A clear difference,
favouring quetiapine was observed (MD 1.80, 95% CI 0.20 to 3.40; very-low quality
evidence). No evidence of a difference between treatment groups could be observed
for incidence of akathisia after 24 hours (RR 1.67, 95% CI 0.46 to 6.06; very low
quality evidence). Two participants allocated to risperidone and one allocated to
quetiapine experienced myocardial ischaemia during the trial.Risperidone versus
risperidone + oxcarbazepineOne trial (n = 68) measured agitation using the
Positive and Negative Syndrome Scale - Excited Component.(PANSS-EC) endpoint
score and found a clear difference, favouring the combination treatment at one
week (MD 2.70, 95% CI 0.42 to 4.98; very low-quality evidence), but no effect was
observed for global state using Clinical Global Impression - Improvement (CGI-I)
endpoint score at one week (MD -0.20, 95% CI -0.61 to 0.21; very-low quality
evidence). Incidence of extrapyramidal symptoms after 24 hours was similar
between treatment groups (RR 1.59, 95% CI 0.49 to 5.14; very-low quality
evidence).Risperidone versus risperidone + valproic acidTwo trials compared
risperidone with a combination of risperidone plus valproic acid. No clear
differences between the treatment groups were observed for aggression (MOAS
endpoint score at three days: MD 1.07, 95% CI -0.20 to 2.34; participants = 54;
studies = 1; very low-quality evidence) or incidence of akathisia after 24 hours:
RR 0.75, 95% CI 0.28 to 2.03; participants = 122; studies = 2; very low-quality
evidence). AUTHORS' CONCLUSIONS: Overall, results for the main outcomes show no
real effect for risperidone. The only data available for use in this review are
from nine under-sampled trials and the evidence available is of very low quality.
This casts uncertainty on the role of risperidone in rapid tranquillisation for
people with psychosis-induced aggression. High-quality pragmatic RCTs are
feasible and are needed before clear recommendations can be drawn on the use of
risperidone for psychosis-induced aggression or agitation.
PMID- 29634084
TI - Out of the shadows and 6000 reasons to celebrate: An update from FIGO's fistula
surgery training initiative.
AB - Obstetric fistula is a devastating childbirth injury caused by unrelieved
obstructed labor. Obstetric fistula leads to chronic incontinence and, in most
cases, significant physical and emotional suffering. The condition continues to
blight the lives of 1-2 million women in low-resource settings, with 50 000-100
000 new cases each year adding to the backlog. A trained, skilled fistula surgeon
is essential to repair an obstetric fistula; however, owing to a global shortage
of these surgeons, few women are able to receive life-restoring treatment. In
2011, to address the treatment gap, FIGO and partners released the Global
Competency-Based Fistula Surgery Training Manual, the first standardized
curriculum to train fistula surgeons. To increase the number of fistula surgeons,
the FIGO Fistula Surgery Training Initiative was launched in 2012, and FIGO
Fellows started to enter the program to train as fistula surgeons. Following a
funding boost in 2014, the initiative has grown considerably. With 52 fellows
involved and a new Expert Advisory Group in place, the program is achieving major
milestones, with a record-breaking number of fistula repairs performed by FIGO
Fellows in 2017, bringing the total number of repairs since the start of the
project to more than 6000.
PMID- 29634085
TI - Binge Drinking Above and Below Twice the Adolescent Thresholds and Health-Risk
Behaviors.
AB - BACKGROUND: Underage drinking has been associated with health-risk behaviors:
unintentional and unprotected sex; physical and sexual assault; suicide;
homicide; traffic and other unintentional injuries; and overdoses. Five drinks
consumed over 2 hours by adult males and 4 drinks by adult females typically
produce blood alcohol levels (BALs) of >=0.08%, which the National Institute on
Alcohol Abuse and Alcoholism considers binge drinking. Being smaller, young
adolescents can reach adult binge-drinking BALs of >=0.08% with fewer drinks.
Previous research indicates boys ages 9 to 13 would reach >=0.08% with 3 drinks,
4 drinks at ages 14 to 15, and 5 drinks at ages >=16. For girls, >=0.08% is
reached with >=3 drinks at ages 9 to 17 and >=4 drinks at ages >=18. This study
explores whether, among a national sample of high school students, adolescent
binge drinking at >=twice versus =twice and 9% =twice versus = 25 kg/m2 . Intervention participants attended 6 months of twice
weekly exercise, nutrition, and heart health classes (48 total) that included
individual-, social-, and environment-level components. An education-only control
program included didactic healthy lifestyle classes once a month (six total). The
primary outcome measures were change in BMI and weight. RESULTS: Within-group and
between-group multivariate analyses revealed that only intervention participants
decreased BMI (-0.85 units; 95% CI: -1.32 to -0.39; P = 0.001) and weight (-2.24
kg; 95% CI: -3.49 to -0.99; P = 0.002). Compared with controls, intervention
participants decreased BMI (difference: -0.71 units; 95% CI: -1.35 to -0.08; P =
0.03) and weight (1.85 kg; 95% CI: -3.55 to -0.16; P = 0.03) and improved C
reactive protein (difference: -1.15 mg/L; 95% CI: -2.16 to -0.15; P = 0.03) and
Simple 7, a composite CVD risk score (difference: 0.67; 95% CI: 0.14 to 1.21; P =
0.01). Cholesterol decreased among controls but increased in the intervention
group (-7.85 vs. 3.92 mg/dL; difference: 11.77; 95% CI: 0.57 to 22.96; P = 0.04).
CONCLUSIONS: The multilevel intervention demonstrated modest but superior and
meaningful improvements in BMI and other CVD risk factors compared with the
control program.
PMID- 29634088
TI - Annual clinical updates in hematological malignancies: a continuing medical
education series.
PMID- 29634087
TI - Magnetic fibrinolysis: putting the therapeutic wheels in a corkscrew motion.
PMID- 29634089
TI - Masked polycythemia Vera (mPV): Results of an international study.
PMID- 29634090
TI - Hodgkin lymphoma: 2018 update on diagnosis, risk-stratification, and management.
AB - DISEASE OVERVIEW: Hodgkin lymphoma (HL) is an uncommon B-cell lymphoid malignancy
affecting 8500 new patients annually and representing approximately 10.2% of all
lymphomas in the United States. DIAGNOSIS: HL is composed of two distinct disease
entities: classical HL and nodular lymphocyte predominant HL. Nodular sclerosis,
mixed cellularity, lymphocyte depletion, and lymphocyte-rich HL are subgroups of
classical HL. RISK STRATIFICATION: An accurate assessment of the stage of disease
in patients with HL is critical for the selection of the appropriate therapy.
Prognostic models that identify patients at low or high risk for recurrence, as
well as the response to therapy as determined by positron emission tomography
scan, are used to optimize therapy. RISK-ADAPTED THERAPY: Initial therapy for HL
patients is based on the histology of the disease, the anatomical stage and the
presence of poor prognostic features. Patients with early stage disease are
typically treated with combined modality strategies utilizing abbreviated courses
of combination chemotherapy followed by involved-field radiation therapy, while
those with advanced stage disease receive a longer course of chemotherapy often
without radiation therapy. Newer agents including brentuximab vedotin are now
being incorporated into frontline therapy and these new combinations are becoming
a standard of care. MANAGEMENT OF RELAPSED/REFRACTORY DISEASE: High-dose
chemotherapy (HDCT) followed by an autologous stem cell transplant (ASCT) is the
standard of care for most patients who relapse following initial therapy. For
patients who fail HDCT with ASCT, brentuximab vedotin, PD-1 blockade,
nonmyeloablative allogeneic transplant or participation in a clinical trial
should be considered.
PMID- 29634091
TI - Prenatal screening for atypical chromosomal abnormalities: past or future?
PMID- 29634092
TI - Re: First-trimester risk assessment based on ultrasound and cell-free DNA vs
combined screening: a randomized controlled trial. K. O. Kagan, R. Sroka, J.
Sonek, H. Abele, K. Luthgens, M. Schmid, P. Wagner, S. Brucker, D. Wallwiener and
M. Hoopmann. Ultrasound Obstet Gynecol 2018; 51: 437-444.
PMID- 29634093
TI - PELLETS AND PELLETIZATION: EMERGING TRENDS IN THE PHARMA INDUSTRY.
AB - The present time is considered as an era of advancements in drug delivery
systems. Different novel approaches are under investigation that range from
uniparticulate to multi particulate system, macro to micro and nano particulate
systems. Pelletization is one of the novel drug delivery technique that provides
an effective way to deliver the drug in modified pattern. It is advantageous in
providing site specific delivery of the drug. Drugs with unpleasant taste, poor
bioavailability and short biological half-life can be delivered efficiently
through pellets. Their reduced size makes them more valuable as compared to the
conventional drug deliv- ery system. Different techniques are used to fabricate
the pellets such as extrusion and spheronization, hot melt extrusion, powder
layering, suspension or solution layering, freeze pelletization and pelletization
by direct compression method. Various natural polymers including xanthan gum,
guar gum, tragacanth and gum acacia, semisynthetic polymers like cellulose
derivatives, synthetic polymers like derivatives of acrylamides, can be used in
pellets formulation. Information provided in this review is collected from
various national and intemational research articles, review articles and
literature available in the books. The purpose of the current review is to
discuss pellets, their characterizations, different techniques of pelletization
and the polymers with potential of being suitable for pellets formulation.
PMID- 29634094
TI - VITAMIN D IN ALZHEIMER'S DISEASE - PROPHYLAXIS OR THERAPY?.
AB - The pleiotropism of vitamin D is due to the presence of vitamin D receptor in the
cells of nearly all tissues and organs within the human body, including the CNS.
Multiple evidence is available to support neuroprotective properties of vitamin
D. These include, for example, the presence of 25(OH)D-lot-hydroxylase, an enzyme
responsible for production of calcitriol, within the human brain. Among its other
activities, calcitriol modifies production and release of neurotrophic factors,
affects expression of genes associated with GABAergic signaling and stimulates
biosynthesis of catecholamines. Antioxidative and anti-inflammatory properties
were also demonstrated in research studies. By confronting the known
pathomechanisms of Alzheimer's disease (AD) and the mechanism of action of
vitamin D, one may propose that systemic insufficiency of vitamin D is a
potential risk factor of AD. Studies conducted to date confirm the inverse
relationship between serum calcidiol levels and the risk of dementia diseases,
including AD. Elevated cerebrospinal fluid level of VDBP, a vitamin D binding
protein that is also responsible for elimination of P-amyloid peptide (AP), a
pathogenic factor characteristic for AD, is considered to be a potential marker
of AD. Reduction in AP levels within the CNS is the most important therapeutic
target in the treatment of AD. Animal studies confirmed the impact of vitamin D
enriched diet on the reduction in amyloid deposits, AP peptide levels and
inflammatory reactions as well as on the increase in the level of neurotrophic
factor within the brains of AP protein precursor (APPP) - transgenic mice. In
case of AD, the purposefulness of initiating treatment before the onset of
clinical symptoms is being highlighted. Vitamin D is worth consideration since by
inducing the expression of VDR gene it leads, among others, to the silencing of
the transcription of the gene encoding the AOAPP and thus inhibits its cleavage
into peptides that form amyloid deposits. Despite the fact that at current state
vitamin D can hardly be considered a therapeutic agent with an established
efficient dose in AD, authors of studies suggest that it is important in AD
prophylaxis in elderly patients with age-related reduction of serum calcidiol lev
els.
PMID- 29634096
TI - FREE RADICAL FORMATION IN ROSUVASTATIN DURING THERMAL STERILIZATION AT DIFFERENT
TEMPERATURES.
AB - Rosuvastatin was thermally sterilized at temperatures 1600C during 120 min, 1700C
during 60 min and 1800C during 30 min, according to Polish pharmacopoeia norms.
Free radicals formed in the heated rosuvastatin samples were examined by electron
paramagnetic resonance (EPR) spectroscopy. The best conditions of thermal
sterilization of rosuvastatin were searched. EPR spectra were measured for the
analyzed drug independent on heating temperature. Decrease of free radical
concentrations in rosuvastatin with increasing of heating temperature was stated.
The optimal conditions for thermal sterilization of rosuvastatin were temperature
1800C and heating time of 30 min and resulted in the lowest free radical
concentration. The changes of amplitudes (A) and linewidths (?Bpp) of EPR spectra
of the heated rosuvastatin were characteristic for homogeneous broadening EPR
lines. Slow spin-lattice relaxation processes existed in the all rosuvastatin
samples. The confirmation of usefulness of electron paramagnetic resonance
spectroscopy in pharmacy was presented.
PMID- 29634095
TI - VORTIOXETINE - THE NEW ANTIDEPRESSANT AGENT WITH PROCOGNITIVE PROPERTIES.
AB - Depression symptoms resulting from cognitive function impairment are emphasized
by both DSM-5 and ICD-10 diagnostic criteria for major depressive disorder and
depressive episodes. Nonetheless, the role of cognitive dysfunctions seem to
remain underestimated in case of depressive disorders, thus they are rarely
perceived as therapeutic target. Vortioxetine is a relatively new, multi
functional agent. With its unique properties and strong affinity towards
serotonin transporter (5-HTT), vortioxetine is a modulator and stimulator of
serotonergic transmission. Vortioxetine is an antidepressant drug suitable for
therapy in various types of depression: severe, anxiety-associated, and of
elders. It acts equally strong as SNRIs or agomelatine and has favorable effects
on cognitive functioning. Although vortioxetine has not undergone comprehensive
preclinical testing, the available data indicate that this particular agent may
be more advantageous in terms of its procognitive effects, as compared to other
drugs - which often seemed to be analogous in preclinical and clinical testing.
In vitro examination of hippocampal pyramidal cells revealed that vortioxetine
improves both synaptic transmission and neuroplasticity responsible for memory
and learning patterns. Contrary to fluoxetine, the long-term treatment with use
of vortioxetine on mice resulted in enhanced visual and spatial memory, along
with reduced occurrence of typical depressive behavior. In addition, vortioxetine
is a very first drug efficiently augmenting cognitive function in adults
diagnosed with severe depressive episode, irrespective of its curative potential
on the affective sphere. It may exert even stronger direct effect (assessed with
DSST) on cognitive functions than duloxetine. With its supplementary capacity of
acting directly on several subtypes of serotonin receptors, vortioxetine is
certainly more than just a SSRI. It has been proved that it is as effective as
venlafaxine and more efficient than agomelatine in MDD treatment, additionally
exerting procognitive effects. In addition, vortioxetine may be beneficial in
overcoming sexual dysfunction in patients, who have been suffering from such
condition as a result of treatment with other antidepressant agents. The drug is
generally well tolerated with the most prevalent side effects being mild to
moderate nausea along with (mostly transient) headaches. Vortioxetine may
significantly improve the quality of life in patients suffering from depression.
PMID- 29634097
TI - TRACE METAL CONTENT (Cu, Zn, Mn AND Fe) IN URTICA DIOICA L. AND PLANTAGO MAJOR L.
AB - The aim of the study was to compare the contents of Cu, Zn, Mn and Fe in the
washed and unwashed leaves and roots of two plant species: Urica dioica L. and
Plantago major L., used in herbal medicine. These two herb species occur in the
same environmental habitats, yet their morphological structure is different. The
soil and plant samples for analyses were collected from an uncontaminated area in
Eastern Poland. In each habitat location, the samples were taken from sandy soils
with slightly acidic and neutral pH values. The obtained results showed that U.
dioica and P. major accumulated similar amounts of trace metals, such as: Cu, Zn
and Fe, in leaves, despite the differences in the morphological structure of
their overground parts. The content of Mn in leaves U. dioica was about twice as
much as in P. major. Also, no differences in the metal content were observed
between washed and unwashed leaves of both species. However, in the same habitat
conditions, a significantly higher content of Cu, Zn and Mn was found in the
roots of P. major than U. dioica. The content of Fe in the roots was similar in
both species. P. major and U. dioica may be a valuable source of microelements,
if they are obtained from unpolluted habitats.
PMID- 29634098
TI - STUDIES ON STABILITY OF 2,3-DIPHENYLCYCLOPROPENONE IN CONTACT WITH WATER AND
AQUEOUS NaCI SOLUTIONS. CONCLUSIONS FOR PURPOSE OF TOPICAL THERAPY OF PATIENTS
WITH ALOPECIA AREATA.
AB - Diphenylcyclopropenone (DCP) is a topically administered agent used for more than
three decades for treatment of alopecia areata (AA). Moreover, numerous recent
studies show it's efficiency in treatment of cutaneous metastatic melanoma.
Despite being a potentially useful drug still very little is known about the
pharmacokinetics of DCP. The authors investigated the stability of DCP solutions
in propylene glycol with the addi- tion of 0.9% aquous solution of natrium
chloride (0.9% NaCI) or with water. DCP was prepared in two concentrations: 0.1%
and 3%. It's stability was then measured with different proportions of 0.9% NaCI
or water added and in different temperatures. Contrary to common opinion that DCP
solutions are extremely unstable, authors have found them to be relatively
stable. DCP solutions with the addition of equal quantity of 0.9% NaCl decomposed
slowly at the temperature of 37C but after 70 h all solutions still contained
more than 80% of initial DCP. Solutions of DCP with the addition of 1% of water
at the temperature of 4'C are in the present study stable, containing more than
98% of initial DCP after 20 days. Authors discuss the results in spite of
possible metabolism of DCP on the surface of human skin during topical
immunotherapy.
PMID- 29634099
TI - OPTIMIZATION AND VALIDATION OF HPLC METHOD FOR TETRAMETHRIN DETERMINATION IN
HUMAN SHAMPOO FORMULATION.
AB - High-performance liquid chromatography (HPLC) method with diode array detection
(DAD) were optimized and validated for separation and determination of
tetramethrin in an antiparasitic human shampoo. In order to optimize separation
conditions, two different columns, different column oven temperatures, as well as
mobile phase composition and ratio, were tested. Best separation was achieved on
the Supelcosil TM LC-18- DB column (4.6 x 250 mm), particle size 5 jim, with
mobile phase methanol : water (78 : 22, v/v) at a flow rate of 0.8 mL/min and at
temperature of 300C. The detection wavelength of the detector was set at 220 nm.
Under the optimum chromatographic conditions, standard calibration curve was
measured with good linearity [r2 = 0.9997]. Accuracy of the method defined as a
mean recovery of tetramethrin from shampoo matrix was 100.09%. The advantages of
this method are that it can easily be used for the routine analysis of drug
tetramethrin in pharmaceutical formulas and in all pharmaceutical researches
involving tetramethrin.
PMID- 29634100
TI - IDENTIFICATION AND DETERMINATION OF RUPATADINE AND FEXOFENADINE BY DENSITOMETRIC
METHOD.
AB - Simple, precise and accurate densitometric methods were developed for the
determination of two antihistamine drugs. rupatadine and fexofenadine. Silica gel
60 F254 HPTLC plates were used as stationary phase, while mixtures of
acetonitrile - water - 25% ammonia (90 : 10 : 1, v/v/v) and acetonitrile -
methanol -acetate buffer at pH 5.5 (3 : 2 : 5, v/v/v) were used as mobile phases
for rupatadine and fexofenadine, respectively. The detection of rupatadine and
fexofenadine was conducted out at 256 and 210 nm, respectively. The limit of
detection and the limit of quantification for rupatadine were found to be 0.3 and
0.1 MUg/spot, respectively, and for fexofenadine, 5 and 2 MUg/spot, respectively.
PMID- 29634101
TI - RP-HPLC ANALYSIS OF ACIDIC AND BASIC DRUGS IN SYSTEMS WITH DIETHYLAMINE AS
ELUENTS ADDITIVE.
AB - The chromatographic behavior of some basic and acidic drugs was studied on Cl 8,
Phenyl-Hexyl and Polar RP columns with methanol or acetonitrile as organic
modifiers of aqueous mobile phases containing addition of diethylamine.
Diethylamine plays a double function of silanol blocker reagent in analysis of
basic drugs and ion-pair reagent in analysis of acidic drugs. Most symmetrical
peaks and highest system efficiency were obtained on Phenyl-Hexyl and Polar RP
columns in tested mobile phase systems compared to results obtained on C18
column. A new rapid, simple, specific and accurate reverse phase liquid
chromatographic method was developed for the simultaneous determination of
atorvastatin - antihyperlipidemic drug and amlodipine - calcium channel blocker
in one pharmaceutical formulation. Atorvastatin is an acidic compounds while
amlodipine is a basic substance. The chromatographic separation was carried out
on Phenyl-Hexyl column by gradient elution mode with acetonitrile as organic
modifier, acetate buffer at pH 3.5 and Q.025 M/L diethylamine. The proposed
method was validated for specificity, precision, accuracy, linearity, and
robustness. The linearity range of atorvastatin and amlodipine for 5 - 100 MUg/mL
was obtained with limits of-detection (LOD) 3.2750 gg/mL and 3.2102 MUg/mL,
respectively. The proposed method made use of DAD as a tool for peak identity and
purity confirmation.
PMID- 29634102
TI - ENVIRONMENTALLY FRIENDLY LC/MS DETERMINATION OF EPLERENONE IN HUMAN PLASMA.
AB - Eplerenone (EPL), a selective aldosterone receptor antagonist, is indicated in
the treatment of chronic heart failure and hypertension. It is hard to find a
green assay among a few published methods for its determination in human plasma
or serum. Following a liquid-liquid extraction with methyl t-butyl ether,
eplerenone and isotope labelled eplerenone - used as an internal standard - were
separated from the endogenous compounds on an Atlantis dCl8 column (150 x 3 mm,
3.0 [im). An isocratic elution with the mobile phase consisting of methanol and
ammonium acetate (3 : 2, v/v) was used. A single quadrupole mass spectrometer was
operated in positive electrospray ionization using the selected ion monitoring
mode. The method is more environmentally-friendly than the previously reported
assays. Acetonitrile in the mobile phase was replaced with methanol which is a
removable solvent. Plasma sample volume was reduced to 250 pL which significantly
decreased waste volume. Chlorinated solvents used previously for liquid-liquid
extraction were eliminated and the safety of the laboratory staff was increased
by eliminating diethyl ether. The method is characterized by a short analysis
time, simple sample preparation and reduction of waste volume, which are
important advantages when analyzing large numbers of samples. The method was
validated according to international regulatory guidelines and may be applied to
human pharmacokinetic studies following a single 25 or 50 mg oral dose.
PMID- 29634103
TI - HPLC-UV ASSAY OF IMATINIB IN HUMAN PLASMA OPTIMIZED FOR BIOEQUIVALENCE STUDIES.
AB - lmatimb is an anticancer drug approved for the treatment of a number of cancers,
mostly used in chronic myeloid leukemia. Numerous bioanalytical methods using
high performance liquid chromatography coupled to ultraviolet detection point at
the importance and necessity of the therapeutic drug monitoring of imatinib.
Unfortunately, these methods are not optimized for single dose pharmacokinetic
studies such as bioe- quivalence. In this study, attention was turned mostly to
the analysis time, linearity range and interferences by endogenous components of
the matrix and exogenous substances - especially metabolites. The method enables
the quantification of imatinib in the presence of the main metabolite (N
desmethyl imatinib). Its potential back- conversion was examined during storage
for 4 h at ambient temperature as well as for 239 days at -200C. The sample
preparation based on the liquid-liquid extraction was combined with a short
analysis time of 7 min. Therefore, the method was suitable for analyzing large
numbers of samples in a short time. The linearity range of 40-4000 ng/mL was
optimized for human pharmacokinetic studies after a single 400 mg oral dose of
ima- tinib. Successful application in a bioequivalence study confirmed the
reliability of the method.
PMID- 29634104
TI - ANTIOXIDANT ACTIVITY OF XANTHONE DERIVATIVES.
AB - Certain xanthone derivatives, such as these present in mangosteen fruits, show
strong antioxidant activity. On the other hand, evidences accumulated that
oxidative stress is involved in epileptogenesis. Therefore, the aim of the
present study was to estimate total antioxidant capacity (expressed as a ferric
reducing antioxidant power - FRAP) and evaluate ability to scavenge free radicals
(DPPH methods) by xanthone derivatives showing antiepileptic activity. Selected 2
(aminomethyl)-9H-xanthen-9-one derivatives shared structural features, such as
chlorine substituent in xanthone ring and different chiral (or not) alkanol
groups at the nitrogen atom. The results of antioxidant activities among
racemates revealed the highest activity for compound (RIS)-3 (31.7% in diphenyl-2
picrylhydrazyl (DPPH) radical scavenging and (0.184 +/- 0.003 mM Fe2+/L) in FRAP
assay. Among tested pair of enantiomers we observed that (R)-1 and (R)-2 showed
higher reduction capacity ((R)-1: 0.096 +/- 0.007 mM F2+/L; (R)-2: 0.048 +/-
0.005 mM Fe2+/L, respectively) and stronger DPPH scavenging activity ((R)-1: 31
+/- 3.0%; (R)-2: 29 +/- 2.5%, respectively) comparing to their (S)-enantiomers
and racemates.
PMID- 29634105
TI - IN VITRO ANTIFUNGAL ACTIVITY OF 2,4-DIHYDROXY-N-(3-THIOXO-3H- 1,2,4-DITHIAZOL-5
YL)BENZENECARBOTHIOAMIDE.
AB - An increase in infections due to non albicans species of Candida has been
observed in the recent years. The aims of this study were to determine the
antifungal activity of 2,4-dihydroxy-N-(3-thioxo-3H-l1,2,4-dithiazol-5
yl)benzenecarbothioamide (DNTDB) against C. albicans, non-C. albicans,
dermatophytes, and molds and to evaluate the enzymatic activity of C. albicans
strains. We used reference strans C. albicans 10231 ATCC, 200 C. albicans
strains, 100 non-C. albicans, 19 dermatophyte strains, and 21 mold strains
isolated from different ontocenoses from patients. DNTDB revealed a mean minimum
inhibitory concentration (MIC) of 12.5 pg/mL against the reference C. albicans
10231 ATCC strain on Sabouraud agar (SA) and of 6.5 pg/mL on Roswell Park
Memorial Institute (RPMI) medium. The mean MIC for C. albicans isolates was of
22.01 +/- 7.5 MUg/mL on SA, 17.8 +/- 7.4 MUg/mL on yeast nitrogen base (YNB), and
16.9 +/- 7.9 MUg/mL on RPMI medium. The mean MIC for non-C. albicans isolates was
of 22.4 +/- 12.4 MUg/mL on SA, 18.2 +/- 8.6 MUg/mL on YNB and 15.2 +/- 9.03 sg/mL
on RPMI. Against Trichophyton mentagrophytes v. granulosum, the mean MIC was 10.9
+/- 2.04 MUg/mL after 5 days of incubation and 21.9 +/- 3.8 MUg/mL after 15 days,
while Trichophyton mentagrophytes . inteiligitale showed a mean MIC of 13.3 +/-
5.5 MUg/mL and of 20.3 +/- 6.1. MUg/mL after the same incubation periods,
respectively. DNTDB manifested a MIC over the test range of 25-100 MUg/mL for
molds after 5 days of incubation and inhibited the enzymatic activity of Candida
strains. It seems, the new DNTDB demonstrates potential antifungal activity
against yeast-like fungus strains, dermatophytes, and molds in vitro.
PMID- 29634106
TI - EFFECT OF COLLA CORNUS CERVI COMBINED WITH LV-MEDIATED BMP7 TRANSFECTED BMSCs ON
ANFH IN RATS.
AB - In the present study, we investigated the combined effect of Colla Comus Cervi
(CCC) and BMP7-overexpressing bone marrow-derived mesenchymal stem cells (BMSCs)
on osteogenic induction and the treatment of avascular necrosis of the femoral
head (ANFH). BMSCs were isolated from rats. BMP7-overexpressing BMSCs were
generated by lentiviral-mediated gene transduction. Cell proliferation, alkaline
phosphatase (ALP) activity, osteogenesis related gene expression, osteocalcin
levels, and calcified nodules were quantified and compared between four groups:
untreated controls, BMSCs cultured with CCC complex medium, BMP7-overexpressing
BMSCs, and BMP7-overexpressing BMSCs cultured with CCC complex medium (CCC+BMP7).
CCC+BMP7 BMSCs showed higher proliferation rate. ALP activity and osteaocalcin
content were significantly increased in CCC+BMP7 BMSCs. The osteogenesis related
genes, COLI, and integrin-alpha2, -alpha5, and -beta1, were expressed
significantly higher in CCC+BMP7 BMSCs. The number of calcified nodules in the
CCC+BMP7 group was significantly higher than that in other groups. For in vivo
assays, ANFH was induced in rats, and BMSCs were injected into the femoral head
of the lower left extremity. In rats with induced ANFH, general observation
scores of the CCC+BMP7 injected group were significantly higher than the model
group. X-ray and microscopic observations revealed that ANFH was significantly
improved and femoral head cells gradually recovered in rats treated with CCC+BMP7
BMSCs. Our results suggest that CCC+BMP7 significantly promote the proliferation
and osteogenic differentiation of BMSCs in vitm. CCC+BMP7 BMSCs promote the
ability of repairing ANFH in rats, providing a new therapeutic paradigm for the
treatment of ANFH.
PMID- 29634107
TI - INACTIVATION OF ALDEHYDE DEHYDROGENASE BY NITROGLYCERIN IN THE PRESENCE AND
ABSENCE OF LIPOIC ACID AND DIHYDROLIPOIC ACID. IMPLICATIONS FOR THE PROBLEM OF
DIFFERENTIAL EFFECTS OF LIPOIC ACID IN VITRO AND IN VIVO.
AB - Lipoic acid (LA-(SS), LA) and its reduced form - dihydrolipoic acid DHLA-(SH)2,
DHLA) are synthesized mainly in the mammalian liver. In this study, we
investigated in viti the inactivation of yeast aldehyde dehydrogenase (ALDH) by
nitroglycerin (GTN) in the presence and absence of LA and DHLA. In vivo studies
were performed to answer the question whether LA administered jointly with GTN
for 8 days will affect the ALDH activity in the rat liver. The results indicated
that in vito both LA and DHLA restored and protected ALDH activity against GTN
induced inactivation, while treatment of rats with LA and GTN in combination did
not provide any protection against GTN-induced ALDH inhibition. In summary, the
obtained results seem to confirm earlier reports indicating the differential
effects of LA in vitio and in vivo.
PMID- 29634108
TI - ANTI-ADHESIVE AND ANTI-BIOFILM ACTIVITIES IN VITRO OF LINEZOLID, VANCOMYCIN,
TIGECYCLINE AND DAPTOMYCIN AGAINST STAPHYLOCOCCUS HAEMOLYTICUS.
AB - Biofilm may be formed on wide variety of surfaces, including indwelling medical
devices, leading to several infectious diseases, e.g., bacteremia and sepsis. The
most,important pathogens related with infections associated with medical devices
are coagulase-negative staphylococci, including Staphylococcus haeinolyticus -
bacterial species which express quite often the multidrug resistance. The four
clinical multiresistant and methicillin-resistant S. haenzolyticus were included
in the present study. The evaluation of drug susceptibility was performed by
using disc-diffusion method and broth microdilution method according to European
Committee on Antimicrobial Susceptibility Testing (EUCAST) guidelines. The
biofilm formation on the Nelaton catheter and the effect of linezolid,
vancomycin, tigecycline and daptomycin on the biofilm formation and disruption of
mature structure was based on the method with TTC (2,3,5-triphenyltetrazolium
chloride). The adhesion process of S. haenzolyticus to the Nelaton catheter was
inhibited by antibiotics, as follows: line-zolid at concentration 0.25-0.5 x MIC,
vancomycin - concentration 0.5 x MIC, tigecycline - concentration 0.25-4 x MIC
and daptomycin - concentration 0.06-1 x MIC, depending on the isolate. Linezolid
inhibited the biofilm formation at concentration between 0.5-1 x MIC, vancomycin
1-2 x MIC, tigecycline - 0.5-4 x MIC and daptomycin - 0.06-2 x MIC. The
concentration of linezolid eradicating the mature biofilm was found to be 1-2 x
MIC, vancomycin - 2-8 x MIC, tigecycline - 2-4 x MIC and daptomycin - 0.06-2 x
MIC. The most active antibiotic against S. haentolyticus biofilm formation and
disruption of mature structure seems to be daptomycin.
PMID- 29634109
TI - NEW SPIROHYDANTOIN DERIVATIVES - SYNTHESIS, PHARMACOLOGICAL EVALUATION, AND
MOLECULAR MODELING STUDY.
AB - A series of new arylpiperazinylpropyl derivatives of 8/6-phenyl-1,3
diazaspiro[4.5]decan-2,4-dione and spiro[imidazolidine-4,1'-indene/naphthalene]
2,5-dione was synthesized and their affinity was evaluated toward serotonin 5
HTIA, 5-HT2A, 5-HT7 receptors, dopaminergic D2, D3 receptors, adrenergic ox,
receptors, and serotonin transporter (SERT). The highest affinity for serotonin 5
HT1A/2A/7 receptors was found for compounds containing a tetralin or indane
moiety in the imide part. Among these, two compounds (19, 20) were selected for
further pharmacological in vivo studies. A binding mode of representative
molecule 19, which behaved as a 5-HT1A agonist and weak 5-HT7 antagonist in the
site of 5-HT 1A/7, was also analyzed in computational stud- ies. Moreover, two
highly selective (9 and HI) 5-HT2A receptor antagonists were obtained.
PMID- 29634110
TI - PRODUCTION OF ANTIMICROBIAL PEPTIDES BY EPICOCCUM SP. NFW1: AN ENDOPHYTE OF TAXUS
FAUNA.
AB - An endophytic fungus NFWI, possessing antimicrobial activity against bacterial
and fungal pathogens, was isolated from indigenous Taxus fauna. Phylogenetic
analysis coupled with cultural and morphological characteristics revealed that
endophyte NFWI closely resembles Epicoccum sp. It showed optimum growth and
antimicrobial activity in mineral salt medium TM, incubation temperature 250C,
incubation time 15 days and pH 6.5. Antimicrobial peptides were precipitated with
80% ammonium sulfate and expressed significant inhibitory effect against
Staphylococcus aureus (ATCC6538) and Candida albicans (CI.I 4043). It also
inhibited growth of Streptomyces 85E in hyphae formation inhibition assay showing
potential as protein kinase inhibitor. Gel filtration chromatography on Sephadex
G-75, followed by sodium dodecyl sulfate polyacrylamide gel electrophoresis
resolved the crude precipitate into three fractions of molecular mass 32 kDa, 44
kDa and 70 kDa. The study concludes that endophytic fungi associated with
indigenous Taxus species possess promising antimicrobial activities and should be
exploited as source of novel antimicrobial agents.
PMID- 29634111
TI - ANTIMICROBIAL AND ANTIBIOFILM EFFECTS OF EXTRACTS FROM TRAPA NATANS L.,
EVALUATION OF TOTAL PHENOLIC AND FLAVONOID CONTENTS AND GC-MS ANALYSIS.
AB - Research conducted in this study shows the applied in vitro antimicrobial and
antibiofilm activity of the four extracts isolated from Trapa natans L. leaves.
In this study, different methods were used (microdilution, tissue culture plate,
different colorimetric methods, GC-FID and GC-MS analysis). While the water
extract didn't show antibacterial activity, the acetone extract showed the
strongest one. The same activity in the case of Pseudomonas aeruginosa (MIC was
313 MUg/mL) was better than the activity of controls and it matched with
antibiofilm activity. The effect of extracts was better on G+ bacteria (MICs were
<78-625 MUg/mL). For ethanol and ethyl acetate extracts all BIC values were
better than MICs. Extracts showed a significant effect on Aspergillus restrictus
(MICs were < 78/156 MUg/mL). The GC and GC-MS analysis of the ethyl acetate
extract revealed the identification of 22 compounds with (all E)-squalene
(20.2%), n-alkanes and norlignan hinokiresinol among the most abundant ones. This
is the first time that T. natans was studied using these methods.
PMID- 29634112
TI - ANTIDIABETIC AND ANTIDYSLIPIDEMIC EFFECTS OF HELIOTROPIUM STRIGOSUM IN RAT MODELS
OF TYPE I AND TYPE II DIABETES.
AB - Heliotropiumz stnigosum Wilid. (Boraginaceae) is used traditionally as a
laxative, diuretic, and as a treatment for snake bites and stings of nettles.
Recent investigations have shown anti-inflammatory and antioxidant activity of H.
sorigosum. However, antihyperglycemic and antidyslipidemic activity of H.
strigosum has not been investigated to date and we aimed to explore these
activities of the crude aqueous methanolic extract of thEaerial parts of H.
strigosum (Hs.Cr). Hs.Cr was administered orally at doses of 100, 300, and 500
mg/kg in alloxan-induced diabetic rats (type I diabetes) and fructose-fed rats
(type II diabetes). The fasting blood glucose (FBG) concentration was assessed by
glucometer, while semum total cholesterol, triglycerides and HDL were estimated
by using standard kits. The FBG concentration significantly (p < 0.05) decreased
in dose-dependent pattern in both alloxan-induced diabetic and fructose-fed rats
on Hs.Cr administration. The percentage glucose reductions in alloxanized rats
with glibenclamide, Hs.Cr 100, 300, and 500 mg/kg were obeserved to be 67, 36, 56
and 62%, respectively. In fructose-fed rats, the percentage glucose redutions
associated with metformin, Hs.Cr 100, 300, and 500 mg/kg were 23, 5, 11 and 12%,
respectively. The extract also corrected the dyslipidemia associated with
fructose and alloxan-induced diabetes by significantly (p < 0.00 1) decreasing
the concentration of serum total cholesterol, triglycerides and LDL and by
increasing HDL concentration. Our data demonstrate that the H. stigosum has
antidiabetic and antidyslipidemic effects, thus encouraging further studies.
PMID- 29634113
TI - CYTOTOXIC EFFECTS OF THE RED SEA SOFT CORAL SARCOPHYTON TROCHELIOPHORUM.
AB - The present study describes the in vitro cytotoxic effects of soft coral
(Sarcophyton tiocheliophorum). Soft corals of genus Sarcophyton were reported to
contain compounds that are active against brine shrimp and promote paclitaxel
cytotoxicity in the human colon cancer Caco-2 cell line. The n-hexane extract of
the soft coral Sarcophyton tiocheliophorum induced significant dose-dependent
toxicity (LC50 96.7 ppm) compared with ethyl acetate (LC50. 120 ppm). We reported
the most active cytotoxic level to be correspondence to LC50 values of 20.2, 59.2
ppm and 18.9 and 26 ppm. Accordingly, bio-assay guided fractionation was
conducted to identi- fy the bioactive compounds. Arachidonic acid,
eicosapentaenoic acid and docosahexaenoic acid were characterized based on GC-MS
analyses. Our results demonstrate the value of marine products as a natural
source of medicinally interesting cytotoxic compounds.
PMID- 29634114
TI - CHEMICAL CONSTITUENTS AND IN VITRO ANTIOXIDANT ACTIVITY OF CRUDE EXTRACTS AND
COMPOUNDS FROM LEAVES AND STEM BARK OF FICUS BURTT-DAVYI.
AB - Ficus buit-davyi, (Moraceae), is an endemic species of South Africa. In this
study, a phytochemical analysis of the leaves and stem bark of F. burt-davyi
resulted in the isolation of five triterpenes (lupeol, lupeol acetate, beta
sitosterol, stigmasterol and campesterol), one carotenoid (lutein), a pheophytin
(phytyl-7-ethyl-25- (methoxycarbonyl)-3,8,13,17-tetramethyl-26-oxo- 12-vinyl
17,18-dihydro-2,20-ethanoporphyrin- 1 8-propanoate or pheophytin a) and one
flavonoid (+)-catechin). The in viti antioxidant study of the methanol extracts
of leaves and stem bark, (+)-catechin and pheophytin a using the 1,1-diphenyl-1
picrylhydrazyl (DPPH) free radical scavenging assay, ferric reducing antioxidant
power (FRAP) assay and hydrogen peroxide (H202) assay showed significantly higher
(p < 0.05) antioxidant activity for the methanol extract of the stem bark than
the leaves, with IC55 values (in MUg/mL) of 58.28 ? 5.05 for DPPH, 46.09 +/- 0.06
for FRAP and 151.03 +/- 1.60 pg/mL for H202,. The results suggest that the plant
can be used as a therapeutic agent in alternative medicine for oxidative stress
related degenerative diseases.
PMID- 29634115
TI - EVALUATION OF ANTI-INFLAMMATORY, ANALGESIC AND ANTIPYRETIC ACTIVITIES OF AQUEOUS
AND ETHANOLIC EXTRACTS OF SEEDS OF BUCHANANIA LANZAN SPRENG. IN ANIMAL MODELS.
AB - The present study was designed to evaluate the anti-inflammatory, analgesic and
antipyretic activities of the aqueous and ethanolic extracts of seeds of
Buchanania lanzan Spreng. Albino mice were used as experimental animals to
evaluate these activities. The study was performed in three phases; Phase-I for
evaluation of anti-inflammatory activity, Phase-II for antipyretic and Phase-HI
for analgesic activities were evaluated. Carrageenan induced paw edema, brewer
yeast induced pyrexia and acetic acid induced writhing methods were used to
evaluate anti-inflammatory, antipyretic and analgesic activities, respectively.
Tests were performed by dividing the animals in five groups. First group was
negative control, second group was positive control, third, fourth and fifth
groups were treated with 125, 250 and 500 mg/kg of extracts. respectively. The
data were statistically analyzed using ANOVA where p < 0.05 were considered
significant. The results suggested that seeds of Buchanania lanzan Spreng.
possess anti-inflammatory, analgesic and antipyretic activity.
PMID- 29634116
TI - COMMERCIAL EXTRACT FROM ARONIA AS A MODULATOR OF ADHESIVE PROPERTIES OF
FIBRINOGEN TREATED WITH HOMOCYSTEINE AND ITS THIOLACTONE IN VITRO.
AB - Research has confirmed the positive effect of berries of Aivnia melanocarpa on
the cardiovascular system. The protective effects of polyphenol-rich extract from
berries of A. melanocarpa against changes in biological properties of fibrinogen
were studied. In in vino model of hyperhomocysteinemia the capability of
fibrinogen to interact with human blood platelets was measured by platelet
adhesion in the presence of extract fromA. nelanocapa. We induced
hyperhomocystenemia using a reduced form of homocysteine (Hey, at a final
concentration of 0.01. 0.1 and 1 MUM) and the most reactive form of Hey - its
cyclic thioester, homocysteine thiolactone (HTL, at a final concentration of 0.1,
0.5 and I MUM). It was observed that Hey or HTL-treated fibrinogen, in comparison
with untreated molecule, had a distinct capability to mediate blood platelet
adhesion. The experiments also indicate that polyphenol-rich extract from black
chokeberries (at final concentrations of 2.5-10 pM/mL) reduced the toxic action
of Hey and HTL on the adhesive properties of fibrinogen. The possible protection
exerted by black chokeberry extract, through restoring the platelet adhesion of
Hey or HTL treated fibrinogen, may be important for vascular diseases.
PMID- 29634117
TI - WOUND HEALING ACTIVITY OF EXTRACT FROM THYMUS DAENENSIS IN BURN WOUND MODEL: AN
EXPERIMENTAL ANIMAL STUDY.
AB - Bum wound is one of the most common complications and remains a major public
health issue affecting all ages groups in both developed and developing
countries. This study was aimed to evaluate the extract from Thymus daenensis and
silver sulfadiazine on healing bum wounds in mice. In this experimental study,
the ethanol extract from the aerial parts of T. daenensis (Lamiaceae) was used.
Second-degree bum wounds were induced in three groups of eight Balb/C mice each.
Group-I: the animals were treated with simple cream (control), Group-II: the
animals were treated with simple cream containing the herb extract, and Group
III: the animals received the standard drug (silver sulfadiazine). The
experimental groups were evaluated based on wound area, epithelialization time
and histopathological characteristics. There were significant differences in
surface area and the period of bum wound healing between the groups, particularly
among Group-II when the animals received the extract of T. daenensis in
comparison with control. At the 18" day, there was no significant improvement in
healing percentage of the herb treated (94.6%) in comparison to the animals
receiving the standard drug (95.8%). The best results of histopathological
investigation were obtained with the extract of T. daenensis, when compared to
the other group as well as to the control and standard drug. The herbal cream
experimentally and histopathologically revealed a bum wound healing activity
probably due to the antioxidant and anti-inflammatory activity of its
phytochemical contents, especially phenolic compounds. Therefore, T. daenensis
accelerated wound healing in mice and thus supports its traditional use.
PMID- 29634118
TI - DETERMINATION OF ANTIMICROBIAL ACTIVITY OF CHLORHEXIDINE GEL.
AB - Many researchers have advocated in recent times that antiseptic use in healing
wounds should be discouraged. Antiseptics have been found to retard healing of
wounds. Poloxamer 407 shows thermoreversible properties, which are of the utmost
interest in optimizing drug formulation (fluid state at room temperature
facilitating administration and gel state above sol-gel transition temperature,
at body temperature, promoting prolonged release of pharmacological agents).
Chlorhexidine, a commonly used antiseptic, is known to be less toxic on
granulation cells. Acting as an antiseptic, it is an effective bactericidal agent
against the most categories of microbes, including bacteria, yeast, and viruses.
Objective of this study was to evaluate antimicrobial activ- ity of chlorhexidine
containing poloxamer gel to Gram-positive and Gram-negative bacteria in vitro.
Chlorhexidine gels and chlorhexidine aqueous solutions have different
antibacterial activity to S. amis, E.faecalis, E. coli and P. aemginosa strains
in vitro. It depends on concentration and dosage form of antiseptic. Study
results confirmed that antimicrobial activity of gel depends on active ingredient
concentration in antiseptic. The best inhibition effect for both of reference and
wild-type bacteria was obtained for 1% chlorhexidine gel. Summarizing the results
and assessing the characteristics of the gel ingredients, it can be suggested
using chlorhexidine gels in veterinary medicine.
PMID- 29634119
TI - DEVELOPMENT OF SOLID LIPID NANOCARRIERS FOR ORAL DELIVERY OF CANDESERTAN
CILEXETIL.
AB - Candesertan cilexetil is a Biopharmaceutics Classification System (BCS) Class II
drug possessing high permeability but low aqueous solubility; hence its oral
bioavailability is limited in terms of the solubility rate. The aim of this
research was to develop solid lipid nanopa rticle (SLN) drug delivery systems of
candesertan cilexetil to enhance its aqueous solubility, thereby improving the
oral bioavailability of the drug. SLN formulations were produced using a combined
technique of high shear homogenization and ultrasonication method. Drug/lipid and
surfactant/co-surfactant ratios of the candesertan cilexetil loaded SLNs were
investigated based on various final characteristics of the nanocarriers; namely,
encapsulation efficiency, average particle diameter, size distribution, surface
charge, thermal behavior, and in vitro drug release profiles. Lipid based
nanocarriers of candesertan cilexetil displayed spherical particles having a
nanometer size. High encapsulation efficiencies were obtained due to the high
lipid solubility of the drug. DSC analysis demonstrated the transformation of the
crystalline structure of candesertan cilexetil to amorphous form into the SLN
formulations and there was no interaction between the drug and the excipients.
Consequently, the oral delivery of candesertan cilexetil with the design of
Compritol(r) 888 ATO based lipid nanocarriers may lead to an increase in
bioavailability of the drug and thus, more effective therapy may be obtained.
PMID- 29634120
TI - PREPARATION, IN VITRO AND IN VIVO CHARACTERIZATION OF HYDROPHOBIC PATCHES OF A
HIGHLY WATER SOLUBLE DRUG FOR PROLONGED PLASMA HALF LIFE: EFFECT OF PERMEATION
ENHANCERS.
AB - Aim of present study was to develop metoprolol matrix patches using different
enhancers. Combination of two hydrophobic polymers, ethyl cellulose and eudragit
RL 100 (8 : 2) were used for preparation of unilaminated matrix patch. 10% w/w of
isopropyl myristate (IPM), dimethyl sulfoxide (DMSO), span (20 (S20), Tween 20
(T20) and eucalyptus oil as enhancers and 40% of dibutyl phthalate as plasticizer
were used. Prepared patches were evaluated for physical appearance, weight
uniformity and thickness. FTIR studies were performed to assess compatibility
among ingredients and developed formulation. Dissolution and permeation studies
were performed to compare effects of enhancers. Surface morphology after release
was examined by scanning electron microscopy. Selected formulation was subjected
to in vivo studies by randomized crossover design in rabbits (n = 6) for
pharmacokinetic comparison with oral solution administration. Physical evaluation
revealed that translucent, flexible, non brittle patches of uniform weight and
thickness were prepared. Release from patches followed Higuchi model. Mechanism
of release was Fickian. Formulation containing IPM showed that release was by
anomalous transport. Highest permeation flux was observed for formulation
containing IPM with 2-fold enhancement in permeation. Permeation flux for patches
was in order of formulation with no enhancer > IPM > T20 > S20 > DMSO =
eucalyptus oil. Plasma concentration from in vivo studies exhibited sustained
plasma levels of metoprolol after transdermal patch application in comparison to
oral solution administration. Pharmacokinetic analysis of in vivo data elucidated
that half life was increased 8 times when compared to oral administration, due to
controlled release of drug for longer period of time. These findings suggested
that hydrophobic transdermal patches of highly water soluble drug metoprolol were
successfully prepared with 10% of IPM for sustained systemic delivery for
prolonged half life.
PMID- 29634121
TI - THE INVESTIGATION OF EFFECT OF FLURBIPROFEN AXETIL ON THE TISSUE GROWTH AND THE
CONTENT OF PGE2 IN CERVICAL CANCER.
AB - The aim of this study was to investigate whether flurbiprofen axetil can inhibit
the tissue growth and the content of PGE2 in cervical cancer or not. Fifty female
BALB/c nude mice were randomly divided into control group (C), tumor + saline
group (T), tumor + flurbiprofen axetil 10 mg/kg (Cfl0) group, tumor +
flurbiprofen axetil 25 mg/kg (Cf25) group, tumor + flurbiprofen axetil tumor 50
mg/kg (Cf50), so that each group had 10 animals. Then, the animal model of human
cervical carcinoma was established, and the relative tumor volume (RTV), relative
tumor proliferation rate (T/C) and tumor inhibition rate were measured. The
content of PGE2 in tumor tissue was determined by using enzyme-linked
immunosorbent assay. There was no tumor formation in group C, and the time of
tumor growth in other groups was non-statistically different. The RVT in Cf50
group was lower than in other groups. It was evident from the curve of tumor
growth that the tumor weight in T group was evidently higher than that of
administration groups (p < 0.01). The tumor inhibition rates of Cf10, Cf25 and
Cf50 groups were 16.8, 19.6 and 36%, respectively, and the relative tumor
proliferation rate were 85, 91 and 72%, respectively. The PGE, level of Cf50 was
statistically (p < 0.01) lower than that of Cfl0 and Cf25 groups. Flurbiprofen
axetil can inhibit the growth of cervical cancer transplanted tumor in nude mice
and this inhibitory effect was maximal in Cf50 group. Flurbiprofen axetil can
inhibit the production of PGE2 in tumor tissue of cervical carcinoma in nude
mice.
PMID- 29634122
TI - PATIENTS' KNOWLEDGE OF MEDICAL PATCHES IN HUNGARY.
AB - Transdermal therapy with medical patches is a simple possibility in home
medication. As the correct use of patches has a decisive impact from the point of
its modulator effect.A questionnaire survey was developed to explore level of
patients' knowledge of the correct use of transdermal patches. A survey was
administered in thirteen Hungarian community pharmacies from October of 2012 to
May of 2015. Most of the participants, men and women over 18 years of age (n =
233), used major analgesic patches (fentanyl); the remainder were given
nitroglycerin, NSAID analgesics patches during the survey. For the hypothesis
testing it was assumed that men were more likely to use a razor for skin
depilation before patch application than women as their denser pelage hinders
patch adhesion. The hypothesis testing showed no significant gender difference in
razor use (X2 = 0.201; p = 0.654). Pharmacists should direct patients to avoid
using soap for skin cleansing before patch application because only 22 percent of
the participants always avoided its use. Since only 9 tests were flawless from
233 completed questionnaires. Many patients do not understand how to correctly
apply a transdermal dosage patch. Pharmacists should teach their correct
application based on results.
PMID- 29634123
TI - SOCIO-ECONOMIC CONSTRAINS FACED BY TB PATIENTS THAT LEAD TO NON-COMPLIANCE - A
CROSS SECTIONAL STUDY IN SOUTHERN PUNJAB, PAKISTAN.
AB - Tuberculosis (TB) is an infectious disease that is communicable from one person
to another. Pakistan stands forefront among few unfortunate countries that still
have heavy burden of TB infection. Being a developing country, TB patients in
Pakistan have to face different socio-economic constraints that upset life of the
patients as well as their families. A cross sectional survey was conducted in
three selected districts, Lodharan, Bahawalpur and Bahawalnagar during February
2011 to June 2011. From three hundred selected patients 210 were enrolled in
study after receiving written consents. Data were collected though structured
questionnaire and verbal interviews and statistically analyzed by using the
univariate analysis. The survey results showed that the low educational status (p
< 0.0012, CI 95%), unawareness of disease (88.7%), crowded population (p =0.0000,
CI, 95%), poverty, high treatment cost and distant access to public health
facilities were directly related to prevalence of TB. Different disease related
constraints including poor attitude of family members, colleagues, society and
even health care professionals (p = 0.0000, CI 95%) were also found to be major
social factors leading to non-compliance and denial of TB treatment. Socio
economic constraints such as low literacy rate, unemployment, unawareness of
disease, high treatment cost, poor attitude of family, society and health care
professionals were directly related to noncompliance and should be given high
priority consideration for achieving better TB management and mitigation.
PMID- 29634124
TI - EVALUATION OF SPERMICIDAL PROPERTY OF HIPPOPHAE RHAMNOIDES L. BERRIES.
PMID- 29634125
TI - [The 95th year anniversary of the journal Urologiia. What has changed over the
past five years?]
PMID- 29634126
TI - [Resolution of the XIII Meeting and XVII Congress of the RSU].
PMID- 29634127
TI - [Non-biological 3D printed simulator for training in percutaneous nephro-
lithotripsy].
AB - AIM: To develop a non-biological 3D printed simulator for training and
preoperative planning in percutaneous nephrolithotripsy (PCNL), which allows
doctors to master and perform all stages of the operation under ultrasound and
fluoroscopy guidance. MATERIALS AND METHODS: The 3D model was constructed using
multislice spiral computed tomography (MSCT) images of a patient with staghorn
urolithiasis. The MSCT data were processed and used to print the model. The
simulator consisted of two parts: a non-biological 3D printed soft model of a
kidney with reproduced intra-renal vascular and collecting systems and a printed
3D model of a human body. Using this 3D printed simulator, PCNL was performed in
the interventional radiology operating room under ultrasound and fluoroscopy
guidance. RESULTS: The designed 3D printed model of the kidney completely
reproduces the individual features of the intra-renal structures of the
particular patient. During the training, all the main stages of PCNL were
performed successfully: the puncture, dilation of the nephrostomy tract,
endoscopic examination, intra-renal lithotripsy. CONCLUSION: Our proprietary 3D
printed simulator is a promising development in the field of endourologic
training and preoperative planning in the treatment of complicated forms of
urolithiasis.
PMID- 29634128
TI - [Changes in the concentration of urolitiasis markers depending on stone-forming
activity in patients with recurrent urolithiasis].
AB - : The study aimed to investigate the changes in the concentration of bikunin,
osteopontin, and nephrocalcin, depending on the changes in the renal stone
forming activity in patients with recurrent urolithiasis. MATERIALS AND METHODS:
The study comprised 152 patients with recurrent calcium oxalate stones at various
localizations. Patients of the study group (n=78) were administered complex
preventive treatment (water load, Blamaren, thiazide diuretics, oral calcium
supplementation) aimed at reducing the activity of urolithiasis. Patients of the
control group (n=74) received no treatment. The studied parameters included
concentrations of urine bikunin, osteopontin, and nephrocalcin in using ELISA.
The follow-up period was six months. RESULTS: By the end of the follow-up, the
bikunin concentration in the control group was significantly higher than in the
study group (7.0+/-0.81 mg/ml vs. 3.28+/-0.86 mg/ml, respectively, p<0.05) while
osteopontin level was significantly lower (2.4+/-0.39 mg/ml vs. 3.4+/-0.36 mg/ml,
p<0.05). The nephrocalcin concentrations during the follow-up period did not
change significantly (p>0.05). The presence of hypercalciuria did not lead to
significant changes in the concentration of stone formation inhibitors.
DISCUSSION: The increase in bikunin concentration in control patients is
associated with an increase in the expression of this stone formation inhibitor
due to the rise in the urolithiasis activity. Reduction in the osteopontin
concentration in patients with high urolithiasis activity is a consequence of
osteopontin being a constituent of calcium oxalate stones. CONCLUSION: In
patients with calcium oxalate urolithiasis, testing for urine concentrations of
bikunin and osteopontin as potential markers can be used to estimate the risk of
stone recurrence.
PMID- 29634129
TI - [Time to recurrence after complete removal of calculi from different parts of the
urinary system. five-year follow-up study].
AB - INTRODUCTION: The usage of minimally invasive technologies (MIT), such as:
extracorporeal shock wave lithotripsy (ESWL), transurethral ureterolithotripsy
(URS), retrograde intrarenal surgery (RIRS), percutaneous nephrolithotripsy
(PNL), allows to remove the stones from the calyx and pelvis system with 71- 96%
of patients, and those from the ureter in 96.2% cases. However, a high incidence
of stone recurrences (35-75%) demands the necessity of repeated lithotripsies and
the search of the most appropriate methods of lithotripsy for reduction this
indicator. The main goal. To determine the number of patients with recurrence of
stone formation during five years after different types of lithotripsy in
different parts of the urinary system. MATERIALS AND METHODS: The results of the
process were analyzed with 491 patients, who had been devided into two groups:
group I - 358 patients suffering from the concrements of the ureter; group II -
133 patients having stones in kidney. RESULTS: According to the age criterion,
the sample data did not differ from each other (50 years for both groups
(p=0.576). The initial number of lithotripsies with complete removal of stones
was 80.7% in group I and 70.7% in gr. II, the repeated lithotripsy with usage of
the same method was 5.9% and 12.8%, respectively. The usage of an additional
method in repeated lithotripsy was necessary in 13.4% and 16.5% of cases
respectively. There were differences between the sizes of stones in groups
(p<0.0001), besides both groups showed the difference in recurrence time of stone
formation (p=0,014). In gr. I weak negative correlation (-0.28) between age and
time of recurrence was revealed. The age difference between men and women in both
groups (p=0.00001 and p=0.0492, respectively) was found. There occurred
differences in the size of stones in men and women groups (p=0.0000001 and
p=0.0000001, respectively) and in the time of recurrence between men and women in
I gr. (p=0.043). Most of stones were compose of CaOx, the second most important
element was Uric Acid. All this testifies to peculiarities of the diet in the
region of residence of the patients under control - the Republic of
Bashkortostan, where people generally use meat and milk products. DISCUSSION: The
usage of MIT opened the possibility of complete stone removal from the urinary
system with the most sparing technique. The lithotripsy of stones with any
composition having been conducted, additional stone crushing is carried out with
21-59% of patients within 5 years. The investigation of patients detected a great
number of recurrences of stones in the kidney stones group. CONCLUSION: 1. After
lithotripsy the recurrences during two years did not exceed 4,2% with patients in
gr. I and 8,2% in gr. II patients. 2. Significant dependence of recurrent stone
formation of gender composition was identified in gr. I and the time of
recurrence was less in women. 3. The quantity of CaOx urolithiasis corresponds to
global indicators. However, a large number of stones with Uric Acid in the
composition indicates possible peculiarities of the patients diet. 4. The highest
number of recurrences were in patients with CaOx (42.3%) and CaOx with CaF in the
composition (23.1%), and in third place were patients with uric acid stones
(19.2%).
PMID- 29634130
TI - [Bladder hydrodistension in treating patients with interstytial cystitis/ bladder
pain syndrome].
AB - : This study aimed to evaluate the effectiveness of bladder hydrodistension in
the treatment of interstitial cystitis/bladder pain syndrome (IC/BPS), depending
on the duration of the procedure. MATERIALS AND METHODS: The study comprised 71
women aged 51.6+/-8.3 years with a 5.2+/-2.3 year history of IC/BPS. All patients
underwent bladder hydrodistension (BH). Depending on the duration of BH, patients
were divided into 4 groups. The distension time in group 1 (n=10), group 2
(n=20), group 3 (n=20) and group 4 (n=21) was 1 min, 2 min, 4 min and 6 min,
respectively. The treatment effectiveness was assessed 1 month after BH by
subjective assessment of patients, Pelvic Pain and Urgency/Frequency (PUF)
Patient Symptom Scale questionnaire, a visual analog pain scale (VAS), and
voiding diaries. RESULTS: At one month after BH, positive effect was observed in
32 (45.1%) patients. In groups 1, 2, 3 and 4 the treatment was effective in 20%,
55%, 45% and 47.6% of patients, respectively. Clinical effectiveness of BH with
distension time of 1 min was significantly lower than that of 2, 4, and 6 min. At
the same time, we did not find significant differences in the effectiveness of
this procedure with distension time of 2, 4 and 6 min. CONCLUSION: The results of
this study suggest that BH is an effective treatment in patients suffering from
IC/BPS. At the same time, they show that the distension time of 2 min. is optimal
from the point of view of clinical effectiveness, since the longer duration of
the procedure is excessive, and the shorter time is insufficient.
PMID- 29634131
TI - [NefroCAPS phytolysin in complex management of women with chronic recurrent
cystitis].
AB - RELEVANCE: Recurrent lower urinary tract infections (UTI) in women are one of the
most challenging problems of modern urology, which is associated both with their
high incidence and increasing resistance of uropathogens to antibacterial drugs.
Due to this fact, the phytotherapy of infectious and inflammatory diseases of the
urinary tract has received increased attention. AIM: To investigate the
effectiveness of Phytolysin nefroCAPS in the complex management of women with
chronic recurrent cystitis. MATERIALS AND METHODS: 50 women with chronic
recurrent cystitis underwent a complex examination. They were divided into two
groups depending on the treatment they received. Patients of the 1st group (n=27)
received a combination therapy: fosfomycin (monural) 3 g (single dose) and
Phytolysin nefroCAPS one capsule three times daily for three months. Patients of
the 2nd group (n=23) were administered a single 3-g dose of fosfomycin (monural).
RESULTS: Follow-up examinations were performed 1, 3 and six months after
initiation of the treatment. In patients of the 1st group, clinical
manifestations of the disease disappeared earlier, and they had fewer recurrences
than the patients of the 2nd group. Also, bacteriological study of urine showed a
more persistent antimicrobial effect among patients of the 1st group. CONCLUSION:
In patients with chronic recurrent cystitis, plant-based preparation Phytolysin
nefroCAPS administered concurrently with an antibacterial drug is more effective
than antibiotic monotherapy.
PMID- 29634132
TI - [New approach to diagnosis, immunophenotypic verification and prognostic
prediction for renal angiomyolipoma].
AB - : Renal angiomyolipoma (AML) is a rare benign neoplasm of mesenchymal origin. AML
incidence varies from 0.3 to 5%, while women suffer four times more often than
men. In most cases, renal AML measures less than 4 cm, is asymptomatic and
detected accidentally with computed tomography or ultrasound. A histological
variant of AML need to be verified to choose management strategy and reduce the
risk of complications. Histological and immunohistochemical assessment of biopsy
and surgical specimens has an essential diagnostic value in determining the type
and subtypes of renal neoplasms and the risk of malignancy. The study aimed to
investigate pathomorphological and prognostic features (immunohistochemical
characteristics) of renal AML. MATERIALS AND METHODS: Patients (n=42) with CT
detected renal neoplasms underwent partial nephrectomy. The majority (n=34) of
patients was admitted to the hospital urgently, often without symptoms (n=23).
The surgical specimens studied were examined using light microscopy and
immunohistochemistry analysis with primary mouse monoclonal antibodies to HMB-45,
Melan-A, -SMA, S-100 and SC (Novocastra, UK). RESULTS: All specimens showed
morphological characteristics typical of AML and a positive response to
antibodies against HMB-45 (ve +/3; 99.6+/-0.3%), Melan-A (ve + / 2, 89.6+/-4.3%)
and SMA (ve + 2; 70.1+/-2.9%) and exhibited no staining with antibodies against S
100 and SK. CONCLUSION: Morphological study of renal AML shows that the tumor is
benign, therefore partial nephrectomy, in our opinion, should be considered as an
alternative to radical nephrectomy, including for sporadic giant renal AML.
PMID- 29634133
TI - [Complications of the holmium laser enucleation of prostate for benign prostatic
hyperplasia].
AB - INTRODUCTION: With growing experience in the HoLEP, it can replace TURP as the
"gold standard" for the surgical management of BPH, and therefore this technique
is the most studied surgical modality. Despite the proven effectiveness of HoLEP
in the treatment of patients with BPH, its widespread use has been associated
with both intra- and postoperative complications. AIM: To improve the results of
surgical management of patients with BPH. MATERIAL AND METHODS: The study
comprised 310 patients who underwent HoLEP for BPH. HoLEP was performed using the
Gillings technique. INCLUSION CRITERIA: presence of LUTS (Qmax<15 ml/s, Qav<10
ml/s, presence of residual urine, I-PSS score> 5, QoL score> 2), absence of an
active inflammatory process of the urogenital organs. RESULTS: Intraoperative
complications included severe hemorrhage in 16 (5.2%), the bladder wall injury in
17 (5.5%) and the ureteral orifice injury in 2 (0.6%) patients. 275 (88.7%) had
no intraoperative complications. Early postoperative complications included fever
in 4 (1.3%), the bladder tamponade that required cystoscopy and evacuation of
blood clots in 7 (2.3%) and acute urinary retention in 36 (11.8%) patients. 263
(84.6%) patients had no postoperative complications. Long-term postoperative
complications comprised urinary incontinence in 39 (12.6%) patients and urethral
strictures requiring surgical treatment in 9 (2.9%) patients. There were no long
term complications in 262 (84.5%) patients. CONCLUSION: HoLEP is an effective and
safe surgical modality for treating patients with BPH with minimal complications,
suitable for any size of the prostate.
PMID- 29634134
TI - [Nephrotuberculosis and urolithiasis].
AB - INTRODUCTION: Urolithiasis and nephrotuberculosis, due to the similarity of the
radiographic patterns, share the same differential diagnosis list. The study
aimed to analyze the incidence of co-occurrence of nephrotuberculosis and
urolithiasis and to determine the impact of urolithiasis on the clinical course
of renal tuberculosis. MATERIAL AND METHODS: This open cohort retrospective study
comprised 843 patients with renal tuberculosis and 245 patients with
urolithiasis. 1088 medical records were analyzed to identify cases with co
occurrence of these two diseases and determine the clinical presentation of renal
tuberculosis, urolithiasis, and the comorbid state. Also, patients with pulmonary
tuberculosis (44), urogenital tuberculosis (17), and chronic nonspecific
pyelonephritis (12) were tested for serum concentration of total calcium and
phosphorus. RESULTS: Of 843 patients with renal tuberculosis, 39 (4.6%), had
concomitant nephrolithiasis. The combination of urolithiasis with
nephrotuberculosis manifested by more severe symptoms; these patients had a more
than two-fold risk of tuberculosis recurrence. Except for the incidence of renal
colic and dysuria, the clinical manifestations of urolithiasis and
nephrotuberculosis did not differ statistically significantly. Prolonged
infectious and inflammatory process in the kidneys resulted in an increase in the
excretion of oxalates, which was more pronounced in patients with nonspecific
pyelonephritis (p<0.05). A three-month course of antituberculosis chemotherapy
resulted in a 36.2% increase in the excretion of oxalates in patients with
urotuberculosis (p<0.05). Excretion of uric acid also significantly increased
after a three-month intake of antituberculosis drugs. CONCLUSION: In our study,
the incidence of concomitant urolithiasis and urogenital tuberculosis was low
(4.6%), but comorbidity significantly complicated the clinical course of the
disease and worsened the prognosis of nephrotuberculosis. Antituberculosis
polychemotherapy increases the risk for formation of urinary stones. Prevention
of urolithiasis in patients with urogenital tuberculosis warrants further
investigation.
PMID- 29634135
TI - [Quality of life in patients with lower urinary tract symptoms after TURP for
benign prostatic hyperplasia].
AB - INTRODUCTION: To date, the gold standard for the surgical management of BPH is
transurethral resection of the prostate (TURP). Most patients who undergo TURP
for BPH experience immediate effects and complete relief of lower urinary tract
symptoms and do not need further urological care. However, some patients after
this operation have some level of persistent residual lower urinary tract
symptoms, which may require additional therapy. AIM: To investigate voiding
function and the need for medical therapy in patients who underwent TURP for LUTS
due to BPH. MATERIALS AND METHODS: This study was performed as an anonymous
survey among male patients presenting to a urology clinic. During the visit, the
patients were offered to fill out I-PSS and SF-36 questionnaires. Also, they were
asked about their socio-economic status, history of BPH, their perception of
surgery and the postoperative period up to the day of the interview, presence or
absence of symptoms of voiding dysfunction and any drug therapy at the time of
the interview or after surgery. The patients also rated the severity of the
symptoms using the IPSS questionnaire. The study comprised patients after 12
months to 3 years following successful TURP for LUTS due to BPH. A total of 1100
questionnaires were forwarded to researchers for interviewing patients who
underwent TURP for prostatic hyperplasia. RESULTS: After collecting and reviewing
all the questionnaires, 921 questionnaires were found eligible. The findings of
the survey showed that a significant proportion of patients who underwent TURP
require a long-term postoperative medical therapy. At the same time, in the
Russian Federation, there are no standard approaches to medical management of
this category of patients.
PMID- 29634136
TI - [Combination therapy in the management of urinary disorders after transurethral
resection of the prostate].
AB - INTRODUCTION: Surgery in patients with large prostates due to benign prostatic
hyperplasia (BPH) results in severe dysuria manifesting as frequent or urgent
need to urinate and urinary incontinence. Traditionally, these conditions are
treated with alpha blockers. Recently, alpha-blockers and the anticholinergic
combination have been used showing additional advantages. This study aimed to
investigate the comparative effectiveness of monotherapy versus combination
therapy in the treatment of postoperative dysuria in BPH patients with large
prostates undergoing transurethral surgery. MATERIALS AND METHODS: From September
2016 to March 2017, 94 BPH patients with prostates greater than 100 cc underwent
transurethral surgery at the Department of Urology of D.D. Pletnev Clinical
Hospital; 22 patients had exclusion criteria. In the postoperative period, 36
patients received 0.4 mg of modified release tamsulosin (Omnik) for a month, and
36 patients were administered controlled release tablets tamsulosin 0.4 mg +
solifenacin 6 mg (Vezomni) as fixed-dose combination therapy. At one month
postoperatively, patients were asked to rate their symptoms on a visual analogue
scale and fill out I-PSS and QoL questionnaires. Preoperative parameters of the
groups were: visual analogue scale scores were 5.1 and 5.2, I-PSS scores were 24
and 24.2, QoL scores were 4.5 in both groups. Prostate volumes were 114 and 118
cc; maximum urinary flow rates were 7.7 vs. 7.5 ml/sec, residual urine volumes
were 110 vs. 105 ml, respectively. RESULTS: Visual analogue scale scores were 6.5
versus 9.2 points, I-PSS scores were 16.3 versus 12.1. The patients of the second
group had greater mean micturition volume (150 versus 240 ml); other variables
did not differ statistically significantly between the groups. Adverse reactions
were mild, and drugs were not discontinued in any case. CONCLUSION: In BPH
patients with dysuria after transurethral resection of large prostates, Vezomni
administration results in a better quality of life due to an improvement in
urgency and nocturia.
PMID- 29634137
TI - [Effect of transderrmal testosterone on the quality of life of men with androgen
deficiency and chronic prostatitis in routine clinical practice].
AB - AIM: To evaluate the effect of Androgel on the quality of life of patients with
androgen deficiency (hypogonadism) and chronic prostatitis in everyday practice.
MATERIALS AND METHODS: This open multicenter observational non-interventional
study comprised 401 men with testosterone deficiency and chronic prostatitis who
were treated with topical applications of 1% testosterone gel of (Androgel) at a
dose of 50 or 100 mg in routine clinical practice for three months. The primary
endpoint was the health related quality of life. Also, the patients filled out
AMS, I-PSS, NIH-CPSI questionnaires to assess the quality of life related to
chronic prostatitis, lower urinary tract symptoms, and aging. Secondary endpoints
included changes in the overall score of the International Index of Erectile
Function (IIEF-5), changes in body weight and waist circumference, the reasons
for treatment discontinuation and any adverse events that occurred during
treatment. RESULTS: Mean total testosterone levels at baseline and three months
were 9.5 (95% CI 9.2-9.7) nmol/L and 16.5 (95% CI 16.1-16.9) nmol/l (p<0.001),
respectively. There were statistically significant (p<0.001) differences in
scores on all questionnaires. Mean scores at baseline and at three months for
AMS, IIEF-5, I-PSS, NIH-CPSI questionnaires were 44.6 (95% CI 43.2-45.9) and 25.8
(95% CI 24.8-26.7); 12.7 (95% CI 12.2-13.2) and 19.3 (95% CI, 18.8-19.8); 14.5
(95% CI 13.7-15.3) and 5.6 (95% CI 5.2-6.1); 27.8 (95% CI 26,5-29.1) and 10.0
(95% CI 9.1-10.9), respectively. There were positive changes in body weight and
waist circumference: at baseline and three months these parameters were 95 (95%
CI 93.6-96.3) and 91.4 (95% CI 90.1-92.7) kg and 102.9 (95% CI 101.8-104.1) and
98.3 (95% CI 97.3-99.3) cm, respectively. No clinically significant adverse
events were observed during follow-up. CONCLUSIONS: Transdermal therapy with 1%
testosterone gel (Androgel) is highly effective and safe in the management of
androgen deficiency (hypogonadism). Its use in patients with chronic prostatitis
and hypogonadism results in an improvement in low urinary tract symptoms,
symptoms of chronic prostatitis, alleviates pelvic pain and thus leads to
significant improvements in the quality of life.
PMID- 29634138
TI - [Species composition and molecular-genetic characteristics of antibiotic
resistant strains of gram negative bacteria isolated from patients of a hospitals
urology department].
AB - AIM: To analyze the composition and molecular mechanisms of antibiotic resistance
of Gram-negative bacteria - pathogens of urinary tract infections (UTIs) in adult
patients living in the city of Almaty. MATERIALS AND METHODS: The study examined
the etiological composition and antibiotic susceptibility of clinically
significant isolates of bacteria and fungi ( more or equal 103) isolated from the
urine of the patients of the Department of Urology of the Almaty city Central
Clinical Hospital No. 12. The production of extended-spectrum beta-lactamases
(ESBL) in antibiotic-resistant strains was determined using a phenotypic method
with the double disk test. Detection of class A genes for cultures with confirmed
ESBL phenotypes (TEM-1, CTX-M1, SHV, OXA) and carbapenemases of class B metallo-
lactamases (VIM-2) genes was performed by PCR. RESULTS: Among the isolates
obtained from patients, the species of Enterobacteriaceae family in the
etiological composition of the UTI pathogens comprised 44.8% including E. coli
(31%), K. pneumoniae (4.6%). The most effective drugs against all types of
Enterobacteria were carbapenems (96.3-100%). E.coli showed highest sensitivity to
nitrofurantoin (96.3%), amikacin (92.6%) and cefoxitin (81.5%). The high rate of
resistance to III-IV generation cephalosporins among of E. coli (44.4%) and K.
pneumoniae (50%) species was due to the production of ESBL types CTX-M1 and OXA.
The proportion of non-fermenting Gram-negative bacteria in the etiological
composition of UTI pathogens was 3.5%. Among them, there was a strain producing
the metal -lactamase (VIM-2), characterized by absolute resistance to antibiotics
of all classes, including carbapenems. CONCLUSION: The findings on sensitivity
profiles and molecular genetic mechanisms of resistance of Gram-negative
uropathogens are unique for the Almaty region provides the rationale for
developing a local strategy for rational antibiotic therapy. Timely
microbiological diagnosis and strict adherence to infection control in a specific
hospital are the only way to contain the spread of ESBL and metal -lactamase.
PMID- 29634139
TI - [Comparative analysis of one- and two-stage augmentation urethroplasty with
dorsal INLAY buccal graft for extended stricturesof spongious urethra].
AB - INTRODUCTION: Urethral reconstructive surgery is in constant development. At
present, reconstructive urethroplasty with buccal mucosa is increasingly being
used. The study aimed to compare the results of a one- and two-stage augmentation
urethroplasty with dorsal inlay buccal graft for strictures of the spongious
urethra. MATERIALS AND METHODS: The study comprised 72 patients aged 19-64 with
urethral strictures 3-18 cm long. In 34 (47.2%) patients, the stricture was
localized in the penile urethra, in 30 patients (41.6%) in the penile-bulbous
urethra and in 8 patients (11%) in the bulbous urethra. All patients underwent
augmentation urethroplasty with dorsal inlay buccal graft. The results were
evaluated separately in 55 (76.4%, group 1) and 17 (23.6%, group 2) patients who
underwent one-stage and two-stage surgery, respectively. RESULTS: The incidence
rate of early postoperative complications was higher after one-stage (23.6%)
compared two-stage surgery (11.8%) (p<0.05). Hematomas, wound dehiscence and
urethrocutaneous fistulas were observed only after single-stage surgery. Primary
healing of extensive strictures following augmentation urethroplasty with dorsal
inlay buccal graft was achieved in 88.9% of patients; treatment effectiveness in
the group 1 was 89.1%, in the group 2 - 88.2% (p>0.05). The final effectiveness
of the operation, achieved with the use of additional surgical interventions, is
estimated at 98.6%. CONCLUSION: The results of augmentation urethroplasty with
dorsal inlay buccal graft do not depend on the number of stages, but fewer
complications accompany two-stage surgery.
PMID- 29634140
TI - [Fibroblast growth factor-21 as a marker of premature aging in young and middled
aged men with type 2 diabetes].
AB - AIM: To investigate the impact of fibroblast growth factor 21 (FGF-21) on the
severity of androgen deficiency in young and middle-aged men with type 2 diabetes
mellitus. MATERIALS AND METHODS: The study comprised 100 men with type 2 diabetes
mellitus, cardiovascular multi-morbidity, obesity and androgen deficiency (study
group) and 20 healthy men aged 35-50 years. The study group was further divided
into two subgroups. Patients of the subgroup 1 received the standard treatment
for type 2 diabetes and cardiovascular disease. Patients of the subgroup two were
treated with conventional therapy concurrently with testosterone undecanoate. The
baseline examination included the following parameters: glycated hemoglobin,
total testosterone, prolactin, thyroid stimulating hormone and blood FGF-21. At
nine months after the treatment, the blood levels of glycated hemoglobin, FGF21
and testosterone were re-examined. The evaluation of the severity of androgen
deficiency was carried out using the ICEF-5 questionnaire and the Aging Males
Symptoms scale (AMS). RESULTS: In the study group, the mean FGF-21 level was 2.7
times higher, and the total testosterone level was 2-2.5 times lower than in the
control group (p<0.05). A negative correlation was found between the blood levels
of FGF-21 and total testosterone (r=-0.41, p<0.05). At nine months post
treatment, the subgroup with testosterone undecanoate administered as add-on
therapy showed a further decrease in FGF-21 levels and improved androgen
deficiency symptoms. DISCUSSION: FGF-21 is one of the markers for type 2
diabetes, cardiovascular multi-morbidity, obesity and androgen deficiency. Given
the association of FGF-21 with androgen deficiency, it can be assumed that FGF-21
plays a role in premature aging. Treatment of androgen deficiency as add-on
therapy to the standard treatment of this category of patients improves their
prognosis and the quality of life. CONCLUSION: Young and middle-aged men with
type 2 diabetes should undergo regular screening for androgen deficiency with the
purpose of its early diagnosis and timely treatment. The detection of elevated
levels of FGF-21 in young and middle-aged men with type 2 diabetes mellitus and
cardiovascular multi-morbidity may indicate premature aging and requires
preventive measures.
PMID- 29634141
TI - [Evaluation of testosteronemia in men undergoing surgery for urethral
strictures].
AB - INTRODUCTION: Testosterone plays an important role in the functioning of various
organs and systems of the male body. Its diagnostic and prognostic values are
studied both in urological diseases and in the patients undergoing non-urologic
surgery. AIM: To investigate changes in testosterone level in patients with
urethral strictures (US) depending on its baseline level, the cause of US, the
age of patients and the number of surgeries. MATERIALS AND METHODS: The study
comprised 30 patients aged 19-63 years with traumatic (76.7%) and inflammatory
(23.3%) US. Primary and recurrent US were diagnosed in 25 (83.3%) and 5 (16.7%)
patients, respectively. Nineteen (63.3%) patients underwent excision and primary
anastomosis, while replacement urethroplasty was performed in 11 (36.7%)
patients. In addition to the standard diagnostic work-up, all patients were
tested for total serum testosterone 24 hours prior to surgery and at 1, 3, 7, 14
days after the operation. RESULTS: 33.3% of men with US had a testosterone
deficiency in the absence of any testicular or endocrine injuries and diseases.
Surgery was associated with a drop in testosteronemia in 83.3% of patients. The
degree of postoperative testosterone level decline and its changes were
significantly influenced by the age of patients and the number of operations. Men
who had baseline testosterone deficiency and underwent repeat surgeries remained
in a hypogonadal state throughout the postoperative period. CONCLUSION:
Investigating the clinical value of testosterone in men with US and the risks of
their surgical treatment associated with testosterone deficiency will provide
insight into the role of testosterone in the treatment of this condition and the
decision-making regarding pharmacological correction of testosterone deficiency
in patients undergoing surgery for US.
PMID- 29634142
TI - [Combination drug therapy in patients with BPH].
AB - : Introuction. One of the risk factors for LUTS is an infravesical obstruction,
which is most often caused by benign prostatic hyperplasia (BPH). BPH symptoms
are formed due to three components: static (mechanical), dynamic, and impaired
functional capacity of the bladder. Medical treatment with 1-blockers decreases
the outflow obstruction. 5-alpha reductase inhibitors are used to inhibit the
static component of BPH. AIM: To investigate the effectiveness of various
modifications of medical therapy of BPH using -blockers and 5-reductase
inhibitors and combinations thereof. MATERIALS AND METHODS: The study comprised
90 BPH patients who were divided into three groups, with each group containing 30
people. Patients of group I, II and III received monotherapy with -blockers, a
combination of 5-reductase and -blockers, and fixed-dose combination drug
Duodart, respectively. Evaluation of the treatment effectiveness included filling
out voiding diaries, completing the I-PSS and QL questionnaires, uroflowmetry,
transrectal ultrasonography of the prostate and estimation of the incidence of
adverse effects. Also, compliance with the treatment was evaluated, and the
number of patients who had episodes of acute urinary retention and required
surgical treatment during the 12 month treatment course was registered. RESULTS:
Compared to monotherapy, combination therapy with -blockers and 5-reductase
inhibitors more effectively reduces the LUTS, increases Qmax and prevents the
disease progression, which manifests in a lower incidence of AUR and fewer
surgical interventions in groups II and III. However, the combination therapy can
be associated with some side effects. Patients who received fixed-dose
combination drug Duodart had a greater compliance rate than patients on the
combination of drugs, which, in our opinion, is associated with fewer cases of
AUR and surgical interventions. CONCLUSION: The use of Duodart in patients with
BPH effectively alleviates LUTS and reduces the risk of the disease progression,
which manifests itself in a reduced number of complications and thereby
contributes to improving the quality of life of patients.
PMID- 29634143
TI - [Afalaza in the management of patients with chronic pelvic pain syndrome].
AB - INTRODUCTION: Currently, chronic pelvic pain syndrome (CPPS) is one of the most
prevalent urological diseases, but due to the multifactorial nature of the
disease and the lack of consensus on its pathogenesis, the issue of adequate
therapy remains open. Since the vascular factor plays the major role in the
pathogenesis of CPPS, we hypothesized that this category of patients has
microcirculatory disturbances of the prostate. AIM: Detection of microcirculatory
disturbances of the prostate, their correction, and evaluation of the effect on
the course of CPPS. MATERIALS AND METHODS: The study comprised 60 healthy,
sexually active men with clinical manifestations of CPPS lasting from 6 months to
5 years. After a comprehensive examination, all patients received Afalaza 2
tablets twice daily for 16 weeks. At the end of week 16, patients were re
examined. RESULTS: In patients with CPPS, therapy with Afalaza resulted in a
significant improvement in microcirculation in the prostate thus leading to the
reduction of the severity of disease manifestations.
PMID- 29634144
TI - [Initial experience in clinical application of thulium laser contact lithotripsy
for transurethral treatment of urolithiasis].
AB - INTRODUCTION: The choice of an effective and safe method of disintegration of
stones in upper and lower urinary tract is very important in the context of
continuous scientific and technological progress. In current clinical urological
practice, various lithotriptors with rigid and flexible probes are used for
contact disintegration of stones, having both advantages and disadvantages. This
study aimed to analyze the first results of the clinical application of the
native Tm: fiber Urolaz laser (STA IRE-Polyus, Russia) for contact transurethral
lithotripsy. MATERIALS AND METHODS: The study comprised 56 patients who underwent
transurethral contact thulium laser lithotripsy for 68 stones of the upper and
lower urinary tracts between April and September 2017. Forty-four patients had
kidney and ureteral stones, and twelve patients had urinary bladder stones.
Twenty-four kidney stones were removed by retrograde intrarenal surgery using
ureteral casing, flexible ureteropyeloscope and thulium laser, 32 stones in
various ureteral segments - by rigid contact thulium laser ureterolithotripsy and
12 bladder stones - by thulium laser cystolithotripsy. The size of the upper
urinary tract stones varied from 0.6 to 1.8 cm, bladder stones measured from 1.1
to 3.5 cm. Also, experimental studies were carried out to investigate the effects
of the fiber thulium and holmium laser on the stone displacement and temperature
environment during lithotripsy. RESULTS: Full stone fragmentation was achieved in
100% of patients. 47.7% of patients required additional lithoextraction of
fragments; there was no retrograde migration of large stones. The mean duration
of stone disintegration was 19 minutes. Postoperatively, 15.9% of patients had an
exacerbation of pyelonephritis, which was successfully managed by conservative
measures. The mean postoperative hospital stay was 2.4+/-1.1 days. At follow-up
examination 4-6 weeks after surgery, one patient was found to have a residual
symptomatic ureteral stone, which required extracorporeal short-wave lithotripsy.
The experimental study showed that fiber thulium laser lithotripsy produced much
less propulsion of artificial stone than Holmium laser lithotripsy. With the use
of therapeutic power, neither of the lasers resulted in "dangerous" rises of the
washing fluid temperature during stone disintegration. CONCLUSION: Using the
universal thulium laser system "Urolaz" provides a significant improvement in the
effectiveness of endourologic upper urinary tract interventions and significantly
reduces the likelihood of intraoperative trauma and postoperative complications,
which contributes to improving the quality of specialized urological care.
PMID- 29634145
TI - [Results of laparoscopic ureterolithotomy depending on the type of laparoscopic
approach and location of the stone].
AB - AIM: To investigate the results of laparoscopic management of patients with
ureterolithiasis, depending on the type of laparoscopic approach and location of
the stone. MATERIALS AND METHODS: This study is a retrospective analysis of 30
ureterolithiasis patients who underwent laparoscopic ureterolithotomy from 2010
to 2015. Patients were divided into four subgroups depending on the type of
laparoscopic approach - transperitoneal (n=17) and retroperitoneal (n=13) and on
location of stones - upper ureteral stone (n=20) and mid ureteral stone (n=10).
The patients comprised 23 (76.7%) men and 7 (23.3%) women aged from 18 to 68
years (mean age 46.2+/-2.3 years). 15 patients had stones in the right and 15 in
left ureters. The groups were comparable by sex, age, the severity of
hydronephrosis, body mass index, duration of urolithiasis, comorbidities and
previous surgeries (p>0.05). RESULTS: There were no deaths, conversion to open
surgery or intraoperative complications. The only statistically significant
difference between transperitoneal and retroperitoneal approaches was the absence
of postoperative complications (p<0.05). The duration of drainage and hospital
stay was significantly shorter in patients with mid ureteral stone than in
patients with upper ureteral stone (p<0.05). CONCLUSION: Surgical management of
patients with ureterolithiasis of various locations using laparoscopic
ureterolithotomy by different approaches showed positive results of in all cases
thus indicating high clinical effectiveness of this method.
PMID- 29634146
TI - [Total epispadias of duplicated urethra in boys].
AB - The article reports on two cases of the total epispadias of duplicated urethra
with and without penile duplication. The authors describe the clinical
presentation, diagnosis, and treatment. Duplicated urethra with epispadias both
with and without penile duplication must be removed. At the same time, it is
necessary to restore the patency of the lower duplicated urethra.
PMID- 29634147
TI - [Urethral leiomyoma].
AB - In urology practice, leiomyoma of the urinary bladder is considered a rare tumor.
Urethral leiomyoma is even more unusual. This article is a case report of a very
rare benign tumor originating from the smooth muscles of the urethra causing
obstructive voiding in a woman.
PMID- 29634148
TI - [Methods of traditional chinese medicine in the treatment of patients with
interstitial cystitis/bladder pain syndrome].
AB - In recent years, there has been an increasing interest in alternative
(complementary) treatments of interstitial cystitis/bladder pain syndrome
(IC/BPS). This is due both to the high incidence of IC/BPS and to a lack of
effectiveness of conventional treatments. One of the directions of alternative
therapies is a traditional Chinese medicine using a special diet, various animal
and plant-derived medicines, breathing exercises and acupuncture. This review
analyzes the accumulated experience in using traditional Chinese medicine in the
treatment of patients with IC/BPS. The presented data indicate that these methods
appear to be promising, since they are effective in a significant number of
patients, lead to an improvement in their quality of life, are non-invasive and
well tolerated. However, due to the lack of clinical studies, the efficacy of
this treatment modalities needs to be confirmed.
PMID- 29634149
TI - [Use of buccal graft for the management of ureteral strictures].
AB - This review analyses of the results of using buccal mucosa graft for the
management of ureteral strictures. The authors identified sixteen original
studies presenting the results of ureteral reconstruction using buccal grafts. Of
them, twelve, three and one studies used the open, robotic and laparoscopic
technique, respectively. Open surgery was performed 44 times in 42 patients (2
patients underwent bilateral ureteroplasty) with an average ureteral stricture
length of 6.0 (2.5-11) cm. Ureteral patency was restored in 93.2% (41/44) of
patients with an average follow-up of 26 (3-85) months. The robotic technique was
used in 18 patients with an average ureteral stricture length of 3.25 (1.5-6) cm.
Positive results after similar operations were achieved in 88.9% (16/18) of
patients with an average follow-up of 15 (4-30) months. The laparoscopic
technique was used only once for a ureteral stricture measuring 3 cm in length
and was characterized as successful at a follow-up of 9 months. All surgical
modalities were associated with minimal risk of early and late postoperative
complications. The review results suggest that buccal substitution ureteroplasty
can be regarded as an advantageous alternative to more complicated surgical
procedures associated with greater complication rates (ileal ureteral
substitution and kidney autotransplantation) used for long ureteral strictures.
PMID- 29634150
TI - [Clinical implications of dual-energy computed tomography in the diagnosis and
treatment of urolithiasis].
AB - Urolithiasis (urolithiasis) is one of the most common urologic diseases with an
estimated prevalence of no less than 3% in the population, usually affecting
active working-age patients of 30-50 years. Taking into account major public
health and economic significance of this problem, there is the need for the
development of effective modern diagnostic techniques. Rapid medical
technological advances of the past two decades have led to the wide spread use of
minimally invasive surgery the management of urolithiasis. Nevertheless, surgical
intervention only removes the result of a long pathological process and does not
change its course. Thus, there is a need for a detailed understanding of the
etiology, epidemiology, and pathogenesis of urolithiasis. Diagnostic imaging
plays a key role in the diagnosis of urolithiasis. Multislice spiral computed
tomography (MSCT) is the gold standard for the diagnosis of urolithiasis. It
provides information about the size, location, and density of the calculus. Over
the past decade, the use of dual-energy computed tomography (DECT) in urological
practice has been widely discussed in the international and domestic literature.
One of the main advantages of DECT is the ability to determine the chemical
composition of urinary stones. Previous studies have reported a high diagnostic
value of the method, including the ability to predict treatment outcomes.
However, the shortcomings of the method and the absence of standardized
examination protocols leave a wide field for further research. This article
reviews major distinctive features of using DECT in the diagnosis of
urolithiasis.
PMID- 29634151
TI - [Current view on the pathogenesis of varicocele and the problem of its
recurrence].
AB - The clinical signs of varicocele typically emerge during the puberty. Varicocele
is found in 15% of men in the general population and 25-35% and 50-80% of males
presenting with primary and secondary infertility, respectively. Factors
contributing to the development and recurrence of varicocele include the
abnormalities of the testicular venous drainage and outflow (varicose veins are
more common on the left than on the right), the anatomical features of the veins
of the testicular and prostatic venous plexus, the patients constitution,
predisposition to constipation or diarrhea, physical activity. At present, the
genetic defects, including the undifferentiated connective tissue dysplasia
(UCTD) with hereditary insufficiency of venous valves and the weakness of the
testicular vein walls, are thought to play a key role in the formation of a
varicocele. Considering the importance of varicocele in the development of male
infertility, the role of the UCTD in varicocele formation warrants a detailed
investigation to provide an individual approach to patients and predict the
disease recurrence.
PMID- 29634152
TI - [Oxidative stress in some inflammatory and infectious urogenital diseases in
men].
AB - The article summarizes and analyzes the literature relating to lipid peroxidation
and antioxidant protection in men with infectious and inflammatory diseases of
diverse etiologies. These processes not only impair spermatogenesis, but also
result in the oxidative stress in the blood and semen of men of reproductive age.
Analysis of recent domestic and international literature suggests that oxidative
stress is a key contributor and/or one of the pathogenetic links in the
development of many infectious urogenital diseases in men.
PMID- 29634154
TI - [Memorable dates and anniversaries in the history of urology and related
specialities in 2018].
PMID- 29634153
TI - [Dosage forms of sildenafil in the management of erectile dysfunction].
AB - The experience in the management of erectile dysfunction shows that taking even
the most effective medications in tablet form may be inconvenient due to the need
for natural settings for intimacy. The phosphodiesterase type 5 inhibitor
sildenafil, presented in the orally disintegrating film formulation (Dynamic
Forward), differs from all forms of the drug for the treatment of erectile
dysfunction available in the Russian pharmaceutical market. The drug in the form
of a film makes it possible to realize a pathogenetic approach to treating ED
without changing the patients habitual way of life.
PMID- 29634155
TI - Use and misuse of mixed methods in population oral health research: A scoping
review.
AB - BACKGROUND: Despite the known benefits of a mixed methods approach in health
research, little is known of its use in the field of population oral health.
OBJECTIVE: To map the extent of literature using a mixed methods approach to
examine population oral health outcomes. METHODS: For a comprehensive search of
all the available literature published in the English language, databases
including PubMed, Dentistry and Oral Sciences Source (DOSS), CINAHL, Web of
Science and EMBASE (including Medline) were searched using a range of keywords
from inception to October 2017. Only peer-reviewed, population-based studies of
oral health outcomes conducted among non-institutionalised participants and using
mixed methods were considered eligible for inclusion. RESULTS: Only nine studies
met the inclusion criteria and were included in the review. The most frequent
oral health outcome investigated was caries experience. However, most studies
lacked a theoretical rationale or framework for using mixed methods, or
supporting the use of qualitative data. Concurrent triangulation with a
convergent design was the most commonly used mixed methods typology for
integrating quantitative and qualitative data. The tools used to collect
quantitative and qualitative data were mostly limited to surveys and interviews.
CONCLUSION: With growing complexity recognised in the determinants of oral
disease, future studies addressing population oral health outcomes are likely to
benefit from the use of mixed methods. Explicit consideration of theoretical
framework and methodology will strengthen those investigations.
PMID- 29634156
TI - Morphology Controlling of ZnO Sub-Micron- and Micro-Structures from Sub-Micron
Zinc Citrate Precursor.
AB - A wet-chemical route has been demonstrated to investigate the morphology
evolution of high crystalline ZnO sub-micron- and micro-structures created from a
zinc citrate precursor consisted of zinc citrate nanoparticles. The
concentrations of precursor zinc citrate and the addition of trisodium citrate
were key factors in the controlling of ZnO micro-morphology. Assembled growth
resulted in the formation of ZnO sub-micron- and micro-structure with twin-cone
and flower-like morphologies. The ZnO flower was consisted of cone petals. The
shape of ZnO microstructures was further adjusted using trisodium citrate to
created thin and thick hexagonal-plates. In the case of a high Zn concentration,
thick hexagonal-plates were split into a flower-like morphology. The
investigation of morphological evolution indicated that trisodium citrate is
critical to control the growth rate of polar (0001) plane. The formation of a
flower-like structure is ascribed to the assembly of crystal units with a high
zinc citrate concentration.
PMID- 29634157
TI - PREVALENCE OF INTESTINAL PROTOZOAN INFECTIONS AMONG CHILDREN IN THAILAND: A LARGE
SCALE SCREENING AND COMPARATIVE STUDY OF THREE STANDARD DETECTION METHODS.
AB - A significant impact of intestinal parasitic infections on public healthhas
mostly been neglected. Parasitic infections are one of risk factors for
malnutritionin children. In this study, a large-scale screening of intestinal
parasiticinfections among children in 16 schools in 6 regions of Thailand was
performed.In addition, we compared sensitivity of methods currently employed for
detectionof intestinal parasitic infection. Fecal samples collected from 1,909
studentswere examined for intestinal parasites by simple smear, formalin-ethyl
acetateconcentration (FECT), and Locke-egg-serum (LES) medium culture
methods.Seven hundred and thirteen samples were infected with at least one
intestinalparasite. The highest prevalence (82.8%) was found in Kanchanaburi
Province,western Thailand. Blastocystis spp was the most common (32.8%) parasite,
followedby Giardia duodenalis (4.2%), Ascaris lumbricoides (3.6%), hookworms
(1.6%),Entamoeba histolytica (0.7%), Trichuris trichiura (0.5%), Enterobius
vermicularis (0.5%),Strongyloides stercoralis (0.4%), minute intestinal flukes
(0.2%), and Taenia spp(0.1%). Mixed parasitic infections were found in 121
students. In a comparativestudy, we found that FECT was more sensitive (74.0%)
than simple smear (55.0%)method for detecting helminths. However, sensitivity of
these two methods is notsignificantly different for protozoan detection (31.2% by
simple smear and 33.5%by FECT). LES culture technique was the most sensitive
method (77.5%) for detectingBlastocystis spp. Our results indicate a high
prevalence of intestinal parasiteinfection among Thai students. More sensitive
methods should be developed fora large-scale screening of intestinal protozoan
infection.
PMID- 29634158
TI - Fabrication of Well-Ordered, Anodic Aluminum Oxide Membrane Using Hybrid
Anodization.
AB - Anodic Aluminum Oxide (AAO) is one of the most favorable candidates for
fabrication of nano-meshed membrane for various applications due to its
controllable pore size and self-ordered structure. The mechanism of AAO membrane
is a simple and has been studied by many research groups, however the actual
fabrication of membrane has several difficulties owing to its sensitivity of
ordering, long anodizing time and unclearness of the pore. In this work, we have
demonstrated enhanced process of fabrication symmetric AAO membrane by using
"hybrid anodizing" (Hyb-A) method which include mild anodization (MA) followed by
hard anodization (HA). This Hyb-A process can give highly ordered membrane with
more vivid pore than two-step anodizing process. HA was implemented on the Al
plate which has been already textured by MA for more ordered structure and HA
plays a key role for formation of more obvious pore in Hyb-A. Our experimental
results indicate that Hyb-A with proper process sequence would be one of the fast
and useful fabrication methods for the AAO membrane.
PMID- 29634159
TI - Application of the Synthesized Activated Carbon-4A Zeolite Composite from
Elutrilithe in Wastewater Treatment.
AB - The quartz abundant elutrilithe with several other elements from Pan zhihua, was
taken as the main material to synthesize activated carbon-4A zeolite composite by
hydrothermal crystallization after alkali fusion at 750 degrees C for 1 h under
a flowing N2 atmosphere. Then the effect of alkali content and molar ratio of H2O
versus Na2O on product was investigated, respectively. Finally, the activated
carbon-4A zeolite composite was characterized by X-ray diffraction (XRD),
scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FT
IR), thermogravimetry-differential scanning calorimetry (TG-DSC). The results
showed that the crystallization product was activated carbon-4A zeolite composite
with complete crystal form and the average particle size was about 1 MUm.
Moreover, the composite materials had well adsorption capacities to water, hexane
and metal ions such as Cu2+, Ni2+, Zn2+ and Pb2+ analyzing by inductively coupled
plasma atomic emission spectrometry (ICP).
PMID- 29634160
TI - OCCURRENCE AND GENETIC CHARACTERIZATION OF GRA6 AND SAG2 FROM TOXOPLASMA GONDII
OOCYSTS IN CAT FECES, KUNMING, CHINA.
AB - Toxoplasma gondii-like oocysts were detected in 4% of cat feces usinglight
microscopy in Kunming, China. Amplicon was generated from these oocystsusing a T.
gondii- but not Hammondia hammondi-specific primer set. Cat and KMmouse were
susceptible to infection by these T. gondii-like oocysts. Tissue cystswere found
in the brain of the experimentally infected animals and were infectiveto mouse,
indicating that the T. gondii-like oocysts isolated from naturally infectedcats
had a facultative secondary host life cycle. Comparison of GRA6 and SAG2sequences
with those of reference strains indicated that the cat-derived T. gondii(KM
isolate) were typical of T. gondii genotype II. This is the first report of
isolation,identification, and genotyping of T. gondii from feline feces in China.
Theoccurrence of oocyst shedding in the cat population studied was higher than
thatfound in most cat populations world-wide, which strongly implies the need
forfurther studies of population genetic structure of T. gondii, as well as for
preventionand control of T. gondii infection in cats in China.
PMID- 29634161
TI - FACTORS ASSOCIATED WITH THE PREVALENCE OF ASCARIS LUMBRICOIDES INFECTION AMONG
PRESCHOOL CHILDREN IN A PLANTATIONCOMMUNITY, KANDY DISTRICT, SRI LANKA.
AB - Plantation living conditions in Sri Lanka are often poor. Ascaris
lumbricoidesinfections are common among those living in plantations. We conducted
across sectional study of children aged 1 - 6 years living on a plantation to
determinethe prevalence of ascariasis and factors associated with it in order to
educate onprevention and to implement treatment programs. A total of 258
preschool childrenselected using a simple random sampling was included in the
study conductedduring January - April, 2013. Data regarding socio-demographic and
hygienichabits were collected from heads of households via an interviewer
administeredstructured questionnaire. Wet mount preparation, formaldehyde-ether
sedimentationand Kato-Katz techniques were used to evaluate stool samples for
Ascariseggs. The overall prevalence of Ascaris infection among study subjects was
37.8%.On multivariate logistic regression analysis, factors significantly
associated withAscaris infections were: living in attached houses (p=0.035),
shared toilet facilities(p=0.001), de-worming period more than three months
(p<0.001), maternal educationlevel (p<0.001) and living in the "Top" government
administrative division(p=0.028) in the study area. Poor sanitation facilities
and poor health educationwere important factors associated with Ascaris
infections. A health educationprogram promoting improved sanitary facilities and
good hygiene is needed toreduce the prevalence of Ascaris infection in the study
population.
PMID- 29634162
TI - EFFECTS OF SUGAR CONCENTRATION ON FECUNDITY, BITING BEHAVIOR AND SURVIVABILITY OF
FEMALE AEDES (STEGOMYIA) ALBOPICTUS (SKUSE).
AB - This study was conducted to better understand the effect of differentsucrose
concentrations on Aedes albopictus fecundity, biting behavior and
survival.Laboratory strain Ae. albopictus females were raised at four different
sucroseconcentrations (10%, 30%, 50%, and 70%) and their fecundity, host biting
on andsurvival rates were determined. Mosquitoes fed on high (50% or 70%)
showedhigher mean fecundity rate compared to those on low (10% or 30%) sucrose
concentration,and had higher daily biting rate. On the other hand, mosquitoes
fedon the low (10% or 30%) sucrose concentrations recorded higher survival
rate.These results suggest female mosquitoes deficient in nutrient intake during
sugarfeeding may regain nutrients needed during blood feeding, whereas those
fedon high sucrose concentration have high fecundity due to high biting rate
buthave low survivability due to low sucrose intake during sugar feeding. Thus,
Ae.albopictus females have a capability to regulate their metabolic needs based
onsugar nutrient availability.
PMID- 29634163
TI - Effects of Annealing Pressure on Microstructure and Conversion Efficiency for
Electrodeposited CuInSe2 Absorbers.
AB - As-deposited CuInSe2 thin films by electrodeposition method are usually
accompanied with amorphous structure which is regarded detrimental for solar cell
conversion efficiency. In this work, we proposed an annealing method under high
pressure for improving the conversion efficiency of electrodeposited CuInSe2 thin
film solar cells, and the microstructure of high-pressure annealed CuInSe2 films
were also investigated. The annealing pressure was set from 100 kPa to 250 kPa,
and the annealed CuInSe2 thin films were then fabricated into solar cell using
standard process. Field-emission scanning electron microscopy (FESEM) images show
that CuInSe2 films with higher annealing pressure demonstrate denser and smoother
surface morphology. Results from X-ray diffraction (XRD) and Raman spectra
indicate that annealing under high pressure enhanced the (1 1 2) preferential
orientation of CuInSe2 films and also eliminated binary Cu-Se phases. Finally,
through annealing CuInSe2 absorber layer under 200 kPa, the fill factor of the
CuInSe2 solar cell was found to be improved from 28.4% to 55% and the efficiency
from 2.77% to 6.91%.
PMID- 29634164
TI - Conversion of Glycerol to Lactic Acid Catalyzed by Different-Sized Cu2O
Nanoparticles in NaOH Aqueous Solution.
AB - Different-sized Cu2O nanoparticles with the average particle sizes ranging from
115 to 423 nm were prepared starting from CuSO4 using ascorbic acid as the
reductant at room temperature. When Cu2O nanoparticles were used as the catalysts
for hydrothermal conversion of glycerol at 230 degrees C in a NaOH aqueous
solution, Cu2O nanoparticles effectively catalyzed the hydrothermal conversion of
glycerol to lactic acid as compared to the conventional hydrothermal conversion
of glycerol in a "pure" NaOH aqueous solution. Small-sized Cu2O nanoparticles
showed higher catalytic activity than the large-sized ones. In a wide glycerol
concentration range of 1-2.5 mol/L and a low mole ratio of Cu2O nanoparticle to
glycerol of 2.5:100, the glycerol conversion and lactic acid selectivity were
more than 86.2% and 87.2%, respectively, after reacting at 230 degrees C for 2
h.
PMID- 29634165
TI - Effect of Nanotube Morphologies on Multi-Walled Carbon Nanotubes Based Counter
Electrode for Dye-Sensitized Solar Cell.
AB - Multi-walled carbon nanotubes (MWCNTs) with different morphologies were
introduced into dyesensitized solar cell (DSSC) as low-cost substitutes for Pt
counter electrode (CE). The effect of length and orientation of MWCNTs on the
power conversion efficiency (PCE) of DSSC with MWCNTs CE were studied by
measuring electrochemical impedance spectroscopy of MWCNTs and the photocurrent
density-voltage (J-V ) characteristics of DSSC in this study. Results revealed
that the long MWCNTs showed better electrocatalytic activity of reducing
triiodide ions than short MWCNTs and yielded the power conversion efficiency of
2.42%. When the aligned multi-walled carbon nanotubes (AMWCNTs) with the same
length as the long MWCNTs were used to prepare the CE, the power conversion
efficiency of the DSSC reaches 2.95%. In order to further improve the performance
of the DSSC, the processing of photoanode and counter electrode were adjusted.
The power conversion efficiency of the cell with AMWCNTs as CE prepared by
adjusted processing achieved 3.95% and the short circuit current density is
superior to the DSSC with Pt as CEs, and it indicated the adjusted processing is
beneficial to increase the overall performance of the dye-sensitized solar cell.
PMID- 29634166
TI - Transition Metal (Fe, Co, Ni) Oxide Micro/Nanostructures: Phase, Morphology, and
Decomposition of Precursors.
AB - Transition metal oxides nano/micro structures including alpha-Fe2O3, Fe3O4, Co3O4
and NiO were fabricated through controlling the decomposition of corresponding
precursors. It is found that the morphology and phase composition of iron oxide
depended strongly on heat-treatment approaches and conditions of the precursor.
Furthermore, the iron oxide precursor created from FeCl2, FeCl3 and N2H4 in N,N
dimethylformamide solution with polyvinyl pyrrolidone via a hydrothermal
synthesis was an unknown phase according to the X-ray diffraction (XRD) data
base. Fe3O4 nanoparticles (NPs) with several nanometers were obtained when the
rod precursor was dissolved in water at room temperature, In contrast, when the
precursor was calcined in an air atmosphere, porous alpha-Fe2O3 rods were
acquired. Interestingly, the rod precursor can be transformed into perfect Fe3O4
rhombic dodecahedrons in the case of keeping the solvothermal reaction for a long
time. For comparison, cobalt and nickel oxide precursors were prepared. After
calcination, porous rod-like Co3O4 crystals comprised of tiny particles and
flower-like NiO hierarchical structures comprised of porous sheets were obtained.
PMID- 29634167
TI - Simultaneous Extraction of DNA and RNA from Hepatocellular Carcinoma (Hep G2)
Based on Silica-Coated Magnetic Nanoparticles.
AB - Nucleic acid (NA) extraction from cancer cells is an essential step in molecular
oncologic testing. The conventional NA extraction protocols, based on several
ultracentrifugation steps, suffer from time-consuming and complex manipulation.
Here, a magnetic nanoparticle (MNP) based method for simultaneous extraction of
DNA and RNA from cancer cells is described. This MNP based technique has received
great attention and significant interest due to its convenient manipulation, low
cost and ease for automation. Different factors including lysis buffer, ethanol,
MNPs and washing buffers which may affect the yield of nucleic acid were
optimized. The average yield of DNA and RNA obtained from 1 mL Hep G2 (~106
cells) ranged from 9.7 to 14.7 MUg with A260/A280 values between 1.68 and 2.01.
The isolated DNA and RNA, using this method, were suitable for downstream
activities such as PCR and RT-PCR.
PMID- 29634168
TI - Photoluminescence Study of Ammonium-Free Chemical Bath Deposition of CdS
Nanoparticles on Polyester Substrate.
AB - Self-assembled cadmium sulfide (CdS) thin solid films were synthesized by
chemical bath deposition (CBD) technique for different deposition times (20 min.
24 h) on transparent and flexible polyester substrates using sodium acetate
complex agent. CBD-CdS films were also deposited on glass (BK7) and quartz
substrates, as reference. CBD-CdS films on polyester substrate showed a
homogeneous deposition, reduction of chemical or structural defects (green
emission), and large photoluminescence efficiency in comparison of CBD-CdS films
deposited on Si-based substrates. Simulation of PL temperature dependence for
polyester CdS films using the rate equation for the carrier population showed the
presence of two decay pathways due to cadmium and sulphide defects at
crystallite.
PMID- 29634169
TI - Partial Delignification as Pretreatment for Nanoporous Carbon Material from
Biomass.
AB - For the pretreatment in order to nano prepare porous carbon from biomass such as
bamboo, a mixture of acetic acid and hydrogen peroxide was used for the partial
delignification of bamboo. The pretreatment should be effective for the removal
of lignin because the lignin percentage after the pretreatment depended on the
treatment time and the treatment temperature. For the concentration of the
mixture used for the pretreatment in this study, a small amount of lignin (ca. 2
wt%) remained even after a sufficiently-long treatment time. The BET specific
surface area of the carbon material prepared by the heat treatment at 800 degrees
C for 1 h under flowing N2 was related to the pretreatment conditions, and the
specific surface areas of the samples were found to be related to the lignin
percentage. The removal of lignin while maintaining the microstructure derived
from plant tissue could be the reason for the local maximum of the specific
surface area at ca. 5% of the lignin.
PMID- 29634175
TI - PREVLENCE AND RISK FACTORS FOR OPISTHORCHIS VIVERRINI INFECTION AMONG CATS AND
DOGS IN SIX DISTRICTS SURROUNDING THE UBOLRATANA DAM, AN ENDEMIC AREA FOR HUMAN
OPISTHORCHIASIS IN NORTHEASTERN THAILAND.
AB - Opisthorchis viverrini is a zoonotic liver fluke that causes opisthorchiasisamong
humans in endemic areas, such as northeastern Thailand. The objectiveof this
study was to determine the prevalence and risk factors for O. viverriniinfection
among cats and dogs in six districts surrounding Ubolratana Dam. Fecalsamples of
1,018 dogs and 249 cats were collected between 2008 and 2013 toexamine for O.
viverrini infection using a modified formalin-ether concentrationtechnique. The
prevalence of O. viverrini infection among cats (77 of 249, 30.92%)was higher
than dogs (2 of 1,018, 0.20%). Age and the eating habits of cats wereassociated
with O. viverrini infection. Cats aged >3 years were more likely thanthose aged
<1 year [Odds ratio (OR)=2.96; 95% confidence interval (95% CI): 1.01-8.35; p =
0.044] of being infected. Cats that consumed raw fish were significantlymore
likely to be infected than those that consumed other foods (OR=1.82, 95%CI: 1.05
3.16; p = 0.032). Cats had a higher prevalence of O. viverrini infection thandogs
and may play an important role in the transmission and maintenance of thisdisease
in the study area.
PMID- 29634176
TI - Hybrid Biodegradable Hydrogels Obtained from Nanoclay and Carboxymethylcellulose
Polysaccharide: Hydrophilic, Kinetic, Spectroscopic and Morphological Properties.
AB - In this paper, series of novel nanocomposite hydrogels based on polyacrylamide
(PAAm), carboxymethylcellulose (CMC) and nanoclay were synthesized. Hydrophilic,
kinetic, spectroscopic and morphological properties were investigated as function
of their constituents. Spectroscopic properties confirmed the obtaining of the
nanocomposites. It was also observed that the nanocomposites have walls of pores
with a more rugged morphology compared with the morphology of the hydrogel
without clay, contributing to repel the water molecules. Besides, the results
showed that the velocity and quantity of water uptake may be controlled by
adjusting of matrix rigidity, i.e., nanoclay content into polymeric matrix. This
behavior is required to future application in agriculture fields, specifically as
carrier vehicle in controlled release of agrochemicals. Thus, these
nanocomposites have technological application.
PMID- 29634177
TI - ASSESSING THE COST BURDEN OF DENGUE INFECTION TO HOUSEHOLDS IN SEREMBAN,
MALAYSIA.
AB - Dengue is endemic in all parts of Malaysia. However, there is limiteddata
regarding the cost burden of this disease at household level. We aimed toexamine
the cost of dengue infection at the household level in Seremban
District,Malaysia. This cost assessment can provide an insight to policy-makers
abouteconomic impact of dengue infection in order to guide and prioritize control
strategies.The data were collected via interview. We evaluated120 previous
dengueinfection patients registered at the Tuanku Ja'afar Hospital, Seremban
District,Malaysia. The average duration of dengue illness was 9.69 days. The
averagehousehold days lost was 18.7; students lost an average of 6.3 days of
school andpatients and caregivers lost an average of 12.5 days of work. The mean
total costper case of dengue infection was estimated to be USD365.16 with the
indirectcost being USD327.90 (89.8% of the total cost) and the direct cost being
USD37.26(10.2% of the total cost). Our findings suggest each episode of dengue
infectionimposes a significant financial burden at the household level in
Seremban District,Malaysia; most of the burden being indirect cost. This cost
needs to be factoredinto the overall cost to society of dengue infection. This
data can inform policymakers when allocating resources to manage public health
problems in Malaysia.
PMID- 29634178
TI - Outstanding Elastic Limit of a Thin Film Composed of Nickel Nanosprings.
AB - This paper presents experimental results of vertical loading using an atomic
force microscope (AFM) performed on a thin film consisting of nickel helical
nanoelements (nanosprings) formed by glancing angle deposition (GLAD) technique.
As a helical element has large reversible deformation limit in general, a
characteristic behavior is expected on the yielding of the film. From the load
versus displacement curves, we find the outstanding elastic limit of nickel
nanosprings film. The apparent yield strain is evaluated as epsilon' Y = 5.2~6.2
* 10-2, which is around 200 times of that in bulk nickel (epsilon Y = 0.29~0.44
* 10-3). However, comparing the maximum shear stress in the helical spring and
the solid film, the shape effect (helical shape) is only around 10~20 times
stemmed from the difference in the stress condition (torsion). The origin of
difference is attributed to the size effect of nanosprings, as nano-scale metals
have higher yield strain than that of bulk counterpart because of the difference
in the understructure morphology. The combination of shape effect and size effect
brings about the giant elastic limit on the film.
PMID- 29634179
TI - Synthesis and Visible-Light Photocatalytic Activity of CeO2 Nanoboxes Based on
Pearson's Principle.
AB - The CeO2 nanoboxes with well-defined hollow structure were fabricated by template
engaged coordinating etching of Cu2O cubes based on Pearson's hard and soft acid
base principle. The morphologically uniform CeO2 nanoboxes have an average edge
length of 400 nm and shell thickness of around 60 nm. The strong chemical
affinity between Cu+ and S2O(2- 3) was the driving force for the etching of Cu2O
templates and the formation of shells. A possible formation mechanism of CeO2
nanoboxes was proposed. The synthesized CeO2 nanoboxes exhibit good
photocatalytic activity for photodegradation of acid orange 7 (AO 7) under
visible light irradiation.
PMID- 29634180
TI - Thermal Treatment of Poly (N-vinylformamide) Produced Hydrogels Without the Use
of Chemical Crosslinkers.
AB - Hydrogel preparations using poly(N-vinylformamide) (PNVF) and poly(N
vinylacetamide) (PNVA), which are composed of non-conjugated vinyl monomers, N
vinylformamide (NVF) and N-vinylacetamide (NVA), were investigated without
chemical crosslinkers. Hydrogen bonding interaction between the polymers and
poly(ethylene glycol) have been firstly attempted to result in unstable film,
which is easily soluble in water. On the other hand, the simple thermal treatment
of the PNVF films at 200 degrees C for several hours produced the hydrogels
after water immersion, although PNVA did not produce any hydrogels under the same
condition. The swelling ratios of the formed hydrogel ranged from 100 to 250. The
analysis of the supernatant reveals the structural change at alpha proton of
PNVF. Furthermore, the decrease of molecular weights were observed by SEC
analysis when the hydrogels formed. The possible mechanism of the crosslinking
reaction is also discussed. The present study provides the novel method to
prepare PNVF hydrogels without the use of chemical crosslinkers.
PMID- 29634181
TI - Verification of Mechanism for the Formation of Carbon Nanotetrahedra Using
Electron Beam Tomography.
AB - When a carbon nanotube is flattened in two different directions, a
nanotetrahedron is formed between the two nanoribbons. The distribution of the
angles between the nanoribbons provides a clue to understanding the mechanism for
the formation of nanotetrahedra. In this study, the angles between nanoribbons
are measured using transmission electron microscopy-based electron beam
tomography. The results are consistent with the proposed origami mechanism, in
which the direction of flattening changes by approximately 90 degrees during the
growth of multi-walled carbon nanotubes.
PMID- 29634182
TI - SALMONELLA SEPTIC BURSITIS OF THE ANKLE IN A HUMAN IMMUNODEFICIENCY VIRUS
INFECTED PATIENT: A CASE REPORT AND LITERATURE REVIEW.
AB - Salmonella is an unusual cause of septic bursitis of the ankle. A 48-yearoldmale
fish-merchant with a history of HIV infection with a CD4 cell count of79 cells/ml
presented with pain of the left ankle for 2 weeks and fever for 1 day.The bursal
fluid was aspirated and culture of the fluid revealed Salmonella groupD. He was
treated initially with intravenous ceftriaxone 2g once daily for 5 days,followed
by oral ciprofloxacin 500mg twice daily for 4 weeks to give a treatmentcourse of
5 weeks. Follow-up visit revealed complete recovery without any residualdefects.
Salmonella should be considered in the differential of the etiologyof
immunosuppressed patient with septic bursitis.
PMID- 29634183
TI - Arsenic and Diabetes: Assessing Risk at Low-to-Moderate Exposures.
PMID- 29634184
TI - WILLINGNESS TO PAY, QUALITY OF LIFE, AND KNOWLEDGE ON HERPES ZOSTER AMONG THAI
PATIENTS PRIOR ZOSTER VACCINE ERA.
AB - Herpes zoster is a devastating condition affecting patients' wellbeing.Policy on
zoster vaccine in developing countries needs more data on the diseaseburden. This
study was designed to assess willingness to pay, quality of life, andthe
patients' knowledge on herpes zoster disease. All of the patients were askedto
complete questionnaires about the willingness to pay for zoster treatment
usingthe Dermatology Life Quality Index (DLQI) questionnaires in Thai version
andbasic knowledge about herpes zoster. The demographic and clinical data were
allrecorded. Eighty-two from one hundred and eighteen patients in this study
werefemale (69.5%), and the mean (SD) age was 57.6 (14.9) years. The median of
theirwillingness to pay for zoster treatment was THB500 (range of THB50-10,000)
oronly 4.2% of median income per month. The mean of total DLQI score (SD) was10.7
(6.2), which indicated moderate to severe impact on quality of life. Frommultiple
linear regression analysis, three factors were related to inferior
patients'quality of life including facial involvement (regression coefficients,
b=4.789,p=0.001), presence of zoster complications (b=5.018, p=0.001) and
advanced painscore (b=0.883, p<0.001). Moreover, more than half of them still had
mistakenknowledge about the disease.
PMID- 29634185
TI - TRENDS IN THE PREVALENCE OF SYPHILIS AMONG OLDER ADULTS IN SHANDONG, CHINA.
AB - The epidemiology of syphilis among older adults in China has not beenwell
studied. We studied changes over time in the prevalence of syphilis amongolder
adults in Shandong, China to inform a syphilis intervention program inorder to
develop management strategies. We retrospectively reviewed passivesurveillance
data of syphilis among older adults in Shandong, China during2008-2013, reported
by clinicians in medical facilities. The data included the stageof syphilis, age
of patient and gender by year. The prevalences for first, second,latent and
overall syphilis by age among adults aged >=60 years in Shandong were0.92, 0.70,
2.37 and 3.92 cases per 100,000 population in 2008 and 2.66, 1.99, 9.11and 13.95
cases per 100,000 population in 2013; giving average yearly increases of23.66%,
23.24%, 30.90% and 28.90%, respectively. The reported overall prevalenceof
syphilis among those aged >=80 years was greater than the general population(8.25
vs 4.38 cases per 100,000 population in 2008; 21.99 vs 13.95 cases per
100,000population in 2013). The prevalence of syphilis is increasing in the study
population.Age appropriate interventions are needed to reduce the prevalence of
syphilisin the study population.
PMID- 29634186
TI - RISK FACTORS FOR LATENT TUBERCULOSIS INFECTION AMONG HEALTH-CARE WORKERS IN
NORTHEASTERN THAILAND.
AB - Health-care workers (HCWs) are a high-risk population for acquiringMycobacterium
tuberculosis infection. Understanding the risk factors for latent
tuberculosisinfection (LTBI) could provide information to facilitate an
appropriatetuberculosis (TB) control program. We aimed to determine the
prevalence of, andrisk factors for LTBI among HCWs in northeastern Thailand.
Between 1 November2013 and 30 September 2015, we examined 112 HCWs at Srinagarind
Hospital,Khon Kaen Province in northeastern Thailand using the QuantiFERON(r)
TBGold In-Tube (QFT) assay. Twenty-one [18.8%; 95% confidence interval (CI): 11.5
26.0%] HCWs had a positive QFT result - all of whom were determined to haveLTBI.
The exposure risks and demographic data obtained from a questionnairewere
compared between the 21 subjects who had a positive QFT assay and the91 subjects
who had a negative QFT assay. Multivariate analysis showed factorssignificantly
associated with a positive QFT assay were: age >=30 years (OR=18.88;95%CI: 1.52
234.36), having worked as a nurse (OR=2.78; 95%CI: 1.19-6.49), havingbeen
employed at that job for >=10 years (OR=8.78; 95%CI: 1.26-61.29) and havingbeen
exposed to known TB patients (OR=13.32: 95%CI: 1.61-110.04).
Appropriateguidelines need to be developed, especially for these at-risk workers
to preventLTBI. These high-risk workers should also be considered for regular TB
screening.
PMID- 29634187
TI - Non-Conjugated Chitosan-Based Nanoparticles to Proteic Antigens Elicit Similar
Humoral Immune Responses to Those Obtained with Alum.
AB - Biodegradables Chitosan-based Nanoparticles (CS NPs) have been extensively
studied as delivery system for therapeutic molecules and as efficient carriers or
adjuvants in experimental vaccination. Physicochemical association between CS NPs
and antigens is a key step for the biological function as carrier devices.
However, for the adjuvant CS NPs property, it is not well known if coupling with
vaccine antigens is required or not to potentiate the immune response. To address
this issue, in this work, we evaluated the potential adjuvant effect of CS NPs by
simply mixing with two different antigens such as Bovine Serum Albumin (BSA) or E
protein from Dengue Virus serotype 2 (E protein DENV2). Thus the CS NPs were
prepared by ionic gelation with sodium tripolyphosphate, resulting particles
among 68 and 188 nm of size. Immunization of 6-8 week old female BALB/c mice,
were carried out by intraperitoneal route with a simple combination of CS NPs
either with BSA (CS NPs-BSA) at 10 MUg or with E protein DENV2 (CS NPs-Protein E)
at 5 MUg. Combinations with the above antigens with CS NPs elicited robust
specific primary and secondary humoral responses comparable to alum, a well-known
adjuvant. BSA-specific IgG titers were detectable by day 14 after priming with
the CS NPs-BSA formulation, with titers that ranged from 102 to 103 EU ml-. After
a second immunization, the anti-BSA titers ranged around 104 EU ml-. In contrast,
in the group of mice immunized with the protein alone, BSA-specific serum IgG
titers were undetectable at day 14 and 28. For the immunizations with the CS NPs
E protein formulation, we observed also a remarkable specific-antibody production
in the primary response, with titers reaching 103 EU ml-. After the booster
immunization the anti-E protein DENV2 antibodies titers reached peak values
around 104 EU ml-. Interestingly, for both antigens, the combination with CS NPs
polarized the immune response to a Th2-like profile, which is characterized
mainly by the production of the IgG1 Isotype, confirming that CS NPs can enhance
and modulate the humoral immune responses against different antigens
independently of physicochemical conjugation. This could represent a
simplification in the use of CS NPs as adjuvants in vaccination.
PMID- 29634188
TI - TREATMENT OUTCOMES AMONG DIABETIC PATIENTS WITH TUBERCULOSIS IN THAILAND.
AB - Tuberculosis (TB) is common in Thailand and the prevalence of diabetesmellitus
(DM) is increasing. We conducted this study to determine the impactof DM on the
outcome of pulmonary TB treatment in northern Thailand byretrospectively
reviewing TB registry data. Data for all pulmonary TB patientsbeing treated at 95
TB clinics in eight provinces from northern Thailand duringJanuary 2010-December
2012 were retrospectively analyzed. TB treatment outcomeswere assessed by sputum
tests at 2 months and 6 months after startingtreatment, and the overall success
rate at the end of the treatment course wasdetermined. Factors associated with
treatment outcome were evaluated. A totalof 7,807 new pulmonary TB patients were
included in the analysis. Among those,555 (7%) had history of diabetes. At 2
month after the intensive phase treatment,a negative sputum result was found in
85% of all tested patients. The proportionof negative sputum at 2-month was
similar in both TB with and without DM(85%). The overall treatment success rate
was 77%. TB treatment outcomes werenot significantly different between TB
patients with DM (84%) and without DM(77%). In conclusion, having DM did not
affect the TB treatment outcome in thestudied population. More detailed studies
of TB treatment outcome and level ofDM control are underway.
PMID- 29634189
TI - CHARACTERIZATION OF CLOSTRIDIUM DIFFICILE ISOLATED FROM DIARRHEAL PATIENTS IN A
TERTIARY-CARE HOSPITAL, KARNATAKA, SOUTH INDIA.
AB - Increase in Clostridium difficile infection in tertiary-care hospitals in
Karnataka,South India with a paucity of data on antibiotic susceptibility and
geneticcharacteristics of the pathogen from this region of the country
necessitated thisstudy. From April 2012 to December 2014, 480 hospitalized
antibiotic-associateddiarrhea cases with a history of antibiotic treatment in the
previous three weekswere enrolled. Sixteen percent of the samples were positive
for C. difficile toxins Aand B by rapid enzyme immunoassay, anaerobic culture and
multiplex PCR. In 40representative strains, minimum inhibitory concentrations
(MICs) determined byE-test revealed that 39 strains were resistant to imipenem
and moxifloxacin (MIC> 32 MUg/ml), 38 to clindamycin (MIC > 256 MUg/ml) and 19 to
tetracycline (MIC > 4MUg/ml), while all 40 strains were susceptible to ampicillin
(MIC < 2 MUg/ml), ampicillinsulbactam (MIC < 8 MUg/ml), metronidazole (MIC < 8
MUg/ml) and vancomycingroup (MIC < 2 MUg/ml). Pulsed field gel-electrophoresis
(PFGE) of 13 representativestrains grouped them into three clusters: cluster A
consisting of two strains having> 65% similarity, cluster B of 6 strains with
100% similarity (considered clonal) and3 strains with > 85% similarity, and
cluster C of 2 strains with 50% similarity. ClustersA and C contained unrelated
strains having different antibiograms. Periodicmonitoring of resistance profiles
with epidemiological typing by PFGE should aidin interpretation of emerging drug
resistant C. difficile clones.
PMID- 29634191
TI - DETECTION OF HELICOBACTER PYLORI AND VIRULENCE-ASSOCIATED GENES IN SALIVA SAMPLES
OF ASYMPTOMATIC PERSONS IN NORTHEAST THAILAND.
AB - The aims of the study were to develop nested-PCR (targeting vacA andcagA), SYBR
green quantitative PCR (targeting 16S rDNA) tests and comparedthem with indirect
fluorescent-monoclonal antibody (IFA) method for determinationof the prevalence
of Helicobacter pylori in 118 saliva samples from asymptomaticindividuals in Khon
Kaen, Thailand. Detection limit of both PCR-based assayswas one cell. Prevalence
of H. pylori in saliva samples was 55% based on the criterionof positivity of IFA
test and one of the PCR-based methods or positivity ofboth PCR assays. Forty-nine
percent of H. pylori detected carried cagA, encodinga cytotoxin associated with
severe clinical outcomes. These results imply that themouth may be an important
reservoir for H. pylori, with nearly 50% of the virulenttype that could possibly
lead to gastroduodenal disease.
PMID- 29634190
TI - MICROBIOLOGICAL SAFETY ASSESSMENT AND RISK MITIGATION OF INDIAN ROJAK (DEEP FRIED
READYTO-EAT FOOD) IN SINGAPORE.
AB - We conducted a microbiological assessment of Indian Rojak, a populardeep fried
food in Singapore to evaluate its overall microbial quality, assess
theeffectiveness of reheating and identify key food items that could contribute
to themicrobial load of the dish. In 2009, an outbreak of foodborne illness
associated withthis food led to 154 reported cases of acute gastroenteritis, 48
were hospitalized and2 died. Vibrio parahaemolyticus was isolated from the
patients. We evaluated 455Indian Rojak ingredients from 35 stalls; no Salmonella
spp, Vibrio cholerae/parahaemolyticusor Escherichia coli O157:H7 were recovered
from the studied samples. Thereheating by the food handlers significantly reduced
the overall median StandardPlate Count (SPC) of food from 4.5 to 2.7 log colony
forming units (CFU)/g (p<0.05).The cooked ingredients with the highest microbial
loads were tofu and fish cake,with those purchased from wet markets having
significantly higher bacterial loadsthan those purchased from supermarkets
(p<0.05). The Rojak gravy had the lowestmedian bacterial load (1.9 log CFU/g).
Raw, ready-to-eat vegetables, namely greenchillis, cucumbers and onions had
higher levels ranging from 5.9 to 6.1 log CFU/g.Contamination with E. coli,
Staphylococcus aureus, and Bacillus cereus was seen withsome of the ready-to-eat
raw vegetables. Repeated education of food handlerswith emphasis on good hygiene
practices should be conducted to reduce the riskof foodborne illnesses.
PMID- 29634192
TI - MULTIDRUG RESISTANT AND EXTENDED SPECTRUM b-LACTAMASE PRODUCING SALMONELLA
ENTERICA ISOLATED FROM FOOD ANIMALS IN PHATTHALUNG, THAILAND.
AB - This study investigated antimicrobial resistance profiles and genes ofb-lactamase
producing Salmonella enterica, isolates from animal feces and meatsamples at
small-scale rural farms in Phatthalung Province, Thailand. Of 40 isolatesfrom
swine feces 50%, 47%, 17%, 17%, and 15% were resistant to
ampicillin,streptomycin, nalidixic acid, tetracycline, and chloramphenicol,
respectively; of 29isolates from chicken feces 33%, 27%, 7%, and 3% were
resistant to streptomycin,nalidixic acid, tetracycline, and ampicillin,
respectively; and of 6 isolates from cattlefeces 67% were resistant to
sulfamethoxazole and tetracycline, and 33% resistantto ampicillin, nalidixic acid
and streptomycin. Of the 23 isolates from chickenmeat 96%, 96%, 78%, 73%, 61%,
30%, and 9% were resistant to sulfamethoxazole,streptomycin, ampicillin,
tetracycline, nalidixic acid, chloramphenicol, and ciprofloxacin,respectively;
and of 31 isolates from pork meat 87%, 77%, 39%, 32%, 10%and 10% were resistant
to sulfamethoxazole, tetracycline, streptomycin, ampicillin,nalidixic acid, and
chloramphenicol, respectively. Three ampicillin-resistantisolates from swine
feces carried the same extended-spectrum b-lactamase genebelonging to blaCTX-M
group 1. The results of this study confirm the existence ofESBL in S. enterica
isolated from food animals. Occurrence of an ESBL producingstrain of S. enterica
constitutes a public health threat through transmission of thesestrains to humans
via contaminated food or transfer of antimicrobial resistantgenes to human
pathogens.
PMID- 29634193
TI - MULTILOCUS SEQUENCE TYPING OF BRUCELLA ISOLATES FROM THAILAND.
AB - Although brucellosis outbreaks in Thailand are rare, they cause abortionsand
infertility in animals, resulting in significant economic loss. BecauseBrucella
spp display > 90% DNA homology, multilocus sequence typing (MLST)was employed to
categorize local Brucella isolates into sequence types (STs) and todetermine
their genetic relatedness. Brucella samples were isolated from vaginalsecretion
of cows and goats, and from blood cultures of infected individuals.
Brucellaspecies were determined by multiplex PCR of eight loci, in addition to
MLSTbased on partial DNA sequences of nine house-keeping genes. MLST analysisof
36 isolates revealed 78 distinct novel allele types and 34 novel STs, while
twoisolates possessed the known ST8. Sequence alignments identified
polymorphicsites in each allele, ranging from 2-6%, while overall genetic
diversity was 3.6%.MLST analysis of the 36 Brucella isolates classified them into
three species, namely,B. melitensis, B. abortus and B. suis, in agreement with
multiplex PCR results. Geneticrelatedness among ST members of B. melitensis and
B. abortus determinedby eBURST program revealed ST2 as founder of B. abortus
isolates and ST8 thefounder of B. melitensis isolates. ST 36, 41 and 50 of Thai
Brucella isolates wereidentified as single locus variants of clonal cluster (CC)
8, while the majority ofSTs were diverse. The genetic diversity and relatedness
identified using MLSTrevealed hitherto unexpected diversity among Thai Brucella
isolates. Geneticclassification of isolates could reveal the route of brucellosis
transmission amonghumans and farm animals and also reveal their relationship with
other isolatesin the region and other parts of the world.
PMID- 29634194
TI - DEVELOPMENT OF AN ANTIBIOTIC OPTIONS INDEX FOR ANTIBIOTIC RESISTANCE MONITORING.
AB - Using antibiogram data to indicate the overall antibiotic resistance ofa pathogen
is complicated by the multiple antibiotic susceptibilities reported inthe
antibiogram. The objectives of this study were to develop and determine
thebenefits of an Antibiotic Options Index (AOI); an index that summarizes
antibioticsusceptibility data for a pathogen by presenting it as the availability
of antibiotictreatment options. The AOI was calculated using antibiogram data for
the sevenmost commonly isolated pathogens from the National Antimicrobial
ResistanceSurveillance Center of Thailand between 1998 and 2014 and was
classified asacceptable (AOI >= 0.8) or unacceptable (AOI < 0.8) based on the
availability oftreatment options. The AOI identified two problematic pathogens:
Acinetobacterbaumannii and methicillin-resistant Staphylococcus aureus (MRSA).
For A. baumannii,the probability of having at least two viable antibiotic
treatment options (AOIm2)decreased from an acceptable level (0.93) in 1998 to an
unacceptable level (0.53)in 2014 and for MRSA the AOIm2 decreased from an
acceptable level (0.82) in 1998to an unacceptable level (0.47) in 2014. By
including the idea that the problemwith increasing antibiotic resistance is a
problem with treating infections, the AOIeffectively compiles susceptibility data
to present it as the probability of havingeffective antibiotic treatment. This
index is calculated from widely available antibiogramdata, making it more
suitable to be used to monitor antibiotic resistanceat the hospital, provincial
and national levels.
PMID- 29634195
TI - ANEMIA AMONG LACTATING MOTHERS IN KOKANG, MYANMAR.
AB - Anemia is an important public health problem among lactating mothersin the
developing countries. The aim of the study was to determine the prevalenceof
anemia in lactating mothers in Kokang, Myanmar and its associated riskfactors. We
conducted a cross sectional study of 65 lactating mothers duringJune-October
2014. Each participant filled out a questionnaire asking about diet,source of
drinking water, health status, socioeconomic and demographic factors.Each
participant was also evaluated anthropometrically and had blood taken todetermine
their hemoglobin and their iron, copper, zinc, calcium, and magnesiumlevels. The
prevalence rate of anemia (hemoglobin 81-120 g/l) in study subjects was73.8%, and
10.8% had severe anemia (hemoglobin <= 80 g/l). Factors of malnutrition(mid-upper
arm circumference < 23.5 cm, p = 0.013), iron deficiency (serum ironconcentration
< 6.6 mmol/l, p = 0.008), and source of drinking water (p = 0.031)were related to
anemia. Fifty-six point three percent of women with anemia hada low serum iron
level. Anemia was common among study subjects in Kokang.Since a large portion of
women in our study with anemia had a low serum ironlevel, we conclude the major
cause of anemia in our study was iron deficiency.
PMID- 29634196
TI - ADIPOQ POLYMORPHISMS AMONG THAIS WITH PRE-DIABETES.
AB - Studies have shown that polymorphisms of adiponectin gene (ADIPOQ)are associated
with risk of developing type 2 diabetes mellitus (T2DM).However, no studies have
investigated the association between genetic variantsof ADIPOQ and pre-diabetes,
a group at higher risk for developing T2DM. Atotal of 75 pre-diabetes and 130
normal subjects were recruited from volunteersin Bangkok, Thailand. Individuals
with pre-diabetes were selected based onAmerican Diabetes Association diagnostic
criteria. Six ADIPOQ polymorphismswere genotyped using polymerase chain reaction
restriction fragment lengthpolymorphism technique. ADIPOQ polymorphism rs266729
C>G is significantlyassociated with pre-diabetes (p = 0.006). CG/GG genotypes
were found among 60%and 40% of pre-diabetes and normal subjects, respectively.
SNP rs266729 C>G wasassociated with increased pre-diabetes risk (OR = 2.64; 95%
CI: 1.18-5.89, p = 0.018).No significant differences were found between pre
diabetes and normal subjectsfor other ADIPOQ polymorphisms. However, haplotype
analysis revealed thathaplotype GGTAAT is significantly associated with pre
diabetes when comparedwith GCGAAC reference haplotype (OR = 22.31; 95% CI: 1.37
361.93, p = 0.03).Our data indicate that ADIPOQ rs266729 C>G polymorphism may
contribute tothe genetic risk of pre-diabetes and provide preliminary data useful
in geneticscreening for pre-diabetes among Thais.
PMID- 29634197
TI - ASSOCIATION OF ENVIRONMENTAL FACTORS AND ADIPOSITY IN THAI SCHOOL CHILDREN: A
STRUCTURAL EQUATION MODELING APPROACH.
AB - This study used structural equation modeling (SEM) to examine associationsbetween
environmental factors and indicators of adiposity. We analyzed datafrom a cross
sectional Southeast Asian Nutritional Survey of 1,161 Thai childrenaged 7.0-12.9
years who were recruited by multi-stage sampling. Standardizedquestionnaires
provided data on socio-economic, health status, and physical activity,while a 24
hour dietary recall provided dietary intake data. SEM analysisshow that socio
economic, health status, physical activity, and nutrient intake werenot
associated directly with adiposity, but their relationship with adiposity wasvia
the environment. This analysis confirms many relationships between possiblecausal
factors and adiposity, and it enables insight into the complex mechanismsleading
to higher body fat. As such, it could serve as a working model to combatthe
increasing prevalence of obesity (excess body fat) affecting many countries.
PMID- 29634198
TI - CHILDREN'S EATING BEHAVIOR QUESTIONNAIRE: FACTORIAL VALIDATION AND DIFFERENCES IN
SEX AND EDUCATIONAL LEVEL IN THAI SCHOOL-AGE CHILDREN.
AB - The purposes of this study were to test the validity of the Thai
versionChildren's Eating Behavior Questionnaire (CEBQ) and to describe the
variationin eating style among school-age children. This school-based, cross
sectionalstudy was conducted in five primary public schools selected from Bangkok
andthe three regions of Thailand (North, Northeast, South). Six hundred and
eightystudents from the first and fourth grade classes were included in our
study. TheCEBQ was used to assess the eating styles among these children. Factor
analysisrevealed an eight-factor solution accounted for 57.1% of the total
variance. Mostof the scale items loaded as expected and their factor loadings
were comparable tothose obtained from the original study in England. The
reliability coefficients areall within acceptable ranges (more than 0.7), with
the exceptions of the subscalesof 'slowness in eating' and 'emotional under
eating' with the coefficients of 0.64and 0.69, respectively. There were some
significant differences in eating behaviorsbetween sex and educational level.
Boys scored higher on 'enjoyment of food'compared with girls (p<0.05), as well as
on 'desire to drink' (p<0.05). Childrenin Grade 1 scored higher on 'satiety
responsiveness' (p<0.001) and 'slowness ineating' (p<0.001) compared with those
in Grade 4. This study supported the useof CEBQ as an appropriate tool for
measuring the eating behaviors among Thaischool-age children.
PMID- 29634199
TI - PREVALENCE AND RISK FACTORS FOR CARDIAC IRON OVERLOAD AND CARDIOVASCULAR
COMPLICATIONS AMONG PATIENTS WITH THALASSEMIA IN NORTHERN THAILAND.
AB - Cardiovascular complications are the most common cause of deathamong thalassemia
patients in Thailand. In this study, we evaluated the prevalenceof cardiac iron
overload, cardiovascular complications and the associatedrisk factors. The
information obtained will serve as a guidance for surveillance,prevention and
early treatment of the complications. We conducted a cross sectionalstudy of Thai
patients with thalassemia attending Chiang Mai UniversityHospital, Thailand.
Cardiac T2* magnetic resonance imaging (CMR T2*) was usedto evaluate the
myocardial iron deposition and echocardiography was used toevaluate the cardiac
function and to identify pulmonary hypertension. Ninety-onepatients were included
in the study; 64% females with a median age of 31 (16-75)years. Of the total
study subjects, 49% had homozygous beta thalassemia, 32% hadbeta thalassemia/Hb E
disease, and 19% had Hb H disease. Half the participantswere transfusion
dependent and 84% had received iron chelation. The CMR T2*showed cardiac iron
overload in 10 patients (11%). The maximum ferritin levelin the previous 3 years
was higher among the patients with cardiac iron overload(6,310 ng/ml) than among
the patients without cardiac iron overload (3,352 ng/ml)(p=0.001). Twenty-one
patients (23%) had cardiovascular complications. Cardiomyopathywas seen in 8% of
patients [17% in patients with transfusion-dependentthalassemia (TDT) and none in
patients with non-transfusion-dependent thalassemia(NTDT)] and pulmonary
hypertension in 15% of patients (14% in patientswith TDT and 16% in patients with
NTDT). TDT and cardiac iron overload weresignificantly associated with
cardiomyopathy. No risk factors were found to be significantlyassociated with
pulmonary hypertension. In summary, cardiac iron overloadand cardiomyopathy are
important complications in TDT while pulmonaryhypertension is seen in both TDT
and NTDT. Iron chelation and monitoring ofserum ferritin level will prevent
cardiac iron overload and cardiomyopathy. Intervalmonitoring with
echocardiography will help with early identification ofthe cardiac complications.
PMID- 29634200
TI - BURNOUT AND OCCUPATIONAL PARTICIPATIONAMONG TURKISH DENTAL STUDENTS.
AB - The aim of the present study was to investigate the prevalence ofburnout and
occupational participation limitation among dental students in adental school in
Turkey. Four hundred fifty-eight dental students (females=153;males=305) were
included in the study. The age range varied from 17-to-38years. Maslach Burnout
Inventory-Student Version (MBI-SV) and Canadian OccupationalPerformance Measure
(COPM) were used to gather data. Descriptiveanalyses, t-test, and Kruskall-Wallis
test for independent groups were used fordata analyses. The results indicated
that 26% of all the students have burnoutin terms of emotional exhaustion (25%),
cynicism (18%), and academic efficacy(14%). The results showed that burnout is
statistically significant in relation todemographics (p<0.05). Twenty-four
percent of the students showed considerablydecreased occupational performance and
satisfaction scores, which suggestedoccupational participation limitations.
Occupational performance and satisfactionscores were inversely correlated with
emotional exhaustion and cynicism,while directly correlated with reduced academic
efficacy (p<0.05). The results ofthe present study indicates that burnout and
occupational participation limitationcan be seen among dental students. Students
with burnout may also haveoccupational participation limitation. Enriching dental
education programs withdifferent psychological strategies may be useful for
education of healthy dentistsand improve the quality of oral and dental health
services.
PMID- 29634201
TI - PERSONAL AND INTERPERSONAL FACTORS RELATED TO SUICIDAL IDEATION AMONG RURAL
VIETNAMESE ADOLESCENTS.
AB - The purpose of this study was to explore the level of suicidal ideationand its
personal, peer- and family-related factors among rural Vietnamese adolescents.A
self-administered survey was conducted with 171 students from twomiddle schools
in two communes of Quang-Tri Province in Vietnam. Suicidalideation and risk
factors were measured using the Vietnamese version of theWorld Health
Organization (WHO) Global School-based Student Health Survey(GSHS). The data were
analyzed by chi-square test and logistic regression analysis.The prevalence of
suicidal ideation was 14.2%, and no gender differences werefound. The major risk
factors associated with suicidal ideation were feelings ofloneliness, having no
close friends, and parental drinking. The findings suggestthat student
interpersonal skills, and family and community involvement arekey considerations
for the development of a suicide prevention program for thispopulation. Further
research is suggested to develop and evaluate a school-basedculturally adaptive
suicide prevention program.
PMID- 29634231
TI - All-Electronic Quantification of Neuropeptide-Receptor Interaction Using a Bias
Free Functionalized Graphene Microelectrode.
AB - Opioid neuropeptides play a significant role in pain perception, appetite
regulation, sleep, memory, and learning. Advances in understanding of opioid
peptide physiology are held back by the lack of methodologies for real-time
quantification of affinities and kinetics of the opioid neuropeptide-receptor
interaction at levels typical of endogenous secretion (<50 pM) in biosolutions
with physiological ionic strength. To address this challenge, we developed all
electronic opioid-neuropeptide biosensors based on graphene microelectrodes
functionalized with a computationally redesigned water-soluble MU-opioid
receptor. We used the functionalized microelectrode in a bias-free charge
measurement configuration to measure the binding kinetics and equilibrium binding
properties of the engineered receptor with [d-Ala2, N-MePhe4, Gly-ol]-enkephalin
and beta-endorphin at picomolar levels in real time.
PMID- 29634232
TI - Self-Assembly of Ionic Microgels Driven by an Alternating Electric Field: Theory,
Simulations, and Experiments.
AB - The structural properties of a system of ionic microgels under the influence of
an alternating electric field are investigated both theoretically and
experimentally. This combined investigation aims to shed light on the structural
transitions that can be induced by changing either the driving frequency or the
strength of the applied field, which range from string-like formation along the
field to crystal-like structures across the orthogonal plane. In order to
highlight the physical mechanisms responsible for the observed particle self
assembly, we develop a coarse-grained description, in which effective
interactions among the charged microgels are induced by both equilibrium ionic
distributions and their time-averaged hydrodynamic responses to the applied
field. These contributions are modeled by the buildup of an effective dipole
moment at the microgels backbones, which is partially screened by their ionic
double layer. We show that this description is able to capture the structural
properties of this system, allowing for very good agreement with the experimental
results. The model coarse-graining parameters are indirectly obtained via the
measured pair distribution functions and then further assigned with a clear
physical interpretation, allowing us to highlight the main physical mechanisms
accounting for the observed self-assembly behavior.
PMID- 29634233
TI - Role of BRCA1 in Neuronal Death in Alzheimer's Disease.
AB - Oxidative damage of DNA has recently been indicated as one of the strong
pathogenic agent in Alzheimer's disease (AD). Oxidative stress induces numerous
signaling pathways, including DNA damage response (DDR), associated with the
breast cancer type 1 susceptibility protein (BRCA1) protein, known to date from
numerous reports in the cancer field. In this Viewpoint, we discuss the latest
discoveries related to the role of BRCA1 in the death of neurons in AD. We
underline the role of BRCA1 in the development of neurons and speculate on the
consequences of BRCA1 dysfunction in the dying brain. In general, this Viewpoint
is in a line with several recent reports on the processes and players common at
the molecular and genetic level for neurodegenerative and cancerous diseases.
PMID- 29634234
TI - Metal-Embedded Porous Graphitic Carbon Fibers Fabricated from Bamboo Sticks as a
Novel Cathode for Lithium-Sulfur Batteries.
AB - Lithium-sulfur batteries (LSBs) are deemed to be among the most prospective next
generation advanced high-energy batteries. Advanced cathode materials fabricated
from biological carbon are becoming more popular due to their unique properties.
Inspired by the fibrous structure of bamboo, herein we put forward a smart
strategy to convert bamboo sticks for barbecue into uniform bamboo carbon fibers
(BCF) via a simple hydrothermal treatment proceeded in alkaline solution. Then
NiCl2 is used to etch the fibers through a heat treatment to achieve Ni-embedded
porous graphitic carbon fibers (PGCF/Ni) for LSBs. The designed PGCF/Ni/S
electrode exhibits improved electrochemical performances including high initial
capacity (1198 mAh g-1 at 0.2 C), prolonged cycling life (1030 mAh g-1 at 0.2 C
after 200 cycles), and improved rate capability. The excellent properties are
attributed to the synergistic effect of 3D porous graphitic carbon fibers with
highly conductive Ni nanoparticles embedded.
PMID- 29634235
TI - Fenobody: A Ferritin-Displayed Nanobody with High Apparent Affinity and Half-Life
Extension.
AB - Nanobodies consist of a single domain variable fragment of a camelid heavy-chain
antibody. Nanobodies have potential applications in biomedical fields because of
their simple production procedures and low cost. Occasionally, nanobody clones of
interest exhibit low affinities for their target antigens, which, together with
their short half-life limit bioanalytical or therapeutic applications. Here, we
developed a novel platform we named fenobody, in which a nanobody developed
against H5N1 virus is displayed on the surface of ferritin in the form of a
24mer. We constructed a fenobody by substituting the fifth helix of ferritin with
the nanobody. TEM analysis showed that nanobodies were displayed on the surface
of ferritin in the form of 6 * 4 bundles, and that these clustered nanobodies are
flexible for antigen binding in spatial structure. Comparing fenobodies with
conventional nanobodies currently used revealed that the antigen binding apparent
affinity of anti-H5N1 fenobody was dramatically increased (~360-fold). Crucially,
their half-life extension in a murine model was 10-fold longer than anti-H5N1
nanobody. In addition, we found that our fenobodies are highly expressed in
Escherichia coli, and are both soluble and thermo-stable nanocages that self
assemble as 24-polymers. In conclusion, our results demonstrate that fenobodies
have unique advantages over currently available systems for apparent affinity
enhancement and half-life extension of nanobodies. Our fenobody system presents a
suitable platform for various large-scale biotechnological processes and should
greatly facilitate the application of nanobody technology in these areas.
PMID- 29634236
TI - Selective Transformation of CO2 to CO at a Single Nickel Center.
AB - Carbon dioxide conversion mediated by transition metal complexes continues to
attract much attention because of its future potential utilization as a nontoxic
and inexpensive C1 source for the chemical industry. Given the presence of nickel
in natural systems that allow for extremely efficient catalysis, albeit in an Fe
cluster arrangement, studies that focus on selective CO2 conversion with
synthetic nickel species are currently of considerable interest in our group. In
this Account, the selective conversion of CO2 to carbon monoxide occurring at a
single nickel center is discussed. The chemistry is based on a series of related
nickel pincer complexes with attention to the uniqueness of the coordination
geometry, which is crucial in allowing for particular reactivity toward CO2. Our
research is inspired by the efficient enzymatic CO2 catalysis occurring at the
active site of carbon monoxide dehydrogenase. Since the binding and reactivity
toward CO2 are controlled in part by the geometry of a L3Ni scaffold, we have
explored the chemistry of low-valent nickel supported by PPMeP and PNP ligands,
in which a pseudotetrahedral or square-planar geometry is accommodated. Two
isolated nickel-CO2 adducts, (PPMeP)Ni(eta2-CO2-kappa C) (2) and {Na(12-C
4)2}{(PNP)Ni(eta1-CO2-kappa C)} (7), clearly demonstrate that the geometry of the
nickel ion is crucial in the binding of CO2 and its level of activation. In the
case of a square-planar nickel center supported by a PNP ligand, a series of
bimetallic metallacarboxylate Ni-MU-CO2-kappa C, O-M species (M = H, Na, Ni, Fe)
were synthesized, and their structural features and reactivity were studied.
Protonation cleaves the C-O bond, resulting in the formation of a nickel(II)
monocarbonyl complex. By sequential reduction, the corresponding mono- and zero
valent Ni-CO species were produced. The reactivities of three nickel carbonyl
species toward various iodoalkanes and CO2 were explored to address whether their
corresponding reactivities could be controlled by the number of valence d
electrons. In particular, a (PNP)Ni(0)-CO species (13) shows immediate reactivity
toward CO2 but displays multiple product formation. By incorporation of a -CMe2-
bridging unit, a structurally rigidified acriPNP ligand was newly designed and
produced. This ligand modification was successful in preparing the T-shaped
nickel(I) metalloradical species 9 exhibiting open-shell reactivity due to the
sterically exposed nickel center possessing a half-filled d x2- y2 orbital. More
importantly, the selective addition of CO2 to a nickel(0)-CO species was enabled
to afford a nickel(II)-carboxylate species (22) with the expulsion of CO(g).
Finally, the (acriPNP)Ni system provides a synthetic cycle in the study of the
selective conversion of CO2 to CO that involves two-electron reduction of Ni-CO
followed by the direct addition of CO2 to release the coordinated CO ligand.
PMID- 29634237
TI - High GC Content Cas9-Mediated Genome-Editing and Biosynthetic Gene Cluster
Activation in Saccharopolyspora erythraea.
AB - The overexpression of bacterial secondary metabolite biosynthetic enzymes is the
basis for industrial overproducing strains. Genome editing tools can be used to
further improve gene expression and yield. Saccharopolyspora erythraea produces
erythromycin, which has extensive clinical applications. In this study, the
CRISPR-Cas9 system was used to edit genes in the S. erythraea genome. A
temperature-sensitive plasmid containing the PermE promoter, to drive Cas9
expression, and the Pj23119 and PkasO promoters, to drive sgRNAs, was designed.
Erythromycin esterase, encoded by S. erythraea SACE_1765, inactivates
erythromycin by hydrolyzing the macrolactone ring. Sequencing and qRT-PCR
confirmed that reporter genes were successfully inserted into the SACE_1765 gene.
Deletion of SACE_1765 in a high-producing strain resulted in a 12.7% increase in
erythromycin levels. Subsequent PermE- egfp knock-in at the SACE_0712 locus
resulted in an 80.3% increase in erythromycin production compared with that of
wild type. Further investigation showed that PermE promoter knock-in activated
the erythromycin biosynthetic gene clusters at the SACE_0712 locus. Additionally,
deletion of indA (SACE_1229) using dual sgRNA targeting without markers increased
the editing efficiency to 65%. In summary, we have successfully applied Cas9
based genome editing to a bacterial strain, S. erythraea, with a high GC content.
This system has potential application for both genome-editing and biosynthetic
gene cluster activation in Actinobacteria.
PMID- 29634238
TI - Synthesis and Electrochemical Properties of LiNi0.5Mn1.5O4 for Li-Ion Batteries
by the Metal-Organic Framework Method.
AB - A LiNi0.5Mn1.5O4 cathode material with high surface orientation was prepared via
a complexing reaction coupled with the elevated-temperature solid-state method.
First, a bimetal-organic framework containing Ni2+ and Mn2+ ions was synthesized
via a self-assembly route using pyromellitic acid (PMA) as a dispersant and
complexing agent. This step was followed by calcination with lithium acetate
using PMA as a structure-directing agent. The resulting LiNi0.5Mn1.5O4 (M-LNMO)
cathode material was investigated using X-ray diffraction, transmission and
scanning electron microscopies, X-ray photoelectron spectroscopy, energy
dispersive X-ray spectroscopy, cyclic voltammetry, electrochemical impedance
spectroscopy, and charge/discharge tests. For comparison, LiNi0.5Mn1.5O4 samples
were prepared by coprecipitation and the solid-phase method under the same
conditions. M-LNMO was highly crystalline with low impurity, uniform grain size,
and a preferred orientation in the (111) and (110) planes. Owing to these
advantages, the M-LNMO cathode material exhibited overwhelmingly high cyclic
stability and rate capability and M-LNMO delivered a capacity of 145 mAh g-1 at a
discharge rate of 0.1C and a discharge capacity retention of 86.6% at 5C after
1000 cycles. Even at an extremely high discharge rate (10C), the specific
capacity was 112.7 mAh g-1, and 78.7% of its initial capacity was retained over
500 cycles. The superior electrochemical performance, particularly during a low
rate operation, was conferred by improved crystallinity and the crystal
orientation of the particles.
PMID- 29634239
TI - Time-Dependent Alterations in the Expression of NMDA Receptor Subunits along the
Dorsoventral Hippocampal Axis in an Animal Model of Nascent Psychosis.
AB - Psychosis is a mental condition that is characterized by hallucinations,
delusions, disordered thought, as well as socio-emotional and cognitive
impairments. Once developed, it tends to progress into a chronic psychotic
illness. Here, the duration of untreated psychosis plays a crucial role: the
earlier the treatment begins, relative to the first episode of the disease, the
better the patient's functional prognosis. To what extent the success of early
interventions relate to progressive changes at the neurotransmitter receptor
level is as yet unclear. In fact, very little is known as to how molecular
changes develop, transform, and become established following the first psychotic
event. One neurotransmitter receptor for which a specific role in psychosis has
been discussed is the N-methyl-d-aspartate receptor (NMDAR). This receptor is
especially important for information encoding in the hippocampus. The hippocampus
is one of the loci of functional change in psychosis, to which a role in the
pathophysiology of psychosis has been ascribed. Here, we examined whether changes
in NMDAR subunit expression occur along the dorsoventral axis of the hippocampus
1 week and 3 months after systemic treatment with an NMDAR antagonist (MK801)
that initiates a psychosis-like state in adult rats. We found early (1 week)
upregulation of the GluN2B levels in the dorso-intermediate hippocampus and late
(3 month) downregulation of GluN2A expression across the entire CA1 region. The
ventral hippocampus did not exhibit subunit expression changes. These data
suggest that a differing vulnerability of the hippocampal longitudinal axis may
occur in response to MK801-treatment and provide a time-resolved view of the
putative development of pathological changes of NMDAR subunit expression in the
hippocampus that initiate with an emulated first episode and progress through to
the chronic stabilization of a psychosis-like state in rodents.
PMID- 29634240
TI - Size-Modulable Nanoprobe for High-Performance Ultrasound Imaging and Drug
Delivery against Cancer.
AB - Among medical imaging modalities available in the clinic, ultrasonography is the
most convenient, inexpensive, ionizing-radiation-free, and most common.
Micrometer-size perfluorocarbon bubbles have been used as efficient contrast for
intravascular ultrasonography, but they are too big for tumor penetration.
Nanodroplets (250-1000 nm) encapsulating both perfluorocarbon and drug have been
used as an ultrasound-triggered release drug delivery platform against cancer,
but they are generally not useful as a tumor imaging agent. The present study
aims to develop a type of pH-sensitive, polymersome-based, perfluorocarbon
encapsulated ultrasonographic nanoprobe, capable of maintaining at 178 nm during
circulation and increasing to 437 nm at the acidic tumor microenvironment. Its
small size allowed efficient tumor uptake. At the tumor site, the nanoparticle
swells, resulting in lowering of the vaporization threshold for the
perfluorocarbon, efficient conversion of nanoprobes to echogenic
nano/microbubbles for ultrasonic imaging, and eventual release of doxorubicin
from the theranostic nanoprobe for deep tissue chemotherapy, triggered by
irradiation with low-frequency ultrasound.
PMID- 29634242
TI - A Green-Light-Responsive System for the Control of Transgene Expression in
Mammalian and Plant Cells.
AB - The ever-increasing complexity of synthetic gene networks and applications of
synthetic biology requires precise and orthogonal gene expression systems. Of
particular interest are systems responsive to light as they enable the control of
gene expression dynamics with unprecedented resolution in space and time. While
broadly used in mammalian backgrounds, however, optogenetic approaches in plant
cells are still limited due to interference of the activating light with
endogenous photoreceptors. Here, we describe the development of the first
synthetic light-responsive system for the targeted control of gene expression in
mammalian and plant cells that responds to the green range of the light spectrum
in which plant photoreceptors have minimal activity. We first engineered a system
based on the light-sensitive bacterial transcription factor CarH and its cognate
DNA operator sequence CarO from Thermus thermophilus to control gene expression
in mammalian cells. The system was functional in various mammalian cell lines,
showing high induction (up to 350-fold) along with low leakiness, as well as high
reversibility. We quantitatively described the systems characteristics by the
development and experimental validation of a mathematical model. Finally, we
transferred the system into A. thaliana protoplasts and demonstrated gene
repression in response to green light. We expect that this system will provide
new opportunities in applications based on synthetic gene networks and will open
up perspectives for optogenetic studies in mammalian and plant cells.
PMID- 29634241
TI - Plazomicin Retains Antibiotic Activity against Most Aminoglycoside Modifying
Enzymes.
AB - Plazomicin is a next-generation, semisynthetic aminoglycoside antibiotic
currently under development for the treatment of infections due to multidrug
resistant Enterobacteriaceae. The compound was designed by chemical modification
of the natural product sisomicin to provide protection from common aminoglycoside
modifying enzymes that chemically alter these drugs via N-acetylation, O
adenylylation, or O-phosphorylation. In this study, plazomicin was profiled
against a panel of isogenic strains of Escherichia coli individually expressing
twenty-one aminoglycoside resistance enzymes. Plazomicin retained antibacterial
activity against 15 of the 17 modifying enzyme-expressing strains tested.
Expression of only two of the modifying enzymes, aac(2')-Ia and aph(2")-IVa,
decreased plazomicin potency. On the other hand, expression of 16S rRNA ribosomal
methyltransferases results in a complete lack of plazomicin potency. In vitro
enzymatic assessment confirmed that AAC(2')-Ia and APH(2'')-IVa (aminoglycoside
acetyltransferase, AAC; aminoglycoside phosphotransferase, APH) were able to
utilize plazomicin as a substrate. AAC(2')-Ia and APH(2'')-IVa are limited in
their distribution to Providencia stuartii and Enterococci, respectively. These
data demonstrate that plazomicin is not modified by a broad spectrum of common
aminoglycoside modifying enzymes including those commonly found in
Enterobacteriaceae. However, plazomicin is inactive in the presence of 16S rRNA
ribosomal methyltransferases, which should be monitored in future surveillance
programs.
PMID- 29634243
TI - Modified Organosilica Core-Shell Nanoparticles for Stable pH Sensing in
Biological Solutions.
AB - Continuous monitoring using nanoparticle-based sensors has been successfully
employed in complex biological systems, yet the sensors still suffer from poor
long-term stability partially because of the scaffold materials chosen to date.
Organosilica core-shell nanoparticles containing a mixture of covalently
incorporated pH-sensitive (shell) and pH-insensitive (core) fluorophores is
presented as a continuous pH sensor for application in biological media. In
contrast to previous studies focusing on similar materials, we sought to
investigate the sensor characteristics (dynamic range, sensitivity, response
time, stability) as a function of material properties. The ratio of the
fluorescence intensities at specific wavelengths was found to be highly sensitive
to pH over a physiologically relevant range (4.5-8) with a response time of <100
ms, significantly faster than that of previously reported response times using
silica-based particles. Particles produced stable, pH-specific signals when
stored at room temperature for more than 80 days. Finally, we demonstrated that
the nanosensors successfully monitored the pH of a bacterial culture over 15 h
and that pH changes in the skin of mouse cadavers could also be observed via in
vivo fluorescence imaging following subcutaneous injection. The understanding
gained from linking sensor characteristics and material properties will inform
the next generation of optical nanosensors for continuous-monitoring
applications.
PMID- 29634244
TI - Natural Sugar: A Green Assistance To Efficiently Exfoliate Inorganic Layered
Nanomaterials.
AB - We have demonstrated that natural sugars can efficiently exfoliate inorganic
layered nanomaterials with direct stirring. The representative transition-metal
dichalcogenides (MoS2 and WS2), transition-metal oxide (MoO3), and graphene were
explored, and the formation of ultrathin nanosheets was verified. Glucose and
MoS2 selected each other as the perfect partner with superior exfoliation and
excellent properties. The obtained inorganic layered nanosheets possess favorable
stability and dispersity, which renders it suitable for direct homogeneous liquid
applications, such as catalytic activities and sensors. With a high-throughput
and green process, the sugar-assisted method may offer new ideas for inorganic
layered nanomaterials synthesis and applications in a more ecofriendly way.
PMID- 29634245
TI - Nutritional Attributes, Substitutability, Scalability, and Environmental
Intensity of an Illustrative Subset of Current and Future Protein Sources for
Aquaculture Feeds: Joint Consideration of Potential Synergies and Trade-offs.
AB - Aquaculture is anticipated to play an increasingly important role in global food
security because it may represent one of the best opportunities to increase the
availability of healthy animal protein in the context of resource and
environmental constraints. However, the growth and sustainability of the
aquaculture industry faces important bottlenecks with respect to feed resources,
which may be derived from diverse sources. Here, using a small but representative
subset of potential aquafeed inputs (which we selected to highlight a range of
relevant attributes), we review a core suite of considerations that need to be
accommodated in concert in order to overcome key bottlenecks to the continued
development and expansion of the aquaculture industry. Specifically, we evaluate
the nutritional attributes, substitutability, scalability, and resource and
environmental intensity of each input. On this basis, we illustrate a range of
potential synergies and trade-offs within and across attributes that are
characteristic of ingredient types. We posit that the recognition and management
of such synergies and trade-offs is imperative to satisfying the multi-objective
decision-making associated with sustainable increases in future aquaculture
production.
PMID- 29634246
TI - Use of a Compact Tripodal Tris(bipyridine) Ligand to Stabilize a Single-Metal
Centered Chirality: Stereoselective Coordination of Iron(II) and Ruthenium(II) on
a Semirigid Hexapeptide Macrocycle.
AB - Fe(II)-coordinating hexapeptides containing three 2,2'-bipyridine moieties as
side chains were designed and synthesized. A cyclic hexapeptide having three
[(2,2'-bipyridin)-5-yl]-d-alanine (d-Bpa5) residues, in which d-Bpa5 and Gly are
alternately arranged with 3-fold rotational symmetry, coordinated with Fe(II) to
form a 1:1 octahedral Fe(II)-peptide complex with a single facial-Lambda
configuration of the metal-centered chirality. NMR spectroscopy and molecular
dynamics simulations revealed that the Fe(II)-peptide complex has an apparent C3
symmetric conformations on the NMR time scale, while the peptide backbone is
subject to dynamic conformational exchange between three asymmetric beta/gamma
conformations and one C3-symmetric gamma/gamma/gamma conformation. The semirigid
cyclic hexapeptide preferentially arranged these conformations of the small
octahedral Fe(II)-bipyridine complex, as well as the Ru(II) congener, to underpin
the single configuration of the metal-centered chirality.
PMID- 29634248
TI - Unravelling the Excellent Chemical Stability and Bioavailability of Solvent
Responsive Curcumin-Loaded 2-Ethyl-2-oxazoline-grad-2-(4-dodecyloxyphenyl)-2
oxazoline Copolymer Nanoparticles for Drug Delivery.
AB - A new gradient copolymer has been synthesized by the living cationic ring-opening
polymerization of hydrophilic 2-ethyl-2-oxazoline with lipophilic 2-(4
dodecyloxyphenyl)-2-oxazoline (EtOx-grad-DPOx). The prepared copolymer is capable
of assembling in water to yield polymeric nanoparticles that are successfully
loaded with an anticancer agent, curcumin. Self-assembly of the copolymer was
found to be tuned by the polarity as well as the hydrogen bonding ability of
solvents. Solvent took distinctive role in the preparation of unloaded and
curcumin-loaded nanoparticles. The stability of the nanoparticles was increased
by curcumin loading promoted by curcumin-polymer interactions. Further, the
chemical stability of curcumin in water is largely enhanced inside the polymeric
nanoparticles. Curcumin-loaded (EtOx-grad-DPOx) copolymer nanoparticles showed
excellent stability in the biological medium, low cytotoxicity, and concentration
dependent uptake by U87 MG and HeLa cells, which indicate the possibility of
their efficient application in drug delivery.
PMID- 29634247
TI - Dynamic Consequences of Mutation of Tryptophan 215 in Thrombin.
AB - Thrombin normally cleaves fibrinogen to promote coagulation; however, binding of
thrombomodulin to thrombin switches the specificity of thrombin toward protein C,
triggering the anticoagulation pathway. The W215A thrombin mutant was reported to
have decreased activity toward fibrinogen without significant loss of activity
toward protein C. To understand how mutation of Trp215 may alter thrombin
specificity, hydrogen-deuterium exchange experiments (HDXMS), accelerated
molecular dynamics (AMD) simulations, and activity assays were carried out to
compare the dynamics of Trp215 mutants with those of wild type (WT) thrombin.
Variation in NaCl concentration had no detectable effect on the sodium-binding
(220sCT) loop, but appeared to affect other surface loops. Trp215 mutants showed
significant increases in amide exchange in the 170sCT loop consistent with a loss
of H-bonding in this loop identified by the AMD simulations. The W215A thrombin
showed increased amide exchange in the 220sCT loop and in the N-terminus of the
heavy chain. The AMD simulations showed that a transient conformation of the
W215A thrombin has a distorted catalytic triad. HDXMS experiments revealed that
mutation of Phe227, which engages in a pi-stacking interaction with Trp215, also
caused significantly increased amide exchange in the 170sCT loop. Activity assays
showed that only the F227V mutant had wild type catalytic activity, whereas all
other mutants showed markedly lower activity. Taken together, the results explain
the reduced pro-coagulant activity of the W215A mutant and demonstrate the
allosteric connection between Trp215, the sodium-binding loop, and the active
site.
PMID- 29634249
TI - Composition and Band Gap Tailoring of Crystalline (GaN)1- x(ZnO) x Solid Solution
Nanowires for Enhanced Photoelectrochemical Performance.
AB - Photoelectrochemical water splitting has emerged as an effective artificial
photosynthesis technology to generate clean energy of H2 from sunlight. The core
issue in this reaction system is to develop a highly efficient photoanode with a
large fraction of solar light absorption and greater active surface area. In this
work, we take advantage of energy band engineering to synthesize (GaN)1- x(ZnO) x
solid solution nanowires with ZnO contents ranging from 10.3% to 47.6% and
corresponding band gap tailoring from 3.08 to 2.77 eV on the basis of the Au
assisted VLS mechanism. The morphology of nanowires directly grown on the
conductive substrate facilitates the charge transfer and simultaneously improves
the surface reaction sites. As a result, a photocurrent approximately 10 times
larger than that for a conventional powder-based photoanode is obtained, which
indicates the potential of (GaN)1- x(ZnO) x nanowires in the preparation of
superior photoanodes for enhanced water splitting. It is anticipated that the
water-splitting capability of (GaN)1- x(ZnO) x nanowire can be further increased
through alignment control for enhanced visible light absorption and reduction of
charge transfer resistance.
PMID- 29634250
TI - Conjugate Addition-Enantioselective Protonation of N-Aryl Glycines to alpha
Branched 2-Vinylazaarenes via Cooperative Photoredox and Asymmetric Catalysis.
AB - An enantioselective protonation strategy has been successfully applied to the
synthesis of chiral alpha-tertiary azaarenes. With a dual catalytic system
involving a chiral phosphoric acid and a dicyanopyrazine-derived chromophore
(DPZ) photosensitizer that is mediated by visible light, a variety of alpha
branched 2-vinylpyridines and 2-vinylquinolines with N-aryl glycines underwent a
redox-neutral, radical conjugate addition-protonation process and provided
valuable chiral 3-(2-pyridine/quinoline)-3-substituted amines in high yields with
good to excellent enantioselectivities (up to >99% ee). An application of this
methodology to a two-step synthesis of the enantiomerically pure medicinal
compound pheniramine (Avil) is also presented.
PMID- 29634251
TI - Glycocalyx-Mimicking Nanoparticles Improve Anti-PD-L1 Cancer Immunotherapy
through Reversion of Tumor-Associated Macrophages.
AB - Immune checkpoint blockade by anti-PD-L1 monoclonal antibody (alphaPD-L1) has
achieved unprecedented clinical benefits in certain cancers, whereas the
therapeutic efficacy is often hindered by immunosuppressive tumor
microenvironment mediated by tumor-associated macrophages (TAMs), which leads to
innate resistance to this approach. To improve checkpoint blockade efficacy, the
amphiphilic diblock copolymers poly(mannopyranoside/galactopyranoside
methacrylate)- block-polystyrene are prepared by RAFT polymerization, which are
sequentially self-assembled into glycocalyx-mimicking nanoparticles (GNPs) to
neutralize TAMs. It is shown that GNPs can be specifically internalized by TAMs
via lectin receptors, which results in upregulation of immunostimulatory IL-12
and downregulation of immunosuppressive IL-10, arginase 1, and CCL22, indicating
functional reversion of protumor TAMs toward antitumor phenotype. The reversion
of TAMs is proved to be mainly controlled by suppressing STAT6 and activating NF
kappaB phosphorylation. In vivo therapeutic studies have demonstrated that GNPs
significantly enhance the therapeutic efficacy of alphaPD-L1 cancer therapy by
reduction of tumor burden. Moreover, combination therapies with GNPs and alphaPD
L1 greatly improve immunosuppressive tumor microenvironment by reciprocal
modulation of tumor-infiltrating effector and regulatory T cells. Notably, for
the first time, our results demonstrate the reversion of TAMs and improvement of
alphaPD-L1 cancer therapy by synthetic carbohydrate-containing nanomaterials.
This research highlights a promising strategy for optimizing immune checkpoint
blockade in cancer immunotherapy.
PMID- 29634253
TI - A Dinuclear Iridium(V,V) Oxo-Bridged Complex Characterized Using a Bulk
Electrolysis Technique for Crystallizing Highly Oxidizing Compounds.
AB - We report a general method for the preparation and crystallization of highly
oxidized metal complexes that are difficult to prepare and handle by more
conventional means. This method improves typical bulk electrolysis and
crystallization conditions for these reactive species by substituting oxidation
prone organic electrolytes and precipitants with oxidation-resistant compounds.
Specifically, we find that CsPF6 is an effective inert electrolyte in
acetonitrile, and appears to have general applicability to electrochemical
studies in this solvent. Likewise, CCl4 is not only an oxidation-resistant
precipitant for crystallization from MeCN but it also enters the lattice. In this
way, we synthesized and characterized an Ir(V,V) mono-MU-oxo dimer which only
forms at a very high potential (1.9 V vs NHE). This compound, having the highest
isolated oxidation state in this redox-active system, cannot be formed
chemically. DFT calculations show that the oxidation is centered on the Ir-O-Ir
core and facilitated by strong electron-donation from the pyalk (2-(2-pyridinyl)
2-propanolate) ligand. TD-DFT simulations of the UV-visible spectrum reveal that
its royal blue color arises from electron excitations with mixed LMCT and Laporte
allowed d-d character. We have also crystallographically characterized a related
monomeric Ir(V) complex, similarly prepared by oxidizing a previously reported
Ir(IV) compound at 1.7 V, underscoring the general applicability of this method.
PMID- 29634252
TI - Higher Flexibility of Glu-172 Explains the Unusual Stereospecificity of
Glyoxalase I.
AB - Despite many studies during the latest two decades, the reason for the unusual
stereospecificity of glyoxalase I (GlxI) is still unknown. This metalloenzyme
converts both enantiomers of its natural substrate to only one enantiomer of its
product. In addition, GlxI catalyzes reactions involving some substrate and
product analogues with a stereospecificity similar to that of its natural
substrate reaction. For example, the enzyme exchanges the pro- S, but not the pro
R, hydroxymethyl proton of glutathiohydroxyacetone (HOC-SG) with a deuterium
from D2O. To find some clues to the unusual stereospecificity of GlxI, we have
studied the stereospecific proton exchange of the hydroxymethyl proton of HOC-SG
by this enzyme. We employed density functional theory and molecular dynamics (MD)
simulations to study the proton exchange mechanism and origin of the
stereospecificity. The results show that a rigid cluster model with the same
flexibility for the two active-site glutamate residues cannot explain the unusual
stereospecificity of GlxI. However, using a cluster model with full flexibility
of Glu-172 or a larger model with the entire glutamates, extending the backbone
into the neighboring residues, the results showed that there is no way for HOC-SG
to exchange its protons if the alcoholic proton is directed toward Glu-99.
However, if the hydroxymethyl proton instead is directed toward the more flexible
Glu-172, we find a catalytic reaction mechanism for the exchange of the HS proton
by a deuterium, in accordance with experimental findings. Thus, our results
indicate that the special stereospecificity of GlxI is caused by the more
flexible environment of Glu-172 in comparison to that of Glu-99. This higher
flexibility of Glu-172 is also confirmed by MD simulations. We propose a reaction
mechanism for the stereospecific proton exchange of the hydroxymethyl proton of
HOC-SG by GlxI with an overall energy barrier of 15 kcal/mol.
PMID- 29634254
TI - Creation of Linear Carbon Dot Array with Improved Optical Properties through
Controlled Covalent Conjugation with DNA.
AB - Controlled conjugation of fluorescent carbon dots (CDs) with DNA and subsequent
fabrication of the CDs into an array through hybridization mediated self-assembly
in the solution phase is reported. Covalent conjugation of CD with DNA and the
subsequent array formation change the mobility of the CD-DNA array in gel
electrophoresis and HPLC significantly. Interspatial distance in the CD-DNA array
is tuned by the DNA sequence length and maintained at ~8 +/- 0.3 nm as revealed
by electron microscopy studies. An increase in fluorescence lifetime by ~2 ns was
observed for the CD-DNA array compared to a solitary CD, vis-a-vis better imaging
prospects of HEK293 cells by the former. Thus, the array displays improved
fluorescence and unhindered cell penetration.
PMID- 29634256
TI - Synthetic Strategies for the Synthesis of Ternary Uranium(IV) and Thorium(IV)
Fluorides.
AB - A series of new U(IV) and Th(IV) fluorides, Na7U6F31 (1), NaUF5 (2), NaU2F9 (3),
KTh2F9 (4), NaTh2F9 (5), (H3O)Th3F13 (6), and (H3O)U3F13 (7), was obtained using
hydrothermal and low-temperature flux methods. Mild hydrothermal reactions with
uranyl acetate as a precursor yielded 1, 7, and the monoclinic polymorph of
NaU2F9, whereas direct reactions between UF4 and NaF led to the formation of 2
and orthorhombic NaU2F9 (3). This highlights an unexpected difference in reaction
products when different starting uranium sources are used. All seven compounds
were characterized by single-crystal X-ray diffraction, and their structures are
compared on the basis of cation topology, revealing a close topological
resemblance between fluorides on the basis of the layers observed in NaUF5(H2O).
Phase-pure samples of 1, 2, and both polymorphs of NaU2F9 were obtained, and
their spectroscopic and magnetic properties were measured. The UV-vis data are
dominated by the presence of U4+ cations and agree well with the electronic
transitions. Effective magnetic moments of the studied compounds were found to
range from 3.08 to 3.59 MUB.
PMID- 29634255
TI - Multifunctional Theranostic Nanoplatform Based on Fe-mTa2O5@CuS-ZnPc/PCM for
Bimodal Imaging and Synergistically Enhanced Phototherapy.
AB - Multifunctional nanotheranostic agent with high performance for tumor site
specific generation of singlet oxygen (1O2) as well as imaging-guidance is
crucial to laser-mediated photodynamic therapy. Here, we introduced a versatile
strategy to design a smart nanoplatform using phase change material (PCM) to
encapsulate photosensitizer (zinc phthalocyanine, ZnPc) in copper sulfide loaded
Fe-doped tantalum oxide (Fe-mTa2O5@CuS) nanoparticles. When irradiated by 808 nm
laser, the PCM is melted due to the hyperthermia effect from CuS nanoparticles,
inducing the release of ZnPc to produce toxic 1O2 triggered by 650 nm light with
very low power density (5 mW/cm2). Then, the produced heat and toxic 1O2 can kill
tumor cells in vitro and in vivo effectively. Furthermore, the special properties
of Fe-mTa2O5 endow the nanoplatform with excellent computed tomography (CT) and
T1-weighted magnetic resonance imaging ( T1-MRI) performance for guiding and real
time monitoring of therapeutic effect. This work presents a feasible way to
design smart nanoplatform for controllable generation of heat and 1O2, achieving
CT/ T1-MRI dual-modal imaging-guided phototherapy.
PMID- 29634257
TI - Electron-Donating Phenolic and Electron-Accepting Quinone Moieties in Peat
Dissolved Organic Matter: Quantities and Redox Transformations in the Context of
Peat Biogeochemistry.
AB - Electron-donating phenolic and electron-accepting quinone moieties in peat
dissolved organic matter (DOM) are considered to play key roles in processes
defining carbon cycling in northern peatlands. This work advances a flow
injection analysis system coupled to chronoamperometric detection to allow for
the simultaneous and highly sensitive determination of these moieties in dilute
DOM samples. Analysis of anoxic pore water and oxic pool water samples collected
across an ombrotrophic bog in Sweden demonstrated the presence of both phenolic
and quinone moieties in peat DOM. The pore water DOM had higher quantities of
phenolic but not quinone moieties compared with commonly used model aquatic and
terrestrial DOM isolates. Significantly lower phenol content in DOM from oxic
pools than DOM from anoxic pore waters indicated oxidative DOM processing in the
pools. Consistently, treatment of peat DOM with laccase, a phenol-oxidase, under
oxic conditions resulted in an irreversible removal of phenols and reversible
oxidation of hydroquinones to quinones. Electron transfer to peat DOM was fully
reversible over an electrochemical reduction and subsequent O2-reoxidation cycle,
supporting that quinones in peat DOM serve as regenerable microbial electron
acceptors in peatlands. The results advance our understanding of redox processes
involving phenolic and quinone DOM moieties and their roles in northern peatland
carbon cycling.
PMID- 29634258
TI - 3D Long-Range Triplet Migration in a Water-Stable Metal-Organic Framework for
Upconversion-Based Ultralow-Power in Vivo Imaging.
AB - Triplet-triplet annihilation upconversion (TTA-UC) has gained increasing
attention because it allows for harvesting of low-energy photons in the solar
spectrum with high efficiency in relevant applications including solar cells and
bioimaging. However, the utilization of conventional TTA-UC systems for low-power
bioapplications is significantly hampered by their general incompatibility and
low efficiency in aqueous media. Herein we report a metal-organic framework (MOF)
as a biocompatible nanoplatform for TTA-UC to realize low-power in vivo imaging.
Our MOF consists of a porphyrinic sensitizer in an anthracene-based Zr-MOF as a
TTA-UC platform. In particular, closely aligned chromophores in the MOF
facilitate a long-range 3D triplet diffusion of 1.6 MUm allowing efficient energy
migration in water. The tunable ratio between sensitizer and annihilator by our
synthetic method also allows an optimization of the system for maximized TTA-UC
efficiency in water at a very low excitation power density. Consequently, the low
power imaging of lymph node in a live mouse was successfully demonstrated with an
excellent signal-to-noise ratio (SNR > 30 at 5 mW cm-2).
PMID- 29634259
TI - Interaction of Soybean 7S Globulin Peptide with Cell Membrane Model via
Isothermal Titration Calorimetry, Quartz Crystal Microbalance with Dissipation,
and Langmuir Monolayer Study.
AB - To understand the underlying molecular mechanism of the cholesterol-lowering
effect of soybean 7S globulins, the interactions of their pepsin-released
peptides (7S-peptides) with cell membrane models consisting of
dipalmitoylphosphatidylcholine (DPPC), dioleoylphosphatidylcholine (DOPC), and
cholesterol (CHOL) were systematically studied. The results showed that 7S
peptides were bound to DPPC/DOPC/CHOL liposomes mainly through van der Waals
forces and hydrogen bonds, and the presence of higher CHOL concentrations
enhanced the binding affinity (e.g., DPPC/DOPC/CHOL = 1:1:0, binding ratio =
0.114; DPPC/DOPC/CHOL = 1:1:1, binding ratio = 2.02). Compression isotherms
indicated that the incorporation of 7S-peptides increased the DPPC/DOPC/CHOL
monolayer fluidity and the lipid raft size. The presence of CHOL accelerated the
7S-peptide accumulation on lipid rafts, which could serve as platforms for
peptides to develop into beta-sheet rich structures. These results allow us to
hypothesize that 7S-peptides may indirectly influence membrane protein functions
via altering the membrane organization in the enterocytes.
PMID- 29634260
TI - Structural Modification of Natural Product Ganomycin I Leading to Discovery of a
alpha-Glucosidase and HMG-CoA Reductase Dual Inhibitor Improving Obesity and
Metabolic Dysfunction in Vivo.
AB - It is a great challenge to develop drugs for treatment of metabolic syndrome.
With ganomycin I as a leading compound, 14 meroterpene derivatives were
synthesized and screened for their alpha-glucosidase and HMG-CoA reductase
inhibitory activities. As a result, a alpha-glucosidase and HMG-CoA reductase
dual inhibitor (( R, E)-5-(4-( tert-butyl)phenyl)-3-(4,8-dimethylnona-3,7-dien-1
yl)furan-2(5 H)-one, 7d) with improved chemical stability and long-term safety
was obtained. Compound 7d showed multiple and strong in vivo efficacies in
reducing weight gain, lowering HbAlc level, and improving insulin resistance and
lipid dysfunction in both ob/ob and diet-induced obesity (DIO) mice models.
Compound 7d was also found to reduce hepatic steatosis in ob/ob model. 16S rRNA
gene sequencing, SCFA, and intestinal mucosal barrier function analysis indicated
that gut microbiota plays a central and causative role in mediating the multiple
efficacies of 7d. Our results demonstrate that 7d is a promising drug candidate
for metabolic syndrome.
PMID- 29634261
TI - Synthesis and Desymmetrization of meso Tricyclic Systems Derived from Benzene
Oxide.
AB - Ozonolysis of the Diels-Alder adducts derived from benzene oxides and N
alkylmaleimides resulted in fully substituted, meso bicyclic systems bearing six
contiguous stereocenters, isolated as diols upon reductive workup with NaBH4.
Variation in the workup allowed for isolation of two different diastereoisomers,
through double epimerization of the imide stereocenters. Desymmetrization of the
resulting meso diols via asymmetric nucleophilic epoxide opening and acylation
reactions provided access to highly substituted, enantioenriched fused rings.
PMID- 29634262
TI - Organocatalytic Asymmetric Domino Michael/Acyl Transfer Reaction between
gamma/delta-Hydroxyenones and alpha-Nitroketones.
AB - An organocatalytic asymmetric domino Michael/acyl transfer reaction has been
developed between gamma/delta-hydroxyenones and alpha-nitroketones. Cinchona
alkaloid derived bifunctional amino-squaramide catalysts were found to be the
best catalysts for this reaction. The products having nitro, keto, and ester
functionalities were obtained in high yields and with excellent
enantioselectivities, and also a few synthetic transformations have been
demonstrated.
PMID- 29634263
TI - Role of Electron-Donating and Electron-Withdrawing Groups in Tuning the
Optoelectronic Properties of Difluoroboron-Napthyridine Analogues.
AB - Five napthyridine-based fluorine-boron (BF2-napthyridine) conjugated compounds
have been theoretically designed, and subsequently, their photophysical
properties are investigated. The influence of electron-donating and electron
withdrawing groups attached with the N?C?O moiety of BF2-napthyridine molecule
has been interpreted. The optoelectronic properties, including absorption spectra
and emission spectra of the BF2-napthyridine derivatives are studied using
density functional theory (DFT) and time-dependent density functional theory (TD
DFT) based methods. Different characteristics, such as HOMO-LUMO gap, molecular
orbital density, ionization potential, electron affinity, and reorganization
energy for hole and electron, are calculated. All these molecules show excellent
pi-electron delocalization. TD-DFT results illustrate that the amine-substituted
BF2-napthyridine derivative has the highest absorption and emission maxima; it
also shows a maximum Stoke shift. These results are well-correlated with the
structural parameters and calculated HOMO-LUMO gap. Moreover, it is found that
introduction of an electron-donating group into the BF2-napthyridine complex
improves the hole transport properties and provides useful clues in designing new
materials for organic light emitting diodes (OLED). As a whole, this work
demonstrates that electron-donating and electron-withdrawing groups in BF2
derivatives can extend their effectiveness toward designing of OLED materials,
vitro cellular studies, ex vivo assays, and in vivo imaging agents.
PMID- 29634264
TI - Development of a Sono-Assembled, Bifunctional Soy Peptide Nanoparticle for
Cellular Delivery of Hydrophobic Active Cargoes.
AB - Soy proteins are prone to aggregate upon proteolysis, hindering their sustainable
development in food processing. Here, a continuous work on the large insoluble
peptide aggregates was carried out, aiming to develop a new type of soy peptide
based nanoparticle (SPN) for active cargo delivery. Sono-assembled SPN in
spherical appearance and core-shell structure maintained by noncovalent
interactions was successfully fabricated, exhibiting small particle size (103.95
nm) in a homogeneous distribution state (PDI = 0.18). Curcumin as a model cargo
was efficiently encapsulated into SPN upon sonication, showing high water
dispersity (129.6 mg/L, 104 higher than its water solubility) and storage
stability. Additionally, the pepsin-resistant SPN contributed to the controlled
release of curcumin at the intestinal phase and thus significantly improved the
bioaccessibility. Encapsulated curcumin was effective in protecting glutamate
induced toxicity in PC12 cells, where the matrix SPN can simultaneously reduce
lipid peroxidation and elevate antioxidant enzymes levels, innovatively
demonstrating its bifunctionality during cellular delivery.
PMID- 29634265
TI - Significant Reduction of the Expression of Peach ( Prunus persica L. Batsch)
Allergen-Encoding Genes by Fruit Bagging with Opaque Paper.
AB - Freshly consumed peaches ( Prunus persica L. Batsch) can cause allergic reactions
in the worldwide population because of the presence of four classes of allergens
(Pru p 1, Pru p 2, Pru p 3, and Pru p 4). Fruit bagging has been widely practiced
in peach cultivation to improve fruit quality; however, its effect on the
expression of peach allergen-encoding genes remains unknown. In this study, the
influence of fruit bagging with opaque paper bags on the major peach allergen
encoding genes, including Pru p 1.01, Pru p 1.06B, Pru p 2.01B, Pru p 2.02, Pru p
3.01, Pru p 4.01, and Pru p 4.02, were measured by means of real-time PCR. A
significant reduction in transcript accumulation was observed for all of the
selected genes in the epicarps of the bagged peach fruits, whereas slight
increases were observed in the mesocarps for these genes, with the two exceptions
of Pru p 2.02 and Pru p 3.01. For most of these genes, much higher transcripts
were determined in the epicarps than in the mesocarps. Taken together, a
significant reduction in the transcription rate of the allergen-encoding genes in
the whole peach fruit was achieved by shading with opaque paper bags. According
to these data, modifications in growing practices of peach may help to obtain
fruits with lower levels of allergens and thus contribute to reducing potential
allergenic risks in consumers.
PMID- 29634266
TI - Computational Study of Molecular Hydrogen Adsorption over Small (MO2) n
Nanoclusters (M = Ti, Zr, Hf; n = 1 to 4).
AB - Hydrogen adsorption on small group 4 metal oxide clusters for both the singlet
and the first excited triplet states have been investigated by density functional
theory and correlated molecular orbital theory at the coupled cluster CCSD(T)
level. The reaction starts with hydrogen physisorption on a metal center followed
by formation of metal hydride/hydroxides due to splitting H2 into H- and H+. The
hydrogen physisorption energies are predicted to be -1 to -8 kcal/mol for the
singlet and -1 to -26 kcal/mol for the triplet, respectively. The formation of
metal hydride/hydroxides does not involve redox processes. Chemisorption leading
to formation of metal hydride/hydroxides is exothermic by -10 to -50 kcal/mol for
the singlet, and exothermic by up to -60 kcal/mol for the triplet. The predicted
energy barriers are less than 20 kcal/mol. Formation of metal dihydroxides from
the metal hydride/hydroxides is generally endothermic for the monomer and dimer
and is exothermic for the trimer and tetramer. Formation of the dihydroxide is a
proton coupled electron transfer (PCET) process. The singlet energy barriers for
the H--> H+ transfer process are predicted to be 35-60 kcal/mol, in comparison to
triplet energy barriers of less than 15 kcal/mol for the H* -> H+ transfer
process. For trimers and tetramers, there exist two different pathways: the first
is a direct pathway with PCET to a terminal oxygen and the second is a two-step
pathway with initial formation of a bridge OH group followed by a proton transfer
to generate a terminal OH group. For the singlet, the two-step pathway is
preferred for M = Ti and the direct pathway is more favorable for M = Zr and Hf.
The two-step pathway is always preferred for the triplet as one-electron transfer
is always more likely than two-electron transfer in the direct pathway.
PMID- 29634267
TI - Synthesis of 3,5-Disubstituted BODIPYs Bearing N-Containing Five-Membered
Heteroaryl Groups via Nucleophilic C-N Bond Formation.
AB - Aromatic substitution reactions were applied to the divergent synthesis of a
series of symmetrically and unsymmetrically 3,5-disubstituted BODIPYs bearing N
heteroaryl groups. Furthermore, the effect of N-heteroaryl substituents at the 3-
and 5-positions on the optical and photophysical properties of the BODIPY pi
electron system was elucidated.
PMID- 29634268
TI - Phenolic Pollutant Uptake Properties of Molecular Templated Polymers Containing
beta-Cyclodextrin.
AB - Templated (T) and nontemplated (NT) cross-linked materials containing beta
cyclodextrin (beta-CD) and epichlorohydrin (EPH) were prepared at variable beta
CD-EPH ratios (1:15, 1:20, and 1:25) in the presence and absence of a molecular
template (toluene). The structural characterization of the materials was carried
out using spectroscopy (Fourier transform infrared, solids 13C NMR, and scanning
electron microscopy) and thermogravimetric analysis. The adsorption properties
were studied with phenol-based adsorbates (2,4,6-trinitrophenol (TNP) and p
nitrophenol (PNP)) at equilibrium and dynamic conditions. The monolayer
adsorption capacity ( Qm) varied for the T-polymer/TNP systems ( Qm = 0.10-0.95
mmol/g) and NT-polymer/TNP systems ( Qm = 0.23-0.83 mmol/g). The range of Qm
values for the T-polymer/PNP systems (0.26-0.62 mmol/g) exceeded that of the NT
polymer/PNP systems (0.23-0.40 mmol/g). The kinetic uptake profiles for the
polymers and phenolphthalein were reliably described by the pseudo-first-order
model. The beta-CD inclusion site accessibility for the polymers varied from 15
to 20%, according to the level of cross-linking, where the accessibility of the T
polymers exceeded that of the NT-polymers. The structural characterization and
phenol adsorption properties provide complementary support for the role of
tunable polymer morphology in adsorption processes. The role of two-site binding
was demonstrated for linear and globular polymer materials according to their
unique adsorption properties with phenols of variable size and hydrophile
lipophile character.
PMID- 29634269
TI - Drimane Sesquiterpenoids Noncompetitively Inhibit Human alpha4beta2 Nicotinic
Acetylcholine Receptors with Higher Potency Compared to Human alpha3beta4 and
alpha7 Subtypes.
AB - The drimane sesquiterpenoids drimenin, cinnamolide, dendocarbin A, and polygodial
were purified from the Canelo tree ( Drimys winteri) and chemically characterized
by spectroscopic methods. The pharmacological activity of these natural compounds
were determined on halpha4beta2, halpha3beta4, and halpha7 nicotinic
acetylcholine receptors (AChRs) by Ca2+ influx measurements. The results
established that drimane sesquiterpenoids inhibit AChRs with the following
selectivity: halpha4beta2 > halpha3beta4 > halpha7. In the case of halpha4beta2
AChRs, the following potency rank order was determined (IC50's in MUM): drimenin
(0.97 +/- 0.35) > cinnamolide (1.57 +/- 0.36) > polygodial (62.5 +/- 19.9) ?
dendocarbin A (no activity). To determine putative structural features underlying
the differences in inhibitory potency at halpha4beta2 AChRs, additional structure
activity relationship and molecular docking experiments were performed. The Ca2+
influx and structural results supported a noncompetitive mechanism of inhibition,
where drimenin interacted with luminal and nonluminal (TMD-beta2 intrasubunit)
sites. The structure-activity relationship results, i.e., the lower the ligand
polarity, the higher the inhibitory potency, supported the nonluminal
interaction. Ligand binding to both sites might inhibit the halpha4beta2 AChR by
a cooperative mechanism, as shown experimentally ( nH > 1). Drimenin could be
used as a molecular scaffold for the development of more potent inhibitors with
higher selectivity for the halpha4beta2 AChR.
PMID- 29634270
TI - Recovering Intrinsic Fragmental Vibrations Using the Generalized Subsystem
Vibrational Analysis.
AB - Normal vibrational modes are generally delocalized over the molecular system,
which makes it difficult to assign certain vibrations to specific fragments or
functional groups. We introduce a new approach, the Generalized Subsystem
Vibrational Analysis (GSVA), to extract the intrinsic fragmental vibrations of
any fragment/subsystem from the whole system via the evaluation of the
corresponding effective Hessian matrix. The retention of the curvature
information with regard to the potential energy surface for the effective Hessian
matrix endows our approach with a concrete physical basis and enables the normal
vibrational modes of different molecular systems to be legitimately comparable.
Furthermore, the intrinsic fragmental vibrations act as a new link between the
Konkoli-Cremer local vibrational modes and the normal vibrational modes.
PMID- 29634271
TI - Schincalactones A and B, Two 5/5/6/11/3 Fused Schinortriterpenoids with a 13
Membered Carbon Ring System from Schisandra incarnata.
AB - Two novel schinortriterpenoids (SNTs), schincalactones A (1) and B (2), featuring
a unique 5/5/6/11/3 ring system, together with schincalide B (3), were isolated
from Schisandra incarnata. Their structures were elucidated by detailed
spectroscopic analysis, and the absolute configurations of 1 and 3 were confirmed
by single-crystal X-ray diffraction. Compounds 1 and 2 possess a 13-membered
carbon ring and are the first examples in the SNT family. Plausible biosynthetic
pathways of 1-3 were postulated.
PMID- 29634272
TI - Magnetic Mesoporous Silica Gated with Doped Carbon Dot for Site-Specific Drug
Delivery, Fluorescence, and MR Imaging.
AB - Construction of a theranostic agent which integrates multiple modalities with
different functions into one entity is challenging from a molecular design and
synthesis perspective. In this context, the present paper reports the fabrication
of a novel type of multifunctional hybrid nanoparticle composed of magnetic
gadolinium oxide-iron oxide core, mesoporous silica shell gated with boronic acid
functionalized highly luminescent carbon quantum dot (BNSCQD). The porous silica
shell acts as an excellent reservoir for anticancer drug 5-fluorouracil, whereas
the BNSCQD cap impressively controls the drug transport under simulated
intracellular environment. Furthermore, recognition and fluorescence turn on
response of BNSCQD toward cell surface glycan sialyl Lewisa (SLa) enables
targeted drug release and excellent fluorescence imaging of SLa overexpressed
HePG2 cancer cells. The r1 and r2 relaxivities of the material are found to be 10
and 165 mM-1 s-1 which is comparable to commercially available magnetic resonance
imaging contrast agents. Benefiting from the combined advantages of dual stimuli
responsive drug release, excellent optical imaging, and MR imaging, this novel
construct can be a promising theranostic material.
PMID- 29634273
TI - Controlled Synthesis of C70 Equatorial Multiadducts with Mixed Addends from an
Equatorial Diadduct: Evidence for an Electrophilic Carbanion.
AB - Controlled synthesis of the equatorial tetra-, hexa-, and
octaorgano[70]fullerenes with mixed addends was achieved via the reaction of
equatorial 7,23-Bn2C70 with MeO- and ArCH2Br. The products were structurally
characterized by single crystal X-ray diffraction. The regioselectivity of the
reaction was studied by in situ vis-NIR and Fukui function analysis. A surprising
electrophilic triorgano[70]fullerene carbanion was shown, and an enhanced
fluorescence was observed for the mixed octaadducts.
PMID- 29634274
TI - Aroyl Isocyanates as 1,4-Dipoles in a Formal [4 + 1]-Cycloaddition Approach
toward Oxazolone Construction.
AB - A formal phosphine-mediated [4 + 1]-cycloaddition between a 1,2-dicarbonyl and an
aroyl isocyanate to provide oxazolones bearing a disubstituted C5 center is
described. By exploiting the carbene-like reactivity of oxyphosphonium enolates
as C1 synthons and aroyl isocyanates as formal 1,4-dipoles, oxazolones and
spiroooxindole oxazolones are constructed in high yields (39-99%).
PMID- 29634275
TI - CysLTR1 Blockage Ameliorates Liver Injury Caused by Aluminum-Overload via
PI3K/AKT/mTOR-Mediated Autophagy Activation in Vivo and in Vitro.
AB - Aluminum (Al) is a trivalent cation that can accumulate in animal organs,
especially in the liver. We previously demonstrated that Al-overload could induce
liver morphologic aberrations and dysfunction. However, the molecular mechanism
underlying liver injury caused by Al-overload still remains unknown. In the
present study, we investigated the relationship between leukotrienes receptors
and the PI3K/AKT/mTOR pathway in Al-induced liver injury in vivo and in vitro. We
demonstrated that Al-overload significantly increased the protein expression
levels of CysLTR1, PI3K, AKT, mTOR, and p62, while significantly decreasing the
LC3BII protein levels in rat liver; thus, suggesting that the autophagy process
was inhibited in Al-overloaded rat liver. In addition, MK-571, an inhibitor of
CysLTR1, effectively protected the human hepatocyte L02 cells against injury
caused by Al exposure. Moreover, CysLTR1 blockage could significantly down
regulate the PI3K/AKT/mTOR pathway and activate autophagy. The effect of MK-571
on cell viability was abolished by the treatment with the autophagy inhibitor
(wortmannin) but not with the autophagy agonist (rapamycin). Taken together, our
results indicated that the blockage of the leukotriene receptor of CysLTR1
promotes autophagy and further reduces hepatocyte death through the PI3K/AKT/mTOR
pathway inhibition. CysLTR1 thus could represent a potential target for the new
drug development for chronic noninfective liver injury.
PMID- 29634276
TI - Nitrate-promoted Selective C-H Fluorination of Benzamides and Benzeneacetamides.
AB - A versatile and site-selective nitrate-promoted C-H bond fluorination using
various weak coordinating amides as intrinsic directing groups was developed.
Diverse tertiary and secondary amides underwent selective aromatic C-H bond
fluorination, which features broad substrate scope, good regioselectivity, and
mild conditions. Moreover, the late-stage C-H bond fluorination of the
challenging benzeneacetamides via distal directing was reported for the first
time.
PMID- 29634277
TI - Polylysine is a Proteostasis Network-Engaging Structural Determinant.
AB - C-terminal polylysine (PL) can be synthesized from the polyadenine tail of
prematurely cleaved mRNAs or when a read-though of a stop codon happens. Due to
the highly positive charge, PL stalls in the electrostatically negative ribosomal
exit channel. The stalled polypeptide recruits the Ribosome-associated quality
control (RQC) complex which processes and extracts the nascent chain. Dysfunction
of the RQC leads to the accumulation of PL-tagged proteins, induction of a stress
response, and cellular toxicity. Not much is known about the PL-specific aspect
of protein quality control. Using quantitative mass spectrometry, we uncovered
the post-ribosomal PL-processing machinery in human cytosol. It encompasses key
cytosolic complexes of the proteostasis network, such as chaperonin TCP-1 ring
complexes (TRiC) and half-capped 19S-20S proteasomes. Furthermore, we found that
the nuclear transport machinery associates with PL, which suggests a novel
mechanism by which faulty proteins can be compartmentalized in the cell. The
enhanced nuclear import of a PL-tagged polypeptide confirmed this implication,
which leads to questions regarding the biological rationale behind it.
PMID- 29634278
TI - Direct ortho-Selective C-H Functionalization of Carboxybenzyl-Protected
Arylalkylamines via Ir(III)-Catalyzed C-H Activation.
AB - A convenient and practical approach to synthesize ortho-alkynylated
arylalkylamines through ortho-selective C-H functionalization has been developed
using Cbz-amide as the directing group and Ir(III) as the catalyst. Various
substrates were well tolerated, affording the corresponding products in moderate
to good yields. Moreover, preliminary mechanistic study revealed the role of the
amide as the coordination center to cooperate with the Ir(III) complex during C-H
activation. Development of this Cbz-amide-promoted CAr-H functionalization offers
a practical approach with potential applications in organic synthesis.
PMID- 29634281
TI - Excimer Emission in J-Aggregates.
AB - An excimer in J-aggregates has been often considered as a self-trapped exciton
originating from the free exciton excited on the same aggregate and relaxed
through interaction with vibronic modes. Here we show that other types of
excimers due to intermolecular off-diagonal interactions can be observed in J
aggregates of thiamonomethinecyanine dyes. These excimers arise owing to free
excitons too, but they possess a longer formation time of more than 100 ps,
indicating migration of free excitons to the excimer formation site, where they
interact with a guest species in the ground state. Formation of the excimers
occurs in solutions as a power law of concentration with an exponent of 1.5,
showing that an excited aggregate should be twice longer than a ground-state
guest species, consistent with the exciton coherence length of four molecules
versus one dimer, respectively. Unlike the self-trapped exciton, lower
temperatures lead to significant suppression of the observed excimer emission.
PMID- 29634280
TI - Direct Determination of Absolute Absorption Cross Sections at the L-Edge of
Dilute Mn Complexes in Solution Using a Transmission Flatjet.
AB - The 3d transition metals play a pivotal role in many charge transfer processes in
catalysis and biology. X-ray absorption spectroscopy at the L-edge of metal sites
probes metal 2p-3d excitations, providing key access to their valence electronic
structure, which is crucial for understanding these processes. We report L-edge
absorption spectra of MnII(acac)2 and MnIII(acac)3 complexes in solution,
utilizing a liquid flatjet for X-ray absorption spectroscopy in transmission
mode. With this, we derive absolute absorption cross-sections for the L-edge
transitions with peak magnitudes as large as 12 and 9 Mb for MnII(acac)2 and
MnIII(acac)3, respectively. We provide insight into the electronic structure with
ab initio restricted active space calculations of these L-edge transitions,
reproducing the experimental spectra with excellent agreement in terms of shapes,
relative energies, and relative intensities for the two complexes. Crystal field
multiplet theory is used to assign spectral features in terms of the electronic
structure. Comparison to charge transfer multiplet calculations reveals the
importance of charge transfer in the core-excited final states. On the basis of
our experimental observations, we extrapolate the feasibility of 3d transition
metal L-edge absorption spectroscopy using the liquid flatjet approach in probing
highly dilute biological solution samples and possible extensions to table-top
soft X-ray sources.
PMID- 29634282
TI - Dendrite-Embedded Platinum-Nickel Multiframes as Highly Active and Durable
Electrocatalyst toward the Oxygen Reduction Reaction.
AB - Pt-based nanoframe catalysts have been explored extensively due to their superior
activity toward the oxygen reduction reaction (ORR). Herein, we report the
synthesis of Pt-Ni multiframes, which exhibit the unique structure of tightly
fused multiple nanoframes and reinforced by an embedded dendrite. Rapid reduction
and deposition of Ni atoms on Pt-Ni nanodendrites induce the alloying/dealloying
of Pt and Ni in the overall nanostructures. After chemical etching of Ni, the
newly formed dendrite-embedded Pt-Ni multiframes show an electrochemically active
surface area (ECSA) of 73.4 m2 gPt-1 and a mass ORR activity of 1.51 A mgPt-1 at
0.93 V, which is 30-fold higher than that of the state-of-the-art Pt/C catalyst.
We suggest that high ECSA and ORR performances of dendrite-embedded Pt-Ni
multiframes/C can be attributed to the porous nanostructure and numerous active
sites exposed on surface grain boundaries and high-indexed facets.
PMID- 29634279
TI - The Toxicogenome of Hyalella azteca: A Model for Sediment Ecotoxicology and
Evolutionary Toxicology.
AB - Hyalella azteca is a cryptic species complex of epibenthic amphipods of interest
to ecotoxicology and evolutionary biology. It is the primary crustacean used in
North America for sediment toxicity testing and an emerging model for molecular
ecotoxicology. To provide molecular resources for sediment quality assessments
and evolutionary studies, we sequenced, assembled, and annotated the genome of
the H. azteca U.S. Lab Strain. The genome quality and completeness is comparable
with other ecotoxicological model species. Through targeted investigation and use
of gene expression data sets of H. azteca exposed to pesticides, metals, and
other emerging contaminants, we annotated and characterized the major gene
families involved in sequestration, detoxification, oxidative stress, and
toxicant response. Our results revealed gene loss related to light sensing, but a
large expansion in chemoreceptors, likely underlying sensory shifts necessary in
their low light habitats. Gene family expansions were also noted for cytochrome
P450 genes, cuticle proteins, ion transporters, and include recent gene
duplications in the metal sequestration protein, metallothionein. Mapping of
differentially expressed transcripts to the genome significantly increased the
ability to functionally annotate toxicant responsive genes. The H. azteca genome
will greatly facilitate development of genomic tools for environmental
assessments and promote an understanding of how evolution shapes toxicological
pathways with implications for environmental and human health.
PMID- 29634283
TI - Role of Cellular Metabolism in Pulmonary Diseases.
PMID- 29634285
TI - ACE2 and pACE2: A Pair of Aces for Pulmonary Arterial Hypertension Treatment?
PMID- 29634284
TI - Targeting of TAM Receptors Ameliorates Fibrotic Mechanisms in Idiopathic
Pulmonary Fibrosis.
AB - RATIONALE: Idiopathic pulmonary fibrosis (IPF) is characterized by aberrant lung
remodeling, which progressively abolishes lung function in an RTK (receptor
tyrosine kinase)-dependent manner. Gas6 (growth arrest-specific 6) ligand, Tyro3
(TYRO3 protein tyrosine kinase 3), and Axl (anexelekto) RTK expression and
activity are increased in IPF. OBJECTIVES: To determine if targeting these RTK
pathways would inhibit fibroblast activation and the development of pulmonary
fibrosis. METHODS: Quantitative genomic, proteomic, and functional analyses were
used to determine Gas6/TAM (Tyro3, Axl, and Mertk [MER proto-oncogene, tyrosine
kinase]) RTK expression and activation in tissues and fibroblasts from normal and
IPF lungs. The profibrotic impact of these RTK pathways were also examined in
bleomycin-induced pulmonary fibrosis and in SCID/Bg mice that developed pulmonary
fibrosis after the intravenous administration of primary IPF fibroblasts.
MEASUREMENTS AND MAIN RESULTS: Gas6, Axl, and Tyro3 were increased in both
rapidly and slowly progressive IPF compared with normal lung samples and
fibroblasts. Targeting these pathways with either specific antibodies directed at
Gas6 or Axl, or with small-molecule TAM inhibitors indicated that the small
molecule-mediated targeting approach was more efficacious in both in vitro and in
vivo studies. Specifically, the TAM receptor inhibitor R428 (also known as
BGB324) significantly inhibited the synthetic, migratory, and proliferative
properties of IPF fibroblasts compared with the other Gas6/TAM receptor targeting
agents. Finally, loss of Gas6 expression decreased lung fibrotic responses to
bleomycin and treatment with R428 inhibited pulmonary fibrosis in humanized
SCID/Bg mice. CONCLUSIONS: Gas6/TAM receptor activity contributes to the
activation of pulmonary fibroblasts in IPF, suggesting that targeting this RTK
pathway might be an effective antifibrotic strategy in this disease.
PMID- 29634287
TI - Effectiveness of assistive technology in improving the safety of people with
dementia: a systematic review and meta-analysis.
AB - OBJECTIVES: Assistive technology (AT) may enable people with dementia to live
safely at home for longer, preventing care home admission. This systematic review
assesses the effectiveness of AT in improving the safety of people with dementia
living in the domestic setting, by searching for randomised controlled trials,
non-randomised controlled trials and controlled before-after studies which
compared safety AT with treatment as usual. Measures of safety include care home
admission; risky behaviours, accidents and falls at home; and numbers of deaths.
The review updates the safety aspect of Fleming and Sum's 2014 systematic review.
METHOD: Seven bibliographic databases, the Social Care Institute for Excellence
website and the Alzheimer's Society website were searched for published and
unpublished literature between 2011-2016. Search terms related to AT, dementia
and older people. Common outcomes were meta-analysed. RESULTS: Three randomised
controlled trials were identified, including 245 people with dementia. No
significant differences were found between intervention and control groups in
care home admission (risk ratio 0.85 95% CI [0.37, 1.97]; Z = 0.37; p = 0.71).
The probability of a fall occurring was 50% lower in the intervention group (risk
ratio 0.50 95% CI [0.32, 0.78]; Z = 3.03; p = 0.002). One included study found
that a home safety package containing AT significantly reduced risky behaviour
and accidents (F(45) = 4.504, p < 0.001). Limitations include the few studies
found and the inclusion of studies in English only. CONCLUSION: AT's
effectiveness in decreasing care home admission is inconclusive. However, the AT
items and packages tested improved safety through reducing falls risk, accidents
and other risky behaviour.
PMID- 29634290
TI - Aging, cognition, and the brain: effects of age-related variation in white matter
integrity on neuropsychological function.
AB - Alterations in brain structure are viewed as neurobiological indicators which are
closely tied to cognitive changes in healthy human aging. The current study used
diffusion tensor imaging (DTI) tractography to investigate the relationship
between age, brain variation in white matter (WM) integrity, and cognitive
function. Sixteen younger adults (aged 20-28 years) and 18 healthy older adults
(aged 60-75 years) underwent DTI scanning and a standardized battery of
neuropsychological measures. Behaviorally, older adults exhibited poorer
performance on multiple cognitive measures compared to younger adults. At the
neural level, the effects of aging on theWM integrity were evident within
interhemispheric (the anterior portion of corpus callosum) and transverse (the
right uncinate fasciculus) fibers of the frontal regions, and the cingulum
angular fibers. Our correlation results showed that age-related WM differentially
influenced cognitive function, with increased fractional anisotropy values in
both the anterior corpus callosum and the right cingulum/angular fibers
positively correlated with performance on the visuospatial task in older adults.
Moreover, mediation analysis further revealed that the WM tract integrity of the
frontal interhemspheric fibers was a significant mediator of age-visuospatial
performance relation in older adults, but not in younger adults. These findings
support the vulnerability of the frontal WM fibers to normal aging and push
forward our understanding of cognitive aging by providing a more integrative view
of the neural basis of linkages among aging, cognition, and brain.
PMID- 29634288
TI - Depression in type 1 diabetes and risk of dementia.
AB - OBJECTIVE: Depression afflicts 14% of individuals with type 1 diabetes (T1D).
Depression is a robust risk factor for dementia but it is unknown if this holds
true for individuals with T1D, who recently started living to an age conferring
dementia risk. We examined if depression is a dementia risk factor among elderly
individuals with T1D. METHODS: 3,742 individuals with T1D age >=50 were followed
for dementia from 1/1/96-9/30/2015. Depression, dementia, and comorbidities were
abstracted from electronic medical records. Cox proportional hazard models
estimated the association between depression and dementia adjusting for
demographics, glycosylated hemoglobin, severe dysglycemic epidsodes, stroke,
heart disease, nephropathy, and end stage renal disease. The cumulative incidence
of dementia by depression was estimated conditional on survival dementia-free to
age 55. RESULTS: Five percent (N = 182) were diagnosed with dementia and 20% had
baseline depression. Depression was associated with a 72% increase in dementia
(fully adjusted HR = 1.72; 95% CI:1.12-2.65). The 25-year cumulative incidence of
dementia was more than double for those with versus without depression (27% vs.
12%). CONCLUSIONS: For people with T1D, depression significantly increases
dementia risk. Given the pervasiveness of depression in T1D, this has major
implications for successful aging in this population recently living to old age.
PMID- 29634291
TI - Widowhood, leisure activity engagement, and cognitive function among older
adults.
AB - OBJECTIVES: Maintaining cognitive function is an essential aspect of successful
aging. Widowhood is a salient life transition that can affect older adults'
cognitive function. Leisure engagement has received increasing attention because
it is still modifiable in later life to help prevent cognitive decline.
Nonetheless, limited longitudinal studies have examined how widowhood influences
cognitive function, and even fewer studies have tested the role of leisure
activities in this relationship. METHOD: This study delineated the mechanism of
widowhood, leisure activity engagement, and cognitive function among older adults
using a national longitudinal dataset, the Health and Retirement Study, and its
supplementary dataset, the Consumption and Activities Mail Survey, which
repeatedly measured individuals' leisure activity engagement. RESULTS: Findings
showed no significant association between widowhood and cognitive function during
a 4-year period. However, engagement in mental activities moderated the impact of
widowhood on cognitive function. Specifically, the benefit of mental activity
engagement on cognition was more pronounced among individuals who were recently
widowed compared to those who were married. This implies a protective role of
mental activities in the relationship between widowhood and cognitive function.
CONCLUSION: Interventions with mentally stimulating activities at the community
level to retain cognition among individuals in early phase widowhoodare
suggested. Future studies are necessary to explore whether other factors such as
changes in physical and mental health and intergenerational support from adult
children during widowhood may further influence this mechanism among widowhood,
leisure activities, and cognitive function.
PMID- 29634293
TI - Special issue on fetal alcohol spectrum disorder.
PMID- 29634294
TI - Academy of Breastfeeding Medicine's 2017 Position Statement on Informal Breast
Milk Sharing for the Term Healthy Infant.
PMID- 29634295
TI - Urbanicity of residence and depression among adults 50 years and older in Ghana
and South Africa: an analysis of the WHO Study on Global AGEing and Adult Health
(SAGE).
AB - OBJECTIVES: As the primary cause of disability worldwide, depression is a
significant contributor to global morbidity and mortality and often
disproportionately affects older adults. Several studies have demonstrated a link
between urban residence and depression, but few studies have examined this
association among older adult populations, and even fewer have studied it within
an African context. Given that African societies are aging and urbanizing at
rapid rates, this study aimed to assess the relationship between urbanicity and
depression within older adult populations in two African countries. METHOD: Data
were drawn from the Ghana and South Africa samples of the World Health
Organization Study on Global AGEing and Adult Health (SAGE) wave 1 (2007-2008).
Depression over the past 12 months was measured using self-reported treatment and
depressive symptoms based on ICD-10 criteria in 4209 Ghanaian and 3148 South
African adults aged 50 years and older residing in their current location for
over one year. RESULTS: The 12-month prevalence of depression was 7.5% and 4.0%
in Ghana and South Africa, respectively; 41.1% and 65.6%, respectively, lived in
urban areas. Comparing urban to rural residents, the adjusted odds ratio (OR) for
depression in multivariable analysis was 1.13 (95% CI: 0.71-1.79) in South Africa
and 0.85 (95% CI: 0.55-1.31) in Ghana. CONCLUSION: Results do not support a
significant urban-rural difference in 12-month depression among Ghanaian or South
African SAGE participants. Mental health resources in rural areas should
therefore be enhanced in these countries for more equitable distributions between
the two settings given similar need.
PMID- 29634296
TI - Information for Readers.
PMID- 29634297
TI - Use of Dehydrated Human Amnion/Chorion Membrane Allografts in More Than 100
Patients with Six Major Types of Refractory Nonhealing Wounds.
AB - BACKGROUND: Biochemical properties of the amniotic membrane help modulate
inflammation and enhance soft-tissue healing. In controlled trials, the efficacy
of dehydrated human amnion/chorion membrane (dHACM) allografts has been
established. Our purpose is to describe our experience with using dHACM to treat
nonhealing wounds of various etiologies. METHODS: We conducted a retrospective
review of deidentified data from 117 consecutive patients treated in an
outpatient clinic with dHACM allografts with wounds of various etiologies over 2
years. The decision to use advanced wound-care treatments is based on rate of
healing observed after initiation of standard wound care and patient risk
factors. Eligibility for treatments such as amniotic membrane allografts includes
wounds without 50% reduction after 4 weeks, or earlier in patients deemed to be
at high risk for nonhealing or with a history of chronic wounds. In micronized or
sheet formulation, dHACM is applied to the wound weekly after sharp/mechanical
debridement as necessary, and wound-care practices appropriate for wound type and
location are continued. RESULTS: Thirty-four percent of allograft recipients had
diabetic foot ulcers, 25% had venous leg ulcers, 20% had surgical wounds, 14% had
pressure ulcers, 6% had ischemic wounds, and 2% had traumatic wounds. Complete
healing occurred in 91.1% of treated patients, with a mean +/- SD number of
weekly applications per healed wound of 5.1 +/- 4.2. CONCLUSIONS: In addition to
wounds of diabetic origin, dHACM can significantly expedite healing in refractory
wounds of varying etiologies.
PMID- 29634298
TI - Effectiveness of Diagnosis and Treatment of Spiral Fracture of the Distal Third
of the Tibia Combined with Posterior Malleolus Fracture A Series of Ten Cases.
AB - BACKGROUND: Spiral fractures of the tibia are often the result of torsion trauma.
In clinical practice, this type of fracture is frequently complicated by
posterior malleolus fractures. This study aimed to observe the effectiveness of
diagnosis and treatment of these fractures in a single hospital in China.
Posterior malleolus fractures are sometimes occult, occurring alongside spiral
fractures of the distal third of the tibia; posttraumatic arthritis can result if
they are missed. METHODS: This study includes 128 consecutive patients with tibia
fractures between May 1, 2008, and April 30, 2012. Patients in the early study
period underwent radiography only, and subsequent patients underwent both
radiography and computed tomography (CT). The causes of the fractures were
evaluated. Intramedullar nailing was performed for the tibia fractures.
Percutaneous cannulated screw fixation was used for the posterior malleolus
fractures. Patients were followed up for a minimum of 3 months. RESULTS: Twenty
eight patients had spiral fractures of the mid-distal third of the tibia. Ten of
the 28 patients were complicated by posterior malleolus fractures. Diagnosis was
initially missed in one early patient who underwent radiography only. Three cases
of posterior malleolus fractures were identified by radiography. A CT was
performed in all ten patients and showed that approximately 25% to 50% of the
ankle joint surface of posterior malleolus fractures was involved. One early
diagnosis was missed that had a displaced posterior malleolus fracture after
intramedullary nailing. Using CT as the gold standard, radiography had
sensitivity of 33.3%, specificity of 100.0%, positive predictive value of 100%,
and negative predictive value of 73.9%. All correctly diagnosed patients healed
well, without ankle pain. CONCLUSIONS: Computed tomography helped identify most
posterior malleolus fractures, and radiography alone might miss it.
Intramedullary nailing and posterior malleolus screw fixation were
straightforward and effective treatments.
PMID- 29634299
TI - The Ring Verruca Plantaris in Cantharidin Use A Case Report.
AB - Verrucae (warts) are the most common viral infections of the skin, affecting 7%
to 10% of the general population. Typically caused by human papillomavirus type
1, plantar warts manifest as benign proliferation of the epithelial cells on the
feet. It has been cited that up to one-third of nongenital warts become
recalcitrant, and biopsy is often required to confirm diagnosis and direct
appropriate treatment. These treatments can vary from various types of oral
medications, acids, ablative modalities, and injections. In this article, we
present a case of a recalcitrant plantar wart that appeared to circumferentially
spread from the initial site after first-line treatment and presumed resolution
with the product cantharidin. The development of ring warts is a known
complication associated with cantharidin use, with little described rationale to
the presentation.
PMID- 29634300
TI - Lipofibromatous Hamartoma of the Plantar Nerve An Extremely Rare Localization.
AB - Lipofibromatous hamartoma (LFH) is a rare, benign, tumor-like soft-tissue lesion
that affects the peripheral nerves and forms a palpable neurogenic mass.
Lipofibromatous hamartoma is associated with pain and sensory and/or motor
deficits in the area of innervation of the affected nerve. This report describes
a rare case of LFH of the plantar nerve. A 48-year-old woman presented to our
outpatient orthopedic clinic with pain and a burning sensation on her left foot.
The patient had a history of Morton's neuroma and had undergone a tarsal tunnel
operation 2 years earlier at another center. None of her symptoms was alleviated
by two previous operations. Magnetic resonance imaging with contrast revealed
tenosynovitis of the flexor hallucis longus tendon and signal changes at deep
tissue planes of the foot at the levels of the second and third toes, on the
dorsal site and subcutaneous soft-tissue planes, suggesting edema and Morton's
neuroma. The lesion was excised under spinal anesthesia, and histopathologic
examination of the specimen revealed a diagnosis of LFH. The patient was
discharged without any symptoms and her foot was normal at 8-month outpatient
follow-up, with no indications of postoperative complications and/or recurrence.
PMID- 29634301
TI - Measurement of Toe-Brachial Indices in People with Subnormal Toe Pressures
Complexities and Revelations.
AB - BACKGROUND: Insufficient information exists about the nature of toe-brachial
indices (TBIs) and how best to obtain them, yet their validity may be
particularly important for the identification and management of peripheral artery
disease and cardiovascular disease risk. We explore ways in which valid TBI
measurements might be obtained. METHODS: The TBI data were recorded from 97
people with subnormal toe pressures. Most people provided three TBI readings from
each foot on six different occasions over a 6-month period. The foot with the
lower baseline TBI was noted. RESULTS: For most people, only small
inconsistencies existed among the three readings taken from each foot on a single
occasion, and there were no consistent differences based on sequence. However,
for some people there were noticeable and unsystematic differences among the
measures. Selecting any specific one of the three readings based on its
sequential position, or averaging specific readings, did not yield TBIs that were
unequivocally typical for a person, and taking the lowest reading of each set
seemed to offer the most expedient solution in this context. That permitted
baseline descriptive statistics to be produced for both the higher and lower
pressure feet, between which there was a statistically significant TBI
difference. CONCLUSIONS: Accurate and consistent TBI readings cannot be assumed
for people with subnormal toe pressures, and taking only a single reading or
indiscriminately averaging readings seems inadvisable. Two readings and, if they
are discrepant, additional readings, are recommended for each foot, ideally on
several occasions, and careful consideration should be given to determine the
most representative reading for each foot. Cuff sizes and other sources of
inaccuracy or distortion should not be ignored, and standardized protocols for
obtaining TBIs are recommended.
PMID- 29634302
TI - Differences in Faculty and Standardized Patient Scores on Professionalism for
Second-Year Podiatric Medical Students During a Standardized Simulated Patient
Encounter.
AB - BACKGROUND: This study examined the differences between faculty and trained
standardized patient (SP) evaluations on student professionalism during a second
year podiatric medicine standardized simulated patient encounter. METHODS: Forty
nine second-year podiatric medicine students were evaluated for their
professionalism behavior. Eleven SPs performed an assessment in real-time, and
one faculty member performed a secondary assessment after observing a videotape
of the encounter. Five domains were chosen for evaluation from a validated
professionalism assessment tool. RESULTS: Significant differences were identified
in the professionalism domains of "build a relationship" ( P = .008), "gather
information" ( P = .001), and share information ( P = .002), where the faculty
scored the students higher than the SP for 24.5%, 18.9%, and 26.5% of the cases,
respectively. In addition, the faculty scores were higher than the SP scores in
all of the "gather information" subdomains; however, the difference in scores was
significant only in the "question appropriately" ( P = .001) and "listen and
clarify" ( P = .003) subdomains. CONCLUSIONS: This study showed that
professionalism scores for second-year podiatric medical students during a
simulated patient encounter varied significantly between faculty and SPs. Further
consideration needs to be given to determine the source of these differences.
PMID- 29634303
TI - Diffuse Pigmented Villonodular Synovitis Around the Ankle.
AB - BACKGROUND: Pigmented villonodular synovitis (PVNS) is a rare disorder around the
ankle joint. The optimal treatment for diffuse-type PVNS is still controversial
because of the high incidence of recurrence. We present the clinical features of
our patients and review the current diagnostic and treatment modalities. METHODS:
Five patients with PVNS located around the ankle were surgically treated. In
three patients, diffuse PVNS arose from the ankle joint, and in the other two it
arose from the calcaneocuboid and intercuneiform joints. The average follow-up
time after surgery was 2.9 years (range, 2-4.6 years). RESULTS: The average time
between onset of pain and diagnosis of PVNS was 6.4 years (range, 4-10 years).
Arthrotomic tumor resection was performed in all of the patients. In the three
patients with ankle joint PVNS, both medial and lateral approaches were used. One
patient experienced mild infection at the surgical site, but this healed
conservatively. No tumor recurrences had occurred after minimum follow-up of 2
years, although mild pain persisted in the three patients with ankle PVNS.
CONCLUSIONS: Diagnosis of diffuse PVNS is frequently delayed due to vague
symptoms and variable growth patterns. Orthopedic clinicians should be aware of
the existence of this lesion, and it should be suspected in patients with
persistent ankle swelling. To prevent tumor recurrence, accurate evaluation of
tumor location and careful operative planning are mandatory. A combined surgical
approach involving medial and lateral incision is necessary to expose the entire
joint cavity.
PMID- 29634304
TI - Acrokeratoelastoidosis of the Foot with Clinical, Dermoscopic, Ultrasonographic,
and Histopathologic Correlation.
AB - Acrokeratoelastoidosis (AKE) is a rare form of focal acral keratoderma of unknown
cause that typically begins during childhood and manifests with multiple, small,
hyperkeratotic papules located over the lateral margins of the hands and feet.
The purpose of this article is to report a pediatric case of AKE with
dermoscopic, sonographic, and histopathologic descriptions, contributing to the
awareness of this clinical diagnosis. We describe a 7-year-old girl with
nonpainful yellowish papules on the lateral and medial aspects of both feet.
Dermoscopy showed yellowish, structureless, linear areas. The sonographic
appearance was suggestive of benignancy and ruled out the presence of piezogenic
pedal papules and granulomas. Histopathology was consistent with AKE, showing
acral skin with hyperorthokeratosis, hypergranulosis, and elastorrhexis in the
reticular dermis. Acrokeratoelastoidosis may be difficult to recognize clinically
because of its resemblance to other focal acral keratodermas. Color Doppler
ultrasound can be a useful noninvasive tool for diagnosis and can confirm its
benign appearance, although histopathology confirms the definitive diagnosis. To
date, the dermoscopic description and ultrasound morphology of AKE have not been
reported.
PMID- 29634305
TI - Teaching of Manual Clinical Skills in Podiatric Medicine Theory and
Recommendations.
AB - In the podiatric medicine profession, there are a variety of manual tasks that
require precision and skill beyond what would be usually expected in everyday
living. It is the expectation of employers, regulatory bodies, and the public
that graduating podiatric physicians sufficiently meet certain minimum
competencies for that profession, including those for manual skills. However,
teaching and evaluation methods seem to be inconsistent between countries,
institutions, and programs. This may be the consequence of uncertainty regarding
the safest and most effective methods to do so. A review of available
international literature pertaining to psychomotor learning across a range of
health professions was undertaken. As a result of this broad review, we present
herein the available evidence and make recommendations for the teaching of
psychomotor skills in the podiatric medicine profession. Specific aspects
considered important include methods of teaching, practice, and feedback.
PMID- 29634308
TI - Retained Wooden Foreign Body in the Second Metatarsal.
AB - The foot is considered the second most common location for foreign bodies. The
most common foreign bodies include needles, metal, glass, wood, and plastic.
Although metallic foreign bodies are readily seen on plain film radiographs,
radiolucent bodies such as wood are visualized poorly, if at all. Although plain
radiography is known to be ineffective for demonstrating radiolucent foreign
bodies, it is often the first imaging modality used. In such cases, complete
surgical extraction cannot be guaranteed, and other imaging modalities should be
considered. We present a case of a retained toothpick of the second metatarsal in
a young male patient who presented with pain in the right foot of a few weeks'
duration. Plain radiography showed an oval cyst at the base of the second
metatarsal of the right foot. Magnetic resonance imaging revealed a toothpick
penetrating the second metatarsal. The patient recalled stepping on a toothpick 8
years previously. Surgical exploration revealed a 2-cm toothpick embedded inside
the second metatarsal.
PMID- 29634309
TI - Assessment of the Efficacy of Extracorporeal Shockwave Therapy for Plantar
Fasciitis with Magnetic Resonance Imaging Findings.
AB - BACKGROUND: Extracorporeal shockwave therapy (ESWT) has recently been used as a
new treatment modality for plantar fasciitis. We aimed to determine the efficacy
of ESWT by magnetic resonance imaging (MRI) findings. METHODS: Thirty patients
with plantar fasciitis who had received no treatment for 6 months were included.
Extracorporeal shockwave therapy was applied once a week for a total of three
sessions (frequency of 12-15 Hz, 2-3 bars, and 2,500 pulses). All of the patients
were assessed with the visual analog scale, a 6-point evaluation scale, the Foot
and Ankle Outcome Score (FAOS), and MRI findings before and 3 months after ESWT.
Visual analog scale scores were used in determining the pain level of patients in
the morning, during activity, and at rest. Foot and ankle-related problems were
evaluated with the FAOS. RESULTS: The duration of painless walking according to
the 6-point rating scale, the FAOS, and pain showed significant improvements
after ESWT ( P < .05). Significant decreases in MRI findings, including
thickening of the plantar fascia, soft-tissue edema, and bone marrow edema, were
observed after treatment ( P < .05). CONCLUSIONS: Extracorporeal shockwave
therapy is a safe and effective treatment that yields favorable results in
improvement of pain and function for plantar fasciitis. An MRI is useful for
determining response to ESWT for these patients.
PMID- 29634310
TI - A Survey of Patient Care Handoff and Sign-Out Practices Among Podiatric Surgical
Residency Programs.
AB - BACKGROUND: A patient "handoff," or the "sign-out" process, is an episode during
which the responsibility of a patient transitions from one health-care provider
to another. These are important events that affect patient safety, particularly
because a significant proportion of adverse events have been associated with a
relative lack of physician communication. The objective of this investigation was
to survey podiatric surgical residency programs with respect to patient care
handoff and sign-out practices. METHODS: A survey was initially developed and
subsequently administered to the chief residents of 40 Council on Podiatric
Medical Education-approved podiatric surgical residency programs attempting to
elucidate patient care handoff protocols and procedures and on-call practices.
RESULTS: Although it was most common for patient care handoffs to occur in person
(60.0%), programs also reported that handoffs regularly occurred by telephone
(52.5%) and with no direct personal communication whatsoever other than the
electronic passing of information (50.0%). In fact, 27.5% of programs reported
that their most common means of patient care handoff was without direct resident
communication and was instead purely electronic. We observed that few residents
reported receiving formal education or assessment/feedback (17.5%) regarding
their handoff proficiency, and only 5.0% of programs reported that attending
physicians regularly took part in the handoff/sign-out process. Although most
programs felt that their sign-out practices were safe and effective, 67.5% also
believed that their process could be improved. CONCLUSIONS: These results provide
unique information on a potentially underappreciated aspect of podiatric medical
education and might point to some common deficiencies regarding the development
of interprofessional communication within our profession during residency
training.
PMID- 29634312
TI - HDAC3 inhibition in diabetic mice may activate Nrf2 preventing diabetes-induced
liver damage and FGF21 synthesis and secretion leading to aortic protection.
AB - Vascular complications are common pathologies associated with type 1 diabetes. In
recent years, histone deacetylation enzyme (HDAC) inhibitors have been shown to
be successful in preventing atherosclerosis. To investigate the mechanism for
HDAC3 inhibition in preventing diabetic aortic pathologies, male OVE26 type 1
diabetic mice and age-matched wild-type (FVB) mice were given the HDAC3-specific
inhibitor RGFP-966 or vehicle for 3 mo. These mice were then euthanized
immediately or maintained for an additional 3 mo without treatment. Levels of
aortic inflammation and fibrosis and plasma and fibroblast growth factor 21
(FGF21) levels were determined. Because the liver is the major organ for FGF21
synthesis in diabetic animals, the effects of HDAC3 inhibition on hepatic FGF21
synthesis were examined. Additionally, hepatic miR-200a and kelch-like ECH
associated protein 1 (Keap1) expression and nuclear factor erythroid 2-related
factor 2 (Nrf2) nuclear translocation were measured. HDAC3 inhibition
significantly reduced aortic fibrosis and inflammation in OVE26 mice at both 3
and 6 mo. Plasma FGF21 levels were significantly higher in RGFP-966-treated OVE26
mice compared with vehicle-treated mice at both time points. It also
significantly reduced hepatic pathologies associated with diabetes, accompanied
by increased FGF21 mRNA and protein expression. HDAC3 inhibition also increased
miR-200a expression, reduced Keap1 protein levels, and increased Nrf2 nuclear
translocation with an upregulation of antioxidant gene and FGF21 transcription.
Our results support a model where HDAC3 inhibition may promote Nrf2 activity by
increasing miR-200a expression with a concomitant decrease in Keap1 to preserve
hepatic FGF21 synthesis. The preservation of hepatic FGF21 synthesis ultimately
leads to a reduction in diabetes-induced aorta pathologies.
PMID- 29634311
TI - Cysteine- and glycine-rich protein 3 regulates glucose homeostasis in skeletal
muscle.
AB - Skeletal muscle is the major site of postprandial peripheral glucose uptake, but
in obesity-induced insulin-resistant states insulin-stimulated glucose disposal
is markedly impaired. Despite the importance of skeletal muscle in regulating
glucose homeostasis, the specific transcriptional changes associated with insulin
sensitive vs. -resistant states in muscle remain to be fully elucidated. Herein,
using an RNA-seq approach we identified 20 genes differentially expressed in an
insulin-resistant state in skeletal muscle, including cysteine- and glycine-rich
protein 3 ( Csrp3), which was highly expressed in insulin-sensitive conditions
but significantly reduced in the insulin-resistant state. CSRP3 has diverse
functional roles including transcriptional regulation, signal transduction, and
cytoskeletal organization, but its role in glucose homeostasis has yet to be
explored. Thus, we investigated the role of CSRP3 in the development of obesity
induced insulin resistance in vivo. High-fat diet-fed CSRP3 knockout (KO) mice
developed impaired glucose tolerance and insulin resistance as well as increased
inflammation in skeletal muscle compared with wild-type (WT) mice. CSRP3-KO mice
had significantly impaired insulin signaling, decreased GLUT4 translocation to
the plasma membrane, and enhanced levels of phospho-PKCalpha in muscle, which all
contributed to reduced insulin-stimulated glucose disposal in muscle in HFD-fed
KO mice compared with WT mice. CSRP3 is a highly inducible protein and its
expression is acutely increased after fasting. After 24h fasting, glucose
tolerance was significantly improved in WT mice, but this effect was blunted in
CSRP3-KO mice. In summary, we identify a novel role for Csrp3 expression in
skeletal muscle in the development of obesity-induced insulin resistance.
PMID- 29634313
TI - NAD+-dependent deacetylase SIRT3 in adipocytes is dispensable for maintaining
normal adipose tissue mitochondrial function and whole body metabolism.
AB - Mitochondrial dysfunction in adipose tissue is involved in the pathophysiology of
obesity-induced systemic metabolic complications, such as type 2 diabetes,
insulin resistance, and dyslipidemia. However, the mechanisms responsible for
obesity-induced adipose tissue mitochondrial dysfunction are not clear. The aim
of present study was to test the hypothesis that nicotinamide adenine
dinucleotide (NAD+)-dependent deacetylase sirtuin-3 (SIRT3) in adipocytes plays a
critical role in adipose tissue mitochondrial biology and obesity. We first
measured adipose tissue SIRT3 expression in obese and lean mice. Next, adipocyte
specific mitochondrial Sirt3 knockout (AMiSKO) mice were generated and
metabolically characterized. We evaluated glucose and lipid metabolism in adult
mice fed either a regular-chow diet or high-fat diet (HFD) and in aged mice. We
also determined the effects of Sirt3 deletion on adipose tissue metabolism and
mitochondrial biology. Supporting our hypothesis, obese mice had decreased SIRT3
gene and protein expression in adipose tissue. However, despite successful
knockout of SIRT3, AMiSKO mice had normal glucose and lipid metabolism and did
not change metabolic responses to HFD-feeding and aging. In addition, loss of
SIRT3 had no major impact on putative SIRT3 targets, key metabolic pathways, and
mitochondrial function in white and brown adipose tissue. Collectively, these
findings suggest that adipocyte SIRT3 is dispensable for maintaining normal
adipose tissue mitochondrial function and whole body metabolism. Contrary to our
hypothesis, loss of SIRT3 function in adipocytes is unlikely to contribute to the
pathophysiology of obesity-induced metabolic complications.
PMID- 29634314
TI - Pioglitazone improves hepatic mitochondrial function in a mouse model of
nonalcoholic steatohepatitis.
AB - Pioglitazone is effective in improving insulin resistance and liver histology in
patients with nonalcoholic steatohepatitis (NASH). Because dysfunctional
mitochondrial metabolism is a central feature of NASH, we hypothesized that an
important target of pioglitazone would be alleviating mitochondrial oxidative
dysfunction. To this end, we studied hepatic mitochondrial metabolism in mice fed
high-fructose high-transfat diet (TFD) supplemented with pioglitazone for 20 wk,
using nuclear magnetic resonance-based 13C isotopomer analysis. Pioglitazone
improved whole body and adipose insulin sensitivity in TFD-fed mice. Furthermore,
pioglitazone reduced intrahepatic triglyceride content and fed plasma ketones and
hepatic TCA cycle flux, anaplerosis, and pyruvate cycling in mice with NASH. This
was associated with a marked reduction in most intrahepatic diacylglycerol
classes and, to a lesser extent, some ceramide species (C22:1, C23:0).
Considering the cross-talk between mitochondrial function and branched-chain
amino acid (BCAA) metabolism, pioglitazone's impact on plasma BCAA profile was
determined in a cohort of human subjects. Pioglitazone improved the plasma BCAA
concentration profile in patients with NASH. This appeared to be related to an
improvement in BCAA degradation in multiple tissues. These results provide
evidence that pioglitazone-induced changes in NASH are related to improvements in
hepatic mitochondrial oxidative dysfunction and changes in whole body BCAA
metabolism.
PMID- 29634316
TI - 2017 Acknowledgment of Reviewers.
PMID- 29634315
TI - Plasma fatty acid ethanolamides are associated with postprandial triglycerides,
ApoCIII, and ApoE in humans consuming a high-fructose corn syrup-sweetened
beverage.
AB - Epidemiological and clinical research studies have provided ample evidence
demonstrating that consumption of sugar-sweetened beverages increases risk
factors involved in the development of obesity, Type 2 diabetes, and
cardiovascular disease (CVD). Our previous study demonstrated that when compared
with aspartame (Asp), 2 wk of high-fructose corn syrup (HFCS)-sweetened beverages
provided at 25% of daily energy requirement was associated with increased body
weight, postprandial (pp) triglycerides (TG), and fasting and pp CVD risk factors
in young adults. The fatty acid ethanolamide, anandamide (AEA), and the
monoacylglycerol, 2-arachidonoyl- sn-glycerol (2-AG), are two primary
endocannabinoids (ECs) that play a role in regulating food intake, increasing
adipose storage, and regulating lipid metabolism. Therefore, we measured plasma
concentrations of ECs and their analogs, oleoylethanolamide (OEA),
docosahexaenoyl ethanolamide (DHEA), and docosahexaenoyl glycerol (DHG), in
participants from our previous study who consumed HFCS- or Asp-sweetened
beverages to determine associations with weight gain and CVD risk factors. Two
week exposure to either HFCS- or Asp-sweetened beverages resulted in significant
differences in the changes in fasting levels of OEA and DHEA between groups after
the testing period. Subjects who consumed Asp, but not HFCS, displayed a
reduction in AEA, OEA, and DHEA after the testing period. In contrast, there were
significant positive relationships between AEA, OEA, and DHEA vs. ppTG,
ppApoCIII, and ppApoE in those consuming HFCS, but not in those consuming Asp.
Our findings reveal previously unknown associations between circulating ECs and
EC-related molecules with markers of lipid metabolism and CVD risk after HFCS
consumption.
PMID- 29634317
TI - Development of a Time-Resolved Fluorescence Resonance Energy Transfer Ultrahigh
Throughput Screening Assay for Targeting the NSD3 and MYC Interaction.
AB - Epigenetic modulators play critical roles in reprogramming of cellular functions,
emerging as a new class of promising therapeutic targets. Nuclear receptor
binding SET domain protein 3 (NSD3) is a member of the lysine methyltransferase
family. Interestingly, the short isoform of NSD3 without the methyltransferase
fragment, NSD3S, exhibits oncogenic activity in a wide range of cancers. We
recently showed that NSD3S interacts with MYC, a central regulator of
tumorigenesis, suggesting a mechanism by which NSD3S regulates cell proliferation
through engaging MYC. Thus, small molecule inhibitors of the NSD3S/MYC
interaction will be valuable tools for understanding the function of NSD3 in
tumorigenesis for potential cancer therapeutic discovery. Here we report the
development of a cell lysate-based time-resolved fluorescence resonance energy
transfer (TR-FRET) assay in an ultrahigh-throughput screening (uHTS) format to
monitor the interaction of NSD3S with MYC. In our TR-FRET assay, anti-Flag
terbium and anti-glutathione S-transferase (GST)-d2, a paired fluorophores, were
used to indirectly label Flag-tagged NSD3 and GST-MYC in HEK293T cell lysates.
This TR-FRET assay is robust in a 1,536-well uHTS format, with signal-to
background >8 and a Z' factor >0.7. A pilot screening with the Spectrum library
of 2,000 compounds identified several positive hits. One positive compound was
confirmed to disrupt the NSD3/MYC interaction in an orthogonal protein-protein
interaction assay. Thus, our optimized uHTS assay could be applied to future
scaling up of a screening campaign to identify small molecule inhibitors
targeting the NSD3/MYC interaction.
PMID- 29634318
TI - Fingerprinting Non-Terran Biosignatures.
AB - Most strategies for life detection rely upon finding features known to be
associated with terran life, such as particular classes of molecules. But life
may be vastly different on other planets and moons, particularly as we expand our
efforts to explore ocean worlds like Europa and Enceladus. We propose a new
concept for life detection that harnesses the power of DNA sequencing to yield
intricate informatics fingerprints, even for life that is not nucleic acid-based.
The concept is based on the fact that folded nucleic acid structures (aptamers)
have been shown to be capable of binding a wide variety of compounds, whether
inorganic, organic, or polymeric, and irrespective of being from a biotic or
abiotic source. Each nucleic acid sequence can be thought of as a code, and a
combination of codes as a "fingerprint." Over multiple analytes, the
"fingerprint" of a non-terran sample can be analyzed by chemometric protocols to
provide a classifier of molecular patterns and complexity. Ultimately the
chemometric fingerprints of living systems, which may differ significantly from
nonliving systems, could provide an empirical, agnostic means of detecting life.
Because nucleic acids are exponentially amplified by the polymerase chain
reaction, even very small input signals could be translated into a robust
readable output. The derived sequences could be identified by a small, portable
sequencing device or by capture and optical imaging on a DNA microarray. Without
presupposing any particular molecular framework, this agnostic approach to life
detection could be used from Mars to the far reaches of the Solar System, all
within the framework of an instrument drawing little heat and power. Key Words:
Agnostic biosignatures-Astrobiology-Chemometrics-DNA sequencing-Life detection
Proximity ligation assay. Astrobiology 18, 915-922.
PMID- 29634319
TI - Replication of Simulated Prebiotic Amphiphilic Vesicles in a Finite Environment
Exhibits Complex Behavior That Includes High Progeny Variability and Competition.
AB - We studied the simulated replication and growth of prebiotic vesicles composed of
140 phospholipids and cholesterol using our R-GARD (Real Graded Autocatalysis
Replication Domain) formalism that utilizes currently extant lipids that have
known rate constants of lipid-vesicle interactions from published experimental
data. R-GARD normally modifies kinetic parameters of lipid-vesicle interactions
based on vesicle composition and properties. Our original R-GARD model tracked
the growth and division of one vesicle at a time in an environment with unlimited
lipids at a constant concentration. We explore here a modified model where
vesicles compete for a finite supply of lipids. We observed that vesicles exhibit
complex behavior including initial fast unrestricted growth, followed by
intervesicle competition for diminishing resources, then a second growth burst
driven by better-adapted vesicles, and ending with a final steady state.
Furthermore, in simulations without kinetic parameter modifications ("invariant
kinetics"), the initial replication was an order of magnitude slower, and
vesicles' composition variability at the final steady state was much lower. The
complex kinetic behavior was not observed either in the previously published R
GARD simulations or in additional simulations presented here with only one lipid
component. This demonstrates that both a finite environment (inducing selection)
and multiple components (providing variation for selection to act upon) are
crucial for portraying evolution-like behavior. Such properties can improve
survival in a changing environment by increasing the ability of early
protocellular entities to respond to rapid environmental fluctuations likely
present during abiogenesis both on Earth and possibly on other planets. This in
silico simulation predicts that a relatively simple in vitro chemical system
containing only lipid molecules might exhibit properties that are relevant to
prebiotic processes. Key Words: Phospholipid vesicles-Prebiotic compartments
Prebiotic vesicle competition-Prebiotic vesicle variability. Astrobiology 18, 419
430.
PMID- 29634320
TI - Earth Without Life: A Systems Model of a Global Abiotic Nitrogen Cycle.
AB - Nitrogen is the major component of Earth's atmosphere and plays important roles
in biochemistry. Biological systems have evolved a variety of mechanisms for
fixing and recycling environmental nitrogen sources, which links them tightly
with terrestrial nitrogen reservoirs. However, prior to the emergence of biology,
all nitrogen cycling was abiological, and this cycling may have set the stage for
the origin of life. It is of interest to understand how nitrogen cycling would
proceed on terrestrial planets with comparable geodynamic activity to Earth, but
on which life does not arise. We constructed a kinetic mass-flux model of
nitrogen cycling in its various major chemical forms (e.g., N2, reduced (NHx) and
oxidized (NOx) species) between major planetary reservoirs (the atmosphere,
oceans, crust, and mantle) and included inputs from space. The total amount of
nitrogen species that can be accommodated in each reservoir, and the ways in
which fluxes and reservoir sizes may have changed over time in the absence of
biology, are explored. Given a partition of volcanism between arc and hotspot
types similar to the modern ones, our global nitrogen cycling model predicts a
significant increase in oceanic nitrogen content over time, mostly as NHx, while
atmospheric N2 content could be lower than today. The transport timescales
between reservoirs are fast compared to the evolution of the environment; thus
atmospheric composition is tightly linked to surface and interior processes. Key
Words: Nitrogen cycle-Abiotic-Planetology-Astrobiology. Astrobiology 18, 897-914.
PMID- 29634321
TI - Sparse Graphical Models for Functional Connectivity Networks: Best Methods and
the Autocorrelation Issue.
AB - Sparse graphical models are frequently used to explore both static and dynamic
functional brain networks from neuroimaging data. However, the practical
performance of the models has not been studied in detail for brain networks. In
this work, we have two objectives. First, we compare several sparse graphical
model estimation procedures and several selection criteria under various
experimental settings, such as different dimensions, sample sizes, types of data,
and sparsity levels of the true model structures. We discuss in detail the
superiority and deficiency of each combination. Second, in the same simulation
study, we show the impact of autocorrelation and whitening on the estimation of
functional brain networks. We apply the methods to a resting-state functional
magnetic resonance imaging (fMRI) data set. Our results show that the best sparse
graphical model, in terms of detection of true connections and having few false
positive connections, is the smoothly clipped absolute deviation (SCAD)
estimating method in combination with the Bayesian information criterion (BIC)
and cross-validation (CV) selection method. In addition, the presence of
autocorrelation in the data adversely affects the estimation of networks but can
be helped by using the CV selection method. These results question the validity
of a number of fMRI studies where inferior graphical model techniques have been
used to estimate brain networks.
PMID- 29634323
TI - Individual Identification Using the Functional Brain Fingerprint Detected by the
Recurrent Neural Network.
AB - Individual identification based on brain function has gained traction in
literature. Investigating individual differences in brain function can provide
additional insights into the brain. In this work, we introduce a recurrent neural
network-based model for identifying individuals based on only a short segment of
resting-state functional magnetic resonance imaging data. In addition, we
demonstrate how the global signal and differences in atlases affect individual
identifiability. Furthermore, we investigate neural network features that exhibit
the uniqueness of each individual. The results indicate that our model is able to
identify individuals based on neural features and provides additional information
regarding brain dynamics.
PMID- 29634322
TI - Increased Small-World Network Topology Following Deployment-Acquired Traumatic
Brain Injury Associated with the Development of Post-Traumatic Stress Disorder.
AB - Cross-sectional and longitudinal studies in active duty and veteran cohorts have
both demonstrated that deployment-acquired traumatic brain injury (TBI) is an
independent risk factor for developing post-traumatic stress disorder (PTSD),
beyond confounds such as combat exposure, physical injury, predeployment TBI, and
pre-deployment psychiatric symptoms. This study investigated how resting-state
brain networks differ between individuals who developed PTSD and those who did
not following deployment-acquired TBI. Participants included postdeployment
veterans with deployment-acquired TBI history both with and without current PTSD
diagnosis. Graph metrics, including small-worldness, clustering coefficient, and
modularity, were calculated from individually constructed whole-brain networks
based on 5-min eyes-open resting-state magnetoencephalography (MEG) recordings.
Analyses were adjusted for age and premorbid IQ. Results demonstrated that
participants with current PTSD displayed higher levels of small-worldness,
F(1,12) = 5.364, p < 0.039, partial eta squared = 0.309, and Cohen's d = 0.972,
and clustering coefficient, F(1, 12) = 12.204, p < 0.004, partial eta squared =
0.504, and Cohen's d = 0.905, than participants without current PTSD. There were
no between-group differences in modularity or the number of modules present.
These findings are consistent with a hyperconnectivity hypothesis of the effect
of TBI history on functional networks rather than a disconnection hypothesis,
demonstrating increased levels of clustering coefficient rather than a decrease
as might be expected; however, these results do not account for potential changes
in brain structure. These results demonstrate the potential pathological sequelae
of changes in functional brain networks following deployment-acquired TBI and
represent potential neurobiological changes associated with deployment-acquired
TBI that may increase the risk of subsequently developing PTSD.
PMID- 29634324
TI - Job titles need to reflect modern times and go gender neutral.
AB - The chance to update nursing and midwifery titles can not only help streamline
the confusing array of roles, but ensure that trusts employ bona fide
registrants, suggests Sam Foster, Chief Nurse, Oxford University Hospitals.
PMID- 29634325
TI - Nursing is battered and bruised: but now is the time to fight back.
PMID- 29634326
TI - Capacity to make decisions: providing the relevant information.
AB - Richard Griffith, Senior Lecturer in Health Law at Swansea University, discusses
the nurse's duty in relation to assessing the decision-making capacity of
patients.
PMID- 29634327
TI - Nursing Now: nurses at the heart of the challenge to improve global health.
AB - Elizabeth Rosser, Acting Executive Dean, Bournemouth University, discusses two
initiatives to promote nursing around the world and make improvements in global
health.
PMID- 29634328
TI - Caring for patients with dementia in the acute care setting.
AB - This article highlights the vulnerability of people with dementia in the acute
hospital setting, where they are more likely to experience clinical incidents
such as falls, contract infections and be prescribed neuroleptic drugs. This
patient group has a significantly longer length of hospital stay and higher
mortality rate following discharge compared with those without dementia. As many
as one third are discharged to institutionalised care. The article explores the
knowledge gap concerning the complexity of caring for a person with dementia and
highlights factors that can lead to staff detaching themselves from engaging in
meaningful communication with patients. It also discusses the syndrome of
'cascade iatrogenesis' in relation to patients' physical and cognitive decline.
More emphasis needs to be placed on addressing these issues to ensure the safety
and welfare of people with dementia in hospitals. A case study from the author's
clinical practice is used to illustrate the issues.
PMID- 29634329
TI - Could a risk-assessment tool prevent hospital-acquired pneumonia?
AB - Hospital-acquired pneumonia (HAP) has been shown to be the second most common
healthcare-acquired infection (HCAI) after urinary tract infection and linked to
more than half of all deaths from HCAIs. Preventing the infection could
potentially save many lives. The author therefore proposes that HAP could be
prevented by the implementation of a risk-assessment tool. A hypothetical risk
assessment tool is discussed. Several potential risk factors are proposed;
however, further research into these risk factors and the appropriate weighting
to give these in developing such a tool is required.
PMID- 29634331
TI - Failing to fail.
PMID- 29634330
TI - Is the duty of candour under threat?
AB - Emeritus Professor Alan Glasper, University of Southampton, dissects the case of
paediatrician Dr Hadiza Bawa-Garba and registered nurse Isabel Amaro, and how
their convictions might affect the duty of candour legislation.
PMID- 29634332
TI - Transforming classroom questioning using emerging technology.
AB - Classroom questioning is a common teaching and learning strategy in postgraduate
nurse education. Technologies such as audience response systems (ARS) may offer
advantage over traditional approaches to classroom questioning. However, despite
being available since the 1960s, ARSs are still considered novel in many
postgraduate nurse education classroom settings. This article aims to explicate
the attitudes of postgraduate nursing students in an Irish academic teaching
hospital towards classroom questioning (CQ) and the use of ARSs as an alternative
to traditional CQ techniques. The results of this small-scale study demonstrate
that ARSs have a role to play in CQ in the postgraduate setting, being regarded
by students as beneficial to learning, psychological safety and classroom
interaction.
PMID- 29634333
TI - A crisis point for mental health care.
AB - John Tingle, Associate Professor (Teaching and Scholarship), Nottingham Trent
University, discusses failings in NHS care for highly vulnerable mental health
patients.
PMID- 29634334
TI - Antimicrobial resistance: join us for a fresh approach.
PMID- 29634335
TI - Managing faecal incontinence.
PMID- 29634336
TI - Differentiation of delirium, dementia and delirium superimposed on dementia in
the older person.
AB - Delirium is an acute clinical emergency that requires prompt clinical
intervention. A predisposing factor for delirium is dementia, and delirium may
highlight the vulnerability of a patient to developing dementia. However,
delirium also occurs during an acute illness in patients diagnosed with dementia;
this is classified as delirium superimposed on dementia. This complex interplay
of both dementia syndromes and the condition of delirium has been extensively
studied. However, delirium continues to be under-recognised in the acute setting,
which impacts negatively on patient outcomes. Nurses are the health professionals
best placed to recognise a change in a patient's cognitive symptoms, but nurses
caring for the older person have suggested the identification of and
differentiation between delirium, dementia and delirium superimposed on dementia
remains very confusing. A need for further education with supportive guidelines
and protocols is required to empower nurses caring for an older person to
verbalise changes in patients' cognitive status in a reliable, robust and
systematic manner.
PMID- 29634337
TI - Supporting self-management of asthma through patient education.
AB - Asthma affects people worldwide. In developed countries 1 in 12 individuals
suffer from asthma, while in Ireland this ratio is closer to 1 in 10. Managing
asthma symptoms and triggers reduces the potential exacerbation of asthmatic
attacks. This article identifies the importance of asthma management, triggers,
inhaler techniques and self-management for optimal health. Education by nurses
and health professionals can make a significant contribution to asthma care and
self-management. The purpose of patient education for self-management of asthma
is twofold: to raise awareness of effective inhaler technique and to support self
management of asthma triggers for health and symptom control.
PMID- 29634338
TI - Incorporating motivational interviewing into rheumatology care.
AB - Deborah Palmer, Advanced Nurse Practitioner, North Middlesex University Hospital,
and Yasser El Miedany, Consultant Rheumatologist, discuss ways to close the gap
between disease control and patient empowerment.
PMID- 29634339
TI - The effectiveness of alcohol-based gel for hand sanitising in infection control.
AB - This article aims to evaluate the evidence relating to the effectiveness of
alcohol-based gel for hand sanitising, or 'handrub', in infection control in
healthcare settings with particular reference to renal nursing, as this has
become pertinent due to the increasing reliance on evidence-based practice. There
is a need to implement better infection control strategies and education, to
reinforce knowledge among the public, health professionals and those at high risk
of infection not only in renal nursing, but also in other areas of practice.
Healthcare-associated infections (HCAIs) put patients' safety at risk, increase
morbidity and mortality, extend the length of hospital admission and increase the
cost to the NHS. There is evidence that the prevalence of HCAIs in England can be
minimised through the use of different infection control measures. For example,
alcohol-based handrub has been found to be associated with minimising the spread
of gastrointestinal infections not only in hospital settings, but also in
childcare centres. In addition, the UK national guidelines recommend regular
handwashing (implementing the right technique) when hands are visibly dirty and
hand disinfection with alcohol-based handrub when they are not visibly dirty.
This should be before, in between and after different healthcare activities are
performed.
PMID- 29634340
TI - Differences in Breastfeeding Among Medicaid and Commercially Insured Patients: A
Retrospective Cohort Study.
AB - BACKGROUND: While breastfeeding rates have been increasing in the United States,
they remain below targets set by multiple public health organizations. Lower
rates are associated with certain demographic groups. We performed a
retrospective chart review to examine rates of breastfeeding at the time of
postpartum follow-up in a mixed-race urban cohort. OBJECTIVE: This study was
conducted to examine the proportion of women who were breastfeeding at 6-8 weeks
postpartum and to determine if these proportions differed by race and insurance
status. MATERIALS AND METHODS: We identified women who delivered singleton term
infants at an urban university hospital between July and December 2013. Self
reported breastfeeding status at 6-8 weeks postpartum was abstracted for all
women who completed postpartum follow-up visits. Data were analyzed with logistic
regression to compare rates of any or exclusive breastfeeding between women with
Medicaid and private insurance. RESULTS: Charts of 656 women were reviewed; 405
women completed postpartum follow-up within 8 weeks. The Medicaid population had
significantly lower rates of breastfeeding even after accounting for interaction
and confounding by demographic factors (any breastfeeding odd ratio [OR] 0.53,
confidence interval [CI] 0.04-0.31; exclusive breastfeeding OR 0.48, CI 0.33
0.85). When stratified by race, white women on Medicaid had the lowest
probability of breastfeeding of all groups (p < 0.01). CONCLUSIONS: Among
patients delivering at an urban academic hospital, women on Medicaid were
significantly less likely to breastfeed than those with private insurance. The
greatest differential by insurance was seen among white women. Efforts to improve
breastfeeding should focus on low-income women of all races.
PMID- 29634342
TI - Calcium Signaling Deficits in Glia and Autophagic Pathways Contributing to
Neurodegenerative Disease.
AB - SIGNIFICANCE: Numerous cellular processes and signaling mechanisms have been
identified that contribute to Alzheimer's disease (AD) pathology; however, a
comprehensive or unifying pathway that binds together the major disease features
remains elusive. As an upstream mechanism, altered calcium (Ca2+) signaling is a
common driving force for many pathophysiological events that emerge during normal
aging and development of neurodegenerative disease. Recent Advances: Over the
previous three decades, accumulated evidence has validated the concept that
intracellular Ca2+ dysregulation is centrally involved in AD pathogenesis,
including the aggregation of pathogenic beta-amyloid (Abeta) and phospho-tau
species, synapse loss and dysfunction, cognitive impairment, and neurotoxicity.
CRITICAL ISSUES: Although neuronal Ca2+ signaling within the cytosol and
endoplasmic reticulum (ER) has been well studied, other critical central nervous
system-resident cell types affected by aberrant Ca2+ signaling, such as
astrocytes and microglia, have not been considered as thoroughly. In addition,
certain intracellular Ca2+-harboring organelles have been well studied, such as
the ER and mitochondria; however other critical Ca2+-regulated organelles, such
as lysosomes and autophagosomes, have only more recently been investigated. In
this review, we examine Ca2+ dysregulation in microglia and astrocytes, as well
as key intracellular organelles important for cellular maintenance and protein
handling. Ca2+ dysregulation within these non-neuronal cells and organelles is
hypothesized to disrupt the effective clearance of misaggregated proteins and
cellular signaling pathways needed for memory networks. FUTURE DIRECTIONS:
Overall, we aim to explore how these disrupted mechanisms could be involved in AD
pathology and consider their role as potential therapeutic targets. Antioxid.
Redox Signal. 29, 1158-1175.
PMID- 29634341
TI - Transflammation: How Innate Immune Activation and Free Radicals Drive Nuclear
Reprogramming.
AB - SIGNIFICANCE: Yamanaka and colleagues galvanized the field of stem cell biology
and regenerative medicine by their generation of induced pluripotent stem cells.
Evidence is emerging that activation of innate immune signaling is critical for
efficient reprogramming to pluripotency and for the nuclear reprogramming
occurring in transdifferentiation. Recent Advances: We have shown that innate
immune signaling triggers a global change in the expression of epigenetic
modifiers to enhance DNA accessibility. In this state of epigenetic plasticity,
overexpression of lineage determination factors, and/or environmental cues and
paracrine factors, can induce pluripotency, or can direct transdifferentiation to
another somatic cell lineage. Accumulating evidence reveals that innate immune
activation triggers the generation of reactive oxygen species and reactive
nitrogen species, and that these free radicals are required for nuclear
reprogramming to pluripotency or for transdifferentiation. CRITICAL ISSUES: We
have discovered a limb of innate immune signaling that regulates DNA
accessibility, in part, by the action of free radicals to induce post
translational modification of epigenetic modifiers. FUTURE DIRECTIONS: It is of
scientific interest and clinical relevance to understand the mechanisms by which
free radicals influence epigenetic plasticity, and how these mechanisms may be
therapeutically modulated. Antioxid. Redox Signal. 00, 000-000.
PMID- 29634345
TI - Identification of an Unfavorable Immune Signature in Advanced Lung Tumors from
Nrf2-Deficient Mice.
AB - AIMS: Activation of the nuclear factor (erythroid-derived 2)-like 2 (Nrf2)
pathway in normal cells inhibits carcinogenesis, whereas constitutive activation
of Nrf2 in cancer cells promotes tumor growth and chemoresistance. However, the
effects of Nrf2 activation in immune cells during lung carcinogenesis are poorly
defined and could either promote or inhibit cancer growth. Our studies were
designed to evaluate tumor burden and identify immune cell populations in the
lungs of Nrf2 knockout (KO) versus wild-type (WT) mice challenged with vinyl
carbamate. RESULTS: Nrf2 KO mice developed lung tumors earlier than the WT mice
and exhibited more and larger tumors over time, even at late stages. T cell
populations were lower in the lungs of Nrf2 KO mice, whereas tumor-promoting
macrophages and myeloid-derived suppressor cells were elevated in the lungs and
spleen, respectively, of Nrf2 KO mice relative to WT mice. Moreover, 34 immune
response genes were significantly upregulated in tumors from Nrf2 KO mice,
especially a series of cytokines (Cxcl1, Csf1, Ccl9, Cxcl12, etc.) and major
histocompatibility complex antigens that promote tumor growth. INNOVATION: Our
studies discovered a novel immune signature, characterized by the infiltration of
tumor-promoting immune cells, elevated cytokines, and increased expression of
immune response genes in the lungs and tumors of Nrf2 KO mice. A complementary
profile was also found in lung cancer patients, supporting the clinical
significance of our findings. CONCLUSION: Overall, our results confirmed a
protective role for Nrf2 in late-stage carcinogenesis and, unexpectedly, suggest
that activation of Nrf2 in immune cells may be advantageous for preventing or
treating lung cancer. Antioxid. Redox Signal.
PMID- 29634346
TI - Redox Biology in Neurological Function, Dysfunction, and Aging.
AB - Reduction oxidation (redox) reactions are central to life and when altered, they
can promote disease progression. In the brain, redox homeostasis is recognized to
be involved in all aspects of central nervous system (CNS) development, function,
aging, and disease. Recent studies have uncovered the diverse nature by which
redox reactions and homeostasis contribute to brain physiology, and when
dysregulated to pathological consequences. Redox reactions go beyond what is
commonly described as oxidative stress and involve redox mechanisms linked to
signaling and metabolism. In contrast to the nonspecific nature of oxidative
damage, redox signaling involves specific oxidation/reduction reactions that
regulate a myriad of neurological processes such as neurotransmission,
homeostasis, and degeneration. This Forum is focused on the role of redox
metabolism and signaling in the brain. Six review articles from leading
scientists in the field that appraise the role of redox metabolism and signaling
in different aspects of brain biology including neurodevelopment,
neurotransmission, aging, neuroinflammation, neurodegeneration, and neurotoxicity
are included. An original research article exemplifying these concepts uncovers a
novel link between oxidative modifications, redox signaling, and
neurodegeneration. This Forum highlights the recent advances in the field and we
hope it encourages future research aimed to understand the mechanisms by which
redox metabolism and signaling regulate CNS physiology and pathophysiology.
Antioxid. Redox Signal. 28, 1583-1586.
PMID- 29634343
TI - Dietary and Endocrine Regulation of Endogenous Hydrogen Sulfide Production:
Implications for Longevity.
AB - SIGNIFICANCE: Hydrogen sulfide (H2S) at the right concentration is associated
with numerous health benefits in experimental organisms, ranging from protection
from ischemia/reperfusion injury to life span extension. Given the considerable
translation potential, two major strategies have emerged: supplementation of
exogenous H2S and modulation of endogenous H2S metabolism. Recent Advances:
Recently, it was reported that hepatic H2S production capacity is increased in
two of the best-characterized mammalian models of life span extension, dietary
restriction, and hypopituitary dwarfism, leading to new insights into dietary and
hormonal regulation of endogenous H2S production together with broader changes in
sulfur amino acid (SAA) metabolism with implications for DNA methylation and
redox status. CRITICAL ISSUES: Here, we discuss the role of dietary SAAs and
growth hormone (GH)/thyroid hormone (TH) signaling in regulation of endogenous
H2S production largely via repression of H2S generating enzymes cystathionine
gamma-lyase (CGL) and cystathionine beta-synthase (CBS) on the level of gene
transcription, as well as reciprocal regulation of GH and TH signaling by H2S
itself. We also discuss plasticity of CGL and CBS gene expression in response to
environmental stimuli and the potential of the microbiome to impact overall H2S
levels. FUTURE DIRECTIONS: The relative contribution of increased H2S to health
span or lifespan benefits in models of extended longevity remains to be
determined, as does the mechanism by which such benefits occur. Nonetheless, our
ability to control H2S levels using exogenous H2S donors or by modifying the
endogenous H2S production/consumption equilibrium has the potential to improve
health and increase "shelf-life" across evolutionary boundaries, including our
own. Antioxid. Redox Signal. 28, 1483-1502.
PMID- 29634347
TI - Extracellular Vesicles: Mechanisms in Human Health and Disease.
AB - SIGNIFICANCE: Secreted extracellular vesicles (EVs) are now considered veritable
entities for diagnosis, prognosis, and therapeutics. These structures are able to
interact with target cells and modify their phenotype and function. Recent
Advances: Since composition of EVs depends on the cell type of origin and the
stimulation that leads to their release, the analysis of EV content remains an
important input to understand the potential effects of EVs on target cells.
CRITICAL ISSUES: Here, we review recent data related to the mechanisms involved
in the formation of EVs and the methods allowing specific EV isolation and
identification. Also, we analyze the potential use of EVs as biomarkers in
different pathologies such as diabetes, obesity, atherosclerosis,
neurodegenerative diseases, and cancer. Besides, their role in these diseases is
discussed. Finally, we consider EVs enriched in microRNA or drugs as potential
therapeutic cargo able to deliver desirable information to target cells/tissues.
FUTURE DIRECTIONS: We underline the importance of the homogenization of the
parameters of isolation of EVs and their characterization, which allow
considering EVs as excellent biomarkers for diagnosis and prognosis. Antioxid.
Redox Signal. 00, 000-000.
PMID- 29634348
TI - Molecular Mechanisms of Nitric Oxide in Cancer Progression, Signal Transduction,
and Metabolism.
AB - SIGNIFICANCE: Cancer is a complex disease, which not only involves the tumor but
its microenvironment comprising different immune cells as well. Nitric oxide (NO)
plays specific roles within tumor cells and the microenvironment and determines
the rate of cancer progression, therapy efficacy, and patient prognosis. Recent
Advances: Key understanding of the processes leading to dysregulated NO flux
within the tumor microenvironment over the past decade has provided better
understanding of the dichotomous role of NO in cancer and its importance in
shaping the immune landscape. It is becoming increasingly evident that nitric
oxide synthase 2 (NOS2)-mediated NO/reactive nitrogen oxide species (RNS) are
heavily involved in cancer progression and metastasis in different types of
tumor. More recent studies have found that NO from NOS2+ macrophages is required
for cancer immunotherapy to be effective. CRITICAL ISSUES: NO/RNS, unlike other
molecules, are unique in their ability to target a plethora of oncogenic pathways
during cancer progression. In this review, we subcategorize the different levels
of NO produced by cells and shed light on the context-dependent temporal effects
on cancer signaling and metabolic shift in the tumor microenvironment. FUTURE
DIRECTIONS: Understanding the source of NO and its spaciotemporal profile within
the tumor microenvironment could help improve efficacy of cancer immunotherapies
by improving tumor infiltration of immune cells for better tumor clearance.
Antioxid. Redox Signal. 00, 000-000.
PMID- 29634349
TI - Dl-3-n-Butylphthalide Inhibits NLRP3 Inflammasome and Mitigates Alzheimer's-Like
Pathology via Nrf2-TXNIP-TrX Axis.
AB - AIMS: Oxidative stress and neuroinflammation play important roles in the
pathology of Alzheimer's disease (AD). Thioredoxin-interacting protein (TXNIP),
an endogenous inhibitor of antioxidant thioredoxin, is suspected to be an
important modulator of oxidative stress and inflammation. However, the underlying
mechanism involved in the abnormal homeostasis of TXNIP-thioredoxin (TrX) in AD
pathogenesis remains unclear. RESULTS: Using the Swedish mutant form of APP
(APPswe)/PSEN1dE9 transgenic mouse (APP/PS1) and human-derived neuronal cells as
model systems, we disclosed the impairment of the nuclear factor erythroid 2
related factor 2 (Nrf2)-TXNIP-TrX signaling in Alzheimer's-like pathology. We
observed that the immune staining of TXNIP was increased in postmortem AD brain.
The chronic accumulation of inflammatory mediator in neuronal cells facilitates
interactions of TXNIP-nucleotide binding oligomerization domain-like receptor
family, pyrin domain containing 3 (NLRP3) and NLRP3-ASC, which increases beta
amyloid (Abeta) secretion. The antioxidant Dl-3-n-butylphthalide (Dl-NBP) is
commonly used for cerebral ischemia treatment. In our study, we elucidated for
new mechanisms by which Dl-NBP enhanced TrX activity, suppressed TXNIP, and
ameliorated neuronal apoptosis in the APP/PS1 mouse brains. In human glioblastoma
A172 cells and neuroblastoma SH-SY5Y cells, we delineated the Dl-NBP-mediated
signaling pathways by which Dl-NBP-dependent upregulation of Nrf2 mediated the
reciprocal regulation of reducing proinflammatory cytokine and inhibiting Abeta
production in the glial and neuronal cells overexpressing APPswe. INNOVATION: Our
data provide a novel insight into the molecular mechanism that impairments of
Nrf2-TXNIP-TrX system may be involved in the imbalance of cellular redox
homeostasis and inflammatory damage in the AD brain. CONCLUSION: Dl-NBP treatment
could suppress TXNIP-NLRP3 interaction and inhibit NLRP3 inflammasome activation
via upregulating Nrf2. These findings may provide an instrumental therapeutic
approach for AD. Antioxid. Redox Signal. 00, 000-000.
PMID- 29634350
TI - Redox Mechanisms in Neurodegeneration: From Disease Outcomes to Therapeutic
Opportunities.
AB - SIGNIFICANCE: Once considered to be mere by-products of metabolism, reactive
oxygen, nitrogen and sulfur species are now recognized to play important roles in
diverse cellular processes such as response to pathogens and regulation of
cellular differentiation. It is becoming increasingly evident that redox
imbalance can impact several signaling pathways. For instance, disturbances of
redox regulation in the brain mediate neurodegeneration and alter normal
cytoprotective responses to stress. Very often small disturbances in redox
signaling processes, which are reversible, precede damage in neurodegeneration.
Recent Advances: The identification of redox-regulated processes, such as
regulation of biochemical pathways involved in the maintenance of redox
homeostasis in the brain has provided deeper insights into mechanisms of
neuroprotection and neurodegeneration. Recent studies have also identified
several post-translational modifications involving reactive cysteine residues,
such as nitrosylation and sulfhydration, which fine-tune redox regulation. Thus,
the study of mechanisms via which cell death occurs in several neurodegenerative
disorders, reveal several similarities and dissimilarities. Here, we review redox
regulated events that are disrupted in neurodegenerative disorders and whose
modulation affords therapeutic opportunities. CRITICAL ISSUES: Although
accumulating evidence suggests that redox imbalance plays a significant role in
progression of several neurodegenerative diseases, precise understanding of redox
regulated events is lacking. Probes and methodologies that can precisely detect
and quantify in vivo levels of reactive oxygen, nitrogen and sulfur species are
not available. FUTURE DIRECTIONS: Due to the importance of redox control in
physiologic processes, organisms have evolved multiple pathways to counteract
redox imbalance and maintain homeostasis. Cells and tissues address stress by
harnessing an array of both endogenous and exogenous redox active substances.
Targeting these pathways can help mitigate symptoms associated with
neurodegeneration and may provide avenues for novel therapeutics. Antioxid. Redox
Signal. 00, 000-000.
PMID- 29634351
TI - Ethics of Research Biobanks: Islamic Perspectives.
AB - Research biobanks can offer great benefits for science and healthcare. However,
many ethical challenges arise from their use. These challenges have different
perspectives in Muslim countries when research biobanks are created. We propose
principles for good practice related to the research biobanks in Islamic
countries, focusing on issues related to confidentiality, informed consent,
children's participation, and others. These issues were explored according to
Islamic fatwas and Usul Al Fiqh, and we provide principles for good practice for
policy makers involved in the creation of research biobanks, researchers, and
anyone who has to deal with stored tissue specimens taken from Muslims. The
actual implementation of the principles will vary according to different
jurisdictions.
PMID- 29634353
TI - A global research collaboration on fetal alcohol spectrum disorder.
PMID- 29634354
TI - Mental health symptoms and verbal fluency in elderly people: Evidence from the
Spanish longitudinal study of aging.
AB - OBJECTIVES: Depression and loneliness are highly prevalent in old age. Moreover
these mental health symptoms adversely affect the verbal fluency of the elderly.
We examined the relationship between depression and loneliness with verbal
fluency in people aged 50 years or older. METHOD: Research data were collected
during the pilot study of the Longitudinal Aging Study in Spain (ELES) in which a
representative sample of non-institutionalized Spanish older people was assessed.
Here, the cross-sectional data for 962 participants were analysed using
hierarchical regressions, controlling for age, education level, overall cognitive
functioning, social networks and satisfaction with family. RESULTS: Higher levels
of cognitive functioning were associated with higher verbal fluency. Females
showed higher levels of phonological fluency. Neither depression nor loneliness
were significant predictors of phonological fluency but loneliness was a
significant predictor of semantic fluency. For mild levels of loneliness, the
rate of decline in semantic fluency slows in the oldest ages. In contrast, for
severe loneliness the rate of decline in semantic fluency increases in the oldest
ages. CONCLUSIONS: Depressive symptoms, loneliness and cognitive impairment are
all prominent in ageing and therefore their impact on ageing needs to be better
understood. Early detection of loneliness, along with the implementation of
intervention for individuals diagnosed with loneliness is advisable in order to
avoid negative repercussions for the verbal fluency of these individuals.
PMID- 29634355
TI - Evaluation of 99mTc-Labeled Bevacizumab-N-HYNIC Conjugate in Human Ovarian Tumor
Xenografts.
AB - AIM: The aim of the present investigation was to examine the suitability of 99mTc
N-HYNIC-BZMB as a specific vascular endothelial growth factor (VEGF)-targeting
agent. Bevacizumab is a recombinant humanized monoclonal antibody that inhibits
VEGF. METHODS: N-hydroxysuccinimide-2-hydrazinonicotinic acid (N-HYNIC) was
conjugated to BZMB, followed by labeling with 99mTc using N
[Tris(hydroxymethyl)methyl] glycine (tricine), ethylenediamine-N,N'-diacetic acid
(EDDA), and nicotinic acid as coligands. 99mTc-labeled BZMB was characterized in
terms of 99mTcO4, radiocolloids, and labeled N-HYNIC-BZMB using thin-layer
chromatography and HPLC. Poor metastatic SKOV-3 and high metastatic SKOV-3.ip1
human ovarian cancer cell lines were used for in vitro binding uptake of 99mTc-N
HYNIC-BZMB. Biodistribution and scintigraphy accuracy were examined in human
ovarian tumor xenografts in rats and rabbits. RESULTS: 99mTc-N-HYNIC-BZMB
prepared by using a mixture of tricine and EDDA demonstrated relatively high
radiochemical purity (more than 98%). In L-cysteine and serum, it exhibited a
stable behavior up to 16 hours. In vitro binding uptake indicated that it targets
high metastatic SKOV-3.ip1 tumors. Biodistribution in human ovarian tumor
xenografts in rats confirmed a significant uptake in SKOV-3.ip1 tumors (5.69% +/-
1.86%, 4 hours). Scintigraphic accuracy in human ovarian tumor xenografts in
rabbits validated its suitability as a high metastatic SKOV-3.ip1 radiotracer.
CONCLUSION: High radiochemical purity, stability in saline and serum,
biodistribution, and scintigraphy of 99mTc-N-HYNIC-BZMB in human ovarian tumor
xenografts in rats and rabbits confirmed its suitability as a potential
radiotracer for imaging high metastatic SKOV-3.ip1 sites.
PMID- 29634344
TI - Role of Nicotinamide Adenine Dinucleotide and Related Precursors as Therapeutic
Targets for Age-Related Degenerative Diseases: Rationale, Biochemistry,
Pharmacokinetics, and Outcomes.
AB - SIGNIFICANCE: Nicotinamide adenine dinucleotide (NAD+) is an essential pyridine
nucleotide that serves as an essential cofactor and substrate for a number of
critical cellular processes involved in oxidative phosphorylation and ATP
production, DNA repair, epigenetically modulated gene expression, intracellular
calcium signaling, and immunological functions. NAD+ depletion may occur in
response to either excessive DNA damage due to free radical or ultraviolet
attack, resulting in significant poly(ADP-ribose) polymerase (PARP) activation
and a high turnover and subsequent depletion of NAD+, and/or chronic immune
activation and inflammatory cytokine production resulting in accelerated CD38
activity and decline in NAD+ levels. Recent studies have shown that enhancing
NAD+ levels can profoundly reduce oxidative cell damage in catabolic tissue,
including the brain. Therefore, promotion of intracellular NAD+ anabolism
represents a promising therapeutic strategy for age-associated degenerative
diseases in general, and is essential to the effective realization of multiple
benefits of healthy sirtuin activity. The kynurenine pathway represents the de
novo NAD+ synthesis pathway in mammalian cells. NAD+ can also be produced by the
NAD+ salvage pathway. Recent Advances: In this review, we describe and discuss
recent insights regarding the efficacy and benefits of the NAD+ precursors,
nicotinamide (NAM), nicotinic acid (NA), nicotinamide riboside (NR), and
nicotinamide mononucleotide (NMN), in attenuating NAD+ decline in degenerative
disease states and physiological aging. CRITICAL ISSUES: Results obtained in
recent years have shown that NAD+ precursors can play important protective roles
in several diseases. However, in some cases, these precursors may vary in their
ability to enhance NAD+ synthesis via their location in the NAD+ anabolic
pathway. Increased synthesis of NAD+ promotes protective cell responses, further
demonstrating that NAD+ is a regulatory molecule associated with several
biochemical pathways. FUTURE DIRECTIONS: In the next few years, the refinement of
personalized therapy for the use of NAD+ precursors and improved detection
methodologies allowing the administration of specific NAD+ precursors in the
context of patients' NAD+ levels will lead to a better understanding of the
therapeutic role of NAD+ precursors in human diseases. Antioxid. Redox Signal.
00, 000-000.
PMID- 29634356
TI - A Culture-Centered Community-Grounded Approach to Disseminating Health
Information among African Americans.
AB - This study highlights the role of local communities in creating culturally rooted
health information resources based on comparative effectiveness research (CER),
depicting the role of culture in creating entry points for building community
grounded communication structures for evidence-based health knowledge. We report
the results from running a year-long culture-centered campaign that was carried
out among African American communities in two counties, Lake and Marion County,
in Indiana addressing basic evidence-based knowledge on four areas of
cardiovascular disease (CVD). Campaign effectiveness was tested through an
experimental design with post-test knowledge of CER among African Americans in
these counties compared to CER knowledge among African Americans in a comparable
control county (Allen). Our campaign, based on the principles of the culture
centered approach (CCA), increased community CER knowledge in the experimental
communities relative to a community that did not receive the culturally centered
health information campaign. The CCA-based campaign developed by community
members and distributed through the mass media, community wide channels such as
health fairs and church meetings, postcards, and face-to-face interventions
explaining the postcards improved CER knowledge in specific areas (ACE-I/ARBs,
atrial fibrillation, and renal artery stenosis) in the CCA communities as
compared to the control community.
PMID- 29634357
TI - Global Survey of a Novel Smartphone Mobile Endoscopy System.
AB - INTRODUCTION: The most commonly performed procedure among urologists is
cystoscopy. However, urologists in developing countries have limited access and
funds to purchase the equipment necessary to perform this procedure. The novel
Endockscope (ES) mobile endoscopic system aids in reducing this gap in both cost
and accessibility. MATERIALS AND METHODS: ES kits were distributed at the 2016
World Congress of Endourology in Cape Town, South Africa. All participants were
given instructions on how to use the device and each participant attended a live
demonstration during the conference. Eight months later, all participants were
contacted via email, regular mail, or phone to complete a questionnaire designed
to assess the impact of the ES device. RESULTS: A total of 24 ES kits were
distributed. After numerous emails, questionnaires sent by regular return mail,
and phone calls, 12 (50%) participants completed the survey as instructed.
Seventy percent of participants reported that they performed more endoscopic
procedures due to the ES system. Overall, 90% of participants stated that they
would purchase the ES system for personal use ($40.53). All participants reported
that they would recommend the ES to others. CONCLUSION: The ES system provided an
effective inexpensive system to enable urologists in resource-challenged
countries to offer cystoscopy to more of their patients.
PMID- 29634358
TI - The dynamics of the hand, foot and mouth disease epidemic from 2008 to 2016 in
Zhenjiang city, China.
AB - AIM: To investigate the hand, foot and mouth disease (HFMD) epidemic in
Zhenjiang, China from 2008 to 2016. MATERIALS & METHODS: A total of 37,202 HFMD
cases were investigated and 3707 nasopharyngeal swabs were detected for
enterovirus RNA using RT-quantitative PCR. RESULTS: We first reported a mixed
pattern of HFMD seasonal epidemic with a combination of single-peak and two-peak
patterns in alternate years, and the occurrence of sporadic and epidemic
outbreaks of HFMD in kindergartens in Zhenjiang. Children younger than 4 years of
age were highly vulnerable to HFMD, and home children and boys had higher risk to
develop severe HFMD than nursery children and girls, respectively. Among tested
samples, 1709 (46.1%) were detected as enterovirus RNA positive. CONCLUSION: This
study first presents the dynamic of the HFMD epidemic in Zhenjiang from 2008 to
2016.
PMID- 29634359
TI - The development of a vocabulary for PEEPS-SE-profiles of early expressive
phonological skills for Swedish.
AB - This paper describes the development of a vocabulary for Profiles of Early
Expressive Phonological Skills for Swedish (PEEPS-SE), a tool for assessment of
expressive phonology in Swedish-learning children in the age range of 18-36
months. PEEPS-SE is the Swedish version of the original PEEPS, Profiles of Early
Expressive Phonological Skills, which uses two age-adequate word lists-a basic
word list (BWL) for the assessment of 18-24-month-old children, to which an
expanded word list (EWL) is added for assessment of 24-36-month-old children, or
children with more than 250 words in their expressive vocabulary. The selection
of words in PEEPS-SE is based on two types of criteria: age of acquisition and
phonological complexity. The words also need to be easy to elicit in a natural
way in test situations. Vocabulary data previously collected with the Swedish
Early Communicative Development Inventory are used for selection of age-adequate
words, where the BWL contains words acquired earlier compared to the additional
words in the EWL. The latter also contains words that are more phonologically
complex compared to those in the BWL. Word complexity was determined by the
Swedish version of word complexity measure. PEEPS-SE has made an attempt to match
the original version of PEEPS in terms of both assessment method and word
selection.
PMID- 29634361
TI - Intracavitary therapies for upper tract urothelial carcinoma.
AB - INTRODUCTION: While radical nephroureterectomy remains the gold-standard for
upper tract urothelial carcinoma (UTUC), there is a growing push for organ
sparing therapy in low-risk disease. Herein we review the use of intracavitary
topical therapy for treatment of UTUC. Areas covered: A PubMed search was
performed for studies pertaining to upper tract urothelial carcinoma, with 236
articles reviewed, and distilled for content pertinent to intracavitary therapy
for UTUC. Topics discussed include agents used for management of UTUC, most
commonly BCG, as well as techniques for administration. Additionally, we review
the evidence for curative treatment for Cis versus adjuvant therapy for Ta/T1
disease. Finally, we discuss emerging technologies to improve agent delivery and
efficacy in the upper tract. Expert commentary: No significant advances have
occurred in topical management of UTUC in the past 2 decades. However, advances
in diagnostic techniques such as modern ureteroscopes, and improved diagnostic
imaging at the time of ureteroscopy may help improve our patient selection.
Additional advances in topical therapy focused on increasing the duration of
contact between agent delivered and the upper tract urothelium offer hope that a
new leap forward in topical therapy is on the horizon.
PMID- 29634360
TI - Sofosbuvir + velpatasvir + voxilaprevir for the treatment of hepatitis C
infection.
AB - INTRODUCTION: Hepatitis C is a disease with a significant global impact. Over the
last several years, the treatment of the disease has been revolutionized. Therapy
has transformed over the last several years with the approval of second
generation direct acting antivirals, and currently utilized medications for the
treatment of hepatitis C are significantly more efficacious with better safety
profiles than previously approved treatments. Treatment for individuals who have
failed therapy on direct acting antivirals has, until recently, been complex and
difficult to treat, but the approval of sofosbuvir/velpatasvir/voxilaprevir
represents a new therapeutic option for these individuals. Areas covered:
Sofosbuvir/velpatasvir/voxilaprevir is a recently approved therapeutic
combination for the treatment of hepatitis C. This article reviews the studies
leading to the approval of the combination, and its efficacy and safety profile.
Expert opinion: Sofosbuvir/velpatasvir/voxilaprevir fills one of the previously
unfilled niches for the treatment of hepatitis C, that of the treatment of
individuals who have failed therapy with resistant virus. With the filling of
this niche, there appears to be a general slowing of the development of new
therapeutics. Although understandable, in the long term, there are considerable
risks associated with the decreased development of new drugs to treat hepatitis
C.
PMID- 29634362
TI - Transactional Sex and Preferences for Pre-Exposure Prophylaxis (PrEP)
Administration Modalities Among Men Who Have Sex With Men (MSM).
AB - Pre-exposure prophylaxis (PrEP) is an important biomedical human immunodeficiency
virus (HIV) prevention tool gaining more popularity among Parisian men who have
sex with men (MSM) who engage in transactional sex. This study examines the
knowledge of, and willingness to use, different modalities of PrEP among this
subgroup. Broadcast advertisements were placed on a geosocial-networking
smartphone application with a link to a Web-based survey during three 24-hour
periods in October 2016. Modified Poisson regression models were used to assess
the association between engagement in transactional sex and preferences for each
of these PrEP modalities. A total of 444 respondents were included. About 14%
reported engagement in transactional sex. In all, 90% of MSM who engaged in
transactional sex were knowledgeable of daily oral PrEP, while 13.3% were
knowledgeable about long-acting injectable PrEP or penile or rectal microbicides.
They were more likely to be aware of long-acting injectable PrEP (aRR = 2.52, 95%
CI = 1.16 to 5.47) and willing to use daily oral PrEP (aRR = 1.48; 95% CI = 1.11
to 1.98) or long-acting injectable PrEP (aRR = 1.40; 95% CI = 1.09 to 1.81) than
MSM who had not engaged in transactional sex. Long-acting injectable PrEP may be
an important HIV-prevention option for MSM who engage in transactional sex if
this modality is proven effective.
PMID- 29634363
TI - Reliability of Hypernasality Rating: Comparison of 3 Different Methods for
Perceptual Assessment.
AB - OBJECTIVE: To compare reliability in auditory-perceptual assessment of
hypernasality for 3 different methods and to explore the influence of language
background. DESIGN: Comparative methodological study. Participants and Materials:
Audio recordings of 5-year-old Swedish-speaking children with repaired cleft lip
and palate consisting of 73 stimuli of 9 nonnasal single-word strings in 3
different randomized orders. Four experienced speech-language pathologists (2
native speakers of Brazilian-Portuguese and 2 native speakers of Swedish)
participated as listeners. After individual training, each listener performed the
hypernasality rating task. Each order of stimuli was analyzed individually using
the 2-step, VISOR and Borg centiMax scale methods. MAIN OUTCOME MEASURES:
Comparison of intra- and inter-rater reliability, and consistency for each
method within language of the listener and between listener languages (Swedish
and Brazilian-Portuguese). RESULTS: Good to excellent intra-rater reliability was
found within each listener for all methods, 2-step: kappa = 0.59-0.93; VISOR:
intraclass correlation coefficient (ICC) = 0.80-0.99; Borg centiMax (cM) scale:
ICC = 0.80-1.00. The highest inter-rater reliability was demonstrated for VISOR
(ICC = 0.60-0.90) and Borg cM-scale (ICC = 0.40-0.80). High consistency within
each method was found with the highest for the Borg cM scale (ICC = 0.89-0.91).
There was a significant difference in the ratings between the Swedish and the
Brazilian listeners for all methods. CONCLUSIONS: The category-ratio scale Borg
cM was considered most reliable in the assessment of hypernasality. Language
background of Brazilian-Portuguese listeners influenced the perceptual ratings of
hypernasality in Swedish speech samples, despite their experience in perceptual
assessment of cleft palate speech disorders.
PMID- 29634364
TI - Exploring the Medical and Psychosocial Concerns of Adolescents and Young Adults
With Craniofacial Microsomia: A Qualitative Study.
AB - OBJECTIVE: This study explores the experiences of adolescents and young adults
with craniofacial microsomia, including the impact of growing up with this
craniofacial condition on daily life and sense of self. The results may guide
future research on optimally supporting individuals with craniofacial microsomia
during this critical life phase. DESIGN AND SETTING: Participants were recruited
through a craniofacial center, online patient support groups, and social media
sites. Eleven individual semistructured interviews with participants between 12
and 22 years old were conducted by a single interviewer, transcribed, iteratively
coded, and thematically analyzed. RESULTS: Five themes were evident in the data:
(1) impact on personal growth and character development, (2) negative
psychosocial impact, (3) deciding to hide or reveal the condition, (4) desire to
make personal surgical decisions, and (5) struggles with hearing loss.
CONCLUSIONS: We identified both medical and psychosocial concerns prevalent among
adolescents with craniofacial microsomia. Although adolescents with craniofacial
microsomia exhibit considerable resilience, the challenges they face impact their
sense of self and should be addressed through psychosocial support and
counseling. Further research should investigate the potential benefit of the
wider use of hearing aids, as well as the involvement of patients in decision
making about reconstructive ear surgery.
PMID- 29634365
TI - The consequences of 'Brexit' for drug discovery and development, and the
regulatory implications.
PMID- 29634366
TI - Feed the Alien! The Effects of a Nutrition Instruction Game on Children's
Nutritional Knowledge and Food Intake.
AB - OBJECTIVE: Serious games are a promising venue to increase children's nutritional
knowledge in an entertaining format. The aim of this study was to test the short
term effectiveness of the Alien Health Game, a videogame designed to teach
elementary school children about nutrition and healthy food choices. MATERIALS
AND METHODS: To examine the effects of the Alien Health Game, an experimental
design with a single between-subjects factor (experimental condition vs. active
control condition) was used. A total of 108 Dutch children (10-13 years; 58 boys)
were randomly assigned to either play Alien Health using the Kinect sensor for
two consecutive days, for 1 hour of gameplay (experimental condition; n = 50), or
a web-based nutrition game for the same period (active control condition; n =
58). Participants' nutritional knowledge was assessed at pretest, immediate, and
at 2-week follow-up. Food intake was assessed at immediate and 2-week follow-up.
RESULTS: Participants who played Alien Health had better knowledge of the five
most important macronutrients of foods at immediate posttest, but not at follow
up. Participants were better able to distinguish the healthier food item out of
two options over time, but this effect did not differ for those in the
experimental versus the active control condition. No differences in food intake,
neither in the consumption of nutrient-dense or energy-dense foods, were found
between children playing both games. CONCLUSION: A brief game-based intervention
like the Alien Health Game has the potential to improve children's nutritional
knowledge in the short term, but may not be strong enough to increase nutritional
knowledge and actual eating behavior in the long term. Further investigation is
warranted before this game is applied in future nutrition education programs.
PMID- 29634367
TI - RNF4 regulates zebrafish granulopoiesis through the DNMT1-C/EBPalpha axis.
AB - RING finger protein 4 (RNF4) is a multifunctional small ubiquitin-related
modifier (SUMO)-targeted ubiquitin E3 ligase (STUbL) ubiquitously expressed in
all tissues, and which mainly participates in DNA repair and in chromatin and
transcriptional regulation. Although RNF4 has been implicated in hematopoietic
disorders, its ontogenic role during hematopoietic development remains
undiscovered. We generated a zebrafish rnf4 knockout line by using transcription
activator-like effector nucleases technology to address the impact of rnf4 during
hematopoiesis. Rnf4-deficient zebrafish embryos exhibited sharply decreased
neutrophils numbers during both primitive and definitive hematopoiesis.
Mechanistic studies revealed that repression of the key granulocytic activator,
CCAAT/enhancer-binding protein alpha ( c/ebpalpha), via promoter hypermethylation
by SUMOylated DNA methyltransferase 1 (DNMT1) was the main cause of impaired
granulopoiesis in rnf4-deficient zebrafish. In addition, for the first time, we
identified DNMT1 as a potential new STUbL substrate of RNF4, with knockdown of
dnmt1 largely restoring primitive and definitive granulopoiesis in rnf4-deficient
zebrafish. Collectively, RNF4 is indispensable for zebrafish granulopoiesis
through regulation of the DNMT1-C/EBPalpha functional axis.-Wang, L., Liu, X.,
Wang, H., Yuan, H., Chen, S., Chen, Z., de The, H., Zhou, J., Zhu, J. RNF4
regulates zebrafish granulopoiesis through the DNMT1-C/EBPalpha axis.
PMID- 29634368
TI - Cell adhesion protein fibulin-7 and its C-terminal fragment negatively regulate
monocyte and macrophage migration and functions in vitro and in vivo.
AB - Fibulin-7 (Fbln7) has been identified as the latest member of the fibulin family
of secreted glycoproteins in developing teeth, functioning as a cell adhesion
molecule and interacting with other matrix proteins, receptors, and growth
factors. More recently, we have shown that the C-terminal Fbln7 fragment (Fbln7
C) has antiangiogenic activity in vitro. Fbln7 is also expressed in immune
privileged tissues, such as eye and placenta, but its functional significance is
unknown. In the current study, we show that human monocytes adhere to both full
length Fbln7 (Fbln7-FL) and Fbln7-C, in part, via integrins alpha5beta1 and
alpha2beta1. Morphologic studies and surface expression analyses of CD14, mannose
receptor (CD206), major histocompatibility complex II, and CD11b receptors
revealed that both Fbln7-FL and Fbln7-C inhibit M-CSF-induced monocyte
differentiation. Fbln7-C had significantly greater negative effects on cell
spreading and stress fiber formation, including the production of IL-6 and
metalloproteinase-1/-9 compared with Fbln7-FL. Furthermore, in an LPS-induced
systemic inflammation model, Fbln7-C and Fbln7-FL reduced the infiltration of
immune cells, such as neutrophils and macrophages, to the inflamed peritoneum.
Thus, these results suggest that Fbln7 and Fbln7-C could modulate the activity of
immune cells and have therapeutic potential for inflammatory diseases.-Sarangi,
P. P., Chakraborty, P., Dash, S. P., Ikeuchi, T., de Vega, S., Ambatipudi, K.,
Wahl, L., Yamada, Y. Cell adhesion protein fibulin-7 and its C-terminal fragment
negatively regulate monocyte and macrophage migration and functions in vitro and
in vivo.
PMID- 29634369
TI - Predictors of Intrusive Sexual Behaviors in Preschool-Aged Children.
AB - Intrusive sexual behaviors (ISBs) are a specific type of problematic sexual
behavior characterized by the invasive nature of the acts (e.g., touching others'
private parts, attempting intercourse; Friedrich, 1997). The limited amount of
research on ISBs has focused on sexual abuse history as the primary predictor.
However, Friedrich, Davies, Feher, and Wright (2003) found that ISBs in children
up to age 12 were related to four broad conceptual factors: (a) exposure to
sexual content, (b) exposure to violent behavior, (c) family adversity, and (d)
child vulnerabilities. The current study sought to replicate Friedrich's study
using a clinical sample of 217 preschool-aged children (ages two to six). Results
supported variables from within the child vulnerabilities construct
(externalizing behaviors, betaEXT = 0.032, p = 0.001), post-traumatic stress
disorder (PTSD) criteria met (betaPTSD = 0.177, p = 0.02), and an inverse
relationship with age (betaAGE = -0.206, p = 0.024). These results highlight the
importance of considering childhood behavioral patterns and reactivity to
traumatic events as correlates of ISBs in young children.
PMID- 29634371
TI - Domestic Moods: Maternal Mental Health in Northern Vietnam.
AB - In this article I propose the notion of domestic mood as an important concept for
mental health research. Drawing on ethnographic fieldwork conducted among women
living in Hanoi, Vietnam, I explore the maternal mental health problems that the
women reported, focusing particularly on the household tensions and conflicts
that made the entry into motherhood a distressful experience. To develop the
concept of domestic mood, I draw on Martin Heidegger's work, particularly his
claim that human being is always a being-with. Comprehending maternal mental
health problems, I argue, requires that we pay attention not only to individual
states of mind, but also to the ways that domestic environments shape people's
moods. Taking this analytical approach, I show how the mental health states of
pregnant women and new mothers in Vietnam were inseparable from their husbands'
structural vulnerabilities within kin groups.
PMID- 29634372
TI - Comparison of Central Corneal Thickness Measured by Standard Ultrasound
Pachymetry, Corneal Topography, Tono-Pachymetry and Anterior Segment Optical
Coherence Tomography.
AB - PURPOSE: To compare central corneal thickness (CCT) measured by standard
ultrasound pachymetry (USP), and three non-contact devices in healthy eyes.
METHODS: A cross-sectional study of CCT measurement in 52 eyes of 52 healthy
volunteers was done by a single examiner at Ocular Surface and Contact Lens
Laboratory. Three consecutive measurements were done by standard USP, non-contact
tono-pachymeter, Pentacam corneal topographer, and Anterior Segment Optical
Coherence Tomography (AS-OCT). The mean values were used for assessment. The
results were compared using multivariate ANOVA, linear regression, and Pearson
correlation. Agreement among the devices was analyzed using mean differences and
Bland-Altman analysis with 95% limits of agreement (LoA). Finally, reliability
was analyzed using intraclass correlation coefficient (ICC). RESULTS: Mean CCT by
ultrasound pachymeter, tono-pachymeter, corneal topographer and AS-OCT were 558.9
+/- 31.2 um, 525.8 +/- 43.1 um, 550.4 +/- 30.5 um, and 545.9 +/- 30.5 um
respectively. There was a significant positive correlation between AS-OCT and USP
(Pearson correlation = 0.957, p < 0.001), corneal topography and USP (Pearson
correlation = 0.965, p < 0.001), and corneal topography and AS-OCT (Pearson
correlation = 0.965, p < 0.001). There was a lower correlation between CT-1P tono
pachymeter and the other three modalities. Intraclass correlation coefficients
show an excellent reliability between pairs except for CT-1P against the other
three instruments that were found moderate. CONCLUSIONS: CT-1P tono-pachymeter
underestimates CCT measurements compared to Scheimpflug system, AS-OCT device,
and USP. Mean CCT among USP, Pentacam and AS-OCT were comparable and had
significant linear correlations. In clinical practice, these three modalities
could be interchangeable in healthy patients.
PMID- 29634370
TI - Rod-Mediated Dark Adaptation and Macular Pigment Optical Density in Older Adults
with Normal Maculas.
AB - PURPOSE: To examine the association between macular pigment optical density
(MPOD) and rod-mediated dark adaptation (RMDA) in persons >=60 years old with
normal maculas as determined by an accepted color fundus photography grading
system. METHODS: This cross-sectional analysis used baseline data from eyes in
the Alabama Study on Early Age-Related Macular Degeneration. Eyes at step 1 in
the AREDS 9-step grading system were considered normal. Eyes were additionally
assessed by spectral domain optical coherence tomography (SD-OCT). Foveal MPOD
was estimated via heterochromatic flicker photometry, and RMDA was assessed with
a computerized dark adaptometer. The association between RMDA and MPOD was
examined via Spearman correlation coefficients adjusted for age. RESULTS: In 306
eyes from 306 persons (mean age 68.2 years) in normal macular health, MPOD was
not associated with RMDA (age-adjusted rank correlation = 0.043, p = 0.45). After
81 eyes with incidental macular findings by SD-OCT evaluation were excluded, the
association between MPOD and RMDA remained null (N = 225, age-adjusted r = 0.015,
p = 0.82). CONCLUSION: In a large sample of normal aged eyes, RMDA, a visual
function that is rate limited by retinoid availability to photoreceptors across
the complex of retinal pigment epithelium, Bruch's membrane, and
choriocapillaris, is not related to MPOD in the neurosensory retina.
PMID- 29634373
TI - Potential Alternative Treatment of Ocular Bacterial Infections by Oil Derived
from Syzygium aromaticum Flower (Clove).
AB - : Purpose/Aim: This study aims to evaluate the predisposing risk factors and
antibiotic resistance of bacterial corneal ulcer to commonly used antibiotics. In
addition, assess the in vitro efficacy of plant-derived essential oils (EOs) as
safe and effective antimicrobial agents. METHODS: Demographic features and
predisposing risk factors of corneal ulcer patients were recorded. Isolation and
identification of bacteria was performed using conventional microbiological
methods. Antibacterial activity was determined by disk diffusion and the micro
dilution broth methods. EOs were extracted by steam distillation and were
analyzed by gas chromatography mass spectrometry technique. RESULTS: Out of the
200 patients with corneal ulcer evaluated in this study, the main predisposing
factor of bacterial corneal ulcer was trauma (26.5%) and 96.7% isolates were
multidrug resistant. Staphylococcus aureus was the predominant isolate 33 cases.
Antibiotic susceptibility of bacterial isolates showed that the fourth-generation
fluoroquinolones, gatifloxacin was the most effective antibiotic with sensitivity
rate 81.3%. Seven selected EOs showed significant activity against most of the
tested bacteria. Syzygium aromaticum oil showed high activity against all tested
bacterial species with highest sensitivity rate (97.5%) and low minimal
inhibitory concentration values against S. aureus (0.10 ul/ml). The chemical
composition of the EOs showed that the monoterpenes were predominant. The main
constituent of S. aromaticum oil was eugenol (76%). CONCLUSIONS: The current
study showed that S. aromaticum oil had high antibacterial activity that could be
helpful in the treatment of ocular bacterial infections to minimizing the
possible side effects of commonly used antibiotic.
PMID- 29634374
TI - Extending the Theory of Normative Social Behavior to Predict Hand-Washing among
Koreans.
AB - The current study tests the predictions of the theory of normative social
behavior (TNSB) in a hand-washing context in a Korean sample and extends the
theory to examine the role of perceived publicness, a variable believed to
activate face concerns, as a moderator of the norm-behavior relationship. The
findings show substantial main effects for all of the study variables on
behavior. In addition, the descriptive norm-behavior relationship is moderated by
perceived publicness and outcome expectations, but the nature of the interactions
is not consistent with that evidenced in previous literature on US samples.
Implications for normative theory and communication campaigns are discussed.
PMID- 29634375
TI - Analgesic Effect of Topical Nepafenac 0.1% on Pain Related to Intravitreal
Injections: A Randomized Crossover Study.
AB - PURPOSE: To evaluate the analgesic effect of nepafenac 0.1%, a topical non
steroidal anti-inflammatory agent, in patients undergoing treatment with
intravitreal injections (IVIs). MATERIAL AND METHODS: It is a single center,
prospective, randomized, double-blinded, placebo-controlled, crossover
interventional study. Fifty-two patients scheduled to undergo IVI of anti
vascular endothelial growth factors were included in the study. Patients were
randomized in a 1:1 ratio to receive topical nepafenac 0.1% or placebo 1 h before
subsequent IVIs. Using the short form of the McGill Pain Questionnaire (SF-MPQ),
pain intensity was assessed with the Visual Analogue Scale (VAS), the Main
Component of the SF-MPQ (MC-SF-MPQ), and the Present Pain Intensity (PPI) scores
immediately and 6-h post-injection. RESULTS: The VAS pain score was statistically
significant lower immediately and 6-h post-IVI in patients treated with nepafenac
(p = 0.001 and < 0.001, respectively). The MC-SF-MPQ scores were also
statistically significant lower after nepafenac administration at both time
points (p < 0.001). Finally, the PPI score was statistically significant lower
when nepafenac was instilled before IVI (p = 0.015 immediately and p < 0.001 at 6
h post-injection). CONCLUSIONS: A single drop of nepafenac 0.1% before IVI could
effectively alleviate the IVI-related pain immediately and up to 6 h after the
injection.
PMID- 29634376
TI - Outpatient Percutaneous Nephrolithotomy: The UC San Diego Health Experience.
AB - INTRODUCTION: Outpatient percutaneous nephrolithotomy (PCNL) has been described
for highly selected patients. We sought to assess the safety and feasibility of
outpatient PCNL in a tertiary referral stone center without strict patient
selection criteria. MATERIALS AND METHODS: We reviewed all PCNLs performed at our
institution from September 2015 to October 2016. Of the 97 eligible cases, 60
patients underwent planned outpatient PCNL. Primary outcome was complication
rate, and secondary outcome determined predictor variables of inpatient
admission. RESULTS: Thirty-seven inpatient and 60 planned outpatient (one
bilateral) PCNLs were performed with 65% and 44% American Society of
Anesthesiologists (ASA) score >=3, respectively. The 30-day overall complication
rate for the inpatient and planned outpatient groups was 27% and 20%,
respectively (p = 0.43) [70% and 92% Clavien grades I-II]. Emergency department
presentation within 30 days was 19% and 18% (p = 0.94), and unplanned hospital
readmission rate was 3% and 10% (p = 0.05). The 37 inpatient PCNL patients had
larger total stone burden than outpatient cases (40.7 vs 25.8 mm, p = 0.0014);
more often required two or more punctures into the kidney during the procedure
(73% vs 45%, p = 0.025); and more often had supracostal access (20% vs 7%, p =
0.05). For the outpatient PCNL cohort, 72% patients were discharged same day, 28%
were observed overnight for refractory symptoms or social reasons. Outpatient
cohort radiographic stone-free rate by CT (no stones) was 67%. CONCLUSION:
Outpatient PCNL has been safely and effectively performed within our institution
in moderate-sized stones almost regardless of comorbidity status. We suggest that
this approach is a potential algorithmic change in centers with sufficient case
volume.
PMID- 29634377
TI - The Role of Self-Conscious Emotions in the Sexual Health of Gay and Bisexual Men:
Psychometric Properties and Theoretical Validation of the Sexual Shame and Pride
Scale.
AB - Researchers have theorized about the role of sexual shame as a mechanism through
which sexual minority stress manifests into mental health difficulties, such as
sexual compulsivity for gay and bisexual men (GBM), and about the resilience
promoting effects of sexual pride. However, no validated measures to date have
directly tapped into these constructs rather than using proxies for them, such as
internalized homonegativity. We developed the Sexual Shame and Pride Scale (SSPS)
and conducted a psychometric evaluation of it using a sample of 260 highly
sexually active GBM. The scale had the expected structure in factor analysis and
showed evidence of internal consistency and test-retest reliability.
Correlational analyses demonstrated the convergent validity of sexual shame and
sexual pride with relevant constructs. Regression analyses demonstrated the
predictive validity of sexual shame in relation to sexual compulsivity,
accounting for unique variability even after adjusting for previously
demonstrated etiological factors, and the predictive validity of both shame and
pride, which interacted to consistently predict four sexual behavior outcomes.
Findings suggest the SSPS is a psychometrically valid and reliable measure that
may be useful in future empirical work and highlight preliminary evidence for the
role of these constructs in the sexual health of GBM.
PMID- 29634378
TI - Effect of intensive versus standard blood pressure control on major adverse
cardiac events and serious adverse events: A bivariate analysis of randomized
controlled trials.
AB - BACKGROUND: Intensive blood pressure (BP) lowering may offer protective effects
against major adverse cardiac event (MACE) but is also associated with a greater
risk of a serious adverse event (SAE). The risk-benefit profile of intensive
versus standard BP control has not been comprehensively assessed. METHODS: Four
studies were identified from a systematic literature search for randomized
controlled trials comparing intensive versus standard BP lowering that reported
both MACE and SAE endpoints. A previously described statistical approach was
applied to characterize the efficacy-safety tradeoff of BP control. The bivariate
outcome was computed to quantitatively assess the net clinical benefit (NCB) of
intensive BP lowering as compared to standard treatment, with positive values
indicating increased risks and negative values indicating decreased risks.
RESULTS: Data from the SPRINT trial demonstrated that intensive strategy was
superior in MACE but inferior in SAE, thereby eroding the NCB (bivariate outcome:
0.33% [-0.50% to 1.21%]). Intensive strategy from the SPS3 trial fulfilled non
inferiority in both MACE and SAE but did not reach a favorable NCB (-1.31% [
2.25% to 0.01%]). The ACCORD trial suggested that intensive strategy was non
inferior in MACE but inferior in SAE (-0.19% [-0.79% to 1.37%]). Results from the
VALISH trial were inconclusive for SAE but suggested non-inferiority in MACE (
1.19% [-3.24% to 0.68%]). CONCLUSIONS: Compared to the standard blood pressure
target, pooled data from randomized controlled trials suggest that intensive
strategy did not achieve a net clinical benefit when weighing the benefit of MACE
reduction against the risk of SAE under the bivariate framework. ABBREVIATIONS:
Blood pressure (BP), diastolic blood pressure (DBP), major adverse cardiac event
(MACE), net clinical benefit (NCB), serious adverse event (SAE), systolic blood
pressure (SBP).
PMID- 29634379
TI - Visual Pathway Measures are Associated with Neuropsychological Function in
Multiple Sclerosis.
AB - PURPOSE: To determine the relationships between visual function and ganglion cell
and inner plexiform layer thickness and neuropsychological measures in multiple
sclerosis (MS). METHODS: Ninety-five relapsing-remitting MS (RRMS) and 36
progressive MS patients underwent 100%-contrast visual acuity (VA), 2.5%- and
1.25%-contrast letter acuity (LA) testing, Cirrus-HD-optical coherence
tomography, and neuropsychological assessments. Mixed-effects regression models
were used to assess relationships. RESULTS: Across the cohort, 1.25%-contrast LA
was associated with Symbol Digit Modalities Test (SDMT; beta = 2.17, p = 0.005)
and Brief Visuospatial Memory Test-Revised (BVMT-R) total recall (TR) and delayed
recall (DR) scores (beta = 0.31, p < 0.001; beta = 0.15, p = 0.039,
respectively). 2.5%-contrast LA was associated with BVMT-R TR scores (beta =
0.27, p = 0.006). In the RRMS cohort, 1.25%-contrast LA was generally more
significantly associated with cognitive measures: SDMT (beta = 2.97, p = 0.001)
and BVMT-R TR (beta = 0.32, p < 0.001) and DR (beta = 0.22, p = 0.012).
CONCLUSION: This study suggests that visual pathway measures, particularly visual
function measures, reflect aspects of cognitive function in MS, further
supporting their roles as complementary outcomes in MS neuroprotection trials.
PMID- 29634380
TI - Future Directions for Examination of Brain Networks in Neurodevelopmental
Disorders.
AB - Neurodevelopmental disorders are associated with atypical development and
maturation of brain networks. A recent focus on human connectomics research and
the growing popularity of open science initiatives has created the ideal climate
in which to make real progress toward understanding the neurobiology of disorders
affecting youth. Here we outline future directions for neuroscience researchers
examining brain networks in neurodevelopmental disorders, highlighting gaps in
the current literature. We emphasize the importance of leveraging large
neuroimaging and phenotypic data sets recently made available to the research
community, and we suggest specific novel methodological approaches, including
analysis of brain dynamics and structural connectivity, that have the potential
to produce the greatest clinical insight. Transdiagnostic approaches will also
become increasingly necessary as the Research Domain Criteria framework put forth
by the National Institute of Mental Health permeates scientific discourse. During
this exciting era of big data and increased computational sophistication of
analytic tools, the possibilities for significant advancement in understanding
neurodevelopmental disorders are limitless.
PMID- 29634381
TI - Efficacy of HL036 versus Cyclosporine A in the Treatment of Naturally Occurring
Canine Keratoconjunctivitis Sicca.
AB - PURPOSES: To (i) evaluate the efficacy and safety of HL036, a tumor-necrosis
factor (TNF)-alpha-blocking protein, in the treatment of naturally occurring
canine keratoconjunctivitis sicca (KCS) and (ii) compare these features with
those of 1% cyclosporine A (CsA). MATERIALS AND METHODS: Dogs (n = 29) diagnosed
with KCS were randomly assigned to receive one drop topical aqueous HL036 (0.2,
1, or 5 mg/mL) or 1% CsA in the affected eye(s) at 12-h intervals for 42 days.
Schirmer's tear test (STT), fluorescein corneal staining (FCS), and clinical-sign
scores were evaluated prior to application (day-0) and on days 14, 28, and 42
post-treatment. Of the 29 dogs enrolled, 19 (65.5%) received HL036 (HL036 group)
and 10 (34.5%) received 1% CsA (CsA group). A linear mixed-effects model analysis
was performed to determine score differences between groups and over time.
RESULTS: After treatment, clinical-sign scores and STT values had significantly
improved compared with baseline levels in dogs of both treatment groups.
Decreases in total clinical-sign scores for the HL036-group were greater than
those of 1% CsA group. No severe adverse reactions were noted in either group.
CONCLUSIONS: Our findings suggest that topical aqueous HL036 is well-tolerated
and more effective than 1% CsA for treating naturally occurring canine KCS.
PMID- 29634382
TI - Early photoreceptor outer segment loss and retinoschisis in Cohen syndrome.
AB - PURPOSE: To describe early structural and functional retinal changes in a patient
with Cohen syndrome. METHODS: A 13-month-old Caucasian girl of Irish and Spanish
ancestry was noted to have micrognathia and laryngomalacia at birth, which
prompted a genetic evaluation that revealed biallelic deletions in COH1 (VPS13B)
(a maternally inherited 60-kb deletion involving exons 26-32 and a paternally
inherited 3.5-kb deletion within exon 17) consistent with Cohen syndrome. She
underwent a complete ophthalmic examination, full-field flash electroretinography
and retinal imaging with spectral domain optical coherence tomography. RESULTS:
Central vision was central, steady, and maintained. There was bilateral myopic
astigmatic refractive error. Fundus exam was notable for dark foveolar
pigmentation, but no obvious abnormalities of either eye. Spectral domain optical
coherence tomography cross sections through the fovea revealed a normal appearing
photoreceptor outer nuclear layer but loss of the interdigitation signal between
the photoreceptor outer segments and the apical retinal pigment epithelium.
Retinoschisis involving the inner nuclear layer of both eyes and possible
ganglion cell layer thinning were also noted. There was a detectable
electroretinogram with similarly reduced amplitudes of rod- (white, 0.01 cd.s.m
2) and cone-mediated (3 cd.s.m-2, 30 Hz) responses. CONCLUSION: Photoreceptor
outer segment abnormalities and retinoschisis may represent the earliest
structural retinal change detected by spectral domain optical coherence
tomography in patients with Cohen syndrome, suggesting a complex pathophysiology
with primary involvement of the photoreceptor cilium and disorganization of the
structural integrity of the inner retina.
PMID- 29634383
TI - Clinical pharmacogenomics testing in the era of next generation sequencing:
challenges and opportunities for precision medicine.
AB - INTRODUCTION: The rapid development and dramatic decrease in cost of sequencing
techniques have ushered the implementation of genomic testing in patient care.
Next generation DNA sequencing (NGS) techniques have been used increasingly in
clinical laboratories to scan the whole or part of the human genome in order to
facilitate diagnosis and/or prognostics of genetic disease. Despite many hurdles
and debates, pharmacogenomics (PGx) is believed to be an area of genomic medicine
where precision medicine could have immediate impact in the near future. Areas
covered: This review focuses on lessons learned through early attempts of
clinically implementing PGx testing; the challenges and opportunities that PGx
testing brings to precision medicine in the era of NGS. Expert commentary:
Replacing targeted analysis approach with NGS for PGx testing is neither
technically feasible nor necessary currently due to several technical limitations
and uncertainty involved in interpreting variants of uncertain significance for
PGx variants. However, reporting PGx variants out of clinical whole exome or
whole genome sequencing (WES/WGS) might represent additional benefits for
patients who are tested by WES/WGS.
PMID- 29634384
TI - Inhibition of breast cancer cell growth by the combination of clofarabine and
sulforaphane involves epigenetically mediated CDKN2A upregulation.
AB - Many antineoplastic nucleoside analogue-based combinatorial strategies focused on
remodelling aberrant DNA methylation patterns have been developed. The number of
studies demonstrate high efficacy of bioactive phytochemicals in support of
conventional chemotherapy. Our recent discoveries of the epigenetic effects of
clofarabine (2'-deoxyadenosine analogue, antileukaemic drug) and clofarabine
based combinations with dietary bioactive compounds in breast cancer cells led us
to look for more DNA methylation targets of these cancer-preventive agents. In
the present study, using methylation-sensitive restriction analysis (MSRA) and
qPCR, we showed that clofarabine in combination with sulforaphane, a
phytochemical from cruciferous vegetables, significantly reactivates DNA
methylation-silenced CDKN2A tumour suppressor and inhibits cancer cell growth at
a non-invasive breast cancer stage.
PMID- 29634385
TI - Anterior cruciate ligament reconstruction complicated by Propionibacterium acnes
infection: case series.
AB - Anterior cruciate ligament (ACL) reconstruction is one of the most commonly
performed orthopaedic procedures. While generally successful, failure resulting
in revision surgery is reported to be between 1.9 - 4.9%. However, when not
related to traumatic re-injury, failure mechanism is poorly understood. One
potential but understudied mechanism of ACL reconstruction failure is infection.
We describe three patients with previous ACL reconstructions who later developed
infection with Propionibacterium acnes. Two cases presented with knee pain and
swelling, and one presented with instability. While only two of the three cases
received antibiotic treatment, all three cases were free of knee and infectious
symptomatology at most recent follow-up. P. acnes infection may represent one
potential mechanism for biologic failure of ACL reconstruction and anaerobic
operative cultures may be used to identify its presence.
PMID- 29634386
TI - Physiological self-regulation and mindfulness in children with a diagnosis of
fetal alcohol spectrum disorder.
AB - OBJECTIVE: To explore the differences in baseline respiratory sinus arrhythmia
(RSA) between children with fetal alcohol spectrum disorder (FASD) and typically
developing children (TDC) and to investigate whether children with FASD have the
capacity to engage in a brief mindfulness exercise. METHODS: Participants were 14
children with FASD and 20 TDC. RSA was measured at baseline, during, and
following a mindfulness exercise. A mindfulness compliance checklist was
completed to ascertain if children could follow the task instructions. RESULTS:
Both groups obtained high scores on the mindfulness compliance checklist. There
was a trend for children with FASD to have lower baseline RSA compared to TDC.
Children in both groups demonstrated an increase in RSA during the mindfulness
task. CONCLUSIONS: Children with FASD could engage in a mindfulness task, and
both groups showed an increase in RSA. Further research is needed to establish
whether prolonged mindfulness practice could be beneficial.
PMID- 29634387
TI - "Examining RAS pathway rewiring with a chemically inducible activator of RAS".
AB - RAS signaling pathways govern diverse cellular processes, are dynamic, and
exhibit marked plasticity. Yet, these features also present a considerable
obstacle to their study. Here, we report the use of a recently described RAS
rheostat, Chemically Inducible Activator of RAS (CIAR), to study two poorly
understood phenomena in RAS biology. First, we show that short-term activation of
wild type endogenous RAS can desensitize cells to EGF stimulation. Second, we
examine the phenomena of paradoxical activation of RAS/ERK signaling by RAF
inhibitors. Specifically, we characterize the effects on RAS/ERK signaling
kinetics of four RAF inhibitors, which stabilize distinct ATP-binding site
conformations. These results demonstrate the utility of CIAR in conducting
quantitative studies of complex features of RAS biology.
PMID- 29634388
TI - Abstracts from Women's Health 2018: Translating Research into Clinical Practice
May 4-6, 2018 Washington, DC.
PMID- 29634391
TI - Collaborating with Adolescents and Young Adults with Cancer as Advisors.
AB - There is a growing interest by both pediatric and medical oncologists to develop
adolescent and young adult (AYA)-specific cancer programs. Input from AYA
patients is critical to the successful design of these programs and to ensuring
that patient needs are met. As traditional in-person advisory groups may not be
the most appropriate means for engaging AYAs, this article describes the creation
and implementation of a novel, developmentally appropriate, and efficient Young
Adult Advisory Program. Reach, uptake, and participant demographic and clinical
characteristics are presented alongside lessons learned. The findings are offered
to assist others seeking to engage AYAs in program development and quality
improvement efforts.
PMID- 29634390
TI - Crosstalk between lysine methylation and phosphorylation of ATG16L1 dictates the
apoptosis of hypoxia/reoxygenation-induced cardiomyocytes.
AB - Post-translational modifications of autophagy-related (ATG) genes are necessary
to modulate their functions. However, ATG protein methylation and its
physiological role have not yet been elucidated. The methylation of non-histone
proteins by SETD7, a SET domain-containing lysine methyltransferase, is a novel
regulatory mechanism to control cell protein function in response to various
cellular stresses. Here we present evidence that the precise activity of ATG16L1
protein in hypoxia/reoxygenation (H/R)-treated cardiomyocytes is regulated by a
balanced methylation and phosphorylation switch. We first show that H/R promotes
autophagy and decreases SETD7 expression, whereas autophagy inhibition by 3-MA
increases SETD7 level in cardiomyocytes, implying a tight correlation between
autophagy and SETD7. Then we demonstrate that SETD7 methylates ATG16L1 at lysine
151 while KDM1A/LSD1 (lysine demethylase 1A) removes this methyl mark.
Furthermore, we validate that this methylation at lysine 151 impairs the binding
of ATG16L1 to the ATG12-ATG5 conjugate, leading to inhibition of autophagy and
increased apoptosis in H/R-treated cardiomyocytes. However, the cardiomyocytes
with shRNA-knocked down SETD7 or inhibition of SETD7 activity by a small molecule
chemical, display increased autophagy and decreased apoptosis following H/R
treatment. Additionally, methylation at lysine 151 inhibits phosphorylation of
ATG16L1 at S139 by CSNK2 which was previously shown to be critical for autophagy
maintenance, and vice versa. Together, our findings define a novel modification
of ATG16L1 and highlight the importance of an ATG16L1 phosphorylation-methylation
switch in determining the fate of H/R-treated cardiomyocytes.
PMID- 29634392
TI - Ragweed sublingual tablet immunotherapy: part I - evidence-based clinical
efficacy and safety.
AB - Sublingual tablet immunotherapy provides an attractive alternative approach to
allergen immunotherapy, as the allergen is administered as a rapidly dissolving
sublingual tablet. Part I of this two-part series on the ragweed sublingual
tablet describes the dose-ranging clinical work, the safety studies and the
clinical outcomes from the pivotal trials which provide clear evidence for
statistically significant and clinically meaningful benefit in the treatment of
patients suffering from ragweed-induced seasonal allergic rhinitis-conjunctivitis
with or without milder asthma. The robust results observed in the clinical trials
performed with the ragweed sublingual tablet are defined by the quality of their
study design, their use of a standardized allergen extract, their consistent
reproducibility in demonstrating therapeutic efficacy and their properly
quantified and graded safety data.
PMID- 29634393
TI - High-Throughput Flow Cytometric Method for the Simultaneous Measurement of CAR-T
Cell Characterization and Cytotoxicity against Solid Tumor Cell Lines.
AB - High-throughput flow cytometry is an attractive platform for the analysis of
adoptive cellular therapies such as chimeric antigen receptor T cell therapy (CAR
T) because it allows for the concurrent measurement of T cell-dependent cellular
cytotoxicity (TDCC) and the functional characterization of engineered T cells
with respect to percentage of CAR transduction, T cell phenotype, and measurement
of T cell function such as activation in a single assay. The use of adherent
tumor cell lines can be challenging in these flow-based assays. Here, we present
the development of a high-throughput flow-based assay to measure TDCC for a CAR-T
construct co-cultured with multiple adherent tumor cell lines. We describe
optimal assay conditions (such as adherent cell dissociation techniques to
minimize impact on cell viability) that result in robust cytotoxicity assays. In
addition, we report on the concurrent use of T cell transduction and activation
antibody panels (CD25) that provide further dissection of engineered T cell
function. In conclusion, we present the development of a high-throughput flow
cytometry method allowing for in vitro interrogation of solid tumor, targeting
CAR-T cell-mediated cytotoxicity, CAR transduction, and engineered T cell
characterization in a single assay.
PMID- 29634394
TI - Invasive Dental Treatment and Risk for a First Myocardial Infarction.
AB - Invasive dental treatment is suggested to be associated with an increased risk
for the development of cardiovascular events. We tested the hypothesis that the
incidence of a first myocardial infarction (MI) within 4 wk after invasive dental
treatments is increased. A registry-based case-control study within nationwide
health care and population registries in Sweden was performed. The case patients
included 51,880 individuals with a first fatal or nonfatal MI between January
2011 and December 2013. For each case, 5 control subjects, free from prior MI and
matched for age, sex, and geographic area of residence, were randomly selected
from the national population registry through risk set sampling with replacement,
resulting in 246,978 control subjects. Information on dental treatments was
obtained from the Dental Health Register, and the procedures were categorized
into invasive dental treatments or other dental treatments. Conditional logistic
regression was used to estimate odds ratios (ORs) for MI with corresponding 95%
confidence intervals (CIs). In addition to the matching variables, adjustments
were made for the following confounders: diabetes, previous cardiovascular
disease (CVD), CVD drug treatment, education, and income. The mean age for case
patients and controls subjects was 72.6 +/- 13.0 y and 72.3 +/- 13.0 y,
respectively. Case patients more often had previous CVD (49% vs. 23%; P < 0.001)
and diabetes (19% vs. 11%; P < 0.001) and received more treatment with CVD drugs
(68% vs. 56%; P < 0.001) than control subjects. There was no association between
invasive dental treatments during the 4 wk preceding the MI index date (crude OR
= 0.99; 95% CI, 0.92 to 1.06; adjusted for confounders OR = 0.98; 95% CI, 0.91 to
1.06). This study did not support the hypothesis of an increased incidence of MI
after recent invasive dental treatment.
PMID- 29634395
TI - Quantification of Histidine-Rich Protein 3 of Plasmodium falciparum.
AB - Malaria is a life-threatening infectious disease and continues to be a major
public health crisis in many parts of the tropical world. Plasmodium falciparum
is responsible for the majority of mortality and morbidity associated with
malaria. During the intraerythrocytic cycle, P. falciparum releases three
proteins with high histidine content as follows: histidine-rich protein 1 (HRP1),
histidine-rich protein 2 (HRP2), and histidine-rich protein 3 (HRP3). Currently,
most of the diagnostic tests of P. falciparum infection target HRP2, and a number
of monoclonal antibodies (mAbs) against HRP2 have been developed for use in HRP2
detection and quantification. When parasites have HRP2 deletions, the detection
of HRP3 could augment the sensitivity of the detection system. The combination of
both HRP2 and HRP3 mAbs in the detection system will enhance the test
sensitivity. In the HRP quantitative enzyme-linked immunosorbent assay (ELISA),
both HRP2 and HRP3 contribute to the result, but the relative contribution of
HRP2 and HRP3 was unable to investigate, because of the nonavailability of HRP3
specific antibody ELISA. Hence an ELISA test system based on HRP3 is also
essential for detection and quantification. There is not much documented in the
literature on HRP3 antigen and HRP3 specific mAbs and polyclonal antibodies
(pAbs). In the present study, recombinant HRP3 was expressed in Escherichia coli
and purified with Ni-NTA agarose column. The purified rHRP3 was used for the
generation and characterization of monoclonal and pAbs. The purification of
monoclonal and pAbs was done using a mixed-mode chromatography sorbent,
phenylpropylamine HyperCelTM. With the purified antibodies, a sandwich ELISA was
developed. The sandwich ELISA method was explored to detect and quantify HRP3 of
P. falciparum in the spent medium. The generated mAbs could be potentially used
for the detection and quantification of P. falciparum HRP3.
PMID- 29634397
TI - Aicar effect in early neuronal development.
AB - : The neurological manifestations of Lesch-Nyhan disease (LND) have been
attributed to the effect of hypoxanthine-guanine phosphoribosyltransferase (HPRT)
deficiency on nervous system development. An increase has been reported in the
levels of 5-aminoimidazole-4-carboxamide-1-beta-D-ribotide (AICAR) and its
triphosphate form ZTP in the red blood cells of patients with LND. AICAR
accumulation in the brain has been hypothesized as the cause of some of the
neurological symptoms of patients with LND. In this study, we examined the effect
of AICAR on the differentiation of neurons in the well-established human NTERA-2
cl.D1 (NT2/D1) embryonic carcinoma neurogenesis model. NT2/D1 cells were
differentiated along neuroectodermal lineages after exposure to 10-uM retinoic
acid (RA), with or without the addition of 25-uM AICAR to the culture medium. The
effect of AICAR on RA differentiation were examined through changes in the
expression of genes essential to neuronal differentiation, as well as genes from
the Wnt/beta-catenin, transforming growth factor beta (TGFbeta) and sonic
hedgehog (SHH) pathways. RESULTS: RA-induced differentiation in the NT2/D1 cells
significantly increased the expression of MAP2, NRG1, NRP1, NRP2, NEUROG1 and EN1
genes (genes linked to neural differentiation) compared with undifferentiated
NT2/D1 cells. We found that AICAR increased the expression of the SHH gene and
the WNT2 and WNT7B genes but did not influence the expression of genes whose
overexpression characterize early neurodevelopmental processes. CONCLUSION: The
relevance of the AICAR related changes in the SHH and Wnt/beta-catenin pathway
genes expression in the physiopathology of LND warrants further exploration.
PMID- 29634398
TI - Dietary Changes and Gut Dysbiosis in Children With Type 1 Diabetes.
AB - OBJECTIVE: Gut dysbiosis in type 1 diabetes (T1D), characterized by high
Bacteroides proportion, tends to reverse as T1D progresses, without reaching full
recovery. Since diet influences microbiota structure, the aim was to evaluate the
impact of dietary changes on Bacteroides proportion the first year of T1D
evolution. METHODS: Dietary intake was assessed by 24-hour recalls and
Bacteroides proportion by quantitative polymerase chain reaction, in 10 Mexican
children (11.6 +/- 1.92 years) with T1D at baseline and 3, 6 and 9 months' follow
up. Repeated measures analysis of variance and multiple linear regression were
performed to compare ingested nutrients in relation with Bacteroides proportion.
Effects over time were evaluated by mixed regression models. RESULTS: Patients
with T1D decreased their energy (2621.89 to 1867.85 kcal, p = 0.028), protein
(83.06 to 75.17 g, p = 0.012), and saturated fat consumption (40.83 to 25.23 g, p
= 0.031) from baseline to 3 months, without posterior changes. Bacteroides
proportion increased in the first months and tended to decrease at around 9
months (p > 0.05) and was positively correlated with saturated fat (beta = 3.70,
p = 0.009) and total carbohydrates (beta = 0.73, p = 0.005) at 3 months.
Carbohydrate consumption was related to decreased Bacteroides abundance over time
(beta = -14.9, p = 0.004), after adjusting for glycosylated hemoglobin.
CONCLUSIONS: Besides autoimmunity, diet appears to have a central role
determining the T1D-associated dysbiosis evolution.
PMID- 29634396
TI - Localized Delivery of Amifostine Enhances Salivary Gland Radioprotection.
AB - Radiotherapy for head and neck cancers commonly causes damage to salivary gland
tissue, resulting in xerostomia (dry mouth) and numerous adverse medical and
quality-of-life issues. Amifostine is the only Food and Drug Administration
approved radioprotective drug used clinically to prevent xerostomia. However,
systemic administration of amifostine is limited by severe side effects,
including rapid decrease in blood pressure (hypotension), nausea, and a narrow
therapeutic window. In this study, we demonstrate that retroductal delivery of
amifostine and its active metabolite, WR-1065, to murine submandibular glands
prior to a single radiation dose of 15 Gy maintained gland function and
significantly increased acinar cell survival. Furthermore, in vivo stimulated
saliva secretion was maintained in retrograde-treated groups at levels
significantly higher than irradiated-only and systemically treated groups. In
contrast to intravenous injections, retroductal delivery of WR-1065 or amifostine
significantly attenuated hypotension. We conclude that localized delivery to
salivary glands markedly improves radioprotection at the cellular level, as well
as mitigates the adverse side effects associated with systemic administration.
These results support the further development of a localized delivery system that
would be compatible with the fractionated dose regimen used clinically.
PMID- 29634399
TI - Down-Regulated miR-125a-5p Promotes the Reprogramming of Glucose Metabolism and
Cell Malignancy by Increasing Levels of CD147 in Thyroid Cancer.
AB - BACKGROUND: CD147 contributes to increased aerobic glycolysis through which it
promotes tumor growth. Accumulating evidence suggests that CD147 exerts a variety
of functions in thyroid cancer (TC) progression but the molecular mechanisms and
therapeutic value of CD147 remain unclear. METHODS: CD147 levels in TC tissues
were analyzed to assess its relationship with prognosis and disease progression.
A microRNA (miRNA) microarray and bioinformatics approach were used to identify
microRNA regulators of CD147 through measurement of the expression and functions
of these miRNAs in TC tissues and cell lines. Precursor miRNA-transfected cells
were used to assess regulation of CD147 by miRNA. The effect of miRNA on TC cells
via inhibition of glycolysis through CD147 targeting was also evaluated. RESULTS:
We found that miR-125a-5p regulates CD147 and is negatively correlated with its
expression and function. Moreover, CD147 knockdown or increased miR-125a-5p
expression significantly reduced the viability, migration, and invasion of TC
cells. Our mechanistic studies demonstrate that, through directly repressing the
expression of the CD147 protein, miR-125a-5p suppresses aerobic glycolysis and
lactate production and subsequently reduces TC cell viability, migration, and
invasion, thereby exerting tumor suppressor functions. CONCLUSIONS: The novel
connection identified between miR-125a-5p and CD147 suggests a new diagnostic and
prognostic role for miR-125a-5p and that CD147 inhibition may be a candidate
therapeutic target in the therapy of for TC.
PMID- 29634400
TI - Assessment of Oropharyngeal and Laryngeal Cancer Treatment Delay in a Private and
Safety Net Hospital System.
AB - Objective To examine the impact of treatment setting and demographic factors on
oropharyngeal and laryngeal cancer time to treatment initiation (TTI). Study
Design Retrospective case series. Setting Safety net hospital and adjacent
private academic hospital. Subjects and Methods Demographic, staging, and
treatment details were retrospectively collected for 239 patients treated from
January 1, 2014, to June 30, 2016. TTI was defined as days between diagnostic
biopsy and initiation of curative treatment (defined as first day of radiotherapy
[RT], surgery, or chemotherapy). Results On multivariable analysis, safety net
hospital treatment (vs private academic hospital treatment), initial diagnosis at
outside hospital, and oropharyngeal cancer (vs laryngeal cancer) were all
associated with increased TTI. Surgical treatment, severe comorbidity, and both
N1 and N2 status were associated with decreased TTI. Conclusion Safety net
hospital treatment was associated with increased TTI. No differences in TTI were
found when language spoken and socioeconomic status were examined in the overall
cohort.
PMID- 29634402
TI - Mental Imagery Induces Cross-Modal Sensory Plasticity and Changes Future Auditory
Perception.
AB - Can what we imagine in our minds change how we perceive the world in the future?
A continuous process of multisensory integration and recalibration is responsible
for maintaining a correspondence between the senses (e.g., vision, touch,
audition) and, ultimately, a stable and coherent perception of our environment.
This process depends on the plasticity of our sensory systems. The so-called
ventriloquism aftereffect-a shift in the perceived localization of sounds
presented alone after repeated exposure to spatially mismatched auditory and
visual stimuli-is a clear example of this type of plasticity in the audiovisual
domain. In a series of six studies with 24 participants each, we investigated an
imagery-induced ventriloquism aftereffect in which imagining a visual stimulus
elicits the same frequency-specific auditory aftereffect as actually seeing one.
These results demonstrate that mental imagery can recalibrate the senses and
induce the same cross-modal sensory plasticity as real sensory stimuli.
PMID- 29634401
TI - Modulation of mRNA Translation and Cell Viability by Influenza A Virus Derived
Nonstructural Protein 1.
AB - Translation of in vitro transcribed messenger RNA (mRNA) is known to be
compromised by cell's innate immune responses. Herein we show that when mRNA
encoding nonstructural protein 1 (NS1), an immune evasion gene derived from
influenza A virus, is co-delivered with mRNA encoding green fluorescent protein
(GFP), higher GFP expression can be observed in four different interferon
competent cell types within 6 h, indicating NS1's wide host range property and
rapid counter response to the cells' innate immune response. Enhanced mRNA
translation correlates with reduced interferon production in all tested cell
types and substituting a small portion of luciferase mRNA with NS1 mRNA enhances
luciferase production compared to the same dose composing of only luciferase mRNA
although in a cell type specific manner. Toxicity caused by transfection of
unmodified mRNA is mitigated with the delivery of NS1 mRNA and is observed only
in NS1 without cleavage and polyadenylation specificity factor 30 kda (CPSF30)
inhibition function. Conversely, delivery of mRNA encoding NS1 with CPSF30
inhibition function aggravated toxicity. Overall, we demonstrate that NS1
enhanced mRNA transfection through active evasion of innate immune responses and
modulated cellular viability during mRNA transfection.
PMID- 29634403
TI - Influence of UV dose on the UV/H2O2 process for the degradation of carbamazepine
in wastewater.
AB - This study evaluates the influence of UV dose on degradation of carbamazepine
(CBZ) in wastewater under UV-C (lambda = 254 nm) photolysis with and without
H2O2. The rate of degradation of CBZ exhibited a direct dependence on the
intensity of incident UV irradiation as the rate of degradation was observed to
increase linearly (R2 = 0.98) with UV intensity between 1.67 and 8.95 * 1017
photons/s. More than 95% of the CBZ that spiked in wastewater rapidly degraded
within 4 min with a first-order rate constant of 1.2 min-1 for an optimum H2O2
dose of 100 mg/L. Bench-scale continuous flow reactor experiments also showed
that CBZ degraded with first-order kinetics at a rate constant of 1.02 min-1. The
kinetic parameters obtained for a continuous bench-scale reactor were in good
agreement with the relationships developed through batch experiments with only a
marginal deviation of +/- 6.5%. The relationship between UV intensity and CBZ
degradation rate obtained in this study was extrapolated to the UV disinfection
unit of a wastewater treatment plant to predict possible degradation of CBZ
during UV disinfection. The addition of 100 mg/L of H2O2 to the secondary-treated
effluent entering the UV disinfection unit is predicted to achieve over 60%
degradation of CBZ.
PMID- 29634404
TI - Short- and Long-term Effects of Neuromodulators for Unexplained Chronic Cough.
AB - Objective To evaluate the short- and long-term effects of tricyclic
antidepressants (TCAs) and gabapentin in the treatment of unexplained chronic
cough (UCC). Study Design Prospective cohort. Setting Tertiary care hospital.
Subjects and Methods Patients seen between July 2016 and March 2017 were included
following a formal workup and clinical evaluation indicative of UCC. Patients
were placed on either a TCA (amitriptyline or nortriptyline) or gabapentin.
Leicester Cough Questionnaire (LCQ) and percentage improvement scores were
obtained prior to treatment initiation and at 2 and 6 months of neuromodulator
treatment. A linear mixed model assessed the change in LCQ score between the 2
treatment time points and baseline scores. Results Twenty-eight patients
completed a total of 37 neuromodulator trials. Gabapentin demonstrated
statistically significant improvement in LCQ scores at 2 months (2.48 points, P<=
.01) and 6 months (5.40 points, P = .01) of treatment as compared with baseline.
Patients taking TCAs demonstrated statistically significant improvement of LCQ
scores at 2 months of treatment (3.46 points, P<= .01). However, the majority of
patients discontinued treatment, most commonly secondary to the development of
tachyphylaxis after 2 months, precluding analysis at 6 months. Conclusion While
both neuromodulator classes demonstrated short-term benefit, the majority of
patients discontinue treatment prior to 6 months, with patients taking TCAs
discontinuing more frequently than patients on gabapentin. Future investigations
are warranted evaluating tachyphylaxis and the utility of dual treatment
therapies designed to address peripheral and central sensory pathways involved in
UCC.
PMID- 29634405
TI - Social priming modulates the neural response to ostracism: a new exploratory
approach.
AB - The present study sought to evaluate whether social priming modulates neural
responses to ostracism, such that making arbitrary interpersonal decisions
increases the experience of social exclusion more than making arbitrary physical
decisions. This exploratory event-related potential (ERP) study utilized the
Lunchroom task, in which adults (N = 28) first selected one of two options that
included either interpersonal or physical descriptors. Participants then received
ostracism outcome feedback within a lunchroom scenario in which they were either
excluded (e.g. sitting alone) or included (e.g. surrounded by others). While the
N2 component was sensitive to priming decision condition, only the P3 component
discriminated between ostracism decisions. Further inspection of the neural
sources indicated that the amygdala, anterior cingulate cortex, and superior
temporal gyrus were more engaged for exclusion than inclusion conditions during
both N2 and P3 temporal windows. Evaluation of temporal source dynamics suggest
that the effects of ostracism are predominant between 250-500 ms and were larger
following interpersonal than physical decisions. These results suggest that being
ostracized evokes a larger neural response that is modulated following priming of
the social brain.
PMID- 29634406
TI - Key process parameters involved in the treatment of olive mill wastewater by
membrane bioreactor.
AB - The Olive Mill Wastewater (OMWW) biodegradation in an external ceramic membrane
bioreactor (MBR) was investigated with a starting acclimation step with a
Ultrafiltration (UF) membrane (150 kDa) and no sludge discharge in order to
develop a specific biomass adapted to OMWW biodegradation. After acclimation
step, UF was replaced by an Microfiltration (MF) membrane (0.1 um). Sludge
Retention Time (SRT) was set around 25 days and Food to Microorganisms ratio
(F/M) was fixed at 0.2 kgCOD kgMLVSS-1 d-1. At stable state, removal of the main
phenolic compounds (hydroxytyrosol and tyrosol) and Chemical Oxygen Demand (COD)
were successfully reached (95% both). Considered as a predominant fouling factor,
but never quantified in MBR treated OMWW, Soluble Microbial Products (SMP)
proteins, polysaccharides and humic substances concentrations were determined
(80, 110 and 360 mg L-1 respectively). At the same time, fouling was easily
managed due to favourable hydraulic conditions of external ceramic MBR.
Therefore, OMWW could be efficiently and durably treated by an MF MBR process
under adapted operating parameters.
PMID- 29634407
TI - Hand Switching Costs are not Uniform Across Response Components.
AB - We investigated the extent to which a complex finger sequence impacts on hand
switching costs in a sequential action. Response component latencies (premotor,
motor, and movement) were compared in no-switch (same finger performed the action
of pressing and reaching) and switch conditions (pressing with one finger and
completing the reaching action with the homologous finger from the other hand).
Results showed that the switch condition presented longer latency for premotor
and movement components. For the motor component, however, switch condition was
faster. This expands the previous literature investigating switching costs using
simple finger movements in more complex tasks. A mechanical explanation of the
interplay between response subcomponents is provided to explain the inversion of
response pattern for the motor component.
PMID- 29634408
TI - Mice Lacking RIP3 Kinase are not Protected from Acute Radiation Syndrome.
AB - Exposure to high doses of ionizing radiation can cause lethal injury to normal
tissue, thus inducing acute radiation syndrome. Acute radiation syndrome is
caused by depletion of bone marrow cells (hematopoietic syndrome) and irreparable
damage to the epithelial cells in the gastrointestinal tract (gastrointestinal
syndrome). Although radiation initiates apoptosis in the hematopoietic and
gastrointestinal compartments within the first few hours after exposure,
alternative mechanisms of cell death may contribute to injury in these
radiosensitive tissues. In this study, we utilized mice lacking a critical
regulator of necroptosis, receptor interacting protein 3 (RIP3) kinase, to
characterize the role of RIP3 in normal tissue toxicity after irradiation. Our
results suggest that RIP3-mediated signaling is not a critical driver of acute
radiation syndrome.
PMID- 29634409
TI - Policy responses during the Trump administration to older people's growing
economic risk exposure.
AB - Economic risk exposure through increased labor market volatility and growing
caregiving responsibilities has risen for older Americans. At the same time, key
protections such as unemployment insurance and Social Security have declined,
while other protections-particularly in the private market-are limited or
nonexistent. Social policy can lower the chance of risk exposure and the
associated costs, especially with respect to unemployment and caregiving. In
virtually all instances, however, the Trump administration has already moved to
weaken existing protections. And it has offered either no proposals or very
limited proposals to increase protections in the private sector As a result, an
aging population will increasingly face rising economic risks on their own.
PMID- 29634410
TI - Low Expression of Long Noncoding RNA IRAIN Is Associated with Poor Prognosis in
Non-M3 Acute Myeloid Leukemia Patients.
AB - AIMS: Deregulation of the long noncoding RNA IRAIN has been identified in several
cancers. However, the expression pattern of IRAIN and its clinical implication in
acute myeloid leukemia (AML) are unknown. The purpose of this study was to
investigate the expression status of IRAIN and its clinical significance in non
M3 AML patients. METHODS: Quantitative reverse transcription-polymerase chain
reaction was performed to examine IRAIN transcript levels in 64 de novo non-M3
AML patients and 51 healthy controls. The association of IRAIN expression with
clinicopathological factors was statistically analyzed. RESULTS: Compared with
the controls, IRAIN was significantly downregulated in non-M3 AML patients (p <
0.001). The median of IRAIN expression divided the non-M3 AML patients into IRAIN
low-expressing (IRAINlow) and IRAIN high-expressing (IRAINhigh) groups. The
IRAINlow group tended to have higher white blood cell count and blast counts and
had markedly shorter overall survival (OS) and relapse-free survival (RFS) (p =
0.044 and 0.009, respectively). In addition, patients with refractory response to
chemotherapies and those with subsequent relapse had lower initial IRAIN
expression. Multivariate analysis further identified IRAIN transcript levels as
an independent prognostic factor for both RFS and OS. CONCLUSIONS: Our finding
suggests that IRAIN transcript levels may be a useful biomarker for the prognosis
of non-M3 AML patients.
PMID- 29634411
TI - Collision course? Donald Trump, Paul Ryan, and the fate of Medicare.
AB - The election of Donald Trump as president of the United States raises questions
about the future of Medicare. How will Medicare fare under Republican-led
government? There are several compelling reasons that the Trump administration
and Congressional Republicans might avoid Medicare reform, including the
political risks of taking on a popular program, the difficulties the party has
encountered in trying to dismantle the Patient Protection and Affordable Care Act
(ACA), the importance of older Americans to the GOP coalition, and President
Trump's views about Medicare. However, because of fiscal pressures and the
commitment of Speaker of the House Paul Ryan and other Republicans to entitlement
reform, the GOP nonetheless could end up attempting to make major changes in
Medicare. Alternatively, Republican efforts to repeal and undermine the ACA could
unintentionally enhance the political fortunes of proposals to expand Medicare.
Consequently, the fate of Medicare during the Trump administration remains highly
uncertain.
PMID- 29634412
TI - Developing content for an interprofessional training on fear of cancer recurrence
(FCR): Key informant interviews of healthcare professionals, researchers and
cancer survivors.
AB - BACKGROUND: Growing numbers of cancer survivors are receiving healthcare through
primary care practitioners, who often lack cancer-specific expertise to
effectively treat survivors' concerns. Addressing that gap, this study aimed to
develop content for a training on fear of cancer recurrence (FCR), a common
concern in survivorship. METHODS: Grounded in naturalistic inquiry, 42 key
informant interviews were conducted, transcribed, and analyzed for themes.
Participants were healthcare professionals, researchers, and cancer survivors
Results: Results included themes ranging from: rich conceptualizations of FCR,
opportunities and challenges for addressing FCR in healthcare settings,
interventions to address FCR, and important information to include in a training
on FCR. CONCLUSIONS: This paper provides content for an interprofessional
training and highlights the importance of developing trainings for
interprofessional teams, given identified barriers that physicians face in
addressing FCR and other psychosocial concerns of survivors in primary care.
PMID- 29634414
TI - PTPN12 Affects Nasopharyngeal Carcinoma Cell Proliferation and Migration Through
Regulating EGFR.
AB - OBJECTIVE: Nasopharyngeal carcinoma (NPC) shows the leading morbidity in
otorhinolaryngological malignant tumor. It is a common malignancy in China with
obvious reginal distribution. NPC is a polygenic disease that is affected by
numerous factors. Protein tyrosine phosphatase nonreceptor type 12 (PTPN12)
regulates multiple tumor proliferation and development, including breast cancer
and colon cancer. However, the role of PTPN12 in NPC occurrence and development
has not been elucidated. PATIENTS AND METHODS: NPC cell line CNE2 was cultured in
vitro and divided into three groups, including control, empty plasmid, and PTPN12
groups. PTPN12 mRNA and protein expressions were tested by real-time polymerase
chain reaction and Western blot. CNE2 cell proliferation was detected by MTT
assay. Cell migration was determined by wound healing assay. Cell apoptosis was
evaluated by caspase 3 activity detection. Epidermal growth factor receptor
(EGFR) expression was assessed by Western blot. RESULTS: PTPN12 plasmid
transfection increased PTPN12 mRNA and protein expressions, suppressed cell
proliferation and migration, reduced EGFR level, and enhanced caspase 3 activity
compared with control and empty plasmid groups (p < 0.05). CONCLUSIONS: PTPN12
regulates NPC proliferation and migration through negative regulating EGFR. It
could be treated as a molecular target for NPC diagnosis and prognosis analysis.
PMID- 29634413
TI - Impact of sociodemographic characteristics on underemployment in a longitudinal,
nationally representative study of cancer survivors: Evidence for the importance
of gender and marital status.
AB - BACKGROUND: We examined the longitudinal association between sociodemographic
factors and an expanded definition of underemployment among those with and
without cancer history in the United States. METHODS: Medical Expenditure Panel
Survey data (2007-2013) were used in multivariable regression analyses to compare
employment status between baseline and two-year follow-up among adults aged 25-62
years at baseline (n = 1,614 with and n = 39,324 without cancer). Underemployment
was defined as becoming/staying unemployed, changing from full to part-time, or
reducing part-time work significantly. Interaction effects between cancer
history/time since diagnosis and predictors known to be associated with
employment patterns, including age, gender/marital status, education, and health
insurance status at baseline were modeled. RESULTS: Approximately 25% of cancer
survivors and 21% of individuals without cancer reported underemployment at
follow-up (p = 0.002). Multivariable analyses indicated that those with a cancer
history report underemployment more frequently (24.7%) than those without cancer
(21.4%, p = 0.002) with underemployment rates increasing with time since cancer
diagnosis. A significant interaction between gender/marital status and cancer
history and underemployment was found (p = 0.0004). There were no other
significant interactions. Married female survivors diagnosed >10 years ago
reported underemployment most commonly (38.7%), and married men without cancer
reported underemployment most infrequently (14.0%). A wider absolute difference
in underemployment reports for married versus unmarried women as compared to
married versus unmarried men was evident, with the widest difference apparent for
unmarried versus married women diagnosed >10 years ago (18.1% vs. 38.7%).
CONCLUSION: Cancer survivors are more likely to experience underemployment than
those without cancer. Longer time since cancer diagnosis and gender/marital
status are critical factors in predicting those at greatest risk of
underemployment. The impact of cancer on work should be systematically studied
across sociodemographic groups and recognized as a component of comprehensive
survivorship care.
PMID- 29634415
TI - Current and Future Approaches for Effective Cancer Imaging and Treatment.
AB - Cancer poses a major health problem, not only due to cancer-related deaths but
also because of treatment toxicities. This review discusses early diagnosis and
strategies to overcome treatment difficulties, to facilitate recovery, and
prevent deaths. Generally, noninvasive techniques such as computed tomography
(CT), magnetic resonance imaging (MRI), single photon emission computed
tomography (SPECT) and positron emission computed tomography (PET), and their
hybrid systems, including SPECT/CT, PET/CT, and PET/MRI, are used in diagnosis of
cancer. Cancer treatment in clinics still comprises conventional methods such as
chemotherapy, radiotherapy, and surgery. However, these techniques and methods
are often inadequate. Therefore, new approaches, including the formulation of
actively and/or passively targeted nanosized drug delivery systems and combined
treatment protocols, are being investigated. In this article, conventional cancer
imaging and treatment are reviewed. In addition, the formulation of nanosized
systems and their use in cancer treatment are discussed and combined diagnostic
and therapeutic (theranostic) approach are proposed as additional cancer
therapies.
PMID- 29634416
TI - Samsum Ant Venom Exerts Anticancer Activity Through Immunomodulation In Vitro and
In Vivo.
AB - Samsum ant venom (SAV) is a rich repertoire of natural compounds with tremendous
pharmacological properties. The present work explores its antineoplastic activity
in different cell lines followed by its confirmation in vivo. The cell lines,
HepG2, MCF-7, and LoVo showed the differential dose-dependent antineoplastic
effect with an increased level of significant cytokines, including Interleukin
(IL)-1beta, IL-6, and IL-8 and transcription factor, Nuclear factor-kappa B (NF
kappaB). However, the venom was more effective on HepG2 and MCF-7 cells than LoVo
cells. Furthermore, the extract was administered to four groups (n = 8) of rats.
Group I was taken as a control without any treatment, whereas group II received
CCl4 (1 mL/kg) for induction of mild hepatoma. Group III was given 100 MUg/kg of
SAV twice a week for 1 month. Group IV was pretreated with the CCl4 (like group
II) followed by dosing with SAV (100 MUg/kg) for 2 months as per the authors'
prestandardized dosing schedule. Intriguingly, the rats of group IV demonstrated
significant decrease in key cytokines, IL-1beta and IL-6, as well as the
transcription factors, including Tumor Necrosis Factor-alpha (TNF-alpha), NF
kappaB, and Inhibitor-kappa B (I-kappaB) as compared with group II. Furthermore,
increase in IL-10 and First apoptosis signal (FAS) in the same group confirmed
that SAV induces apoptosis at the given dose through immunomodulation leading to
enhanced tumor killing in vivo. Hence, SAV has an excellent antineoplastic
activity that can be directly used to treat certain types of cancer. Moreover,
study of its ingredients can pave ways to design novel anticancer drugs. However,
further in-depth investigation is required before its clinical trials.
PMID- 29634417
TI - 64Cu-Labeled Phosphonate Cross-Bridged Chelator Conjugates of c(RGDyK) for PET/CT
Imaging of Osteolytic Bone Metastases.
AB - OBJECTIVE: The goal of this research was to evaluate c(RGDyK) conjugated to
phosphonate-based cross-bridged chelators using Cu-free click chemistry in the
4T1 mouse mammary tumor bone metastasis model in comparison with 64Cu-CB-TE2A
c(RGDyK), which previously showed selective binding to integrin alphavbeta3 on
osteoclasts. EXPERIMENTAL: Two phosphonate-based cross-bridged chelators (CB
TE1A1P and CB-TE1K1P) were conjugated to c(RGDyK) through bio-orthogonal strain
promoted alkyne-azide cycloaddition. In vitro and in vivo evaluation of the 64Cu
labeled TE1A1P-DBCO-c(RGDyK) (AP-c(RGDyK)), TE1K1P-PEG4-DBCO-c(RGDyK) (KP
c(RGDyK)), and CB-TE2A-c(RGDyK) were compared in the 4T1 mouse model of bone
metastasis. The affinities of the unconjugated and chelator-c(RGDyK) analogs for
alphavbeta3 integrin were determined using a competitive-binding assay. For in
vivo evaluation, BALB/c mice were injected with 1 * 105 4T1/Luc cells in the left
ventricle. Formation of metastases was monitored by bioluminescence imaging (BLI)
followed by small-animal PET/CT 2 h postinjection of radiotracers. RESULTS: The
chelator-peptide conjugates showed similar affinity to integrin alphavbeta3, in
the low nM range. PET imaging demonstrated a higher uptake in bones having
metastases for all 64Cu-labeled c(RGDyK) analogs compared with bones in nontumor
bearing mice. The correlation between uptake of 64Cu-AP-c(RGDyK) and 64Cu-KP
c(RGDyK) in bones with metastases based on PET/CT imaging, and osteoclast number
based on histomorphometry, was improved over the previously investigated 64Cu-CB
TE2A-c(RGDyK). CONCLUSION: These data suggest that the phosphonate chelator
conjugates of c(RDGyK) peptides are promising PET tracers suitable for imaging
tumor-associated osteoclasts in bone metastases.
PMID- 29634419
TI - Correction to: miR-19-5p Enhances Tumorigenesis in Human Colorectal Cancer Cells
by Targeting TSPYL5 by Huang, C., and Luo, H. (2018). DNA Cell Biol 37(1), 23-30.
DOI: 10.1089/dna.2017.3804.
PMID- 29634418
TI - Demethylation of GFRA4 Promotes Cell Proliferation and Invasion in Hirschsprung
Disease.
AB - Hirschsprung disease (HSCR) is congenital intestinal aganglionosis attributed to
a failure to migrate and survive of neural crest-derived cells. Glial cell
derived neurotrophic factor alpha 4 (GFRA4) is expressed in the derivatives of
the neural crest in the enteric nervous system, but whether it is related with
HSCR still remains unclear. This study was designed to investigate its role and
epigenetic mechanisms in HSCR in vitro. The expression of GFRA4 mRNA in HSCR
tissues was determined using quantitative real-time PCR analysis. In this study,
we found that GFRA4 expression was significantly reduced in HSCR tissues and
cells through GFRA4 methylation by quantitative real-time PCR analysis,
methylation-specific PCR, and bisulfite sequencing PCR. DNA methyltransferase
inhibitor, 5-AzaC, concomitantly upregulated the protein levels of GFRA4, as well
as DNA methyltransferase1 (DNMT1) and DNMT2 in SH-5YSY cells. Moreover, we found
upregulated GFRA4 significantly promoted cell proliferation, cell cycle
progression and invasion, but inhibited apoptosis in SH-5YSY cells, whereas GFRA4
knockdown caused the opposite effects in SH-5YSY cells by CCK-8, 5-ethynyl-2'
deoxyuridine (EdU), flow cytometry, and Transwell assays. In conclusion, our
results support that aberrant CpG hypermethylation at least partly accounts for
GFRA4 silencing in HSCR, which impairs its protective role in enteric nervous
system.
PMID- 29634420
TI - HMGA1 Mediated High-Glucose-Induced Vascular Smooth Muscle Cell Proliferation in
Diabetes Mellitus: Association Between PI3K/Akt Signaling and HMGA1 Expression.
AB - High-mobility group protein A1 (HMGA1), an architectural transcription factor,
was found to regulate multiple gene expression in mammals. Recent studies firmly
indicate an association between HMGA1 and type 2 diabetes. However, the presence
and function of HMGA1 in diabetic vasculopathy has not been substantiated. in
this study, we first determined the HMGA1 changes in aorta tissue of diabetic
rats. In streptozotocin-induced diabetic rats, a higher level of blood glucose
and plasma lipids, an increase of intima-media thickness, and a significant
upregulation and accumulation of HMGA1, mainly in the nucleus and around the
nuclear membrane of vascular smooth muscle cells (VSMCs), were detected. In
vitro, high glucose increased HMGA1 expression and promoted proliferation of
VSMCs, which could be blunted by Wortmannin and LY294002, inhibitors of PI3K/Akt
pathway, and specificity protein 1 (SP1) siRNA. Moreover, knockdown of HMGA1
could weaken the upregulation of cyclin D1 accompanied by high-glucose-induced
HMGA1 in VSMCs. Taken together, these findings demonstrate the vital role of
PI3K/Akt-SP1-HMGA1 pathway in high-glucose-induced VSMCs proliferation.
PMID- 29634421
TI - Protein O-Glucosyltransferase 1 Expression Influences Formation of Differentiated
Myotubes in C2C12 Cell Line.
AB - The protein O-glucosyltransferase 1 (Poglut1) links O-glucose to epidermal growth
factor-like repeats harboring the C1XSX(P/A)C2 consensus sequence. Poglut1 is a
ubiquitous endoplasmic reticulum-resident protein largely found in metazoans, but
only about 50 proteins possess this consensus sequence. Among them, Notch
receptors have multiple O-glucosylation sites and their activation depends on
this status. In adult skeletal muscle, Notch signaling contributes to the
maintenance of satellite cell (SC) quiescence and the proliferation of myoblasts
after SC activation. To address the role of Poglut1 in myogenesis, we created two
stable C2C12 cell lines where Poglut1 was downexpressed by 42% and 81%, and
assessed their ability to differentiate. We showed that Poglut1 knockdown reduced
Notch signaling and largely affected the key regulators of myogenic
differentiation, with PAX7 decrease and MYOD increase. This perturbed Pax7/MyoD
expression balance led to a premature myogenic differentiation and an increase in
myotube size, accentuated in case of strong Poglut1 downexpression. Differences
observed between myotubes of the two Poglut1 knockdown cell lines could reflect
dissimilar fusion defects. We concluded that Poglut1 contributes to myogenesis by
regulating Notch signaling and defining, directly or indirectly, the proportion
of cells that commit differentiation.
PMID- 29634422
TI - The Trump Administration's assault on health and social programs: potential
consequences for older Hispanics.
AB - Health and social welfare policy proposals put forth by the Trump administration
and Republican-controlled Congress could have huge impacts on low-income groups.
This paper focuses on older Hispanics, with an emphasis on the Mexican-origin
population who form the largest Hispanic subgroup. A demographic portrait is
presented that indicates that Mexican-origin individuals have less wealth and
lower incomes than do non-Hispanic Whites. Given rising health care costs, lower
use of nursing homes, and greater propensity to live with grown children,
prevailing economic disadvantage has serious consequences for this population.
More restrictive immigration policies aimed at limiting family reunification
could have intergenerational caregiving consequences. In addition, because of
labor-force disadvantages, low-income Mexican-origin adults are less likely to
have private insurance compared to non-Hispanic Whites as they approach
retirement. Consequently, Mexican-origin older adults tend to rely on Medicaid
when eligible; in contrast, late-life migrants-who do not qualify for federally
funded benefits for at least five years-and unauthorized migrants-who are
excluded from federally funded benefits-have extremely limited access to safety
net provisions. The potential effects of proposed cutbacks in health care
financing on older Hispanics are discussed.
PMID- 29634423
TI - Morphologically Cryptic Species of the Astyanax bimaculatus "Caudal Peduncle
Spot" Subgroup Diagnosed Through Cytogenetic Characters.
AB - Astyanax is a species-rich polyphyletic genus distributed between the southern
United States and central Argentina. The genus contains groups of cryptic
species, which are difficult to distinguish, and are sometimes identified
wrongly. Basic and molecular cytogenetic analyses were run on Astyanax abramis
and three junior synonyms of Astyanax lacustris: Astyanax altiparanae, from the
upper Parana River basin, Astyanax asuncionensis, from the lower Parana basin,
and Astyanax jacuhiensis, from the upper Uruguay River. These species all belong
to the Astyanax bimaculatus group. All species presented 2n = 50 chromosomes and
single nucleolar organizing regions (NORs). In A. altiparanae, the karyotype was
6m + 28sm+4st+12a and the NORs were present in pair 20, while A. jacuhiensis was
8m + 28sm+6st+8a, with NORs in pair 22, and A. asuncionensis was 8m +
24sm+6st+12a, with NORs in pair 20. A. abramis was 4m + 30sm+8st+8a with NORs in
pair 22. Fluorescence in situ hybridization revealed single 5S rDNA cistrons in
A. altiparanae and A. asuncionensis, and multiple (4) cistrons in A. abramis and
A. jacuhiensis. Heterochromatin had a distinct distribution in each species, but
was predominantly centromeric and interstitial proximal. In A. abramis and A.
asuncionensis, the first acrocentric chromosome pair presented centromeric,
telomeric, and interstitial-proximal heterochromatin in the long arm, which may
represent the presence of homologous chromosomes in these species. While there
are some cytogenetic similarities, differences in the location of 5S rDNA,
distribution of heterochromatin, and karyotype formulae contribute to the
differentiation of the study species, and support the identification of
phylogenetically proximate groups in the "Astyanax clade."
PMID- 29634424
TI - Financing long-term services and supports: challenges, goals, and needed reforms.
AB - The need for long-term services and supports (LTSS) presents a growing financial
burden on disabled individuals, their families, and state Medicaid budgets.
Strategies for addressing this problem pose both a policy design and a political
challenge. This article begins by explaining the choices and trade-offs policy
makers face in designing new policy and offers the outlines of a specific
approach to navigating these. It then concludes with an assessment of current
LTSS policy directions and politics-specifically, the movement to constrain,
rather than enhance, federal financing for LTSS and the counterpressures
necessary to strengthen meaningful insurance protection. While the political
environment has become even less conducive to expansion of public benefits, the
underlying problem of LTSS financing will grow and persist. And politics change.
Thus, in this paper we offer and explain the choices we would make to bridge the
political divide-specifically, a proposal to develop a new public-private
partnership based on a public program to cover "back-end" or catastrophic costs
plus measures making private insurance more attractive for the "up-front" risk,
an approach that has recently been endorsed by a number of bipartisan groups.
PMID- 29634425
TI - Using ecological momentary assessment to examine the relationship between craving
and affect with opioid use in a clinical trial of clonidine as an adjunct
medication to buprenorphine treatment.
AB - BACKGROUND: In a recent clinical trial (NCT00295308), we demonstrated that
clonidine decreased the association between opioid craving and moderate levels of
stress and affect in patients receiving buprenorphine-based opioid agonist
therapy. OBJECTIVES: To examine the relationship between illicit opioid use and
craving and affect during the evaluation of clonidine as an adjunct medication in
buprenorphine treatment for opioid use disorder. Secondarily, to examine whether
those relationships are driven by within- or between-participant factors.
METHODS: This was a secondary data analysis from our original trial. Participants
(N = 108, female: n = 23, male n = 85) receiving buprenorphine were randomized to
receive adjunct clonidine or placebo. Participants used portable electronic
devices to rate stress, mood, and craving via ecological momentary assessment
(EMA) four times randomly each day. To associate the EMA data with illicit opioid
use, each EMA report was linked to participants' next urine drug screen (thrice
weekly). We used generalized linear mixed models to examine the interaction
between treatment group and illicit opioid use, as well as to decompose the
analysis into within- and between-participant effects. RESULTS: Craving for
opioids and cocaine was increased when participants were using illicit opioids;
this effect was greater in the clonidine group. For affect, mood was poorer
during periods preceding opioid-positive urines than opioid-negative urines for
clonidine-treated participants, whereas there was no difference for placebo
participants. CONCLUSION: This secondary analysis provides evidence that for
participants maintained on opioid agonist therapy, clonidine minimized the
behavioral impact of moderate levels of negative affect and craving.
PMID- 29634427
TI - Sleep Quality, Fatigue, and Quality of Life Among Teenage and Young Adult Cancer
Survivors.
AB - PURPOSE: Teenage and young adult (TYA) cancer survivors experience a range of
health-related problems during and beyond the active treatment period. This study
examined associations between fatigue, sleep quality, and health-related quality
of life (HRQOL) among TYA survivors. METHODS: Self-reported data on sleep quality
(Pittsburgh Sleep Quality Index), fatigue (Functional Assessment of Chronic
Illness Therapy Fatigue), and HRQOL (EuroQoL-5) were gathered from United Kingdom
TYA survivors between 13 and 24 years of age. TYA survivors were stratified into
those on (n = 67) and off (n = 135) treatment. Linear regression analyses were
used with HRQOL as the dependent variable to investigate potential associations.
Fatigue and sleep were entered separately and together in the same model. Age at
survey and diagnosis, gender, and ethnicity were included as covariates. RESULTS:
85.07% of TYAs on and 62.69% of TYAs off treatment had sleep quality scores
suggestive of clinically significant sleep disorders. 56.72% of TYAs on and
26.67% of TYAs off treatment reported clinically significant levels of fatigue.
Strong independent associations between sleep (B = 0.05, 95% confidence intervals
[CI] = 0.03-0.07, p < 0.001), fatigue (B = 0.02, 95% CI = 0.01-0.03, p < 0.001),
and HRQOL were observed among TYA survivors on treatment. TYAs off treatment
showed moderate to strong associations between sleep (B = 0.04, 95% CI = 0.02
0.05, p < 0.001) and fatigue (B = 0.02, 95% CI = 0.01-0.02, p < 0.001), and
HRQOL, when examined separately. Sleep was not independently associated with
HRQOL among TYAs off treatment (B = 0.01, 95% CI = -0.01 to 0.02, p = 0.296).
CONCLUSION: The significant associations reported suggest that sleep quality and
fatigue are potential modifiable factors associated with HRQOL. Further research
is warranted to understand the direction of associations.
PMID- 29634426
TI - Potential roles of tumor-derived exosomes in angiogenesis.
AB - INTRODUCTION: Tumor-derived exosomes (TEX) and their role in tumor progression by
accelerating angiogenesis are of great current interest. A better understanding
of the mechanisms underlying TEX-blood vessels cross-talk may lead to
improvements in current diagnosis, prognosis and treatment of cancer. Areas
covered: For solid tumors, an adequate blood supply is of critical importance for
their development, growth and metastasis. TEX, virus-size vesicles which
circulate freely throughout body fluids and accumulate in the tumor
microenvironment (TME), have been recognized as a new contributor to
angiogenesis. TEX serve as a communication system between the tumor and various
normal cells and are responsible for functional reprogramming of these cells. The
molecular and genetic cargos that TEX deliver to the recipient cells involved in
angiogenesis promote its induction and progression. The targeted inhibition of
TEX pro-angiogenic functions might be a novel therapeutic approach for control of
tumor progression. Expert opinion: TEX circulating in body fluids of cancer
patients carry a complex molecular and genetic cargo and are responsible for
phenotypic and functional reprogramming of endothelial cells and other normal
cells residing in the TME.
PMID- 29634428
TI - Patellar Fractures.
PMID- 29634429
TI - Racial Inequalities in Oral Health.
AB - Together with other social categories, race has been at the core of much
scholarly work in the area of humanities and social sciences, as well as a host
of applied disciplines. In dentistry, debates have ranged from the use of race as
a criterion for the recommendation of specific dental procedures to a means of
assessing inequalities in a variety of outcomes. What is missing in these
previous discussions, though, is a broader understanding of race that transcends
relations with genetic makeup and other individual-level characteristics. In this
review, we provide readers with a critique of the existing knowledge on race and
oral health by answering the following 3 guiding questions: (1) What concepts and
ideas are connected with race in the field of dentistry? (2) What can be learned
and what is absent from the existing literature on the topic? (3) How can we
enhance research and policy on racial inequalities in oral health? Taken
together, the reviewed studies rely either on biological distinctions between
racial categories or on other individual characteristics that may underlie racial
disparities in oral health. Amidst a range of individual-level factors, racial
inequalities have often been attributed to lower socioeconomic status and "health
damaging" cultural traits, for instance, patterns of and reasons for dental
visits, dietary habits, and oral hygiene behaviors. While this literature has
been useful in documenting large and persistent racial gaps in oral health, wider
sociohistorical processes, such as systemic racism, as well as their
relationships with economic exploitation, social stigmatization, and political
marginalization, have yet to be operationalized among studies on the topic. A
nascent body of research has recently begun to address some of these factors, but
limited attention to structural theories of racism means that many more studies
are needed to effectively mitigate racial health differentials.
PMID- 29634431
TI - Cancer Incidence in Adolescents and Young Adults in 24 Selected Populations of
Latin America.
AB - OBJECTIVE: Describe incidence rates for the most common cancers in Latin American
adolescents and young adults (AYA). METHODS: Incidence data were extracted from
the Cancer Incidence in Five Continents series, available online (IARC). Age
standardized incidence rates and trend analysis were calculated. Trends were
calculated by joinpoint regression analysis to obtain average annual percentage
change values. RESULTS: There were 22,990 invasive incident cancer cases in AYA
between 1998 and 2007, obtained from 24 population-based cancer registries. The
most common cancer in males was gonadal germ cell tumor, with incidence rates
ranging from 1.7 to 7.0/100,000; in females the most common cancer was thyroid
cancer, with rates ranging from 0.9 to 10.0/100,000. Incidence trends were
limited to four regional populations and increased for all malignancies, in males
from 1.8% to 3.4% and in females from 0.9% to 1.8%. CONCLUSIONS: Cancer incidence
rates in Latin American AYA are low. There are few PBCRs with long-term data, and
therefore, the results herein presented are a partial view of cancer in Latin
American AYA populations.
PMID- 29634432
TI - Differential Gene Expression and Pathway Analysis in Juvenile Nasopharyngeal
Angiofibroma Using RNA Sequencing.
AB - Juvenile nasopharyngeal angiofibroma (JNA) is a highly vascularized and locally
aggressive tumor that typically presents in adolescent males. The molecular
biology of this tumor remains understudied. We sought to identify differentially
expressed genes in the JNA transcriptome through messenger RNA sequencing of
primary fibroblasts from 2 tumor explants and tonsil tissue from tumor-free
subjects. In total, 1088 significant, differentially expressed genes were
identified with 749 upregulated and 339 downregulated. Pathway analysis
identified a number of activated signaling pathways, most notably, the vascular
endothelial growth factor (VEGF) pathway (adjusted overlap P = .03). VEGF-A
showed a 4.4-fold upregulation in JNA samples. In addition, the angiogenic
receptor, fibroblast growth factor receptor 2 (FGFR2), was not present in tumor
free samples but increased in JNA. We validate these findings with
immunohistochemistry, demonstrating upregulation of VEGF and FGFR2 in patient
sections. Inhibition of the VEGF or FGFR signaling axes may have therapeutic
potential in the treatment of JNA.
PMID- 29634430
TI - Linear pharmacokinetic parameters for monoclonal antibodies are similar within a
species and across different pharmacological targets: A comparison between human,
cynomolgus monkey and hFcRn Tg32 transgenic mouse using a population-modeling
approach.
AB - The linear pharmacokinetics (PK) of therapeutic monoclonal antibodies (mAbs) can
be considered a class property with values that are similar to endogenous IgG.
Knowledge of these parameters across species could be used to avoid unnecessary
in vivo PK studies and to enable early PK predictions and
pharmacokinetic/pharmacodynamic (PK/PD) simulations. In this work, population
pharmacokinetic (popPK) modeling was used to determine a single set of 'typical'
popPK parameters describing the linear PK of mAbs in human, cynomolgus monkey and
transgenic mice expressing the human neonatal Fc receptor (hFcRn Tg32), using a
rich dataset of 27 mAbs. Non-linear PK was excluded from the datasets and a 2
compartment model was applied to describe mAb disposition. Typical human popPK
estimates compared well with data from comparator mAbs with linear PK in the
clinic. Outliers with higher than typical clearance were found to have non
specific interactions in an affinity-capture self-interaction nanoparticle
spectroscopy assay, offering a potential tool to screen out these mAbs at an
early stage. Translational strategies were investigated for prediction of human
linear PK of mAbs, including use of typical human popPK parameters and allometric
exponents from cynomolgus monkey and Tg32 mouse. Each method gave good prediction
of human PK with parameters predicted within 2-fold. These strategies offer
alternative options to the use of cynomolgus monkeys for human PK predictions of
linear mAbs, based on in silico methods (typical human popPK parameters) or using
a rodent species (Tg32 mouse), and call into question the value of completing
extensive in vivo preclinical PK to inform linear mAb PK.
PMID- 29634434
TI - Comparative removal of emerging contaminants from aqueous solution by adsorption
on an activated carbon.
AB - Batch sorption experiments were performed to study the adsorption of six emerging
pollutants from aqueous solutions using a commercial granular activated carbon as
adsorbent. Caffeine, clofibric acid, diclofenac, gallic acid, ibuprofen and
salicylic acid were selected as representative contaminants. The activated carbon
was characterized by nitrogen adsorption at 77 K, and through the determination
of point of zero charge. The effects of several operational parameters, such as
pH, initial concentration of organic molecules, mass of adsorbent and contact
time, on the sorption behaviour were evaluated. The contact time to attain
equilibrium for maximum adsorption was found to be 40 min. The kinetic data were
correlated to several adsorption models, and the adsorption mechanism found to
follow pseudo-second-order and intraparticle-diffusion models with external mass
transfer predominating in the first 15 min of the experiment. The equilibrium
adsorption data were analysed using the Freundlich, Langmuir and Toth isotherm
equation models. The similar chemical structure and molecular weight of the
organic pollutants studied to make the adsorption capacity of the activated
carbon used very similar for all the molecules.
PMID- 29634435
TI - LI-RADS for MR Imaging Diagnosis of Hepatocellular Carcinoma: Performance of
Major and Ancillary Features.
AB - Purpose To evaluate the performance of major features, ancillary features, and
categories of Liver Imaging Reporting and Data System (LI-RADS) version 2014 at
magnetic resonance (MR) imaging for the diagnosis of hepatocellular carcinoma
(HCC). Materials and Methods This retrospective institutional review board
approved study included patients with liver MR imaging and at least one
pathologically proved lesion. Between 2004 and 2016, 102 patients (275
observations including 113 HCCs) met inclusion criteria. Two radiologists
independently assessed major and ancillary imaging features for each liver
observation and assigned a LI-RADS category. Per-lesion estimates of diagnostic
performance of major features, ancillary features, and LI-RADS categories were
assessed by using generalized estimating equation models. Results Major features
(arterial phase hyperenhancement, washout, capsule, and threshold growth) had a
sensitivity of 88.5%, 60.6%, 32.9%, and 41.6%, and a specificity of 18.6%, 84.8%,
98.8%, and 83.2% for HCC, respectively. Ancillary features (mild-moderate T2
hyperintensity, restricted diffusion, mosaic architecture, intralesional fat,
lesional fat sparing, blood products, and subthreshold growth) had a sensitivity
of 62.2%, 54.8%, 9.9%, 30.9%, 23.1%, 2.8%, and 48.3%, and a specificity of 79.4%,
90.6%, 99.4%, 94.2%, 83.1%, 99.3%, and 91.4% for HCC, respectively. The LR-5 or
LR-5 V categories had a per-lesion sensitivity of 50.8% and a specificity of
95.8% for HCC, respectively. The LR-4, LR-5, or LR-5 V categories (determined by
using major features only vs combination of major and ancillary features) had a
per-lesion sensitivity of 75.9% and 87.9% and a per-lesion specificity of 87.5%
and 86.2%, respectively. Conclusion The use of ancillary features in combination
with major features increases the sensitivity while preserving a high specificity
for the diagnosis of HCC.
PMID- 29634436
TI - Radiation Dose Reduction by Using CT with Iterative Model Reconstruction in
Patients with Pulmonary Invasive Fungal Infection.
AB - Purpose To compare the diagnostic quality of reduced radiation dose computed
tomography (CT) with iterative model reconstruction (IMR) versus that of
conventional low-dose CT in patients with pulmonary invasive fungal infection.
Materials and Methods This prospective observational study included 48 patients
(mean age +/- standard deviation, 39.9 years +/- 11.3) known to have or suspected
of having pulmonary invasive fungal infection between October 2016 and July 2017.
Patients underwent CT with IMR (at 80 kV with 20 mA) immediately after low-dose
CT (at 80 kV with automatic exposure control). Images were reconstructed by using
a hybrid iterative reconstruction (HIR) algorithm and IMR. Two radiologists
independently assessed subjective image quality, noise, and visibility of normal
and abnormal findings by using a five-point scale. Objective measurements,
including image noise, contrast-to-noise ratio (CNR), and corresponding figure of
merit (FOM), were compared by using repeated-measures analysis of variance with
Bonferroni post hoc tests for multiple comparisons. Results The mean effective
dose was 0.3 mSv +/- 0.3 for CT with IMR and 0.7 mSv +/- 0.2 for low-dose CT (P <
.01). When the image noise and CNR were normalized to the effective dose, CT
images obtained with IMR had significantly higher FOM than did other image series
(P < .0001). Subjectively, visibility of CT features of invasive fungal infection
on CT scans reconstructed with IMR was rated as noninferior to that on low-dose
CT scans reconstructed with HIR, except for the halo sign. Conclusion CT with IMR
had approximately 60% dose reduction compared with conventional low-dose CT, with
reduced noise and improved depiction of abnormal findings, in patients with
pulmonary invasive fungal infection.
PMID- 29634437
TI - Risk Estimation for Biliary Atresia in Patients with Neonatal Cholestasis:
Development and Validation of a Risk Score.
AB - Purpose To develop and validate a scoring system based on clinical and imaging
features to predict the risk for biliary atresia in patients with neonatal
cholestasis. Materials and Methods Patients with neonatal cholestasis who
underwent both ultrasonography (US) and hepatobiliary scintigraphy (n = 480) were
retrospectively identified from two tertiary referral hospitals from January 2000
to February 2017. Patients from one hospital were classified as the derivation
cohort (n = 371), and those from the other hospital were classified as the
validation cohort (n = 109). Clinical and imaging features associated with
biliary atresia were assessed. Histopathologic or intraoperative cholangiographic
findings served as the reference standard for biliary atresia. A prediction model
was developed by using logistic regression and was then transformed into a
scoring system. The scoring system was internally and externally validated.
Results Among the 371 patients in the derivation cohort, 97 (26.15%) had biliary
atresia. A scoring system was constructed with the following variables: full-term
birth, presence of the triangular cord sign at US, abnormal gallbladder
morphology at US, and failure of radioisotope excretion to the small bowel at
hepatobiliary scintigraphy. The maximum possible score with this system is 7
points. This system enabled differentiation of biliary atresia in the derivation
cohort (C statistic, 0.981; 95% confidence interval [CI]: 0.970, 0.992) and the
validation cohort (C statistic, 0.995; 95% CI: 0.987, 1.000). The risk score also
showed good calibration in both the derivation and the validation cohorts (P =
.328 and P = .281, respectively). Conclusion A simple scoring system combining
clinical and imaging features can help accurately estimate the risk for biliary
atresia in patients with neonatal cholestasis.
PMID- 29634438
TI - Coronary CT Angiography-derived Fractional Flow Reserve: Machine Learning
Algorithm versus Computational Fluid Dynamics Modeling.
AB - Purpose To compare two technical approaches for determination of coronary
computed tomography (CT) angiography-derived fractional flow reserve (FFR)-FFR
derived from coronary CT angiography based on computational fluid dynamics
(hereafter, FFRCFD) and FFR derived from coronary CT angiography based on machine
learning algorithm (hereafter, FFRML)-against coronary CT angiography and
quantitative coronary angiography (QCA). Materials and Methods A total of 85
patients (mean age, 62 years +/- 11 [standard deviation]; 62% men) who had
undergone coronary CT angiography followed by invasive FFR were included in this
single-center retrospective study. FFR values were derived on-site from coronary
CT angiography data sets by using both FFRCFD and FFRML. The performance of both
techniques for detecting lesion-specific ischemia was compared against visual
stenosis grading at coronary CT angiography, QCA, and invasive FFR as the
reference standard. Results On a per-lesion and per-patient level, FFRML showed a
sensitivity of 79% and 90% and a specificity of 94% and 95%, respectively, for
detecting lesion-specific ischemia. Meanwhile, FFRCFD resulted in a sensitivity
of 79% and 89% and a specificity of 93% and 93%, respectively, on a per-lesion
and per-patient basis (P = .86 and P = .92). On a per-lesion level, the area
under the receiver operating characteristics curve (AUC) of 0.89 for FFRML and
0.89 for FFRCFD showed significantly higher discriminatory power for detecting
lesion-specific ischemia compared with that of coronary CT angiography (AUC,
0.61) and QCA (AUC, 0.69) (all P < .0001). Also, on a per-patient level, FFRML
(AUC, 0.91) and FFRCFD (AUC, 0.91) performed significantly better than did
coronary CT angiography (AUC, 0.65) and QCA (AUC, 0.68) (all P < .0001).
Processing time for FFRML was significantly shorter compared with that of FFRCFD
(40.5 minutes +/- 6.3 vs 43.4 minutes +/- 7.1; P = .042). Conclusion The FFRML
algorithm performs equally in detecting lesion-specific ischemia when compared
with the FFRCFD approach. Both methods outperform accuracy of coronary CT
angiography and QCA in the detection of flow-limiting stenosis.
PMID- 29634439
TI - Double Reading in Breast Cancer Screening: Cohort Evaluation in the CO-OPS Trial.
AB - Purpose To investigate the effect of double readings by a second radiologist on
recall rates, cancer detection, and characteristics of cancers detected in the
National Health Service Breast Screening Program in England. Materials and
Methods In this retrospective analysis, 805 206 women were evaluated through
screening and diagnostic test results by extracting 1 year of routine data from
33 English breast screening centers. Centers used double reading of digital
mammograms, with arbitration if there were discrepant interpretations.
Information on reader decisions, with results of follow-up tests, were used to
explore the effect of the second reader. The statistical tests used were the test
for equality of proportions, the chi2 test for independence, and the t test.
Results The first reader recalled 4.76% of women (38 295 of 805 206 women; 95%
confidence interval [CI]: 4.71%, 4.80%). Two readers recalled 6.19% of women in
total (49 857 of 805 206 women; 95% CI: 6.14%, 6.24%), but arbitration of
discordant readings reduced the recall rate to 4.08% (32 863 of 805 206 women;
95% CI: 4.04%, 4.12%; P < .001). A total of 7055 cancers were detected, of which
627 (8.89%; 95% CI: 8.22%, 9.55%; P < .001) were detected by the second reader
only. These additional cancers were more likely to be ductal carcinoma in situ
(30.5% [183 of 600] vs 22.0% [1344 of 6114]; P < .001), and additional invasive
cancers were smaller (mean size, 14.2 vs 16.7 mm; P < .001), had fewer involved
nodes, and were likely to be lower grade. Conclusion Double reading with
arbitration reduces recall and increases cancer detection compared with single
reading. Cancers detected only by the second reader were smaller, of lower grade,
and had less nodal involvement. (c) RSNA, 2018.
PMID- 29634440
TI - Breast Cancer Screening: Two (or Three) Heads Are Better than One?
PMID- 29634441
TI - Renal Allograft Dysfunction: Evaluation with Shear-wave Sonoelastography.
AB - Purpose To evaluate whether shear-wave sonoelastography can help differentiate
stable renal allograft from acute allograft dysfunction and chronic allograft
dysfunction and to correlate shear-wave sonoelastography measurements with
resistive index (RI), serum creatinine level, estimated glomerular filtration
rate (eGFR) obtained with the Nankivell equation, and biopsy findings. Materials
and Methods A prospective study of 60 patients who had undergone renal
transplantation was conducted between October 2014 and March 2016. Patients were
classified as having stable allograft, acute allograft dysfunction, or chronic
allograft dysfunction on the basis of clinical parameters. Mean parenchymal
stiffness was compared. The Banff score was used wherever applicable. Receiver
operating characteristic curves were drawn to evaluate the feasibility of
differentiation. Results Thirty patients had graft dysfunction (acute in 19
patients and chronic in 11). Mean parenchymal stiffness values in stable
allograft, acute allograft dysfunction, and chronic allograft dysfunction were
8.51 kPa +/- 2.44, 11.06 kPa +/- 2.91, and 24.50 kPa +/- 4.49, respectively
(stable vs acute dysfunction, P = .010; stable vs chronic dysfunction, P < .001;
acute sysfunction vs chronic dysfunction, P < .001). The allograft parenchymal
stiffness values for patients with Banff grade I (mild interstitial fibrosis and
tubular atrophy) differed significantly from those with Banff grade II (moderate
interstitial fibrosis and tubular atrophy) (P = .02). Parenchymal stiffness
showed a negative correlation with eGFR (r = -0.725; P < .001) and a positive
correlation with RI (r = 0.562; P < .001) and serum creatinine level (r = 0.714;
P < .001). The sensitivity was 73.68% and specificity was 80% in the
differentiation of stable graft from acute graft dysfunction (threshold value,
10.11 kPa). Conclusion Shear-wave sonoelastographic evaluation of renal
parenchymal stiffness may help differentiate stable allograft from acute and
chronic allograft dysfunction. The inverse correlation of parenchymal stiffness
with eGFR and positive correlation with RI and serum creatinine level show that
shear-wave sonoelastography may reflect functional status of the renal allograft.
PMID- 29634444
TI - Understanding Fish Linear Acceleration Using an Undulatory Biorobotic Model with
Soft Fluidic Elastomer Actuated Morphing Median Fins.
AB - Although linear accelerations are an important common component of the diversity
of fish locomotor behaviors, acceleration is one of the least-understood aspects
of propulsion. Analysis of acceleration behavior in fishes with both spiny and
soft-rayed median fins demonstrates that fin area is actively modulated when fish
accelerate. We implemented an undulatory biomimetic robotic fish model with
median fins manufactured using multimaterial three-dimensional printing-a spiny
rayed dorsal fin, soft-rayed dorsal/anal fins, and a caudal fin-whose stiffnesses
span three orders of magnitude. We used an array of fluidic elastomeric soft
actuators to mimic the dorsal/anal inclinator and erector/depressor muscles of
fish, which allowed the soft fins to be erected or folded within 0.3 s. We
experimentally show that the biomimetic soft dorsal/anal fin can withstand
external loading. We found that erecting the soft dorsal/anal fins significantly
enhanced the linear acceleration rate, up to 32.5% over the folded fin state.
Surprisingly, even though the projected area of the body (in the lateral plane)
increased 16.9% when the median fins were erected, the magnitude of the side
force oscillation decreased by 24.8%, which may have led to significantly less
side-to-side sway in the robotic swimmer. Visualization of fluid flow in the wake
of median fins reveals that during linear acceleration, the soft dorsal fin
generates a wake flow opposite in direction to that of the caudal fin, which
creates propulsive jets with time-variant circulations and jet angles.
Erectable/foldable fins provide a new design space for bioinspired underwater
robots with structures that morph to adapt to different locomotor behaviors. This
biorobotic fish model is also a potentially promising system for studying the
dynamics of complex multifin fish swimming behaviors, including linear
acceleration, steady swimming, and burst and coast, which are difficult to
analyze in freely swimming fishes.
PMID- 29634445
TI - The Prevention of Lower Urinary Tract Symptoms (PLUS) Research Consortium: A
Transdisciplinary Approach Toward Promoting Bladder Health and Preventing Lower
Urinary Tract Symptoms in Women Across the Life Course.
AB - Lower urinary tract symptoms (LUTS) are highly prevalent in women, and are
expected to impose a growing burden to individuals and society as the population
ages. The predominance of research related to LUTS has focused on underlying
pathology, disease mechanisms, or the efficacy of treatments for women with LUTS.
Although this research has been vital for helping to reduce or ameliorate LUTS
conditions, it has done little to prevent the onset of LUTS. Health promotion and
prevention require an expansion of scientific inquiry beyond the traditional
paradigm of studying disease mechanisms and treatment to the creation of an
evidence base to support recommendations for bladder health promotion and, in
turn, prevention of LUTS. The National Institute of Diabetes and Digestive and
Kidney Diseases (NIDDK) introduced the concept of prevention as an important
priority for women's urologic research as a prelude to supporting the formation
of the Prevention of Lower Urinary Tract Symptoms (PLUS) research consortium. In
this article, we introduce the PLUS research consortium to the scientific
community; share the innovative paradigms by which the consortium operates; and
describe its unique research mission: to identify factors that promote bladder
health across the life course and prevent the onset of LUTS in girls and women.
PMID- 29634446
TI - The National Network of State Perinatal Quality Collaboratives: A Growing
Movement to Improve Maternal and Infant Health.
AB - State Perinatal Quality Collaboratives (PQCs) are networks of multidisciplinary
teams working to improve maternal and infant health outcomes. To address the
shared needs across state PQCs and enable collaboration, Centers for Disease
Control and Prevention (CDC), in partnership with March of Dimes and perinatal
quality improvement experts from across the country, supported the development
and launch of the National Network of Perinatal Quality Collaboratives (NNPQC).
This process included assessing the status of PQCs in this country and
identifying the needs and resources that would be most useful to support PQC
development. National representatives from 48 states gathered for the first
meeting of the NNPQC to share best practices for making measurable improvements
in maternal and infant health. The number of state PQCs has grown considerably
over the past decade, with an active PQC or a PQC in development in almost every
state. However, PQCs have some common challenges that need to be addressed. After
its successful launch, the NNPQC is positioned to ensure that every state PQC has
access to key tools and resources that build capacity to actively improve
maternal and infant health outcomes and healthcare quality.
PMID- 29634447
TI - Insomnia But Not Hypnotics Use Associates with the Risk of Breast Cancer: A
Population-Based Matched Cohort Study.
AB - OBJECTIVE: The impact of hypnotic use on the association between insomnia and
breast cancer risk remains unclear. This study examined whether insomnia
increases the aforementioned risk and explored the effects of hypnotic use on
this relationship. MATERIALS AND METHODS: This retrospective cohort study was
conducted using data retrieved from the Longitudinal Health Insurance Research
Database 2010. In total, 11,021 patients with insomnia, who were categorized by
hypnotic use and nonuse, were identified; 22,042 age-matched participants without
insomnia were then randomly selected. Cox proportional hazards regression was
used for the analyses. RESULTS: The insomnia cohort had a higher risk of breast
cancer than did the control cohort (adjusted hazard ratio [aHR] = 1.43; 95%
confidence interval [CI] = 1.10-1.84). The aHR was 1.09 for insomniac with
hypnotics use, 1.41 for insomniac without hypnotics use, and 0.71 for hypnotics
users without insomnia (95% CIs = 0.71-1.68, 1.07-1.85, and 0.40-1.27) compared
with those individuals without insomnia who did not use hypnotics. CONCLUSION:
This nationwide population-based cohort study reveals that insomnia but not
hypnotic use is associated with an increased risk of breast cancer.
PMID- 29634448
TI - Deferred Personal Life Decisions of Women Physicians.
AB - OBJECTIVE: Inadequate work-life balance can have significant implications
regarding individual performance, retention, and on the future of the workforce
in medicine. The purpose of this study was to determine whether women physicians
defer personal life decisions in pursuit of their medical career. MATERIALS AND
METHODS: We conducted a survey study of women physicians ages 20-80 from various
medical specialties using a combination of social media platforms and women
physicians' professional listservs with 801 survey responses collected from May
through November 2015. The primary endpoint was whether women physicians deferred
personal life decisions in pursuit of their medical career. Secondary outcomes
include types of decisions deferred and correlations with age, hours worked per
week, specialty, number of children, and career satisfaction. RESULTS:
Respondents were categorized into deferred and nondeferred groups. Personal
decision deferments were reported by 64% of respondents. Of these, 86% reported
waiting to have children and 22% reported waiting to get married. Finally, while
85% of women in the nondeferment group would choose medicine again as a career,
only 71% of women in the deferment group would do so (p < 0.0001). Physicians who
would choose medicine again cited reasons such as career satisfaction, positive
patient interactions, and intellectual stimulation, whereas those who would not
choose medicine again reported poor work-life balance, decreasing job
satisfaction, and insurance/administrative burden. CONCLUSIONS: The results of
this survey have significant implications on the future of the workforce in
medicine. Overall, our analysis shows that 64% of women physicians defer
important life decisions in pursuit of their medical career. With an increase in
the number of women physicians entering the workforce, lack of support and
deferred personal decisions have a potential negative impact on individual
performance and retention. Employers must consider the economic impact and
potential workforce shortages that may develop if these issues are not addressed.
PMID- 29634449
TI - Screening for Childhood Adversities in Prenatal Care: What Works and Why.
PMID- 29634450
TI - Hormonal Contraceptive Use Among Women of Older Reproductive Age: Considering
Risks and Benefits.
AB - As women approach menopause, fertility declines but pregnancy can still occur.
Maternal and infant risks are increased among women of older reproductive age
compared with younger women. A high proportion of pregnancies among women of
older reproductive age are unintended and these pregnancies can also be
associated with negative maternal and infant consequences. However, women and
their healthcare providers may have concerns about risks associated with
contraceptive use, particularly combined hormonal contraceptives, among women of
older reproductive age who already may be at increased risk for conditions such
as cardiovascular disease and breast cancer. Nonetheless, available evidence does
not suggest that hormonal contraceptive use among women of older reproductive age
substantially increases age-related risks of cardiovascular events or breast
cancer. CDC recommends that contraception is still needed for women older than 44
years who have not reached menopause and wish to avoid pregnancy, and that based
on age alone, all contraceptive methods are considered safe or generally safe for
use by women of older reproductive age.
PMID- 29634451
TI - Ten-Year Trend in Women's Reasons for Abstaining or Limiting Drinking: The 2000
and 2010 United States National Alcohol Surveys.
AB - BACKGROUND: Data on individual and cultural factors contributing to drinking can
inform screening and brief intervention in clinical practice. Our aims were to
examine 10-year trends in women's reasons for abstaining/limiting drinking and to
document changes in associations with drinking status for population subgroups
defined by race/ethnicity and age. MATERIALS AND METHODS: Using repeated cross
sectional data from White, Black and Hispanic women in the 2000 and 2010 United
States National Alcohol Surveys (combined N = 5501), population-weighted multiple
linear and multinomial logistic regression models assessed changes in three
reasons for abstaining or limiting drinking (health concerns, religious
prohibition, and upsetting family or friends) and drinking status (past-year
abstainer, low-risk drinker, or at-risk drinker), and their associations over
time. RESULTS: Adjusting for key demographics, reasons for limiting alcohol
consumption declined in importance over time, with reductions in both health
concerns and religious prohibition particularly noteworthy for older women of all
three racial/ethnic backgrounds. Despite these reductions in importance, both
health concerns and religious prohibition were most consistently associated with
increased abstinence relative to low-risk drinking; these reasons were not
strongly associated with at-risk drinking, however. CONCLUSIONS: It is essential
for healthcare providers and others to disseminate accurate information about the
risks of drinking to counter cultural shifts that suggest greater acceptance of
moderate-to-heavy drinking by women aged 40 and older.
PMID- 29634452
TI - Is the Electronic Health Record the Answer to Improving Patient Compliance with
Recommended Health Interventions?
PMID- 29634454
TI - Reducing contingent self-worth: A defensive response to self-threats.
AB - Previous research shows that people with high self-esteem cope with threats to
the self by reducing the extent to which their self-worth is contingent on the
threatened domain (Buckingham, Weber, & Sypher, 2012). The present studies tested
the hypothesis that this is a defensive process. In support of this hypothesis,
Study 1 (N = 160), showed that self-affirmation attenuates the tendency for
people with high self-esteem to reduce their contingencies of self-worth
following self-threat. Furthermore, Study 2 (N = 286), showed that this tendency
was more prevalent among people with defensive self-esteem than among those with
secure self-esteem. The present studies imply that reducing contingent self-worth
after self-threat is a defensive process. We discuss implications for theories of
contingent self-worth.
PMID- 29634453
TI - Sex Differences in the Relationship Between Left Ventricular Diastolic
Dysfunction and Coronary Artery Disease: From the Korean Women's Chest Pain
Registry.
AB - BACKGROUND: Although coronary artery disease (CAD) is an important comorbidity,
the influence of CAD on left ventricular diastolic dysfunction (LVDD) is not
clear. This study aims to assess differences in the relationship between CAD and
LVDD according to sex and comorbidities. MATERIALS AND METHODS: A total of 1109
consecutive patients who visited an outpatient clinic with chest pain were
included. The outcomes in 295 men and 295 women were compared after propensity
score 1:1 matching. The evaluation of LVDD was based on guidelines recommended by
the American Society of Echocardiography. The presence and severity of
obstructive CAD was assessed by coronary angiography. RESULTS: After propensity
score matching, both men and women were well balanced, with no significant
differences of baseline covariates. Differences in diastolic functional
parameters according to CAD severity were observed only in women (three
parameters of LVDD except e' velocity, p < 0.05). Multiple logistic regression
for matched pair data demonstrated that CAD severity was associated with the
presence of LVDD only in women (odds ratio 1.919, 95% confidence interval 1.343
2.741, p < 0.001). Interaction between sex and severity of CAD was significant (p
= 0.025). CONCLUSIONS: The association of LVDD and CAD severity was observed only
in women. Myocardial ischemia may be a potential pathophysiology for higher
prevalence of LVDD and heart failure with preserved ejection fraction in women.
PMID- 29634456
TI - iPads/tablets and students with autism: A meta-analysis of academic effects.
AB - Since the introduction of iPads in 2010, educators have been working to
effectively incorporate this technology as a supplement to curriculum and a tool
to increase student engagement and student achievement. The current investigation
examines the effectiveness of iPad applications in supporting the instruction of
students identified on the autism spectrum. Specifically, this investigation
provides a meta-analysis of available research that examines the use of iPad
technology and its impact on learning outcomes for students with autism. Four
studies were found that provided results for groups of students. The findings of
this research are based on 12 effect-size measures, representing a synthesized
sample size of 99 participants. The results suggest that the use of iPad
technology can have a positive, significant effect on student learning outcomes.
The moderators of these positive outcomes are presented and discussed.
PMID- 29634455
TI - A series of unfortunate events: implications of Republican efforts to repeal and
replace the Affordable Care Act For older adults.
AB - This paper discusses Republican efforts to repeal the 2010 Patient Protection and
Affordable Care Act (ACA) over President Trump's first year in office (2017) and
their impact on near-elderly Americans (50-64 years old). We describe how the
ACA's provisions for strengthening health care coverage were particularly
advantageous for near-elderly Americans: The law shored up employer-sponsored
health care, expanded Medicaid, and-most important-created conditions for a
strong individual health insurance market. We then describe Republican efforts to
undermine the ACA in the years immediately following its passage, followed by
detailed discussion of Republican proposals to repeal and replace the ACA during
2017. We conclude by discussing factors informing the fate of Republican
legislation in this area, the potential consequences of the legislation that
ultimately passed, and the prospects for future attempts to repeal and replace
the ACA through the legislative process.
PMID- 29634457
TI - The housing challenges of low-income older adults and the role of federal policy.
AB - Adequate housing is critical for low-income older adults, who face affordability
and accessibility challenges that affect their quality of life, health, and
ability to live independently in their communities. This article examines the
federal policy role in meeting the housing and housing-related needs of the low
income elderly population, which is expected to grow as a proportion of all older
adults over the next two decades. The availability of publicly subsidized units
and vouchers is woefully inadequate to assist the current low-income elderly
population in need of rental assistance. While access to affordable and
accessible housing options has been a growing challenge for several decades, the
Trump administration and Republican-majority Congress has an agenda and specific
budgetary, administrative, and legislative proposals that would worsen the
housing situation today and into the future. Population aging combined with a
lack of investment in affordable senior housing and related programs over the
last two decades requires a call to action for stakeholders in the public and
private sectors to jointly develop a comprehensive national senior housing policy
agenda and implementation strategy.
PMID- 29634458
TI - Pornography Consumption, Perceptions of Pornography as Sexual Information, and
Condom Use.
AB - Pornography use is becoming more commonplace and may be a modality by which
individuals receive sex education. This survey study assessed pornography
consumption, perceptions of pornography as a source of sexual information, and
condom use in a heterosexual sample of 200 sexually active German adults who were
not in monogamous relationships. At the bivariate, overall sample level, there
was only a modest association between consuming pornography and a decreased
frequency of condom use. However, consistent with the sexual script acquisition,
activation, application model (3AM) of sexual media socialization, this
association was moderated by differential perceptions of pornography as a source
of sexual information. Interaction decomposition revealed that there was no
association between pornography consumption and condom use among participants who
disagreed that pornography is a source of sexual information. Conversely,
pornography consumption was associated with a lower frequency of condom use among
participants who agreed that pornography is a source of sexual information. As
the perception that pornography is a source of sexual information strengthened,
the relationship between pornography consumption and less frequent condom use
increased. Gender did not moderate these associations. These findings point
toward the importance of fostering a critical reading of pornography through
media literacy education.
PMID- 29634459
TI - Reducing Risk: Counseling Men Infected with HIV Who Have Sex with Men on Safer
Sex Practices with Seroconcordant Partners.
AB - The incidence of new HIV infections in the United States continues to be greatest
among men who have sex with men (MSM). MSM infected with HIV often seek
seroconcordant sexual partners based on intent to limit psychosocial, legal, and
health risks they perceive as higher with serodiscordant sexual partners.
However, the rationales for limiting sexual relationships exclusively with other
MSM infected with HIV may be rooted in misinformation or misperception. Thus,
these clients may have a unique sexual health knowledge deficit that nurses,
social workers, and other clinicians need to address to help them reduce risk.
This article focuses on sexually related health risks that are distinct to MSM
infected with HIV seroconcordant partners. Data on the most recent HIV-infection
incidence rates in MSM in the United States is provided. Discussion concentrates
on the risk these individuals may have in communicating and acquiring sexually
transmitted diseases other than HIV, the risk of HIV superinfection, and how
sexually transmitted diseases affect persons who are immunocompromised
differently than those who are immunocompetent. Finally, recommendations for
healthcare professionals who counsel MSM infected with HIV in sexual decision
making is provided.
PMID- 29634461
TI - From the Editor.
PMID- 29634460
TI - Analysis of the Uptake, Metabolism, and Behavioral Effects of Cannabinoids on
Zebrafish Larvae.
AB - The Cannabis sativa plant contains numerous phytocannabinoids and terpenes with
known or potential biological activity. For decades, plant breeders have been
breeding the Cannabis plant to control for a desired ratio of the major
cannabinoids. A high-throughput in vivo model to understand the relationship
between the chemical composition of different strains and their therapeutic
potential then becomes of value. Measuring changes in the behavioral patterns of
zebrafish larvae is an established model with which to test the biological
activity of neuroactive compounds. However, there is currently little information
regarding the uptake kinetics and metabolism of compounds by larvae. In this
study, we chose to compare the uptake kinetics and metabolism of Delta9
tetrahydrocannabinol (THC) and cannabidiol (CBD) alone or in combination with
their effects on larval behavior. We have shown that both compounds have distinct
behavioral patterns and concentration response profiles. Additionally, the uptake
kinetics observed for each compound appears to correlate with the change in
behavior observed in the behavioral assays. When combinations of THC and CBD were
tested there were shifts in both the behavioral activity and the uptake kinetics
of each compound compared with when they were tested alone. Finally, the THC/CBD
derived metabolites detected in the larvae are similar to those found in
mammalian systems. This study thus provides a model for further testing of
additional cannabinoids and potentially plant extracts.
PMID- 29634462
TI - Understanding the tests we order: Comments and an invitation.
PMID- 29634463
TI - Musculoskeletal ultrasonography has arrived.
PMID- 29634464
TI - Make no bones about it!
PMID- 29634465
TI - Are serum troponin levels elevated in conditions other than acute coronary
syndrome?
PMID- 29634466
TI - Musculoskeletal ultrasonography basics.
AB - Utrasonography is emerging as a core method to evaluate musculoskeletal problems.
It is best used for imaging superficial structures limited to 1 quadrant of a
joint. It has several advantages over other imaging methods: lower cost, ability
to perform dynamic examinations, higher spatial resolution of superficial
structures, better patient comfort, and essentially no contraindications.
PMID- 29634467
TI - The female athlete triad: It takes a team.
AB - The female athlete triad is a syndrome consisting of low energy availability (ie,
burning more calories than one is taking in), menstrual dysfunction, and low bone
mineral density, although all 3 components need not be present. Many providers,
physical therapists, and coaches are unaware of it and thus do not screen for it.
Early intervention using a team approach is essential in patients with any
component of the female athlete triad to prevent long-term adverse health
effects.
PMID- 29634468
TI - Gallstones: Watch and wait, or intervene?
AB - Gallstones are common in the United States, affecting an estimated 1 in 7 adults.
Fortunately, they are asymptomatic in up to 80% of cases, and current guidelines
do not recommend cholecystectomy unless they cause symptoms. Laparoscopic
cholecystectomy is the standard treatment for symptomatic gallstones, acute
cholecystitis, and gallstone pancreatitis.
PMID- 29634469
TI - A 71-year-old woman with shock and a high INR.
PMID- 29634470
TI - Hyperkeratotic fissured plaques on both hands: Mechanic's hands.
PMID- 29634471
TI - Hidden lesion easily missed on chest radiography.
PMID- 29634472
TI - To the Editor: Perioperative interruption of dual antiplatelet therapy.
PMID- 29634473
TI - In reply: Perioperative interruption of dual antiplatelet therapy.
PMID- 29634474
TI - Correction: Gas under the right diagphragm.
AB - In the article, "Gas under the right diaphragm" (Matsuura H, Hata H. Cleve Clin J
Med 2018; 85[2]:98-100), Figure 2 appeared upside down. It should have appeared
as shown on the online version at www.ccjm.org.
PMID- 29634475
TI - Correction: Physical examination in dyspnea.
AB - On page 949 of the article, "Diagnostic value of the physical examination in
patients with dyspnea" (Shellenberger RA, Balakrishnan B, Avula S, Ebel A, Shaik
S. Cleve Clin J Med 2017; 84[12]:943-950), the terms "abdominojugular reflex" and
"hepatojugular reflex" should have been "abdominojugular reflux" and
"hepatojugular reflux." This error also occurred in Table 5 on that page.
PMID- 29634477
TI - A simple transformation independent method for outlier definition.
AB - BACKGROUND: Definition and elimination of outliers is a key element for medical
laboratories establishing or verifying reference intervals (RIs). Especially as
inclusion of just a few outlying observations may seriously affect the
determination of the reference limits. Many methods have been developed for
definition of outliers. Several of these methods are developed for the normal
distribution and often data require transformation before outlier elimination.
METHODS: We have developed a non-parametric transformation independent outlier
definition. The new method relies on drawing reproducible histograms. This is
done by using defined bin sizes above and below the median. The method is
compared to the method recommended by CLSI/IFCC, which uses Box-Cox
transformation (BCT) and Tukey's fences for outlier definition. The comparison is
done on eight simulated distributions and an indirect clinical datasets. RESULTS:
The comparison on simulated distributions shows that without outliers added the
recommended method in general defines fewer outliers. However, when outliers are
added on one side the proposed method often produces better results. With
outliers on both sides the methods are equally good. Furthermore, it is found
that the presence of outliers affects the BCT, and subsequently affects the
determined limits of current recommended methods. This is especially seen in
skewed distributions. The proposed outlier definition reproduced current RI
limits on clinical data containing outliers. CONCLUSIONS: We find our simple
transformation independent outlier detection method as good as or better than the
currently recommended methods.
PMID- 29634476
TI - Abnormal dynamic functional connectivity of amygdalar subregions in untreated
patients with first-episode major depressive disorder.
AB - BACKGROUND: Accumulating evidence supports the concept of the amygdala as a
complex of structurally and functionally heterogeneous nuclei rather than as a
single homogeneous structure. However, changes in resting-state functional
connectivity in amygdalar subregions have not been investigated in major
depressive disorder (MDD). Here, we explored whether amygdalar subregions -
including the laterobasal, centromedial (CM) and superficial (SF) areas -
exhibited distinct disruption patterns for different dynamic functional
connectivity (dFC) properties, and whether these different properties were
correlated with clinical information in patients with MDD. METHODS: Thirty
untreated patients with first-episode MDD and 62 matched controls were included.
We assessed between-group differences in the mean strength of dFC in each
amygdalar subregion in the whole brain using general linear model analysis.
RESULTS: The patients with MDD showed decreased strength in positive dFC between
the left CM/SF and brainstem and between the left SF and left thalamus; they
showed decreased strength in negative dFC between the left CM and right superior
frontal gyrus (p < 0.05, family-wise error-corrected). We found significant
positive correlations between age at onset and the mean positive strength of dFC
in the left CM/brainstem in patients with MDD. LIMITATIONS: The definitions of
amygdalar subregions were based on a cytoarchitectonic delineation, and the
temporal resolution of the fMRI was slow (repetition time = 2 s). CONCLUSION:
These findings confirm the distinct dynamic functional pathway of amygdalar
subregions in MDD and suggest that the limbic-cortical-striato-pallido-thalamic
circuitry plays a crucial role in the early stages of MDD.
PMID- 29634478
TI - International Consensus on Antinuclear Antibody Patterns: defining negative
results and reporting unidentified patterns.
PMID- 29634479
TI - Improving the attitudes of primary care practitioners toward adolescent care: a
pre-post intervention pilot study.
AB - Background Investing in adolescent health is among the most cost-effective health
measures. Primary care practitioners are ideally positioned to deliver such
interventions. However, several barriers hinder them from engaging with
adolescents. Objective To pilot test the impact of a 1-day training session on
adolescent health on the attitudes of primary care practitioners toward
adolescent care. Subjects Participants were family physicians and nurses enrolled
in a 1-day training session on adolescent health. Methods A non-randomized, pre
post intervention study with no control group. Data on barriers for providing
care to adolescents, preferred pediatric age group and attitudes toward
adolescent care were collected immediately prior. Participants' attitudes were
measured again immediately after training. Results Most participants reported
they preferred to attend pediatric groups other than adolescents. The most
frequently reported barriers were: excessive amount of time needed and lack of
training. Participants reported positive pre-training attitudes, with mean scores
above the midpoint of the scale in all dimensions. Significant positive
improvements were observed after training in Adequacy, Self-esteem and
Satisfaction. Subgroup analysis showed that at baseline, professionals who
preferred to work with adolescents had significantly more positive attitudes in
Adequacy, Self-esteem and Satisfaction. After training there was a general
improvement in attitudes in both groups, with attenuation of the differences
between them. Conclusion Participation in a 1-day tailored educational
intervention on adolescent health had a positive impact on the attitudes of
primary care practitioners, regardless of their preferred age group. This
improvement may lead to more active engagement with adolescents and substantial
health gains.
PMID- 29634480
TI - Environmental perceptions and its associations with physical fitness and body
composition in adolescents: longitudinal results from the LabMed Physical
Activity Study.
AB - Background The environmental correlates have been extensively studied in the last
years, but most associations with physical fitness (PF) and body composition have
been cross-sectional in nature. Objective This study aimed to determine if
adolescents' environmental perceptions associated with PF and body composition in
a 2-year follow-up. Subjects Participants were 583 adolescents aged 12-18 years
(299 girls) from the LabMed Physical Activity Study. Methods PF and body
composition were assessed with the protocols of the ALPHA health-related fitness
battery and environmental perceptions with the ALPHA environmental questionnaire.
Linear regression models were used to determine the associations between
environmental perceptions at baseline and PF and anthropometric measures at
follow-up. Results Results showed that perceptions of distant facilities at
baseline were associated with lower fitness at follow-up in boys. Also, boys'
lower study environment perceptions at baseline were associated with higher body
composition at follow-up. Positive perceptions of a pleasant environment at
baseline were associated with better fitness at follow-up in boys. Additionally,
girls' positive bike lanes availability and esthetics perceptions at baseline
were associated with better body composition at follow-up. Conclusion Positive
environmental perceptions at baseline are associated with better PF and body
composition at follow-up.
PMID- 29634482
TI - Influence of Loranthus micranthus on hepatic and renal antioxidant status and
impaired glycolytic flux in streptozotocin-induced diabetic rats.
PMID- 29634481
TI - Effects of acetone extract of Cola nitida on brain sodium-potassium adenosine
triphosphatase activity and spatial memory in healthy and streptozotocin-induced
diabetic female Wistar rats.
AB - Background This study was carried out to investigate the effects of acetone
extract of Cola nitida on brain Na+/K+-ATPase activity and spatial memory of
healthy and streptozotocin (STZ)-induced diabetic female Wistar rats. Methods
Forty-two female Wistar rats were used for this study and were randomly
distributed into six groups (n=7). Rats in group 1 were used as control and were
administered normal saline; group 2 rats were healthy rats administered 50 mg/kg
of kola nut extract per day; group 3 rats were healthy rats administered 100
mg/kg of kola nut extract per day; group 4 rats were a diabetic group also
administered normal saline; group 5 rats were diabetic rats administered 50 mg/kg
of kola nut extract per day; and group 6 rats were diabetic rats administered 100
mg/kg of kola nut extract per day. Diabetes was induced with 50 mg/kg of STZ.
After 3 weeks of administration, the spatial memories of the rats were tested
using the Y-maze, followed by assay of Na+/K+-ATPase activity. Results The result
shows a significant increase in Na+/K+-ATPase activity of diabetic treated groups
(5 and 6) when compared with the diabetic group (4) and a significant increase in
Na+/K+-ATPase activity of healthy treated groups (2 and 3) when compared with
control. Also, there was a significant increase in spatial memory of the diabetic
treated groups when compared with diabetic group. Conclusions This study revealed
that kola nut extract has restorative effect on brain Na+/K+-ATPase activities
and spatial memory of STZ-induced diabetic female Wistar rats.
PMID- 29634483
TI - Anti-inflammatory and insulin secretory activity in experimental type-2 diabetic
rats treated orally with magnesium.
PMID- 29634484
TI - Haloperidol-induced parkinsonism is attenuated by varenicline in mice.
AB - Background Parkinson's disease (PD) is a neurodegenerative disorder of the
central nervous system (CNS). However, there is no known drug to stop/slow down
this neurodegeneration. Varenicline is an anti-smoking drug and has the potential
to prevent neurodegeneration. Thus, the present study was designed to evaluate
the effect of varenicline in animal models of PD. Methods Levodopa and
haloperidol were administered in doses of 30 and 1 mg/kg, intraperitoneally
(i.p.), respectively. Group 1 was administered haloperidol; groups 2, 3 and 4
were administered haloperidol along with varenicline in doses of 0.5, 1.5 and 2.5
mg/kg, i.p., respectively and group 5 was administered levodopa along with
haloperidol. Varenicline was administered daily, 30 min prior to the
administration of haloperidol. Varenicline was administered for the first 8 days,
and then from the 9th day until the 15th day. Behavioral assessment (rotarod and
catalepsy tests) was performed on days 9 and 15. Assessment of striatal dopamine
levels and histopathology were also performed. Results In the haloperidol-treated
groups, significant decrease in latency to fall off (on rotarod) and increase in
catalepsy duration (in catalepsy test) were observed as compared to the control
group. In the levodopa-treated group, significant increase in latency to fall off
the rotarod and significant decrease in catalepsy duration were observed as
compared to the haloperidol-treated groups. Further, on day 9, varenicline (2.5
mg/kg) significantly increased the latency to fall off the rotarod, while
varenicline (0.5 and 1.5 mg/kg) did not cause any significant change in latency
to fall off the rotarod as compared to the haloperidol-treated group. On day 15,
significant increase in latency to fall off the rotarod was observed in
varenicline (at all doses) as compared to the haloperidol-treated group. In the
catalepsy test, the varenicline-treated (at all doses) groups showed significant
decrease in duration of catalepsy on day 9 and day 15 as compared to the
haloperidol-treated group. Significant decrease in striatal dopamine levels was
observed among the haloperidol-treated groups as compared to the control group.
Further, varenicline-treated (at all doses) and levodopa-treated groups showed
significant increase in striatal dopamine levels when compared with the
haloperidol-treated group. In histology, varenicline (0.5 mg/kg) showed moderate
decrease in neurons, while varenicline (1.5 and 2.5 mg/kg) showed mild decrease
in neurons. However, the levodopa-treated group did not show any significant
decrease in neurons. Thus, varenicline has shown promising results and has
provided novel strategy for the treatment of PD.
PMID- 29634485
TI - Autonomic responses during acute myocardial infarction in the rat model:
implications for arrhythmogenesis.
AB - Background Autonomic responses participate in the pathophysiology of acute
myocardial infarction, but their precise time course remains unclear. Here, we
investigated the autonomic activity and ventricular tachyarrhythmias in
conscious, unrestrained rats post-infarction. Methods The left coronary artery
was ligated in 12 Wistar rats, and six rats were sham operated, followed by 24-h
electrocardiographic recording via implanted telemetry transmitters. Sympathetic
activity was assessed by detrended fluctuation analysis and vagal activity by
time- and frequency-domain analysis of heart rate variability. The duration of
the ventricular tachyarrhythmias was measured, and voluntary motion served as a
marker of heart failure. Results In sham-operated rats, heart rate and
sympathetic activity remained low, whereas vagal activity rose progressively
after the fourth hour. Post-ligation, medium-sized antero-septal necrosis was
observed, reaching ~20% of the left ventricular volume; tachyarrhythmias were
frequent, displaying a bimodal curve, and motion counts were low. Vagal activity
decreased early post-ligation, coinciding with a high incidence of
tachyarrhythmias, but tended to rise subsequently in rats with higher motion
counts. Sympathetic activity increased after the third hour, along with a second
tachyarrhythmia peak, and remained elevated throughout the 24-h period.
Conclusions Vagal withdrawal, followed by gradual sympathetic activation, may
participate in arrhythmogenesis during acute myocardial infarction.
PMID- 29634486
TI - Anti-plasmodial activity of sodium acetate in Plasmodium berghei-infected mice.
PMID- 29634488
TI - Characterization of the exradin W1 plastic scintillation detector for small field
applications in proton therapy.
AB - Accurate dosimetry in small field proton therapy is challenging, particularly for
applications such as ocular therapy, and suitable detectors for this purpose are
sought. The Exradin W1 plastic scintillating fibre detector is known to out
perform most other detectors for determining relative dose factors for small
megavoltage photon beams used in radiotherapy but its potential in small proton
beams has been relatively unexplored in the literature. The 1 mm diameter
cylindrical geometry and near water equivalence of the W1 makes it an attractive
alternative to other detectors. This study examines the dosimetric performance of
the W1 in a 74 MeV proton therapy beam with particular focus on detector response
characteristics relevant to relative dose measurement in small fields suitable
for ocular therapy. Quenching of the scintillation signal is characterized and
demonstrated not to impede relative dose measurements at a fixed depth. The
background cable-only (Cerenkov and radio-fluorescence) signal is 4 orders of
magnitude less than the scintillation signal, greatly simplifying relative dose
measurements. Comparison with other detectors and Monte Carlo simulations
indicate that the W1 is useful for measuring relative dose factors for field
sizes down to 5 mm diameter and shallow spread out Bragg peaks down to 6 mm in
depth.
PMID- 29634487
TI - Association between UCP polymorphisms and adipokines with obesity in Mexican
adolescents.
AB - BACKGROUND: It has been reported that the uncoupling proteins (UCPs) can
contribute to energy metabolism, and are thus involved in the pathogenesis of
obesity. The objective of the study was to analyze the association between UCP
polymorphisms, clinical parameters and leptin and adiponectin plasma levels in an
adolescent population with overweight and obesity. METHODS: We analyzed the UCP1
3826 C/T, UCP2-866 G/A, Ala55Val and UCP3 -55 C/T polymorphisms and the levels of
adipokines in adolescents with normal weight and with overweight or obesity. The
study included 270 students aged between 12 and 18 years categorized according to
the percentiles from Mexico City. Adipokines levels were measured by immunoassay
methods and the UCP polymorphisms were determined using Taqman real-time
polymerase chain reaction (RT-PCR). RESULTS: No significant differences were
found in the UCP polymorphisms in seven inheritance models studied. Most of the
significant differences in the clinical parameters were found under a recessive
model, the UCP2 -866 polymorphism was associated with diastolic blood pressure
(p=0.008), triglycerides (p=0.045), low-density lipoprotein-cholesterol (LDL-C)
(p=0.003), high-density lipoprotein-cholesterol (HDL-C) (p=0.050) and plasma
levels of leptin (p<0.001). Also, the obese group was found to have higher leptin
levels and lower adiponectin levels in GA+AA vs. GG (recessive model).
CONCLUSIONS: This study demonstrated a direct relationship between the clinical
characteristics and UCP2-866 in a recessive model, associated with high levels of
leptin and decreased levels of adiponectin in an obese or overweight Mexican
adolescent population.
PMID- 29634491
TI - Comparison of Anterior Suprascapular, Supraclavicular, and Interscalene Nerve
Block Approaches for Major Outpatient Arthroscopic Shoulder Surgery: A
Randomized, Double-blind, Noninferiority Trial.
AB - BACKGROUND: The interscalene nerve block provides analgesia for shoulder surgery,
but is associated with diaphragm paralysis. One solution may be performing
brachial plexus blocks more distally. This noninferiority study evaluated
analgesia for blocks at the supraclavicular and anterior suprascapular levels,
comparing them individually to the interscalene approach. METHODS: One hundred
eighty-nine subjects undergoing arthroscopic shoulder surgery were recruited to
this double-blind trial and randomized to interscalene, supraclavicular, or
anterior suprascapular block using 15 ml, 0.5% ropivacaine. The primary outcome
was numeric rating scale pain scores analyzed using noninferiority testing. The
predefined noninferiority margin was one point on the 11-point pain scale.
Secondary outcomes included opioid consumption and pulmonary assessments.
RESULTS: All subjects completed the study through the primary outcome analysis.
Mean pain after surgery was: interscalene = 1.9 (95% CI, 1.3 to 2.5),
supraclavicular = 2.3 (1.7 to 2.9), suprascapular = 2.0 (1.4 to 2.6). The primary
outcome, mean pain score difference of supraclavicular-interscalene was 0.4 (-0.4
to 1.2; P = 0.088 for noninferiority) and of suprascapular-interscalene was 0.1 (
0.7 to 0.9; P = 0.012 for noninferiority). Secondary outcomes showed similar
opioid consumption with better preservation of vital capacity in the anterior
suprascapular group (90% baseline [P < 0.001]) and the supraclavicular group (76%
[P = 0.002]) when compared to the interscalene group (67%). CONCLUSIONS: The
anterior suprascapular block, but not the supraclavicular, provides noninferior
analgesia compared to the interscalene approach for major arthroscopic shoulder
surgery. Pulmonary function is best preserved with the anterior suprascapular
nerve block.
PMID- 29634489
TI - CNS myeloid cells critically regulate heat hyperalgesia.
AB - Activation of non-neuronal microglia is thought to play a causal role in spinal
processing of neuropathic pain. To specifically investigate microglia-mediated
effects in a model of neuropathic pain and overcome the methodological
limitations of previous approaches exploring microglia function upon nerve
injury, we selectively ablated resident microglia by intracerebroventricular
ganciclovir infusion into male CD11b-HSVTK-transgenic mice, which was followed by
a rapid, complete, and persistent (23 weeks) repopulation of the CNS by
peripheral myeloid cells. In repopulated mice that underwent sciatic nerve
injury, we observed a normal response to mechanical stimuli, but an absence of
thermal hypersensitivity ipsilateral to the injured nerve. Furthermore, we found
that neuronal expression of calcitonin gene-related peptide (CGRP), which is a
marker of neurons essential for heat responses, was diminished in the dorsal horn
of the spinal cord in repopulated mice. These findings identify distinct
mechanisms for heat and mechanical hypersensitivity and highlight a crucial
contribution of CNS myeloid cells in the facilitation of noxious heat.
PMID- 29634490
TI - Real-world healthcare costs of ipilimumab in patients with advanced cutaneous
melanoma in The Netherlands.
AB - There is limited evidence on the costs associated with ipilimumab. We
investigated healthcare costs of all Dutch patients with advanced cutaneous
melanoma who were treated with ipilimumab. Data were retrieved from the nation
wide Dutch Melanoma Treatment Registry. Costs were determined by applying unit
costs to individual patient resource use. A total of 807 patients who were
diagnosed between July 2012 and July 2015 received ipilimumab in Dutch practice.
The mean (median) episode duration was 6.27 (4.61) months (computed from the
start of ipilimumab until the start of a next treatment, death, or the last date
of follow-up). The average total healthcare costs amounted to &OV0556;81 484, but
varied widely (range: &OV0556;18 131-&OV0556;160 002). Ipilimumab was by far the
most important cost driver (&OV0556;73 739). Other costs were related to hospital
admissions (&OV0556;3323), hospital visits (&OV0556;1791), diagnostics and
imaging (&OV0556;1505), radiotherapy (&OV0556;828), and surgery (&OV0556;297).
Monthly costs for resource use other than ipilimumab were &OV0556;1997 (SD:
&OV0556;2629). Treatment-naive patients (n=344) had higher total costs compared
with previously-treated patients (n=463; &OV0556;85 081 vs. &OV0556;78 811).
Although patients with colitis (n=106) had higher costs for resource use other
than ipilimumab (&OV0556;11 426) compared with patients with other types of
immune-related adverse events (n=90; &OV0556;9850) and patients with no immune
related adverse event (n=611; &OV0556;6796), they had lower total costs
(&OV0556;76 075 vs. &OV0556;87 882 and &OV0556;81 480, respectively). In
conclusion, this nation-wide study provides valuable insights into the healthcare
costs of advanced cutaneous melanoma patients who were treated with ipilimumab in
clinical practice. Most of the costs were attributable to ipilimumab, but the
costs and its distribution varied considerably across subgroups.
PMID- 29634492
TI - Review on Factors Influencing Physician Guideline Adherence in Cardiology.
AB - Cardiovascular disease is the most common cause of death in Western countries.
Physician adherence to guidelines is often suboptimal, resulting in impaired
patient outcome and prognosis. Multiple studies have been conducted to evaluate
patterns and the influencing factors of patient adherence, but little is known
about factors influencing physician guideline adherence. This review aims to
identify factors influencing physician guideline adherence relevant to cardiology
and to provide insights and suggestions for future improvement. Physician
adherence was measured as adherence to standard local medical practice and
applicable guidelines. Female gender and older age had a negative effect on
physician guideline adherence. In addition, independent of the type of heart
disease, physicians without cardiologic specialization were linked to physician
noncompliance. Also, guideline adherence in primary care centers was at a lower
level compared to secondary or tertiary care centers. The importance of guideline
adherence increases as patients age, and complex diseases and comorbidity arise.
Appropriate resources and interventions, taking important factors for
nonadherence in account, are necessary to improve guideline adoption and
adherence in every level of the chain. This in turn should improve patient
outcome.
PMID- 29634493
TI - Metformin: old friend, new ways of action-implication of the gut microbiome?
AB - PURPOSE OF REVIEW: Gut dysbiosis was recently associated with the occurrence of
type 2 diabetes (T2D). In addition to this finding, an increasing number of
studies performed upon the last 5 years have also shown that metformin treatment
leads to changes in gut bacterial composition in diabetic patients. This review
focuses on the articles describing the effects of metformin on gut homeostasis
(including the gut microbiota) and proposes potential mechanisms involved in
those effects. RECENT FINDINGS: Several human and animal studies emphasized that
metformin alters the gut microbiota composition by enhancing the growth of some
bacteria, such as Akkermansia muciniphila, Escherichia spp. or Lactobacillus and
by decreasing the levels of some other ones like Intestinibacter. In-vitro
studies also demonstrated a direct action of metformin on the growth of A.
muciniphila and Bifidobacterium adolescentis. Moreover, in the intestines,
metformin does not only improve the glucose uptake, but it also promotes the
short-chain fatty acid (SCFA) production, protects the intestinal barrier and
regulates the secretion of gut peptides SUMMARY: It is now clear that gut
microbiota participates to the glucose-lowering effects of metformin in the
context of diabetes. Further work is now needed to determine the exact mechanisms
of action of the drug and to understand by which processes metformin is able to
enhance the growth of some bacteria exhibiting beneficial effects for the host.
PMID- 29634494
TI - Assessment of fluid responsiveness: recent advances.
AB - PURPOSE OF REVIEW: In the field of prediction of fluid responsiveness, the most
recent studies have focused on validating new tests, on clarifying the
limitations of older ones, and better defining their modalities. RECENT FINDINGS:
The limitations of pulse pressure/stroke volume variations are numerous, but
recent efforts have been made to overcome these limitations, like in case of low
tidal volume ventilation. Following pulse pressure/stroke volume variations, new
tests have emerged which assess preload responsiveness by challenging cardiac
preload through heart-lung interactions, like during recruitment manoeuvres and
end-expiratory/inspiratory occlusions. Given the risk of fluid overload that is
inherent to the 'classical' fluid challenge, a 'mini' fluid challenge, made of
100 ml of fluid only, has been developed and investigated in recent studies. The
reliability of the passive leg raising test is now well established and the
newest publications have mainly aimed at defining several noninvasive estimates
of cardiac output that can be monitored to assess its effects. SUMMARY: Research
in this field is still very active, such that several indices and tests of fluid
responsiveness are now available. They may contribute to reduce excessive fluid
balance by avoiding unnecessary fluid administration and, also, by ensuring safe
fluid removal.
PMID- 29634496
TI - Recent developments in persufflation for organ preservation.
AB - PURPOSE OF REVIEW: To summarize current literature and recent findings on the
potential of humidified oxygenated gas perfusion (persufflation) as an
alternative method for improved organ preservation. RECENT FINDINGS: Although
there are some conflicting data, the majority of the evidence suggests that
persufflation, by enhancing oxygenation, can improve preservation and even rescue
organs, including organs with prior exposure to warm ischemia. In some cases,
persufflation produced better results than hypothermic machine perfusion. The
timing of persufflation is of importance; benefits of persufflation appear to
increase as the timing of its administration postprocurement decreases. This may
be particularly true for tissues that are more sensitive to ischemia, such as the
pancreas prior to islet isolation. Combining oxygen persufflation with nitric
oxide and addition of pulsatile flow may provide further benefits and amplify its
effects on improving transplant outcomes. SUMMARY: Persufflation is a promising,
relatively simple, preservation technique that enables improved oxygenation,
which provides protection and improvement in the graft condition during
preservation and prior to transplantation. More detailed studies are needed to
optimize persufflation and evaluate its short and long-term effects in vivo.
PMID- 29634495
TI - A behavioral economic analysis of the value-enhancing effects of nicotine and
varenicline and the role of nicotinic acetylcholine receptors in male and female
rats.
AB - Reinforcement value enhancement by nicotine of non-nicotine rewards is believed
to partially motivate smoking behavior. Recently, we showed that the value
enhancing effects of nicotine are well characterized by reinforcer demand models
and that the value-enhancing effects of the smoking-cessation aid bupropion
(Zyban) are distinct from those of nicotine and differ between the sexes. The
present study evaluated potential sex differences in the enhancement effects of
nicotine and varenicline (Chantix) using a reinforcer demand methodology. The
role of alpha4beta2* and alpha7 nicotinic acetylcholine receptors (nAChRs) in the
enhancing effects of nicotine and varenicline is also evaluated. Male and female
rats (n=12/sex) were trained to lever press maintained by sensory reinforcement
by visual stimulus (VS) presentations. Changes in the VS value following nicotine
and varenicline administration were assessed using an established reinforcer
demand approach. Subsequently, the effects of antagonism of alpha4beta2* and
alpha7 nAChRs on varenicline and nicotine-induced enhancement active lever
pressing were assessed using a progressive ratio schedule. Nicotine and
varenicline enhanced VS demand equivalently between the sexes as evaluated by
reinforcer demand. However, alpha4beta2* receptor antagonism attenuated value
enhancement by nicotine and varenicline in females, but only of nicotine in
males.
PMID- 29634497
TI - Psychometric Properties of the Canadian Nurse Informatics Competency Assessment
Scale.
AB - Assessment of nursing informatics competencies has gained momentum in the
scholarly literature in response to the increased need for resources available to
support informatics capacity in nursing. The purpose of this study was to examine
the factor structure and internal consistency reliability of the Canadian Nurse
Informatics Competency Assessment Scale, a newly developed 21-item measure based
on published entry-to-practice informatics competencies for RNs. For this study,
2844 nurses completed the Canadian Nurse Informatics Competency Assessment Scale
through a cross-sectional survey. Exploratory principal component analysis with
oblique promax rotation revealed a four-component/factor structure for the 21
item Canadian Nurse Informatics Competency Assessment Scale, explaining 61.04% of
the variance. Item loading per each component reflected the original Canadian
Association of Schools of Nursing grouping of nursing informatics competency
indicators, as per three key domains of competency: information and knowledge
management (alpha = .85); professional and regulatory accountability (alpha =
.81); and use of information and communication technology in the delivery of
patient care (alpha = .87) with the exception of one item (Indicator 3), which
loaded into the category of foundational information and communication technology
skills (alpha = .81). This study provided preliminary evidence for the construct
validity of the entry-to-practice competency domains and the factor structure and
reliability of the Canadian Nurse Informatics Competency Assessment Scale among
practicing nurses. Further testing among nurses in other settings and among
nursing students is recommended.
PMID- 29634498
TI - Online Clinical Post Conference: Strategies for Meaningful Discussion Using
VoiceThread.
AB - Clinical post conference (CPC) is a strategy for peer learning and reflective
discourse after clinical experiences. Reflective and experiential learning
practices are essential in nursing education to develop students' critical
thinking. Innovative approaches to structure asynchronous online CPC using
VoiceThread offer time for student reflection, while retaining the value of
facial cues, tone, and peer-peer connections occurring during traditional face-to
face CPC.
PMID- 29634499
TI - Achieving Outcomes With Innovative Smart Pump Technology: Partnership, Planning,
and Quality Improvement.
AB - BACKGROUND: A 5-time designated Magnet academic medical center partnered with its
infusion systems supplier to successfully integrate 1327 smart pumps across 45
departments with an aggressive 3-month timeline. The team also achieved quality
improvement (QI) outcomes through increased drug library compliance and decreased
alerts with their new technology. PROBLEM: This large academic medical center
needed to implement innovative wireless infusion pump technology in a short time
frame. APPROACH: The approach involved a strong partnership from the medical
center and the supplier, with extensive planning and collaboration among the
clinical nurse specialists and consultants from both organizations to accomplish
QI goals. Lean principles were also followed to enhance efficiency and
accountability. OUTCOMES: Quality improvement outcomes included 100% drug library
compliance across all 6 intensive care units, a decrease in pump alert rates from
4.18% to 0.79%, and a decrease in pump programming correction rate from 0.36% to
0.06%. CONCLUSIONS: A partnership led to a large implementation being completed
efficiently across an academic medical center. Through these joint efforts,
quality of care was improved within a short period of time.
PMID- 29634500
TI - The Unexpected Life: Living With Hand Transplants.
PMID- 29634501
TI - Comparison of Outcomes of Total Esophageal Reconstruction With Supercharged
Jejunal Flap, Colonic Interposition, and Gastric Pull-up.
AB - BACKGROUND: Esophageal reconstruction following esophagectomy is a complex
operation with significant morbidity. Gastric pull-up (GPU) has historically been
the first-line operation followed by the colonic interposition (CI) graft, but
recently, the use of a pedicled, supercharged jejunal flap (SJF) has reemerged as
an alternative. However, comprehensive reports on outcomes of SJFs remain
limited, with exceedingly few direct comparisons of outcomes. METHODS: A
retrospective chart review was completed for patients who underwent thoracic or
total esophageal reconstruction between 2004 and 2014 at a single institution. A
comparison of patient characteristics and outcomes was performed for 15 patients
reconstructed with an SJF, 4 with CI, and 85 with GPU. RESULTS: Ten patients in
the SJF group and 3 in the CI group underwent prior GPU with complications
resulting in esophageal discontinuity. The CI group had significantly longer
intensive care and overall hospital stays than either other group. Forty percent
(SJF), 100% (CI), and 56% (GPU) experienced at least 1 complication during their
postoperative hospitalization, most frequently bowel obstruction after SJF,
anastomotic leak (CI), and pulmonary complications and arrhythmias (GPU). Rates
of anastomotic leakage were 13% (GPU), 75% (CI), and 13% (SJF). Reoperation was
required in 27% following SJF compared with 75% following CI and 19% following
GPU. There was 1 CI graft failure and no SJF failures. CONCLUSIONS: The SJF is a
reasonable first-line option for esophageal reconstruction, with comparable
recovery, complication rate, and functional outcomes compared with the
traditional GPU. When the stomach is unavailable, the SJF is superior to CI.
PMID- 29634502
TI - Where is the "radical transformation" in nursing education?
PMID- 29634503
TI - Effectiveness and safety of pharmacological sedation for aggressive or agitated
adult patients in a prehospital emergency situation: a systematic review
protocol.
AB - REVIEW QUESTION/OBJECTIVE: The objective of this systematic review is to
identify, evaluate and synthesize evidence on the effectiveness and safety of
pharmacological sedation for aggressive or agitated adult patients in a
prehospital emergency situation.
PMID- 29634504
TI - Preventative interventions, protocols or guidelines for trauma patients at risk
for cervical collar-related pressure ulcers: a scoping review protocol.
AB - REVIEW OBJECTIVE/QUESTION: The objective of this review is to explore the
existing literature related to preventative interventions, protocols or
guidelines for trauma patients at risk for cervical collar-related pressure
ulcers, examine and conceptually map the evidence, and identify any gaps in the
literature.Specifically the review questions are.
PMID- 29634505
TI - Effectiveness of discharge education on outcomes in acute coronary syndrome
patients: a systematic review protocol.
AB - REVIEW QUESTION: The question of this review is what is the effectiveness of
discharge education on outcomes in acute coronary syndrome patients?
PMID- 29634506
TI - Effectiveness of vibratory stimulation on needle-related procedural pain in
children: a systematic review protocol.
AB - REVIEW QUESTION/OBJECTIVE: The objective of this systematic review is to
identify, evaluate and synthesize evidence on the effectiveness of vibratory
stimulation to reduce needle-related procedural pain in children aged 18 years
and under.The review will address the following question: Is vibratory
stimulation effective in reducing needle-related procedural pain in children aged
18 years and under, in comparison with no stimulation for needle-related
procedures?
PMID- 29634507
TI - Lung transplant recipients' experiences of and attitudes towards self-management:
a qualitative systematic review protocol.
AB - REVIEW QUESTION: The question for this review is: what are lung transplant
recipients' experiences of and attitudes towards self-management?
PMID- 29634508
TI - Effectiveness of phosphate binders in adult patients with end stage renal disease
receiving hemodialysis: a systematic review protocol.
AB - REVIEW QUESTION: The question of this review is what is the effectiveness of the
use of phosphate binders on mortality and serum levels of phosphorus, calcium and
intact parathyroid hormone in adult patients with end stage renal disease
receiving hemodialysis?
PMID- 29634509
TI - The concept of child-centered care in healthcare: a scoping review protocol.
AB - REVIEW QUESTION/OBJECTIVE: The objective of this scoping review is to identify
and evaluate the concept of child-centered care (CCC) in healthcare in order to
achieve greater clarity on the concept and its applicability, benefits and
potential to inform the evidence base of children's health care, policy and
practice.The questions of this review are.
PMID- 29634510
TI - Experiences of children exposed to parental post-traumatic stress disorder while
growing up in military and veteran families: a systematic review protocol.
AB - REVIEW QUESTION/OBJECTIVE: The objective of this review is to understand how
parental Post-Traumatic Stress Disorder (PTSD) might impact children growing up
in military and veteran families. The following question will guide and inform
the review: What is the experience of children growing up in military families
where the military or veteran parent is living with post-traumatic stress
disorder?
PMID- 29634511
TI - Older adults' experiences of patient involvement in transitional care: a
qualitative systematic review protocol.
AB - REVIEW QUESTION/OBJECTIVE: The objective of this review is to identify and
synthesize older adult's experiences of patient involvement in transitional care
between hospital and home. The purpose is to build theory to inform future
research and clinical practice.The review will specifically address the following
research questions.
PMID- 29634512
TI - Effects of preoperative intrathecal morphine on postoperative intravenous
morphine dosage: a systematic review protocol.
AB - REVIEW QUESTION/OBJECTIVE: The purpose of this systematic review is to describe
the effect of preoperative intrathecal morphine (ITM) on postoperative
intravenous (IV) morphine dosage during the first postoperative day. This
systematic review will compare the postoperative IV morphine dosage of patients
receiving ITM plus morphine morphine-based patient-controlled analgesia (PCA), to
patients receiving PCA morphine without ITM. This will establish the magnitude of
the postoperative morphine sparing effect of ITM.This review aims to answer the
following specific question: In adult abdominal and thoracic surgery patients
undergoing general anesthesia (GA), what is the effect of ITM plus PCA morphine,
compared to PCA morphine alone, on total IV morphine dosage (in milligrams)
during the first 24 hours after surgery?
PMID- 29634513
TI - Patient involvement interventions for older adults in transitional care between
hospital and primary care: a scoping review protocol.
AB - REVIEW QUESTION/OBJECTIVE: The objective of this scoping review is to identify
and map existing evidence of patient involvement interventions for older adults
in transitional care between hospital and primary care.The questions of this
review are.
PMID- 29634514
TI - Nursing quality indicator outcomes in hospitals with a Clinical Nurse Leader: a
scoping review protocol.
AB - REVIEW QUESTION/OBJECTIVE: What nursing quality indicator outcomes related to
nosocomial infections have been identified in studies reporting upon care of
patients by Clinical Nurse Leaders?The objective of this scoping review is to
explore existing literature related to nursing quality indicator outcomes
specific to nosocomial infections in studies reporting upon care of patients by
Clinical Nurse Leaders, examine and conceptually map the evidence, and identify
any gaps.
PMID- 29634515
TI - Men's perceptions of the impact of the physical consequences of a radical
prostatectomy on their quality of life: a qualitative systematic review.
AB - OBJECTIVE: To identify men's perceptions of the impact of the physical
consequences of a radical prostatectomy on their quality of life. INTRODUCTION:
Prostate cancer is the most common male cancer and second most common cause of
cancer death of men in the Western world. Compared to other prostate cancer
treatments, trials report worse urinary incontinence and sexual function and
similar bowel function among men with prostate-specific antigen detected prostate
cancer who underwent radicalized prostatectomy. INCLUSION CRITERIA: This review
included men of all ages and nationalities who had undergone a radical
prostatectomy as treatment for any stage of prostate cancer. It considered
studies that investigated:Any setting where the topic was addressed with
participants meeting the inclusion criteria was included. The review considered
studies that focused on qualitative data including, but not limited to:
phenomenology, grounded theory, ethnography and action research. Studies were
included if they reported results relating to one or more of the phenomena of
interest. Studies not written in English were excluded. METHODS: The search
strategy aimed to find published studies from six databases from database
inception to November 2017. Methodological quality of studies was independently
assessed by two reviewers using the standardized JBI Critical Appraisal Checklist
for Qualitative Research. For data extraction, the standardized Joanna Briggs
Institute System for the Unified Management, Assessment and Review of Information
(JBI SUMARI) data extraction tool was used. A meta-aggregation was undertaken and
the final synthesis of the findings was reached through discussion. Results are
presented as five aggregated qualitative syntheses. RESULTS: Nineteen qualitative
studies were included in the review. The five synthesized findings were:
CONCLUSIONS:: Urinary incontinence and erectile dysfunction are significant side
effects of radical prostatectomy which have a negative impact on men's quality of
life for which they feel ill prepared, and physical and psychosocial support is
essential.
PMID- 29634516
TI - Effectiveness of complex falls prevention interventions in residential aged care
settings: a systematic review.
AB - OBJECTIVE: The objective of this review was to synthesize the best available
evidence for the effectiveness of complex falls prevention interventions
delivered at two or more of the following levels: resident, facility or
organization, on fall rates in the residential aged care (RAC) population.
INTRODUCTION: Preventing falls in the high risk RAC population is a common global
goal with acknowledged complexity. Previous meta-analyses have not specifically
addressed complexity, described as falls prevention intervention delivery at
multiple levels of a RAC organization, to determine its effect on fall outcomes.
INCLUSION CRITERIA: The current review considered studies that included
participants who were aged 65 years and over residing in long-term care settings
providing 24-hour supervision and/or care assistance.Studies that evaluated
complex falls prevention interventions delivered by single discipline or
multidisciplinary teams across at least two or all of the following levels:
residents, RAC facility and RAC organization were eligible. Experimental study
designs including randomized controlled trials, controlled clinical trials and
quasi-experimental trials that reported on measures related to fall incidence
were considered, namely, rate of falls (expressed as the number of falls per 1000
occupied bed days), the number of participants who became fallers (expressed as
the number of participants who fell once or more) and the rate of injurious falls
(expressed as the number of falls with injury per 1000 occupied bed days).
METHODS: A three-step search strategy was undertaken, commencing with an initial
scoping search of MEDLINE and CINAHL databases prior to an extensive search of
all relevant published literature, clinical trial registries and gray literature.
Two independent reviewers assessed selected studies for methodological validity
using the standardized critical appraisal instrument from the Joanna Briggs
Institute System for the Unified Management, Assessment and Review of Information
(JBI SUMARI). Data were extracted from the selected studies using the
standardized data extraction tool from JBI SUMARI. Quantitative data were pooled
in statistical meta-analysis for rate of falls, the number of participants who
became fallers and the rate of injurious falls. Meta-analysis was conducted using
a random-effect model with heterogeneity assessed using the standard Chi-squared
and I index. Where statistical pooling was not possible, study findings were
presented in narrative form. RESULTS: Twelve studies were included in this review
with seven being eligible for meta-analysis. Complex falls prevention
interventions delivered at multiple levels in RAC populations did not show a
significant effect in reducing fall rates (MD = -1.29; 95% CI [-3.01, 0.43]), or
the proportion of residents who fell (OR = 0.76; 95% CI [0.42, 1.38]). However, a
sensitivity analysis suggested complex falls prevention interventions delivered
with additional resources at multiple levels had a significant positive effect in
reducing fall rates (MD = -2.26; 95% CI [-3.72, -0.80]). CONCLUSIONS: Complex
falls prevention interventions delivered at multiple levels in the RAC population
may reduce fall rates when additional staffing, expertise or resources are
provided. Organizations may need to determine how resources can be allocated to
best address falls prevention management. Future research should continue to
investigate which combinations of multifactorial interventions are effective.
PMID- 29634517
TI - Action research in the healthcare field: a scoping review.
AB - OBJECTIVE: This scoping review aimed to explore international literature related
to the application of action research in studies in the healthcare context.
INTRODUCTION: Action research is an approach that involves collaboration to
develop a process through knowledge building and social change. Several
viewpoints of action research have been introduced as the different traditions of
developed action research. To develop a coherent process, researchers have to
posit their worldviews and theoretical framework and align these with the
research aims and procedures, and local transformation needs. This diversity
leads to a variety of action research practices in healthcare. Particularly, in
this review, we raised the need for examining participants' experiences and
changes related to the action research process. INCLUSION CRITERIA: This scoping
review considered studies that included any professional healthcare provider,
patient or recipient of healthcare products or services involved in action
research. Studies that used the action research methodology in the healthcare
context were included. All quantitative and qualitative studies were considered.
The quantitative component considered experimental and epidemiological studies,
whereas the qualitative component considered studies that focused on qualitative
data. METHODS: A three-step search strategy was used in this review. MEDLINE,
CINAHL, Web of Science, Social Sciences, ERIC, PsycINFO, Health Source,
ScienceDirect, Wiley and SciELO databases were searched with no publication date
limitation. Studies published in English, Portuguese and Spanish were included.
The data were extracted using a charting table, which was developed to record key
information from sources relevant to the review question. The findings were
descriptively presented, with tables and figures to support the data when
appropriate. RESULTS: We included 124 studies with different aims and procedures.
The levels of participation ranged from no real input into or influence on the
research process to democratic knowledge sharing and collective understanding.
The action research processes occurred in diverse settings in the healthcare
context, and participants with different objectives and demands took part in the
research.From study objectives, knowledge building, and social change data, we
developed three domains and categorized the studies. In general, Europe and
Australia published more studies in the organizational domain and mainly had
healthcare stakeholders as participants. North America published more studies in
the individual domain, and Latin America, the continent with a higher percentage
of publications, published studies that were more frequently related to the
collective domain. Asia and Africa did not have a consistent number of
publications. There was a major repercussion on the health promotion perspective
in North America and Latin America. CONCLUSIONS: There are several ways of
conducting action research in healthcare that consider the researcher's aims and
theoretical assumptions. Further qualitative systematic review questions may
arise from the results and conclusions of this scoping review.
PMID- 29634518
TI - Treatment of nipple pain in breastfeeding women in the postpartum ward of the
University Hospital of Lausanne: a best practice implementation project.
AB - INTRODUCTION: Breast milk represents the optimal nutrition for a child and is
recommended during the first six months. However, nipple pain often occurs during
the onset of lactation and may affect breastfeeding and exclusive breastfeeding
duration rates. Multiple treatments are available in the postpartum units of the
Lausanne University Hospital (CHUV, Lausanne, Switzerland) and are used
empirically, according to caregiver preferences. OBJECTIVES: The aim of this
project is to implement a care plan for nipple pain according to best
recommendations and evidence-based studies. METHODS: The project was developed
using the Joanna Briggs Institute framework and Practical Application of Clinical
Evidence System (JBI-PACES). Five audit criteria were used in pre- and post
audits to observe any changes in compliance with the recommendations. The Getting
Research into Practice (GRiP) was used between audits to identify stakeholders,
barriers and facilitators of the project. RESULTS: Compliance in four criteria
improved, with no increase in pain experienced by breastfeeding mothers. More
women received adequate information (from 47% to 64%) and support (from 47% to
58%). Each item under criteria 2 (adequate information) and 3 (adequate support)
showed improvement. The adequate management of nipple pain also increased from 8%
to 31%. However, it remains an important issue to consider. CONCLUSIONS: Despite
the limitations associated with small samples, the results of this project show
that implementation of best practice is possible, even though it involved the
withdrawal of unnecessary treatment. Another evaluation is necessary in the
future to consolidate and improve these results.
PMID- 29634519
TI - Management of dexamethasone-induced hyperglycemia in patients undergoing
chemotherapy in an outpatient setting: a best practice implementation project.
AB - OBJECTIVES: The objective of this project was to implement best practice in an
outpatient clinical setting in order to increase both nursing staff and patients'
knowledge and awareness on the importance of blood sugar management during
chemotherapy and to show that through compliance with best practice, the
incidence of dexamethasone-induced hyperglycemia during chemotherapy can be
minimized. INTRODUCTION: Steroid-induced hyperglycemia is a commonly neglected
symptom in cancer treatment, contributing to poor patient prognosis and extended
hospital stay. Evidence shows that controlled blood sugar during chemotherapy is
associated with improved patient outcomes and better tolerance to cancer
treatment. For the purpose of this paper steroid-induced hyperglycemia will be
referred to as dexamethasone-induced hyperglycemia. METHODS: This project
utilized the Joanna Briggs Institute Practical Application of Clinical Evidence
System (JBI-PACES) and Getting Research into Practice (GRiP) audit tools to
promote compliance in the clinical setting. Thirty patients participated in the
audit, which was executed by nursing staff in the Medical Oncology Outpatient
Unit at the Cancer Ambulatory and Community Health Support Department at the
Canberra Hospital. RESULTS: The baseline audit revealed large gaps between best
practice and current practice. This underlined the need for more education for
both nursing staff and patients. Other barriers such as the absence of assessment
and documentation by the clinicians and the minimum number of potential referrals
to the diabetes educator were addressed by encouraging patients to speak about
their diabetes, and also in the development of a simplified referral process in
order to have patients reviewed by the Diabetes Educator in a timely manner.
There were significant improvements after more information sessions were held and
more resources made available to both nursing staff and patients, but there were
also minimal to zero compliance drop on parts of the follow-up audit.
CONCLUSIONS: In an attempt to improve patient outcome during chemotherapy, the
project has succeeded in changing the attitude towards blood sugar control, and
in increasing knowledge and awareness of dexamethasone-induced hyperglycemia
among nursing staff and patients. However, there is room for improvement, based
on the results of the implementation project. Future audits are planned to ensure
sustainability.
PMID- 29634520
TI - Why Aren't There More Female Pain Medicine Physicians?
AB - Despite a growing awareness about the importance of gender equity and the rising
number of women in medicine, women remain persistently underrepresented in pain
medicine and anesthesiology. Pain medicine ranks among the bottom quartile of
medical specialties in terms of female applicants, female trainees, and
proportion of female practitioners. Female pain medicine physicians are also
notably disadvantaged compared with their male colleagues in most objective
metrics of gender equity, which include financial compensation, career
advancement, public recognition, and leadership positions. Increased gender
diversity among pain medicine physicians is vital to fostering excellence in pain
research, education, and clinical care, as well as creating a high-quality work
environment. Pain medicine stands at a crossroads as a specialty, and must
examine reasons for its current gender gap and consider a call to action to
address this important issue.
PMID- 29634521
TI - Sex-Mediated Response to the Beta-Blocker Landiolol in Sepsis: An Experimental,
Randomized Study.
AB - OBJECTIVES: To investigate any gender effect of the beta-1 adrenergic blocker,
landiolol, on cardiac performance and energy metabolism in septic rats, and to
explore the expression of genes and proteins involved in this process. DESIGN:
Randomized animal study. SETTING: University research laboratory. SUBJECTS: Male
and female Wistar rats. INTERVENTIONS: One hour after cecal ligation and
puncture, male and female rats were randomly allocated to the following groups:
sham male, cecal ligation and puncture male, cecal ligation and puncture +
landiolol male, sham female, cecal ligation and puncture female, and cecal
ligation and puncture + landiolol female. Cardiac MRI was carried out 18 hours
after cecal ligation and puncture to assess in vivo cardiac function. Ex vivo
cardiac function measurement and P magnetic resonance spectroscopy were
subsequently performed using an isovolumic isolated heart preparation. Finally,
we assessed cardiac gene and protein expression. MEASUREMENTS AND MAIN RESULTS:
In males, landiolol increased indexed stroke volume by reversing the indexed end
diastolic volume reduction without affecting left ventricle ejection fraction. In
females, landiolol did not increase indexed stroke volume and indexed end
diastolic volume but decreased left ventricle ejection fraction. Landiolol had no
effect on ex vivo cardiac function and on high-energy phosphate compounds. The
effect of landiolol on the gene expression of natriuretic peptide receptor 3 and
on protein expression of phosphorylated-AKT:AKT ratio and endothelial nitric
oxide synthase was different in males and females. CONCLUSIONS: Landiolol
improved the in vivo cardiac performance of septic male rats while deleterious
effects were reported in females. Expression of natriuretic peptide receptor 3,
phosphorylated-AKT:AKT, and endothelial nitric oxide synthase are signaling
pathways to investigate to better understand the sex differences in sepsis.
PMID- 29634522
TI - Continuous Pectoral Fascia Blocks for Postoperative Analgesia After Median
Sternotomy: A Case Report.
AB - We report a novel case of a patient who presented for aortic valve replacement
via median sternotomy. Bilateral continuous pectoral fascia blocks were placed to
provide postoperative analgesia. Both numerical rating scale pain scores and
opioid consumption after surgery were suggestive of analgesic benefit.
PMID- 29634523
TI - Parturient With Barnes Syndrome (Thoracolaryngopelvic Dysplasia) Undergoing
Cesarean Delivery of a Neonate With Barnes Syndrome: A Case Report.
AB - This case describes a parturient with Barnes syndrome, a rare disorder
characterized by subglottic stenosis, thoracic dystrophy, and small pelvic inlet,
who underwent cesarean delivery of a neonate diagnosed with Barnes syndrome. Live
simulation training was performed by multidisciplinary team to prepare for the
spinal anesthetic, personnel flow between 2 operating rooms, and management of
various airway scenarios for the newborn. After delivery, the neonate underwent
laryngoscopy-bronchoscopy with successful intubation in the operating room
because of labored breathing. Airway evaluation revealed subglottic stenosis,
tracheomalacia/bronchomalacia. Collaboration among perinatologists,
obstetric/pediatric anesthesiologists, pediatric head and neck surgeons, and
neonatologists was integral to perioperative management of both the mother and
child.
PMID- 29634524
TI - Anterior Cutaneous Nerve Entrapment Syndrome in a Pediatric Patient Previously
Diagnosed With Functional Abdominal Pain: A Case Report.
AB - Chronic abdominal pain is common in children and adolescents but challenging to
diagnose, because practitioners may be concerned about missing serious occult
disease. Abdominal wall pain is an often ignored etiology for chronic abdominal
pain. Anterior cutaneous nerve entrapment syndrome causes abdominal wall pain but
is frequently overlooked. Correctly diagnosing patients with anterior cutaneous
nerve entrapment syndrome is important because nerve block interventions are
highly successful in the remittance of pain. Here, we present the case of a
pediatric patient who received a diagnosis of functional abdominal pain but
experienced pain remittance after receiving a trigger-point injection and
transverse abdominis plane block.
PMID- 29634525
TI - It Is Not Always the Epidural: A Case Report of Anterior Spinal Artery Ischemia
in a Trauma Patient.
AB - Motor vehicle collisions impact millions of people annually resulting in
multiinjury trauma. Anesthesiologists are consulted for rib fracture analgesia to
improve respiratory mechanics and prevent intubation. This report describes a
trauma patient who developed hypotension and lower extremity weakness after
epidural placement for multiple rib fractures. Initially, hypotension was
attributed to neuraxial sympathectomy. However, physical examination also
indicated anterior spinal artery ischemia. Regional anesthesia and acute pain
teams must be able to both identify contraindications and complications of
regional techniques and discern when complications are not a result of regional
interventions to initiate prompt management and definitive care.
PMID- 29634526
TI - Serial Gastric Ultrasound to Evaluate Gastric Emptying After Prokinetic Therapy
With Domperidone and Erythromycin in a Surgical Patient With a Full Stomach: A
Case Report.
AB - Fasting guidelines are used to prevent perioperative aspiration but they are only
reliable in healthy elective patients. Point-of-care gastric ultrasound allows
qualitative and quantitative evaluation of gastric contents at the bedside. This
case report describes the use of serial gastric point-of-care ultrasound to
evaluate the effect of prokinetic therapy with domperidone and erythromycin in an
elective surgical patient with multiple comorbidities who presented with a full
stomach.
PMID- 29634527
TI - Two-Level Continuous Thoracic Paravertebral Nerve Blocks Providing Opioid-Free
Postoperative Analgesia After Latissimus Dorsi Flap Breast Reconstruction: A Case
Report.
AB - We present the case of a 55-year-old woman undergoing a delayed latissimus dorsi
flap breast reconstruction after a simple mastectomy for breast cancer.
Preoperatively, 2 unilateral paravertebral catheters were placed at T3/4 and T7/8
for postoperative analgesia. Postoperatively, ropivacaine 0.2% was infused until
the day of discharge on postoperative day 2. The patient had excellent
postoperative analgesia and required no opioids or other analgesics through
postoperative day 10. We report that multilevel paravertebral nerve blockade
could represent an opioid-sparing alternative for postoperative analgesia for
latissimus dorsi flap breast reconstruction patients.
PMID- 29634528
TI - Safe Use of Epidural Analgesia in a Parturient With Spinocerebellar Ataxia: A
Case Report.
AB - A 28-year-old, gravida 7, para 4 woman with medical history of sickle cell trait
presented to labor and delivery at 39 weeks of gestation for rupture of
membranes. The patient had a history of suspected spinocerebellar ataxia with
incomplete workup before the current admission. The patient requested epidural
analgesia for labor. Epidural was placed at L3-L4 interspace without any
complications and the rest of the labor was uneventful. Magnetic resonance
imaging of the brain was performed after the delivery and the diagnosis of
spinocerebellar ataxia was confirmed. The patient's neurological status remained
stable after the procedure.
PMID- 29634529
TI - Fiberoptic-Guided Use of an Airway Exchange Catheter During Exchange of a Kinked
King LTS-D Laryngeal Tube for an Endotracheal Tube: A Case Report.
AB - The use of supraglottic airway devices such as the King LTS-D laryngeal tube has
increased in the prehospital setting because of their relative ease of successful
insertion, even in the hands of inexperienced providers. However, these devices
have their own associated complications. In patients with a known or suspected
difficult airway, supraglottic airway device exchanges should occur under
controlled conditions using an airway exchange catheter, preferably under direct
visualization with a flexible fiberoptic bronchoscope. We report unanticipated
difficulties with supraglottic airway exchange caused by a kinked King LTS-D
laryngeal tube.
PMID- 29634530
TI - Treatment of Transradial Angiogram Catheter Entrapment by Axillary Artery Spasm
With Supraclavicular Brachial Plexus Block: A Case Report.
AB - We present a 36-year-old female patient who underwent transradial cerebrovascular
angiography because of acute putaminal hemorrhage. Catheter entrapment occurred
with severe pain in the right upper arm proximal to the elbow. A subclavian
artery angiogram by way of a transfemoral crossover catheter revealed severe
vasospasm in the axillary artery distal to the branch point between it and the
posterior brachial circumflex artery. Diazepam 5 mg intravenously (IV) through a
peripheral catheter, lidocaine 0.5% 5 mL injected subcutaneously, and lidocaine
2% 4 mL IV isosorbide dinitrate 2 mg IV through the angiographic crossover
catheter failed to relieve the pain and catheter entrapment. An ultrasound-guided
supraclavicular brachial plexus block relieved both within 3 minutes. In the
past, general anesthesia would have been administered.
PMID- 29634531
TI - Oropharyngeal Stenosis Leading to an Unanticipated Difficult Airway in a Patient
After Uvulopalatopharyngoplasty: A Case Report and Review of the Literature.
AB - Oropharyngeal stenosis can manifest as a rare complication after surgery for
obstructive sleep apnea or tonsillectomy. Scar tissue formation from
oropharyngeal stenosis may impede tracheal intubation or laryngeal mask airway
insertion. We report the case of an asymptomatic adult woman found to have
oropharyngeal stenosis after induction of anesthesia and discuss the management
of this challenging scenario. We also contrast oropharyngeal stenosis with
nasopharyngeal stenosis, another rare complication of uvulopalatopharyngoplasty.
PMID- 29634532
TI - Traumatic Tracheobronchial Laceration Causing Complete Tracheal Resection:
Challenges of Anesthetic Management.
AB - We report the case of a 9-year-old girl who sustained blunt trauma to the chest
and presented for emergent repair of a complete tracheobronchial laceration.
Tracheobronchial laceration is potentially life threatening. While conservative
management has been described for simple tears, more complex injuries require
surgical repair. We discuss the anesthetic challenges, airway management, and
ventilation options for surgical repair in a child with a complex laceration
involving the tracheobronchial tree.
PMID- 29634533
TI - Linezolid-Induced Lactic Acidosis Sets Stage for Surgery to Rule Out Mesenteric
Ischemia: A Case Report.
AB - An 81-year-old woman with extensive peripheral vascular and coronary artery
disease was admitted to the intensive care unit with a deep heel abscess and
urinary tract infection. When cultures from the heel ulcer yielded vancomycin
resistant enterococci, she was started on the antibiotic linezolid. After several
days of intravenous linezolid therapy, she developed severe lactic acidosis (pH
6.89) and elevation of pancreatic enzymes. An emergent exploratory laparotomy was
performed to rule out mesenteric ischemia. Findings from the laparotomy were
negative, and after elimination of other differential diagnoses, the metabolic
acidosis was ultimately attributed to linezolid. Acidosis resolved after
discontinuation of linezolid.
PMID- 29634534
TI - Ultrasound Imaging of the Inferior Vena Cava to Confirm Correct Guidewire
Placement After Femoral Venous Cannulation in Critically Ill Neonates: A Case
Report.
AB - Ultrasound-guided femoral venous cannulation is frequently performed in neonates.
Identification of femoral vessels under ultrasound navigation is unreliable and
time consuming in hemodynamically unstable neonates with feeble femoral arterial
pulse. Confirming the guidewire placement in a femoral vein is a crucial step for
preventing inadvertent femoral artery dilation, which may be a challenging task
in an emergency situation. We describe 2 incidents wherein guidewires placed via
femoral veins in neonates were detected in the inferior vena cava on abdominal
ultrasound. We advocate abdominal inferior vena cava imaging to confirm the
correct placement of a guidewire placed via femoral vein in emergency situations.
PMID- 29634535
TI - Focused Cardiac Ultrasound Determines Cause of Cardiovascular Collapse During
Thoracic Surgery in a Patient With Undiagnosed Pulmonary Hypertension: A Case
Report.
AB - Patients with severe pulmonary hypertension (PHT) represent a high-risk
population when undergoing noncardiac surgery. During thoracic surgery with 1
lung ventilation, atelectasis of the operative lung, and frequently associated
hypoxemia, is likely to exacerbate PHT and precipitate acute right ventricular
failure. We present a patient with previously undiagnosed PHT who suffered 2
cardiac arrests during emergent thoracic surgery for empyema. After successful
resuscitation in the operating room, she subsequently required prolonged
venoarterial extracorporeal membrane oxygenation. Focused transthoracic
echocardiography to evaluate cardiac function was critical in the diagnosis of
PHT and subsequent treatment with sildenafil and nifedipine when discharged from
the hospital.
PMID- 29634536
TI - Intraoperative Venovenous Extracorporeal Membrane Oxygenation as Rescue for a
Patient With an Inhalational Burn and Iatrogenic Upper Airway Injury: A Case
Report.
AB - Venovenous extracorporeal membrane oxygenation (VV-ECMO) is a well-established
alternative oxygenation method for critically ill patients. A 58-year-old male
was transferred to our level 1 trauma and burn center after sustaining an
inhalational injury from a carburetor explosion, with subsequent iatrogenic
tracheal injury and emergent cricothyrotomy before arrival. During attempted
surgical airway stabilization, our ability to ventilate and oxygenate was
compromised. Intraoperative VV-ECMO enabled rescue from severe hypoxemia and
subsequent recovery without lasting neurologic sequelae. This case highlights the
utility of VV-ECMO for acute intraoperative rescue.
PMID- 29634537
TI - Vitamin C for Vasoplegia After Cardiopulmonary Bypass: A Case Series.
AB - Cardiac vasoplegia remains a significant contributor of morbidity and mortality
in cardiac surgery patients after cardiopulmonary bypass. Effective therapeutic
options for vasopressor-refractory vasoplegia are limited. We report 3 patients
in whom we administered high-dose intravenous ascorbic acid (vitamin C), a
cofactor for endogenous catecholamine synthesis, to treat vasoplegia refractory
to epinephrine, vasopressin, and norepinephrine after surgery requiring
cardiopulmonary bypass. Reductions in vasopressor requirements were observed in
all 3 patients, and, in 2 patients, norepinephrine was completely discontinued
within 24 hours. Ascorbic acid is a novel potential therapeutic option for
cardiac vasoplegia that warrants rigorous prospective studies.
PMID- 29634538
TI - Ruptured Giant Coronary Artery Aneurysm With Coronary Artery to Pulmonary Artery
Fistula Presenting as Cardiac Tamponade Diagnosed by Intraoperative
Transesophageal Echocardiography: A Case Report.
AB - A 63-year-old woman presented with cardiac tamponade because of a ruptured giant
left anterior descending coronary artery aneurysm with a fistula to the main
pulmonary artery. The diagnosis was made intraoperatively during an emergent
subxiphoid pericardial window using transesophageal echocardiography and
confirmed by intraoperative coronary angiography. Because of this prompt
diagnosis, the patient was successfully managed with immediate surgical repair of
the aneurysm and fistula.
PMID- 29634539
TI - Desmopressin Reverses Overly Rapid Serum Sodium Correction in a Hyponatremic
Patient Undergoing Living Donor Liver Transplantation: A Case Report.
AB - Patients with end-stage liver disease are often hyponatremic due to multiple
physiological processes associated with hepatic failure. For severely
hyponatremic patients undergoing liver transplantation, intraoperative management
of serum sodium concentration ([Na]s) is challenging. [Na]s tends to increase
during transplantation by the administration of fluids with higher sodium
concentration than the patient's [Na]s. An overly rapid increase in [Na]s (>1
mEq.L.hour) is difficult to avoid and increases the risk of serious perioperative
complications. We report the successful use of intravenous desmopressin to
reverse an overly rapid rise in [Na]s during living donor liver transplantation.
PMID- 29634540
TI - Perioperative Extracorporeal Cardiopulmonary Resuscitation: The Defibrillator of
the 21st Century?: A Case Report.
AB - Veno-arterial-extracorporeal membrane oxygenation (ECMO) for cardiopulmonary
resuscitation (ECMO-CPR) has been recommended by new resuscitation guidelines in
the United Kingdom. Our recently established yet unfunded ECMO-CPR service has
thus far treated 6 patients, with 3 making a good recovery. One patient suffered
a catastrophic perioperative complication through glycine absorption and we are
in no doubt that she would not have survived without ECMO. We argue for a
pragmatic approach to funding of ECMO-CPR because observational evidence suggests
superiority over traditional resuscitation and there exists major methodological
and ethical barriers to randomized controlled studies. We also call for high
quality observational evidence in the perioperative setting.
PMID- 29634541
TI - Fluctuating Pulse Oximetry Readings in an Adolescent With Hemoglobin Bibba: A
Case Report.
AB - Hemoglobinopathies caused by unstable hemoglobins represent a wide group of
diseases that include hemoglobin Bibba. Hemoglobin instability may cause chronic
hemolytic anemia and conformational changes in hemoglobin that affect affinity
for oxygen and absorption of wavelengths of light used in pulse oximetry devices.
We report the case of an adolescent with hemoglobin Bibba and alpha-thalassemia.
SpO2 was unexpectedly low despite stable vital signs when his hemoglobin was
closer to 10 g/dL and normal when his hemoglobin was lower, presumably when there
was less unstable hemoglobin because of hemolysis.
PMID- 29634542
TI - Sphenopalatine Ganglion Block to Treat Shoulder Tip Pain After Thoracic Surgery:
Report of 2 Cases.
AB - Shoulder tip pain may occur after thoracic surgical procedures. The pain is
caused by diaphragmatic irritation and is referred to the shoulder. Shoulder tip
pain is often resistant to treatment with conventional analgesics. The
sphenopalatine ganglion block has been described to manage many painful
conditions. We report here the first use of this block to treat shoulder tip pain
in 2 thoracic surgical patients. In both patients, the block produced rapid and
sustained relief of the shoulder tip pain. We suggest that sphenopalatine
ganglion block be considered to treat postoperative shoulder tip pain after
thoracic surgical procedures.
PMID- 29634543
TI - Pocket-Size Ultrasound Examination: Assessment of Gastric Contents.
PMID- 29634544
TI - Successful Relief of Back Pain From Baastrup Disease (Kissing Spines) by
Interspinous Radiofrequency Lesioning: A Case Report.
AB - Baastrup disease is a condition where spinous processes form painful
pseudoarticulations. We present a patient with midline axial back pain consistent
with Baastrup disease confirmed by computed tomography, which revealed
degenerative changes along the opposing surfaces of the spinous processes at L1-2
and L2-3. Local anesthetic and steroid interspinous injections lost their
effectiveness over time. She underwent successful interspinous radiofrequency
lesioning, which has not been previously described in the literature. At 4 months
follow-up, she reported complete pain relief.
PMID- 29634545
TI - Intraoperative Use of Desmopressin for Treatment of Profound Hyponatremia in the
Setting of Emergency Surgery: A Case Report.
AB - Correction of profound hyponatremia requires careful planning and close
monitoring to reduce the risks of neurologic injury. Although there are various
suggested treatment strategies in the setting of a medical ward or intensive care
unit, reports of intraoperative management to prevent rapid increases in serum
sodium are lacking. We present a case of profound hyponatremia of 102 mmol/L in a
patient who required emergent operative repair for bowel obstruction. This is the
first case to our knowledge that demonstrates a perioperative fluid and
desmopressin treatment strategy to prevent overly rapid changes of sodium
concentration in a patient with severe hyponatremia.
PMID- 29634546
TI - When Guidelines Fail: Euglycemic Diabetic Ketoacidosis After Bariatric Surgery in
a Patient Taking a Sodium-Glucose Cotransporter-2 Inhibitor: A Case Report.
AB - A 42-year-old woman with diabetes mellitus type 2 treated with the sodium-glucose
cotransporter-2 inhibitor canagliflozin underwent elective bariatric gastric
bypass. The canagliflozin was held for 24 hours preoperatively. She
physiologically decompensated on postoperative day 2. Ultimately, she was
diagnosed with euglycemic diabetic ketoacidosis that required intensive care
management. This diagnosis was challenging to make as the patient never became
hyperglycemic. We use this case to discuss the pharmacology and potential risk of
perioperative sodium glucose cotransporter-2 inhibitor administration and to
advocate for revision of current guidelines regarding the perioperative
management of these agents.
PMID- 29634547
TI - Celiac Plexus Block as a Predictor of Surgical Outcome for Sympathetically
Mediated Abdominal Pain in a Case of Suspected Median Arcuate Ligament Syndrome:
A Case Report.
AB - Median arcuate ligament syndrome (MALS), also known as celiac artery compression
syndrome, is an uncommon condition classically characterized by chronic abdominal
pain, weight loss, and abdominal bruit. Chronic mesenteric ischemia caused by
intermittent compression of the celiac artery by the MAL provokes upper abdominal
pain that is sympathetically mediated via the celiac plexus. Because it is a
diagnosis of exclusion, diagnosis of MALS in the clinical setting is typically
challenging. We present an atypical case which highlights the utility of celiac
plexus block as both an assistant diagnostic tool and a predictor of surgical
outcomes for suspected MALS.
PMID- 29634548
TI - Treatment of Postherpetic Neuralgia With Intravenous Administration of Zinc
Sulfate: A Case Report.
AB - Gabapentinoids (gabapentin and pregabalin) are first-line drugs for postherpetic
neuralgia (PHN), but some PHN patients have inadequate therapeutic response. Zinc
deficiency has been identified as a risk factor for PHN. Zinc can alleviate pain
through binding to Ca(v)3.2 T-channels and N-methyl-D-aspartate receptors.
Mechanisms of gabapentinoids on neuropathic pain include inhibiting N-methyl-D
aspartate receptors and calcium channels. The aforementioned findings provide a
molecular pain-relieving basis for zinc supplements as an add-on therapy to
pregabalin. We report 2 zinc-deficient PHN patients who received zinc sulfate
intravenously as an add-on therapy to pregabalin and responded well.
PMID- 29634549
TI - Treatment of Refractory Intraoperative Hypoxemia After Trauma With Venovenous
Extracorporeal Membrane Oxygenation: A Case Report.
AB - Extracorporeal membrane oxygenation has emerged as a treatment of choice for
refractory hypoxemia in the intensive care unit. Severe hypoxemia unresponsive to
conventional lung-protective mechanical ventilation could also occur in the
operating room from severe bronchospasm, pulmonary contusions, or acute
respiratory distress syndrome. We report a case of acute hypoxic respiratory
failure in an adolescent with blunt chest trauma that was successfully managed
with the intraoperative initiation of venovenous extracorporeal membrane
oxygenation during the initial damage control surgery.
PMID- 29634550
TI - Ultrasound-Guided Pericapsular Hip Joint Alcohol Neurolysis for the Treatment of
Hip Pain: A Case Report of a Novel Approach.
AB - Severe pain after a hip fracture commonly delays hospital discharge and poses
significant nursing problems in patients who are not surgical candidates. We
present ultrasound-guided pericapsular hip alcohol neurolysis of the articular
branches of the femoral and obturator nerves as a novel approach in the treatment
of severe pain after hip fracture. This technique provided excellent pain relief
in a 94-year-old patient with intertrochanteric hip fracture until her death 2
months later.
PMID- 29634551
TI - Transfusion-Associated Circulatory Overload as a Result of Plasma Transfusion to
Correct International Normalized Ratio Before an Invasive Procedure: A Case
Report.
AB - Plasma transfusion is commonly used to correct elevated international normalized
ratio (INR) before invasive procedures. A 54-year-old woman presented to the
emergency department with abdominal pain. Workup revealed Streptococcus
pneumoniae peritonitis. Her hospitalization was complicated by respiratory
failure, fluid overload, atrial fibrillation, and acute kidney injury. Patient
underwent 2 paracentesis (9 L removed). Four units of plasma were transfused to
correct an INR of 3.0 (goal 1.5) for a transjugular intrahepatic portosystemic
shunt procedure. INR remained at 1.9, and she developed acute pulmonary edema and
died within 24 hours. Prothrombin complex concentrates may have been a more
appropriate treatment option in this case.
PMID- 29634552
TI - Naloxegol to Treat Constipation in a Patient Taking Opioids for Cancer Pain: A
Case Report.
AB - Opioid-induced constipation (OIC) is a common gastrointestinal adverse effect of
opioids, which can severely affect compliance and adherence to pain medication
regimens and quality of life. Naloxegol has demonstrated efficacy against OIC in
several studies involving patients with nonmalignant chronic pain. Here we report
efficacy and tolerability of naloxegol in a 68-year-old patient with metastatic
lung cancer and severe pain, treated with opioids, who presented with OIC
resistant to traditional measures. Addition of naloxegol produced rapid
improvement in his OIC symptoms and no apparent adverse effects while taking
extended-release morphine 130 mg orally every 12 hours.
PMID- 29634553
TI - Transversalis Fascia Plane Block for the Treatment of Chronic Postherniorrhaphy
Inguinal Pain: A Case Report.
AB - Chronic neuropathic pain is a well-recognized complication of inguinal hernia
repair. We report a 47-year-old man suffering from chronic neuropathic
postherniorrhaphy pain. Planned ilioinguinal and iliohypogastric nerve blocks
were not possible because excessive surgical scarring had distorted the
sonoanatomy. We therefore performed transversalis fascia plane block with local
anesthetic and steroid that resulted in long-term pain relief. This block has
been successfully used in the past for providing postoperative analgesia in the
L1 dermatome, but its role in chronic pain is unreported.
PMID- 29634554
TI - Regional Anesthesia in the Setting of Arm Replantation: A Case Report.
AB - The perioperative implementation of continuous peripheral nerve blocks is poorly
described within the literature for replantation surgeries beyond digital
replantation. The management of replantation patients presents a challenging
balance between pain control and limb perfusion. We report the successful use of
a continuous interscalene catheter in a therapeutically anticoagulated patient
after midshaft humerus arm replantation. The benefits of the continuous
peripheral nerve block for the patient included improved pain control and
potentially improved limb perfusion making it a valuable component of this
patient's treatment.
PMID- 29634555
TI - A Subarachnoid Hemorrhage Presenting as Massive Nasopharyngeal Bleeding: A Case
Report.
AB - Patients who present with a subarachnoid hemorrhage may have more than 1
intracranial aneurysm at risk, which may not be appreciated until a subsequent
aneurysmal bleeding event occurs. We describe a patient who underwent successful
aneurysmal clipping, but later presented urgently with large-volume epistaxis 48
hours after the procedure. After successful intubation of the patient, subsequent
angiographic imaging determined that the massive intranasal/oral hemorrhage was
due to bleeding through the former operative site, from rupture of a previously
unrecognized aneurysm. This series of events demonstrates the importance of
selecting the most at-risk aneurysm for surgical intervention.
PMID- 29634556
TI - Anesthesia for Pygopagus Conjoined Twins During Single-Twin and Simultaneous
Pneumoperitoneum: A Case Report.
AB - We present the case of the first stage of separation of 9-month-old pygopagus
conjoined twins who demonstrated minimal shared vasculature on preoperative
imaging and no cross-sedation or cross-neuromuscular blockade during separate
inductions of anesthesia. Laparoscopy was implemented in 1 twin at a time, then
in both twins simultaneously. Despite insufflation of a single-twin's abdomen,
both twins demonstrated hypercapnia and signs of a concomitant respiratory
acidosis because of carbon dioxide diffusion through a shared peritoneal
membrane. This is the first documented case of simultaneous laparoscopy-induced
pneumoperitoneum in pygopagus conjoined twins.
PMID- 29634557
TI - Postdural Puncture Headache Treated With Epidural Blood Patch and Subsequent
Resolution of Atypical Odontalgia: A Case Report.
AB - A 37-year-old gravida 5 para 4 with gestational hypertension underwent uneventful
repeat cesarean delivery and tubal ligation under combined spinal-epidural
anesthesia. On postoperative day 3, she developed a postural headache, unrelieved
by caffeine, ibuprofen, and hydration. On postoperative day 8, she returned to
the hospital for treatment. An epidural blood patch was administered, providing
immediate and complete relief of her headache and bilateral upper and lower
dental pain, which she noted arising concomitantly with her headache. We suspect
bilateral traction on cranial nerve V2 and V3, secondary to intracranial
hypotension after dural puncture, as the cause of her pain.
PMID- 29634558
TI - Successful Treatment of Refractory Cardiac Arrest With beta-Blockade and
Extracorporeal Life Support in a Pediatric Patient With Catecholaminergic
Polymorphic Ventricular Tachycardia: A Case Report.
AB - We describe a case of refractory pulseless polymorphic ventricular tachycardia
successfully treated with a bolus of propranolol intravenously, followed by an
esmolol infusion and extracorporeal life support for 4 days in a 12-year-old boy
later diagnosed with catecholaminergic polymorphic ventricular tachycardia. He
had an excellent neurological outcome. Genetic testing for mutations associated
with cardiac arrhythmias yielded a mutation of the syntrophin alpha-1 gene. The
pathogenicity of this specific variant is uncertain. A mutation of this gene at a
different locus is implicated in rare cases of long-QT syndrome. The patient
subsequently underwent left cardiac sympathetic denervation followed by
implantable cardiac defibrillator insertion. He remains symptom and arrhythmia
free on atenolol.
PMID- 29634559
TI - Perioperative Management of a Child With Glucose Transporter Type 1 Deficiency
Syndrome: A Case Report.
AB - Glucose transporter type 1 deficiency syndrome (GLUT1DS) causes central nervous
system dysfunction including intractable epilepsy caused by impaired glucose
transport to the brain. To prevent convulsions and maintain an energy source for
the brain in patients with GLUT1DS, the maintenance of adequate ketone body
concentrations, compensation of metabolic acidosis, and reduction of surgical
stress are essential. We here report the perioperative management of a child with
GLUT1DS.
PMID- 29634560
TI - Sphenopalatine Ganglion Block Successfully Treats Migraines in a Type 1 Arnold
Chiari Malformation Pregnant Patient: A Case Report.
AB - A 32-year-old woman at 36 weeks gestation with a medical history of corrected
Type 1 Arnold Chiari malformation presented with an intractable headache. When
methylprednisolone and morphine treatment provided no relief, we performed 2
topical transnasal sphenopalatine ganglion blocks by applying 4% lidocaine drops
into each nostril via a cotton-tipped applicator. The patient's symptoms
significantly improved, and she was discharged home the same day. She has been
without relapse of headaches during the 6 months of follow-up by our pain
service.
PMID- 29634561
TI - Axillary Artery Dissection After Ultrasound-Guided Infraclavicular Brachial
Plexus Block: A Case Report.
AB - A 52-year-old man with end-stage renal disease underwent ultrasound-guided
infraclavicular brachial plexus block for revision of an arteriovenous fistula.
On postoperative day 2, the patient developed pain and loss of motor function in
the surgical extremity. A computed tomography angiogram revealed complete
dissection of the axillary artery. The dissection was emergently treated with a
bypass graft, resulting in complete resolution of paralysis and pain. Sensory
deficits resolved over the next 3 days. Smoking, hypertension, diabetes mellitus,
and chronic intake of a calcineurin inhibitor might have predisposed the patient
to iatrogenic arterial dissection.
PMID- 29634562
TI - Novel Association of Juvenile Polyposis Syndrome With Atrial Septal Aneurysm and
Patent Foramen Ovale: A Case Report.
AB - Juvenile polyposis syndrome is an autosomal-dominant disorder characterized by
the presence of hundreds of gastrointestinal polyps. The genes most commonly
found are BMPR1A and SMAD4. The latter has been linked to vascular malformations
and hereditary hemorrhagic telangiectasias. We present the case of a young woman
diagnosed with juvenile polyposis syndrome and SMAD-4 mutation, who developed
embolic strokes from an atrial septal aneurysm and patent foramen ovale. This
case highlights the propensity of patients with juvenile polyposis syndrome and
SMAD-4 mutations to develop atrial septal aneurysm and patent foramen ovale, and
warrants appropriate cardiac workup in at-risk individuals.
PMID- 29634563
TI - Atrioventricular Disruption After Aortic Valve Surgery: Early Detection by
Intraoperative Echocardiography: A Case Report.
AB - Atrioventricular groove separation is a rare complication of mitral valve
surgery. We present a case occurring during aortic valve surgery that was
detected by the anesthesiologist using transesophageal echocardiography (TEE).
During weaning from cardiopulmonary bypass after uneventful replacement of a
severely calcified aortic valve, blood flow was seen on TEE leaving the left
ventricular outflow tract just below the annulus of the aortic valve. This blood
flow expanded into the aorto-mitral curtain during systole, consistent with
atrioventricular groove separation. We review the pathophysiology behind groove
separations and discuss diagnosis of these rare conditions using TEE.
PMID- 29634564
TI - Benefits of Ultrasound Imaging for Placement of Caudal Epidural Blockade in 3
Pediatric Patients: A Case Report.
AB - Pediatric caudal epidural blockade, the most common pediatric regional
anesthetic, is classically placed using surface landmark technique with
infrequent use of ultrasound guidance. We present 3 cases where ultrasound
guidance facilitated successful placement and helped prevent complications. One
infant had an unanticipated S5 dural sac necessitating needle redirection to
avoid subarachnoid injection. A 5-year old had proper needle position with
inability to inject secondary to needle blockage confirmed with ultrasound. An
expremature infant had initial needle placement anterior to the sacrum with
subsequent proper placement using real-time ultrasound imaging. Ultrasound
guidance for pediatric caudal placement confers advantages and increased routine
use should be considered.
PMID- 29634565
TI - Postoperative Hearing Loss in a Patient With Crouzon Syndrome: A Case Report.
AB - Sudden postoperative hearing loss is rare, and thought to be caused by a variety
of mechanisms. Here we report on a patient with Crouzon syndrome who experienced
multiple episodes of postoperative hearing loss, with persistent hearing loss
occurring after she received nitrous oxide as part of a general anesthetic.
Nitrous oxide is known to cause pressure changes in closed air spaces. Patients
with craniofacial syndromes may have acoustic nerve compression from skull base
and cartilage anomalies that cause narrowing of the internal acoustic meatus.
These anatomic variations may make patients more susceptible to increased middle
ear pressure secondary to nitrous oxide, increasing their risk for hearing loss.
PMID- 29634566
TI - Thromboelastography Before Epidural Placement in a Thrombocytopenic Parturient
With Gaucher Disease Treated With Imiglucerase: A Case Report.
AB - Gaucher disease is a common inborn error of metabolism leading to widespread
chronic inflammation and often thrombocytopenia. Here we discuss assessment of
coagulation in a parturient with Gaucher disease treated with imiglucerase, who
presented with thrombocytopenia and requested epidural analgesia for planned
vaginal delivery. We used thromboelastography to determine the safety of placing
an epidural catheter and to plan for potential peripartum bleeding. The patient
had a normal coagulation profile by thromboelastography and had uncomplicated
epidural analgesia for a successful spontaneous vaginal delivery.
PMID- 29634567
TI - Successful Management of a Patient With X-Linked Myotubular Myopathy for
Scoliosis Surgery and Previous Cardiac Arrest After Prone Positioning: A Case
Report.
AB - A 15-year-old boy with X-linked myotubular myopathy associated with severe
hypotonia and pectus excavatum presented for posterior spinal fusion of T2-sacrum
because of rest pain and severe progressive neuromuscular scoliosis. Previously,
he experienced 2 separate instances of cardiac arrest after prone positioning
under general anesthesia. A preoperative computed topography angiogram in the
supine and prone positions revealed inferior vena cava and right ventricular
outflow tract obstruction on prone positioning. Successful positioning and
posterior spinal fusion occurred by staging the procedure, correction of volume
status, early use of vasoactive and inotropic agents, and oblique prone
positioning.
PMID- 29634568
TI - Rigid Esophagoscopy-Induced Aortic Rupture During Oral Gastric Tube Placement in
Patient With Esophageal Stricture: A Case Report.
AB - This is the first account of significant aortic injury during diagnostic rigid
esophagoscopy in an adult with an esophageal stricture. We describe the resultant
hemothorax and hemodynamic collapse and the successful treatment with massive
volume resuscitation, vasopressors, and timely surgical intervention including
thoracic endovascular aortic repair. We discuss the importance of rapid
diagnosis, relevant anatomy, treatment modalities, and communication as
cornerstones for learning.
PMID- 29634569
TI - Spinal Cord Stimulation Treatment for Chronic Right Subcostal Neuralgia Secondary
to Laparoscopic Cholecystectomy: A Case Report.
AB - The use of spinal cord stimulator (SCS) treatment has been particularly effective
as an adjunct in treating mixed neuropathic, nociceptive, and radicular pain
conditions. There are no published studies on the use of SCS for chronic pain
syndrome after laparoscopic cholecystectomy. We successfully used an SCS on a 31
year-old woman with a 4-year history of intractable right-sided subcostal pain
after laparoscopic cholecystectomy. This case provides strong evidence that SCS
should be considered as a treatment option for chronic postsurgical pain after
laparoscopic cholecystectomy not amenable to standard therapies.
PMID- 29634570
TI - Use of Laryngeal Mask Airway to Preoxygenate and Ventilate Through a Laryngeal
Stoma in a Patient Undergoing General Anesthesia: A Case Report.
AB - The use of the laryngeal mask airways is well established in routine and
emergency airway management. Due to its traditional use as a supraglottic airway,
it is often overlooked in patients with abnormal airway anatomy such as
tracheostomies and laryngeal stomas. We present the unique method of using the
laryngeal mask airways externally to facilitate preoxygenation and ventilation in
a patient with a laryngeal stoma.
PMID- 29634571
TI - Paraplegia After Laparotomy for Large Bowel Obstruction: A Case Report.
AB - We report persistent postoperative paraplegia on recovery from anesthesia after
emergent exploratory laparotomy for large bowel obstruction in a cachectic
patient with an abdominal aortic aneurysm. Postoperative cervical, thoracic, and
lumbar spine magnetic resonance imaging revealed only cervical spinal stenosis.
We hypothesize that intraoperative embolization possibly caused by manipulation
of an atherosclerotic aorta, and a brief episode of intraoperative hypotension
resulted in spinal cord ischemia. This report highlights the importance of
maintaining intraoperative hemodynamic stability and careful handling of the
abdominal aorta, especially in underweight patients with an abdominal aortic
aneurysm.
PMID- 29634572
TI - T1 Paravertebral Catheter for Postoperative Pain Management After First Rib
Resection for Venous Thoracic Outlet Syndrome: A Case Report.
AB - While it has been shown that a paravertebral block provides effective
postoperative analgesia for patients undergoing thoracic surgeries, including
first rib resection, this is the first reported case of a paravertebral catheter
used for perioperative analgesia in a patient undergoing first rib resection. We
present the case of a 76-year-old woman with right upper extremity swelling who
underwent infraclavicular first rib resection for venous thoracic outlet
syndrome. Continuous infusion of ropivacaine through a T1 paravertebral catheter,
which was placed before induction of general anesthesia but after T1 and T2
paravertebral blocks, provided effective postoperative pain control. Our
experience suggests that paravertebral catheter infusions of local anesthetics
may be effective adjuncts to general anesthesia in patients undergoing first rib
resection and warrants further investigation.
PMID- 29634573
TI - Successful Primary Percutaneous Coronary Intervention for Acute Myocardial
Infarction During Resuscitation From Cardiac Arrest by Combined Mechanical Chest
Compressions and Intra-aortic Balloon Pump Counterpulsation: A Case Report.
AB - Prolonged cardiac arrest (CA) in patients with acute myocardial infarction can be
associated with poor prognosis. Successful revascularization with primary
percutaneous coronary intervention (pPCI) remains the reference therapy for these
patients. However, performing a pPCI during CA is challenging and the use of
automatic chest compression devices or mechanical support systems might be
warranted to facilitate the pPCI procedure and achieve good outcomes. We present
a patient with inferior myocardial infarction and cardiogenic shock, followed by
refractory CA who underwent successful pPCI with a novel approach integrating the
simultaneous use of an automated chest compression system and intra-aortic
balloon pump counterpulsation.
PMID- 29634574
TI - Airway Management Approach in Nasocutaneous Fistula: A Case Report.
AB - We present a case of a 63-year-old man with nasocutaneous fistula located outside
the area covered by a large adult facemask. The patient was uncooperative for the
standard airway assessment and any attempt at awake intubation. Positive pressure
ventilation was compromised by the fistula. Although the incidence of overall
complications, including fistula formation, following free flap reconstruction
for oropharyngeal cancer is reported as high as 20%, few case reports detailing
airway management exist. We demonstrate that it is possible to use conventional
techniques to induce and ventilate a patient with a large, externalized, airway
fistula by covering the defect with an occlusive transparent dressing.
PMID- 29634575
TI - Conservative Management of Neuropathic Pain in a Patient With Pancoast-Tobias
Syndrome: A Case Report.
AB - Pancoast-Tobias syndrome characterizes the signs and symptoms of a superior
pulmonary sulcus tumor, and includes arm and shoulder pain, atrophy of intrinsic
hand muscles, and ipsilateral Horner syndrome. The rarity and overall poor
prognosis of patients with superior pulmonary sulcus tumors associated with
Pancoast-Tobias syndrome has led to few reports detailing pain management
strategies with adjunctive therapies, such as continuous infusions of ketamine
and lidocaine, chemotherapy, radiation, and multimodal oral medication regimens.
This case highlights the diagnosis and treatment of pain in a patient with
Pancoast-Tobias syndrome.
PMID- 29634576
TI - Transcutaneous Electrical Nerve Stimulation in Treatment of Occipital Neuralgia:
A Case Report.
AB - Occipital neuralgia is the third most common headache syndrome after migraine and
tension type headaches. There is no well-established treatment regimen for a
reliable cure. The current case presents a 39-year-old woman, diagnosed with
occipital neuralgia of idiopathic cause. The condition was difficult to control
by conservative or interventional approaches. The patient was started on
conventional transcutaneous electrical nerve stimulation, 3 sessions per week.
After the procedure, the patient achieved significant pain relief: 1-2/10 on the
numeric rating scale, pain initially being 10/10. With maintenance therapy
consisting of physical therapy, deep tissue massage, and muscle relaxants, 12
months after starting transcutaneous electrical nerve stimulation therapy, she is
pain free.
PMID- 29634577
TI - Evaluation of ground glass nodules.
AB - PURPOSE OF REVIEW: Ground glass nodules (GGNs) represent an indolent subset of
lung nodules including preinvasive nonsmall-cell lung cancer associated with a
favorable prognosis and low risk for progression. Increased performance of
screening cat-scan (CT) for high-risk patients has identified an increasing
number of GGNs. The management of these nodules is founded mostly on single
institution data and currently no universally accepted recommendations help guide
clinicians managing these patients. RECENT FINDINGS: The solid component within a
GGN is the key determinant of prognosis and is best defined by evaluating nodule
density on mediastinal windows of a chest CT. When a GGN is small (<3 cm),
associated with minimal change in size (<25% growth per year), and there is no
demonstration of a significant solid component on mediastinal windows (<2 mm in
diameter), patients can be safely observed with serially imaging. These imaging
features also help distinguish patients that may harbor early-stage lung cancers
that benefit from local treatment options. SUMMARY: The majority of GGNs do not
undergo significant progression during surveillance. Evidence of nodule
progression on interval imaging may be a trigger for consideration of a local
treatment option such as surgical resection. Large prospective studies are needed
in the United States to validate the more robust data derived from Asian studies
to help formulate formal recommendations for surveillance and treatment. Future
improvements in imaging and the molecular characterization of these GGNs may
further refine which patients are at risk for progression.
PMID- 29634578
TI - Effects of an Interdisciplinary Practice Bundle for Second-Stage Labor on
Clinical Outcomes.
AB - BACKGROUND: There is renewed interest in second-stage labor practices as recent
evidence has challenged historical perspectives on safe duration of second-stage
labor. Traditional practices and routine interventions during second-stage have
uncertain benefit for low-risk women and may result in cesarean birth. PURPOSE:
The purpose of this quality improvement project was to implement an
interdisciplinary second-stage practice bundle to promote safe outcomes including
method of birth and women's birth experience. METHODS: Standardized second-stage
labor evidence-based practice recommendations structured into a 5 Ps practice
bundle (patience, positioning, physiologic resuscitation, progress, preventing
urinary harm) were implemented across 34 birthing hospitals in the Trinity Health
system. RESULTS: Significant improvements were observed in second-stage
practices. Association of Women's Health, Obstetric and Neonatal Nurses'
perinatal nursing care quality measure Second-Stage of Labor: Mother-Initiated
Spontaneous Pushing significantly improved [pre-implementation 43% (510/1,195),
post-implementation 76% (1,541/2,028), p < .0001]. Joint Commission Perinatal
Care-02: nulliparous, term, singleton, vertex cesarean rate significantly
decreased (p = 0.02) with no differences in maternal morbidity, or negative
newborn birth outcomes. Unexpected complications in term births significantly
decreased in all newborns (p < 0.001), and for newborns from vaginal births (p =
0.03). Birth experience satisfaction rose from the 69th to the 81st percentile.
CLINICAL IMPLICATIONS: Implementing 13 evidence-based second-stage labor
practices derived from the Association of Women's Health, Obstetric and Neonatal
Nurses and the American College of Nurse-Midwives professional guidelines
achieved our goals of safely reducing primary cesarean birth among low-risk
nulliparous women, and optimizing maternal and fetal outcomes associated with
labor and birth. By minimizing routine interventions, nurses support physiologic
birth and improve women's birth satisfaction.
PMID- 29634580
TI - Complementary medicine for axial spondyloarthritis: is there any scientific
evidence?
AB - PURPOSE OF REVIEW: Majority of patients with axial spondyloarthritis (axSpA)
report use of complementary and alternative medicine (CAM) therapies before and
even after the diagnosis, due to perceived efficacy and wide-spread belief that
these modalities lack side effects. In this review, we describe the available
scientific evidence for the CAM therapies in axSpA. RECENT FINDINGS: Clinical
trials of the CAM therapies in axSpA are generally hampered by small sample size,
short duration, difficulties in blinding, lack of control groups and strong
placebo effect. Nonetheless, exercise programs like Pilates and mind-body
techniques such as Tai Chi may have favorable effect on the disease activity and
function. Although not yet confirmed, the modulation of the microbiome with the
help of probiotics or fecal transplant has face validity given the evolving
scientific rationale. Diet has only limited role in the management of axSpA. Deep
tissue massage, omega-3 fatty acids and Stanger bath were found to be useful in
small studies. CAM therapies are not always entirely well tolerated, particularly
the manipulative techniques like chiropractic and Tui-na in patients with
advanced disease and osteoporosis. There are no trials of yoga in axSpA despite
the wider acceptance and use of yoga as an effective mind-body technique.
SUMMARY: Larger and better quality clinical trials of CAM therapies are needed to
confirm their efficacy and safety in the management of axSpA and to include them
in the 'mainstream' medicine.
PMID- 29634579
TI - Synergistic inhibitory effect of capsaicin and dihydrocapsaicin on in-vitro
platelet aggregation and thromboxane formation.
AB - : Capsaicinoids, including capsaicin (CAP) and dihydrocapsaicin (DHC), the
pungent principles of pepper fruits, individually inhibit in-vitro platelet
aggregation. However, their effects, when present together, are not known. The
aims of this study were to compare the effects of CAP and DHC alone, and in
combination in the ratio that they are found in chilies (~60% CAP : 40% DHC), on
in-vitro platelet aggregation, platelet count and thromboxane B2 (TXB2)
formation. The effects of 12.5 and 6.25 MUmol/l CAP and DHC individually, and in
combination (CAP : DHC, 60 : 40) on arachidonic acid-induced, ADP-induced and
collagen-induced aggregation, were investigated. Platelet count was determined
preincubation and postincubation with CAP and DHC and in combination. TXB2
formation from platelets treated with arachidonic acid in the absence and
presence of CAP and DHC individually, and in combination, was measured. Compared
with control, CAP and DHC (12.5 MUmol/l) inhibited arachidonic acid-induced
aggregation by 23.2 and 25.3%, respectively (both P < 0.01). In combination, CAP
and DHC exhibited further inhibition in arachidonic acid-induced aggregation (CAP
: DHC, 3.75 : 2.5 MUmol/l, 36.5%, P = 0.01; 7.5 : 5 MUmol/l, 57.5%, P < 0.001),
compared with control. Incubation of platelets with caspaicinoids did not
significantly affect the platelet count. In addition, the CAP : DHC (7.5 : 5
MUmol/l) combination significantly inhibited (P < 0.001) TXB2 formation, compared
with the individual capsaicinoids. Capsaicinoids had no effect ADP-induced or
collagen-induced aggregation. The combination of CAP and DHC produces a
significantly greater inhibitory effect on arachidonic acid-induced platelet
aggregation and subsequent TXB2 formation, compared with the individual
capsaicinoids.
PMID- 29634581
TI - Fracture prediction and prevention: will newer technologies help?
PMID- 29634582
TI - Infections and autoimmunity -new insights into an age-old reciprocity.
PMID- 29634583
TI - Restless Genital Syndrome Induced by Milnacipran.
AB - OBJECTIVES: Restless genital syndrome (RGS) includes discomfort, pain, numbness,
vibration, restlessness, or a burning sensation involving the vagina, perineum,
pelvis, penis, and proximal portion of the lower limbs in patients. The RGS has
been sometimes reported in Parkinson disease. In patients without Parkinson
disease, RGS is also known as persistent genital arousal disorder (PSAS), which
includes uncontrollable genital arousal, with or without orgasm or genital
engorgement, unrelated to sexual desire. Although withdrawal from selective
serotonin reuptake inhibitors antidepressants is reported to induce PSAS, there
is no report of RGS or PSAS induced by antidepressants. METHODS: We obtained the
consent for the presentation and have not identified individuals for ethical
reasons. RESULTS: We first report a woman patient with depression induced RGS by
milnacipran (MLN). CONCLUSIONS: We discuss the relationship with restless legs
syndrome and the difference from akathisia. It is highly possible MLN affected
her RGS because she experienced RGS for the first time after the dose of MLN was
increased. A limitation of this report is that we stopped MLN and administered
gabapentin enacarbil immediately. We should join MLN to the list of compounds
suspected of inducing RGS.
PMID- 29634584
TI - Trazodone and Parkinsonism: The Link Strengthens.
AB - Trazodone, the most sedating atypical antidepressant, is widely used as an off
label hypnotic especially in the elderly. Although generally considered safe, it
can potentially inhibit midbrain dopaminergic neurotransmission leading to the
emergence of extrapyramidal adverse effects. Two previous cases of trazodone
induced parkinsonism have been described after its prolonged use as an
antidepressant; however, there is no published case of secondary parkinsonism
caused by trazodone in a nondepressed person after its use as a hypnotic. Here,
we present a case of rapid development of bradykinetic-rigid parkinsonism
temporally linked to the use of trazodone as a hypnotic in a nondepressed elderly
man. Because of lack of awareness, this potential association was missed by his
primary care physician and general neurologist. He was diagnosed as having
idiopathic parkinsonism (Parkinson disease) and referred to a movement disorder
neurologist. A secondary cause was suspected by his movement disorder neurologist
that led to rapid withdrawal of trazodone and complete reversal of his symptoms.
A practice point that needs to be underscored is that trazodone's use as a
hypnotic can cause parkinsonism. All health care providers should be aware of
this serious but seemingly fully reversible potential adverse effect.
PMID- 29634586
TI - Transgenerational consequences of prepregnancy chronic morphine use on spatial
learning and hippocampal Mecp2 and Hdac2 expression.
AB - There has recently been increasing interest in the transgenerational effects of
opioids. Herein, transgenerational consequences of maternal chronic morphine
consumption before gestation were investigated at the behavioral and molecular
levels of next two generations. Twelve female Wistar rats were randomly divided
into two groups: pregestation morphine-consuming and control mothers. Morphine
consuming mothers had access to morphine solution ad libitum for 2 months,
whereas the control mothers received only tap water. One month after stopping
morphine consumption, rats were mated. After parturition, male and female
offspring and later grandoffspring of morphine-consuming and control mothers were
divided and used as the study groups. Behavioral testing comprised spatial memory
assessment using Morris water maze. Hippocampal expressions of Mecp2 and Hdac2
were investigated through real-time PCR. Spatial memory was significantly
diminished in male but not female offspring and grandoffspring of morphine
consuming mothers versus control (P<0.01). Hippocampal Mecp2 and Hdac2 were
significantly upregulated in male but not in female offspring and grandoffspring
of morphine-consuming mothers compared with control (P<0.05). Consequences of
prepregnancy morphine consumption have sex differences and are detectable at the
behavioral and molecular level over at least the next two generations.
PMID- 29634585
TI - Altered whole-brain gray matter volume in high myopia patients: a voxel-based
morphometry study.
AB - High myopia (HM) was associated with impaired long-distance vision. Previous
neuroimaging studies showed that abnormal visual experience leads to dysfunction
in brain activity in HM even corrected. However, whether alterations in brain
structure occur in HM remains unknown. In this study, we analyzed the difference
in the whole-brain gray matter volume (GMV) and white matter volume between HM
patients and healthy controls (HCs) using a voxel-based morphology method. A
total of 82 HM patients (52 men and 30 women) and 58 HCs (28 men and 30 women),
matched closely in terms of age and education, were enrolled in this study. All
participants underwent MRI scans. The MRI data were processed using the SPM8
software. The relationship between the mean GMV values of the brain regions and
clinical features, including refractive diopter and the mean retinal nerve fiber
layer thickness, in the HM group were analyzed using Pearson's correlation.
Compared with HCs, HM patients showed significantly decreased GMV values in the
right cuneus/lingual gyrus and the right thalamus. In contrast, HM groups showed
higher GMV values in the brain stem, right parahippocampal gyrus/thalamus, left
parahippocampal gyrus/thalamus, as well as the right and the left putamen. No
significantly different white matter volume values were found between the two
groups. Moreover, in the HM group, the mean retinal nerve fiber layer of the left
eye showed a negative correlation with the mean GMV values of the brain stem (r=
0.218; P=0.049), right parahippocampal gyrus/thalamus (r=-0.262; P=0.017), left
parahippocampal gyrus/thalamus (r=-0.249; P=0.024), and left putamen (r=-0.232;
P=0.036). We found that HM patients showed an altered brain structure in the
visual pathway regions and the limbic system, which may provide useful
information to explore the neural mechanisms of impaired long-distance vision in
HM.
PMID- 29634587
TI - Exposure to bisphenol A affects GABAergic neuron differentiation in neurosphere
cultures.
AB - Endocrine-disrupting chemicals (EDCs) influence not only endocrine functions but
also neuronal development and functions. In-vivo studies have suggested the
relationship of EDC-induced neurobehavioral disorders with dysfunctions of
neurotransmitter mechanisms including gamma-aminobutyric acid (GABA)ergic
mechanisms. However, whether EDCs affect GABAergic neuron differentiation remains
unclear. In the present study, we show that a representative EDC, bisphenol A
(BPA), affects GABAergic neuron differentiation. Cortical neurospheres prepared
from embryonic mice were exposed to BPA for 7 days, and then neuronal
differentiation was induced. We found that BPA exposure resulted in a decrease in
the ratio of GABAergic neurons to total neurons. However, the same exposure
stimulated the differentiation of neurons expressing calbindin, a calcium-binding
protein observed in a subpopulation of GABAergic neurons. These findings
suggested that BPA might influence the formation of an inhibitory neuronal
network in developing cerebral cortex involved in the occurrence of
neurobehavioral disorders.
PMID- 29634588
TI - Arthroscopic Treatment of Labral Tears: A Critical Analysis Review.
PMID- 29634589
TI - Psychometric Properties of Patient-Reported Outcome Measures for Use in Patients
with Anterior Cruciate Ligament Injuries: A Systematic Review.
AB - BACKGROUND: Despite the high costs of anterior cruciate ligament (ACL) injuries
to patients and society, we are not aware of any systematic reviews that have
been performed on patient-reported outcome instruments designed for this patient
population. The purpose of the present review is to identify currently available
patient-reported outcome questionnaires for patients with ACL injuries, to
appraise and assess the methodological quality and psychometric evidence of the
evaluations of identified instruments, and to summarize the overall evidence for
each included questionnaire. METHODS: A computerized literature search was
performed to identify articles appropriate for inclusion in this systematic
review. Search terms were related to outcome instruments, ACL injuries, and
psychometric properties. Two independent reviewers assessed the suitability of
articles for inclusion. The methodological quality of instrument evaluation was
assessed with use of the Consensus-based Standards for the Selection of Health
Status Measurement Instruments (COSMIN) checklist. Psychometric properties were
assessed with use of the quality criteria established by Terwee et al. The 2
assessments were synthesized to obtain an overall rating of the psychometric
evidence for each questionnaire. RESULTS: Forty-one studies investigating 24
instruments were included. The International Knee Documentation Committee (IKDC)
Form and the Knee injury and Osteoarthritis Outcome Score (KOOS) were the most
frequently assessed instruments. The ACL-Return to Sport after Injury (ACL-RSI)
scale had 6 properties with positive evidence and was the highest-quality
instrument overall. CONCLUSIONS: Many of the included studies had low COSMIN
ratings. The ACL-RSI is a reliable and valid scale to measure outcomes for
patients with ACL injuries. Further assessments with improved methodological
quality are needed to determine the psychometric properties of other instruments.
PMID- 29634590
TI - Cemented Compared with Uncemented Femoral Fixation in the Arthroplasty Treatment
of Displaced Femoral Neck Fractures: A Critical Analysis Review.
PMID- 29634591
TI - Herpesvirus Infection in Infants with Gastroschisis.
AB - BACKGROUND: Gastroschisis is a congenital malformation that has been shown to be
more common in younger mothers and appears to be increasing in prevalence in the
United States and elsewhere. Epidemiologic data suggest a potential role of
infection and recent studies report an association between maternal antibodies to
human herpesviruses (HHV) and development of gastroschisis. METHODS: In this
study, we examined newborn bloodspots from 50 children with gastroschisis and 50
healthy controls using a highly sensitive digital droplet polymerase chain
reaction assay covering eight human herpesviruses [herpes simplex sirus 1/2,
Epstein-Barr virus (HHV-4), cytomegalovirus (HHV-5), HHV-6A/B, HHV-7, and HHV-8],
to examine the presence of herpesvirus DNA at birth, which would suggest in utero
infection. RESULTS: One control tested positive for low-level cytomegalovirus
infection. We found no evidence of an association between herpesvirus DNA in
neonatal blood spots taken at birth and gastroschisis. CONCLUSIONS: Our results
do not support direct involvement of herpesviruses in the etiology of
gastroschisis. However, there are several limitations in our study, most notably
the known induction of this congenital malformation early in pregnancy and our
analysis of blood taken at birth. Therefore, we cannot conclude that
herpesviruses play no role in the etiology of gastroschisis and further research
is needed to better define this relationship.
PMID- 29634592
TI - Associations Between Childhood Thyroid Cancer and External Radiation Dose After
the Fukushima Daiichi Nuclear Power Plant Accident.
PMID- 29634593
TI - Oral Care Clinical Trial to Reduce Non-Intensive Care Unit, Hospital-Acquired
Pneumonia: Lessons for Future Research.
AB - Hospital-acquired pneumonia (HAP) contributes greatly to patient mortality and
healthcare costs. Studies have shown that aggressive oral care in intensive care
units (ICUs) can significantly reduce pneumonia rates, and hospitals have
implemented stringent protocols in this setting. However, little is known about
the effectiveness of aggressive oral care in reducing HAP in non-intensive care
wards, prompting us to conduct a nonrandomized controlled clinical trial. A
structured toothbrushing program was provided to an experimental cohort of
patients. A control group received usual care. Patient demographics,
toothbrushing frequency, and pneumonia diagnosis were recorded over a 3.5-month
period. Difference in pneumonia rates was computed using unadjusted and
multivariate logistic regression analyses. No significant difference in pneumonia
rates between control and experimental groups was found (1.7% versus 1.8%).
Toothbrushing rates increased significantly in the experimental group (p = .002)
but fell short of protocol frequency. It became apparent that aggressive
toothbrushing program implementation requires nursing-led interdisciplinary
involvement, more intensive training, a streamlined documentation system, and
efficient compliance tracking. Lessons from this study should be used for future
large-scale research. A secondary analysis of these data did, however, suggests
that increasing toothbrushing rates may have the potential to reduce pneumonia in
the non-ICU acute care setting.
PMID- 29634594
TI - Pilot Teledermatology Service for Assessing Solitary Skin Lesions in a Tertiary
London Dermatology Center.
AB - BACKGROUND: Efficient clinical pathways are needed to meet the growing pressures
in dermatology due to the significant rise in the number of suspected skin cancer
referrals. Our hospital serves a wide geographical area and receives a large
number of 2-week-wait (2WW) suspected skin cancer referrals. In the United
Kingdom, approximately 10-12% of 2WW referrals are diagnosed as skin cancers
fulfilling the 2WW criteria. PURPOSE: We sought to assess the role of
teledermatology in reducing hospital consultations for patients referred via the
dermatology 2WW pathway. METHODS: We piloted a teledermatology service and
detailed the clinical outcomes of patients with solitary skin lesions of
uncertain diagnosis triaged through this pathway. Seventy-six primary care
referrals were reviewed by consultant dermatologists and analyzed against the
British Association of Dermatologists' teledermatology audit standards. RESULTS:
In 52/76 (68%) of patients, confident benign diagnoses were made, avoiding the
need for a face-to-face (FTF) consultation. CONCLUSIONS: Our results showed that
with adequate image quality, teledermatology can be used to accurately diagnose
skin lesions. IMPLICATIONS: Teledermatology can significantly reduce the number
of urgent referrals necessitating FTF appointments, therefore providing a new
solution to streamline care delivery.
PMID- 29634595
TI - Chronic subdural hematoma: A common complexity.
PMID- 29634596
TI - Disease-modifying treatments for early and advanced multiple sclerosis: a new
treatment paradigm.
AB - PURPOSE OF REVIEW: The treatment of multiple sclerosis is evolving rapidly with
11 classes of disease-modifying therapies (DMTs). This article provides an
overview of a new classification system for DMTs and treatment paradigm for using
these DMTs effectively and safely. RECENT FINDINGS: A summary of research into
the use of more active approaches to early and effective treatment of multiple
sclerosis with defined treatment targets of no evident disease activity (NEDA).
New insights are discussed that is allowing the field to begin to tackle more
advanced multiple sclerosis, including people with multiple sclerosis using
wheelchairs. However, the need to modify expectations of what can be achieved in
more advanced multiple sclerosis are discussed; in particular, the focus on
neuronal systems with reserve capacity, for example, upper limb, bulbar and
visual function. SUMMARY: The review describes a new more active way of managing
multiple sclerosis and concludes with a call to action in solving the problem of
slow adoption of innovations and the global problem of untreated, or
undertreated, multiple sclerosis.
PMID- 29634597
TI - Identifying and targeting patients with predicted 30-day hospital readmissions
using the revised LACE index score and early postdischarge intervention.
AB - AIMS: Reducing potentially avoidable hospital readmissions has been the target of
several healthcare initiatives, including the readmission prevention team (RAPT)
at Western Health, Melbourne. Study aims were to evaluate if the revised LACE
(length of stay, acuity of admission, Charlson Comorbidity Index, emergency
department visits in the past 6 months) index would identify high risk of early
readmission (<=30 days postdischarge), and if postdischarge clinic and community
services follow-up would reduce readmission rate. METHODS: A prospective study
was conducted among all general medicine inpatients within a tertiary hospital in
Melbourne, Australia between February to April 2016, with risk screening using
the revised LACE index, alongside abbreviated mental test and clinical frailty
scale testing, with high-risk patients (revised LACE >= 8) offered specialist
clinic appointment and/or referral to community services. RESULTS: Among 781
patients (873 admission episodes), 358 (41.0%) admission episodes were classified
as high risk of early readmission. Revised LACE index scores were equivalent for
readmission vs. non-readmission episodes, {median 7 [interquartile range (IQR) 5,
8] vs. 7 [IQR 4, 8])}, as were median abbreviated mental test (8 [IQR 6, 9] vs. 8
[IQR 6, 9]) and clinical frailty scale scores (5 [IQR 3, 6] vs. 5 [IQR 3, 6]).
Early readmission rates were equivalent for those who received compared with did
not receive RAPT intervention (14.3 vs. 14.7%), albeit confounded by lack of
identification of readmission risk using the revised LACE index. A total of 53
(14.8%) of the 358 high-risk admission episodes formally declined RAPT follow-up.
CONCLUSION: This study highlighted the complexities of addressing hospital
readmissions, with challenges in identifying those at risk, and low uptake and
impact of current intervention strategies. Future research directions may
evaluate other contributors to readmission risk, and the development of
acceptable postdischarge interventions to effectively address risk.
PMID- 29634598
TI - A Web of Complexity: Untangling the Routes of Rectal Chlamydia Acquisition.
PMID- 29634599
TI - Comparison of Algorithms to Triage Patients to Express Care in a Sexually
Transmitted Disease Clinic.
AB - BACKGROUND: The ideal approach to triaging sexually transmitted disease (STD)
clinic patients between testing-only express visits and standard visits with
clinician evaluation is uncertain. METHODS: In this cross-sectional study, we
used classification and regression tree analysis to develop and validate the
optimal algorithm for predicting which patients need a standard visit with
clinician assessment (i.e., to maximize correct triage). Using electronic medical
record data, we defined patients as needing a standard visit if they reported STD
symptoms, received any empiric treatment, or were diagnosed as having an
infection or syndrome at the same visit. We considered 11 potential predictors
for requiring medical evaluation collected via computer-assisted self-interview
when constructing the optimized algorithm. We compared test characteristics of
the optimized algorithm, the Public Health-Seattle and King County STD Clinic's
current 13-component algorithm, and a simple 2-component algorithm including only
presence of symptoms and contact to STD. RESULTS: From October 2010 to June 2015,
18,653 unique patients completed a computer-assisted self-interview. In the
validation samples, the optimized, current, and simple algorithms appropriately
triaged 90%, 85%, and 89% of patients, respectively. The optimized algorithm had
lower sensitivity for identifying patients needing standard visits (men, 94%;
women, 93%) compared with the current algorithm (men, 95%; women, 98%), as did
the simple algorithm (men, 91%; women, 93%). The optimized, current, and simple
algorithms triaged 31%, 23%, and 33% of patients to express visits, respectively.
CONCLUSIONS: The overall performance of the statistically optimized algorithm did
not differ meaningfully from a simple 2-component algorithm. In contrast, the
current algorithm had the highest sensitivity but lowest overall performance.
PMID- 29634600
TI - Predictors of Unplanned Reoperation After Operative Treatment of Pelvic Ring
Injuries.
AB - OBJECTIVES: To evaluate the incidence of unplanned reoperations after pelvic ring
injuries and to develop a risk prediction model. DESIGN: Retrospective review.
SETTING: Level I Trauma Center. PATIENTS: The medical records of 913 patients
(644 male and 269 female patients; mean age, 39 years; age range, 16-89 years)
with unstable pelvic ring fractures operatively treated at our center from 2003
to 2015 were reviewed. INTERVENTION: Multiple logistic regression analysis was
conducted to evaluate the relative contribution of associated clinical parameters
to unplanned reoperations. A risk prediction model was developed to assess the
effects of multiple covariates. MAIN OUTCOME MEASUREMENTS: Unplanned reoperation
for infection, fixation failure, heterotopic ossification, or bleeding
complication. RESULTS: Unplanned reoperations totaled 137 fractures, with an
overall rate of 15% (8% infection, 6% fixation failure, <1% heterotopic
ossification, and <1% bleeding complication). Reoperations for infection and
fixation failure typically occurred within the first month after the index
procedure. Four independent predictors of reoperation were open fractures,
combined pelvic ring and acetabular injuries, abdominal visceral injuries, and
increasing pelvic fracture grade. No independent association was shown between
reoperation and patient, treatment, or other injury factors. CONCLUSIONS:
Unplanned reoperations were relatively common. Infection and fixation failure
were the most common indications for unplanned reoperations. Factors associated
with reoperation are related to severity of pelvic and abdominal visceral
injuries. Our findings suggest that these complications might be inherent and in
many cases unavoidable despite appropriate current treatment strategies. LEVEL OF
EVIDENCE: Prognostic Level III. See Instructions for Authors for a complete
description of levels of evidence.
PMID- 29634601
TI - A Preoperative Planning Tool: Aggregate Anterior Approach to the Humerus With
Quantitative Comparisons.
AB - Extensile approaches to the humerus are often needed when treating complex
proximal or distal fractures that have extension into the humeral shaft or in
those fractures that occur around implants. The 2 most commonly used approaches
for more complex fractures include the modified lateral paratricipital approach
and the deltopectoral approach with distal anterior extension. Although the
former is well described and quantified, the latter is often associated with
variable nomenclature with technical descriptions that can be confusing.
Furthermore, a method to expose the entire humerus through an anterior extensile
approach has not been described. Here, we illustrate and quantify a technique for
connecting anterior humeral approaches in a stepwise fashion to form an aggregate
anterior approach (AAA). We also describe a method for further distal extension
to expose 100% of the length of the humerus and compare this approach with both
the AAA and the lateral paratricipital in terms of access to critical bony
landmarks, as well as the length and area of bone exposed.
PMID- 29634602
TI - The Effect of Under-Dosing Prophylactic Antibiotics in the Care of Open Tibial
Fractures.
AB - OBJECTIVES: To determine the frequency and effect of under-dosing prophylactic
weight-based antibiotics in patients with open tibial fractures. We hypothesized
that patients who did not receive appropriate weight-based dosing of prophylactic
antibiotics would have higher rates of infection. DESIGN: Retrospective cohort
study. SETTING: Level 1 Trauma Center. PATIENTS/PARTICIPANTS: Patients 18 years
of age or older with high-grade (Gustilo-Anderson type IIIA or IIIB) open
extraarticular tibial fractures over a 5-year period. MAIN OUTCOME: The primary
outcome was deep infection within one year of initial injury. Appropriate weight
based dosing of cefazolin was defined as: at least 1 g for patients <80 kg, 2 g
for patients between 80 and 120 kg, and 3 g for patients >120 kg. RESULTS: Sixty
three patients met the inclusion criteria; 21 (33%) were under-dosed with
cefazolin at the time of initial presentation. Among the 20 patients who
subsequently developed deep infection, only 55% were appropriately dosed with
cefazolin; of the patients who did not develop deep infection, 72% were
appropriately dosed with cefazolin (P = 0.18). Univariate analysis revealed that
hypertension was associated with infection (P = 0.049). Multivariable logistic
regression analysis of infection due to all organisms did not reveal a
statistically significant reduction in the odds of infection with appropriate
weight-based dosing of cefazolin [Odds ratio = 0.42 (95% confidence interval,
0.12-1.48), P = 0.177]. Five of 7 (71%) of the gram positive, non-methicillin
resistant Staphylococcus aureus, infections occurred in patients who were under
dosed with cefazolin. Five (23.8%) of 21 patients who were under-dosed with
cefazolin had gram-positive, non-methicillin-resistant S. aureus infections,
compared to 2 (4.8%) of 42 patients who were appropriately dosed (P = 0.036).
CONCLUSIONS: Under-dosing of weight-based antibiotics in the treatment of open
fractures is common. Appropriate weight-based dosing of cefazolin for prophylaxis
in high-grade open tibial fractures reduces the frequency of infection due to
cefazolin-sensitive organisms. Interestingly, organisms not susceptible to
cefazolin were responsible for the majority of infections. The effect of under
dosing of cefazolin and other weight-based antibiotics deserves further
investigation in larger studies. LEVEL OF EVIDENCE: Therapeutic Level III. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 29634603
TI - Eye-Preserving Surgery Followed by Adjuvant Radiotherapy for Lacrimal Gland
Carcinoma: Outcomes in 37 Patients.
AB - PURPOSE: To describe the clinical outcomes of eye-preserving surgery followed by
adjuvant radiotherapy in patients with lacrimal gland carcinoma. METHODS: Thirty
seven patients with lacrimal gland carcinoma who underwent eye-preserving surgery
were studied. RESULTS: At last follow up, 32 patients were alive without disease,
3 patients were alive with disease with distant metastasis, 1 patient had died of
disease, and 1 patient had died of other cause. The 5-year recurrence-free
survival rate was worse in patients without than in patients with adjuvant
radiotherapy (p = 0.001) and worse in patients with T3-T4 tumors than in patients
with T1-T2 tumors (p = 0.027). At last follow up, 25 patients (68%) had visual
acuity of 20/40 or better. CONCLUSIONS: In patients with lacrimal gland
carcinoma, eye-preserving surgery and adjuvant radiotherapy is associated with
reasonable local control rates and visual and ocular function. Postoperative
adjuvant radiotherapy seems to enhance local control rates.
PMID- 29634604
TI - A Prospective Randomized Comparative Clinical Trial to Analyze Pain and Surgical
Outcomes Between Frontal Nerve Blocks and Subconjunctival Anesthesia for
Conjunctival Mullerectomy Resection.
AB - PURPOSE: Conjunctival Muller's muscle resection (CMMR) is a posterior approach
surgical technique to correct blepharoptosis. The purpose of this study is to
compare patient-reported pain scores and surgical outcomes for patients who
received 2 different anesthetic techniques during CMMR, frontal nerve block and
subconjunctival injection. METHODS: A prospective randomized comparative clinical
trial enrolled 33 CMMR subjects from one tertiary eye center. Patients undergoing
unilateral CMMR were randomized to receive either frontal nerve block or
subconjunctival injection. For patients undergoing bilateral CMMR, each side was
randomized to one of the injection techniques. Upper eyelid margin reflex
distance was measured and recorded for each eye before and after surgery.
Patients' pain scores were quantified using the Wong Baker Pain Scale. Subjects
quantified their pain during, immediately after, 12 and 24 hours after surgery.
RESULTS: Twenty-four bilateral and 9 unilateral cases were enrolled in the study.
Twenty-two (92%) subjects were female, and the mean patient age was 69 +/- 12
years. The mean margin reflex distance was 1.1 mm preoperatively, which increased
to 3.5 and 3.6 mm 2 months postoperatively in frontal nerve block and
subconjunctival injection groups, respectively (p value <0.0001). Both paired and
nonpaired analyses demonstrated no significant difference in the pain score
reported by the patients or the surgical outcomes between the 2 anesthesia
techniques at any time during or after the surgery. There were no anesthetic
related complications. CONCLUSION: There was no statistically significant
difference in pain scores or surgical outcomes in patients receiving frontal
nerve block compared with those receiving subconjunctival injection during CMMR
surgery.
PMID- 29634605
TI - Distribution of Adrenergic Receptor Subtypes and Responses to Topical 0.5%
Apraclonidine in Patients With Blepharoptosis.
AB - PURPOSE: To determine the relationship between the distribution of adrenergic
receptors in the human eyelid and the eyelid elevation after topically instilling
0.5% apraclonidine in blepharoptosis patients. METHODS: A total of 26
blepharoptotic patients (30 eyelids) were included in the experimental study.
Marginal reflex distance 1 was measured before and after topical instillation of
0.5% apraclonidine. Eyelids were divided into 2 groups according to the responses
to topical 0.5% apraclonidine. Patients who positively responded to apraclonidine
were classified as group A and those that negatively responded to it were
classified as group B. Muller's muscle was obtained during the blepharoptotic
surgery, followed by immunohistochemical staining and scoring. This study was
approved by the Institutional Review Board of Kim's Eye Hospital and the study
protocol adhered to the tenets of the Declaration of Helsinki. RESULTS: alpha-1D
staining intensity was significantly higher in group A than in B (p < 0.001) and
alpha-2C and beta-1 staining intensities were significantly higher in group B
than in A (p < 0.001 and p < 0.05, respectively). The difference in beta-2
staining intensity between groups A and B was not statistically significant.
CONCLUSIONS: alpha-1D adrenoceptor was predominant in patients showing a positive
response to topical 0.5% apraclonidine. Because apraclonidine has an alpha-1
agonistic effect, alpha-1D adrenoceptor may contribute to apraclonidine's
elevating effect in patients with blepharoptosis.
PMID- 29634606
TI - An Individualized 3-Dimensional Designed and Printed Conformer After Dermis Fat
Grafting for Complex Sockets.
AB - PURPOSE: To introduce a novel technique to design individually customized
conformers for postenucleation sockets with dermis fat implants. METHODS: We use
a 3-dimensional scan of the frontal face/orbit and eyelid contour to design an
individualized conformer. This polymethylmetacrylate printed conformer is adapted
to patients' socket, palpebral fissures, horizontal eyelid aperture, curvature of
the eyelids, and mean diameter of patients' contralateral eye. Sutures through
holes in the inferior part of the conformer and in the extension can be placed to
fixate the conformer and anchor fornix deepening sutures. RESULTS: A correct
fitting conformer can be printed and attached to the socket and eyelids. The
shape of this conformer can be used subsequently postsurgically to design the
ocular prosthesis. CONCLUSION: Presurgical planning is important to anticipate
for a functional socket to adequately fit an artificial eye. The presented
technique using 3-dimensional imaging, designing, and printing promises to
prevent conformer extrusion and forniceal shortening.
PMID- 29634607
TI - Amniotic Band Syndrome: A Review of 2 Cases.
AB - Amniotic band syndrome is a rare congenital disorder caused by entrapment of
fetal parts (usually a limb or digits) in fibrous amniotic bands while in utero
that presents with complex multisystem anomalies. The authors report 2 children
with amniotic band syndrome who presented to the ophthalmic unit of the authors'
pediatric hospital. One of them presented with telecanthus, syndactyly, amputated
toes, and unilateral epiphora diagnosed as congenital nasolacrimal duct
obstruction. She was managed conservatively with lacrimal sac massage and
provided with refractive correction while she simultaneously underwent multiple
surgeries for correction of clubfoot and craniosynostosis. The second patient
presented with cleft lip, cleft palate, multiple constriction bands in upper
limbs and fingers with unilateral microphthalmos, microcornea, typical iris
coloboma, and retinochoroidal coloboma, very similar to a case reported in
literature. These 2 cases provide an overview of the clinical spectrum of
ophthalmic manifestations along with their staged optimum rehabilitation.
PMID- 29634608
TI - Herpes Zoster Ophthalmicus With Orbital Findings Preceding Skin Rash.
AB - The authors describe 2 patients who presented with orbital findings and later
developed vesicular lesions that were positive for varicella zoster virus and
consistent with Herpes Zoster ophthalmicus. One case is the first to involve
dacryoadenitis and orbital myositis preceding disseminated Herpes Zoster. In the
other case, a patient developed zoster orbital syndrome leading to elevated
intraocular pressure, loss of vision, and afferent pupillary defect. Canthotomy
and cantholysis were required to restore vision. In both cases, the orbital
syndrome developed prior to the vesicular rash. These cases highlight the need to
consider Herpes Zoster ophthalmicus in patients with orbital syndrome not
responding to conventional treatment.
PMID- 29634609
TI - Ophthalmic Pyogenic Granulomas Treated With Topical Timolol-Clinical Features of
17 Cases.
AB - PURPOSE: Topical timolol has been increasingly demonstrated to be an effective
treatment for pyogenic granulomas (PG). The authors review the treatment outcomes
of 17 patients with ocular PG treated with topical timolol. METHODS:
Retrospective interventional study of 17 patients with ocular PGs treated with
timolol 0.5% solution. Patient demographics, clinical features, treatment
response, and recurrence were noted. RESULTS: Nine females and 8 males with a
mean age of 23 years (range, 3-67 years) were included. Mean duration of disease
prior to treatment was 3.81 months (range, 0.25-11 months). Etiologies included
chalazia (12 cases, 71%), postsurgical (4, 24%) and trauma (1, 6%). Five patients
(29%) had treatment with topical steroids prior to presentation. Fifteen patients
(88%) had PG located on the palpebral conjunctiva and 2 (12%) involving the
bulbar conjunctiva. Mean lesion size was 5.06 * 6.06 mm (range, 3-8 * 3-18 mm).
Fifteen patients (88%) had complete lesion resolution with a mean treatment
duration of 3.07 weeks (range, 2-5 weeks) and no adverse events or recurrences
with a mean follow up of 9.47 months (range, 6-27 months). Two patients (12%)
underwent lesion excision after 6 weeks of timolol failed to yield resolution.
CONCLUSION: Topical timolol appears to be a well-tolerated nonsurgical treatment
of ocular PG in both children and adults. Clinicians may wish to consider topical
timolol to treat PG as opposed to topical steroids, given the inherent risk of
steroid response ocular hypertension and the difficulty to measure intraocular
pressure in younger children who require general anesthesia for excision.
PMID- 29634610
TI - Absenteeism and Indirect Economic Burden Associated With Primary and Secondary
Hypogonadism: A Retrospective Matched Cohort Analysis of Employed, Commercially
Insured Patients in the U.S.
AB - OBJECTIVE: The aim of this study was to evaluate the indirect economic burden
incurred by patients with primary and secondary hypogonadism (HG) compared with
non-HG controls using real-world data. METHODS: In this retrospective cohort
study using a large US administrative claims database, adult males with primary
or secondary HG were selected from 2010 to 2014. Non-HG controls had no evidence
of HG from 2009 to 2014 and were matched on age, insurance type, and geographic
region to HG patients. Outcomes included absenteeism and associated costs.
RESULTS: HG (vs non-HG) patients had a significant 15% increase in
nonrecreational absenteeism hours (adjusted odds ratio 1.15, P = 0.002) and
associated costs ($2152 vs $1172, P < 0.001) post-index after adjusting for pre
period differences. CONCLUSION: The indirect economic burden of HG is
significant. Further research is needed to test whether treatment with
testosterone can help alleviate the indirect burden associated with HG.
PMID- 29634611
TI - Stress Among Latinos: Does it Vary by Occupation and Agricultural Season?
AB - OBJECTIVE: Stress is pervasive among Latino immigrants. We identified seasonal
and occupational patterns in stress among rural Latino immigrants. METHODS:
During three agricultural periods, farmworker and non-farmworker participants
responded to a 24-item stress questionnaire (Snipes et al, 2007). We measured the
associations of stress with occupation, with season, and occupation within
season, adjusting for demographic variables. RESULTS: The highest levels of
stress were observed in the pre-thinning season when pruning takes place among
farmworkers. Stress is significantly higher in farmworkers compared with non
farmworkers only in the non-spray season when crops are dormant. Higher income
was associated with decreased stress in the pre-thinning and thinning seasons
when buds and small fruit are removed from orchards. CONCLUSIONS: Identification
of strategies to reduce stress in Latino migrants is warranted. Although some
sources of stress may be intractable, others may be amenable to intervention.
PMID- 29634612
TI - The Association Between PM2.5 and Ozone and the Prevalence of Diabetes Mellitus
in the United States, 2002 to 2008.
AB - OBJECTIVE: To examine the association between air pollution and diabetes
prevalence in the United States, 2002 to 2008. METHODS: Annual average
particulate matter (PM2.5) and ozone concentrations were calculated using daily
county-level data from the CDC's Tracking Network. Individual-level outcome and
covariate data were obtained from the Centers for Disease Control and Prevention
(CDC) Behavioral Risk Factor Surveillance System for 862,519 individuals. We used
Poisson regression analyses to examine associations between each air pollutant
(per 10-unit increase) with diabetes, including regional sub-analyses. Analyses
were adjusted for year, age, sex, race, ethnicity, education, income, smoking
status, body mass index, exercise, and asthma. RESULTS: Positive associations
between each pollutant and diabetes were found (PM2.5: prevalence ratio [PR] =
1.10; 95% confidence interval [CI] = 1.03, 1.17; ozone: PR = 1.06; 95% CI = 1.03,
1.09). There was limited evidence of effect modification by region. CONCLUSIONS:
Interventions to reduce ambient air pollution may help alleviate the diabetes
burden in the US.
PMID- 29634613
TI - Association between COMT gene polymorphisms, clinical symptoms, and cognitive
functions in Han Chinese patients with schizophrenia.
AB - AIM: Catechol-O-methyltransferase (COMT) gene variants may be involved in the
pathogenesis of psychotic symptoms, and associated especially with negative
symptom in schizophrenia, but their roles in cognitive function and treatment
response remain unclear. The aim of this study was to explore the association
between COMT gene polymorphisms, clinical symptoms (including cognitive
function), and treatment response to antipsychotic medications in patients with
schizophrenia. PATIENTS AND METHODS: A total of 200 Han Chinese inpatients with
schizophrenia were recruited in accordance with Diagnostic and Statistical Manual
of Mental Disorders, 4th ed. (DSM-IV). In total, 96 of them completed assessments
at baseline and after 8 weeks of antipsychotic treatment. Clinical symptoms were
assessed using the Positive And Negative Syndrome Scale (PANSS), and cognitive
function was evaluated using the Verbal Fluency Test, Trail Making Test A-B,
Stroop Color-Word Test, and Wisconsin Card Sorting Test. Two single nucleotide
polymorphisms, rs4680 and rs165599, on the COMT gene were genotyped. RESULTS: At
baseline, we found no significant genotypic association between rs4680 and
clinical symptoms or cognitive function. After 8 weeks of antipsychotic
treatment, compared with patients with GG genotype, patients with AA/AG genotypes
at rs4680 showed significantly higher scores on PANSS total, both at baseline and
at the end of 8 weeks, especially in negative and general psychopathology
symptoms. Patients with GG at rs165599 scored significantly higher on the Stroop
test, suggesting better cognitive performance after 8 weeks of treatment. No
significant association was found between rs165599 genotype and psychiatric
symptoms as assessed by the PANSS and cognitive function tests at baseline.
CONCLUSION: Our findings suggest that the COMT gene polymorphisms may influence
the response to antipsychotic treatment in Han Chinese patients with
schizophrenia.
PMID- 29634615
TI - Inpatient Care for the Cancer Survivor: Opportunities to Develop and Deliver
Standards for Care.
AB - Cancer continues to evolve from a terminal diagnosis to a chronic medical
condition. With improved survivorship rates, opportunities exist to deliver
rehabilitation care throughout the oncology continuum. By definition, inpatient
rehabilitation is generally considered postacute care and is provided either in
inpatient rehabilitation facilities, in skilled nursing facilities, or in long
term care hospitals. Each institution is subject to specific regulations and
legislation that help define appropriateness for admission based on diagnosis,
medical necessity, and functional need. However, these criteria may present
barriers to access care for the oncology survivor. As the healthcare landscape
changes, and reimbursement structures shift from fee-for-service to those that
emphasize effectiveness and efficiency in care, inpatient rehabilitation has a
unique opportunity to improve value in terms of outcomes and cost. With the
implementation of the Improving Medicare Post-Acute Care Transformation Act,
standardization of measures throughout postacute care may allow for a more
consistent approach to delivery of inpatient rehabilitation care. Further work
will be necessary to define the parameters by which oncology survivors should be
gauged in this framework.
PMID- 29634614
TI - A Risk Scoring System for the Prediction of Functional Deterioration,
Institutionalization, and Mortality Among Medicare Beneficiaries.
AB - OBJECTIVE: We sought to develop a risk scoring system for predicting functional
deterioration, institutionalization, and mortality. Identifying predictors of
poor health outcomes informs clinical decision-making, service provision, and
policy development to address the needs of persons at greatest risk for poor
health outcomes. DESIGN: This is a cohort study with 21,257 community-dwelling
Medicare beneficiaries 65 yrs and older who participated in the 2001-2008
Medicare Current Beneficiary Survey. Derivation of the model was conducted in 60%
of the sample and validated in the remaining 40%. Multinomial logistic regression
model generated beta coefficients, which were used to create a risk scoring
system. Our outcome was instrumental activity of daily living stage transitions
(stable/improved function and functional deterioration), institutionalization, or
mortality for 2 yrs of follow-up. RESULTS: A total of 18 factors were identified
for functional deterioration (P < 0.05). In the derivation cohort, the likelihood
of functional deterioration ranged from 6.27% to 33.51%, risk of
institutionalization from 0.07% to 12.13%, and risk of mortality from 2.13% to
31.83%, in comparison with stable/improved function. CONCLUSIONS: A risk scoring
system predicting Medicare beneficiaries' risk of functional deterioration,
institutionalization, and mortality based on demographic and clinical indicators
may feasibly be developed with implications for healthcare delivery.
PMID- 29634616
TI - Second-Order Peer Reviews of Clinically Relevant Articles for the Physiatrist: Is
Pregabalin Effective in the Treatment of Radiating Low Back Pain Into the Leg?
PMID- 29634617
TI - PMR Role on Notalgia Paresthetica: Case Report and Treatment Review.
AB - Notalgia paresthetica (NP) is a rarely reported T2-T6 sensory neuropathy whose
etiology and treatment are not fully established. Although it is believed to be
common in dermatological practice, it remains under-recognized, under-diagnosed,
and therefore under-reported. This case-report provides a physical medicine and
rehabilitation (PMR) perspective on NP diagnosis and treatment. This article
presents a case report of a 39-year-old woman with pain, pruritus, and a
hyperpigmented area in the right dorsal infra scapular region. The diagnosis of
NP was established. She was successfully treated with topical anesthetics,
postural corrective exercises, scapular muscle strengthening and pectoral muscle
stretching. In this context, different treatment options were reviewed. A small
set of pharmacological and non-pharmacological techniques were identified.
Several of these modalities belong to the PMR field of action.
PMID- 29634618
TI - Ultrasound Imaging for Muscle Variations: Digastric Flexor Carpi Ulnaris,
Gastrocnemius Tertius, and Supernumerary Fibularis Longus in an Asymptomatic
Family.
AB - Anatomical variations of the muscles are seen with different frequencies.
Although most of them are asymptomatic; in certain cases, their existence
requires attention with regard to entrapment syndromes, mass lesions, botulinum
toxin injections, and tendon transfers. Herein, as ultrasound imaging is a
convenient method for muscle imaging, it can easily be used in daily practice for
prompt understanding of such muscular variations. In this report, we demonstrated
and discussed a similar scenario in an asymptomatic individual (and his close
family members) using ultrasound imaging for scanning the digastric flexor carpi
ulnaris, gastrocnemius tertius, and supernumerary fibularis longus muscles.
PMID- 29634619
TI - Cardiac and Pulmonary Cystic Echinococcosis With Massive Obstruction of the
Pulmonary Vessel System in a 16-Year-Old Girl.
AB - We describe herein the management of a 16-year-old girl with cystic
echinococcosis of the right ventricle and massive obstruction of the pulmonary
vessel system by parasitic metastatic dissemination. After resection of the
cardiac cyst, pulmonary thromboendarterectomy was performed to remove parts of
the obstructive parasitic material. The treatment reduced the elevated pulmonary
arterial pressure, improving the patient's overall condition.
PMID- 29634620
TI - Population Pharmacokinetics of Intravenous Linezolid in Premature Infants.
AB - BACKGROUND: The emergence of coagulase-negative staphylococci (CoNS) with reduced
vancomycin-susceptibility in some neonatal intensive care units (NICU) has
resulted in an increase of linezolid use. Linezolid pharmacokinetics (PK) and
safety in premature infants still need to be better established. METHODS: This
was a retrospective PK study. All infants who received intravenous (IV) linezolid
and had linezolid plasma concentrations per standard of care were included.
Linezolid concentrations were measured by high-performance liquid chromatography.
A population PK model was developed using nonlinear mixed effects modeling
(NONMEM). Optimal dosing was determined based on achievement of the surrogate
pharmacodynamics (PD) target for efficacy: a ratio of the area under the
concentration-time curve (AUC) to minimum inhibitory concentration (MIC) > 80. We
assessed the occurrence of thrombocytopenia and lactic acidosis in relation with
drug exposure. RESULTS: A total of 78 plasma concentrations were collected from
26 infants, with a median postnatal age (PNA) of 24 days (8-88) and weight of
1423g (810-3256). A 1-compartment model described linezolid data well. The final
model included PNA and weight on clearance, and weight on volume of distribution.
Considering an MIC90 of 1 mg/L; all infants reached an AUC/MIC > 80. Although
thrombocytopenia and hyperlactatemia occurred frequently, they were not sustained
and were not considered related to linezolid. CONCLUSION: Intravenous linezolid
was efficient and well tolerated in critically ill premature infants. PNA was the
main determinant of clearance.
PMID- 29634621
TI - Management of Stenotrophomonas maltophilia Infections in Critically Ill Children.
AB - BACKGROUND: Stenotrophomonas maltophilia is a life-threatening nosocomial
pathogen with profound multidrug-resistant attributes. It is associated with high
mortality, particularly in immunocompromised patients. Data on therapy for S.
maltophilia infections are scarce, especially in children hospitalized in
intensive care settings (pediatric intensive care unit). METHODS: A retrospective
chart review of pediatric patients with isolates of S. maltophilia hospitalized
over a 5-year period in 2 pediatric intensive care units. RESULTS: Thirty-one
patients and 91 isolates from blood, respiratory secretions and soft tissues were
identified and reviewed. The overall incidence of S. maltophilia infections
increased during the study period (P = 0.003). The all-cause crude mortality was
61%, and the attributed mortality was approximately 16%. Risk factors associated
with mortality included longer hospitalization before infection (P = 0.002),
septic shock (P = 0.003), mechanical ventilation (P = 0.004), an indwelling
central vein catheter (P = 0.03) and prior use of steroids (P = 0.04) and
carbapenems (P = 0.004). On multivariate analysis, mortality was associated with
mechanical ventilation (P = 0.02) and preinfection hospitalization days (P =
0.01). Combination treatment of trimethoprim and sulfamethoxazole, ciprofloxacin
and/or minocycline significantly extended survival time (P < 0.001). The method
of treatment did not significantly affect the interval between S. maltophilia
isolation to resolution of infection (P = 0.200). CONCLUSIONS: Combinations of
trimethoprim and sulfamethoxazole, ciprofloxacin and minocycline are proposed for
pediatric intensive care unit patients harboring S. maltophilia. Meticulous
evaluation of central vascular access and prior treatment with carbapenems are
indicated, especially for mechanically ventilated and septic children.
PMID- 29634622
TI - Selected Children with Complicated Acute Urinary Tract Infection May be Treated
with Outpatient Parenteral Antibiotic Therapy at Home Directly from the Emergency
Department.
AB - BACKGROUND: Outpatient parenteral antimicrobial therapy (OPAT) offers the option
of treating children requiring intravenous antibiotics for acute urinary tract
infection(UTI)/pyelonephritis at home. We aimed to determine the outcomes of
treating patients with UTI/pyelonephritis using OPAT directly from the Emergency
Department (ED) without admission to hospital. METHODS: This was a retrospective
study (Aug 2012-Jul 2016) of children with UTI/pyelonephritis treated with
parenteral antibiotics via a peripheral cannula directly from ED to home under a
hospital-in-the home (HITH) program. Data collection included demographics,
clinical features, length of stay, complications and readmissions to hospital.
RESULTS: There were 62 patient episodes of UTI/pyelonephritis transferred
directly from ED to HITH. 58 (94%) had systemic features including fever,
vomiting, and/or tachycardia. 18 (29%) patients had an underlying condition. 9
(15%) received intravenous fluids and 8 (13%) antiemetics in ED. The OPAT course
was successfully completed in 56 (90%) patients. Of 6 (10%) patients who were
readmitted, 2 were discharged within 24 hours and none were severely unwell. 2
(3%) had a blocked cannula, with no antibiotic complications. HITH patients were
treated for a combined total of 142 days at home resulting in a cost saving of
AUD108,914 (USD82,775). However, only 8% of children deemed to require a course
of IV antibiotics were transferred directly home from ED. Compared to patients
concurrently admitted to hospital, fewer on HITH less than 1 year of age (13%
versus 33%, OR 0.3, p<0.01). CONCLUSIONS: Selected patients presenting to ED with
UTI/pyelonephritis may be treated directly via HITH, including some with
underlying conditions and/or systemic features.
PMID- 29634623
TI - Optimum Detection of Ureaplasma in Premature Infants.
AB - BACKGROUND: Ureaplasma spp. is a known risk factor for bronchopulmonary dysplasia
in premature infants. Emerging research suggests treatment with azithromycin or
clarithromycin in the first days of life (DOLs) reduces bronchopulmonary
dysplasia in Ureaplasma spp. positive infants. Side effects of these antibiotics
make it imperative to optimize reliable noninvasive screening procedures to
identify infants who would benefit from treatment. METHODS: The aim of this study
was to determine the best site and time to screen for Ureaplasma spp. in 24- to
34-week premature infants. Oral, nasal, gastric and tracheal cultures were
collected and placed immediately in 10B broth media. Polymerase chain reaction
verified culture results and identified the Ureaplasma spp. RESULTS: Cultures
yielded a Ureaplasma spp. incidence of 80/168 = 47.6% [95% confidence interval
(CI): 40-56]. Nasal cultures had greater sensitivity to detect Ureaplasma spp.
than oral cultures (P = 0.008): however, a significant proportion of infants with
Ureaplasma spp. would have been missed (12/79 = 15.2%, 95% CI: 8%-25%, P < 0.001)
if oral cultures were not obtained. For all sites, the collection at DOL 7-10
were more likely to be positive than the collection at DOL 1-2: however, a
significant proportion (5/77 = 6.5%, 95% CI: 2-15, P < 0.001) of infants with
Ureaplasma spp. would have been missed if the DOL 1-2 cultures were not obtained.
CONCLUSIONS: For optimal Ureaplasma spp. detection in 24- to 34-week premature
infants, cultures need to be taken both early and late in the first 10 DOLs both
from nasal and oral secretions.
PMID- 29634624
TI - Perinatal Yellow Fever: A Case Report.
AB - An outbreak of yellow fever in Brazil made it possible to assess different
presentations of disease such as perinatal transmission. A pregnant woman was
admitted to hospital with yellow fever symptoms. She was submitted to cesarean
section and died due to fulminant hepatitis. On the 6th day the newborn developed
liver failure and died 13 days later. Yellow fever PCR was positive for both.
PMID- 29634625
TI - An 8-year-old Boy With Fever and Abdominal Pain.
PMID- 29634626
TI - Epidemiology of Otitis Media With Otorrhea Among Bangladeshi Children: Baseline
Study for Future Assessment of Pneumococcal Conjugate Vaccine Impact.
AB - BACKGROUND: Otitis media (OM) poses a high disease burden on Bangladeshi
children, but little is known about its etiologies. We conducted a surveillance
study in the largest pediatric hospital to characterize pathogens responsible for
OM. METHODS: In the outpatient ear-nose-throat department of Dhaka Shishu
Hospital, which serves 0 to 18-year-old children, we collected ear swabs from OM
children with otorrhea from April 2014 to March 2015. We cultured all specimens
for bacterial pathogens and assessed serotype and antimicrobial susceptibility of
Streptococcus pneumoniae (Spn) and Haemophilus influenzae (Hi) isolates. RESULTS:
We recorded 1111 OM episodes; 88% (981/1111) involved otorrhea, and we collected
samples from 91% (891/981) of these children. Fifty-one percent (452/891) were
culture positive (contaminants excluded), with Hi (21%, 187/891) and Spn (18%,
164/891) most commonly detected. Overall, 45 distinct single and mixed pathogens
were revealed. Dominant pneumococcal serotypes were 19A, 19F, 3 and 14; 98% of Hi
isolates were nontypeable. Pneumococcal conjugate vaccine (PCV)10 and PCV10 + 6A
serotypes accounted for 8% and 9% of all OM and 46% and 49% of pneumococcus
positive cases, respectively, and were more likely to be nonsusceptible to at
least 1 antibiotic (erythromycin and/or trimethoprim-sulfamethoxazole) than
nonvaccine serotypes (91% vs. 77%). Staphylococcus aureus (9%, 83/891) and
Pseudomonas aeruginosa (4%, 38/891) were also found. CONCLUSIONS: Nontypeable Hi
(NTHi) and Spn are predominant causes of OM in Bangladesh. PCV10, introduced in
March 2015, is likely to reduce pneumococcal and overall OM burden. Data
collected post-PCV10 will provide comprehensive insight into the effects of this
vaccine on these pathogens.
PMID- 29634628
TI - An Introduction to the Point/Counter-Point/Reply Exchange by Dr Stone and
Professor Lu and Colleagues.
PMID- 29634629
TI - In Search of a Pony: Sources, Methods, Outcomes, and Motivated Reasoning.
AB - It is highly desirable to be able to evaluate the effect of policy interventions.
Such evaluations should have expected outcomes based upon sound theory and be
carefully planned, objectively evaluated and prospectively executed. In many
cases, however, assessments originate with investigators' poorly substantiated
beliefs about the effects of a policy. Instead of designing studies that test
falsifiable hypotheses, these investigators adopt methods and data sources that
serve as little more than descriptions of these beliefs in the guise of analysis.
Interrupted time series analysis is one of the most popular forms of analysis
used to present these beliefs. It is intuitively appealing but, in most cases, it
is based upon false analogies, fallacious assumptions and analytical errors.
PMID- 29634627
TI - Near Real-time Surveillance for Consequences of Health Policies Using Sequential
Analysis.
AB - BACKGROUND: New health policies may have intended and unintended consequences.
Active surveillance of population-level data may provide initial signals of
policy effects for further rigorous evaluation soon after policy implementation.
OBJECTIVE: This study evaluated the utility of sequential analysis for
prospectively assessing signals of health policy impacts. As a policy example, we
studied the consequences of the widely publicized Food and Drug Administration's
warnings cautioning that antidepressant use could increase suicidal risk in
youth. METHOD: This was a retrospective, longitudinal study, modeling prospective
surveillance, using the maximized sequential probability ratio test. We used
historical data (2000-2010) from 11 health systems in the US Mental Health
Research Network. The study cohort included adolescents (ages 10-17 y) and young
adults (ages 18-29 y), who were targeted by the warnings, and adults (ages 30-64
y) as a comparison group. Outcome measures were observed and expected events of 2
possible unintended policy outcomes: psychotropic drug poisonings (as a proxy for
suicide attempts) and completed suicides. RESULTS: We detected statistically
significant (P<0.05) signals of excess risk for suicidal behavior in adolescents
and young adults within 5-7 quarters of the warnings. The excess risk in
psychotropic drug poisonings was consistent with results from a previous, more
rigorous interrupted time series analysis but use of the maximized sequential
probability ratio test method allows timely detection. While we also detected
signals of increased risk of completed suicide in these younger age groups, on
its own it should not be taken as conclusive evidence that the policy caused the
signal. A statistical signal indicates the need for further scrutiny using
rigorous quasi-experimental studies to investigate the possibility of a cause-and
effect relationship. CONCLUSIONS: This was a proof-of-concept study. Prospective,
periodic evaluation of administrative health care data using sequential analysis
can provide timely population-based signals of effects of health policies. This
method may be useful to use as new policies are introduced.
PMID- 29634630
TI - Counter-Point: Early Warning Systems Are Imperfect, but Essential.
AB - Sequential analysis can be used as an early warning system about potential
unintended consequences of health policy decisions, generating follow-up
investigations, but it should not be used as causal evidence.
PMID- 29634632
TI - Honest Opinion Versus Dishonest Analysis.
AB - Response to the response of Kuldorff and Lu et al.
PMID- 29634631
TI - Counter-Point: Staying Honest When Policy Changes Backfire.
AB - Despite the good intentions of the Food and Drug Administration (FDA), many drug
warnings are ineffective or have unintended consequences, particularly if the
media exaggerates the messages and scares the public. The controversial 2003 to
2004 FDA warnings on youth suicidality associated with antidepressant use are a
case in point. In a 10-year interrupted time series (ITS) analysis in 11 health
plans, we found that the warnings and hyped media coverage led to substantial
reductions in antidepressant use (declines in antidepressant use and overall care
corroborated in several studies), and small, visible increases in emergency room
and inpatient poisonings with psychotropic drugs. In a gross misunderstanding of
the method, Dr Stone calls ITS, "an intuition based upon false analogies,
fallacious assumptions and analytical error." We demonstrate visually using
published studies that the ITS method is one of the oldest (hundreds of years)
and strongest quasi-experimental study designs, and that the alternative data
analyses proposed by Dr Stone do not have rates (denominators), nor baselines, so
the measures of change are invalid.
PMID- 29634633
TI - Distribution of Visits for Chronic Conditions Between Primary Care and Specialist
Providers in Medicare Shared Savings Accountable Care Organizations.
AB - BACKGROUND: Medicare Shared Savings Program Accountable Care Organizations (ACOs)
may focus more on primary care given ACO financial incentives. We examine
variation in primary care treatment of 8 prevalent chronic conditions across ACOs
and the factors affecting the variation, and compare the role of primary care in
ACOs and non-ACOs. RESEARCH DESIGN: We conduct regression models at the ACO-level
to identify factors predicting higher proportions of chronic condition visits
delivered by primary care providers (PCPs) using 2013 Medicare claims and
enrollment data. We compare the distribution of visits to PCPs, specialists and
advanced practice providers between ACO-attributed and non-ACO-attributed
beneficiaries. RESULTS: At the ACO-level, the proportion of patients who are
white and of the local population who are college educated, the complexity of the
patient population, and the supply of specialists were negatively associated with
the proportion of chronic condition visits delivered by PCPs, whereas the
percentage of contracted physicians within the ACO who were PCPs was positively
associated. These results varied when subanalyses were conducted for each
specific chronic condition. ACO care for chronic conditions was managed similar
to that of care for non-ACO Medicare beneficiaries in 2013, but that some ACOs
utilize PCPs to manage chronic conditions more than others. CONCLUSIONS: Many
ACOs may underutilize PCPs, and thus could actively shift care to less expensive
primary care for potential savings to payers. Barriers to that shift could
include low numbers of PCPs contracted in the ACO, and existing referral patterns
and patient relationships with specialists.
PMID- 29634634
TI - Immune privilege: failure of immunotherapy in controlling metastatic cutaneous
melanoma to the eye.
AB - This report concerns a 49-year-old female with cutaneous malignant melanoma and
systemic metastases. These resolved following combination immunotherapy with
ipilimumab and nivolumab. She subsequently experienced unilateral floaters, an
increase in iris pigmentation and pigmentary glaucoma. The eye progressively lost
vision and became painful due to iris neovascularization. The clinical diagnosis
was of cutaneous melanoma metastatic to the vitreous, ciliary body and iris.
Enucleation was performed for symptom control, with histopathology confirming the
clinical diagnosis. The immune privilege of the eye may preclude ocular
metastasis control with immunotherapy. Ocular symptoms in such patients merit
referral to an ophthalmologist.
PMID- 29634635
TI - Clinicopathological significance of tumor-infiltrating lymphocytes and programmed
death-1 expression in cutaneous melanoma: a comparative study on clinical
subtypes.
AB - Interactions between immune cells and tumor cells play an important role in tumor
progression. We evaluated patterns of tumor-infiltrating lymphocytes (TILs) and
programmed death-1 (PD-1) expression in acral and nonacral cutaneous melanoma,
and determined their effects on clinicopathological characteristics and biologic
responses. We identified 122 cases of cutaneous melanoma, of which 39 were cases
of non-nail unit acral melanoma (NNUAM), 35 were cases of nail unit melanoma
(NUM), and 48 were cases of nonacral melanoma. Clinicopathological features and
survival outcomes were analyzed according to the scores for TILs and PD-1
expression in intratumoral and peritumoral compartments. The effects of the
presence of TILs and PD-1 expression on various clinicopathological factors
differed according to the clinical subtypes of cutaneous melanoma. The frequency
of intratumoral TILs and PD-1 expression were lower in NUM than in the other two
subtypes. The density of peritumoral PD-1 was significantly higher in NNUAM. In
NUM and nonacral melanoma, a low density of intratumoral TILs and PD-1 was
associated with a deeper Breslow thickness and the presence of a vertical growth
phase. In NNUAM, a high density of peritumoral TILs and PD-1 was associated with
a shallower Breslow thickness and less frequent extracutaneous dissemination. In
NNUAM, a high density of peritumoral PD-1 was associated with a better prognosis.
This study suggests that the effects of PD-1+ TILs on biological activity differ
according to the clinical subtypes of cutaneous melanoma.
PMID- 29634637
TI - Older women do not have seasonal variations of vitamin D levels: a study from a
southern country.
AB - OBJECTIVE: The aim was to study whether the seasonal variation of vitamin D
[25(OH)-D or calcidiol] is similar or different in younger and older women living
in a southern country. METHODS: Measurement of serum 25(OH)-D concentration in
739 Chilean women aged 20 to 87 years, residents of Santiago (latitude: 33.4
degrees South) who, during a routine gynaecological checkup, agreed to be
evaluated. RESULTS: The mean serum concentration of 25(OH)-D for the group was
24.1 +/- 10.5 ng/mL. In women 20 to 39 years, the mean was significantly
different from the mean of the >=60 years old group (25.8 +/- 10.6 ng/mL vs 23.9
+/- 11.1 ng/mL; P < 0.02). Globally, 38.4% of participants had vitamin D
deficiency and 36.1% insufficiency. A deficiency was present in 28.4% of the 20
to 39 years old, and in 43.9% in the >=60 years old group (P < 0.004). In the
whole group, a lower proportion (P < 0.0001) of vitamin D deficiency cases in the
youngest women occurred during the summer (23.7%) in comparison to the winter
(47.7%). It was observed that the proportion of participants in the 20 to 39
years old group with vitamin D deficiency fell from 48.9% in winter to 4.9% in
summer (P = 0.0001). In the older groups, this change (less deficiency) is
progressively smaller, 51.2% to 27.6% (P = 0.0020) in women 40 to 59 years old,
and it does not happen in women >=60 years (40% with vitamin D deficiency).
CONCLUSIONS: Serum vitamin D deficiency [25(OH)-D or calcidiol] is highly
prevalent in Santiago, especially in older women (>=60 y) throughout the year. In
contrast, in younger women (<40 y), the vitamin D deficiency tends to disappear
during summer. More epidemiological studies and targeted prevention actions on
vitamin D deficiency are warranted.
PMID- 29634636
TI - Vascular dysfunction across the stages of the menopausal transition is associated
with menopausal symptoms and quality of life.
AB - OBJECTIVE: The menopausal transition is associated with somatic symptoms and
increased rates of depression, which can impair quality of life (QOL) and
increase cardiovascular disease (CVD) risk. This period is also associated with
accelerated vascular aging (arterial stiffening and endothelial dysfunction), an
antecedent to CVD. This secondary analysis sought to explore associations between
depression, menopausal symptoms and QOL, and vascular aging across menopause
stages. METHODS: Arterial stiffness (carotid artery compliance), endothelial
function (brachial artery flow-mediated dilation [FMD]), menopausal symptoms
(Menopausal Symptom List [MSL]), depression (Center for Epidemiologic Studies
Depression Scale [CES-D]), and QOL (Utian QOL Scale [UQOL]) were measured in 138
women (19-70 years) classified as premenopausal (n = 41, 34 +/- 8 years; mean +/-
SD), early (n = 25, 49 +/- 3 years), or late perimenopausal (n = 26, 50 +/- 4
years), or early (n = 22, 55 +/- 4 years) or late postmenopausal (n = 24, 61 +/-
5 years). Differences across menopause stages were determined using one-way
analysis of variance; associations between vascular measures and MSL, CES-D, and
UQOL were tested using Pearson's correlation analyses. RESULTS: Menopausal
symptoms, depression, and QOL worsened across menopause stages, particularly in
late perimenopausal women. Vasosomatic symptom frequency, and general somatic
symptom frequency and severity were inversely correlated with carotid artery
compliance and FMD (r = -0.27 to -0.18, all P < 0.05). Only correlations with
general somatic symptoms were significant after adjusting for multiple
comparisons. Total QOL was positively correlated with carotid artery compliance
(r = 0.23, P = 0.01). CES-D scores were not correlated with carotid artery
compliance or FMD (r = -0.08, -0.03, P = 0.35). CONCLUSIONS: Vascular dysfunction
across the stages of menopause was associated with greater frequency and severity
of menopausal symptoms, and lower QOL, but not depression. Mechanisms underlying
these associations (eg, inflammation, oxidative stress) should be explored.
PMID- 29634638
TI - Balance ability and posture in postmenopausal women with chronic pelvic pain.
AB - OBJECTIVE: The aim of the present study was to analyze balance ability and
posture in postmenopausal women with chronic pelvic pain (CPP). METHODS: This
study includes a sample of 48 women with CPP recruited from the Gynecology
Service of Virgen de las Nieves and San Cecilio Hospitals in Granada (Spain) and
48 healthy control women matched with respect to age and anthropometric
characteristics. Outcome variables collected included: balance ability (Mini
Balance Evaluation Systems Test and Timed Up an Go Test) and posture
(photogrammetry and Spinal Mouse). RESULTS: Significant differences were found in
all Mini Best Test subscales: total (P < 0.001), anticipatory (P = 0.002),
reactive postural control (P < 0.001), sensory orientation (P < 0.001), and
dynamic gait (P < 0.001), and all Timed Up and Go test subscales: alone (P <
0.001), with manual (P = 0.002) and cognitive task (P = 0.030). Significant
differences were also found on spinal cervical angles with a forward head posture
in women with CPP; global spine alignment exhibited more deviation in the women
with CPP (P < 0.001); and a higher percentage of women with CPP (58%) presented
with increased thoracic kyphosis and lumbar lordosis. Cohen's d was used to
calculate the effect size. Some subscales of balance and posture tests showed a
large effect size (d >=0.8), indicating a more consistent result. CONCLUSIONS:
Women with CPP presented poor balance including anticipatory, reactive postural
control, sensory orientation, dynamic gait, and dual task-related conditions.
Posture showed higher values on the dorsal angle and lower sacral inclination,
less spine alignment, and a more prevalent posture with increased kyphosis and
lumbar lordosis.
PMID- 29634639
TI - Temporal Changes in Cardiovascular Remodeling Associated with Football
Participation.
AB - PURPOSE: American-style football (ASF) participation rates in the United States
are highest among high school (HS) athletes. This study sought to compare the
cardiovascular response to HS versus collegiate ASF participation. METHODS: The
ASF participants (HS, n = 61; collegiate, n = 87) were studied at preseason and
postseason time points with echocardiography and applanation tonometry. Primary
outcome variables included: left ventricular (LV) mass index, LV diastolic
function (early relaxation velocity [E']), and arterial stiffness (pulse wave
velocity [PWV]). RESULTS: High school (17.1 +/- 0.4 yr) and collegiate ASF
participants (18 +/- 0.4 yr) experienced similar LV hypertrophy (DeltaLV mass HS
= 10.5 +/- 10 vs collegiate = 11.2 +/- 13.6 g.m, P = 0.97). Among HS
participants, increases in LV mass were associated with stable diastolic tissue
velocities (DeltaE' = -0.3 +/- 2.9 cm.s, P = 0.40) and vascular function
(DeltaPWV = -0.1 +/- 0.6 m.s, P = 0.13). In contrast, collegiate participants
demonstrated a higher burden of concentric LV hypertrophy (21/87, 24% vs 7/61,
11%, P = 0.026) with concomitant reductions in diastolic tissue velocities
(DeltaE': -2.0 +/- 2.7 cm.s, P < 0.001) and increased arterial stiffness
(DeltaPWV: Delta0.2 +/- 0.6 m.s, P = 0.003), changes that were influenced by
linemen who had the highest post-season weight (124 +/- 10 kg) and systolic blood
pressure ([SBP], 138.8 +/- 11 mm Hg). In multivariable analyses adjusting for age
and ethnicity, body mass was an independent predictor of post-season PWV (beta
estimate = 0.01, P = 0.04) and E' (beta estimate = -0.04, P = 0.05), whereas SBP
was an independent predictor of postseason LV mass index (beta estimate = 0.18, P
= 0.01) and PWV (beta estimate = 0.01, P = 0.007). CONCLUSIONS: The transition
from HS to college represents an important physiologic temporal data point after
which differential ASF cardiovascular phenotypes manifest. Future work aimed to
clarify underlying mechanisms, and the long-term clinical implications of these
findings is warranted.
PMID- 29634640
TI - Effects of Neuromuscular Electrical Stimulation in People with Spinal Cord
Injury.
AB - INTRODUCTION: Muscle force production is usually impaired in people with spinal
cord injury (SCI). The use of high-intensity neuromuscular electrical stimulation
(NMES) strength training can help promote metabolically active lean muscle mass
and, thus, increase muscle mass and improve physical health and quality of life
(QoL). Nonetheless, NMES is usually used at low-stimulation intensities, and
there is limited evidence on the effects of high-intensity NMES strength training
into improving muscle force and mass, symptoms of spasticity, or physical health
and QoL in people with SCI. METHODS: Five individuals with chronic SCI completed
five 10-repetition sets of high-intensity knee extension NMES strength training
sessions for 12 wk in both quadriceps muscles. Quadriceps femoris (QF) knee
extensor torque was measured on a dynamometer, and cross-sectional area (CSAQF)
was measured with extended field-of-view ultrasonography. Venous blood samples
were collected for blood lipid profiling and C-reactive protein analyses. The
Spinal Cord Injury Spasticity Evaluation Tool was used to assess symptoms of
spasticity, and the QoL index SCI version III was used for QoL measures. RESULTS:
QF tetanic knee extensor torque increased on average by 35% (2%-92%), and CSAQF
increased by 47% (14%-145%). A significant increase in the HDL/LDL cholesterol
ratio (P < 0.001) and a mean significant improvement of 4.8% +/- 2.3% (absolute
value = 0.26) in the Spinal Cord Injury Spasticity Evaluation Tool score was
observed, whereas QoL showed a near-significant improvement in the health and
functioning domain (15.0 +/- 4.2 and 17.3 +/- 5.1; P = 0.07). CONCLUSIONS: High
intensity NMES strength training in people with SCI may improve muscle strength,
mass, physical health, and QoL. However, replication of these results is
necessary before clinical implementation.
PMID- 29634641
TI - The Role of Task Difficulty in Learning a Visuomotor Skill.
AB - INTRODUCTION: Task difficulty affects the amount of interpretable information
from a task, which is thought to interfere with motor learning. However, it is
unclear whether task difficulty in itself is a stimulus for motor learning
because the experimental evidence is mixed in support of the optimal challenge
point framework that predicts one specific level of task difficulty to produce
the greatest magnitude of motor learning. PURPOSE: We determined the effects of
functional task difficulty on motor skill acquisition, retention, and transfer.
METHODS: Healthy young participants (N = 36) learned a mirror star-tracing task
at a low, medium, or hard difficulty level defined by the bandwidth of the star.
We measured skill acquisition, retention, and transfer to untrained difficulty
levels, as well as the perceived mental workload during the task. RESULTS: Task
difficulty affected motor performance, but did not affect motor learning and
transfer. For the groups that practiced the task at the medium and hard but not
at the low difficulty level, initial skill level correlated with the magnitude of
learning. CONCLUSIONS: The optimal challenge point framework does not capture the
complex relationship between task difficulty and motor learning. Previously
reported effects of task difficulty on the magnitude of motor learning are
probably mediated by perceived mental workload. Task difficulty did not affect
the magnitude of visuomotor skill learning but it affected how learning occurred.
The data have implications on how athletes learn new motor skills and patients
relearn injury-impaired motor skills during rehabilitation.
PMID- 29634643
TI - Black Carbon Reduces the Beneficial Effect of Physical Activity on Lung Function.
AB - INTRODUCTION: When physical activity is promoted in urban outdoor settings (e.g.,
walking and cycling), individuals are also exposed to air pollution. It has been
reported that short-term lung function increases as a response to physical
activity, but this beneficial effect is hampered when elevated air pollution
concentrations are observed. Our study assessed the long-term impact of air
pollution on the pulmonary health benefit of physical activity. METHODS: Wearable
sensors were used to monitor physical activity levels (SenseWear) and exposure to
black carbon (microAeth) of 115 healthy adults during 1 wk in three European
cities (Antwerp, Barcelona, London). The experiment was repeated in three
different seasons to approximate long-term behavior. Spirometry tests were
performed at the beginning and end of each measurement week. All results were
averaged on a participant level as a proxy for long-term lung function. Mixed
effect regression models were used to analyze the long-term impact of physical
activity, black carbon and their interaction on lung function parameters, forced
expiratory volume in the first second (FEV1), forced vital capacity (FVC),
FEV1/FVC, forced expiratory flow (FEF)25-75, and peak expiratory flow.
Interaction plots were used to interpret the significant interaction effects.
RESULTS: Negative interaction effects of physical activity and black carbon
exposure on FEV1 (P = 0.07), FEV1/FVC (P = 0.03), and FEF25-75 (P = 0.03) were
observed. For black carbon concentrations up to approximately 1 MUg.m, an
additional MET.h.wk resulted in a trend toward lung function increases (FEV1,
FEV1/FVC, and FEF25-75 increased 5.6 mL, 0.1% and 14.5 mL.s, respectively).
CONCLUSIONS: We found that lung function improved with physical activity at low
black carbon levels. This beneficial effect decreased in higher air pollution
concentrations. Our results suggest a greater need to reduce air pollution
exposures during physical activity.
PMID- 29634644
TI - PCNA News.
PMID- 29634646
TI - Social Support, Unstable Angina, and Stroke as Predictors of Depression in
Patients With Coronary Heart Disease: Erratum.
PMID- 29634645
TI - Access to Innovative Medicine: A Preventive Cardiovascular Nurses Association
Position Statement to Reduce the Barriers.
PMID- 29634647
TI - Council on Cardiovascular and Stroke Nursing Liaison Report.
PMID- 29634649
TI - A Meta-ethnography of Out-of-Hospital Cardiac Arrest Survivors' Meanings on Life
and Death.
AB - BACKGROUND: Although out-of-hospital cardiac arrest (OHCA) survivors'
physiological outcomes have been addressed, less is known on the holistic needs
of individuals after OHCA, which hinders our ability to prioritize care around
what really matters to survivors. AIM: The aim of this study was to
interpretatively synthesize qualitative findings on OHCA survivors' perceptions
and meanings of life and death and existential issues. METHODS: We used a meta
ethnographic synthesis of published qualitative studies addressing the
experiences of OHCA survivors based on a systematic literature search (CINAHL,
PsycINFO, Scopus, PubMed). Studies were selected based on predefined
inclusion/exclusion criteria. The identified studies were subjected to a quality
appraisal based on the Critical Appraisal Skills Programme. RESULTS: Based on
eligibility criteria, 6 phenomenological studies were included, of overall medium
to high quality. One core theme, "the reality of death," and 4 main themes were
identified: "redefining existence"; "revaluing death"; "being familiar with, yet
oblivious of death"; and "living consciously." Emerging from the realization of
death, the themes appeared to describe a gradual progression toward living
consciously. CONCLUSIONS: An existential trajectory and a need for meaning are
central in the experience of OHCA survivors. When existential issues are not
addressed, the ongoing suffering may interfere with survivors' recovery and
quality of life. Future research needs to address specific needs and ways to
support survivors' meaning-making.
PMID- 29634648
TI - Does Lifestyle Exercise After a Cardiac Event Improve Metabolic Syndrome Profile
in Older Adults?
AB - BACKGROUND: Exercise is a common recommendation to reduce the risk factors of
metabolic syndrome, yet there are limited data on the influence of lifestyle
exercise after cardiac events on metabolic syndrome factors. OBJECTIVE: The
purpose of this study was to determine whether lifestyle exercise improves
metabolic syndrome profile in older adults after a cardiac event. METHODS:
Participants were from a post-cardiac-event lifestyle exercise study. Five
metabolic syndrome factors were assessed: waist circumference, triglycerides,
high-density lipids, glucose, and systolic and diastolic blood pressure.
Objective measures of exercise were obtained from heart rate monitors over a
year. Logistic regression was used to determine whether participants who engaged
in the minimum recommendation of 130 hours of exercise or greater during the 12
month period improved their metabolic syndrome profile by improving at least 1
metabolic syndrome factor. RESULTS: In the sample of 116 participants (74% men;
average age, 67.5 years), 43% exercised at the recommended amount (>=130 h/y) and
28% (n = 33) improved their metabolic syndrome profile. After controlling for
confounding factors of age, gender, race, diabetes, functional ability, and
employment, subjects who exercised at least 130 hours a year were 3.6 times more
likely to improve at least 1 metabolic syndrome factor (95% confidence interval,
1.24-10.49). Of the 28% who improved their metabolic syndrome profile, 72%
increased their high-density lipoprotein and 60.6% reduced their waist
circumference and glucose. CONCLUSIONS: After a cardiac event, older patients who
engage in lifestyle exercise at the recommended amount have improvement in their
metabolic syndrome profile.
PMID- 29634650
TI - Near and Distance Stereoacuity in Patients With Primary Open-angle Glaucoma.
AB - PURPOSE: To compare near and distance stereoacuity between patients with primary
open-angle glaucoma (POAG) and a control group, and to analyze the associations
between stereoacuity and POAG severity. METHODS: This cross-sectional study
compared near and distance stereoacuity between the POAG and control groups. The
data from the POAG group were used to assess the associations between
stereoacuity (both near and distance) and the severity of visual field (VF)
defects (based on Hodapp-Anderson-Parrish classification and the VF index).
RESULTS: The study included 94 eyes of 47 patients with POAG and 80 eyes of 40
control subjects. Near and distance stereoacuity were significantly lower in the
POAG group than in the control group (both P<0.001). Near stereoacuity worsened
with POAG severity in terms of both the Hodapp-Anderson-Parrish classification
and VF index (P=0.007 and P=0.049, respectively). However, distance stereoacuity
was not associated with POAG severity for either categorization (P=0.12 and
P=0.57, respectively). The proportions of patients with reduced near stereoacuity
and those with a lack of distance stereoacuity were higher in the POAG group than
in the control group. CONCLUSIONS: Near and distance stereoacuity were
significantly lower among patients with POAG than among control subjects. Near
stereoacuity worsened with increasing POAG severity but distance stereoacuity did
not. However, the proportion of patients with a lack of distance stereoacuity was
higher in the POAG group than in the control group.
PMID- 29634651
TI - Clinical Outcome After Lateral Ulnar Collateral Ligament Reconstruction in an
Adolescent Baseball Player: A Case Report.
AB - Lateral ulnar collateral ligament (LUCL) reconstruction is a commonly used
surgical procedure to treat posterolateral rotatory instability (PLRI) of the
elbow. We report a 17-year-old right-handed pitcher with symptomatic PLRI after
an injury to his LUCL during a baseball game. Having failed 8 months of
conservative treatment, a LUCL reconstruction using the modified O'Driscoll
technique was performed with the contralateral gracilis autograft. At 5-year
follow-up, an excellent patient-reported outcome was achieved and the patient
continued to play baseball at the recreational level. This type of injury is
rarely reported in adolescent baseball players, and improving our knowledge of
the long-term outcomes is crucial to assist clinicians in counseling patients
about expectations after surgery.
PMID- 29634652
TI - Etiology of Sudden Cardiac Arrest and Death in US Competitive Athletes: A 2-Year
Prospective Surveillance Study.
AB - OBJECTIVE: To determine the etiology of sudden cardiac arrest and death (SCA/D)
in competitive athletes through a prospective national surveillance program.
DESIGN: Sudden cardiac arrest and death cases in middle school, high school,
college, and professional athletes were identified from July 2014 to June 2016
through traditional and social media searches, reporting to the National Center
for Catastrophic Sports Injury Research, communication with state and national
high school associations, review of the Parent Heart Watch database, and search
of student-athlete deaths on the NCAA Resolutions List. Autopsy reports and
medical records were reviewed by a multidisciplinary panel to determine the
underlying cause. SETTING AND PARTICIPANTS: US competitive athletes with SCA/D.
MAIN OUTCOME MEASURES: Etiology of SCA/D. RESULTS: A total of 179 cases of SCA/D
were identified (74 arrests with survival, 105 deaths): average age 16.6 years
(range 11-29), 149 (83.2%) men, 94 (52.5%) whites, and 54 (30.2%) African
American. One hundred seventeen (65.4%) had an adjudicated diagnosis, including
83 deaths and 34 survivors. The most common etiologies included hypertrophic
cardiomyopathy (19, 16.2%), coronary artery anomalies (16, 13.7%), idiopathic
left ventricular hypertrophy/possible cardiomyopathy (13, 11.1%), autopsy
negative sudden unexplained death (8, 6.8%), Wolff-Parkinson-White (8, 6.8%), and
long QT syndrome (7, 6.0%). Hypertrophic cardiomyopathy was more common in male
basketball (23.3%), football (25%), and African American athletes (30.3%). An
estimated 56.4% of cases would likely demonstrate abnormalities on an
electrocardiogram. CONCLUSIONS: The etiology of SCA/D in competitive athletes
involves a wide range of clinical disorders. More robust reporting mechanisms,
standardized autopsy protocols, and accurate etiology data are needed to better
inform prevention strategies.
PMID- 29634653
TI - Cross-Sectional Investigation of Self-reported Concussions and Reporting
Behaviors in 866 Adolescent Rugby Union Players: Implications for Educational
Strategies.
AB - OBJECTIVE: To examine the self-recalled concussion and bell ringer (BR)
prevalence, reporting rates, and reporting behaviors in adolescent rugby players.
DESIGN: Cross-sectional survey. SETTING: School classroom. PARTICIPANTS:
Adolescent male rugby players aged 12 to 18 years (n = 866). MAIN OUTCOME
MEASURES: Concussion and BR prevalence, reporting rates, and reporting behaviors.
RESULTS: The sample reported a concussion and BR prevalence rate of 40% and
69.9%, respectively. Of these athletes with a history, 38.4% and 86.4% suffered
recurrent concussions and BRs, respectively. The total reporting rates per 1000
suspected concussions and BRs were 474.8 [95% confidence interval (CI), 415.4
534.3] and 238.7 (95% CI, 217.8-259.5), respectively. The athletes highlighted
several barriers which hindered their truthful reporting of concussion, including
"not thinking the injury is serious enough to report" (70%), "wanting to win the
game" (38%), and "not wanting to miss future games or training" (48%).
CONCLUSIONS: Educational interventions are an invaluable component within a
socioecological framework aimed at improving the concussion reporting rates of
adolescent athletes. The self-recalled prevalence, underreporting rates, and
behaviors of the sample are alarming, which prompts the need to further explore
their motivational beliefs behind their decision to underreport a potential
concussion. The information obtained can be used to tailor personalized
interventions for specific athlete samples.
PMID- 29634654
TI - Postmortem Vitreous Sodium and Chloride Elevate After 1 Hour and Magnesium After
2 Hours in Bovine Eyeballs Immersed in Salt Water.
AB - BACKGROUND: Postmortem vitreous sodium (Na) and chloride (Cl) are good ancillary
tests in diagnosing salt-water drowning. Vitreous Na and Cl appear to elevate
from salt-water drowning and immersion, whereas vitreous magnesium (Mg) appears
to be unaffected by drowning, but elevates from immersion. The relative changes
of these electrolytes during salt-water immersion are unknown, particularly with
shorter immersion times. Understanding the relative changes may aid in the
interpretation of vitreous electrolytes in bodies recovered from salt water. AIM:
The aim of this study was to compare the changes of vitreous Na, Cl, and Mg when
immersed in salt water. METHODS: Bovine eyeballs were randomized into 2 groups
(submerged in salt water and control). The vitreous Na, Cl, and Mg from 6
eyeballs were measured from each group (without replacement) at 1, 2, 4, and 6
hours. RESULTS: There were no statistically significant elevations in bovine
vitreous Na and Cl for up to 1 hour and vitreous Mg for up to 2 hours.
CONCLUSIONS: Bovine vitreous Na and Cl elevate earlier than Mg when immersed in
salt water. Assuming similar physical properties, an elevation in vitreous Mg in
bodies immersed in salt water indicates the immersion effects of causing raised
vitreous Na and Cl have started.
PMID- 29634656
TI - Inhibiting the Inflammatory Injury After Myocardial Ischemia Reperfusion With
Plasma-Derived Alpha-1 Antitrypsin: A Post Hoc Analysis of the VCU-alpha1RT
Study.
AB - BACKGROUND: Despite the benefits of reperfusion in limiting myocardial injury,
the infarct size continues to expand after reperfusion because of secondary
inflammatory injury. Plasma-derived alpha-1 antitrypsin (AAT) inhibits the
inflammatory injury in myocardial ischemia and reperfusion. To explore the
effects of plasma-derived AAT on the inflammatory response to ischemia
reperfusion injury, we analyzed time-to-reperfusion and enzymatic infarct size
estimates in a post hoc analysis of the VCU-alpha1RT clinical trial
(clinicaltrials.gov NCT01936896). METHODS: Ten patients with ST-segment elevation
acute myocardial infarction (STEMI) were enrolled in an open-label, single-arm
treatment study of Prolastin C, plasma-derived AAT, at 60 mg/kg infused
intravenously within 12 hours of reperfusion. Biomarkers were measured serially
over the first 72 hours, and patients were followed clinically for the occurrence
of new-onset heart failure, recurrent MI, or death. Twenty patients with STEMI
who had been enrolled in previous randomized trials with identical
inclusion/exclusion criteria and had been assigned to placebo served as
historical controls. RESULTS: Time to percutaneous coronary intervention and time
to drug did not significantly differ between groups. AAT-treated patients had a
significantly shorter time-to-peak creatine kinase myocardial band (CK-MB) values
(525 [480-735] vs. 789 [664-959] minute, P = 0.005) and CK-MB area under the
curve (from 1204 [758-2728] vs. 2418 [1551-4289] U.day, P = 0.035), despite no
differences in peak CK-MB (123 [30-196] vs. 123 [71-213] U/mL, P = 0.71).
CONCLUSIONS: A single administration of Prolastin C given hours after reperfusion
in patients with STEMI led to a significant shorter time to peak and area under
the curve for CK-MB, despite similar peak CK-MB values. These preliminary data
support the hypothesis that Prolastin C shortens the duration of the ischemia
reperfusion injury in patients with STEMI.
PMID- 29634657
TI - Muramyl Dipeptide Induces Reactive Oxygen Species Generation Through the NOD2/COX
2/NOX4 Signaling Pathway in Human Umbilical Vein Endothelial Cells.
AB - Vascular endothelium dysfunction caused by oxidative stress accelerates the
pathologic process of cardiovascular diseases. NOD2, an essential receptor of
innate immune system, has been demonstrated to play a critical role in
atherosclerosis. Here, the aim of our study was to investigate the effect and
underlying molecular mechanism of muramyl dipeptide (MDP) on NOX4-mediated
reactive oxygen species (ROS) generation in human umbilical vein endothelial
cells (HUVECs). The 2,7-dichlorofluorescein diacetate staining was to measure the
intracellular ROS level and showed MDP-promoted ROS production in a time- and
dose-dependent manner. The mRNA and protein levels of NOX4 and COX-2 were
detected by real-time polymerase chain reaction and western blot. Small
interfering RNA (siRNA) was used to silence NOD2 or COX-2 gene expression and
investigate the mechanism of NOD2-mediated signaling pathway in HUVECs. Data
showed that MDP induced NOX4 and COX-2 expression in a time- and dose-dependent
manner. NOD2 knock-down suppressed upregulation of COX-2 and NOX4 in HUVECs
treated with MDP. Furthermore, silence of COX-2 in HUVECs downregulated the NOX4
expression after MDP stimulation. Collectively, we indicated that NOD2 played a
leading role in MDP-induced COX-2/NOX4/ROS signaling pathway in HUVECs, which was
a novel regulatory mechanism in the progress of ROS generation.
PMID- 29634658
TI - Prognostic value of pretreatment PET/CT lean body mass-corrected parameters in
patients with hepatocellular carcinoma.
AB - OBJECTIVE: This study was designed to investigate whether pretreatment fluorine
18-fluorodeoxyglucose (F-FDG) PET/computed tomography (CT) lean body mass
corrected parameters could predict the overall survival (OS) better than the
established predictors in patients with hepatocellular carcinoma (HCC). PATIENTS
AND METHODS: We retrospectively analyzed 61 patients with HCC with pretreatment F
FDG-PET/CT. Besides obtaining clinical factors, we measured both lean body mass
corrected and body weight-corrected PET/CT parameters, including metabolic tumor
volume, maximal standardized uptake value of the tumor, total lesion glycolysis,
tumor-to-normal liver uptake ratio, and so on. The prognostic value of those
factors for OS was assessed by statistical software. RESULTS: In the univariate
analysis, PET/CT parameters, ascites, serum alpha-fetoprotein, alkaline
phosphatase, aspartate transaminase (AST), tumor number, tumor size of the
maximal one, vascular invasion, TNM stage, Child-Pugh class, Barcelona Clinic
Liver Cancer (BCLC) staging, and Okuda staging were significant predictors of OS.
In multivariate and Kaplan-Meier analyses, lean body mass-corrected maximum
standardized uptake value (lbmSUVmax) more than 3.35 g/ml, AST more than 42.00
U/l, and BCLC staging B-C were significant independent predictors of poor OS.
When BCLC staging variable was stratified by four categories instead of two in
the multivariate analysis, it was not the statistically significant independent
predictor anymore, but lbmSUVmax and AST still were. CONCLUSION: Pretreatment F
FDG-PET/CT lean body mass-corrected parameters can predict the OS in patients
with HCC. Moreover, lbmSUVmax and AST, as the independent predictors of OS, could
supplement the prognostic value of the BCLC staging system.
PMID- 29634659
TI - Diagnostic value of 18F-FDG PET/MRI in recurrent pelvis malignancies of female
patients: a systematic review and meta-analysis.
AB - The aim of this study was to assess the diagnostic performance of fluorine-18
fluorodeoxyglucose (F-FDG) PET/MRI for suspected recurrence of pelvis
malignancies of female patients using a meta-analysis. We performed a
systematical literature search for relevant studies in PubMed, Cochrane Library,
Google Scholar, and several Chinese databases. Quality Assessment of Diagnostic
Accuracy Studies (QUADAS-2) was used to assess the quality of all included
studies. Pooled sensitivity, specificity, positive likelihood ratio, negative
likelihood ratio, and diagnostic odds ratio were calculated per patient and per
lesion. Summary receiver operating characteristic curves were also constructed.
All procedures involving human participants in this study were performed in
conformity with the ethical standards of the institutional research committee and
with the 1964 Helsinki Declaration and its later amendments. Finally, seven
articles comprising 257 patients and 695 lesions were included in this meta
analysis. On patient-based analysis, the pooled sensitivity, specificity,
positive likelihood ratio, negative likelihood ratio, and diagnostic odds ratio
of F-FDG PET/MRI in detecting recurrence of pelvis malignancies were 0.96 [95%
confidence interval (CI): 0.93-0.99], 0.95 (95% CI: 0.87-0.99), 9.85 (95% CI:
4.62-21.00), 0.07 (95% CI: 0.04-0.13), and 201.41 (95% CI: 62.89-645.03),
respectively. On lesion-based analysis, the corresponding estimates were 0.99
(95% CI: 0.97-1.00), 0.94 (95% CI: 0.89-0.97), 17.11 (95% CI: 4.46-65.60), 0.02
(95% CI: 0.01-0.05), and 1125.24 (95% CI: 211.46-5987.79), respectively. The
results of our meta-analysis indicate that F-FDG PET/MRI has excellent diagnostic
performance in restaging female patients with suspected recurrence of
gynecological pelvic malignancies.
PMID- 29634660
TI - Dolutegravir resistance mutations: lessons from monotherapy studies.
AB - PURPOSE OF REVIEW: Dolutegravir (DTG) is a second-generation integrase strand
transfer inhibitor (InSTI) with an outstanding antiviral potency, good
tolerability, good pharmacokinetic profile with a lack of major drug-drug
interactions, and a barrier to resistance higher than the other compounds of the
class (raltegravir and elvitegravir) and allegedly as high as that of boosted
protease inhibitors. For these reasons, DTG, after successful results in a
context of triple therapy in various clinical scenarios, has been investigated
mostly by independent investigators in less-drug regimens, including dolutegravir
monotherapy, in the context of a growing clinical interest for adjusting
successful antiretroviral therapy to the increasing number of limitations for
standard antiretroviral therapy in some HIV-infected patients. However, the
development of genotypic resistance in case of failure to DTG monotherapy was
unexpected. RECENT FINDINGS: Data on efficacy and resistance from preclinical
studies, randomized clinical trials and clinical cohorts of HIV-infected patients
treated with DTG monotherapy published in indexed journals or presented at
international meetings were reviewed. SUMMARY: Monotherapy with dolutegravir has
a high rate for resistance selection in the integrase gene through different
pathways in case of virological failure.
PMID- 29634662
TI - Fine mapping of epistatic genetic determinants of blood pressure on rat
chromosome 5.
AB - BACKGROUND: Previously, using linkage analysis and substitution mapping, two
closely-linked interactive blood pressure quantitative trait loci (QTLs), BP QTL1
and BP QTL2, were located within a 13.96 Mb region from 117894038 to 131853815 bp
(RGSC 3.4 version) on rat chromosome 5 (RNO5). This was done by using a series of
congenic strains consisting of genomic segments of the Dahl salt-sensitive (S)
rat substituted with that of the normotensive Lewis (LEW) rat. The interactive
nature of the two loci was further confirmed by the construction and
characterization of a panel of S.LEW bicongenic strains and corresponding S.LEW
monocongenic strains, which provided definitive evidence of epistasis (genetic
interaction) between BP QTL1 (7.77 Mb) and BP QTL2 (4.18 Mb). The purpose of this
work was to further map these interacting QTLs. METHOD: A new panel of seven new
S.LEW bicongenic strains was constructed and characterized for BP. RESULTS: The
data obtained from these new strains further resolved BP QTL1 from 7.77 to 2.93
Mb. Further, BP QTL2 was traceable as not being a single QTL, but a composite of
at least three QTLs, LEW alleles at two of which located within 2.26 Mb and 175
kb lowered BP but the third one located within 1.31 Mb increased BP. CONCLUSION:
Lack of coding variation within any of the regions further mapped within the
previous QTL2 suggests noncoding variation as likely responsible for the observed
epistasis.
PMID- 29634661
TI - Blood pressure and mortality in a prospective cohort of HIV-infected adults in
Port-au-Prince, Haiti.
AB - OBJECTIVE: The objective of this study was to determine how baseline blood
pressure and incident hypertension related to antiretroviral therapy (ART)
initiation, HIV-related inflammation and mortality in HIV-infected adults in a
low-income country. METHODS: We conducted long-term follow-up of HIV-infected
adults who had participated in a trial of early vs. delayed initiation of ART in
Port-au-Prince, Haiti. Between 2005 and 2008, 816 HIV-infected adults were
randomized to early (N = 408) vs. delayed ART (when CD4 cell count <200 cells/MUl
or AIDS-defining condition; N = 408). Blood pressure was measured every 3 months.
Hypertension was diagnosed according to the Joint National Committee (JNC-7)
guidelines. Biomarkers of inflammation and coagulation were measured from banked
enrolment plasma samples. Survival analyses were performed using Stata 14.
RESULTS: The median age at enrolment was 39 years. The median follow-up time was
7.3 years. The hypertension incidence rate was 3.41 per 100 person-years, and was
similar in early and delayed ART groups. In multivariable models, independent
predictors of incident hypertension were older age, higher BMI and plasma
interleukin (IL)-6 levels (adjusted hazard ratio, aHR = 1.23, P < 0.001).
Systolic pressure more than 140 mmHg at enrolment was associated with increased
mortality (aHR = 2.47, P = 0.03) as was systolic pressure less than 90 mmHg (aHR
= 2.25, P = 0.04). Prevalent and incident hypertension were also significantly
associated with mortality. CONCLUSION: In a large prospective study of HIV
infected adults, we found a high incidence of hypertension associated with HIV
related inflammation. Baseline hypertension conferred a more than two-fold
increased risk of death. Among HIV-infected adults in low-income countries,
hypertension should be considered a serious threat to long-term survival.
PMID- 29634663
TI - Obesity and cardiovascular risk: a call for action from the European Society of
Hypertension Working Group of Obesity, Diabetes and the High-risk Patient and
European Association for the Study of Obesity: part A: mechanisms of obesity
induced hypertension, diabetes and dyslipidemia and practice guidelines for
treatment.
AB - : Obesity is a key factor for cardiovascular diseases and complications. Obesity
is associated with hypertension, dyslipidemia and type II diabetes, which are the
major predictors of cardiovascular disease in the future. It predisposes for
atrial fibrillation, heart failure, sudden cardiac death, renal disease and
ischemic stroke that are the main causes of cardiovascular hospitalization and
mortality. As obesity and the cardiovascular effects on the vessels and the heart
start early in life, even from childhood, it is important for health policies to
prevent obesity very early before the disease manifestation emerge. Key roles in
the prevention are strategies to increase physical exercise, reduce body weight
and to prevent or treat hypertension, lipids disorders and diabetes earlier and
efficiently to prevent cardiovascular complications.Epidemiology and mechanisms
of obesity-induced hypertension, diabetes and dyslipidemia will be reviewed and
the role of lifestyle modification and treatment strategies in obesity will be
updated and analyzed. The best treatment options for people with obesity,
hypertension, diabetes and dyslipidemia will discussed.
PMID- 29634664
TI - A novel scoring model for predicting mortality risk in patients with cirrhosis
and hepatorenal syndrome.
AB - BACKGROUND AND AIMS: This study aimed to create a risk scoring model for death
from cirrhosis and hepatorenal syndrome, improve the detection rate of high-risk
groups, and provide clinical evidence for early intervention treatment. PATIENTS
AND METHODS: We retrospectively recruited 196 patients with cirrhosis and
hepatorenal syndrome between 1 January 2013 and 31 July 2014 at Beijing Ditan
Hospital, Capital Medical University, China. The clinical information,
biochemical values, age, and sex of the patients were included in the
multivariate logistic regression model for screening independent risk factors.
The model was validated in 56 patients with cirrhosis and hepatorenal syndrome
between 1 August 2014 and 31 December 2014 at Beijing Ditan Hospital, Capital
Medical University, China. RESULTS: The death risk prediction scoring model
included the following four independent risk factors: liver cancer, neutrophil
above 70%, alanine aminotransferase higher than 40 U/l, and creatinine higher
than 127 mmol/l. The sum death risk score ranged from 0 to 5: 0-2 identified
patients with a lower risk of death (mortality rates: 12-41.4%), whereas 3-5
identified patients with a higher risk of death (mortality rates: 48.8-80%).
Receiver-operating characteristic curves were constructed for the scoring model
and the areas under the curves (AUC) were compared using the z-test. The AUC of
the scoring model was 0.843. In addition, the AUC of validated model in 56
patients was 0.742. CONCLUSION: The scoring model can accurately predict
mortality risk in patients with hepatorenal syndrome.
PMID- 29634665
TI - Evaluation of postprandial hypoglycemia in patients with nonalcoholic fatty liver
disease by oral glucose tolerance testing and continuous glucose monitoring.
AB - OBJECTIVE: Nonalcoholic fatty liver disease (NAFLD) is often associated with
insulin resistance and glucose intolerance. Postprandial hypoglycemia frequently
occurs in NAFLD patients; however, the details remain unclear. PATIENTS AND
METHODS: The 75-g oral glucose tolerance test (75gOGTT) in 502 patients with
biopsy-proven NAFLD and continuous glucose monitoring (CGM) in 20 patients were
performed, and the characteristics and causes of postprandial hypoglycemia were
investigated. RESULTS: The proportion of patients in the Hypo subgroup [plasma
glucose (PG) at 180 min 60% males) in a few decades. This shift was driven
by prime reproductive-age individuals (>=5-year-old), with sex-specific survival
of younger age classes playing a minor role. Hence, different age classes
contributed disproportionally to population dynamics. We argue that an
alternative explanation for the observed male dominance among adults-sex-biased
dispersal-can be considered redundant and is unlikely, given the ecology of the
species. The present study highlights the importance of considering population
structure and age-specific vital rates when assessing population dynamics and
management targets.
PMID- 29634734
TI - Characterization of lncRNAs involved in cold acclimation of zebrafish ZF4 cells.
AB - Long non-coding RNAs (lncRNAs) are increasingly regarded as a key role in
regulating diverse biological processes in various tissues and species. Although
the cold responsive lncRNAs have been reported in plants, no data is available on
screening and functional prediction of lncRNAs in cold acclimation in fish so
far. Here we compared the expression profile of lncRNAs in cold acclimated
zebrafish embryonic fibroblast cells (ZF4) cultured at 18 degrees C for 30 days
with that of cells cultured at 28 degrees C as control by high-throughput
sequencing. Totally 8,363 novel lncRNAs were identified. Including known and
novel lncRNAs, there are 347 lncRNAs up-regulated and 342 lncRNAs down-regulated
in cold acclimated cells. Among the differentially expressed lncRNAs, 74 and 61
were detected only in control cells or cold-acclimated cells, respectively. The
Gene Ontology (GO) and Kyoto Encyclopaedia of Genes and Genomes (KEGG) enrichment
analyses of adjacent genes to the differentially expressed lncRNAs showed that
the enriched genes are involved in electron transport, cell adhesion, oxidation
reduction process, and so on. We also predicted the target genes of the
differentially expressed lncRNAs by looking for interactions between lncRNAs and
mRNAs, and constructed an interaction network. In summary, our genome-wide
systematic identification and functional prediction of cold responsive lncRNAs in
zebrafish cells suggests a crucial role of lincRNAs in cold acclimation in fish.
PMID- 29634735
TI - Placental pathology predicts infantile physical development during first 18
months in Japanese population: Hamamatsu birth cohort for mothers and children
(HBC Study).
AB - The present study aimed to investigate the relationship between placental
pathological findings and physiological development during the neonate and
infantile periods. Study participants were 258 infants from singleton pregnancies
enrolled in the Hamamatsu Birth Cohort for Mothers and Children (HBC Study) whose
placentas were stored in our pathological division. They were followed up from
birth to 18 months of age. Physiological development (body weight and the
ponderal index [PI]) was assessed at 0, 1, 4, 6, 10, 14, and 18 months. Placental
blocks were prepared by random sampling and eleven pathological findings were
assessed, as follows: 'Accelerated villous maturation', 'Decidual vasculopathy',
'Thrombosis or Intramural fibrin deposition', 'Avascular villi', 'Delayed villous
maturation', 'Maternal inflammatory response', 'Fetal inflammatory response',
'Villitis of unknown etiology (VUE)', 'Deciduitis', 'Maternal vascular
malperfusion', and 'Fetal vascular malperfusion'. Mixed model analysis with the
use of the xtmixed command by the generic statistical software, Stata version
13.1., identified 'Accelerated villous maturation' and 'Maternal vascular
malperfusion' as significant predictors of a lower body weight and 'Deciduitis'
as a significant predictor of a small PI, throughout the first 18 months of life.
In conclusion, the present study is the first to demonstrate that some
pathological findings of the placenta are associated with changes in infantile
physical development during the initial 18 months of life in the Japanese
population.
PMID- 29634736
TI - Cost-effectiveness of daclatasvir plus asunaprevir for chronic hepatitis C
genotype 1b treatment-naive patients in China.
AB - BACKGROUND: Hepatitis C is the second fastest growing infectious disease in
China. The standard-of-care for chronic hepatitis C in China is Pegylated
interferon plus ribavirin (PR), which is associated with tolerability and
efficacy issues. An interferon- and ribavirin-free, all-oral regimen comprising
daclatasvir (DCV) and asunaprevir (ASV), which displays higher efficacy and
tolerability, has recently been approved in China. OBJECTIVES: This study is to
estimate the cost-effectiveness of DCV+ASV (24 weeks) for chronic hepatitis C
genotype 1b treatment-naive patients compared with PR regimen (48 weeks) in
China. METHODS: A cohort-based Markov model was developed from Chinese payer
perspective to project the lifetime outcomes of treating 10,000 patients with an
average age of 44.5 with two hypothetical regimens, DCV+ASV and PR. Chinese
specific health state costs and efficacy data were used. The annual discount rate
was 5%. Base-case analysis and sensitivity analysis were conducted. RESULTS: For
HCV Genotype 1b treatment-naive patients, DCV+ASV proved to be dominant over PR,
with a cost saving of Y33,480(5,096 USD) and gains in QALYs and life years of
1.29 and 0.85, respectively. The lifetime risk of compensated cirrhosis,
decompensated cirrhosis, hepatocellular carcinoma and liver-related death was
greatly reduced with DCV+ASV. Univariate sensitivity analysis demonstrated that
key influencers were the discount rate, time horizon, initial disease severity
and sustained virological response rate of DCV+ASV, with all scenarios resulting
in additional benefit. Probabilistic sensitivity analysis demonstrated that
DCV+ASV has a high likelihood (100%) of being cost-effective. CONCLUSION: DCV+ASV
is not only an effective and well-tolerated regimen to treat chronic HCV genotype
1b infection treatment-naive patients, but also is more cost-effective than PR
regimen. DCV+ASV can benefit both the public health and reimbursement system in
China.
PMID- 29634737
TI - Prevalence and factors leading to unemployment in MS (multiple sclerosis)
patients undergoing immunomodulatory treatment in Poland.
AB - Multiple Sclerosis (MS) is the most common, primary neurogenic cause of
disability among young adults. We investigated demographic and clinical factors
associated with unemployment on the example of 150 MS patients receiving
immunomodulatory treatment in Poland. This study was based on clinical evaluation
and collection of self-reported questionnaires, with an attention to self
motivation, severe fatigue and moderate disability. Patients who were unemployed
(40% of all patients) had a mean disease duration of almost 5 years. Older
(p<0.001), less educated (p = 0.007) and more severely disabled patients
(p<0,001) were most likely to be unemployed. Moderate disability (OR = 11.089 95%
CI: 4.11-34.201, p<0,001), severe fatigue (OR = 2.625 95% CI: 1.02-6.901, p =
0,046) and lower level of self-motivation (KNS) (OR = 0.947, 95% CI: 0.896-0.006,
p = 0.042) were independently associated with unemployment.
PMID- 29634738
TI - Interaction of childhood urbanicity and variation in dopamine genes alters adult
prefrontal function as measured by functional magnetic resonance imaging (fMRI).
AB - Brain phenotypes showing environmental influence may help clarify unexplained
associations between urban exposure and psychiatric risk. Heritable prefrontal
fMRI activation during working memory (WM) is such a phenotype. We hypothesized
that urban upbringing (childhood urbanicity) would alter this phenotype and
interact with dopamine genes that regulate prefrontal function during WM.
Further, dopamine has been hypothesized to mediate urban-associated factors like
social stress. WM-related prefrontal function was tested for main effects of
urbanicity, main effects of three dopamine genes-catechol-O-methyltransferase
(COMT), dopamine receptor D1 (DRD1), and dopamine receptor D2 (DRD2)-and,
importantly, dopamine gene-by-urbanicity interactions. For COMT, three
independent human samples were recruited (total n = 487). We also studied 253
subjects genotyped for DRD1 and DRD2. 3T fMRI activation during the N-back WM
task was the dependent variable, while childhood urbanicity, dopamine genotype,
and urbanicity-dopamine interactions were independent variables. Main effects of
dopamine genes and of urbanicity were found. Individuals raised in an urban
environment showed altered prefrontal activation relative to those raised in
rural or town settings. For each gene, dopamine genotype-by-urbanicity
interactions were shown in prefrontal cortex-COMT replicated twice in two
independent samples. An urban childhood upbringing altered prefrontal function
and interacted with each gene to alter genotype-phenotype relationships. Gene
environment interactions between multiple dopamine genes and urban upbringing
suggest that neural effects of developmental environmental exposure could
mediate, at least partially, increased risk for psychiatric illness in urban
environments via dopamine genes expressed into adulthood.
PMID- 29634739
TI - Metabolomic markers of fertility in bull seminal plasma.
AB - Metabolites play essential roles in biological systems, but detailed identities
and significance of the seminal plasma metabolome related to bull fertility are
still unknown. The objectives of this study were to determine the comprehensive
metabolome of seminal plasma from Holstein bulls and to ascertain the potential
of metabolites as biomarkers of bull fertility. The seminal plasma metabolome
from 16 Holstein bulls with two fertility rates were determined by gas
chromatography-mass spectrometry (GC-MS). Multivariate and univariate analyses of
the data were performed, and the pathways associated with the seminal plasma
metabolome were identified using bioinformatics approaches. Sixty-three
metabolites were identified in the seminal plasma of all bulls. Fructose was the
most abundant metabolite in the seminal fluid, followed for citric acid, lactic
acid, urea and phosphoric acid. Androstenedione, 4-ketoglucose, D-xylofuranose, 2
oxoglutaric acid and erythronic acid represented the least predominant
metabolites. Partial-Least Squares Discriminant Analysis (PLSDA) revealed a
distinct separation between high and low fertility bulls. The metabolites with
the greatest Variable Importance in Projection score (VIP > 2) were 2-oxoglutaric
acid and fructose. Heat-map analysis, based on VIP score, and univariate analysis
indicated that 2-oxoglutaric acid was less (P = 0.02); whereas fructose was
greater (P = 0.02) in high fertility than in low fertility bulls. The current
study is the first to describe the metabolome of bull seminal plasma using GC-MS
and presented metabolites such as 2-oxoglutaric acid and fructose as potential
biomarkers of bull fertility.
PMID- 29634740
TI - Functional self-assembled neocartilage as part of a biphasic osteochondral
construct.
AB - Bone-to-bone integration can be obtained by osteoconductive ceramics such as
hydroxyapatite (HAp) and beta-tricalcium phosphate (beta-TCP), but cartilage-to
cartilage integration is notoriously difficult. Many cartilage repair therapies,
including microfracture and mosaicplasty, capitalize on the reparative aspects of
subchondral bone due to its resident population of stem cells and vascularity. A
strategy of incorporating tissue engineered neocartilage into a ceramic to form
an osteochondral construct may serve as a suitable alternative to achieve
cartilage graft fixation. The use of a tissue engineered osteochondral construct
to repair cartilage defects may also benefit from the ceramic's proximity to
underlying bone and abundant supply of progenitor cells and nutrients. The
objective of the first study was to compare HAp and beta-TCP ceramics, two widely
used ceramics in bone regeneration, in terms of their ability to influence
neocartilage interdigitation at an engineered osteochondral interface. Additional
assays quantified ceramic pore size, porosity, and compressive strength. The
compressive strength of HAp was six times higher than that of beta-TCP due to
differences in porosity and pore size, and HAp was thus carried forward in the
second study as the composition with which to engineer an osteochondral
construct. Importantly, it was shown that incorporation of the HAp ceramic in
conjunction with the self-assembling process resulted in functionally viable
neocartilage. For example, only collagen/dry weight and ultimate tensile strength
of the chondral control constructs remained significantly greater than the
neocartilage cut off the osteochondral constructs. By demonstrating that the
functional properties of engineered neocartilage are not negatively affected by
the inclusion of an HAp ceramic in culture, neocartilage engineering strategies
may be directly applied to the formation of an osteochondral construct.
PMID- 29634742
TI - Analysis of exergy efficiency of a super-critical compressed carbon dioxide
energy-storage system based on the orthogonal method.
AB - Super-critical carbon dioxide energy-storage (SC-CCES) technology is a new type
of gas energy-storage technology. This paper used orthogonal method and variance
analysis to make significant analysis on the factors which would affect the
thermodynamics characteristics of the SC-CCES system and obtained the significant
factors and interactions in the energy-storage process, the energy-release
process and the whole energy-storage system. Results have shown that the
interactions in the components have little influence on the energy-storage
process, the energy-release process and the whole energy-storage process of the
SC-CCES system, the significant factors are mainly on the characteristics of the
system component itself, which will provide reference for the optimization of the
thermal properties of the energy-storage system.
PMID- 29634741
TI - Trends in use of acupuncture among adults in Taiwan from 2002 to 2011: A
nationwide population-based study.
AB - In recent years, acupuncture has gained in popularity worldwide. However, recent
epidemiological studies are lacking. We conducted this study to investigate the
trends in acupuncture utilization among adults in Taiwan from 2002 to 2011. We
analyzed data from the Longitudinal Health Insurance Database 2000 (LHID 2000),
which contains all original claims data for 1 million beneficiaries randomly
sampled from the registry of all beneficiaries enrolled in the National Health
Insurance (NHI) program in 2000. The one-year prevalence of acupuncture use among
adults increased from 7.98% in 2002 to 10.9% in 2011. Acupuncture use
significantly increased yearly (incidence rate ratio = 1.04, 95% CI = 1.03-1.05,
p<0.001). Patients who were female, were middle-aged, resided in highly urbanized
areas and suffered from injury or disorders of the musculoskeletal system were
prone to more frequent acupuncture use. Our study revealed that the utilization
of acupuncture became increasingly popular in Taiwan from 2002 to 2011. Our
findings may provide useful information for clinical practice and research as
well as for health policy decision making.
PMID- 29634743
TI - High susceptibility of magpie (Pica pica) to experimental infection with lineage
1 and 2 West Nile virus.
AB - West Nile virus (WNV), a zoonotic pathogen naturally transmitted by mosquitoes
whose natural hosts are birds, has spread worldwide during the last few decades.
Resident birds play an important role in flavivirus epidemiology, since they can
serve as reservoirs and facilitate overwintering of the virus. Herein, we report
the first experimental infection of magpie (Pica pica) with two strains of West
Nile virus, lineages 1 (NY-99) and 2 (SRB Novi-Sad/12), which are currently
circulating in Europe. Magpies were highly susceptible to WNV infection, with
similar low survival rates (30% and 42.8%) for both lineages. All infected
magpies developed viremia detectable at 3 days post-infection with titers above
those necessary for successful transmission of WNV to a mosquito. Neutralizing
antibodies were detected at all time points analyzed (from 7 to 17 days post
infection). WNV genome was detected in the brains and hearts of all magpies that
succumbed to the infection, and, in some of the surviving birds. WNV-RNA was
amplified from swabs (oral and cloacal) at 3, 6 and 7 days post-infection and
feather pulps, from 3 to 17 days post-infection, of infected animals. Even more,
infectious virus was recovered from swabs up to 7 days post-infection and from
feather pulps up to 10 days post infection. Sham-infected control animals were
negative for viremia, viral RNA, and antibodies. These results suggest that the
magpie, which is one of the most abundant corvid species in Europe, could
represent a source of WNV transmission for birds and humans. Our observations
shed light on the pathogenesis, transmission, and ecology of WNV and can benefit
the implementation of surveillance and control programs.
PMID- 29634744
TI - Elucidation and functional characterization of CsPSY and CsUGT promoters in
Crocus sativus L.
AB - The dried stigmas of Crocus sativus constitute the saffron, which is considered
to be the costliest spice of the world. Saffron is valuable for its constituents,
which are mainly apocarotenoids. In order to enhance the production of
apocarotenoids, it is imperative to understand the regulation of apocarotenoid
biosynthetic pathway. In C. sativus, although the pathway has been elucidated,
the information regarding the regulation of the pathwaygenes is scanty. During
the present investigation, the characterization of promoters regulating the
expression of two important genes i.e. CsPSY and CsUGT was performed. We
successfully cloned the promoters of both the genes, which were functionally
characterized in Crocus sativus and Nicotiana tabaccum. In silico analysis of the
promoters demonstrated the presence of several important cis regulatory elements
responding tolight, hormonesand interaction with transcription factors (TFs).
Further analysis suggested the regulation of CsPSY promoter by Abscisic acid
(ABA) and that of CsUGT by Gibberellic acid (GA). In addition, we also observed
ABA and GA mediated modulation in the expression of significant TFs and CsPSY and
CsUGT transcripts. Overall, the study addresses issues related to regulation of
key genes of apocarotenoid pathway in C.sativus.
PMID- 29634745
TI - Spatial clustering of Borrelia burgdorferi sensu lato within populations of
Allen's chipmunks and dusky-footed woodrats in northwestern California.
AB - The ecology of Lyme borreliosis is complex in northwestern California, with
several potential reservoir hosts, tick vectors, and genospecies of Borrelia
burgdorferi sensu lato. The primary objective of this study was to determine the
fine-scale spatial distribution of different genospecies in four rodent species,
the California ground squirrel (Otospermophilus beecheyi), northern flying
squirrel (Glaucomys sabrinus), dusky-footed woodrat (Neotoma fuscipes), and
Allen's chipmunk (Neotamias senex). Rodents were live-trapped between June 2004
and May 2005 at the Hoopa Valley Tribal Reservation (HVTR) in Humboldt County,
California. Ear-punch biopsies obtained from each rodent were tested by
polymerase chain reaction (PCR) and sequencing analysis. The programs ArcGIS and
SaTScan were used to examine the spatial distribution of genospecies. Multinomial
log-linear models were used to model habitat and host-specific characteristics
and their effect on the presence of each borrelial genospecies. The Akaike
information criterion (AICc) was used to compare models and determine model fit.
Borrelia burgdorferi sensu stricto was primarily associated with chipmunks and B.
bissettiae largely with woodrats. The top model included the variables "host
species", "month", and "elevation" (weight = 0.84). Spatial clustering of B.
bissettiae was detected in the northwestern section of the HVTR, whereas B.
burgdorferi sensu stricto was clustered in the southeastern section. We conclude
that the spatial distribution of these borreliae are driven at least in part by
host species, time-of-year, and elevation.
PMID- 29634746
TI - Geographical and temporal patterns of rabies post exposure prophylaxis (PEP)
incidence in humans in the Mekong River Delta and Southeast Central Coast regions
in Vietnam from 2005 to 2015.
AB - BACKGROUND: In Vietnam, rabies has been a notifiable disease for more than 40
years. Over the last five years, on average, more than 350,000 people per year
have been bitten by dogs and cats while more than 80 human deaths have been
reported yearly. No studies have been conducted to evaluate the geographical and
temporal patterns of rabies in humans in Vietnam. Therefore, the main objective
of this study was to assess the geographical and temporal distributions of rabies
post exposure prophylaxis (PEP) incidence in humans in Vietnam from 2005 to 2015.
METHODS: Average incidence rabies (AIR) PEP rates for every 3 or 4 years (2005
2008, 2009-2012 and 2013-2015) were calculated to describe the spatial
distribution of rabies PEP. Hotspot analysis was implemented to identify patterns
of spatial significance using the Getis-Ord Gi statistic. For temporal pattern
analysis, two regions [Mekong River Delta (MRD) and Southeast Central Coast
(SCC)], with the highest incidence rates, and the seasonal-decomposition
procedure based on loess (STL), were compared to assess their temporal patterns
of rabies PEP. FINDINGS: We found hotspots in southern Vietnam and coldspots in
northern Vietnam during the study period. Rabies cases were limited to specific
areas. In addition, the hotspot analysis showed that new risk areas were
identified in each period which were not observed in incidence rate maps. The
seasonal plots showed seasonal patterns with a strong peak in February/July and a
minor peak in October/December in the MRD region. However, in the SCC, a small
peak was detected at the early part of each year and a strong peak in the middle
of each year. CONCLUSION: Our findings provide insight into understanding the
geographical and seasonal patterns of rabies PEP in Vietnam. This study provides
evidence to aid policy makers when making decisions and investing resources. Such
information may also be utilized to raise public awareness to prevent rabies
exposures and reduce unnecessary PEP.
PMID- 29634747
TI - Personality traits in companion dogs-Results from the VIDOPET.
AB - Individual behavioural differences in pet dogs are of great interest from a basic
and applied research perspective. Most existing dog personality tests have
specific (practical) goals in mind and so focused only on a limited aspect of
dogs' personality, such as identifying problematic (aggressive or fearful)
behaviours, assessing suitability as working dogs, or improving the results of
adoption. Here we aimed to create a comprehensive test of personality in pet dogs
that goes beyond traditional practical evaluations by exposing pet dogs to a
range of situations they might encounter in everyday life. The Vienna Dog
Personality Test (VIDOPET) consists of 15 subtests and was performed on 217 pet
dogs. A two-step data reduction procedure (principal component analysis on each
subtest followed by an exploratory factor analysis on the subtest components)
yielded five factors: Sociability-obedience, Activity-independence, Novelty
seeking, Problem orientation, and Frustration tolerance. A comprehensive
evaluation of reliability and validity measures demonstrated excellent inter- and
intra-observer reliability and adequate internal consistency of all factors.
Moreover the test showed good temporal consistency when re-testing a subsample of
dogs after an average of 3.8 years-a considerably longer test-retest interval
than assessed for any other dog personality test, to our knowledge. The construct
validity of the test was investigated by analysing the correlations between the
results of video coding and video rating methods and the owners' assessment via a
dog personality questionnaire. The results demonstrated good convergent as well
as discriminant validity. To conclude, the VIDOPET is not only a highly reliable
and valid tool for measuring dog personality, but also the first test to show
consistent behavioural traits related to problem solving ability and frustration
tolerance in pet dogs.
PMID- 29634748
TI - Unmasking the complexity of species identification in Australasian flying-foxes.
AB - Pteropus (flying-foxes) are a speciose group of non-echolocating large bats, with
five extant Australian species and 24 additional species distributed amongst the
Pacific Islands. In 2015, an injured flying-fox with unusual facial markings was
found in Sydney, Australia, following severe and widespread storms. Based on an
initial assessment, the individual belonged to Pteropus but could not be readily
identified to species. As a consequence, four hypotheses for its
identification/origin were posited: the specimen represented (1) an undescribed
Australian species; or (2) a morphological variant of a recognised Australian
species; or (3) a hybrid individual; or (4) a vagrant from the nearby Southwest
Pacific Islands. We used a combination of morphological and both mitochondrial-
and nuclear DNA-based identification methods to assess these hypotheses. Based on
the results, we propose that this morphologically unique Pteropus most likely
represents an unusual P. alecto (black flying-fox) potentially resulting from
introgression from another Pteropus species. Unexpectedly, this individual, and
the addition of reference sequence data from newly vouchered specimens, revealed
a previously unreported P. alecto mitochondrial DNA lineage. This lineage was
distinct from currently available haplotypes. It also suggests long-term
hybridisation commonly occurs between P. alecto and P. conspicillatus (spectacled
flying-fox). This highlights the importance of extensive reference data, and the
inclusion of multiple vouchered specimens for each species to encompass both
intraspecific and interspecific variation to provide accurate and robust species
identification. Moreover, our additional reference data further demonstrates the
complexity of Pteropus species relationships, including hybridisation, and
potential intraspecific biogeographical structure that may impact on their
management and conservation.
PMID- 29634749
TI - Dynamic multi-segmental postural control in patients with chronic non-specific
low back pain compared to pain-free controls: A cross-sectional study.
AB - Reduced postural control is thought to contribute to the development and
persistence of chronic non-specific low back pain (CNLBP). It is therefore
frequently assessed in affected patients and commonly reported as the average
amount of postural sway while standing upright under a variety of sensory
conditions. These averaged linear outcomes, such as mean centre of pressure (CP)
displacement or mean CP surface areas, may not reflect the true postural status.
Adding nonlinear outcomes and multi-segmental kinematic analysis has been
reported to better reflect the complexity of postural control and may detect
subtler postural differences. In this cross-sectional study, a combination of
linear and nonlinear postural parameters were assessed in patients with CNLBP (n
= 24, 24-75 years, 9 females) and compared to symptom-free controls (CG, n = 34,
22-67 years, 11 females). Primary outcome was postural control measured by
variance of joint configurations (uncontrolled manifold index, UI), confidence
ellipse surface areas (CEA) and approximate entropy (ApEn) of CP dispersion
during the response phase of a perturbed postural control task on a swaying
platform. Secondary outcomes were segment excursions and clinical outcome
correlates for pain and function. Non-parametric tests for group comparison with
P-adjustment for multiple comparisons were conducted. Principal component
analysis was applied to identify patterns of segmental contribution in both
groups. CNLBP and CG performed similarly with respect to the primary outcomes.
Comparison of joint kinematics revealed significant differences of hip (P < .001)
and neck (P < .025) angular excursion, representing medium to large group effects
(r's = .36 - .51). Significant (P's < .05), but moderate correlations of ApEn (r
= -.42) and UI (r = -.46) with the health-related outcomes were observed. These
findings lend further support to the notion that averaged linear outcomes do not
suffice to describe subtle postural differences in CNLBP patients with low to
moderate pain status.
PMID- 29634750
TI - A fluidics-based impact sensor.
AB - Microelectromechanical systems (MEMS)-based high-performance accelerometers are
ubiquitously used in various electronic devices. However, there is an existing
need to detect physical impacts using low-cost devices with no electronic
circuits or a battery. We designed and fabricated an impact sensor prototype
using a commercial stereolithography apparatus that only consists of a plastic
housing and working fluids. The sensor device responds to the instantaneous
acceleration (impact) by deformation and pinch off of a water droplet that is
suspended in oil in a sensor cavity. We tested the various geometrical and
physical parameters of the impact sensor to identify their relations to threshold
acceleration values. We show that the state diagram that is plotted against the
dimensionless Archimedes and Bond numbers adequately describes the response of
the proposed sensor.
PMID- 29634751
TI - Correction: Safety and vaccine-induced HIV-1 immune responses in healthy
volunteers following a late MVA-B boost 4 years after the last immunization.
AB - [This corrects the article DOI: 10.1371/journal.pone.0186602.].
PMID- 29634752
TI - The effect of long chain polyunsaturated fatty acid supplementation on
intelligence in low birth weight infant during lactation: A meta-analysis.
AB - BACKGROUND: Low birth weight infant (LBWIs) are prone to mental and behavioural
problems. As an important constituent of the brain and retina, long chain
polyunsaturated fatty acids are essential for foetal infant mental and visual
development. The effect of lactation supplemented with long chain polyunsaturated
fatty acids (LCPUFA) on the improvement of intelligence in low birth weight
children requires further validation. METHODS: In this study, a comprehensive
search of multiple databases was performed to identify studies focused the
association between intelligence and long chain polyunsaturated fatty acid
supplementation in LBWIs. Studies that compared the Bayley Scales of Infant
Development (BSID) or the Wechsler Abbreviated Scale of Intelligence for Children
(WISC) scores between LBWIs who were supplemented and controls that were not
supplemented with LCPUFA during lactation were selected for inclusion in the meta
analysis. RESULTS: The main outcome was the mean difference in the mental
development index (MDI) and psychomotor development index (PDI) of the BSID and
the full scale intelligence quotient (FSIQ), verbal intelligence quotient (VIQ)
and performance intelligence quotient (PIQ) of the WISC between LBWIs and
controls. Our findings indicated that the mean BSID or WISC scores in LBWIs did
not differ between the supplemented groups and controls. CONCLUSION: This meta
analysis does not reveal that LCPUFA supplementation has a significant impact on
the level of intelligence in LBWIs.
PMID- 29634753
TI - Correction: DNA Sequence Variants in the Five Prime Untranslated Region of the
Cyclooxygenase-2 Gene Are Commonly Found in Healthy Dogs and Gray Wolves.
AB - [This corrects the article DOI: 10.1371/journal.pone.0133127.].
PMID- 29634754
TI - Leptospiral flagellar sheath protein FcpA interacts with FlaA2 and FlaB1 in
Leptospira biflexa.
AB - Leptospira spp. are spirochete bacteria that possess periplasmic flagella (PFs)
underneath the outer membrane; each flagellum is attached to each end of the
protoplasmic cylinder. PFs of Leptospira have a coiled shape that bends the end
of the cell body. However, the molecular mechanism by which multiple flagellar
proteins organize to form the distinctively curled PF of Leptospira remains
unclear. Here we obtained a slow-motility mutant of L. biflexa MD4-3 by random
insertion mutagenesis using a Himar1 transposon. In MD4-3, the gene encoding the
flagellar sheath protein, flagellar-coiling protein A (FcpA), which was recently
identified in L. interrogans, was inactivated. As with L. interrogans DeltafcpA
strains, the L. biflexa DeltafcpA strain lacked a distinct curvature at both ends
of the cell body, and its motility was significantly reduced as compared with
that of the wild-type strain. PFs isolated from the DeltafcpA strain were
straight and were thinner than those isolated from the wild-type strain. Western
blot analysis revealed that flagellar proteins FlaA1, FlaA2, FlaB1, and FlaB2
were expressed in the DeltafcpA strain but the flagellar proteins, except for
FlaB2 were not incorporated in its PFs. Immunoprecipitation assay using anti-FcpA
antiserum demonstrated that FcpA associates with FlaA2 and FlaB1. The association
between FcpA and FlaA2 was also verified using pull-down assay. The regions of
FlaA2 and FlaB1 interacting with FcpA were determined using a bacterial two
hybrid assay. These results suggest that FcpA together with FlaA2, produces
coiling of PF of the Leptospira, and the interaction between the sheath and core
filament may be mediated by FcpA and FlaB1.
PMID- 29634756
TI - Microalgal photophysiology and macronutrient distribution in summer sea ice in
the Amundsen and Ross Seas, Antarctica.
AB - Our study addresses how environmental variables, such as macronutrients
concentrations, snow cover, carbonate chemistry and salinity affect the
photophysiology and biomass of Antarctic sea-ice algae. We have measured vertical
profiles of inorganic macronutrients (phosphate, nitrite + nitrate and silicic
acid) in summer sea ice and photophysiology of ice algal assemblages in the
poorly studied Amundsen and Ross Seas sectors of the Southern Ocean. Brine-scaled
bacterial abundance, chl a and macronutrient concentrations were often high in
the ice and positively correlated with each other. Analysis of photosystem II
rapid light curves showed that microalgal cells in samples with high phosphate
and nitrite + nitrate concentrations had reduced maximum relative electron
transport rate and photosynthetic efficiency. We also observed strong couplings
of PSII parameters to snow depth, ice thickness and brine salinity, which
highlights a wide range of photoacclimation in Antarctic pack-ice algae. It is
likely that the pack ice was in a post-bloom situation during the late sea-ice
season, with low photosynthetic efficiency and a high degree of nutrient
accumulation occurring in the ice. In order to predict how key biogeochemical
processes are affected by future changes in sea ice cover, such as in situ
photosynthesis and nutrient cycling, we need to understand how physicochemical
properties of sea ice affect the microbial community. Our results support
existing hypothesis about sea-ice algal photophysiology, and provide additional
observations on high nutrient concentrations in sea ice that could influence the
planktonic communities as the ice is retreating.
PMID- 29634755
TI - Large scale newborn deafness genetic screening of 142,417 neonates in Wuhan,
China.
AB - Almost one third of the three million people in China suffering severe deafness
are children, and 50% of these cases are believed to have genetic components to
their etiology. Newborn hearing genetic screening can complement Universal
Neonatal Hearing Screening for the diagnosis of congenital hearing loss as well
as identifying children at risk for late-onset and progressive hearing
impairment. The aim of this joint academic and Ministry of Health project was to
prototype a cost effective newborn genetic screen in a community health setting
on a city-wide level, and to ascertain the prevalence of variation at loci that
have been associated with non-syndromic hearing loss. With the participation of
143 local hospitals in the city of Wuhan, China we screened 142,417 neonates born
between May 2014 and Dec. 2015. The variants GJB2 c.235delC, SLC26A4 c.919-2A>G,
and mitochondrial variants m.1555A>G and m.1494C>T were assayed using real time
PCR. Newborns found to carry a variant were re-assayed by sequencing in
duplicate. Within a subset of 707 newborns we assayed using real-time PCR and
ARMS-PCR to compare cost, sensitivity and operating procedure. The most frequent
hearing loss associated allele detected in this population was the 235delC
variant in GJB2 gene. In total, 4289 (3.01%) newborns were found to carry at
least one allele of either GJB2 c.235delC, SLC26A4 c.919-2A>G or two assayed MT
RNR1 variants. There was complete accordance between the real-time PCR and the
ARMS PCR, though the real-time PCR had a much lower failure rate. Real-time PCR
had a lower cost and operating time than ARMS PCR. Ongoing collaboration with the
participating hospitals will determine the specificity and sensitivity of the
association of the variants with hearing loss at birth and arising in early
childhood, allowing an estimation of the benefits of newborn hearing genetic
screening in a large-scale community setting.
PMID- 29634757
TI - Predictability is attractive: Female preference for behaviourally consistent
males but no preference for the level of male aggression in a bi-parental
cichlid.
AB - Although personality traits can largely affect individual fitness we know little
about the evolutionary forces generating and maintaining personality variation.
Here, we investigated the hypothesis that personality variation in aggression is
sexually selected in the monogamous, bi-parental cichlid Pelvicachromis pulcher.
In this species, breeding pairs form territories and they aggressively defend
their territory and offspring against con- and heterospecific intruders. In our
mate choice study, we followed up two alternative hypotheses. We either expected
females to show a directional preference for a high level and high consistency of
aggression (potentially indicating mate choice for male parental quality).
Alternatively, we expected females to choose males for (dis-)similarity in the
level/consistency of aggression (potentially indicating mate choice for
compatibility). Individual level and consistency of aggression were assessed for
males and females using mirror tests. After eavesdropping on aggressive behaviour
of two males (differing in level and consistency of aggression) females were then
allowed to choose between the two males. Males, but not females, showed
personality variation in aggression. Further, females generally preferred
consistent over inconsistent males independent of their level of aggression. We
did not detect a general preference for the level of male aggression. However, we
found an above average preference for consistent high-aggression males; whereas
female preference for inconsistent high-aggression did not deviate from random
choice. Our results suggest behavioural consistency of aggression in male rainbow
kribs is selected for via female mate choice. Further, our study underlines the
importance of considering both the level and the consistency of a behavioural
trait in studies of animal behaviour.
PMID- 29634758
TI - Zika virus infection in immunocompetent pregnant mice causes fetal damage and
placental pathology in the absence of fetal infection.
AB - Zika virus (ZIKV) infection during human pregnancy may cause diverse and serious
congenital defects in the developing fetus. Previous efforts to generate animal
models of human ZIKV infection and clinical symptoms often involved manipulating
mice to impair their Type I interferon (IFN) signaling, thereby allowing enhanced
infection and vertical transmission of virus to the embryo. Here, we show that
even pregnant mice competent to generate Type I IFN responses that can limit ZIKV
infection nonetheless develop profound placental pathology and high frequency of
fetal demise. We consistently found that maternal ZIKV exposure led to placental
pathology and that ZIKV RNA levels measured in maternal, placental or embryonic
tissues were not predictive of the pathological effects seen in the embryos.
Placental pathology included trophoblast hyperplasia in the labyrinth,
trophoblast giant cell necrosis in the junctional zone, and loss of embryonic
vessels. Our findings suggest that, in this context of limited infection,
placental pathology rather than embryonic/fetal viral infection may be a stronger
contributor to adverse pregnancy outcomes in mice. Our finding demonstrates that
in immunocompetent mice, direct viral infection of the embryo is not essential
for fetal demise. Our immunologically unmanipulated pregnancy mouse model
provides a consistent and easily measurable congenital abnormality readout to
assess fetal outcome, and may serve as an additional model to test prophylactic
and therapeutic interventions to protect the fetus during pregnancy, and for
studying the mechanisms of ZIKV congenital immunopathogenesis.
PMID- 29634759
TI - Ribosome profiling uncovers selective mRNA translation associated with eIF2
phosphorylation in erythroid progenitors.
AB - The regulation of translation initiation factor 2 (eIF2) is important for
erythroid survival and differentiation. Lack of iron, a critical component of
heme and hemoglobin, activates Heme Regulated Inhibitor (HRI). This results in
phosphorylation of eIF2 and reduced eIF2 availability, which inhibits protein
synthesis. Translation of specific transcripts such as Atf4, however, is
enhanced. Upstream open reading frames (uORFs) are key to this regulation. The
aim of this study is to investigate how tunicamycin treatment, that induces eIF2
phosphorylation, affects mRNA translation in erythroblasts. Ribosome profiling
combined with RNA sequencing was used to determine translation initiation sites
and ribosome density on individual transcripts. Treatment of erythroblasts with
Tunicamycin (Tm) increased phosphorylation of eIF2 2-fold. At a false discovery
rate of 1%, ribosome density was increased for 147 transcripts, among which
transcriptional regulators such as Atf4, Tis7/Ifrd1, Pnrc2, Gtf2h, Mbd3, JunB and
Kmt2e. Translation of 337 transcripts decreased more than average, among which
Dym and Csde1. Ribosome profiling following Harringtonine treatment uncovered
novel translation initiation sites and uORFs. Surprisingly, translated uORFs did
not predict the sensitivity of transcripts to altered ribosome recruitment in
presence or absence of Tm. The regulation of transcription and translation
factors in reponse to eIF2 phosphorylation may explain the large overall response
to iron deficiency in erythroblasts.
PMID- 29634760
TI - High-resolution temporal and regional mapping of MAPT expression and splicing in
human brain development.
AB - The microtubule associated protein tau plays a critical role in the pathogenesis
of neurodegenerative disease. Recent studies suggest that tau also plays a role
in disorders of neuronal connectivity, including epilepsy and post-traumatic
stress disorder. Animal studies have shown that the MAPT gene, which codes for
the tau protein, undergoes complex pre-mRNA alternative splicing to produce
multiple isoforms during brain development. Human data, particularly on temporal
and regional variation in tau splicing during development are however lacking. In
this study, we present the first detailed examination of the temporal and
regional sequence of MAPT alternative splicing in the developing human brain. We
used a novel computational analysis of large transcriptomic datasets (total n =
502 patients), quantitative polymerase chain reaction (qPCR) and western blotting
to examine tau expression and splicing in post-mortem human fetal, pediatric and
adult brains. We found that MAPT exons 2 and 10 undergo abrupt shifts in
expression during the perinatal period that are unique in the canonical human
microtubule-associated protein family, while exon 3 showed small but significant
temporal variation. Tau isoform expression may be a marker of neuronal
maturation, temporally correlated with the onset of axonal growth. Immature brain
regions such as the ganglionic eminence and rhombic lip had very low tau
expression, but within more mature regions, there was little variation in tau
expression or splicing. We thus demonstrate an abrupt, evolutionarily conserved
shift in tau isoform expression during the human perinatal period that may be due
to tau expression in maturing neurons. Alternative splicing of the MAPT pre-mRNA
may play a vital role in normal brain development across multiple species and
provides a basis for future investigations into the developmental and
pathological functions of the tau protein.
PMID- 29634762
TI - Correction: Evidence of embodied social competence during conversation in high
functioning children with autism spectrum disorder.
AB - [This corrects the article DOI: 10.1371/journal.pone.0193906.].
PMID- 29634761
TI - CtGEM typing: Discrimination of Chlamydia trachomatis ocular and urogenital
strains and major evolutionary lineages by high resolution melting analysis of
two amplified DNA fragments.
AB - Chlamydia trachomatis infects the urogenital tract (UGT) and eyes. Anatomical
tropism is correlated with variation in the major outer membrane protein encoded
by ompA. Strains possessing the ocular ompA variants A, B, Ba and C are typically
found within the phylogenetically coherent "classical ocular lineage". However,
variants B, Ba and C have also been found within three distinct strains in
Australia, all associated with ocular disease in children and outside the
classical ocular lineage. CtGEM genotyping is a method for detecting and
discriminating ocular strains and also the major phylogenetic lineages. The
rationale was facilitation of surveillance to inform responses to C. trachomatis
detection in UGT specimens from young children. CtGEM typing is based on high
resolution melting analysis (HRMA) of two PCR amplified fragments with high
combinatorial resolving power, as defined by computerised comparison of 65 whole
genomes. One fragment is from the hypothetical gene defined by Jali-1891 in the
C. trachomatis B_Jali20 genome, while the other is from ompA. Twenty
combinatorial CtGEM types have been shown to exist, and these encompass unique
genotypes for all known ocular strains, and also delineate the TI and T2 major
phylogenetic lineages, identify LGV strains and provide additional resolution
beyond this. CtGEM typing and Sanger sequencing were compared with 42 C.
trachomatis positive clinical specimens, and there were no disjunctions. CtGEM
typing is a highly efficient method designed and tested using large scale
comparative genomics. It divides C. trachomatis into clinically and biologically
meaningful groups, and may have broad application in surveillance.
PMID- 29634763
TI - Correction: Survival from alcoholic hepatitis has not improved over time.
AB - [This corrects the article DOI: 10.1371/journal.pone.0192393.].
PMID- 29634764
TI - Photochemical degradation of trypan blue.
AB - PURPOSE: To investigate the photochemical degradation of trypan blue (TB) and to
identify decomposition products. METHODS: Defined solution samples of TB and a
mixture with lutein/zeaxanthin were exposed to blue light. Thermal degradation
processes were ruled out using controls not subjected to irradiation. All samples
were analyzed using optical microscopy, UV/Vis spectroscopy, matrix-assisted
laser desorption/ionization time-of-flight (MALDI-TOF) mass spectrometry and
nuclear magnetic resonance (NMR) spectrometry. Degradation kinetics were
determined based on changes in absorbance; intermediates were identified by
analyzing mass differences of characteristic fragment ion peaks within the
fragmentation patterns, and assignments were verified by NMR. RESULTS: TB
demonstrated a photochemical degradation, which can be triggered by
lutein/zeaxanthin. Intermediates vary depending on the presence of
lutein/zeaxanthin. The self-sensitized photodegradation of TB occurs under
generation of dimethyl sulfate and presumed formation of phenol. In contrast,
within the presence of lutein/zeaxanthin the decomposition of TB indicates the
formation of methoxyamine and sulfonyl arin. Thermal degradation processes were
not observed. CONCLUSIONS: TB demonstrated a photodegradation that may be
triggered by lutein/zeaxanthin and results in the formation of cytotoxic
decomposition products. Our findings contribute to understand degradation
mechanisms of TB and may elucidate previous clinical and experimental
observations of cellular toxicity after TB application.
PMID- 29634765
TI - Correction: Excess mortality and hospitalizations in transitional-age youths with
a long-term disease: A national population-based cohort study.
AB - [This corrects the article DOI: 10.1371/journal.pone.0193729.].
PMID- 29634766
TI - Anti-inflammatory, antiproliferative and cytoprotective potential of the Attalea
phalerata Mart. ex Spreng. pulp oil.
AB - The anti-inflammatory, antiproliferative and cytoprotective activity of the
Attalea phalerata Mart. ex Spreng pulp oil was evaluated by in vitro and in vivo
methods. As for the chemical profile, the antioxidant activity was performed by
spectrophotometry, and the profile of carotenoids and amino acids by
chromatography. Our data demonstrated that A. phalerata oil has high carotenoid
content, antioxidant activity and the presence of 5 essential amino acids. In the
in vitro models of inflammation, the oil demonstrated the capacity to inhibit
COX1 and COX2 enzymes, the production of nitric oxide and also induces
macrophages to spreading. In the in vivo models of inflammation, the oil
inhibited edema and leukocyte migration in the Wistar rats. In the in vitro model
of antiproliferative and cytoprotective activity, the oil was shown inactive
against the kidney carcinoma and prostate carcinoma lineage cells and with
cytoprotective capacity in murine fibroblast cells, inhibiting the cytotoxic
action of doxorubicin. Therefore, it is concluded that A. phalerata pulp oil has
anti-inflammatory effects with nutraceutical properties potential due to the rich
composition. Moreover, the oil also has cytoprotective activity probably because
of its ability to inhibit the action of free radicals.
PMID- 29634767
TI - Frailty in a racially and socioeconomically diverse sample of middle-aged
Americans in Baltimore.
AB - Frailty is a risk factor for disability and mortality, and is more prevalent
among African American (AA) elderly than whites. We examine frailty in middle
aged racially and economically diverse adults, and investigate how race, poverty
and frailty are associated with mortality. Data were from 2541 participants in
the Healthy Aging in Neighborhoods of Diversity across the Life Span study in
Baltimore, Maryland; 35-64 years old at initial assessment (56% women; 58% AA).
Frailty was assessed using a modified FRAIL scale of fatigue, resistance,
ambulation, illness and weight loss, and compared with difficulties in physical
functioning and daily activities. Frailty prevalence was calculated across race
and age groups, and associations with survival were assessed by Cox Regression.
278 participants were frail (11%); 924 pre-frail (36%); 1339 not frail (53%). For
those aged 45-54, a higher proportion of whites (13%) than AAs (8%) were frail;
while the proportions were similar for those 55-64 (14%,16%). Frailty was
associated with overall survival with an average follow-up of 6.6 years,
independent of race, sex and poverty status (HR = 2.30; 95%CI 1.67-3.18). In this
sample of economically and racially diverse older adults, the known association
of frailty prevalence and age differed across race with whites having higher
prevalence at younger ages. Frailty was associated with survival beyond the risk
factors of race and poverty status in this middle-aged group. Early recognition
of frailty at these younger ages may provide an effective method for preventing
or delaying disabilities.
PMID- 29634768
TI - Health-related quality of life and related factors in stroke survivors: Data from
Korea National Health and Nutrition Examination Survey (KNHANES) 2008 to 2014.
AB - As persons with stroke are surviving longer, monitoring and managing their
quality of life is becoming important. We reviewed the Korea National Health and
Nutrition Examination Survey (KNHANES) in order to evaluate the health-related
quality of life (HRQoL) in stroke survivors as measured by the Euro Quality of
Life-5D (EQ-5D), and to find out influencing factors. A total of 42,500 subjects
were enrolled in the KNHANES, and 575 of them were persons with stroke. The EQ-5D
index was lower in persons with stroke than those without stroke, when adjusted
for age and sex (with stroke: 0.757+/-0.012, without stroke: 0.948+/-0.001, p <
.0001). Dimension-specific influencing factors of HRQoL were observed in persons
with stroke; mobility problems increased with old age; self-care problems
increased with old age and depression; usual activity problems increased with old
age, low income, absence of economic activity, and depression; pain/discomfort
problems increased with low income. The EQ-5D index was lower in stroke survivors
with older age, hypertension, diabetes mellitus, and lack of regular exercise.
This is the first study to utilize nationally representative data of the Korean
population to investigate the effect of stroke on HRQoL and explore the dimension
specific influencing factors. Further development of rehabilitative interventions
for post-stroke depression, vocational rehabilitation, and tailored programs for
encouraging physical activity may be needed to improve the HRQoL in Korean stroke
survivors.
PMID- 29634769
TI - Antioxidant capacity of phenolics in Camellia nitidissima Chi flowers and their
identification by HPLC Triple TOF MS/MS.
AB - Camellia nitidissima Chi (CNC) is a valuable medicinal and edible plant in China.
In this study, CNC flowers were extracted with 95% ethanol, then partitioned into
dichloromethane, ethyl acetate, n-butanol, and water fractions, with the
antioxidant capacity of flavonoids and other phytochemicals in CNC flowers
investigated for the first time. Results showed that the ethyl acetate fraction
exhibited the strongest antioxidant capacity and highest total phenolic content
(TPC) compared with the other fractions. Furthermore, in the ethyl acetate
fraction, the 50% effective concentrations (EC50) of ABTS+ and DPPH radical
scavenging activities were 64.24 +/- 1.80 and 78.80 +/- 0.34 MUg/mL,
respectively, and the ferric reducing antioxidant power (FRAP) was 801.49 +/-
2.30 MUM FeSO4 at 1,000 MUg/mL. Pearson's correlation coefficients and principal
component analyses (PCA) for the TPC and antioxidant capacity of the five
fractions indicated that the phenolic compounds were the major antioxidant
constituents in the flowers. To exploit the antioxidants in CNC flowers, 21
phenolic compounds in the ethanolic extract fraction were identified by HPLC
Triple TOF MS/MS, next, 12 flavonoids were isolated and elucidated, of which
compounds 1-5 showed potent antioxidant capacity. In addition, the potential
structure-activity relationship among these 12 flavonoids showed that (1) the o
catechol group in the B-ring was primarily responsible for the antioxidant
capacity of flavonoids and (2) steric hindrance, produced by glycosides and other
groups, could reduce the antioxidant capacity of the flavonoids.
PMID- 29634770
TI - Sesamin from Cuscuta palaestina natural plant extracts: Directions for new
prospective applications.
AB - The aim of this study is to disclose the potential bioactive components of
Cuscuta palaestina, a native parasitic natural plant of flora palaestina and to
open direction towards new prospective application. GC-MS analysis identified 18
components in the methanolic extract of C. palaestina for the first time. The
most appealing among them are Sesamin and two other phytosterols (Campesterol and
Stigmasterol), all of which are documented in the scientific literature for their
anticancer activity. Quantitation of Sesamin extracted from C. palaestina by HPLC
PDA with the use of three organic solvents showed that the Sesamin content in the
methanolic extract was the highest. Following the disclosure of Sesamin presence
in C. palaestina, we raised the question of whether it is produced naturally in
C. palaestina or acquired from the host plant. The quantitation of Sesamin in C.
palaestina was performed while being with five different host plants, and was
compared with the amount of Sesamin in C. palaestina grown alone. The findings
reveal that Sesamin is an endogenous secondary metabolite in C. palaestina. Thus,
further studies are required to prove if C. palaestina can be used as an
alternative source of anticancer phytochemicals, mainly Sesamin, and if proteins
in the Sesamin production pathway could be valid biological targets for the
development of novel and selective pesticides for control/ eradication of C.
palaestina and maybe some other Cuscuta species. As well, the findings from this
study raise a big question of whether inferring Sesamin production in C.
palaestina could reduce its attack ability to host plants.
PMID- 29634771
TI - Chronic hepatitis B virus and liver fibrosis: A mathematical model.
AB - Hepatitis B virus (HBV) infection is a liver disorder that can result in
cirrhosis, liver failure and hepatocellular carcinoma. HBV infection remains a
major global health problem, as it affects more 350 million people chronically
and kills roughly 600,000 people annually. Drugs currently used against HBV
include IFN-alpha that decreases viremia, inflammation and the growth of liver
fibrosis, and adefovir that decreases the viral load. Each of these drugs can
have severe side-effects. In the present paper, we consider the treatment of
chronic HBV by a combination of IFN-alpha and adefovir, and raise the following
question: What should be the optimal ratio between IFN-alpha and adefovir in
order to achieve the best 'efficacy' under constraints on the total amount of the
drugs; here the efficacy is measured by the reduction of the levels of
inflammation and of fibrosis? We develop a mathematical model of HBV pathogenesis
by a system of partial differential equations (PDEs) and use the model to
simulate a 'synergy map' which addresses the above question.
PMID- 29634772
TI - Linking private, for-profit providers to public sector services for HIV and
tuberculosis co-infected patients: A systematic review.
AB - BACKGROUND: Tuberculosis (TB) is the leading cause of infectious disease deaths
worldwide and is the leading cause of death among people with HIV. The World
Health Organization (WHO) has called for collaboration between public and private
healthcare providers to maximize integration of TB/HIV services and minimize
costs. We systematically reviewed published models of public-private sector
diagnostic and referral services for TB/HIV co-infected patients. METHODS: We
searched PubMed, the Cochrane Central Register of Controlled Trials, Google
Scholar, Science Direct, CINAHL and Web of Science. We included studies that
discussed programs that linked private and public providers for TB/HIV concurrent
diagnostic and referral services and used Review Manager (Version 5.3, 2015) for
meta-analysis. RESULTS: We found 1,218 unduplicated potentially relevant articles
and abstracts; three met our eligibility criteria. All three described public
private TB/HIV diagnostic/referral services with varying degrees of integration.
In Kenya private practitioners were able to test for both TB and HIV and offer
state-subsidized TB medication, but they could not provide state-subsidized
antiretroviral therapy (ART) to co-infected patients. In India private
practitioners not contractually engaged with the public sector offered TB/HIV
services inconsistently and on a subjective basis. Those partnered with the
state, however, could test for both TB and HIV and offer state-subsidized
medications. In Nigeria some private providers had access to both state
subsidized medications and diagnostic tests; others required patients to pay out
of-pocket for testing and/or treatment. In a meta-analysis of the two
quantitative reports, TB patients who sought care in the public sector were
almost twice as likely to have been tested for HIV than TB patients who sought
care in the private sector (risk ratio [RR] 1.98, 95% confidence interval [CI]
1.88-2.08). However, HIV-infected TB patients who sought care in the public
sector were marginally less likely to initiate ART than TB patients who sought
care from private providers (RR 0.89, 95% CI 0.78-1.03). CONCLUSION: These three
studies are examples of public-private TB/HIV service delivery and can
potentially serve as models for integrated TB/HIV care systems. Successful public
private diagnostic and treatment services can both improve outcomes and decrease
costs for patients co-infected with HIV and TB.
PMID- 29634773
TI - Acidic pH triggers the phosphorylation of the response regulator NtrX in
alphaproteobacteria.
AB - Many signaling pathways that control cellular development, cell-cycle progression
and nutritional versatility have been studied in Caulobacter crescentus. For
example, it was suggested that the response regulator NtrX is conditionally
essential for this bacterium and that it might be necessary for responding to a
signal produced in phosphate-replete minimal medium. However, such signal has not
been identified yet and the role of NtrX in C. crescentus biology remains
elusive. Here, using wild-type C. crescentus and a strain with a chromosomally
myc-tagged ntrX gene, we demonstrate that high concentrations of phosphate (10
mM) regulate ntrX transcription and the abundance of the protein. We also show
that the pH of the medium acts as a switch able to regulate the phosphorylation
status of NtrX, promoting its phosphorylation under mildly acidic conditions and
its dephosphorylation at neutral pH. Moreover, we demonstrate that the ntrX gene
is required for survival in environments with low pH and under acidic stress.
Finally, we prove that NtrX phosphorylation is also triggered by low pH in
Brucella abortus, a pathogenic alphaproteobacterium. Overall, our work
contributes to deepen the general knowledge of this system and provides tools to
elucidate the NtrX regulon.
PMID- 29634774
TI - Dimeric IgG complexes from IVIg are incapable of inducing in vitro neutrophil
degranulation or complement activation.
AB - PURPOSE: Intravenous immunoglobulin (IVIg) products contain various amounts of
dimeric IgG complexes. Current insights into the possible biological activities
of these dimers remain controversial, and both immunemodulating and immune
activating effects have been reported. Here, we analyzed the putative immune
activating effects of dimers isolated from IVIg. METHODS: Dimers isolated from
IVIg were purified by high-performance size-exclusion chromatography (HP-SEC) and
tested for the ability to induce neutrophil degranulation in vitro. RESULTS:
Dimers isolated from IVIg were found to be incapable of inducing in vitro
neutrophil degranulation or complement activation, even at concentrations
exceeding those expected to be reached upon administration in patients. These
results depend on the removal of artefactual activation by using 0.1 micron
filtration and the use of poloxamer to prevent adsorption of IgG onto the solid
phase. CONCLUSIONS: The data suggest dimeric IgG found in IVIg may bind to Fc
receptors without causing activation.
PMID- 29634775
TI - Zinc is an inhibitor of the LdtR transcriptional activator.
AB - LdtR is a master regulator of gene expression in Liberibacter asiaticus, one of
the causative agents of citrus greening disease. LdtR belongs to the MarR-family
of transcriptional regulators and it has been linked to the regulation of more
than 180 genes in Liberibacter species, most of them gathered in the following
Clusters of Orthologous Groups: cell motility, cell wall envelope, energy
production, and transcription. Our previous transcriptomic evidence suggested
that LdtR is directly involved in the modulation of the zinc uptake system genes
(znu) in the closely related L. crescens. In this report, we show that LdtR is
involved in the regulation of one of the two encoded zinc uptake mechanisms in L.
asiaticus, named znu2. We also show that LdtR binds zinc with higher affinity
than benzbromarone, a synthetic effector inhibitory molecule, resulting in the
disruption of the LdtR:promoter interactions. Using site-directed mutagenesis,
electrophoretic mobility shift assays (EMSAs), and isothermal titration
calorimetry, we identified that residues C28 and T43 in LdtR, located in close
proximity to the Benz1 pocket, are involved in the interaction with zinc. These
results provided new evidence of a high-affinity effector molecule targeting a
key player in L. asiaticus' physiology and complemented our previous findings
about the mechanisms of signal transduction in members of the MarR-family.
PMID- 29634777
TI - Genetic modification of Anopheles stephensi for resistance to multiple Plasmodium
falciparum strains does not influence susceptibility to o'nyong'nyong virus or
insecticides, or Wolbachia-mediated resistance to the malaria parasite.
AB - Mosquitoes that have been genetically engineered for resistance to human
pathogens are a potential new tool for controlling vector-borne disease. However,
genetic modification may have unintended off-target effects that could affect the
mosquitoes' utility for disease control. We measured the resistance of five
genetically modified Plasmodium-suppressing Anopheles stephensi lines to
o'nyong'nyong virus, four classes of insecticides, and diverse Plasmodium
falciparum field isolates and characterized the interactions between our genetic
modifications and infection with the bacterium Wolbachia. The genetic
modifications did not alter the mosquitoes' resistance to either o'nyong'nyong
virus or insecticides, and the mosquitoes were equally resistant to all tested P.
falciparum strains, regardless of Wolbachia infection status. These results
indicate that mosquitoes can be genetically modified for resistance to malaria
parasite infection and remain compatible with other vector-control measures
without becoming better vectors for other pathogens.
PMID- 29634776
TI - Severe abnormal Heart Rate Turbulence Onset is associated with deterioration of
liver cirrhosis.
AB - BACKGROUND: In patients with liver cirrhosis, cardiac dysfunction is frequent and
is associated with increased morbidity and mortality. Cardiac dysfunction in
cirrhosis seems to be linked to autonomic dysfunction. This study investigates
the role of autonomic dysfunction assessed by Heart Rate Turbulence (HRT)
analyses in patients with liver cirrhosis. METHODS AND PATIENTS: Inclusion
criteria was (1) diagnosis of cirrhosis by clinical, imaging or biopsy and (2)
evaluation by standard 12-lead-ECG and 24h holter monitoring and (3) at least 3
premature ventricular contractions. The exclusion criterion was presence of
cardiac diseases, independent of liver cirrhosis. Biochemical parameters were
analysed using standard methods. HRT was assessed using Turbulence onset (TO) and
slope (TS). The endpoint was deterioration of liver cirrhosis defined as
increased MELD and readmission for complications of liver cirrhosis. RESULTS: Out
of 122 cirrhotic patients, 82 patients (63% male) with median Child score of 6
(range 5-12) and median MELD score of 10 (range 6-32) were included. Increasing
Child score, INR and decreasing albumin were correlated with TO. In addition,
decompensated patients with ascites showed more abnormal TO and TS. During the
observation period, patients with more abnormal TO showed significantly higher
rate of rising MELD Score at 6 months (p = 0.03). Nevertheless, at least in our
collective HRT-parameters were not independent predictors of deterioration of
cirrhosis. CONCLUSION: Parameters of HRT are closely associated with
deterioration of cirrhosis and might be helpful in its prediction.
PMID- 29634778
TI - Changes in maize transcriptome in response to maize Iranian mosaic virus
infection.
AB - BACKGROUND: Maize Iranian mosaic virus (MIMV, genus Nucleorhabdovirus, family
Rhabdoviridae) causes an economically important disease in maize and other
gramineous crops in Iran. MIMV negative-sense RNA genome sequence of 12,426
nucleotides has recently been completed. Maize Genetics and Genomics database
shows that 39,498 coding genes and 4,976 non-coding genes of maize have been
determined, but still some transcripts could not be annotated. The molecular host
cell responses of maize to MIMV infection including differential gene expression
have so far not been elucidated. METHODOLOGY/PRINCIPAL FINDINGS: Complementary
DNA libraries were prepared from total RNA of MIMV-infected and mock-inoculated
maize leaves and sequenced using Illumina HiSeq 2500. Cleaned raw transcript
reads from MIMV-infected maize were mapped to reads from uninfected maize and to
a maize reference genome. Differentially expressed transcripts were characterized
by gene ontology and biochemical pathway analyses. Transcriptome data for
selected genes were validated by real-time quantitative PCR.
CONCLUSION/SIGNIFICANCE: Approximately 42 million clean reads for each treatment
were obtained. In MIMV-infected maize compared to uninfected plants, 1689
transcripts were up-regulated and 213 transcripts were down-regulated. In
response to MIMV infection, several pathways were activated in maize including
immune receptor signaling, metabolic pathways, RNA silencing, hormone-mediated
pathways, protein degradation, protein kinase and ATP binding activity, and fatty
acid metabolism. Also, several transcripts including those encoding hydrophobic
protein RCI2B, adenosylmethionine decarboxylase NAC transcription factor and
nucleic acid binding, leucine-rich repeat, heat shock protein, 26S proteasome,
oxidoreductases and endonuclease activity protein were up-regulated. These data
will contribute to the identification of genes and pathways involved in plant
virus interactions that may serve as future targets for improved disease control.
PMID- 29634779
TI - Improvement of the reduction in catastrophic health expenditure in China's public
health insurance.
AB - This study aimed to locate the contributing factors of Catastrophic Health
Expenditure (CHE), evaluate their impacts, and try to propose strategies for
reducing the possibilities of CHE in the context of China's current public health
insurance system. The financial data of all hospitalization cases from a sample
hospital in 2013 were gathered and used to determine the pattern of household
medical costs. A simulation model was constructed based on China's current public
health insurance system to evaluate the financial burden for medical service on
Chinese patients, as well as to calculate the possibilities of CHE. Then, by
adjusting several parameters, suggestions were made for China's health insurance
system in order to reduce CHE. It's found with China's current public health
insurance system, the financial aid that a patient may receive depends on whether
he is from an urban or rural area and whether he is employed. Due to the
different insurance policies and the wide income gap between urban and rural
areas, rural residents are much more financially vulnerable during health crisis.
The possibility of CHE can be more than 50% for low-income rural families. The
CHE ratio can be dramatically lowered by applying different policies for
different household income groups. It's concluded the financial burden for
medical services of Chinese patients is quite large currently, especially for
those from rural areas. By referencing different healthcare policies in the
world, applying different health insurance policies for different income groups
can dramatically reduce the possibility of CHE in China.
PMID- 29634780
TI - Proteomic analysis of human epileptic neocortex predicts vascular and glial
changes in epileptic regions.
AB - Epilepsy is a common neurological disorder, which is not well understood at the
molecular level. Exactly why some brain regions produce epileptic discharges and
others do not is not known. Patients who fail to respond to antiseizure
medication (refractory epilepsy) can benefit from surgical removal of brain
regions to reduce seizure frequency. The tissue removed in these surgeries offers
an invaluable resource to uncover the molecular and cellular basis of human
epilepsy. Here, we report a proteomic study to determine whether there are common
proteomic patterns in human brain regions that produce epileptic discharges. We
analyzed human brain samples, as part of the Systems Biology of Epilepsy Project
(SBEP). These brain pieces are in vivo electrophysiologically characterized human
brain samples withdrawn from the neocortex of six patients with refractory
epilepsy. This study is unique in that for each of these six patients the
comparison of protein expression was made within the same patient: a more
epileptic region was compared to a less epileptic brain region. The amount of
epileptic activity was defined for each patient as the frequency of their
interictal spikes (electric activity between seizures that is a parameter
strongly linked to epilepsy). Proteins were resolved from three subcellular
fractions, using a 2D differential gel electrophoresis (2D-DIGE), revealing 31
identified protein spots that changed significantly. Interestingly, glial
fibrillary acidic protein (GFAP) was found to be consistently down regulated in
high spiking brain tissue and showed a strong negative correlation with spike
frequency. We also developed a two-step analysis method to select for protein
species that changed frequently among the patients and identified these proteins.
A total of 397 protein spots of interest (SOI) were clustered by protein
expression patterns across all samples. These clusters were used as markers and
this analysis predicted proteomic changes due to both histological differences
and molecular pathways, revealed by examination of gene ontology clusters. Our
experimental design and proteomic data analysis predicts novel glial changes,
increased angiogenesis, and changes in cytoskeleton and neuronal projections
between high and low interictal spiking regions. Quantitative histological
staining of these same tissues for both the vascular and glial changes confirmed
these findings, which provide new insights into the structural and functional
basis of neocortical epilepsy.
PMID- 29634781
TI - The association between serum vitamin D levels and renal tubular dysfunction in a
general population exposed to cadmium in China.
AB - Cadmium exposure can cause renal tubular dysfunction. Recent studies show that
vitamin D can play multiple roles in the body. However, the association between
serum vitamin D levels and renal tubular dysfunction in a general population
exposed to cadmium has not been clarified. We performed study to assess the
effects of cadmium on serum 25(OH) D levels and the association between serum
25(OH) D levels and renal tubular dysfunction in a population environmentally
exposed to cadmium. A total of 133 subjects living in control area and two
cadmium polluted areas were included in the present study. Cadmium in urine (UCd)
and blood (BCd), urinary beta2Microglobulin (UBMG), urinary retinol binding
protein (URBP) and serum 25 (OH) D were determined. Logistic regression was used
to estimate the association between 25 (OH) D and prevalence of renal tubular
dysfunction. No significant differences were observed in serum 25(OH) D levels
among the four quartile of UCd and BCd after adjusting with cofounders. After
adjusted with the confounders, the odds ratio (OR) of subjects with 25(OH) D >=
40 ng/ml were 0.20 (95%CI: 0.1-0.8) if UBMG was chosen as indicators of renal
dysfunction and 0.28 (95%CI: 0.1-1.1) if URBP was chosen as indicators of renal
dysfunction, compared with those with 25(OH) D < 30 ng/ml, respectively. Similar
results were observed in those subjects living in cadmium polluted areas or with
high level of UCd or BCd. Our data indicated that cadmium exposure did not affect
serum 25(OH) D level and high 25 (OH) D levels were associated with a decreased
risk of renal tubular dysfunction induced by cadmium.
PMID- 29634782
TI - Core lipid, surface lipid and apolipoprotein composition analysis of lipoprotein
particles as a function of particle size in one workflow integrating asymmetric
flow field-flow fractionation and liquid chromatography-tandem mass spectrometry.
AB - Lipoproteins are complex molecular assemblies that are key participants in the
intricate cascade of extracellular lipid metabolism with important consequences
in the formation of atherosclerotic lesions and the development of cardiovascular
disease. Multiplexed mass spectrometry (MS) techniques have substantially
improved the ability to characterize the composition of lipoproteins. However,
these advanced MS techniques are limited by traditional pre-analytical
fractionation techniques that compromise the structural integrity of lipoprotein
particles during separation from serum or plasma. In this work, we applied a
highly effective and gentle hydrodynamic size based fractionation technique,
asymmetric flow field-flow fractionation (AF4), and integrated it into a
comprehensive tandem mass spectrometry based workflow that was used for the
measurement of apolipoproteins (apos A-I, A-II, A-IV, B, C-I, C-II, C-III and E),
free cholesterol (FC), cholesterol esters (CE), triglycerides (TG), and
phospholipids (PL) (phosphatidylcholine (PC), sphingomyelin (SM),
phosphatidylethanolamine (PE), phosphatidylinositol (PI) and
lysophosphatidylcholine (LPC)). Hydrodynamic size in each of 40 size fractions
separated by AF4 was measured by dynamic light scattering. Measuring all major
lipids and apolipoproteins in each size fraction and in the whole serum, using
total of 0.1 ml, allowed the volumetric calculation of lipoprotein particle
numbers and expression of composition in molar analyte per particle number
ratios. Measurements in 110 serum samples showed substantive differences between
size fractions of HDL and LDL. Lipoprotein composition within size fractions was
expressed in molar ratios of analytes (A-I/A-II, C-II/C-I, C-II/C-III. E/C-III,
FC/PL, SM/PL, PE/PL, and PI/PL), showing differences in sample categories with
combinations of normal and high levels of Total-C and/or Total-TG. The agreement
with previous studies indirectly validates the AF4-LC-MS/MS approach and
demonstrates the potential of this workflow for characterization of lipoprotein
composition in clinical studies using small volumes of archived frozen samples.
PMID- 29634783
TI - The gamma33 subunit of R-phycoerythrin from Gracilaria chilensis has a typical
double linked phycourobilin similar to beta subunit.
AB - Phycobilisomes (PBS) are accessory light harvesting protein complexes formed
mainly by phycobiliproteins (PBPs). The PBPs absorb light that is efficiently
transferred to Photosystems due to chromophores covalently bound to specific
cysteine residues. Besides phycobiliproteins (PE), the PBS contains linker
proteins responsible for assembly and stabilization of the whole complex and the
tuning of energy transfer steps between chromophores. The linker (gamma33) from
Gracilaria chilensis, is a chromophorylated rod linker associated to (alphabeta)6
hexamers of R-phycoerythrin (R-PE). Its role in the energy transfer process is
not clear yet. Structural studies as well as the composition and location of the
chromophores are essential to understand their involvement in the energy transfer
process in PBS. To achieve this, the coding gene of gamma33 was cloned and
sequenced. The sequence was analyzed by informatics tools, to obtain preliminary
information which leaded the next experiments. The protein was purified from R
phycoerythrin, and the sequence confirmed by mass spectrometry. The coding
sequence analysis revealed a protein of 318 aminoacid residues containing a
chloroplastidial transit peptide (cTP) of 39 aminoacids at the N-terminus. The
conservation of cysteines revealed possible chromophorylation sites. Using alpha
and beta R-PE subunits as spectroscopic probes in denaturation assays, we deduced
a double bonded phycourobilin (PUB) on gamma33 subunit that were confirmed
between Cys62 and Cys73 (DL-PUB62/73) by mass spectrometry. The cysteines
involved in the double link are located in a helical region, in a conformation
that reminds the position of the DL-PUB50/61 in the beta subunit of R-PE. The
position of single linked PUB at Cys95 and a single linked PEB at Cys172 were
also confirmed. Spectroscopic studies show the presence of both types of
chromophores and that there are not energy transfer by FRET among them.
PMID- 29634784
TI - The role of the C-domain of bacteriophage T4 gene 32 protein in ssDNA binding and
dsDNA helix-destabilization: Kinetic, single-molecule, and cross-linking studies.
AB - The model single-stranded DNA binding protein of bacteriophage T4, gene 32
protein (gp32) has well-established roles in DNA replication, recombination, and
repair. gp32 is a single-chain polypeptide consisting of three domains. Based on
thermodynamics and kinetics measurements, we have proposed that gp32 can undergo
a conformational change where the acidic C-terminal domain binds internally to or
near the single-stranded (ss) DNA binding surface in the core (central) domain,
blocking ssDNA interaction. To test this model, we have employed a variety of
experimental approaches and gp32 variants to characterize this conformational
change. Utilizing stopped-flow methods, the association kinetics of wild type and
truncated forms of gp32 with ssDNA were measured. When the C-domain is present,
the log-log plot of k vs. [NaCl] shows a positive slope, whereas when it is
absent (*I protein), there is little rate change with salt concentration, as
expected for this model.A gp32 variant lacking residues 292-296 within the C
domain, DeltaPR201, displays kinetic properties intermediate between gp32 and *I.
The single molecule force-induced DNA helix-destabilizing activitiesas well as
the single- and double-stranded DNA affinities of DeltaPR201 and gp32 truncated
at residue 295 also fall between full-length protein and *I. Finally, chemical
cross-linking of recombinant C-domain and gp32 lacking both N- and C-terminal
domains is inhibited by increasing concentrations of a short single-stranded
oligonucleotide, and the salt dependence of cross-linking mirrors that expected
for the model. Taken together, these results provide the first evidence in
support of this model that have been obtained through structural probes.
PMID- 29634785
TI - Optimization of alkaline extraction of hemicellulose from sweet sorghum bagasse
and its direct application for the production of acidic xylooligosaccharides by
Bacillus subtilis strain MR44.
AB - As predominant components of hemicelluloses in grasses,
methylglucuroarabinoxylans (MeGAXn) are sources for the production of acidic
xylooligosaccharides (U-XOS). Bacillus subtilis MR44, an engineered biocatalyst
to secrete only the XynC xylanase and Axh43 arabinoxylan hydrolase is capable of
processing MeGAXn to exclusively U-XOS. The present studies are directed at the
explosion on direct alkaline extraction serving for production of U-XOS. Response
Surface Methodology was used to optimize xylan extraction conditions on the sweet
sorghum bagasse to achieve maximum hemicelluloses yield. The optimized condition
was as follows: extraction time of 3.91 h, extraction temperature of 86.1 degrees
C, and NaOH concentration (w/w) of 12.33%. Crude xylan extracted with NaOH
revealed a compositional analysis of xylose (79.0%), arabinose (5.3%), glucose
(1.7%), lignin and ash (5.6%). After neutralization this xylan preparation
supported growth of MR44, processing MeGAXn from sweet sorghum and accumulating U
XOS. The quality of U-XOS produced by MR44 using alkaline-treated sweet sorghum
bagasse was comparable to that obtained from purified MeGAXn. Overall, the
present study demonstrates that direct alkaline treatment of sweet sorghum
bagasse is useful to improve the bioavailability of MeGAXn for MR44-mediated
conversion to U-XOS with average degrees of polymerization of 11-12, providing
alternative resources with applications in nutrition and human and veterinary
medicine.
PMID- 29634786
TI - Environmental Indicator Principium with Case References to Agricultural Soil,
Water, and Air Quality and Model-Derived Indicators.
AB - Environmental indicators are powerful tools for tracking environmental changes,
measuring environmental performance, and informing policymakers. Many diverse
environmental indicators, including agricultural environmental indicators, are
currently in use or being developed. This special collection of technical papers
expands on the peer-reviewed literature on environmental indicators and their
application to important current issues in the following areas: (i) model-derived
indicators to indicate phosphorus losses from arable land to surface runoff and
subsurface drainage, (ii) glutathione-ascorbate cycle-related antioxidants as
early-warning bioindicators of polybrominated diphenyl ether toxicity in
mangroves, and (iii) assessing the effectiveness of using organic matrix biobeds
to limit herbicide dissipation from agricultural fields, thereby controlling on
farm point-source pollution. This introductory review also provides an overview
of environmental indicators, mainly for agriculture, with examples related to the
quality of the agricultural soil-water-air continuum and the application of model
derived indicators. Current knowledge gaps and future lines of investigation are
also discussed. It appears that environmental indicators, particularly those for
agriculture, work efficiently at the field, catchment, and local scales and serve
as valuable metrics of system functioning and response; however, these indicators
need to be refined or further developed to comprehensively meet community
expectations in terms of providing a consistent picture of relevant issues and/or
allowing comparisons to be made nationally or internationally.
PMID- 29634787
TI - Removal of Pollutants in Different Landfill Leachate Treatment Processes on the
Basis of Organic Matter Fractionation.
AB - A combination of processes was required for the proper treatment of old landfill
leachate, as it contained a high concentration of pollutants. Humic substances
comprised half of the total organic carbon in the raw leachate. Mobility of di(2
ethylhexyl) phthalate (DEHP) and metals could depend on the fate of these
substances. Characterization of carbon in raw leachate and effluent of the
membrane bioreactor, biofiltration, electro-oxidation, electro-coagulation, and
nanofiltration showed complete removal of suspended solids and colloids. Physical
processes could not remove the hydrophilic fraction due to its lower molecular
weight. However, high removal of the hydrophilic fraction with a molecular weight
<500 Da was expected in the biological process. In comparison with fulvic acid,
larger sized humic acid resulted in complete removal by physicochemical
processes. Because of DEHP partitioning on dissolved organic matter, especially
on humic substances, its removal could be correlated with total organic carbon
removal. Metals such as iron, aluminum, magnesium, and lead showed removal
efficiency >80% in biological processes. Electro-deposition on the surface of an
electrode and precipitation by hydroxide resulted in removal efficiencies >90 and
>50% in electro-coagulation and electro-oxidation, respectively. Rejection of
metals by nanofiltration was >80% and depended on the size and charge of cation.
All in all, a combination of membrane bioreactor and nanofiltration seems to be
the optimal process configuration for efficient treatment of old landfill
leachate.
PMID- 29634788
TI - Portable Automation of Static Chamber Sample Collection for Quantifying Soil Gas
Flux.
AB - Quantification of soil gas flux using the static chamber method is labor
intensive. The number of chambers that can be sampled is limited by the spacing
between chambers and the availability of trained research technicians. An
automated system for collecting gas samples from chambers in the field would
eliminate the need for personnel to return to the chamber during a flux
measurement period and would allow a single technician to sample multiple
chambers simultaneously. This study describes hamber utomated ampling quipment
(Flux) to collect and store chamber headspace gas samples at assigned time points
for the measurement of soil gas flux. The FluxCASE design and operation is
described, and the accuracy and precision of the FluxCASE system is evaluated. In
laboratory measurements of nitrous oxide (NO), carbon dioxide (CO), and methane
(CH) concentrations of a standardized gas mixture, coefficients of variation
associated with automated and manual sample collection were comparable,
indicating no loss of precision. In the field, soil gas fluxes measured from
FluxCASEs were in agreement with manual sampling for both NO and CO. Slopes of
regression equations were 1.01 for CO and 0.97 for NO. The 95% confidence limits
of the slopes of the regression lines included the value of one, indicating no
bias. Additionally, an expense analysis found a cost recovery ranging from 0.6 to
2.2 yr. Implementing the FluxCASE system is an alternative to improve the
efficiency of the static chamber method for measuring soil gas flux while
maintaining the accuracy and precision of manual sampling.
PMID- 29634789
TI - Filter Membrane Effects on Water-Extractable Phosphorus Concentrations from Soil.
AB - To accurately assess P concentrations in soil extracts, standard laboratory
practices for monitoring P concentrations are needed. Water-extractable P is a
common analytical test to determine P availability for leaching from soils, and
it is used to determine best management practices. Most P analytical tests
require filtration through a filter membrane with 0.45-MUm pore size to
distinguish between particulate and dissolved P species. However, filter membrane
type is rarely specified in method protocols, and many different types of
membranes are available. In this study, three common filter membrane materials
(polyether sulfone, nylon, and nitrocellulose), all with 0.45-MUm pore sizes,
were tested for analytical differences in total P concentrations and dissolved
reactive P (DRP) concentrations in water extracts from six soils sampled from two
regions. Three of the extracts from the six soil samples had different total P
concentrations for all three membrane types. The other three soil extracts had
significantly different total P results from at least one filter membrane type.
Total P concentration differences were as great as 35%. The DRP concentrations in
the extracts were dependent on filter type in five of the six soil types. Results
from this research show that filter membrane type is an important parameter that
affects concentrations of total P and DRP from soil extracts. Thus, membrane type
should be specified in soil extraction protocols.
PMID- 29634790
TI - Manganese, Zinc, and pH Affect Cadmium Accumulation in Rice Grain under Field
Conditions in Southern China.
AB - Very little has been reported on the effects of pH, Zn, and Mn on Cd uptake in
rice ( L.) and their levels under field conditions. Rice accumulates a high
concentration of Cd in acid soils, even at low soil Cd levels. Lime (CaO) was
spread at 1200 kg ha on the topsoil of a rice field during the tillering stage.
Effects of liming on rice Cd, soil pH, and amorphous Mn (MnO-AM) were then
investigated. Slight increases in pH from 5.17 to 5.45 and MnO-AM from 66.3 to
82.1 mg kg were observed after liming. The proportion of rice samples with a Cd
concentration greater than the Chinese rice Cd standard (0.2 mg kg dry wt. in
grain) decreased by ~15%. The pH, which varied from 4.8 to 5.8, did not
significantly affect rice Cd, whereas soil Cd and Zn had a significantly positive
effect, together accounting for ~14% of the variance. Soil Mn had significantly
negative effects on rice Cd, accounting for >18% of the variance. For a Cd
concentration <0.2 mg kg dry wt. in rice grain, the critical pH value in paddy
soil was ~5.7, and that for Mn at pH 4.8 to 5.8 was ~300 mg kg. Our findings
showed that Cd concentration in rice grain in an acid paddy soil increased with
an increase of Zn and a decrease of Mn when these metals were at sufficient
levels.
PMID- 29634791
TI - The Contrasting Effects of Alum-Treated Chicken Manures and KH2PO4 on Phosphorus
Behavior in Soils.
AB - Alum [KAl(SO)?12HO] is often added to chicken manure to limit P solubility after
land application. This is generally ascribed to the formation of Al-PO complexes.
However, Al-PO complex formation could be affected by the matrix of chicken
manure, which varies with animal diet. Alum was added to KHPO (as a reference
material) and two manures from typical chicken farms in China, one from an
intensive farm (CMIF) and another from free-ranging chickens (CMFR). These were
subsequently incubated with soils for 100 d to investigate P transformations.
Alum reduced water-soluble colorimetrically reactive phosphorus (RP) from soils
amended with manure more effectively than in soils amended with KHPO. Alum
addition lowered Mehlich-3 RP in soils with CMFR but had no influence on Mehlich
3 RP in CMIF- or KHPO-amended soils. A comparison of P in digested Mehlich-3
extracts with RP in undigested samples showed significantly increased P in
digests of alum-treated CMFR only. Fractionation data indicated that alum
treatment increased P in the NHF-RP (Al-P) fraction only in soils with KHPO, but
not in soils with manure treatments. Furthermore, NaOH-extracted nonreactive P
was markedly higher in soil with alum-treated CMFR relative to normal CMFR. The
CMFR manure was assumed to contain higher concentrations of organic P because
these chickens were fed grains only. These results suggest that the formation of
alum-organic P complexes may reduce P solubility. By comparing alum-treated KHPO
and manures, it appears that organic matter in manure could interfere with the
formation of Al-PO complexes.
PMID- 29634792
TI - Reducing Arsenic Concentration in Panax notoginseng via Contaminant
Immobilization in Soil Using Fe-Ce Oxide.
AB - (Burk.) F.H. Chen, a valuable Chinese medicine, is currently confronted with
arsenic (As) contamination in China due to soil pollution. Our previous research
demonstrated that Fe(0) and zeolite had a certain inhibitory effect on As
accumulation in . In order to further reduce As accumulation in the plant, a
synthetic iron material (Fe-Ce oxide [FC]) with high As adsorption capacity was
tested for As remediation. In the study, after FC was applied to the As
contaminated soil, was planted in the soil. The As leaching behavior of the
treated soil and As accumulation in were evaluated. The results showed that FC
immobilized As more effectively than Fe(0) and zeolite in soils with high As
concentrations. When the FC dosage was 0.5 % (w/w), As concentration of root (the
main medicinal part) decreased by 56%, and root biomass increased by 55%. Results
indicated FC could reduce the non-specifically adsorbed As fraction (F1) and
specifically adsorbed As fraction (F2) by 22 to 31% and 5 to 17%, respectively,
thus reducing the toxicity characteristic leaching procedure leachable As
concentration by 41 to 67%. The finding of an iron plaque coating on the plant
root and its function as a barrier to As uptake by is reported here for the first
time. The occurrence of iron plaque led to a reduction in As concentration in the
phellem and xylem-phloem by 66 to 80% and 43 to 70%, respectively. Our findings
will help in developing As contamination control in areas where is planted and
set a foundation for a FC-based As immobilization technology.
PMID- 29634793
TI - Nutrient Removal during Stormwater Aquifer Storage and Recovery in an Anoxic
Carbonate Aquifer.
AB - Stormwater harvesting coupled to managed aquifer recharge (MAR) provides a means
to use the often wasted stormwater resource while also providing protection of
the natural and built environment. Aquifers can act as a treatment barrier within
a multiple-barrier approach to harvest and use urban stormwater. However, it
remains challenging to assess the treatment performance of a MAR scheme due to
the heterogeneity of aquifers and MAR operations, which in turn influences water
treatment processes. This study uses a probabilistic method to evaluate aquifer
treatment performance based on the removal of total organic C (TOC), N, and P
during MAR with urban stormwater in an anoxic carbonate aquifer. Total organic C,
N, and P are represented as stochastic variables and described by probability
density functions (PDFs) for the "injectant" and "recovery"; these injectant and
recovery PDFs are used to derive a theoretical MAR removal efficiency PDF. Four
long-term MAR sites targeting one of two tertiary carbonate aquifers (T1 and T2)
were used to describe the nutrient removal efficiencies. Removal of TOC and total
N (TN) was dominated by redox processes, with median removal of TOC between 50
and 60% at all sites and TN from 40 to 50% at three sites with no change at the
fourth. Total P removal due to filtration and sorption accounted for median
removal of 29 to 53%. Thus, the statistical method was able to characterize the
capacity of the anoxic carbonate aquifer treatment barrier for nutrient removal,
which highlights that aquifers can be an effective long-term natural treatment
option for management of water quality, as well as storage of urban stormwater.
PMID- 29634794
TI - Sorption of Nitro Explosives to Polymer/Biomass-Derived Biochar.
AB - Factors affecting the sorptive removal of nitro explosives (2,4,6-trinitrotoluene
[TNT] and hexahydro-1,3,5-trinitro-1,3,5-triazine [RDX]) to polymer/biomass
derived biochar were investigated through batch experiments. Compared with that
of rice ( L.) straw (RS)-derived biochar, the sorption of TNT and RDX to
polymer/RS-derived biochar was greatly enhanced by >2.5 and 4 times,
respectively. The type and amount of polymer did not significantly affect the
sorption of nitro explosives to polymer/RS-derived biochar. Pyrolysis temperature
did not affect the sorption capacity. Surface treatment with acid or an oxidant
did not significantly change the sorption capacity, suggesting that polymer
residues may be strongly responsible for the enhancement. Possible polymer
residues were identified via gas chromatography mass spectrometry analysis. The
toxicity characteristic leaching procedure and Microtox bioassay analyses
indicated that polymer/RS-derived biochar did not show possible harmful effects.
Our results suggest that polymer/RS-derived biochar can be effectively used as a
sorbent to remove nitro explosives both in the natural environment and engineered
systems.
PMID- 29634796
TI - Glutathione-Ascorbate Cycle Is an Early Warning Indicator of Toxicity of BDE-47
in Mangroves.
AB - Mangroves are often exposed to contamination by polybrominated diphenyl ethers
(PBDEs) from wastewater discharges and solid waste dumping. As one of the most
prevalent and toxic PBDE congeners in the environment, 2,2',4,4'
tetrabromodiphenyl ether (BDE-47) and its oxidative stress deserves more
attention. In plants, the glutathione-ascorbate (GSH-AsA) cycle plays an
important role in the defensive processes against oxidative stress. However, the
importance of this cycle in mangroves to defend against PBDE toxicity has not
been reported. We conducted a study to evaluate the effects of BDE-47 on GSH-AsA
cycle-related antioxidants in a mangrove species, namely Sheue, H.Y. Liu & J.
Yong. An 8-wk hydroponic culture experiment was conducted with 1-yr-old seedlings
of exposed to five levels of BDE-47 contamination. At the two high BDE-47 levels
(5 and 10 mg L), seedling growth, expressed as dry biomass of leaves and roots,
was suppressed from Weeks 4 to 8. Parameters in the GSH-AsA cycle in roots and
leaves changed significantly within the first week after exposure, indicating
that they were more sensitive indicators to BDE-47 toxicity than growth. The
suppression of seedling growth, expressed as final biomass production, at the end
of the 8-wk experiment was positively correlated to the antioxidative responses
in the first week, confirming the indicative roles of these antioxidants. This is
the first study to demonstrate that GSH-AsA cycle-related antioxidants in
mangrove plants are sensitive indicators of BDE-47 toxicity. These antioxidants,
in particular, ascorbate and glutathione peroxidase, could provide early warning
of the toxicity of PBDEs.
PMID- 29634797
TI - Solid Cattle Manure Less Prone to Phosphorus Loss in Tile Drainage Water.
AB - Forms (e.g., liquid and solid) of manure influence the risk of P loss after land
application. The objective of this study was to investigate the effects of P
based application of various forms of cattle manure (liquid, LCM; or solid, SCM)
or inorganic P as triple superphosphate (IP) on soil P losses in tile drainage
water. A 4-yr field experiment was conducted in a clay loam soil with a corn (
L.)-soybean [ (L.) Merr.] rotation in the Lake Erie basin. Over the 4 yr, the
dissolved reactive P (DRP) flow-weighted mean concentration (FWMC) in tile
drainage water was greater under SCM fertilization than under either IP or LCM
fertilization. Despite its lower value on an annual basis, DRP FWMC rose
dramatically immediately after LCM application. However, the differences in DRP
FWMC did not result in detectable differences in DRP loads. Regarding particulate
P and total P losses during the 4 yr, they were 68 and 47%, respectively, lower
in the soils amended with SCM than in those with IP, whereas both values were
similar between IP and LCM treatments. Overall, the P contained in solid cattle
manure was less prone to P loss after land application. Accordingly, the present
results can provide a basis for manure storage and application of best management
practices designed to reduce P losses and improve crop growth.
PMID- 29634798
TI - Influence of Vegetation on Long-term Phosphorus Sequestration in Subtropical
Treatment Wetlands.
AB - Sustainable operation of a treatment wetland depends on its continued treatment
of influent water to achieve desired outflow water quality targets. Water
treatment or nutrient reduction is attained by a combination of biotic and
abiotic processes. We studied one of the world's largest treatment wetlands
established to revive the Florida Everglades from impacts of excessive phosphorus
(P) inputs. Phosphorus retained in the treatment wetlands is sequestered within
the accumulated material via biotic and abiotic pathways that are influenced by
the existing wetland vegetation. Recently accreted soils (RAS) provide a major
sink for stored P, and long-term P removal efficiency of treatment wetlands is
governed by the stability of accreted P because more stable P pools are less
susceptible to mobilization and loss. We quantified reactive P (extracted with
acid and alkali) and nonreactive P (not extracted with acid and alkali) pools in
wetland soils by using an operationally defined P fractionation scheme and
assessed the effect of emergent vs. submerged vegetation communities on stability
of sequestered P. Reactive P comprised 63 to 79% of total P in wetland soils
without a clear difference between two vegetation groups. The quantities of
reactive P forms (inorganic vs. organic P) were significantly different between
two vegetation types. A higher proportion of reactive P was stored as organic P
in flocculent detrital organic matter (floc) and RAS under emergent vegetation
(46-47% total P) in comparison with submerged vegetation (21-34% total P). The
dominant P removal pathway in the submerged vegetation system was associated with
calcium whereas plant uptake and peat burial appeared to be the main pathway in
the emergent vegetation system.
PMID- 29634799
TI - Metals in Soil and Runoff from a Piedmont Hay Field Amended with Broiler Litter
and Flue Gas Desulfurization Gypsum.
AB - Flue gas desulfurization gypsum (FGDG) from coal-fired power plants is readily
available for agricultural use in many US regions. Broiler litter (BL) provides
plant available N, P, and K but can be a source of unwanted As, Cu, and Zn. As a
source of Ca and S, FGDG can reduce losses of P and other elements in runoff from
BL-amended areas. Rainfall simulation plots (2.0 m) were established on a
Piedmont Cecil soil growing 'Coastal' bermudagrass ( L.) for hay. Accumulation
and transport of As, Cu, Cd, Cr, Hg, Pb, and Zn were evaluated after annual BL
applications (13.5 Mg ha) with four FGDG rates (0, 2.2, 4.5, 9.0 Mg ha) and two
FGDG treatments (0 and 9 Mg ha) without BL. Runoff As concentrations were sixfold
greater with BL than without ( <= 0.01) and were similar to BL with FGDG at 2.2,
4.5 or 9.0 Mg ha ( <= 0.10). Runoff concentrations of target elements did not
increase where FGDG was applied alone. After three annual applications of FGDG
and BL, soil concentrations of As, Cr, Pb, Hg, and Cu were well below levels of
environmental concern. Our findings indicate that runoff losses of As from BL
application are not reduced with FGDG but support other research indicating no
identifiable environmental risks from FGDG beneficial use in agricultural
systems.
PMID- 29634800
TI - Limited Dissolved Phosphorus Runoff Losses from Layered Double Hydroxide and
Struvite Fertilizers in a Rainfall Simulation Study.
AB - The enrichment of P in surface waters has been linked to P runoff from
agricultural fields amended with fertilizers. Novel slow-release mineral
fertilizers, such as struvite and P-exchanged layered double hydroxides (LDHs),
have received increasing attention for P recycling from waste streams, and these
fertilizers may potentially reduce the risk of runoff losses. Here, a rainfall
simulation experiment was performed to evaluate P runoff associated with the
application of recycled slow-release fertilizers relative to that of a soluble
fertilizer. Monoammonium phosphate (MAP), struvite, and LDH granular fertilizers
were broadcasted at equal total P doses on soil packed in trays (5% slope) and
covered with perennial ryegrass ( L.). Four rainfall simulation events of 30 min
were performed at 1, 5, 15, and 30 d after the fertilizer application. Runoff
water from the trays was collected, filtered, and analyzed for dissolved P. For
the MAP treatment, P runoff losses were high in the first two rain events and
leveled off in later rain events. In total, 42% of the applied P in the MAP
treatment was lost due to runoff. In the slow-release fertilizer treatments, P
runoff losses were limited to 1.9 (struvite) and 2.4% (LDH) of the applied doses
and were more similar over the different rain events. The use of these novel P
fertilizer forms could be beneficial in areas with a high risk of surface water
eutrophication and a history of intensive fertilization.
PMID- 29634801
TI - Effects of Land-Applied Ammonia Scrubber Solutions on Yield, Nitrogen Uptake,
Soil Test Phosphorus, and Phosphorus Runoff.
AB - Ammonia (NH) scrubbers reduce amounts of NH and dust released from animal rearing
facilities while generating nitrogen (N)-rich solutions, which may be used as
fertilizers. The objective of this study was to determine the effects of various
NH scrubber solutions on forage yields, N uptake, soil-test phosphorus (P), and P
runoff. A small plot study was conducted using six treatments: (i) an
unfertilized control, (ii) potassium bisulfate (KHSO) scrubber solution, (iii)
aluminum sulfate [Al(SO) ?14HO, alum] scrubber solution, (iv) sodium bisulfate
(NaHSO) scrubber solution, (v) sulfuric acid (HSO) scrubber solution, and (vi)
ammonium nitrate (NHNO) fertilizer. The scrubber solutions were obtained from ARS
Air Scrubbers attached to commercial broiler houses. All N sources were applied
at a rate of 112 kg N ha. Plots were harvested approximately every 4 wk and soil
test P measurements were made, then a rainfall simulation study was conducted.
Cumulative forage yields were greater ( < 0.05) for KHSO (7.6 Mg ha) and NaHSO
(7.5 Mg ha) scrubber solutions than for alum (6.7 Mg ha) or HSO (6.5 Mg ha)
scrubber solutions or for NHNO (6.9 Mg ha). All N sources resulted in higher
yields than the control (5.1 Mg ha). The additional potassium in the KHSO
treatment likely resulted in higher yields. Although Mehlich-III-extractable P
was not affected, water-extractable P in soil was lowered by the alum-based
scrubber solution, which also resulted in lower P runoff. This study demonstrates
that N captured using NH scrubbers is a viable N fertilizer.
PMID- 29634802
TI - Fate of Manure-Borne Pathogens during Anaerobic Digestion and Solids Separation.
AB - Anaerobic digestion can inactivate zoonotic pathogens present in cattle manure,
which reduces transmission of these pathogens from farms to humans through the
environment. However, the variability of inactivation across farms and over time
is unknown because most studies have examined pathogen inactivation under ideal
laboratory conditions or have focused on only one or two full-scale digesters at
a time. In contrast, we sampled seven full-scale digesters treating cattle manure
in Wisconsin for 9 mo on a biweekly basis ( = 118 pairs of influent and effluent
samples) and used real-time quantitative polymerase chain reaction to analyze
these samples for 19 different microbial genetic markers. Overall, inactivation
of pathogens and fecal indicators was highly variable. When aggregated across
digester and season, log-removal values for several representative microorganisms
bovine , -like CowM3, and bovine polyomavirus-were 0.78 +/- 0.34, 0.70 +/- 0.50,
and 0.53 +/- 0.58, respectively (mean +/- SD). These log-removal values were up
to two times lower than expected based on the scientific literature. Thus, our
study indicates that full-scale anaerobic digestion of cattle manure requires
optimization with regard to pathogen inactivation. Future studies should focus on
identifying the potential causes of this suboptimal performance (e.g.,
overloading, poor mixing, poor temperature control). Our study also examined the
fate of pathogens during manure separation and found that the majority of
microbes we detected ended up in the liquid fraction of separated manure. This
finding has important implications for the transmission of zoonotic pathogens
through the environment to humans.
PMID- 29634804
TI - ACS324.1 JOURNAL OF ENVIRONMENTAL QUALITY EDITORIAL BOARD 2017 Annual Reports.
PMID- 29634803
TI - Impact of Nitrogen Application Rate on Switchgrass Yield, Production Costs, and
Nitrous Oxide Emissions.
AB - Switchgrass ( L.) has been promoted as a potential feedstock for cellulosic
biofuel in the United States. Switchgrass is known to respond to N fertilizer,
but optimal rates remain unclear. Given the potential nonlinear response of
nitrous oxide (NO) emissions to N inputs, N additions to switchgrass above
optimal levels could have large impacts on the greenhouse gas balance of
switchgrass-based biofuel. Additionally, N additions are likely to have large
impacts on switchgrass production costs. Yield, N removal, and net returns were
measured in switchgrass receiving 0 to 200 kg N ha in Manhattan, KS, from 2012 to
2014. Emissions of NO were measured in the 0- to 150-kg N ha treatments. Total
emissions of NO increased from 0.2 to 3.0 kg NO-N ha as N inputs increased from 0
to 150 kg N ha. The 3-yr averages of fertilizer-induced emission factors were
0.7, 2.1, and 2.6% at 50, 100, and 150 kg N ha, respectively. Removal of N at
harvest increased linearly with increasing N rate. Switchgrass yields increased
with N inputs up to 100 to 150 kg N ha, but the critical N level for maximum
yields decreased each year, suggesting that N was being applied in excess at
higher N rates. Net returns were maximized at 100 kg N ha at both a high and low
urea cost (US$394.71 and $945.91 ha, respectively). These results demonstrate
that N inputs were necessary to increase switchgrass productivity, but rates
exceeding optimal levels resulted in excessive NO emissions and increased costs
for producers.
PMID- 29634805
TI - Modeling Phosphorus Losses through Surface Runoff and Subsurface Drainage Using
ICECREAM.
AB - Modeling soil phosphorus (P) losses by surface and subsurface flow pathways is
essential in developing successful strategies for P pollution control. We used
the ICECREAM model to simultaneously simulate P losses in surface and subsurface
flow, as well as to assess effectiveness of field practices in reducing P losses.
Monitoring data from a mineral-P-fertilized clay loam field in southwestern
Ontario, Canada, were used for calibration and validation. After careful
adjustment of model parameters, ICECREAM was shown to satisfactorily simulate all
major processes of surface and subsurface P losses. When the calibrated model was
used to assess tillage and fertilizer management scenarios, results point to a
10% reduction in total P losses by shifting autumn tillage to spring, and a 25.4%
reduction in total P losses by injecting fertilizer rather than broadcasting.
Although the ICECREAM model was effective in simulating surface and subsurface P
losses when thoroughly calibrated, further testing is needed to confirm these
results with manure P application. As illustrated here, successful use of
simulation models requires careful verification of model routines and
comprehensive calibration to ensure that site-specific processes are accurately
represented.
PMID- 29634806
TI - Chemical Characterization and Source Apportionment of PM2.5 in a Nonattainment
Rocky Mountain Valley.
AB - Severe air pollution has significant adverse health effects and poses a threat to
public health in many communities, including nonattainment areas in the Unites
States. To develop effective control strategies to reduce air pollution with
minimum economic cost, one of the biggest challenges is to quantify the
contributions from different sources. By combining chemical analyses, Positive
Matrix Factorization modeling, and emission inventory development, this study
identified primary and secondary sources of particulate matter with a diameter of
<2.5 MUm (PM) in a nonattainment Rocky Mountain valley (i.e., West Silver Valley
[WSV]) in Idaho. The results show that biomass burning is the dominant source and
contributes ~84% of the PM concentration in the valley. The study also identified
influences on the WSV PM concentrations from traffic (7.4%), soil dust (3.4%),
and secondary aerosols (4.8%). The results of this paper represent the first
report on the chemical composition and source apportionment of PM in mountain
valleys of northern Idaho and have been used to develop effective strategies to
reduce the PM concentrations in the WSV. Moreover, this study provides detailed
equations and methods in PM speciation, accounting for artifacts of the chemical
analysis, Positive Matrix Factorization modeling, and emission inventory
development, which can be used for source apportionment of severe air pollution
in other regions.
PMID- 29634807
TI - Mechanisms of Loss of Agricultural Odorous Compounds in Sample Bags of Nalophan,
Tedlar, and PTFE.
AB - Alteration of the chemical composition of odor samples during storage in polymer
sample bags can significantly impair the accuracy of subsequent odor evaluations.
To overcome or minimize this effect, the mechanisms determining compound loss
must be more thoroughly understood. The present study examines the storage
stability of a selection of key odorants from livestock production in polymer
sample bags of Nalophan, Tedlar, and polytetrafluoroethylene (PTFE). The
compounds included are acetic acid, butanoic acid, propanoic acid, 3
methylbutanoic acid, hydrogen sulfide, methanethiol, dimethyl sulfide,
trimethylamine, and 4-methylphenol. The fate of the unrecovered compound
fractions is clarified by means of thermal desorption and concentric double bags,
allowing estimation of the magnitude of losses due to adsorption and diffusion,
respectively. The degree of recovery was found to be PTFE > Tedlar > Nalophan,
and smaller ratios of bag surface area to sample volume improved the recovery
significantly. Furthermore, PTFE bags were found far superior for maintaining the
original sample humidity and for storing 4-methylphenol. Analysis of sample
humidity, partitioning coefficients, and thermal desorption suggested that the
loss in PTFE bags was mainly controlled by adsorption, whereas for Nalophan and
Tedlar, compound loss is a combined effect of adsorption and diffusion. It is
suggested to heat the bags when evacuating the sample for analysis, as this was
found to improve the recovery significantly. For a 5-L PTFE bag, all odorants
could be found at concentration levels between 71.6 and 98.8% even after 48 h of
storage when heated to 57 degrees C prior to analysis.
PMID- 29634808
TI - Mineral Fertilizer and Manure Effects on Leached Inorganic Nitrogen, Nitrate
Isotopic Composition, Phosphorus, and Dissolved Organic Carbon under Furrow
Irrigation.
AB - A better understanding of nutrient leaching in furrow irrigated agriculture is
needed to optimize fertilizer use and avoid contamination of water supplies. In
this field study (2003-2006), we measured deep percolation fluxes at 1.2-m depth
and associated nutrient concentrations and mass losses from dairy manure nitrogen
(N) or mineral N (urea, sodium nitrate [NaNO])-amended soils (372 kg available N
ha in 4 yr) and nonamended controls and determined the deltaN-NO and deltaO-NO
isotope ratios in the leached nitrate. Flow-weighted concentration means for
individual irrigations varied widely, from near zero to as much as 250 mg L for
NO-N, 480 MUg L for dissolved reactive phosphorus (DRP), 43 mg L for dissolved
organic C (DOC), and 390 mg L for chloride (Cl). Relative to other treatments,
mineral fertilizer increased NO-N concentrations 2.6- to 3-fold and Cl
concentrations 2.6- to 3.6-fold in deep leachate, particularly when NaNO was
applied in 2004 and 2006, and produced maximum mean season-long NO-N and Cl
losses. Manure and control treatments produced similar leachate nutrient mass
losses, and for some irrigation periods, mineral fertilizer produced 85 and 97%
lesser DRP losses and two times greater Cl losses compared with manure and
control treatments. Four-year cumulative losses among treatments differed only
for Cl. Isotopic composition of deep-leached nitrate indicates that both
transformation and biologic cycling of mineral and manure N are rapid in these
soils, which, with percolation volume, influence the amounts of NO-N and DOC
leached. In light of the potential negative effects associated with either
fertilizer type, and because even nonamended soils produced substantial amounts
of leached NO-N (69.5 kg ha yr), management must minimize percolation water
losses to limit nutrient losses from these fertilized, furrow-irrigated soils.
PMID- 29634809
TI - Chloromethane Degradation in Soils: A Combined Microbial and Two-Dimensional
Stable Isotope Approach.
AB - Chloromethane (CHCl, methyl chloride) is the most abundant volatile halocarbon in
the atmosphere and involved in stratospheric ozone depletion. The global CHCl
budget, and especially the CHCl sink from microbial degradation in soil, still
involves large uncertainties. These may potentially be resolved by a combination
of stable isotope analysis and bacterial diversity studies. We determined the
stable isotope fractionation of CHCl hydrogen and carbon and investigated
bacterial diversity during CHCl degradation in three soils with different
properties (forest, grassland, and agricultural soils) and at different
temperatures and headspace mixing ratios of CHCl. The extent of chloromethane
degradation decreased in the order forest > grassland > agricultural soil. Rates
ranged from 0.7 to 2.5 MUg g dry wt. d for forest soil, from 0.1 to 0.9 MUg g dry
wt. d for grassland soil, and from 0.1 to 0.4 MUg g dry wt. d for agricultural
soil and increased with increasing temperature and CHCl supplementation. The
measured mean stable hydrogen enrichment factor of CHCl of -50 +/- 130/00 was
unaffected by temperature, mixing ratio, or soil type. In contrast, the stable
carbon enrichment factor depended on CHCl degradation rates and ranged from -38
to -110/00. Bacterial community composition correlated with soil properties was
independent from CHCl degradation or isotope enrichment. Nevertheless, increased
abundance after CHCl incubation was observed in 21 bacterial operational
taxonomical units (OTUs at the 97% 16S RNA sequence identity level). This
suggests that some of these bacterial taxa, although not previously associated
with CHCl degradation, may play a role in the microbial CHCl sink in soil.
PMID- 29634810
TI - Enhanced Dissipation of Selected Herbicides in a Simulated Organic Matrix Biobed:
A System to Control On-Farm Point-Source Pollution.
AB - Most farms have a centralized location to fill spray tanks with pesticides and to
flush and clean application equipment. These sites, depending on the frequency of
use, could be significant sources of surface and groundwater contamination. One
approach to minimize this contamination is to install a treatment system, such as
a biobed. This study sought to construct a biobed and test the effects of
different biomix materials in enhancing the dissipation of herbicides widely used
in crop production. The four types of biomix evaluated had mixing ratios by
volume of (1) 12.5% straw:62.5% soil:25% peat, (2) 25% straw:50% soil:25% peat,
(3) 12.5% straw:62.5% soil:25% compost, and (4) 25% straw:50% soil:25% compost.
The dissipation rates of acetochlor, atrazine, pendimethalin, and trifluralin at
different incubation times over 90 d were evaluated. The dissipation of atrazine
and pendimethalin in the biomixes were faster than in soil. The half-lives for
atrazine were 27.8 d in soil and 14.3 to 20.2 d in the biomixes and those of
pendimethalin were 25.5 d in soil and 11.9 to 14.8 d in the biomixes. The
dissipation rates and half-lives of acetochlor were similar to those in soil; the
trifluralin dissipation rates were slower in the biomixes. The phenol oxidase
activity was higher in the peat biomixes than in those containing compost. The
results showed that biobed materials, especially those with peat, are effective
in degrading selected herbicides.
PMID- 29634811
TI - Using Heart Pump Data to Manage Cardiogenic Shock.
PMID- 29634812
TI - Patient-Derived Organoids Predict Cancer Treatment Response.
PMID- 29634813
TI - Personal Genomics and Cryptocurrency Team Up.
PMID- 29634815
TI - Incorrect Data Reported.
PMID- 29634814
TI - A 58-Year-Old Man With a Macerated Rash of the Neck and Axilla.
PMID- 29634816
TI - Toward a United States of Health: Implications of Understanding the US Burden of
Disease.
PMID- 29634818
TI - Sequencing of Tumor DNA to Guide Cancer Risk Assessment and Therapy.
PMID- 29634819
TI - Queries and Minor Notes.
PMID- 29634820
TI - Queries and Minor Notes.
PMID- 29634821
TI - Acute Malnutrition and Anemia Among Rohingya Children in Kutupalong Camp,
Bangladesh.
PMID- 29634822
TI - Fracking and Climate Change.
PMID- 29634823
TI - Diagnosing Acute Mountain Sickness.
PMID- 29634824
TI - Treatment Approaches for Malignant Pleural Effusion.
PMID- 29634825
TI - Fracking and Climate Change-Reply.
PMID- 29634826
TI - Diagnosing Acute Mountain Sickness-Reply.
PMID- 29634827
TI - Treatment Approaches for Malignant Pleural Effusion.
PMID- 29634828
TI - Benralizumab (Fasenra) for Severe Eosinophilic Asthma.
PMID- 29634830
TI - Medications for Asthma.
PMID- 29634832
TI - A Third Option.
PMID- 29634834
TI - Lasting Effects of Childhood TBI.
PMID- 29634835
TI - Risks of "Raw Water".
PMID- 29634836
TI - Simulation of the Effect of Different Presbyopia-Correcting Intraocular Lenses
With Eyes With Previous Laser Refractive Surgery.
AB - PURPOSE: To simulate the optical performance of three presbyopia-correcting
intraocular lenses (IOLs) implanted in eyes with previous laser refractive
surgery. METHODS: A simulation of the through-focus modulation transfer function
(MTF) was performed for three presbyopia-correcting IOLs (Mplus, Oculentis GmbH,
Berlin, Germany; Symfony, Johnson & Johnson Vision, Santa Ana, CA; and Mini Well,
SIFI S.p.A., Lavinaio, Italy) in one eye with previous myopic LASIK and another
with hyperopic LASIK. Real topographic data and the wavefront aberration profile
of each IOL obtained with a Hartmann-Shack sensor were used. RESULTS: In the eye
with myopic LASIK, all IOLs lost optical quality at near and intermediate
distances for 4- and 4.7-mm pupil size. For 3-mm pupil size, the Mini Well IOL
showed the best intermediate and near MTF and maintained the far focus
independently of the pupil. In the eye with hyperopic LASIK, the Mini Well IOL
showed an intermediate, distance, and -4.00-diopter (D) foci for all pupils. The
Symfony IOL showed a depth of focus at far and intermediate distance for 3-mm and
a focus at -2.50 D in the rest. The Mplus showed a focus of -4.50 and -3.00 D for
the 3- and 4-mm pupil, respectively. CONCLUSIONS: The Mini Well and Symfony IOLs
seem to work better than the Mplus IOL in eyes with previous myopic LASIK. With
previous hyperopic LASIK, the Mini Well IOL seems to be able to provide
acceptable near, intermediate, and far foci for all pupil sizes. These findings
should be confirmed in future clinical studies. [J Refract Surg. 2018;34(4):222
227.].
PMID- 29634837
TI - Visual Performance of a New Extended Depth-of-Focus Intraocular Lens Compared to
a Distance-Dominant Diffractive Multifocal Intraocular Lens.
AB - PURPOSE: To investigate the clinical performance of a new extended depth-of-focus
(EDOF) intraocular lens (IOL) and compare it to that of a distance-dominant
diffractive multifocal IOL. METHODS: Patients implanted with an EDOF IOL (Mini
Well; SIFI, Catania, Italy) inducing spherical aberration and with a multifocal
IOL (ReSTOR SV25T; Alcon Laboratories, Inc., Fort Worth, TX) were analyzed. The
following monocular parameters were investigated: corrected distance visual
acuity (CDVA), distance-corrected near visual acuity (DCNVA), reading speed,
defocus curve, contrast sensitivity, and halos and glare as quantified by a
simulator (Halo & Glare Simulator; Eyeland-Design Network GmbH, Vreden, Germany)
and questionnaire. RESULTS: Twenty patients with the EDOF IOL and 37 with the
multifocal IOL were enrolled. No statistically significant difference was
observed for CDVA. The defocus curve of the EDOF IOL revealed no gaps for the
intermediate range. Statistically significant differences were observed at -1.00
diopter (D) (EDOF IOL: 0.08 +/- 0.09 logMAR; multifocal IOL: 0.21 +/- 0.12
logMAR; P < .0001) and -1.50 D defocus (EDOF IOL: 0.15 +/- 0.11 logMAR;
multifocal IOL: 0.24 +/- 0.13 logMAR; P = .0122). The reading speed at 40 cm was
similar at all print sizes. The mean DCNVA was the same (EDOF IOL: 0.35 +/- 0.14
logRAD, multifocal IOL: 0.35 +/- 0.13 logRAD). No differences in contrast
sensitivity were detected. According to the simulator, halos had a smaller mean
size (P = .0439) and a lower mean intensity (P = .0222) with the EDOF IOL. No
statistically significant differences were detected for glare size. CONCLUSIONS:
The new EDOF IOL performed similarly to a multifocal IOL at distance and near but
was superior at intermediate distances. [J Refract Surg. 2018;34(4):228-235.].
PMID- 29634838
TI - Through-Focus Optical Bench Performance of Extended Depth-of-Focus and Bifocal
Intraocular Lenses Compared to a Monofocal Lens.
AB - PURPOSE: To analyze the optical performance and the effect of halos on modulation
transfer function (MTF) of an extended depth-of-focus (EDOF) intraocular lens
(IOL) compared to low add bifocal, high add bifocal, and monofocal IOLs. METHODS:
The optical bench system was set up to evaluate the MTF and point spread function
images for analyzing halos around the focused image with four different IOLs
(TECNIS ZCB00, ZXR00, ZKB00, and ZMB00; Abbott Medical Optics, Inc., Santa Ana,
CA). They were measured within a defocus range from +0.50 to -4.00 diopters (D).
RESULTS: The EDOF IOL showed good and stable image quality from far to
intermediate distance. The near visual performance was limited with the EDOF IOL
compared to low add and high add power bifocal IOLs. Monofocal and EDOF IOLs
focused light more tightly at far distance and showed higher intensity at the
core compared to low and high add bifocal IOLs. The peak core intensity and the
relative halo intensity of the EDOF IOL were comparable to those obtained from
the monofocal IOL. A negative significant correlation was found in all IOLs
between the relative halo intensity and MTF within a defocus diopter range from
0.00 to -3.00 D (P < .05). CONCLUSIONS: The EDOF IOL had distance acuity optical
quality and halo effect similar to monofocal IOLs but worse near acuity compared
to conventional bifocal IOLs. [J Refract Surg. 2018;34(4):236-243.].
PMID- 29634839
TI - Biological Lenticule Implantation for Correction of Hyperopia: An Ex Vivo Study
in Human Corneas.
AB - PURPOSE: To evaluate changes in corneal tomography after stromal lenticule
implantation ex vivo, with respect to the dependency of the lenticule thickness
and implantation depth on the corneal curvature and the postoperative
biomechanical strength at increased chamber pressure. METHODS: Twenty-eight human
donor corneas underwent pocket implantation of refractive stromal lenticules.
Four groups were created by the combination of two implantation depths (110 and
160 um) and two lenticule thicknesses (95 um = 4.00 diopters [D], 150 um = 8.00
D). Sagittal keratometry and total corneal refractive power (TCRP4mm,apex,zone)
were obtained for the front and back curvature with Pentacam HR (Oculus
Optikgerate GmbH, Wetzlar, Germany) at chamber pressures of 15 and 40 mm Hg.
RESULTS: The anterior curvature steepening was comparable between the 4.00 D and
8.00 D groups (P > .141), but more pronounced with 110 um implantation depth (P <
.038). The posterior curvature flattened significantly more after implantation of
8.00 D than 4.00 D lenticules (P < .002), but was similar at 110 and 160 um
implantation depths (P > .071). Average DeltaTCRP for the 4.00 D and 8.00 D
groups was 3.10 +/- 0.60 and 5.30 +/- 1.66 diopters (D) at 110-um depth,
respectively (P = .003), but 1.99 +/- 0.79 and 3.36 +/- 1.45 D at 160-um depth,
respectively (P = .066). The relative correction achieved was 66% to 78% at 110
um depth and 42% to 50% at 160-um depth, but similar when using 4.00 D and 8.00 D
lenticules. Increased chamber pressure caused significant anterior and posterior
curvature steepening after implantation in all four groups (P < .001), but not
before implantation (P > .632). CONCLUSIONS: The power of the implanted lenticule
must be higher than the intended correction, and customized to the chosen
implantation depth. Biomechanical strength seems to decrease after lenticule
implantation. [J Refract Surg. 2018;34(4):245-252.].
PMID- 29634840
TI - Early Tomographic Changes in the Eyes of Patients With Keratoconus.
AB - PURPOSE: To identify tomographic variables best suited for detecting keratoconus
before manifestation of ectatic changes and showing disease progression in the
early stage. METHODS: Twenty-seven patients with diagnosed unilateral keratoconus
were followed up for their fellow eye, which had not yet shown any ectatic
changes, to determine initial change indicators toward keratoconus disease.
Variables were compared to 50 normal eyes without any known disease. A following
receiver operating characteristic (ROC) analysis was performed to reveal the
variables best used to discriminate healthy eyes from early ectatic eyes.
RESULTS: The calculated mean difference of the cylinder for total corneal
refractive power was only 0.07 +/- 0.32 diopters (D) (anterior astigmatism = 0.12
+/- 0.28 D and posterior astigmatism = 0.02 +/- 0.10 D). ROC revealed the index
of height decentration with an area under the curve of 0.788 +/- 0.054 as the
most suitable to differentiate between eyes of healthy patients and the non
ectatic eye of patients with asymmetrical keratoconus, followed by the index of
vertical asymmetry of 0.772 +/- 0.057 and a keratoconus index of 0.743 +/- 0.062.
However, with progression of the eyes into early ectactic stages, the ROC showed
the highest area under the curve for D-index (0.876 +/- 0.039), followed by index
of height decentration (0.855 +/- 0.046) and index of vertical asymmetry (0.842
+/- 0.046). CONCLUSIONS: Early stages of keratoconus are hard to diagnose and
best results can be achieved by using index of height decentration and index of
vertical asymmetry. As the disease progresses, D-index is better suited to
diagnose an ectasia. Astigmatism, keratometry, and pachymetry barely change in
the early stages, so these values are not as fitting as corneal elevation
parameters for early diagnosis. [J Refract Surg. 2018;34(4):254-259.].
PMID- 29634841
TI - Variation in the Best Fit Sphere Radius of Curvature as a Test to Detect
Keratoconus Progression on a Scheimpflug-Based Corneal Tomographer.
AB - PURPOSE: To evaluate the variation in the best fit sphere radius (BFSR) of
curvature on a Scheimpflug corneal tomographer as a test to detect keratoconus
progression. METHODS: In this retrospective, comparative, case-control study, two
groups of eyes with stable or progressive keratoconus were identified based on
keratometric, refractive, and visual acuity criteria. Two sequential scans were
used to assess the variation in topometric variables. Receiver operating
characteristic analysis was conducted for anterior and posterior BFSR values.
RESULTS: A total of 94 eyes of 62 patients were included in the study; 43 eyes
were included in the progressive group and 51 eyes in the stable group. In the
progressive group, these differences were found to be statistically significant
in sequential scans for the analyzed variables: steepest axis keratometry value
(K2) = 1.94 +/- 1.70 D (P < .001), maximum keratometry value (Kmax) = 2.62 +/-
3.08 D (P <= .001), apex pachymetry = 12.233 +/- 13.728 um (P <= .001), anterior
BFSR = 0.116 +/- 0.107 mm (P <= .001) and posterior BFSR = 0.082 +/- 0.075 mm (P
<= .001). In the stable group, changes in K2, Kmax, apex pachymetry, anterior
BFSR, and posterior BFSR were not found to be statistically significant. Analysis
of the area under the receiver operating characteristic curve (AUROC) showed that
the best tests for discriminating between progressive and stable groups were the
variation in the anterior BFSR and variation in K2 (AUROC = 0.940, 95% confidence
interval [CI] = 0.884 to 0.996 and AUROC = 0.935, 95% CI = 0.881 to 0.990,
respectively). Variation in the posterior BFSR had an AUROC of 0.863 with a 95%
CI of 0.775 to 0.950. CONCLUSIONS: Steepening of the BFSR of anterior and
posterior surface elevation maps could be used as indices for keratoconous
progression. [J Refract Surg. 2018;34(4):260-263.].
PMID- 29634829
TI - The State of US Health, 1990-2016: Burden of Diseases, Injuries, and Risk Factors
Among US States.
AB - Introduction: Several studies have measured health outcomes in the United States,
but none have provided a comprehensive assessment of patterns of health by state.
Objective: To use the results of the Global Burden of Disease Study (GBD) to
report trends in the burden of diseases, injuries, and risk factors at the state
level from 1990 to 2016. Design and Setting: A systematic analysis of published
studies and available data sources estimates the burden of disease by age, sex,
geography, and year. Main Outcomes and Measures: Prevalence, incidence,
mortality, life expectancy, healthy life expectancy (HALE), years of life lost
(YLLs) due to premature mortality, years lived with disability (YLDs), and
disability-adjusted life-years (DALYs) for 333 causes and 84 risk factors with
95% uncertainty intervals (UIs) were computed. Results: Between 1990 and 2016,
overall death rates in the United States declined from 745.2 (95% UI, 740.6 to
749.8) per 100 000 persons to 578.0 (95% UI, 569.4 to 587.1) per 100 000 persons.
The probability of death among adults aged 20 to 55 years declined in 31 states
and Washington, DC from 1990 to 2016. In 2016, Hawaii had the highest life
expectancy at birth (81.3 years) and Mississippi had the lowest (74.7 years), a
6.6-year difference. Minnesota had the highest HALE at birth (70.3 years), and
West Virginia had the lowest (63.8 years), a 6.5-year difference. The leading
causes of DALYs in the United States for 1990 and 2016 were ischemic heart
disease and lung cancer, while the third leading cause in 1990 was low back pain,
and the third leading cause in 2016 was chronic obstructive pulmonary disease.
Opioid use disorders moved from the 11th leading cause of DALYs in 1990 to the
7th leading cause in 2016, representing a 74.5% (95% UI, 42.8% to 93.9%) change.
In 2016, each of the following 6 risks individually accounted for more than 5% of
risk-attributable DALYs: tobacco consumption, high body mass index (BMI), poor
diet, alcohol and drug use, high fasting plasma glucose, and high blood pressure.
Across all US states, the top risk factors in terms of attributable DALYs were
due to 1 of the 3 following causes: tobacco consumption (32 states), high BMI (10
states), or alcohol and drug use (8 states). Conclusions and Relevance: There are
wide differences in the burden of disease at the state level. Specific diseases
and risk factors, such as drug use disorders, high BMI, poor diet, high fasting
plasma glucose level, and alcohol use disorders are increasing and warrant
increased attention. These data can be used to inform national health priorities
for research, clinical care, and policy.
PMID- 29634842
TI - The Relationship Between Mechanical Properties, Ultrastructural Changes, and
Intrafibrillar Bond Formation in Corneal UVA/Riboflavin Cross-linking Treatment
for Keratoconus.
AB - PURPOSE: To determine the relationship between mechanical behavior in cross
linked corneas and changes in the corneal ultrastructure after corneal cross
linking (CXL). METHODS: Porcine corneas were treated following the "Dresden"
protocol, the current gold standard for clinical treatment, consisting of
dropwise application of 0.1% riboflavin in 20% dextran followed by 30 minutes of
ultraviolet-A (UVA) irradiation. The effect of CXL was assessed using uniaxial
tensile testing, transmission electron microscopy, and Fourier transform infrared
spectroscopy, with results compared against corneas treated with each of the
treatment solution components individually. RESULTS: UVA/riboflavin cross-linked
corneas displayed 28% +/- 17% increase in the material tangent modulus compared
with dextran treatment alone, and altered collagen architecture within the first
300 um of stromal depth consisting of 5% increase in the thickness of collagen
fibrils, no significant changes to interfibrillar spacing, and an 8% to 12%
decrease in number of fibrils per unit area. Fourier transform infrared
spectroscopy confirmed formation of interfibrillar bonds (P = .012) induced by
UVA-mediated CXL. CONCLUSIONS: The data support a model wherein collagen fibril
diameter and structural density are fundamental parameters in defining tissue
stiffening following UVA/riboflavin CXL and provide benchmarks against which
modifications to the Dresden CXL protocol can be evaluated. [J Refract Surg.
2018;34(4):264-272.].
PMID- 29634843
TI - Comparison of the Visual Performance After Implantation of Bifocal and Trifocal
Intraocular Lenses Having an Identical Platform.
AB - PURPOSE: To compare the visual performance after bilateral implantation of a
diffractive bifocal or trifocal intraocular lens (IOL) from the same manufacturer
using the same IOL platform. METHODS: This prospective, non-randomized,
controlled study involved patients who had cataract surgery with bilateral
implantation of bifocal or trifocal IOLs. The near, intermediate, and distance
visual acuities, defocus curve, optical quality including modulation transfer
functions and higher-order aberrations, National Eye Institute Visual Functioning
Questionnaire-14, patient satisfaction, spectacle independence, and perception of
visual disturbances were assessed in all patients. RESULTS: Fifty eyes (25
patients) were implanted with a diffractive trifocal IOL (AT LISA tri 839MP; Carl
Zeiss Meditec, Jena, Germany) and 60 eyes (30 patients) with a diffractive
bifocal IOL (AT LISA 809M; Carl Zeiss Meditec). The follow-up was 3 months. No
statistically significant difference was found in distance or near visual acuity
between the two groups (P >= .05). Uncorrected, corrected, and distance-corrected
intermediate visual acuities were significantly better in the trifocal IOL group
(P < .01). In the binocular defocus curve, the visual acuity was also
significantly better for defocus of -1.00 to -2.00 diopters in eyes with trifocal
IOL implantation (P < .01). Similar halos and glare were present in the two
groups. The levels of overall satisfaction were similarly high between groups.
CONCLUSIONS: Diffractive trifocal IOLs can provide significantly better
intermediate vision and equivalent distance and near visual performance compared
to bifocal IOLs and do not induce extra qualitative vision disturbances. [J
Refract Surg. 2018;34(4):273-280.].
PMID- 29634844
TI - Two-Year Outcome of a Patient Treated With Phototherapeutic Keratectomy and
Autologous SMILE Lenticule Transplantation for Flap-Related Complications
Following LASIK.
AB - PURPOSE: To describe a patient with flap complications after LASIK who was
subsequently treated using phototherapeutic keratectomy (PTK) and an autologous
lenticule transplant obtained via small incision lenticule extraction (SMILE).
METHODS: A 23-year-old man experienced free flap and partial flap loss in the
left eye following LASIK, resulting in corneal stroma opacity 1 month later. The
manifest refraction was -3.25 diopters sphere (DS)/-0.50 diopters cylinder (DC) *
100 degrees in the right eye and +2.50 DS/-1.25 DC * 155 degrees in the left
eye. His left eye was treated with PTK and transplantation of an autologous
lenticule obtained from his right eye using the SMILE procedure. RESULTS: At the
2-year follow-up visit, the uncorrected distance visual acuity of the left eye
had improved from 20/100 to 20/22 and the corrected distance visual acuity had
improved from 20/25 to 20/18. Central corneal thickness had increased from 464 to
499 um. The mean keratometry value had decreased from 45.00 diopters (D) at the 1
month follow-up visit to 39.40 D at the 2-year follow-up visit. Optical coherence
tomography examination revealed that the lenticule remained transparent and
exhibited a visible demarcation line. CONCLUSIONS: The transplantation of an
autologous lenticule obtained via SMILE combined with PTK improved uncorrected
and corrected acuity in this patient with flap loss after LASIK. [J Refract Surg.
2018;34(4):281-285.].
PMID- 29634845
TI - Posterior Corneal Astigmatism and Efficacy in Refractive Correction.
PMID- 29634846
TI - Optimal Pocket Depth for Corneal Inlays.
PMID- 29634847
TI - Feasibility of Using PROMIS(r) in Individuals With Advanced Parkinson's Disease
and Their Caregivers.
AB - The purpose of the current study was to test the feasibility of using the Patient
Reported Outcomes Measurement Information System (PROMIS(r)) measures to assess
change in self-reported health status for dyads of individuals with advanced
Parkinson's disease (PD) and their caregivers. Fifteen dyads (N = 30)
participated and took 11 PROMIS measures. The measures showed good reliability
(all Cronbach's alphas > 0.82). Dyads reported worse health status than the
PROMIS reference groups at baseline and 6-month follow up. Paradoxically,
individuals with PD scored higher in Applied Cognition-General Concerns,
Companionship, and Emotional Support than the reference groups at both times. The
only domain that changed significantly for individuals with PD over 6 months was
Applied Cognition-General Concerns. The results of this study suggest that future
research (a) could use the PROMIS measures with dyads, and (b) would be warranted
for tracking changes over time using the PROMIS measures with larger samples,
garnering more power. In addition, future research could examine if the computer
adaptive versions work for individuals with advanced PD. [Res Gerontol Nurs.
2018; 11(3):129-136.].
PMID- 29634848
TI - Early Readmission Risk Identification for Hospitalized Older Adults With
Decompensated Heart Failure.
AB - The current study evaluated risk factors of early hospital readmission in
geriatric patients with acute heart failure (HF) and analyzed 2,279 consecutively
hospitalized older adults with decompensated HF from November 2013 to October
2014 across 15 U.S. hospitals. The eTracker-HF was designed to make risk factors
known to treating clinicians in electronic health records. Multilevel
multivariate logistic regression was applied to examine the association between
risk factors and all-cause and HF 30-day readmission rates. All-cause and HF 30
day readmission rates were 22.3% and 9.8%, respectively. Old age, non-White
ethnicity, delirium, physical impairment, ejection fraction <40%, advanced
chronic kidney disease, and previous myocardial infarction were associated with
all-cause and HF readmission. Home health care use was inversely associated with
early readmission. In addition to demographic and cardiovascular risk factors,
geriatric syndromes were associated with early readmission. Discharge to home
health care may reduce early readmission in these patients. [Res Gerontol Nurs.
2018; 11(4):190-197.].
PMID- 29634849
TI - Recruitment of Individuals With Dementia and Their Carers for Social Research:
Lessons Learned From Nine Studies.
AB - Many health and social care research studies report difficulties recruiting
sufficient numbers of participants, adding to time and money expenditures and
potentially jeopardizing the generalizability of findings. The current article
reports the effectiveness and resource requirements of recruitment strategies
used in nine dementia-related studies conducted in Australia. Articles, notices,
or advertisements in targeted specialist newsletters were the most cost-effective
method of recruitment. The use of service providers to aid recruitment yielded
mixed results, but was lengthy in terms of research time. Online and social media
were low cost but not reliably effective in terms of recruitment potential.
Despite using multiple strategies to maximize recruitment, significant challenges
were encountered achieving the required sample sizes; in most cases these
challenges resulted in delays in the recruitment phase. Implications for
researchers in the fields of dementia and general social/health research are
discussed. [Res Gerontol Nurs. 2018; 11(3):119-128.].
PMID- 29634851
TI - Response to "IRIS from the Inside".
PMID- 29634853
TI - Thyroid FNA biopsies comprised of abundant, mature squamous cells can be reported
as benign: A cytologic study of 18 patients with clinical correlation.
AB - BACKGROUND: A thyroid nodule comprised almost exclusively of mature, benign
appearing squamous cells is an uncommon finding in fine-needle aspiration (FNA)
biopsies of thyroid nodules. Reporting such specimens was not originally
addressed by The Bethesda System for Reporting Thyroid Cytopathology. The authors
correlated the biologic behavior of the specimens with their benign cytologic
appearance through clinical, radiographic, and surgical follow-up. METHODS: The
pathology archives of 3 tertiary hospitals were searched for thyroid FNA
specimens consisting of mature squamous cells without atypia. The authors
reviewed all available slides and included only cases that were moderately to
highly cellular; nucleated or anucleate squamous cells without atypia comprised
the vast majority of the cellularity. Available clinical information and/or
thyroid ultrasound examination(s) were reviewed by an endocrinologist or
radiologist, respectively. RESULTS: A total of 18 patients (7 men and 11 women;
age range, 19-76 years) with 20 nodules met the prespecified inclusion criteria.
The average nodule size was 2.1 cm. Common sonographic characteristics included a
well-defined appearance, the lack of internal vascularity, a thin outer wall,
general hypoechogenicity with low-intermediate internal echoes, and posterior
acoustic enhancement. Clinical and radiographic follow-up (mean, 3.8 years;
range, <1 to 9 years) was available for 9 patients, and all nodules were stable.
All 4 cases with histologic follow-up were benign squamous-lined cysts.
CONCLUSIONS: The findings of the current study suggest that thyroid FNA specimens
comprised almost exclusively of mature squamous cells can be reported as benign.
Cancer Cytopathol 2018;126:336-41. (c) 2018 American Cancer Society.
PMID- 29634854
TI - Restructuring SCAI Committees for Success.
PMID- 29634855
TI - The under-appreciated and still under-investigated consequences of "supersized"
access and closure.
AB - Large bore access and closure of the femoral artery has the potential to cause or
accelerate local vascular disease The implications of accelerated disease could
be substantial Further investigation is needed to assess the true short- and long
term effects.
PMID- 29634856
TI - TAVR technique tries to go higher than bicuspid valve hurdles.
AB - Aortic stenosis developed in bicuspid valves is commonly characterized by a
complex anatomy TAVR may be considered for high risk patients with bicuspid valve
stenosis but procedure is usually technically challenging High (supra-annular)
implantation of self-expandable TAVR prostheses may be a valuable option for
selected patients with bicuspid valve stenosis.
PMID- 29634857
TI - Looking for the bare necessities.
AB - The authors suggest that the early durability of the CoreValve implant should not
be in question based on the results of this modestly sized, but well-done
postmortem observational study. Given the ever-expanding knowledge of valvular
degeneration, one thing is clear: more research and study is needed before any
routine change in clinical practice, such as change it antithrombotic therapy,
can be recommended. Further autopsy studies of patients who die outside of
typical healthcare settings and who have had a longer median implant time would
aid greatly in furthering the understanding of the degeneration and natural
history of bioprosthetic transcatheter heart valves.
PMID- 29634858
TI - Too little, too much or just right? Goldilocks revisited....
AB - This study highlights the low rates of UCA in OHCA patients in a real-world
setting Presentation with ST elevation, shockable rhythm and history of CAD were
more likely to result in UCA for OHCA patients Further studies are required to
help create a systematic and standardized approach to UCA in OHCA patients.
PMID- 29634859
TI - TAVR in bicuspid aortic valve stenosis: "We are not there yet" to draw final
conclusions.
AB - TAVR for bicuspid AS is not associated with excess mortality, but paravalvular
leak and pacemaker implant is increased compared to tricuspid AS cohorts
undergoing TAVR. Operators should weigh these potential complications against the
clinical benefit obtained with TAVR for bicuspid AS patients at high surgical
risk. A randomized controlled trial comparing TAVR with SAVR in younger, low
surgical risk patients with-or at least not excluding-bicuspid AS is strongly
needed.
PMID- 29634860
TI - The quest for a "diabetic" stent.
AB - Patients with diabetes mellitus and extensive coronary artery disease generally
had worse outcomes with early generation drug eluting stents (DES) as compared to
coronary artery bypass grafting. Initial results with Amphilimus eluting coronary
stent in patients with diabetes have been promising in small studies The data
from Sardella et al. should be used, in conjunction with previous clinical
studies with this DES type, as hypothesis generating for a large multicenter
randomized trial to aid in our quest to find the "new diabetic stent."
PMID- 29634861
TI - Early stent thrombosis: Nearly gone, but never forgotten.
AB - Early stent thrombosis occurs in ~1% of patients treated with primary PCI and
increases shock, stroke, and mortality. Early stent thrombosis was associated
with small stent diameter, failure to use glycoprotein inhibitors, and
bivalirudin use. Minimizing stent thrombosis may require the use of imaging and
aggressive antithrombotic therapy.
PMID- 29634862
TI - [The Impact of Medical And Non-Medical Factors on Population Mortality:
Environment Factors].
AB - The second analysis was implemented concerning results of study evaluating impact
of environmental factors on indices of population mortality on the basis of hard
copy and digital publications in Russian and English in 1990-2016. It is
established that air pollution by tiny particles of different origin results in a
reliable increasing of risk of premature death independently of level of economic
development and geographical positioning of country. In European countries this
occurrence conditions shortage of of life-span on 8-13 months. The industrial
factors are a cause of about 30% of involuntary occupational traumas. The
limitation of access to clear drinking water specific to developing countries
conditions death of more than 3 million people annually. The impact of waves of
cold and heat on mortality depends on particular region, their duration and
intensity, level of economic development of country, social economic conditions
and age of particular groups of population and is estimated in 1-16% of
additional deaths. The global climate warming is associated with decreasing of
level of mortality. the negative impact of environment is increased by residing
in underdeveloped regions, lower social economic status, lower level of
education, population density, shortcomings of architecture and public space, the
factors of environment play a significant role in population mortality. As
regards their impact an uncertainty exists: it is quite difficult to separate an
impact of single factor. So, different approaches are applied in different
studies.
PMID- 29634863
TI - [The Problems of Statistical Registration of Mortality Because of External Causes
in Russia].
AB - In Russia, the level of mortality of class of external causes in general and of
particular cause within this class two-fold exceeds similar levels of these
indices in the developed countries. The actual publications analyzing medical
death certificates emphasize underestimation of levels of mortality because of
such external causes as murders, suicides, alcohol intoxications, etc. and their
transfer to latent form. In this connection, the topic of external causes was
chosen to be a purpose of interviewing leading specialists in the field of
demography, statistics and medicine. On the basis of analysis of opinions of
experts, the issues of quantitative and qualitative statistical registrations of
mortality because of external causes were considered. According opinion of
experts, the external causes' statistic is to be published in concordance with
the ICD-10. On the basis of the short list of ICD-10 the total scale of losses
because of mortality of class of external causes and their kinds are clearly
demonstrated. However, an in-depth analysis of mortality the detailed elaboration
of the short list of ICD-10 is inadequate. The experts also considered both the
causes of increasing of such a set of external causes as injuries with uncertain
intentions and the means of decreasing level of mortality because of these causes
including the main ones - changes of requirements to fill and procedure to issue
death certificates. The experts considered too the legislative consolidation of
regulation that inquest is to be initiated on the basis of application, report of
offense but not the procedural standard concerning institution of prosecution and
cardinal amelioration of the system of forensic expertise.
PMID- 29634864
TI - [The Problems of Statistical Registration of Mortality Because of External Causes
in Russia].
AB - The physical accessibility of pharmaceuticals considering space-time factors and
assortment accessibility is minutely considered on the example of the Sakhalin
oblast. The conclusions considering universality of factors of accessibility and
availability in every subject are made emphasizing necessity of characteristics
to be taking into account. The analysis of factors of accessibility in the
subject permits to establish risk zones preventing accessibility of
pharmaceutical for population.
PMID- 29634865
TI - [The Sociological Aspects of Development of Organization and Enhancement of
Quality of Hospital Medical Care of Patients with Tuberculosis].
AB - The specially developed questionnaire on studying opinions of physician
phthisiatrician concerning hospital medical care of patients with tuberculosis
was applied to survey sampling of 58 physicians phthisiatricians. The questions
of questionnaire related to analysis of efficiency of hospital treatment of
patients with tuberculosis, satisfaction with consultative and diagnostic
activities, support with pharmaceuticals, discovering measures permitting to
increase commitment of patients to treatment in conditions of hospital.
PMID- 29634866
TI - [The Medical Social Characteristics of Rural Women].
AB - The article presents the results of medical social characteristics of rural women
aged from 20 to 60 years (average age is 44.6+-1.2 years). One third of them have
secondary special education, 75% are married, 46% are working mainly in
agricultural sector. Out of all working women 25.8% are exposed to unfavorable
industrial factors, 20.3% are working in areas related to intensive labor
processes. In 77.3% of respondents there is no centralized water supply and
sewerage in home accommodations and there is no gas heating in 45.4% of
respondents. About half of all surveyed women mentioned environmental pollution
with livestock, poultry keeping and farm enterprises waste. The low level of
living was noted more than 80% of respondents. more than 70% suffer from improper
feeding and 46% have overweight. The rate of occurrence of chronic diseases made
up to 212.3 per 100 examined women. In the structure of morbidity diseases of
blood circulation system made up to 28.2%. The health self-estimate of women
demonstrated that 2.1% of women consider their health as excellent, 11.3% - as a
good one, 54.4% as a satisfactory one and 32.2% as a bad one.
PMID- 29634867
TI - [The Morbidity of Students Conditioned by Diet Character in Modern Condition of
Education].
AB - The article considers characteristics of nervous psychic adaptation, morbidity
and character of diet of students of the Russian state social university. The
main incentives of combination of university studies and work are analyzed. The
impact of combining of studies and work, regimen and diet quality on health are
investigated. The psychological studies were implemented using computerized
techniques of psychological testing and data collection with blank technique. The
morbidity of students was discovered using questionnaire. It is established that
students combining studies and work, have optimal indices of nervous psychic
adaptation. however, level of their morbidity is twice higher than morbidity of
students not combining studies and work. The analysis of regimen and diet
character of students established deviations in regimen and structure of diet.
The ration of proteins, fats and carbohydrates in day ration of students was
imbalanced (1.0:1.4:6.1) at the expense of surplus of content of fat and
especially carbohydrates that afterwards can results in development of diseases
related to irregular diet.
PMID- 29634868
TI - [About Development of Programs of Struggle with Tobacco Smoking in Medical
Workers].
AB - The article presents a review of prevalence of smoking among physicians and
medical nurses suffering from nicotine dependence. The most of smoking medical
workers began to smoke already during student years. they don't relate their
diseases with smoking. The incomplete awareness of true causes of one's own
diseases is manifested in ignoring preventive activities and healthy life-style.
The actuality of necessity of development of program of prevention tobacco
dependence in medical workers suffering from tobacco dependence is demonstrated.
PMID- 29634869
TI - [The Actual Legal and Organizational Problems of Licensing of Military Medical
Expertise].
AB - The article presents analysis of problems of organization of functioning of
military medical commissions in the light of implementation of complex of
activities related to licensing of military medical expertise as a type of
medical activity. The conceptual definitions and main legislative acts regulating
procedures of licensing of the given type of expertise are considered. The
characteristics of functioning of military medical expertise in actual conditions
of Russia, including experience of Moscow and the Moskovskaia oblast are
established.
PMID- 29634870
TI - [On Day and Night Teams of Emergency Medical Care].
AB - The article considers the results of sociological survey of patients related to
functioning of day and night teams of emergency medical care.Out of all
respondents, 97.9% were fully satisfied of functioning of team of emergency
medical care. As cause of calling emergency medical care 57.5% of respondents
mentioned instant acute disease, 21.5% - exacerbation of chronic disease. Out of
number of called because of exacerbation of chronic disease 77% prefer emergency
medical care over visit to territorial polyclinic. The received material testify
that emergency medical care service is used by population as an alternative to
out-patient polyclinic that indicates necessity of further activities on
development of organization of emergency medical care.
PMID- 29634871
TI - [The Efficiency of Implementation of Detailed Diary of Examination in Electronic
Medical Record in Municipal Polyclinic].
AB - The evaluation was carried out concerning efficiency of implementation of
formalized and detailed form of diary of physician examination in the structure
of electronic medical record in conditions of municipal polyclinic. The optimal
direction of modernization of medical record was established as a formalization
and detalization of patterns of of records in electronic form. It is demonstrated
that implementation of such a form promotes more qualitative formatting and
increases quality of medical care in general including increasing of percentage
of positive outcomes of disease.
PMID- 29634872
TI - [The Role of Physician In Enhancement of Rehabilitation of Disabled Children].
AB - The national and international experience of rehabilitation of disabled children
was investigated. On the basis of monitoring data problem of increasing of number
of children with diagnosis of infantile cerebral paralysis, including necessity
of development of new methods of their rehabilitation was established. The
comparative dynamics of nosology of disabled children permitted to detect
diseases of nervous system and congenital abnormalities (malformations),
deformations and chromosomal disorders, psychological disorders and behavioral
disorders mostly specific for urban and rural area. The model of institutional
environment of rehabilitation of disabled children was developed including system
of formal (state, legislative acts, health institutions, organizations of social
support of population)and non-formal (public, non-commercial and social
psychological organizations) institutions impacted by economic, social,legal and
demographic factors. The role of physician is substantiated concerning increasing
of quality of rehabilitation services: diagnostic of disordered functions,
detection of optimal volume of medical, psychological and pedagogue activities in
patients with severe speech disorders, motoric and and neuro-censorial disorders
developed as a result of early organic damage of brain, neuro-infections,
strokes, and other affection of brain. The adequate curative rehabilitative
complex programs were developed of social everyday and social labor
rehabilitation.
PMID- 29634873
TI - [The School of S.P. Botkin: Vassili Nikolaievich Sirotinin (1855-1934)].
AB - The article considers a number of characteristics of the scientific clinical
school of S.P. Botkin. The exceptional significance of this school in the history
of national medicine is emphasized. It is demonstrated that V.N. Sirotinin was
the most outstanding of all his followers, the school leader and one of the
leaders of the clinic of inner diseases in Russia during the first decades of XX
century. The article presents the scientific biography of V.N. Sirotinin,
including his emigration years. It is demonstrated that the issues of
organization by V.N. Sirotinin of his own clinical school requires and additional
investigation.
PMID- 29634874
TI - [From the History of Class Philanthropy in Moscow: the T.G. Gurieva House of
Charity of Poor People].
AB - The article, for the first time on the basis of investigation of archive and
published sources, presents the reconstruction of the picture of building and
development of the T.G. Gurieva house of charity located in the territory of the
A.V. Vishnevsky institute of surgery. The characteristics of functioning of this
social institution during various historical stages are demonstrated. The history
of class philanthropy in Moscow is supplemented by new information. The little
known facts of functioning of one of the first specialized institutions of
gerontological profile in the city are established. The general picture of
development of the system population health care is reconstructed. The
significance of the given object for national health care is established.
PMID- 29634875
TI - [The Organization of Rural Physician Unit in the Stavropolskaia Gubernia, the
Kubanskaia and Terskaia Oblast Before 1917].
AB - The article considers becoming of rural health care in the Stavropolskaia
gubernia, the Kubanskaia and Terskaia oblast prior to 1917. The statistic is
presented concerning institutions of people's health.
PMID- 29634876
TI - ProvenCare-Psoriasis: A disease management model to optimize care.
AB - There are a variety of evidence-based treatments available for psoriasis. The
transition of this evidence into practice is challenging. In this article, we
describe the design of our disease management approach for Psoriasis
(ProvenCare(r)) and present preliminary evidence of the effect of its
implementation. In designing our approach, we identified three barriers to
optimal care: 1) lack of a standardized and discrete disease activity measure
within the electronic health record, 2) lack of a system-wide, standardized
approach to care, and 3) non-uniform financial access to appropriate non
pharmacologic treatments. We implemented several solutions, which collectively
form our approach. We standardized the documentation of clinical data such as
body surface area (BSA), created a disease management algorithm for psoriasis,
and aligned incentives to facilitate the implementation of the algorithm. This
approach provides more coordinated, cost effective care for psoriasis, while
being acceptable to key stakeholders. Future work will examine the effect of the
implementation of our approach on important clinical and patient outcomes.
PMID- 29634877
TI - Peer reviews: the dreaded rejection.
AB - This commentary considers the reasons for rejection of manuscripts during the
peer-review process. Poor methodology, inappropriate statistical analysis,
irrelevance, and technical errors are cited frequently as motives for manuscript
rejection. Guidance, such as selecting an applicable journal, conducting a
rigorous study, and writing efficiently, is provided for authors to prevent
initial rejection. Researchers are reassured that rejection is a common
consequence of peer-review and subsequent submissions to other journals are often
successful publications.
PMID- 29634878
TI - Perforating osteoma cutis: case report and literature review of patients with a
solitary perforating osteoma cutis lesion.
AB - Osteoma cutis, the development of bone in the dermis and/or subcutaneous fat, can
occur as either a primary or secondary condition. Perforating osteoma cutis is
rare. A man with a solitary lesion of perforating osteoma cutis is described and
the features of individuals with a single perforating osteoma cutis skin lesion
are reviewed. A solitary lesion of either primary or secondary perforating
osteoma cutis has only been observed in two men and one woman; the lesions had
been present from less than one month to 19 or 20 years prior to establishing the
diagnosis. The lesion was either located on the forehead (two men) or the breast
(one woman). The erythematous (two lesions) or flesh-colored nodules ranged in
size from 8*8 millimeters to 1.5*0.5 centimeters. Each had epidermal perforation
by bone through a central area that was either crateriform or crusted or
keratotic. The clinical differential diagnosis included keratoacanthoma,
phlebolith, pilomatricoma, pilomatrical carcinoma, and squamous cell carcinoma.
The perforating osteoma cutis lesion was successfully treated with either
excision or shave biopsy without recurrence at either 10 or 12-months follow-up.
PMID- 29634880
TI - Mycoplasma-induced pustulosis with perifollicular involvement.
AB - Mycoplasma pneumoniae-induced rash and mucositis (MIRM) is a disease
characterized by mucosal involvement with variable cutaneous manifestations
induced by M. pneumoniae infection. Previously reported rash morphologies include
vesiculobullous, targetoid, papular, macular, and morbilliform lesions.
Pustulosis is a rare presentation of MIRM that has been described only once
before in the literature to our knowledge. We report a case of a 13-year-old boy
presenting with a pustular skin eruption induced by Mycoplasma infection. Ours'
is the second report of MIRM, to our knowledge, presenting with pustulosis and
the first, to our knowledge, to first to describe the histopathologic finding of
perifollicular neutrophilic infiltration in MIRM.
PMID- 29634879
TI - Kindler syndrome in a patient with colitis and primary sclerosing cholangitis:
coincidence or association?
AB - Kindler syndrome is a rare, autosomal recessive genodermatosis, caused by
mutations in the FERMT1 gene. It is thought to be primarily a skin disease, but
other organs may also be involved. We report a case of a novel mutation of FERMT1
gene in a patient with a probable new phenotype of Kindler syndrome, including
colitis and primary sclerosing cholangitis. A 42-year-old man, born to first
cousin parents, was referred to our outpatient dermatology clinic with an unknown
dermatosis since birth. He presented with neonatal blistering and developed
photosensitivity and changes in skin pigmentation during childhood. Since the age
of 20, he has had regular follow-up in the gastroenterology clinic, owing to
esophageal stenosis, ulcerative colitis, and primary sclerosing cholangitis.
Clinical examination revealed jaundice, poikiloderma, diffuse cigarette paper
like atrophy on dorsal surfaces of the hands, and palmoplantar hyperkeratosis.
Skin biopsy showed epidermal atrophy covered by orthokeratotic hyperkeratosis.
DNA molecular analysis revealed FERMT1 homozygous mutation c.1179G>A, p.W393X,
which has not been reported before. The intestinal phenotype of Kindler syndrome
has already been defined previously. However, to the best of our knowledge, no
other case of primary sclerosing cholangitis in a patient with Kindler syndrome
has been reported.
PMID- 29634881
TI - Thalidomide for the treatment of chronic refractory prurigo nodularis.
AB - Prurigo nodularis (PN) is a highly pruritic skin condition that is caused by
chronic scratching. It occurs in patients with chronic itch and is characterized
by multiple hyperkeratotic papules and nodules. The pathogenesis of PN is
unclear, but involves a complex interplay of numerous pathways including
neurogenic and inflammatory factors. As such, PN is very difficult to treat and
patients are often refractory to multiple medications before finding a treatment
that is effective. We present a woman with a 20-year history of exuberant prurigo
nodularis who failed multiple therapies, including dapsone, azathioprine,
mycophenolic acid, prednisone, topical steroids, and phototherapy. She only
obtained significant relief of chronic pruritus and lesion flattening with
thalidomide 100mg daily. Thalidomide is an antipruritic and anti-inflammatory
agent that has shown to be very effective in treating a variety of dermatologic
conditions. However, its use today is limited by concerns for its teratogenic and
neuropathic side effects. With strict adherence to medication protocols, these
adverse effects can be minimized. As such, thalidomide should be considered for
patients with refractory dermatologic conditions.
PMID- 29634882
TI - Genitogluteal porokeratosis in an HIV-positive man: a case report and review of
the literature on genital porokeratosis.
AB - Genitogluteal porokeratosis is a disorder of keratinization that may present in
men in their fourth decade of life. We describe a 52-year-old human
immunodeficiency virus (HIV)-positive man with history of anal squamous cell
carcinoma who developed verrucous lesions on the buttocks and genitals. The
buttock lesions presented shortly after radiotherapy for anal carcinoma a decade
prior, whereas the genital lesions presented three months prior in areas treated
with injectable medication for erectile dysfunction. Skin biopsy revealed a
cornoid lamella, leading to the diagnosis of genitogluteal porokeratosis. The
buttock lesions were treated with shave excision and the genital lesions were
treated with topical agents. Using the PubMed database, a literature search was
performed with combinations of the following key words: acuminata, condyloma,
cornoid lamella, genital, genitogluteal, HIV, penile, porokeratosis, verrucous,
vulvar. The generated papers and their references were reviewed. To the best of
our knowledge, we present the first reported case of genitogluteal porokeratosis
in an HIV-positive man. Notably, these lesions developed in sites of prior
radiation or injection. This condition should be included in the differential
diagnosis of chronic lesions of the genitals and buttocks in patients with HIV
and/or history of radiation treatment and/or trauma to the genitogluteal region.
PMID- 29634883
TI - Persistent perpendicular pigmentation: a peculiar but specific type of persistent
nevus.
AB - Persistent nevi are commonly encountered in clinical and dermatopathology
practice. Although they may mimic melanoma on clinical presentation as well as
microscopic review, they behave in a benign fashion and are not associated with
more aggressive behavior than the original nevus. Persistent nevi may exhibit
asymmetry and irregular features that prompt concern for melanoma. However, the
relative circumscription and restriction of pigment to the surgical scar provides
a valuable clue to diagnosis. Some persistent nevi may have a linear pattern when
they are confined to a widening scar. In this situation, the pigment spreads
along the lines of skin tension (Langer lines). This unique pattern can be easily
identified and should be a reassuring finding. We present a case of persistent
nevus with a striking perpendicular pigmentation confined to the scar; the
clinical and histologic features of persistent nevi are reviewed.
PMID- 29634884
TI - An amelanotic nail bed melanoma presenting as persistent onychodystrophy.
AB - Nail apparatus melanomas are rare and may present with a wide variety of clinical
presentations. In particular, the amelanotic subtype can pose a diagnostic
challenge, often leading to a poor prognosis related to a delayed diagnosis. We
report a 69-year-old man with an unusual subungual amelanotic melanoma presenting
as a persistent single nail dystrophy that was repeatedly treated as
onychomycosis. Owing to the delayed diagnosis of the melanoma and to minimize
recurrence risk, the patient underwent a partial amputation of his left thumb.
PMID- 29634885
TI - A case of segmental Darier disease treated with doxycycline monotherapy.
AB - Darier disease is a rare autosomal dominant disorder that results from a mutation
in the gene coding for the endoplasmic reticulum membrane calcium pump Ca2+
ATPase type 2 (SERCA2), leading to compromised intercellular adhesion. Patients
typically present in the first two decades of life with keratotic, greasy papules
in a seborrheic distribution. Segmental Darier disease is a variant with
localized disease that follows Blaschko lines. Treatment options include topical
and systemic agents including corticosteroids, retinoids, and antibiotics. We
present a 67-year-old woman who came to our clinic with segmental Darier disease
recalcitrant to topical therapy. Owing to cost and side effect profile, the
patient declined treatment with oral retinoids. Doxycycline 100mg daily was
started with significant improvement. Tetracyclines both chelate and assist
calcium to cross membranes. This mechanism may correct the cellular calcium
imbalance present in Darier disease. In addition, tetracyclines have been shown
to inhibit metalloproteinase 9, an important part of Darier disease pathogenesis.
Owing to its favorable side effect profile, further investigation is warranted to
establish doxycycline as a more widely utilized treatment option for Darier
disease.
PMID- 29634886
TI - Temozolomide-induced inflammation of disseminated superficial actinic
porokeratosis.
AB - We report a case of temozolomide (TMZ)-induced inflammation of disseminated
superficial actinic porokeratosis (DSAP), an uncommon and pre-malignant cutaneous
disorder. Dermatologists and oncologists should be aware of this cutaneous
eruption of DSAP associated with TMZ to prevent the discontinuation of effective
medical therapy in cancer patients.
PMID- 29634887
TI - Cutaneous complication of perinatal hypoxia.
AB - Subcutaneous fat necrosis of the newborn is an uncommon, transient, and self
healing panniculitis, mostly affecting term newborns with perinatal
complications. The authors present a case of a female full-term neonate, born
from an uncomplicated pregnancy, admitted into the neonatology unit 5 hours after
delivery because of refractory multifocal seizures in the context of hypoxic
ischemic encephalopathy. Nine days after birth, indurated and erythematous
nodules and plaques were noted on the left arm and back. Skin biopsy was
compatible with subcutaneous fat necrosis of the newborn. Laboratory evaluation
including serum calcium showed normal values. No treatment was initiated. This
entity generally follows an uncomplicated course. However, there are important
complications for which the patient must be regularly monitored, including
thrombocytopenia, hypoglycemia, hypertriglyceridemia, and most importantly,
hypercalcemia. Patients should have serial serum calcium determinations for up to
6 months after the appearance of the skin lesions. The early diagnosis and prompt
treatment of hypercalcemia are essential to prevent severe complications.
PMID- 29634888
TI - Circumscribed palmar hypokeratosis.
AB - Circumscribed palmar hypokeratosis (CPH) is a benign dermatologic condition
characterized by local thinning of the stratum corneum. Herein, we present a 52
year-old woman who presented with an asymptomatic pink depressed papule on the
right palm. This was treated with clobetasol 0.05% topically twice a day with no
improvement.
PMID- 29634889
TI - Infiltrative basal cell carcinoma mimicking tinea corporis.
AB - The diagnosis of infiltrative basal cell carcinoma (BCC) can be delayed owing to
its often subtle clinical findings. A 90-year-old woman presented with an
asymptomatic annular pink plaque on her left shin that was clinically diagnosed
as tinea corporis. After years of not responding to topical anti-fungal therapy,
biopsies confirmed a diagnosis of infiltrative BCC. We discuss the differential
diagnosis of the case, the difficulties in identifying infiltrative BCC, and the
pathologic features of infiltrative BCC.
PMID- 29634890
TI - Vulvar syringomas as a part of nonfamilial generalized eruptive form: Unusual
lesions leading to pseudohyper-trophy of the labia majora.
AB - We present a case of eruptive generalized syringoma with vulvar involvement. This
case is unique in terms of being a nonfamilial, linear variant, leading to
pseudohypertrophy of the labia majora.
PMID- 29634891
TI - Capillary hemangioma associated with dermal atrophy masquerading as a deep fungal
infection.
AB - Hemangiomas are benign vascular neoplasms which arise in early adulthood. Herein
we present a 79-year-old woman with a hemangioma of the lower flank masquerading
as a cutaneous manifestation of a systemic fungal infection upon initial
histological analysis. Decreased elastin and collagen within the lesion likely
accounted for the clumping and splaying of the capillaries into "hyphae-like"
structures. Loss of dermal elastic tissue and collagen apparently concentrated
the capillary proliferation into an unusual morphology mimicking the hyphal
structures. Through additional staining methods the lesion was confirmed to be an
unusual presentation of a capillary hemangioma.
PMID- 29634892
TI - Secukinumab shows significant efficacy in two patients with difficult-to-treat
areas of psoriasis: a Greek experience.
AB - Psoriasis is one of the most frequently occurring chronic inflammatory skin
diseases. There are some specialized regions of the body that are considered
difficult to treat. Secukinumab is a human monoclonal immunoglobulin G antibody
that blocks the interleukin 17A ligand and has been shown to be highly
efficacious in treating moderate-to-severe psoriasis. We studied two Greek
patients, one with scalp psoriasis and the other with palmoplantar psoriasis,
both resistant to treatment. Patients were treated with secukinumab and efficacy
and safety were recorded. The patient with severe, refractory palmoplantar
psoriasis achieved complete clearance at the end of the 4-week treatment period
with secukinumab. The patient with moderate to severe, chronic scalp psoriasis
was successfully treated with secukinumab, obtaining complete clearance of
symptoms and remission of disease after approximately 16 weeks. In both cases
clinical response was maintained through week 52. Secukinumab has been shown to
be highly efficacious in the treatment of psoriasis of specific anatomical sites
with an acceptable safety profile.
PMID- 29634893
TI - Cutaneous Staphylococcus lugdunensis infection: an emerging bacterial pathogen.
AB - Staphylococcus lugdunensis is a part of the normal skin flora. However, this
organism can be a pathogen in certain situations such as advanced age or
immunosuppression. Further study regarding situations in which this bacterium
becomes a pathogen is warranted.
PMID- 29634894
TI - Ectopic intestinal mucosa implanted on the perianal skin of a patient with Crohn
disease.
AB - Intestinal mucosa implanted in skin is an exceedingly rare occurrence.
Implantations are thought to occur during the creation of ostomy sites or other
surgical procedures in which suture goes through bowel mucosa and then skin.
Current ostomy literature reports this as a very uncommon complication. We
present a 54-year-old man diagnosed with Crohn disease with severe perianal
involvement who was referred to our outpatient clinic because of two persistent
perianal cutaneous ulcerations. He previously underwent several interventions to
drain complex perianal fistulas and abscesses, the last of them involved placing
seton stitches to ensure continuous draining during the healing process. Physical
examination revealed two painful ulcerations with bleeding on contact. A skin
biopsy was performed, revealing ectopic intestinal mucosa with crypts, villi, and
goblet cells. Perianal ulcerations characteristic of Crohn disease might be
difficult to differentiate from ectopic implant of bowel mucosa secondary to a
surgical procedure in the perianal area. Therefore, we believe a high degree of
suspicion and skin biopsy are key to the diagnosis.
PMID- 29634895
TI - Gel manicures and ultraviolet A light: A call for patient education.
AB - Gel manicures have become a popular beauty trend in recent years. The specially
formulated nail polish must undergo curing under light-based units. The majority
of these curing lamps emit high-intensity ultraviolet A, which can cause skin
damage and increase the risk for skin cancers. Incorporating relevant information
in patient education endeavors can help them practice avoidance, apply broad
spectrum sunscreen prior, or use nitrile exam gloves in order to prevent
photoaging and skin cancer resulting from these procedures.
PMID- 29634896
TI - Jaundice in the emergency department: meeting the challenges of diagnosis and
treatment [digest].
AB - There are approximately 52,000 visits a year to emergency departments for
patients presenting with jaundice. While many of these patients will not have
immediately life-threatening pathology, it is essential that the emergency
clinician understands the pathophysiology of jaundice, as this will guide the
appropriate workup to detect critical diagnoses. Patients who present with
jaundice could require intravenous antibiotics, emergent surgery, and, in severe
cases, organ transplantation. This issue will focus on the challenge of
evaluating and treating the jaundiced patient in the ED using the best available
evidence from the literature. [Points & Pearls is a digest of Emergency Medicine
Practice.].
PMID- 29634897
TI - Pediatric emergency transport: communication and coordination are key to
improving outcomes [digest].
AB - Pediatric patients who are critically ill or who require urgent subspecialty
evaluation or specialized imaging, equipment, or procedures must often be
transferred to tertiary care centers. The safe execution of interfacility
transfer requires the coordination between the facility healthcare teams at each
end of the transfer as well as the transport team. This issue discusses the
process of interfacility transfer, the required services, the role of the
emergency clinician, the role of the pediatric transport team, and the commonly
used diagnostic studies and treatment needed during interfacility transfers of
pediatric patients. [Points & Pearls is a digest of Pediatric Emergency Medicine
Practice].
PMID- 29634898
TI - Targeting Tyrosinase: Development and Structural Insights of Novel Inhibitors
Bearing Arylpiperidine and Arylpiperazine Fragments.
AB - The inhibition of tyrosinase (Ty, EC 1.14.18.1) represents an efficient strategy
of decreasing melanogenesis and skin hyperpigmentation. A combination of
crystallographic and docking studies on two different tyrosinases, that from
Bacillus megaterium (TyBm) and that from a mushroom (TyM), has contributed to
increasing our knowledge about their structural information and translating that
information to the most druggable human Ty (TyH) isozyme. In particular, we
designed and synthesized a series of 1-(4-fluorobenzyl)piperazine and 1-(4
fluorobenzyl)piperidine derivatives showing inhibitory activities on TyM at
micromolar ranges and more potency than that of the reference compound, kojic
acid. The crystal structures of TyBm with inhibitor 3 (IC50 value of 25.11 MUM)
and 16 (IC50 value of 5.25 MUM) were solved, confirming the binding poses
hypothesized by in silico studies and revealing the main molecular determinants
for the binding recognition of the inhibitors.
PMID- 29634899
TI - Supramolecular Strategy Based on Conjugated Polymers for Discrimination of Virus
and Pathogens.
AB - A conjugated polymer-based supramolecular system is designed for discrimination
of virus and microbes. The supramolecular system is composed of cationic
polythiophene derivative (PT) and barrel-shaped macrocyclic molecular
cucurbit[7]uril (CB[7]). Because PT and PT/CB[7] complexes possess different
interaction manners toward virus and microbes, the rapid and simple
discrimination of virus and microbes was realized through polymer fluorescence
intensity change assisting with standard linear discriminant analysis (LDA). The
supramolecular strategy would expand the idea of designing biological probes and
further promote the extensive application of conjugated polymer materials in
biosensor field.
PMID- 29634900
TI - Increased Acid Dissociation at the Quartz/Water Interface.
AB - As shown by a quite significant amount of literature, acids at the water surface
tend to be "less" acid, meaning that their associated form is favored over the
conjugated base. What happens at the solid/liquid interface? In the case of the
silica/water interface, we show how the acidity of adsorbed molecules can instead
increase. Using a free energy perturbation approach in combination with
electronic structure-based molecular dynamics simulations, we show how the
acidity of pyruvic acid at the quartz/water interface is increased by almost two
units. Such increased acidity is the result of the specific microsolvation at the
interface and, in particular, of the stabilization of the deprotonated form by
the silanols on the quartz surface and the special interfacial water layer.
PMID- 29634901
TI - Engineered and Environmental Controls of Microbial Denitrification in Established
Bioretention Cells.
AB - Bioretention cells (BRCs) are effective tools for treating urban stormwater, but
nitrogen removal by these systems is highly variable. Improvements in nitrogen
removal are hampered by a lack of data directly quantifying the abundance or
activity of denitrifying microorganisms in BRCs and how they are controlled by
original BRC design characteristics. We analyzed denitrifiers in twenty-three
BRCs of different designs across three mid-Atlantic states (MD, VA, and NC) by
quantifying two bacterial denitrification genes ( nirK and nosZ) and potential
enzymatic denitrification rates within the soil medium. Overall, we found that
BRC design factors, rather than local environmental variables, had the greatest
effects on variation in denitrifier abundance and activity. Specifically,
denitrifying populations and denitrification potential increased with organic
carbon and inorganic nitrogen concentrations in the soil media and decreased in
BRCs planted with grass compared to other types of vegetation. Furthermore, the
top layers of BRCs consistently contained greater concentrations and activity of
denitrifying bacteria than bottom layers, despite longer periods of saturation
and the presence of permanently saturated zones designed to promote
denitrification at lower depths. These findings suggest that there is still
considerable potential for design improvements when constructing BRCs that could
increase denitrification and mitigate nitrogen export to receiving waters.
PMID- 29634903
TI - Unbiased Proteomic Study of the Axons of Cultured Rat Cortical Neurons.
AB - The axon is a long projection connecting a neuron to its targets. Here, the axons
of cultured rat cortical neurons were isolated with micropatterned chips that
enable the separation of axons from their cell bodies. Proteins extracted from
isolated axons and whole neurons were subjected to analyses using two-dimensional
liquid chromatography-tandem mass spectrometry (2D-LC-MS/MS) analyses without and
with stable isotope dimethyl labeling, resulting in the identification of >2500
axonal proteins and 103 axon-enriched proteins. A strong correlation exists
between the abundances of axonal proteins and their counterparts in whole
neurons. The proteomic results confirm the axonal protein constituents of the
subcellular structures documented in earlier electron microscopic studies.
Cortical axons have proteins that are components of machineries for protein
degradation and the synthesis of soluble, membrane, and secretory proteins,
although axons lack conventional Golgi apparatus. Despite the fact that axons
lack nucleus, nuclear proteins were identified, and 67 of them were found
enriched in axons. Some of the results obtained by the MS-based studies were
validated by quantitative Western blotting and immunofluorescence staining
analyses. The results represent the first comprehensive description of the axonal
protein landscape. The MS proteomics data are available via ProteomeXchange with
identifier PXD005527.
PMID- 29634902
TI - The Excess Chemical Potential of Water at the Interface with a Protein from End
Point Simulations.
AB - We use end point simulations to estimate the excess chemical potential of water
in the homogeneous liquid and at the interface with a protein in solution. When
the pure liquid is taken as the reference, the excess chemical potential of
interfacial water is the difference between the solvation free energy of a water
molecule at the interface and in the bulk. Using the homogeneous liquid as an
example, we show that the solvation free energy for growing a water molecule can
be estimated by applying UWHAM to the simulation data generated from the initial
and final states (i.e., "the end points") instead of multistate free energy
perturbation simulations because of the possible overlaps of the configurations
sampled at the end points. Then end point simulations are used to estimate the
solvation free energy of water at the interface with a protein in solution. The
estimate of the solvation free energy at the interface from two simulations at
the end points agrees with the benchmark using 32 states within a 95% confidence
interval for most interfacial locations. The ability to accurately estimate the
excess chemical potential of water from end point simulations facilitates the
statistical thermodynamic analysis of diverse interfacial phenomena. Our focus is
on analyzing the excess chemical potential of water at protein receptor binding
sites with the goal of using this information to assist in the design of tight
binding ligands.
PMID- 29634904
TI - Insight into Multiple and Multilevel Structures of Biochars and Their Potential
Environmental Applications: A Critical Review.
AB - Biochar is the carbon-rich product of the pyrolysis of biomass under oxygen
limited conditions, and it has received increasing attention due to its multiple
functions in the fields of climate change mitigation, sustainable agriculture,
environmental control, and novel materials. To design a "smart" biochar for
environmentally sustainable applications, one must understand recent advances in
biochar molecular structures and explore potential applications to generalize
upon structure-application relationships. In this review, multiple and multilevel
structures of biochars are interpreted based on their elemental compositions,
phase components, surface properties, and molecular structures. Applications such
as carbon fixators, fertilizers, sorbents, and carbon-based materials are
highlighted based on the biochar multilevel structures as well as their structure
application relationships. Further studies are suggested for more detailed
biochar structural analysis and separation and for the combination of macroscopic
and microscopic information to develop a higher-level biochar structural design
for selective applications.
PMID- 29634906
TI - Stepped Propane Adsorption in Pure-Silica ITW Zeolite.
AB - Gas adsorption over zeolites is at the basis of important applications of this
class of microporous crystalline solids, notably as separation media and
catalysts, but it may also be complex and not straightforward to understand. Here
we report that for temperature below 323 K propane adsorption on the small-pore
pure-silica zeolite ITW exhibits a clear step (pseudosaturation). This is absent
in the case of propene and the other small linear alkanes. An intermediate
plateau, clearly observed in the 293 K isotherm, always occurs when one molecule
of propane is loaded in every other cage, i.e., at half-saturation. The
simulation results show a swelling of the ITW structure upon propane adsorption.
The strong dependence of available pore volume on the adsorbate loading level
implies that adsorption cannot occur on the void structure while saturation can
only be reached on highly loaded structures. To account for this unprecedented
adsorption phenomenon, we propose the term "guest-modulated effect".
PMID- 29634905
TI - A Triazole Disulfide Compound Increases the Affinity of Hemoglobin for Oxygen and
Reduces the Sickling of Human Sickle Cells.
AB - Sickle cell disease is an inherited disorder of hemoglobin (Hb). During a sickle
cell crisis, deoxygenated sickle hemoglobin (deoxyHbS) polymerizes to form fibers
in red blood cells (RBCs), causing the cells to adopt "sickled" shapes. Using
small molecules to increase the affinity of Hb for oxygen is a potential approach
to treating sickle cell disease, because oxygenated Hb interferes with the
polymerization of deoxyHbS. We have identified a triazole disulfide compound
(4,4'-di(1,2,3-triazolyl)disulfide, designated TD-3), which increases the
affinity of Hb for oxygen. The crystal structures of carboxy- and deoxy-forms of
human adult Hb (HbA), each complexed with TD-3, revealed that one molecule of the
monomeric thiol form of TD-3 (5-mercapto-1H-1,2,3-triazole, designated MT-3)
forms a disulfide bond with beta-Cys93, which inhibits the salt-bridge formation
between beta-Asp94 and beta-His146. This inhibition of salt bridge formation
stabilizes the R-state and destabilizes the T-state of Hb, resulting in reduced
magnitude of the Bohr effect and increased affinity of Hb for oxygen. Intravenous
administration of TD-3 (100 mg/kg) to C57BL/6 mice increased the affinity of
murine Hb for oxygen, and the mice did not appear to be adversely affected by the
drug. TD-3 reduced in vitro hypoxia-induced sickling of human sickle RBCs. The
percentage of sickled RBCs and the P50 of human SS RBCs by TD-3 were inversely
correlated with the fraction of Hb modified by TD-3. Our study shows that TD-3,
and possibly other triazole disulfide compounds that bind to Hb beta-Cys93, may
provide new treatment options for patients with sickle cell disease.
PMID- 29634907
TI - Synthesis and Unique Optical Properties of Selenophenyl BODIPYs and Their Linear
Oligomers.
AB - A series of selenophene-substituted boron-dipyrrin (BODIPY) monomers and
selenophene-linked BODIPY oligomers was synthesized. The synthesized BODIPYs show
good absorption/emission properties in the red to near-infrared region.
Furthermore, some of the selenophenyl BODIPYs are not only useful fluorophores
but also good photosensitizers to produce singlet oxygen.
PMID- 29634908
TI - Understanding the Mechanism of SiC Plasma-Enhanced Chemical Vapor Deposition
(PECVD) and Developing Routes toward SiC Atomic Layer Deposition (ALD) with
Density Functional Theory.
AB - Understanding the mechanism of SiC chemical vapor deposition (CVD) is an
important step in investigating the routes toward future atomic layer deposition
(ALD) of SiC. The energetics of various silicon and carbon precursors reacting
with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density
functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon
precursors, while H-terminated SiC is found to be not reactive with these
precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments
SiH3 and SiH2 are calculated along with the energetics for the methane plasma
fragments CH3 and CH2. SiH3 and SiH2 fragments follow different mechanisms toward
Si growth, of which the SiH3 mechanism is found to be more thermodynamically
favorable. Moreover, both of the fragments were found to show selectivity toward
the Si-H bond and not C-H bond of the surface. On the basis of this, a selective
Si deposition process is suggested for silicon versus carbon-doped silicon oxide
surfaces.
PMID- 29634909
TI - Stable Dispersions of Covalently Tethered Polymer Improved Graphene Oxide
Nanoconjugates as an Effective Vector for siRNA Delivery.
AB - Conjugates of poly(amidoamine) (PAMAM) with modified graphene oxide (GO) are
attractive nonviral vectors for gene-based cancer therapeutics. GO protects siRNA
from enzymatic cleavage and showed reasonable transfection efficiency along with
simultaneous benefits of low cost and large scale production. PAMAM is highly
effective in siRNA delivery but suffers from high toxicity with poor in vivo
efficacy. Co-reaction of GO and PAMAM led to aggregation and more importantly,
have detrimental effect on stability of dispersion at physiological pH preventing
their exploration at clinical level. In the current work, we have designed,
synthesized, characterized and explored a new type of hybrid vector (GPD), using
GO synthesized via improved method which was covalently tethered with
poly(ethylene glycol) (PEG) and PAMAM. The existence of covalent linkage,
relative structural changes and properties of GPD is well supported by Fourier
transform infrared (FTIR), UV-visible (UV-vis), Raman, X-ray photoelectron (XPS),
elemental analysis, powder X-ray diffraction (XRD), thermogravimetry analysis
(TGA), dynamic light scattering (DLS), and zeta potential. Scanning electron
microscopy (SEM), and transmission electron microscopy (TEM) of GPD showed
longitudinally aligned columnar self-assembled ~10 nm thick polymeric
nanoarchitectures onto the GO surface accounting to an average size reduction to
~20 nm. GPD revealed an outstanding stability in both phosphate buffer saline
(PBS) and serum containing cell medium. The binding efficiency of EPAC1 siRNA to
GPD was supported by gel retardation assay, DLS, zeta potential and
photoluminescence (PL) studies. A lower cytotoxicity with enhanced cellular
uptake and homogeneous intracellular distribution of GPD/siRNA complex is
confirmed by imaging studies. GPD exhibited a higher transfection efficiency with
remarkable inhibition of cell migration and lower invasion than PAMAM and
Lipofectamine 2000 suggesting its role in prevention of breast cancer progression
and metastasis. A significant reduction in the expression of the specific protein
against which siRNA was delivered is revealed by Western blot assay. Furthermore,
a pH-triggered release of siRNA from the GPD/siRNA complex was studied to provide
a mechanistic insight toward unloading of siRNA from the vector. Current strategy
is a way forward for designing effective therapeutic vectors for gene-based
antitumor therapy.
PMID- 29634910
TI - Determination of enantiomeric excess of some amino acids by second-order
calibration of kinetic-fluorescence data.
AB - In this investigation a new non-separative kinetic-spectroflourimetric method is
proposed for the determination of lysine (lys), leucine (leu) and phenylalanine
(phe) enantiomers as their o-phthaldialdehyde (OPA) derivatives in the presence
of an optically active chiral thiol compound, 1-mercapto-2-propanol (MP). At
ambient temperature and in the borate buffer media of pH 9.6, MP, OPA, as highly
selective fluorogenic reagents, and amino acid (AA) enantiomers reacts with each
other to yield two fluorescent diasteriomers of D and L-AA with maximum
difference in fluorescence intensity at about 450 nm. To achieve information from
the small spectral changes, the data are analyzed by Multivariate Curve
Resolution Alternating Least Squares (MCR-ALS) method. Linear calibration curves
are achieved to distinct D and L-lys, leu and phe in different mole ratios by
applying appropriate constraints in MCR-ALS procedures. This is the first
application of MCR-ALS in determination of enantiomeric excess (ee) using OPA/MP
adduct as chiral reagent, which benefits from direct time dependent-fluorescence
spectral analysis and does not require prior separation of chiral analytes. Both
the cross-validated correlation coefficient (Q2) and root mean squares error of
prediction (RMSEP) indicated satisfactory prediction ability of this method.
PMID- 29634911
TI - Perioperative pregnancy interval, contraceptive counseling experiences, and
contraceptive use in women undergoing bariatric surgery.
AB - BACKGROUND: Reproductive-aged women represent about half of those undergoing
bariatric surgery in the United States. Obstetric and bariatric professional
societies recommend that women avoid pregnancy for 12-18 months postoperatively
due to concern for increased pregnancy risks, and that providers should counsel
women about these recommendations and their contraceptive options. However,
knowledge about women's experience with perioperative counseling and
postoperative contraceptive use is limited. OBJECTIVE: We sought to: (1)
determine prevalence of perioperative contraceptive and pregnancy interval
discussions among women who have recently undergone bariatric surgery; and (2)
describe postoperative contraceptive use within the first year of surgery in this
population. STUDY DESIGN: We performed a cross-sectional study of US women, aged
18-45 years and recruited through Facebook, who underwent bariatric surgery
within the last 24 months. RESULTS: We enrolled 363 geographically diverse women.
Three-quarters recalled perioperative pregnancy or contraceptive discussions, the
majority with a bariatric provider. Half felt it was "very important" to discuss
these issues perioperatively, and 41% of those who reported discussions wished
they had had more. Of the 66% of women who reported using contraception in the
first 12 months postoperatively, 27% used oral contraceptives and 26% used an
intrauterine device. One third of contraceptive users who had undergone Roux-en-Y
gastric bypass, a combined restrictive-malabsorptive procedure, were using oral
contraceptives. Perioperative contraceptive or pregnancy discussions were
independently associated with increased postoperative contraceptive use (odds
ratios, 2.5; 95% confidence interval, 1.5-4.3, P < .001). CONCLUSION: A
substantial proportion of women who had undergone bariatric surgery reported
having had no perioperative pregnancy or contraception counseling, and many women
who had felt the discussions were insufficient. Those who had had perioperative
discussions were more likely to use contraception postoperatively. Reproductive
aged women should be routinely counseled perioperatively about pregnancy and
contraception in the context of their reproductive desires, so they can make
informed decisions about perioperative pregnancy prevention and contraceptive
method use.
PMID- 29634912
TI - Secondary gastric involvement of follicular lymphoma.
PMID- 29634913
TI - Linitis plastica in excluded stomach after Roux-en-Y gastric bypass.
PMID- 29634914
TI - Gastric metastasis from pancreatic neuroendocrine tumor.
PMID- 29634915
TI - Additional Physical Therapy Services Reduce Length of Stay and Improve Health
Outcomes in People With Acute and Subacute Conditions: An Updated Systematic
Review and Meta-Analysis.
AB - OBJECTIVE: To update a previous review on whether additional physical therapy
services reduce length of stay, improve health outcomes, and are safe and cost
effective for patients with acute or subacute conditions. DATA SOURCES:
Electronic database (AMED, CINAHL, EMBASE, MEDLINE, Physiotherapy Evidence
Database [PEDro], PubMed) searches were updated from 2010 through June 2017.
STUDY SELECTION: Randomized controlled trials evaluating additional physical
therapy services on patient health outcomes, length of stay, or cost
effectiveness were eligible. Searching identified 1524 potentially relevant
articles, of which 11 new articles from 8 new randomized controlled trials with
1563 participants were selected. In total, 24 randomized controlled trials with
3262 participants are included in this review. DATA EXTRACTION: Data were
extracted using the form used in the original systematic review. Methodological
quality was assessed using the PEDro scale, and the Grading of Recommendation
Assessment, Development, and Evaluation approach was applied to each meta
analysis. DATA SYNTHESIS: Postintervention data were pooled with an inverse
variance, random-effects model to calculate standardized mean differences (SMDs)
and 95% confidence intervals (CIs). There is moderate-quality evidence that
additional physical therapy services reduced length of stay by 3 days in subacute
settings (mean difference [MD]=-2.8; 95% CI, -4.6 to -0.9; I2=0%), and low
quality evidence that it reduced length of stay by 0.6 days in acute settings
(MD=-0.6; 95% CI, -1.1 to 0.0; I2=65%). Additional physical therapy led to small
improvements in self-care (SMD=.11; 95% CI, .03-.19; I2=0%), activities of daily
living (SMD=.13; 95% CI, .02-.25; I2=15%), and health-related quality of life
(SMD=.12; 95% CI, .03-.21; I2=0%), with no increases in adverse events. There was
no significant change in walking ability. One trial reported that additional
physical therapy was likely to be cost-effective in subacute rehabilitation.
CONCLUSIONS: Additional physical therapy services improve patient activity and
participation outcomes while reducing hospital length of stay for adults. These
benefits are likely safe, and there is preliminary evidence to suggest they may
be cost-effective.
PMID- 29634916
TI - Revisiting the developmental and cellular role of the pigmentation gene yellow in
Drosophila using a tagged allele.
AB - Pigmentation is a diverse and ecologically relevant trait in insects. Pigment
formation has been studied extensively at the genetic and biochemical levels. The
temporality of pigment formation during animal development, however, is more
elusive. Here, we examine this temporality, focusing on yellow, a gene involved
in the formation of black melanin. We generated a protein-tagged yellow allele in
the fruit fly Drosophila melanogaster, which allowed us to precisely describe
Yellow expression pattern at the tissue and cellular levels throughout
development. We found Yellow expressed in the pupal epidermis in patterns
prefiguring black pigmentation. We also found Yellow expressed in a few central
neurons from the second larval instar to adult stages, including a subset of
neurons adjacent to the clock neurons marked by the gene Pdf. We then
specifically examined the dynamics of Yellow expression domain and subcellular
localization in relationship to pigment formation. In particular, we showed how a
late step of re-internalization is regulated by the large low-density lipoprotein
receptor-related protein Megalin. Finally we suggest a new function for Yellow in
the establishment of sharp pigmentation pattern boundaries, whereby this protein
may assume a structural role, anchoring pigment deposits or pigmentation enzymes
in the cuticle.
PMID- 29634917
TI - Ca2+ handling remodeling and STIM1L/Orai1/TRPC1/TRPC4 upregulation in
monocrotaline-induced right ventricular hypertrophy.
AB - BACKGROUND: Right ventricular (RV) function is the most important prognostic
factor for pulmonary arterial hypertension (PAH) patients. The progressive
increase of pulmonary vascular resistance induces RV hypertrophy (RVH) and at
term RV failure (RVF). However, the molecular mechanisms of RVH and RVF remain
understudied. In this study, we gained insights into cytosolic Ca2+ signaling
remodeling in ventricular cardiomyocytes during the pathogenesis of severe
pulmonary hypertension (PH) induced in rats by monocrotaline (MCT) exposure, and
we further identified molecular candidates responsible for this Ca2+ remodeling.
METHODS AND RESULTS: After PH induction, hypertrophied RV myocytes presented
longer action potential duration, higher and faster [Ca2+]i transients and
increased sarcoplasmic reticulum (SR) Ca2+ content, whereas no changes in these
parameters were detected in left ventricular (LV) myocytes. These modifications
were associated with increased P-Ser16-phospholamban pentamer expression without
altering SERCA2a (Sarco/Endoplasmic Reticulum Ca2+-ATPase) pump abundance.
Moreover, after PH induction, Ca2+ sparks frequency were higher in hypertrophied
RV cells, while total RyR2 (Ryanodine Receptor) expression and phosphorylation
were unaffected. Together with cellular hypertrophy, the T-tubules network was
disorganized. Hypertrophied RV cardiomyocytes from MCT-exposed rats showed
decreased expression of classical STIM1 (Stromal Interaction molecule) associated
with increased expression of muscle-specific STIM1 Long isoform, glycosylated
Orai1 channel form, and TRPC1 and TRPC4 channels, which was correlated with an
enhanced Ca2+-release-activated Ca2+ (CRAC)-like current. Pharmacological
inhibition of TRPCs/Orai1 channels in hypertrophied RV cardiomyocytes normalized
[Ca2+]i transients amplitude, the SR Ca2+ content and cell contractility to
control levels. Finally, we showed that most of these changes did not appear in
LV cardiomyocytes. CONCLUSIONS: These new findings demonstrate RV-specific
cellular Ca2+ cycling remodeling in PH rats with maladaptive RVH and that the
STIM1L/Orai1/TRPC1/C4-dependent Ca2+ current participates in this Ca2+ remodeling
in RVH secondary to PH.
PMID- 29634918
TI - Self-Assembly of alpha-Cyclodextrin and beta-Cyclodextrin: Identification and
Development of Analytical Techniques.
AB - Recently, it has been shown that cyclodextrins (CDs) self-assemble in aqueous
solutions to form aggregates. Such aggregation can give rise to formation of
particulate matter in aqueous solutions. However, the analytical methodology
available to detect and quantify these aggregates is still quite inadequate.
Here, 5 different methods for evaluation of CD aggregate formation and
determination of the critical aggregation concentration are evaluated: osmometry,
viscosity, surface tension, dynamic light scattering, and permeability studies.
Both the viscosity and surface tension methods applied were inadequate for
aggregate detection, whereas the osmometry method can be used to study CD
aggregation but with some limitations. Dynamic light scattering has also some
limitations although it can be applied to detect CD aggregates and to estimate
their hydrodynamic diameter. Overall, permeation studies proved to be the best
method to detect and determine critical aggregation concentration. These results
suggested that beta-cyclodextrin (betaCD) has higher tendency to aggregate than
alpha-cyclodextrin (alphaCD). Filtration of alphaCD and betaCD solutions affected
the aggregate size distribution by breaking larger aggregates in to smaller ones
that then reassembled to regenerate the larger ones upon storage. The osmolality
studies showed that in aqueous alphaCD and betaCD solutions, solute-solute
interactions are favored over solute-solvent interactions with consequent CD
aggregate formation.
PMID- 29634919
TI - Crystal Structure of Human Dual-Specificity Tyrosine-Regulated Kinase 3 Reveals
New Structural Features and Insights into its Auto-phosphorylation.
AB - Dual-specificity tyrosine-regulated kinases (DYRKs) auto-phosphorylate a critical
tyrosine residue in their activation loop and phosphorylate their substrate on
serine and threonine residues. The auto-phosphorylation occurs intramolecularly
and is a one-off event. DYRK3 is selectively expressed at a high level in
hematopoietic cells and attenuates erythroblast development, leading to anemia.
In the present study, we determined the crystal structure of the mature form of
human DYRK3 in complex with harmine, an ATP competitive inhibitor. The crystal
structure revealed a phosphorylation site, residue S350, whose phosphorylation
increases the stability of DYRK3 and enhances its kinase activity. In addition,
our structural and biochemical assays suggest that the N-terminal auto
phosphorylation accessory domain stabilizes the DYRK3 protein, followed by auto
phosphorylation of the tyrosine of the activation loop, which is important for
kinase activity. Finally, our docking analysis provides information for the
design of novel and potent therapeutics to treat anemia.
PMID- 29634921
TI - Dynamic changes in ejaculatory bulb size during Drosophila melanogaster aging and
mating.
AB - The ejaculatory bulb of Drosophila melanogaster males produces proteins and
pheromones that play important roles in reproduction. This tissue is also the
final mixing site for the ejaculate before transfer to the female. The
ejaculatory bulb's dynamics remain largely unstudied. By microscopy of the
ejaculatory bulb in maturing adult males, we observed that the ejaculatory bulb
expands in size as males age. Moreover, we document that when males mate, their
ejaculatory bulb expands further as ejaculate transfer begins, and then contracts
halfway through the course of mating as ejaculate transfer finishes. Although
there is some male-to-male variation in the timing of these changes, ultimately
the tissue changes in a predictable pattern that gives insight into the active
mating process in Drosophila.
PMID- 29634922
TI - Bulimulus tenuissimus (mollusca) as a new potential host of Angiostrogylus
cantonensis (nematoda), a histological and metabolic study.
AB - The terrestrial gastropod Bulimulus tenuissimus is widespread in South America.
It is an intermediate host of many parasites, but there are no records of
infection of this snail by Angiostrongylus cantonensis, despite the occurrence of
this parasite and angiostrongyliasis cases in the same areas in which B.
tenuissimus occurs. For this reason, it is important investigate the
susceptibility of B. tenuissimus to A. cantonensis-infection, since it can be
used as intermediate host of A. cantonensis, increasing the list of terrestrial
gastropods that infect wild and domestic animals and humans with this parasite.
The purpose of this study was to evaluate the susceptibility of B. tenuissimus to
experimental infection with L1 larvae of A. cantonensis. The snails were exposed
to 1200 L1 larvae and it was possible observe many developing larvae in the
cephalopedal mass and mantle tissues, with intense hemocyte infiltration and
collagen deposition, but no typical granuloma structures were formed. The glucose
content and lactate dehydrogenase activity in the hemolymph varied, indicating an
increase of anaerobic energy metabolism in the middle of infection, but with a
tendency to return to normal values at the end of pre-patent period. This was
corroborated by the marked reduction in the glycogen content in the cephalopedal
mass and digestive gland in the first and second week after exposure, followed by
a slight increase in the third week. The content of pyruvic acid in the hemolymph
was 14.84% lower at the end of pre-patent period, and oxalic acid content was
41.14% higher. These results indicate an aerobic to anaerobic transition process.
The PAS reaction showed a large amount of glycogen inside the developing larvae
and muscular tissues of the cephalopedal mass, indicating that despite the high
consumption of this polysaccharide by the parasite, the snail is able to maintain
its energy metabolism based on carbohydrates. The results reveal that B.
tenuissimus is a robust host, which can live with the developing larvae of A.
cantonensis and overcome the metabolic damages resulting from parasitism.
PMID- 29634920
TI - Expanding the Paradigm: Intrinsically Disordered Proteins and Allosteric
Regulation.
AB - Allosteric regulatory processes are implicated at all levels of biological
function. Recent advances in our understanding of the diverse and functionally
significant class of intrinsically disordered proteins have identified a
multitude of ways in which disordered proteins function within the confines of
the allosteric paradigm. Allostery within or mediated by intrinsically disordered
proteins ensures robust and efficient signal integration through mechanisms that
would be extremely unfavorable or even impossible for globular protein
interaction partners. Here, we highlight recent examples that indicate the
breadth of biological outcomes that can be achieved through allosteric regulation
by intrinsically disordered proteins. Ongoing and future work in this rapidly
evolving area of research will expand our appreciation of the central role of
intrinsically disordered proteins in ensuring the fidelity and efficiency of
cellular regulation.
PMID- 29634923
TI - Simultaneous exposure of nematophagous fungi, entomopathogenic nematodes and
entomopathogenic fungi can modulate belowground insect pest control.
AB - Entomopathogenic nematodes (EPNs) and fungi (EPF) are well known biological
control agents (BCAs) against insect pests. Similarly, the nematophagous fungi
(NF) are considered good BCA candidates for controlling plant parasitic
nematodes. Because NF can employ EPNs as food and interact with EPF, we speculate
that the simultaneous application of EPNs and EPF might result in higher insect
mortality, whereas the triple species combination with NF will reduce the EPN and
EPF activity by predation or inhibition. Here we evaluated single, dual (EPN +
EPF, EPF + NF, EPN + NF) and triple (EPN + EPF + NF) combinations of one EPN,
Steinernema feltiae (Rhabditida: Steinernematidae), one EPF, Beauveria bassiana
(Hypocreales: Clavicipitaceae), and two NF, Arthrobotrys musiformis (Orbiliales:
Orbiliaceae) and Purpureocillium lilacinum (Hypocreales: Ophiocordycipitaceae)
under laboratory conditions. First, we showed that EPF reduced the growth rate of
NF and vice versa when combined in both rich and limiting media, suggesting a
negative interaction when combining both fungi. Three different fungal
applications (contact with mycelia-conidia, immersion in conidial suspension, and
injection of conidial suspension) were tested in single, dual and triple species
combinations, evaluating Galleria mellonella (Lepidoptera: Pyralidae) larval
mortality and time to kill. When mycelia was presented, the EPF appeared to be
the dominant in combined treatments, whereas in immersion exposure was the EPN.
In both types of exposure, NF alone did not produce any effect on larvae.
However, when A. musiformis was injected, it produced larval mortalities >70% in
the same time span as EPN. Overall, additive effects dominated the dual and
triple combinations, with the exception of injection method, where synergisms
occurred for both NF species combined with EPN + EPF. This study illustrates how
differences in species combination and timing of fungal arrival can modulate the
action of BCAs when augmented in the soil. Further studies are required to fine
tune these multitrophic interactions to provide successful, sustainable and
resilient pest management in agroecosystems.
PMID- 29634924
TI - Loss of nucleolar localization of NAT10 promotes cell migration and invasion in
hepatocellular carcinoma.
AB - NAT10, a nucleolar acetyltransferase, participates in a variety of cellular
processes including ribosome biogenesis and DNA damage response.
Immunohistochemistry staining showed that cytoplasmic and membranous NAT10 is
related to the clinical pathologic characteristics in human cancer tissues.
However, the mechanism about how NAT10 translocates from the nucleolus to
cytoplasm and membrane is unclear. Here, we obtain a NAT10 deletion mutant
localizing in cytoplasm and membrane. Bioinformatics analysis showed that
residues 68-75 and 989-1018 are two potential nuclear localization signals (NLS)
of NAT10. GFP-NAT10 deletion mutant (Delta989-1018) predominantly translocates
into cytoplasm with faint signal retained in the nucleolus, while GFP
NAT10(Delta68-75) still remains in the nucleolus and nucleoplasm, indicating
residues 989-1018 is the main nucleolar localization signal (NuLS). GFP-NAT10-D3,
with both fragments (residues 68-75 and 989-1018) deleted, completely excludes
from the nucleolus and translocates to cytoplasm and membrane. Therefore,
complete NuLSs of NAT10 should include residues 68-75 and 989-1018. The
cytoplasmic and membranous NAT10 mutant (Flag-NAT10-D3) colocalizes with alpha
tubulin in cytoplasm and with integrin on cell membrane. Importantly, Flag-NAT10
D3 promotes alpha-tubulin acetylation and stabilizes microtubules. Consequently,
Flag-NAT10-D3 promotes migration and invasion in hepatocellular carcinoma (HCC)
cells. Statistical analysis of immunohistochemistry staining of NAT10 in HCC
tissues demonstrates that the cytoplasmic NAT10 is correlated with poorer
prognosis compared with nuclear NAT10, while the membranous NAT10 predicts the
poorest clinical outcome of the patients. We thus provide the evidence for the
function of cytoplasmic and membranous NAT10 in the metastasis and prognosis of
HCC patients.
PMID- 29634925
TI - Myeloid sirtuin1 deficiency aggravates hippocampal inflammation in mice fed high
fat diets.
AB - Chronic low-grade inflammation-induced insulin resistance is associated with
neuroinflammation. Myeloid sirtuin1 (SIRT1) deficiency aggravates high-fat diet
(HFD)-induced insulin resistance. However, the function of myeloid-specific SIRT1
in the hippocampus of obese mice is largely unknown. To address this question, we
fed myeloid SIRT1 knockout (KO) mice a HFD for 40 weeks. We found that HFD-fed
SIRT1 KO mice had increased insulin resistance and macrophage infiltration in
adipose tissue than wild type (WT) mice. Levels of HFD-induced lipocalin-2 (LCN2)
were lower in SIRT1 KO mice than in WT. HFD-induced hippocampal LCN2 expression
was lower in HFD-fed SIRT1 KO mice than in WT. Hippocampal acetylation of nuclear
factor-kappaB (NF-kappaB) and amyloid precursor protein levels were higher in HFD
fed SIRT1 KO mice than in HFD-fed WT mice. Taken together, our results suggest
that targeted induction of the anti-inflammatory effects of SIRT1 and LCN2 may
help prevent obesity-associated insulin resistance and neuroinflammation.
PMID- 29634926
TI - Astrocytes synthesize primary and cyclopentenone prostaglandins that are negative
regulators of their proliferation.
AB - Recently, the modulation of cellular inflammatory responses via endogenous
regulators became a major focus of medically relevant investigations.
Prostaglandins (PGs) are attractive regulatory molecules, but their synthesis and
mechanisms of action in brain cells are still unclear. Astrocytes are involved in
manifestation of neuropathology and their proliferation is an important part of
astrogliosis, a cellular neuroinflammatory response. The aims of our study were
to measure synthesis of PGs by astrocytes, and evaluate their influence on
proliferation in combination with addition of inflammatory pathway inhibitors.
With UPLC-MS/MS analysis we detected primary PGs (1410 +/- 36 pg/mg PGE2, 344 +/-
24 PGD2) and cyclopentenone PGs (cyPGs) (87 +/- 17 15d-PGJ2, 308 +/- 23 PGA2) in
the extracellular medium after 24-h lipopolysaccharide (LPS) stimulation of
astrocytes. PGs reduced astrocytic proliferation with the following order of
potencies (measured as inhibition at 20 MUM): most potent 15d-PGJ2 (90%) and PGA2
(80%), > PGD2 (40%) > 15d-PGA2 (20%) > PGE2 (5%), the least potent. However,
PGF2alpha and 2-cyclopenten-1-one, and ciglitazone and rosiglitazone (synthetic
agonists of PPARgamma) had no effect. Combinations of cyPGs with SC-560 or NS-398
(specific anti-inflammatory inhibitors of cyclooxygenase-1 and -2, respectively)
were not effective; while GW9662 (PPARgamma antagonist) or MK-741 (inhibitor of
multidrug resistance protein-1, MRP1, and CysLT1 receptors) amplified the
inhibitory effect of PGA2 and 15d-PGJ2. Although concentrations of individual PGs
and cyPGs are low, all of them, as well as primary PGs suppress proliferation.
Thus, the effects are potentially additive, and activated PGs synthesis
suppresses proliferation in astrocytes.
PMID- 29634927
TI - The chemorepellent draxin is involved in hippocampal mossy fiber projection.
AB - Lamina-specific afferent innervation of the mammalian hippocampus is critical for
its function. We investigated the relevance of the chemorepellent draxin to the
laminar projections of three principal hippocampal afferents: mossy fibers,
entorhinal, and associational/commissural fibers. We observed that draxin
deficiency led to abnormal projection of mossy fibers but not other afferents.
Immunohistochemical analysis indicated that draxin is expressed in the dentate
gyrus and cornu ammonis (CA) 3 at postnatal day 0, when dentate granule cells
begin to extend mossy fibers towards CA3. Furthermore, a neurite growth assay
using dissociated cells of the neonatal dentate gyrus revealed that draxin
inhibited the growth of calbindin-D28k-expressing mossy fibers in vitro. Taken
together, we conclude that draxin is a key molecule in the regulation of mossy
fiber projections.
PMID- 29634928
TI - Down-regulation of FOXR2 inhibits non-small cell lung cancer cell proliferation
and invasion through the Wnt/beta-catenin signaling pathway.
AB - Forkhead box R2 (FOXR2), a new member of the FOX family, is an important player
in a wide range of cellular processes such as proliferation, migration,
differentiation and apoptosis. Recently, FOXR2 has been reported to be implicated
in cancer development. However, the biological functions of FOXR2 in non-small
cell lung cancer (NSCLC) remain unclear. In this study, we investigated the
specific role of FOXR2 in NSCLC. The results showed that down-regulation of FOXR2
significantly inhibited NSCLC cell proliferation and invasion in vitro and
suppressed NSCLC cell growth and metastasis in vivo. In addition, the decrease in
FOXR2 expression markedly reduced the protein levels of beta-catenin, cyclinD1
and c-Myc and hence inactivated the Wnt/beta-catenin pathway in NSCLC cells.
Taken together, we concluded that FOXR2 might be considered as a promising
therapeutic target for NSCLC treatment.
PMID- 29634929
TI - Structural insights into the backbone-circularized granulocyte colony-stimulating
factor containing a short connector.
AB - Backbone circularization is a powerful approach for enhancing the structural
stability of polypeptides. Herein, we present the crystal structure of the
circularized variant of the granulocyte colony-stimulating factor (G-CSF) in
which the terminal helical region was circularized using a short, two-amino acid
connector. The structure revealed that the N- and C-termini were indeed connected
by a peptide bond. The local structure of the C-terminal region transited from an
alpha helix to 310 helix with a bend close to the N-terminal region, indicating
that the structural change offset the insufficient length of the connector. This
is the first-ever report of a crystal structure of the backbone of a circularized
protein. It will facilitate the development of backbone circularization
methodology.
PMID- 29634930
TI - HCMV Assembly Is Totally Tubular.
AB - Microtubules are normally organized at centrosomes, but other sites can also
serve as microtubule organizing centers (MTOCs). In this issue of Developmental
Cell, Procter et al. (2018) show that the human cytomegalovirus virion assembly
compartment acts as a dynamic Golgi-derived MTOC where EB3 nucleates microtubules
and regulates infectious virion production.
PMID- 29634931
TI - Neurovascular Communication during CNS Development.
AB - A precise communication between the nervous and the vascular systems is crucial
for proper formation and function of the central nervous system (CNS).
Interestingly, this communication does not only occur by neural cells regulating
the growth and properties of the vasculature, but new studies show that blood
vessels actively control different neurodevelopmental processes. Here, we review
the current knowledge on how neurons in particular influence growing blood
vessels during CNS development and on how vessels participate in shaping the
neural compartment. We also review the identified molecular mechanisms of this
bidirectional communication.
PMID- 29634934
TI - Metastasis of Circulating Tumor Cells: Speed Matters.
AB - The mechanisms involved in tumor cell extravasation during metastasis remain
incompletely understood. In this issue of Developmental Cell, Follain and
colleagues (2018) demonstrate that blood flow velocity is an important regulator
of circulating tumor cell exit from the bloodstream.
PMID- 29634933
TI - The Role of Mitotic Cell-Substrate Adhesion Re-modeling in Animal Cell Division.
AB - Animal cells undergo a dramatic series of shape changes as they divide, which
depend on re-modeling of cell-substrate adhesions. Here, we show that while focal
adhesion complexes are disassembled during mitotic rounding, integrins remain in
place. These integrin-rich contacts connect mitotic cells to the underlying
substrate throughout mitosis, guide polarized cell migration following mitotic
exit, and are functionally important, since adherent cells undergo division
failure when removed from the substrate. Further, the ability of cells to re
spread along pre-existing adhesive contacts is essential for division in cells
compromised in their ability to construct a RhoGEF-dependent (Ect2) actomyosin
ring. As a result, following Ect2 depletion, cells fail to divide on small
adhesive islands but successfully divide on larger patterns, as the connection
between daughter cells narrows and severs as they migrate away from one another.
In this way, regulated re-modeling of cell-substrate adhesions during mitotic
rounding aids division in animal cells.
PMID- 29634932
TI - The RAB11A-Positive Compartment Is a Primary Platform for Autophagosome Assembly
Mediated by WIPI2 Recognition of PI3P-RAB11A.
AB - Autophagy is a critical pathway that degrades intracytoplasmic contents by
engulfing them in double-membraned autophagosomes that are conjugated with LC3
family members. These membranes are specified by phosphatidylinositol 3-phosphate
(PI3P), which recruits WIPI2, which, in turn, recruits ATG16L1 to specify the
sites of LC3-conjugation. Conventionally, phosphatidylinositides act in concert
with other proteins in targeting effectors to specific membranes. Here we
describe that WIPI2 localizes to autophagic precursor membranes by binding
RAB11A, a protein that specifies recycling endosomes, and that PI3P is formed on
RAB11A-positive membranes upon starvation. Loss of RAB11A impairs the recruitment
and assembly of the autophagic machinery. RAB11A-positive membranes are a primary
direct platform for canonical autophagosome formation that enables autophagy of
the transferrin receptor and damaged mitochondria. While this compartment may
receive membrane inputs from other sources to enable autophagosome biogenesis,
RAB11A-positive membranes appear to be a compartment from which autophagosomes
evolve.
PMID- 29634936
TI - Mitosis-Resistant Adhesions Provide Molecular Memory to Dividing Cells.
AB - During mitosis, animal cells disassemble focal adhesions and round up while
remaining attached to the substrata via actin cables and unknown adhesive
structures. In this issue of Developmental Cell, Dix et al. (2018) describe
integrin-positive adhesions, devoid of classical focal adhesion components, that
persist throughout mitosis to contribute to re-spreading.
PMID- 29634935
TI - Hemodynamic Forces Tune the Arrest, Adhesion, and Extravasation of Circulating
Tumor Cells.
AB - Metastatic seeding is driven by cell-intrinsic and environmental cues, yet the
contribution of biomechanics is poorly known. We aim to elucidate the impact of
blood flow on the arrest and the extravasation of circulating tumor cells (CTCs)
in vivo. Using the zebrafish embryo, we show that arrest of CTCs occurs in
vessels with favorable flow profiles where flow forces control the adhesion
efficacy of CTCs to the endothelium. We biophysically identified the threshold
values of flow and adhesion forces allowing successful arrest of CTCs. In
addition, flow forces fine-tune tumor cell extravasation by impairing the
remodeling properties of the endothelium. Importantly, we also observe
endothelial remodeling at arrest sites of CTCs in mouse brain capillaries.
Finally, we observed that human supratentorial brain metastases preferably
develop in areas with low perfusion. These results demonstrate that hemodynamic
profiles at metastatic sites regulate key steps of extravasation preceding
metastatic outgrowth.
PMID- 29634938
TI - A Tale of Two Cycles.
AB - Two of the fundamental rhythms of eukaryotic life are the circadian clock and the
cell division cycle. In this issue of Developmental Cell, Fung-Uceda and
colleagues (2018) have elucidated a molecular mechanism linking the circadian
clock to the cell cycle in the plant Arabidopsis thaliana.
PMID- 29634940
TI - Metastatic Renal Cell Carcinoma Presenting as a Solitary Gastric Polyp.
PMID- 29634941
TI - Mechanistic Insights into Transmissible Cancers of Mammals.
AB - Transmissible cancers are clonal lineages that spread through populations via
contagious cancer cells. In this issue of Cancer Cell, two articles by Stammnitz
et al. and Frampton et al. present novel insights into the potential mechanisms
underlying the propagation of naturally occurring transmissible cancers in
mammals.
PMID- 29634939
TI - The HCMV Assembly Compartment Is a Dynamic Golgi-Derived MTOC that Controls
Nuclear Rotation and Virus Spread.
AB - Human cytomegalovirus (HCMV), a leading cause of congenital birth defects, forms
an unusual cytoplasmic virion maturation site termed the "assembly compartment"
(AC). Here, we show that the AC also acts as a microtubule-organizing center
(MTOC) wherein centrosome activity is suppressed and Golgi-based microtubule (MT)
nucleation is enhanced. This involved viral manipulation of discrete functions of
MT plus-end-binding (EB) proteins. In particular, EB3, but not EB1 or EB2, was
recruited to the AC and was required to nucleate MTs that were rapidly
acetylated. EB3-regulated acetylated MTs were necessary for nuclear rotation
prior to cell migration, maintenance of AC structure, and optimal virus
replication. Independently, a myristoylated peptide that blocked EB3-mediated
enrichment of MT regulatory proteins at Golgi regions of the AC also suppressed
acetylated MT formation, nuclear rotation, and infection. Thus, HCMV offers new
insights into the regulation and functions of Golgi-derived MTs and the
therapeutic potential of targeting EB3.
PMID- 29634937
TI - Coordination of Receptor Tyrosine Kinase Signaling and Interfacial Tension
Dynamics Drives Radial Intercalation and Tube Elongation.
AB - We sought to understand how cells collectively elongate epithelial tubes. We
first used 3D culture and biosensor imaging to demonstrate that epithelial cells
enrich Ras activity, phosphatidylinositol (3,4,5)-trisphosphate (PIP3), and F
actin to their leading edges during migration within tissues. PIP3 enrichment
coincided with, and could enrich despite inhibition of, F-actin dynamics,
revealing a conserved migratory logic compared with single cells. We discovered
that migratory cells can intercalate into the basal tissue surface and contribute
to tube elongation. We then connected molecular activities to subcellular
mechanics using force inference analysis. Migration and transient intercalation
required specific and similar anterior-posterior ratios of interfacial tension.
Permanent intercalations were distinguished by their capture at the boundary
through time-varying tension dynamics. Finally, we integrated our experimental
and computational data to generate a finite element model of tube elongation. Our
model revealed that intercalation, interfacial tension dynamics, and high basal
stress are together sufficient for mammary morphogenesis.
PMID- 29634942
TI - More Rules, Still Exceptions: Understanding Immunomodulatory Antibody Activity In
Vivo.
AB - Understanding how agonistic and checkpoint control antibodies mediate their
activity in vivo is essential for further development of these promising anti
cancer therapies. In this issue of Cancer Cell, studies by Vargas et al. and Yu
et al. provide insights into the mode of action of CTLA-4- and CD40-specific
antibodies.
PMID- 29634943
TI - T Cell Dysfunction in Cancer.
AB - Therapeutic reinvigoration of tumor-specific T cells has greatly improved
clinical outcome in cancer. Nevertheless, many patients still do not achieve
durable benefit. Recent evidence from studies in murine and human cancer suggest
that intratumoral T cells display a broad spectrum of (dys-)functional states,
shaped by the multifaceted suppressive signals that occur within the tumor
microenvironment. Here we discuss the current understanding of T cell dysfunction
in cancer, the value of novel technologies to dissect such dysfunction at the
single cell level, and how our emerging understanding of T cell dysfunction may
be utilized to develop personalized strategies to restore antitumor immunity.
PMID- 29634944
TI - The Immune Revolution: A Case for Priming, Not Checkpoint.
AB - Most tumors are unresponsive to immune checkpoint blockade, especially if deep
immunosuppression in the tumor develops prior to and prevents T cell
immunosurveillance. Failed or frustrated T cell priming often needs repair before
successful sensitization to PD-1/PD-L1 blockade. CD40 activation plays a critical
role in generating T cell immunity, by activating dendritic cells, and converting
cold tumors to hot. In preclinical studies, agonistic CD40 antibodies demonstrate
T cell-dependent anti-tumor activity, especially in combination with
chemotherapy, checkpoint inhibitory antibodies, and other immune modulators. With
the advent of multiple CD40 agonists with acceptable single-agent toxicity,
clinical evaluation of CD40 combinations has accelerated.
PMID- 29634945
TI - The Influence of the Gut Microbiome on Cancer, Immunity, and Cancer
Immunotherapy.
AB - The microbiome is receiving significant attention given its influence on a host
of human diseases including cancer. Its role in response to cancer treatment is
becoming increasingly apparent, with evidence suggesting that modulating the gut
microbiome may affect responses to numerous forms of cancer therapy. A working
knowledge of the microbiome is vital as we move forward in this age of precision
medicine, and an understanding of the microbiome's influence on immune responses
and cancer is key. It is also important to understand factors influencing the gut
microbiome and strategies to manipulate the microbiome to augment therapeutic
responses.
PMID- 29634947
TI - Oncolytic Viruses as Antigen-Agnostic Cancer Vaccines.
AB - Selective destruction of neoplastic tissues by oncolytic viruses (OVs) leads to
antigen-agnostic boosting of neoantigen-specific cytotoxic T lymphocyte (CTL)
responses, making OVs ideal companions for checkpoint blockade therapy. Here we
discuss the mechanisms whereby OVs modulate both adjuvanticity and antigenicity
of tumor cells. Suppression of antitumor immunity after OV therapy has not been
observed, possibly because viral antigen expression diminishes as the antiviral
response matures, thereby progressively honing the CTL response to tumor
neoantigens. By combining direct in situ tumor destruction with the ability to
boost antitumor immunity, OVs also have the potential to be powerful standalone
cancer therapies.
PMID- 29634946
TI - Emerging Concepts for Immune Checkpoint Blockade-Based Combination Therapies.
AB - Checkpoint blockade has formally demonstrated that reactivating anti-tumor immune
responses can regress tumors. However, this only occurs in a fraction of
patients. Incorporating these therapies in more powerful combinations is thus a
logical next step. Here, we review functional roles of immune checkpoints and
molecular determinants of checkpoint-blockade clinical activity. Limited-size T
cell-infiltrated tumors, differing substantially from "self," generally respond
to checkpoint blockade. Therefore, we propose that reducing tumor burden and
increasing tumor immunogenicity are key factors to improve immunotherapy. Lastly,
we outline criteria to select proper immunotherapy combination partners and
highlight the importance of activity biomarkers for timely treatment
optimization.
PMID- 29634948
TI - The Origins and Vulnerabilities of Two Transmissible Cancers in Tasmanian Devils.
AB - Transmissible cancers are clonal lineages that spread through populations via
contagious cancer cells. Although rare in nature, two facial tumor clones affect
Tasmanian devils. Here we perform comparative genetic and functional
characterization of these lineages. The two cancers have similar patterns of
mutation and show no evidence of exposure to exogenous mutagens or viruses. Genes
encoding PDGF receptors have copy number gains and are present on
extrachromosomal double minutes. Drug screening indicates causative roles for
receptor tyrosine kinases and sensitivity to inhibitors of DNA repair. Y
chromosome loss from a male clone infecting a female host suggests immunoediting.
These results imply that Tasmanian devils may have inherent susceptibility to
transmissible cancers and present a suite of therapeutic compounds for use in
conservation.
PMID- 29634949
TI - Molecular Signatures of Regression of the Canine Transmissible Venereal Tumor.
AB - The canine transmissible venereal tumor (CTVT) is a clonally transmissible cancer
that regresses spontaneously or after treatment with vincristine, but we know
little about the regression mechanisms. We performed global transcriptional,
methylation, and functional pathway analyses on serial biopsies of vincristine
treated CTVTs and found that regression occurs in sequential steps; activation of
the innate immune system and host epithelial tissue remodeling followed by immune
infiltration of the tumor, arrest in the cell cycle, and repair of tissue damage.
We identified CCL5 as a possible driver of CTVT regression. Changes in gene
expression are associated with methylation changes at specific intragenic sites.
Our results underscore the critical role of host innate immunity in triggering
cancer regression.
PMID- 29634950
TI - Adipocyte p62/SQSTM1 Suppresses Tumorigenesis through Opposite Regulations of
Metabolism in Adipose Tissue and Tumor.
AB - Obesity is a leading risk factor for cancer. However, understanding the crosstalk
between adipocytes and tumor cells in vivo, independently of dietary
contributions, is a major gap in the field. Here we used a prostate cancer (PCa)
mouse model in which the signaling adaptor p62/Sqstm1 is selectively inactivated
in adipocytes. p62 loss in adipocytes results in increased osteopontin secretion,
which mediates tumor fatty acid oxidation and invasion, leading to aggressive
metastatic PCa in vivo. Furthermore, p62 deficiency triggers in adipocytes a
general shutdown of energy-utilizing pathways through mTORC1 inhibition, which
supports nutrient availability for cancer cells. This reveals a central role of
adipocyte's p62 in the symbiotic adipose tissue-tumor collaboration that enables
cancer metabolic fitness.
PMID- 29634951
TI - Targeting the Senescence-Overriding Cooperative Activity of Structurally
Unrelated H3K9 Demethylases in Melanoma.
PMID- 29634952
TI - Dual Targeting of Oncogenic Activation and Inflammatory Signaling Increases
Therapeutic Efficacy in Myeloproliferative Neoplasms.
PMID- 29634953
TI - Circular RNA expression profiles of mouse ovaries during postnatal development
and the function of circular RNA epidermal growth factor receptor in granulosa
cells.
AB - PURPOSE: Circular RNAs (circRNAs) are a class of noncoding RNAs that can regulate
gene expression at the post-transcriptional level. The contribution of circRNAs
in the regulation of granulosa cells (GCs) functions is not yet clear. The aim of
this study was to analyze circRNA expression in adult and neonate ovaries,
uncover the biological roles of circ_0002861 (circEGFR) and identify the
mechanism by which it modulates follicular development. BASIC PROCEDURES: The
circRNA expression profiles of adult and neonatal mouse ovaries were explored by
high-throughput sequencing. The function of circEGFR was measured by RNA
fluorescence in situ hybridization, overexpression, knockdown, RNA
immunoprecipitation and luciferase reporter assays in GCs. MAIN FINDINGS:
Numerous differentially expressed circRNAs were identified in adult and neonatal
ovaries. Through circRNAs expression patterns and Kyoto Encyclopedia of Genes and
Genomes (KEGG) pathway analysis, estrogen signaling was found to be upregulated
in adult ovaries compared with neonate ovaries. Further analysis revealed that
the expression of circEGFR (circ_0002861, ID: mmu_circ_0002861 in circBase) was
increased in adult ovaries compared with neonate ovaries. circEGFR is formed by
splicing from exons 14 and 15 of the epidermal growth factor receptor (EGFR) gene
to produce a covalently linked 249-nucleotide circRNA. Overexpression of circEGFR
increased estradiol (E2) production and GCs growth, whereas circEGFR knockdown
enhanced progesterone production and inhibited (E2) secretion by GCs.
Bioinformatic screening identified several binding sites for miR-125a-3p in the
circEGFR sequence. RNA immunoprecipitation and luciferase reporter assays
demonstrated that circEGFR may act as a sponge for miR-125a-3p, thus modulating
Fyn expression. PRINCIPAL CONCLUSIONS: These findings illustrate that circEGFR
may play a vital role in ovarian GCs by modulating Fyn via competitive binding
with miR-125a-3p. Our results suggest potential applications of circEGFR in
reproductive and steroid-related disorder therapy.
PMID- 29634954
TI - Advances at the intersection of sleep and metabolism research.
PMID- 29634955
TI - Hazard assessment of three haloacetic acids, as byproducts of water disinfection,
in human urothelial cells.
AB - Disinfection by-products (DBPs) are compounds produced in the raw water
disinfection processes. Although increased cancer incidence has been associated
with exposure to this complex mixture, the carcinogenic potential of individual
DBPs remains not well known; thus, further studies are required. Haloacetic acids
(HAAs) constitute an important group among DBPs. In this study, we have assessed
the in vitro carcinogenic potential of three HAAs namely chloro-, bromo-, and
iodoacetic acids. Using a long-term (8 weeks) and sub-toxic doses exposure
scenario, different in vitro transformation markers were evaluated using a human
urothelial cell line (T24). Our results indicate that long-term exposure to low
doses of HAAs did not reproduce the genotoxic effects observed in acute
treatments, where oxidative DNA damage was induced. No changes in the
transformation endpoints analyzed were observed, as implied by the absence of
significant morphological, cell growth rate and anchorage-independent cell growth
pattern modifications. Interestingly, HAA-long-term exposed cells developed
resistance to oxidative stress damage, what would explain the observed
differences between acute and long-term exposure conditions. Accordingly, data
obtained under long-term exposure to sub-toxic doses of HAAs could be more
accurate, in terms of risk assessment, than under acute exposure scenarios.
PMID- 29634956
TI - Accelerated evolution of toxin genes: Exonization and intronization in snake
venom disintegrin/metalloprotease genes.
AB - Toxin genes in animals undergo accelerated evolution compared to non-toxin genes
to be effective and competitive in prey capture, as well as to enhance their
predator defense. Several mechanisms have been proposed to explain this unusual
phenomenon. These include (a) frequent mutations in exons compared to introns and
nonsynonymous substitutions in exons; (b) high frequency of point mutations are
due to the presence of more unstable triplets in exons compared to introns; (c)
Accelerated Segment Switch in Exons to alter Targeting (ASSET); (d) Rapid
Accumulation of Variations in Exposed Residues (RAVERs); (e) alteration in intron
exon boundary; (f) deletion of exon; and (g) loss/gain of domains through
recombination. By systematic analyses of snake venom disintegrin/metalloprotease
genes, I describe a new mechanism in the evolution of these genes through
exonization and intronization. In the evolution of RTS/KTS disintegrins, a new
exon (10a) is formed in intron 10 of the disintegrin/metalloprotease gene. Unlike
more than 90% new exons that are from repetitive elements in introns, exon 10a
originated from a non-repetitive element. To incorporate exon 10a, part of the
exon 11 is intronized to retain the open reading frame. This is the first case of
simultaneous exonization and intronization within a single gene. This new
mechanism alters the function of toxins through drastic changes to the molecular
surface via insertion of new exons and deletion of exons.
PMID- 29634957
TI - The effect of repeated bouts of hyperaemia on sensory nerve-mediated cutaneous
vasodilatation in humans.
AB - PURPOSE: To investigate cutaneous sensory nerve contribution to hyperaemia
following chronic shear stress training. METHODS: Eleven males underwent a shear
stress intervention (forearm occlusion 5 s, rest 10 s) for 30 min, 5 times.week-1
for 6 weeks on one arm, the other was an untreated control. Skin blood flow was
measured using laser-Doppler flowmetry, and sensory nerve function was assessed
with and without blockade with EMLA cream in response to 3 levels of local
heating (39, 42, and 44 degrees C) and post-occlusive reactive hyperaemia
(PORH). RESULTS: In response to local heating, EMLA treatment significantly
delayed the onset of vasodilatation (p < 0.001), time-to-peak (p < 0.001), time
to 39 degrees C (p < 0.02), time to 42 degrees C (p < 0.006), but not time to
44 degrees C (p > 0.2). EMLA treatment also increased time-to-peak for PORH (p
<= 0.01). In the experimental limb after 6 weeks, both onset time and time to
peak were shorter in response to local heating at the untreated and EMLA-treated
sites (all p < 0.001). There were no changes in time-to-peak for PORH at the
untreated and EMLA-treated sites (p >= 0.4); however, the peak PORH response was
reduced with EMLA treatment (p <= 0.03). The 6-week intervention increased the
peak PORH at the untreated sites (p < 0.001) but not at EMLA-treated (p > 0.05)
sites. Comparing the control limb before and after 6 weeks, no differences in
responses occurred at either the untreated skin sites (p >= 0.9) or the EMLA
treated sites (p >= 0.9). CONCLUSIONS: Sensory nerve blockade attenuated the
improvements in cutaneous vascular responses to thermal hyperaemia and PORH
following chronic exposure to shear stress. These data demonstrate an important
role for sensory nerve function in the initiation of vasodilatation to both PORH
and thermal hyperaemia, in both the time to onset and the magnitude of
vasodilatation.
PMID- 29634959
TI - Mechanical differences between ATP and ADP actin states: A molecular dynamics
study.
AB - This paper aims to give a comprehensive atomistic modeling of the nanomechanical
behavior of actin monomer. Actin is a ubiquitous and essential component of
cytoskeleton which forms many different cellular structures. Despite for several
years great effort has been devoted to the investigation of mechanical properties
of the actin filament, studies on the nanomechanical behavior of actin monomer
are still lacking. These scales are, however, important for a complete
understanding of the role of actin as an important component in the cytoskeleton
structure. Based on the accuracy of atomistic modeling methods such as molecular
dynamics simulations, steered molecular dynamics method is performed to assess
tension of monomeric G-actin molecule under different types of mechanical loading
including axial and lateral. As a result, stress-strain curves are obtained in
aqueous solution, with either ATP or ADP bound in the nucleotide binding pocket.
The obtained results yield evaluation of the tensile stiffness of a single actin
monomer in lateral and normal direction. In order to compare the behavior of ATP
and ADP G-actins, the number of hydrogen bonds and nonbonded interactions between
the nucleotide and the protein are analyzed. Moreover, The effect of virtual
spring of steered molecular dynamics on the mechanical behavior of actin monomer
is investigated. The results reveal increasing the virtual spring constant leads
to convergence of the stiffness. Moreover, in this paper, a generalized model is
proposed to extend the obtained results for the monomeric G-actin scale to the
actin filament. Our modeling estimated a persistence length of actin filament
15.41 um, close to experimental measurements. Moreover, In this paper, the
breaking force actin-actin bond is evaluated using steered molecular dynamics
simulation. By applying a tensile force, actin-actin bond ruptured at 4197.5 pN.
PMID- 29634958
TI - Anticoagulation Beyond 3 to 6Months: What Does the Data Tell Us?
AB - Patients with a history of deep vein thrombosis and pulmonary embolism are at
risk for a recurrent event. This is particularly true of patients with idiopathic
events or events related to low risk triggers. In these patients extending
anticoagulation beyond 3 to 6months may be warranted. Using clinical risk,
biomarker analysis and risk stratification protocols we can make the best
recommendations to patients with respect to the risks and benefits of ongoing
therapy. Trials demonstrating benefit from low-dose aspirin for secondary
prophylaxis may provide an option for patients in whom ongoing anticoagulation is
deemed unsafe. In addition, recent introduction of the direct oral anticoagulants
have expanded options for secondary prophylaxis for preventing venous
thromboembolism recurrence.
PMID- 29634960
TI - A PDE multiscale model of hepatitis C virus infection can be transformed to a
system of ODEs.
AB - Direct-acting antivirals (DAAs) treat hepatitis C virus (HCV) by targeting its
intracellular viral replication. DAAs are effective and deliver high clinical
performance against HCV infection, but optimization of the DAA treatment regimen
is ongoing. Different classes of DAAs are currently under development, and HCV
treatments that combine two or three DAAs with different action mechanisms are
being improved. To accurately quantify the antiviral effect of these DAA
treatments and optimize multi-drug combinations, we must describe the
intracellular viral replication processes corresponding to the action mechanisms
by multiscale mathematical models. Previous multiscale models of HCV treatment
have been formulated by partial differential equations (PDEs). However,
estimating the parameters from clinical datasets requires comprehensive numerical
PDE computations that are time consuming and often converge poorly. Here, we
propose a user-friendly approach that transforms a standard PDE multiscale model
of HCV infection (Guedj J et al., Proc. Natl. Acad. Sci. USA 2013; 110(10):3991
6) to mathematically identical ordinary differential equations (ODEs) without any
assumptions. We also confirm consistency between the numerical solutions of our
transformed ODE model and the original PDE model. This relationship between a
detailed structured model and a simple model is called ''model aggregation
problem'' and a fundamental important in theoretical biology. In particular, as
the parameters of ODEs can be estimated by already established methods, our
transformed ODE model and its modified version avoid the time-consuming
computations and are broadly available for further data analysis.
PMID- 29634961
TI - Silent pauses in aphasia.
AB - Pauses may be studied as an aspect of the temporal organization of speech, as
well as an index of internal cognitive processes, such as word access, selection
and retrieval, monitoring, articulatory planning, and memory. Several studies
have demonstrated specific distributional patterns of pauses in typical speech.
However, evidence from patients with language impairment is sparse and restricted
to small-scale studies. The aim of the present study is to investigate empty
pause distribution and associations between pause variables and linguistic
elements in aphasia. Eighteen patients with chronic aphasia following a left
hemisphere stroke were recruited. The control group consisted of 19 healthy
adults matched for age, gender, and years of formal schooling. Speech samples
from both groups were transcribed, and silent pauses were annotated using ELAN.
Our results indicate that in both groups, pause duration distribution follows a
log-normal bimodal model with significantly different thresholds between the two
populations, yet specific enough for each distribution to justify classification
into two distinct groups of pauses for each population: short and long. Moreover,
we found differences between the patient and control group, prominently with
regard to long pause duration and rate. Long pause indices were also associated
with fundamental linguistics elements, such as mean length of utterance. Overall,
we argue that post-stroke aphasia may induce quantitative but not qualitative
alterations of pause patterns during speech, and further suggest that long pauses
may serve as an index of internal cognitive processes supporting sentence
planning. Our findings are discussed within the context of pause pattern
quantification strategies as potential markers of cognitive changes in aphasia,
further stressing the importance of such measures as an integral part of language
assessment in clinical populations.
PMID- 29634962
TI - Fabrication and characterization of genipin cross-linked chitosan/gelatin
hydrogel for pH-sensitive, oral delivery of metformin with an application of
response surface methodology.
AB - In this study, central composite design was utilized for the preparation and
optimization of chitosan-gelatin hydrogel films containing metformin using
different concentrations of genipin as crosslinking agent. Solvent evaporation
technique was used to develop hydrogel films. The formulated hydrogels were
subjected to study the drug release in the simulated gastric pH1.2 for 3h.
Initial burst release was observed from hydrogel during the first 2h of
dissolution in gastric simulated environment. Different polymer ratios in
formulation showed significant influence on T50% and dynamic swelling of
hydrogel. The highest T50% was observed at 40.23min and dynamic swelling at 9.1.
It was observed that by changing the polymer ratio with cross-linker, release
rate of metformin could be modified. Cross-linker also affects the release rate
as its concentration increases, the release rate decreases. The physical state of
hydrogel was investigated by scanning electron microscope. It indicated the
uniform presence of drug crystals in hydrogel matrix system. Fourier transform
infrared spectroscopy (FTIR) confirmed the presence of hydrogen and ionic bonds
between polymers and crosslinking agent leaving the drug intact in the matrix
system. Scanning electron microscopy (SEM) images confirmed the uniform drug
distribution. As per conclusion, the immediate release, pH sensitive, hydrogel
formulation with good safety profile can be successfully fabricated by using CHI
and GLN polymers with varying concentration of crosslinking agent.
PMID- 29634963
TI - Immobilization of dextransucrase on functionalized TiO2 supports.
AB - The TiO2 based hybrid supports with different functional groups (amino,
glutaraldehyde or epoxy) were prepared and their influence on immobilization of
dextransucrase (DS) was studied. Novel synthetic route for surface modification
of TiO2 with amino and glutaraldehyde groups was developed taking advantage of
charge transfer complex (CTC) formation between surface Ti atoms and salicylate
type of ligand (5-aminosalicylic acid (5-ASA)). The proposed coordination of 5
ASA to the surface of TiO2 powder and optical properties of CTC was presented.
The pristine TiO2 and amino functionalized TiO2 have higher sorption capacity for
DS (12.6 and 12.0mgg-1, respectively) compared to glutaraldehyde and epoxy
activated supports (9.6 and 9.8mgg-1, respectively). However, immobilized enzyme
to either glutaraldehyde or epoxy functionalized TiO2 have almost two times
higher expressed activities compared to pristine TiO2 support (258, 235 and
142IUg-1, respectively). Thermal stability of enzyme immobilized on
glutaraldehyde and epoxy functionalized supports was studied at 40 degrees C, as
well as operational stability under long-run working conditions in repeated
cycles. After five cycles, DS imobilized on glutaraldehyde activated support
retained almost 70% of its initial expressed activity, while, after five cycles,
performance of DS immobilized on epoxy activated support was significantly lower
(15%).
PMID- 29634964
TI - Effect of sonication and gamma-irradiation on the properties of pea (Pisum
sativum) and vetch (Vicia villosa) starches: A comparative study.
AB - In present study, the physicochemical, functional and pasting properties of
starch obtained from commercially used pea were compared with vetch, an
underutilized pulse variety. Starch from each cultivar was subjected to
sonication (33kHz for 45min) and a dual treatment in which sonication was
followed by irradiation (5kGy). Apparent amylose content of the starch from two
varieties varied significantly (p>0.05) from 31.08-27.58g/100g. Sonication and
dual treatment decreased the amylose content of starches. Syneresis varied more
or less insignificantly (p<0.05) upon sonication. However, upon dual treatment,
syneresis decreased significantly (p>0.05) from 47.82-35.22g/100g (pea) and 46.36
26.98g/100g (vetch) during the storage period of 120h. A combined effect of
sonication and irradiation treatment significantly (p>0.05) changed the
functional properties and pasting characteristics of starches. The samples that
received the sonication treatment alone varied non-significantly from native pea
and vetch starch in their physicochemical, functional and pasting properties.
PMID- 29634965
TI - Incorporation of ZnO nanoparticles into heparinised polyvinyl alcohol/chitosan
hydrogels for wound dressing application.
AB - Available wound dressings have some major deficiencies including low water vapor
transmission rate (WVTR), low absorption of wound fluids, and not providing a
suitable and moist environment for wound healing. The main advantage of hydrogels
is giving aid to the creation of a moist and cool environment for wound healing
and providing high water vapor permeability along with preventing penetration of
microbes into the wound surface. Therefore, hydrogels of heparinized polyvinyl
alcohol (PVA)/chitosan (CS)/nano zinc oxide (nZnO) were prepared to be used as
wound dressing. Samples were characterized via infrared spectrometry (FTIR), X
ray diffraction (XRD) and scanning electron microscope (SEM). In addition, other
properties including swelling ratio, water vapor transmission rate, the size of
pores, mechanical and thermal properties, cell viability, and antibacterial
efficiency were investigated. Water vapor permeability, porosity, and swelling
ratio showed a wide range of numerical values that facilitate the use of provided
samples as ideal wound dressings. Besides, investigating mechanical and thermal
properties exhibited the improvement of mentioned properties by adding nano zinc
oxide. Furthermore, Heparin loading was conducted on optimum samples. Heparin
release rate decreased and was more sustained by adding nanoparticles compared to
hydrogel wound dressings without nZnO. Cell viability of bionanocomposite samples
showed no toxicity after loading nanoparticles and this value was >70% for all
samples. Antibacterial properties of hydrogel samples can effectively protect
wounds especially with an increase nZnO content. Hence, these hydrogels were
found applicable as robust wound dressings.
PMID- 29634966
TI - Magnetic biocatalysts of pectinase and cellulase: Synthesis and characterization
of two preparations for application in grape juice clarification.
AB - In the present study, we prepared two different magnetic biocatalysts of
pectinase and cellulase: carrier-free magnetic CLEAs (CLEA-MP*) and
immobilization on glutaraldehyde-activated magnetite (Enz-Glu-MP*). The
biocatalysts were compared to their magnetic properties, immobilization
parameters, stability and grape juice clarification. Enz-Glu-MP* presented higher
magnetic properties than CLEA-MP*, whereas this presented higher surface area and
pore volume. The KM of the enzyme immobilized on Enz-Glu-MP* was 25.65mM, lower
in comparison to the CLEA-MP* (33.83mM). On the other hand, CLEA-MP* was the most
active and stable biocatalyst, presenting higher recovered activity (33.4% of
cellulase), higher thermal stability (2.39 stabilization factor) and improved
reusability (8cycles). The integration of magnetic technology with enzymatic
immobilization emerges as a possibility to increase the recover and reuse of
biocatalysts for application in juice technology.
PMID- 29634967
TI - Immunochemical studies on native and glycated LDL - An approach to uncover the
structural perturbations.
AB - Glycation initiates with the non-enzymatic reaction of amino group of proteins
and lipoproteins by carbonyl group of sugar moiety and intermediates of glycative
stress such as methylglyoxal (MG). The initial glycation leads to the formation
of early glycation products (Amadori products) which undergo rearrangement,
cyclization and dehydration to form advanced glycation end products (AGEs). The
main objective of the present study is to investigate the non-enzymatic glycation
of low density lipoprotein (LDL) by MG at different concentration and at
increasing incubation time period in vitro. This modification may increase the
formation of Amadori products and AGEs which are physico-chemically characterized
with respect to the extent of LDL modification. Additionally, immunogenicity of
native and MG modified LDL (MG-LDL) was probed in female rabbits in vivo.
Immunogenicity of MG-LDL was found to be highly immunogenic, eliciting high titer
immunogen-specific antibodies while native form of LDL is less immunogenic.
Furthermore, the histopathology and immune-fluorescence studies suggest that the
kidney section of immunized rabbits exhibit the presence of immune complex (MG
LDL-IgG) deposition in the glomerular basement membrane (GBM).
PMID- 29634968
TI - Integrated multi-spectroscopic and molecular docking techniques to probe the
interaction mechanism between maltase and 1-deoxynojirimycin, an alpha
glucosidase inhibitor.
AB - Interaction mechanism of an antidiabetic agent, 1-deoxynojirimycin (DNJ) with its
target protein alpha-glucosidase (maltase), was investigated by kinetics,
fluorescence spectroscopy, UV-vis spectroscopy, circular dichroism, dynamic light
scattering coupled with molecular docking analysis. It was found that DNJ
reversibly inhibited activity of maltase through a mixed-type manner with IC50 of
(1.5+/-0.1) MUM and inhibition constant Ki of (2.01+/-0.02) MUM. Fluorescence
data and UV-vis information confirmed that the intrinsic fluorescence of maltase
was quenched by DNJ through a dynamic quenching procedure due to the collision of
them. The calculated thermodynamic parameters including enthalpy change, entropy
change and Gibbs free energy change indicated that their binding was spontaneous
and the driven force was hydrophobic interaction. Besides, circular dichroism
analysis displayed that their binding resulted conformational changes of maltase,
characterizing by a decrease of alpha-helix and an increase in beta-sheet.
Dynamic light scattering measurements demonstrated the reduction in the
hydrodynamic radii of maltase. Further molecular docking revealed that DNJ formed
hydrogen bonds with catalytic residues Asp68, Arg212, Asp214, Glu276, Asp349 and
Arg439 of maltase, then inhibited the enzyme activity by occupying catalytic
center. This study provided a comprehensively understanding about the action
mechanism of DNJ on maltase.
PMID- 29634969
TI - Milk fat globule membrane protein promotes C2C12 cell proliferation through the
PI3K/Akt signaling pathway.
AB - Milk fat globule membrane (MFGM) protein is known to have several health
benefits, including an anti-sarcopenia effect; however, its mechanism is unclear.
The aim of this study was to investigate the potential mechanism of action of the
MFGM protein. The MFGM protein was extracted and separated into 4 fractions, and
Fraction 2 (57% of total MFGM) demonstrated the greatest effect on C2C12 cell
proliferation. Milk fat globule-EGF factor 8 (MFG-E8) accounted for 82.35% of the
MFGM protein. The effects of whole Fraction 2 (100MUg/mL, 200MUg/mL and
300MUg/mL) on cell proliferation and morphology were measured. Using qRT-PCR or a
Western blot assay, several regulatory factors, e.g., PI3K P85alpha, p-pI3K
p85alpha (Tyr 508), Akt, p-Akt (Ser 473), mTOR and p-mTOR (Ser 2448), were
measured in cells incubated with 200MUg/mL of Fraction 2 with or without
wortmannin. The results demonstrated that Fraction 2 induced C2C12 cell
proliferation in a dose-dependent manner, upregulated the mRNA expression of mTOR
and p70S6K, and activated PI3K, Akt, mTOR and P70S6K phosphorylation; however,
Fraction 2 inhibited FOXO3a and 4E-BP. The results demonstrate that the MFGM
protein, predominantly MFG-E8, promotes cell proliferation through the
PI3K/Akt/mTOR signaling pathway. This study elucidated the molecular mechanism of
the MFGM protein, primarily MFG-E8, in promoting C2C12 cell proliferation via the
PI3K/Akt/mTOR/P70S6K signal pathway.
PMID- 29634970
TI - Injectable self-healing carboxymethyl chitosan-zinc supramolecular hydrogels and
their antibacterial activity.
AB - Injectable and self-healing hydrogels have found numerous applications in drug
delivery, tissue engineering and 3D cell culture. Herein, we report an injectable
self-healing carboxymethyl chitosan (CMCh) supramolecular hydrogels cross-linked
by zinc ions (Zn2+). Supramolecular hydrogels were obtained by simple addition of
metal ions solution to CMCh solution at an appropriate pH value. The mechanical
properties of these hydrogels were adjustable by the concentration of Zn2+. For
example, the hydrogel with the highest concentration of Zn2+ (CMCh-Zn4) showed
strongest mechanical properties (storage modulus~11,000Pa) while hydrogel with
the lowest concentration of Zn2+ (CMCh-Zn1) showed weakest mechanical properties
(storage modulus~220Pa). As observed visually and confirmed rheologically, the
CMCh-Zn1 hydrogel with the lowest Zn2+ concentration showed thixotropic property.
CMCh-Zn1 hydrogel also presented injectable property. Moreover, the antibacterial
properties of the prepared supramolecular hydrogels were studied against
Staphylococcus aureus (S. aureus) and Escherichia coli (E. coli) by agar well
diffusion method. The results revealed Zn2+ dependent antibacterial properties
against both kinds of strains. The inhibition zones were ranging from ~11-24mm
and ~10-22mm against S. aureus and E. coli, respectively. We believe that the
prepared supramolecular hydrogels could be used as a potential candidate in
biomedical fields.
PMID- 29634971
TI - Recent advances in polysaccharides from Ophiopogon japonicus and Liriope spicata
var. prolifera.
AB - O. japonicus and L. spicata var. prolifera are distinguished as sources of highly
promising yin-tonifying medicinals, namely Ophiopogonis Radix and Liriopes Radix.
Liriopes Radix is generally medicinally used as a substitute for Ophiopogonis
Radix in various prescriptions due to their extremely similar nature.
Ophiopogonis Radix and Liriopes Radix are both very rich in bioactive
polysaccharides, especially beta-fructans. Over the past twelve years, except for
work on physical entrapment and chemical modification of obtained beta-fructans,
the vast majority of studies are carried out to investigate the bioactivities of
O. japonicus polysaccharides (OJP) and L. spicata var. prolifera polysaccharides
(LSP), mainly including anti-diabetes, immunomodulation, anti-inflammation,
antioxidation, anti-obesity, cardiovascular protection, etc. In addition, OJP and
LSP are considered to have the potential to regulate intestinal flora. The main
purpose of this review is to provide systematically reorganized information on
structural characteristics and bioactivities of OJP and LSP to support their
further therapeutic potentials and sanitarian functions.
PMID- 29634972
TI - Unravelling variation in feeding, social interaction and growth patterns among
pigs using an agent-based model.
AB - Domesticated pigs, Sus scrofa, vary considerably in feeding, social interaction
and growth patterns. This variation originates partly from genetic variation that
affects physiological factors and partly from behavioural strategies (avoid or
approach) in competitive food resource situations. Currently, it is unknown how
variation in physiological factors and in behavioural strategies among animals
contributes to variation in feeding, social interaction and growth patterns in
animals. The aim of this study was to unravel causation of variation in these
patterns among pigs. We used an agent-based model to explore the effects of
physiological factors and behavioural strategies in pigs on variation in feeding,
social interaction and growth patterns. Model results show that variation in
feeding, social interaction and growth patterns are caused partly by chance, such
as time effects and coincidence of conflicts. Furthermore, results show that
seemingly contradictory empirical findings in literature can be explained by
variation in pig characteristics (i.e. growth potential, positive feedback,
dominance, and coping style). Growth potential mainly affected feeding and growth
patterns, whereas positive feedback, dominance and coping style affected feeding
patterns, social interaction patterns, as well as growth patterns. Variation in
behavioural strategies among pigs can reduce aggression at group level, but also
make some pigs more susceptible to social constraints inhibiting them from
feeding when they want to, especially low-ranking pigs and pigs with a passive
coping style. Variation in feeding patterns, such as feeding rate or meal
frequency, can indicate social constraints. Feeding patterns, however, can say
something different about social constraints at group versus individual level. A
combination of feeding patterns, such as a decreased feed intake, an increased
feeding rate, and an increased meal frequency might, therefore, be needed to
measure social constraints at individual level.
PMID- 29634973
TI - Subgroups of adolescents differing in physical and social environmental
preferences towards cycling for transport: A latent class analysis.
AB - In order to be able to tailor environmental interventions to adolescents at risk
for low levels of physical activity, the aim of the present study is to identify
subgroups of adolescents with different physical and social environmental
preferences towards cycling for transport and to determine differences in
individual characteristics between these subgroups. In this experimental study,
882 adolescents (12-16 years) completed 15 choice tasks with manipulated
photographs. Participants chose between two possible routes to cycle to a
friend's house which differed in seven physical micro-environmental factors,
cycling distance and co-participation in cycling (i.e. cycling alone or with a
friend). Latent class analysis was performed. Data were collected from March till
October 2016 across Flanders (Belgium). Three subgroups could be identified.
Subgroup 1 attached most importance to separation of the cycle path and safety
related aspects. Subgroup 2 attached most importance to being able to cycle
together with a friend and had the highest percentage of regular cyclists. In
subgroup 3, the importance of cycling distance clearly stood out. This subgroup
included the lowest percentage of regular cyclists. Results showed that in order
to stimulate the least regular cyclists, and thus also the subgroup most at risk
for low levels of active transport, cycling distances should be as short as
possible. In general, results showed that providing well-separated cycle paths
which enable adolescents to cycle side by side and introducing shortcuts for
cyclists may encourage different subgroups of adolescents to cycle for transport
without discouraging other subgroups.
PMID- 29634974
TI - Assessing the impact of the heart of New Ulm Project on cardiovascular disease
risk factors: A population-based program to reduce cardiovascular disease.
AB - The Heart of New Ulm Project (HONU), is a population-based project designed to
reduce modifiable cardiovascular disease (CVD) risk factors in the rural
community of New Ulm, MN. HONU interventions address multiple levels of the
social-ecological model. The community is served by one health system, enabling
the use of electronic health record (EHR) data for surveillance. The purpose of
this study was to assess if trends in CVD risk factors and healthcare utilization
differed between a cohort of New Ulm residents age 40-79 and matched controls
selected from a similar community, using EHR data from baseline (2008-2009)
through three follow up time periods (2010-2011, 2012-2013, 2014-2015). Matching,
using covariate balance sparse technique, yielded a sample of 4077 New Ulm
residents and 4077 controls. We used mixed effects longitudinal models to examine
trends over time between the two groups. Blood pressure, total cholesterol, low
density lipoprotein-cholesterol, and triglycerides showed better management in
New Ulm over time compared to the controls. The proportion of residents in New
Ulm with controlled blood pressure increased by 6.2 percentage points compared to
an increase of 2 points in controls (p < 0.0001). As the cohort aged, 10-year
ASCVD risk scores increased less in New Ulm (5.1) than the comparison community
(5.9). The intervention and control community did not differ with regard to
inpatient stays, smoking, or glucose. Findings suggest efficacy for the HONU
project interventions for some outcomes.
PMID- 29634975
TI - The clinicopathological significance of micropapillary pattern in colorectal
cancers.
AB - The aim of the present study is to elucidate the clinicopathological significance
and prognostic role of micropapillary pattern (MPP) in colorectal cancer (CRC).
We investigated the correlation between the presence of MPP and
clinicopathological characteristics and prognosis in 266 CRCs. In addition, the
clinicopathological significance of MPP in mucin pools was investigated and
compared to pure MPP, which is not associated with mucin pools. MPP, regardless
of its proportion in the overall tumor, was found in 74 of 266 CRCs (27.8%). The
rate of MPP in proportions >=5% was 9.4% (25 of 266 cases). CRC with MPP showed
higher rates of vascular and lymphatic invasion, higher metastatic lymph node
ratio, and higher pT stage compared to CRC without MPP. In addition, increasing
proportion of MPP in overall tumor showed more frequent vascular and lymphatic
invasions (P = .002 and P = .008, respectively). Among 74 CRCs with MPP, 25 CRCs
were found in mucin pools (33.8%). These cases were more right-sided and poorly
differentiated with less frequent lymphatic invasion and lymph node metastasis,
compared to CRCs with pure MPP. The presence of MPP significantly correlated with
worse overall survival (P = .010). In 74 CRCs with MPP, overall survival
significantly differed between pure MPP and MPP in mucin pools (P = .003). Taken
together, our data suggest that the presence of MPP significantly correlated with
aggressive tumor behavior and worse survival in CRC. In addition, the
clinicopathological significance of MPP in mucin pools differed from CRC with
pure MPP.
PMID- 29634976
TI - High expression of synthesis of cytochrome c oxidase 2 and TP53-induced
glycolysis and apoptosis regulator can predict poor prognosis in human lung
adenocarcinoma.
AB - Synthesis of cytochrome c oxidase 2 (SCO2) and TP53-induced glycolysis and
apoptosis regulator (TIGAR) are 2 p53-mediated proteins that can play a
regulatory role in cancer energy metabolism. However, no study has examined the
association of SCO2 and TIGAR with the prognosis of patients with lung
adenocarcinoma (AC). In our study, the expression of SCO2 and TIGAR proteins in
lung AC was detected, and the potential relation to prognosis was evaluated,
aiming to take a further view of lung AC progression. Quantum dots-based
immunofluorescence histochemistry staining was performed to observe the
expression of p53, SCO2, and TIGAR in 75 specimens of lung AC. Of these, 51
(68.0%) showed high expression of SCO2, and 59 (78.7%) showed high expression of
TIGAR. High TIGAR expression was significantly associated with a history of
smoking (P = .017) and being male (P = .006). The correlation between high SCO2
expression and age also was significant (P = .042). Moreover, high TIGAR
expression was positively correlated with high SCO2 expression (P = .019; rs =
0.271). High expression of the SCO2 and TIGAR proteins predicted poorer survival
and a higher mortality rate (P = .024 and .030, respectively). High expression of
SCO2 and TIGAR proteins is significantly associated with lung AC progression,
suggesting their potential use as prognostic markers and therapeutic targets.
PMID- 29634977
TI - Genomic profile of appendiceal goblet cell carcinoid is distinct compared to
appendiceal neuroendocrine tumor and conventional adenocarcinoma.
AB - Goblet cell carcinoid (GCC) is a rare appendiceal tumor with unique morphologic
features that shows glandular and neuroendocrine differentiation on
immunohistochemistry. An additional component of adenocarcinoma (AC) can be
present (GCC-AC). Both GCC and GCC-AC are staged and treated like AC. The
histogenesis and genetic alterations underlying GCC and GCC-AC are unclear.
Capture-based next-generation DNA sequencing targeting 479 cancer genes was
performed on 19 appendiceal tumors: 4 GCC, 9 GCC-AC, 3 neuroendocrine tumors
(NET), and 3 AC (2 conventional, 1 mucinous). Somatic coding mutations were not
seen in any NET. Pathogenic (P)/likely pathogenic (LP) mutations were present in
1 GCC, 8 GCC-AC and all 3 AC cases. P/LP mutations in chromatin remodeling genes
were seen in 4 (44.4%) GCC-AC cases, but not in NET, GCC or AC. In GCC-AC, P/LP
mutations in ARID1A and RHOA were each present in 3 cases, and KDM6A and SOX9
mutations were each seen in 2 cases. APC and KRAS mutations were present in 1
conventional AC case, but were not observed in any GCC or GCC-AC. This limited
series reveals mutations in SOX9, RHOA, and chromatin-modifier genes in goblet
cell tumors, and shows that the mutational profile of GCC/GCC-AC is distinct from
NET and conventional appendiceal AC.
PMID- 29634978
TI - Clinical implication of programmed cell death-1 ligand-1 expression in tonsillar
squamous cell carcinoma in association with intratumoral heterogeneity, human
papillomavirus, and epithelial-to-mesenchymal transition.
AB - Programmed cell death-1 ligand-1 (PD-L1), essential for immune evasion, is a
potential candidate for pathogenesis and therapeutic target of human
papillomavirus (HPV)-positive tonsillar squamous cell carcinomas (TSCCs).
MET/hepatocyte growth factor signaling and transcription factors involved in
epithelial-to-mesenchymal transition (EMT) upregulate PD-L1, which can contribute
to clinical outcome. Intratumoral heterogeneity of PD-L1 expression is of
clinical importance in selection bias due to false-negative patient enrollment.
However, the clinicopathological features, prognostic value, and coexpressed
molecules of PD-L1 remain unclear in TSCCs. PD-L1 expression was evaluated via
immunohistochemistry using a specific monoclonal antibody (SP142) between whole
tissue and tissue microarray (TMA) sections of 79 tumors (5% cutoff value with
weak staining). Expressions of EMT markers (TWIST1, Snail, and SNIP1) and
MET/hepatocyte growth factor were also analyzed. Staining of the TMA sections
showed 78.5% concordance rate to the whole section. PD-L1 positivity and its
intratumoral heterogeneity were 29.1% and 15.2% of TSCCs by whole section,
respectively. PD-L1 positivity was prevalent in females, HPV-positive tumors
without base of tongue invasion, and SNIP1-overexpressed tumors. SNIP1
overexpression, unmethylated TWIST1, smoking, and poorly differentiated tumors
were predictive for PD-L1 overexpression. PD-L1 positivity was a favorable
independent prognostic factor. Subgroup analyses according to the coexpression of
PD-L1 with HPV, SNIP1, or unmethylated TWIST1 indicated the best clinical outcome
than any other subgroups. In conclusion, intratumoral heterogeneity of PD-L1
expression was frequent, warranting a caution in punching TMA cores. A combined
analysis of PD-L1 with EMT and HPV may define a characteristic subset of patients
and prognostic group.
PMID- 29634979
TI - Comparison of thyroid transcription factor-1 expression by 2 monoclonal
antibodies in schwannomas: the chosen clone matters-reply.
PMID- 29634980
TI - Somatic polymerase epsilon mutations as another route leading to loss of DNA MMR
protein expression in endometrial carcinoma.
PMID- 29634981
TI - Comparison of thyroid transcription factor-1 expression by 2 monoclonal
antibodies in schwannomas: the chosen clone matters.
PMID- 29634982
TI - Nurr1: A vital participant in the TLR4-NF-kappaB signal pathway stimulated by
alpha-synuclein in BV-2 cells.
AB - Parkinson's disease (PD) is a multi-factorial neurodegenerative disease. Abnormal
alpha-synuclein protein aggregate and sustained microglia activation contribute
to the pathogenic processes of PD. However, the relationship between alpha
synuclein and microglia-mediated neuroinflammation remains unclear. We purified
alpha-synuclein after overexpression in Escherichia coli and then used it to
stimulate BV-2 cells or primary microglia cells from wild type or toll-like
receptor 4 (TLR4)-defective mice. Enzyme linked immunosorbent assay (ELISA) and
real-time PCR results confirmed that alpha-synuclein could enhance the production
of tumor necrosis factor alpha (TNF-alpha) through TLR4 activation. Western
blotting results confirmed the involvement of the TLR4/PI3K/AKT/GSK3beta signal
pathway in the inflammatory response. Nuclear factor kappa B (NF-kappaB) could
translocate to the nucleus, promoting the expression of TNF-alpha when stimulated
by alpha-synuclein in BV-2 cells. Nurr1 suppressed the production of TNF-alpha
via interaction with NF-kappaB/p65 and inhibiting its nuclear translocation. In
addition, both NF-kappaB and Nurr1 appeared to be regulated by the TLR4-mediated
signal pathway. Our work demonstrated that TLR4 recognized alpha-synuclein and
activated downstream signaling mechanisms leading to the release of pro
inflammatory mediators that are contra-balanced by Nurr1 expression. In
conclusion, Nurr1 is a novel participant in the neuroinflammation stimulated by
alpha-synuclein, thus the regulation of Nurr1 may be a novel neuroprotective
target for PD treatment.
PMID- 29634983
TI - Peripheral GABAA receptor-mediated signaling facilitates persistent inflammatory
hypersensitivity.
AB - Unlike in the central nervous system (CNS), in the adult peripheral nervous
system (PNS), activation of GABAA receptors (GABAAR) is excitatory because of the
relatively high concentration of intracellular chloride in these neurons. Indeed,
exogenous GABA and muscimol, a GABAAR agonist, exacerbate acute inflammatory
hypersensitivity in rodents. However, it remains unclear whether peripheral
GABAAR and the endogenous GABA play an important role in persistent inflammatory
hypersensitivity. In this study, we thus investigated how peripheral GABAAR
affects pain hypersensitivity by using the complete Freund's adjuvant (CFA)
induced persistent inflammatory pain mouse model. We found that intraplantar
(i.pl.) administration of GABAAR antagonists, picrotoxin, and 1(S),9(R)-(-)
bicuculline methiodide significantly inhibited both spontaneous nociceptive (paw
licking and flinching) behavior and mechanical hypersensitivity in CFA-injected
mice at day 3 (D3), but not in naive mice. Interestingly, CFA-induced mechanical
hypersensitivity was significantly reversed by anti-GABA antibody (anti-GABA,
i.pl.). In addition, RT-qPCR revealed that glutamate decarboxylase Gad1 (GAD 67)
and Gad2 (GAD 65) mRNA expression was also upregulated in the ipsilateral hind
paw of CFA-injected mice at D3. Finally, 5alpha-pregnan-3alpha-ol-20-one
(3alpha,5alpha-THP), a selective positive allosteric modulator of GABAAR,
produced mechanical hypersensitivity in naive mice in a dose-dependent manner.
Taken together, our results indicate that peripheral GABAAR and endogenous GABA,
possibly produced by the inflamed tissue, potentiate CFA-induced persistent
inflammatory hypersensitivity, suggesting that they can be used as a therapeutic
target for alleviating inflammatory pain.
PMID- 29634984
TI - Environmental enrichment effects on synaptic and cellular physiology of
hippocampal neurons.
AB - Exposure of rodents to an enriched environment (EE) has been shown to reliably
increase performance on hippocampus-dependent learning and memory tasks, compared
to conspecifics living in standard housing conditions. Here we review the EE
related functional changes in synaptic and cellular properties for neurons in the
dentate gyrus and area CA1, as assessed through in vivo and ex vivo
electrophysiological approaches. There is a growing consensus of findings
regarding the pattern of effects seen. Most prominently, there are changes in
cellular excitability and synaptic plasticity in CA1, particularly with short
term and/or periodic exposure to EE. Such changes are much less evident after
longer term continuous exposure to EE. In the dentate gyrus, increases in
synaptic transmission as well as cell excitability become evident after short
term EE exposure, while the induction of long-term potentiation (LTP) in the
dentate is remarkably insensitive, even though it is reliably enhanced by
voluntary running. Recent evidence has added a new dimension to the understanding
of EE effects on hippocampal electrophysiology by revealing an increased sparsity
of place cell representations after long periods of EE treatment. It is possible
that such connectivity change is one of the key factors contributing to the
enhancement of hippocampus-dependent spatial learning over the long-term, even if
there are no obvious changes in other markers such as LTP.
PMID- 29634985
TI - Synergistic effect of caffeic acid phenethyl ester with caspofungin against
Candida albicans is mediated by disrupting iron homeostasis.
PMID- 29634986
TI - Use of physiologically based kinetic modelling-facilitated reverse dosimetry to
convert in vitro cytotoxicity data to predicted in vivo liver toxicity of
lasiocarpine and riddelliine in rat.
AB - Lasiocarpine and riddelliine are pyrrolizidine alkaloids (PAs) present in food
and able to cause liver toxicity. The aim of this study was to investigate
whether physiologically based kinetic (PBK) modelling-facilitated reverse
dosimetry can adequately translate in vitro concentration-response curves for
toxicity of lasiocarpine and riddelliine to in vivo liver toxicity data for the
rat. To this purpose, PBK models were developed for lasiocarpine and riddelliine,
and predicted blood concentrations were compared to available literature data to
evaluate the models. Concentration-response curves obtained from in vitro
cytotoxicity assays in primary rat hepatocytes were converted to in vivo dose
response curves from which points of departure (PODs) were derived and that were
compared to available literature data on in vivo liver toxicity. The results
showed that the predicted PODs fall well within the range of PODs derived from
available in vivo toxicity data. To conclude, this study shows the proof-of
principle for a method to predict in vivo liver toxicity for PAs by an
alternative testing strategy integrating in vitro cytotoxicity assays with in
silico PBK modelling-facilitated reverse dosimetry. The approach may facilitate
prediction of acute liver toxicity for the large number of PAs for which in vivo
toxicity data are lacking.
PMID- 29634987
TI - How category average reference points affect choice of sugary foods.
AB - Improving diet quality is as important as it is difficult. Market-level
information such as summary information in the form of an average (i.e., category
average reference point [CARP]) discloses information otherwise difficult to
obtain by comparing different products. The results of a choice-based conjoint
experiment (N = 698) show that CARP affects food choice in multicue environments
and interacts with source credibility in driving consumer acceptance of sugar
content. In particular, the likelihood of choosing high amounts of sugar
increases when a high CARP is provided by a credible source because of increased
consumer acceptance of higher levels of that nutrient. Implications of the
findings for research and public policy conclude the article.
PMID- 29634988
TI - Determinants of knowledge and attitudes about sugar and the association of
knowledge and attitudes with sugar intake among adults: A systematic review.
AB - Efforts to reduce sugar intake levels have been primarily limited to increasing
knowledge and changing attitudes. We conducted a systematic review to (1)
identify factors influencing adults' knowledge and attitudes about sugar, and (2)
determine if there is an association between knowledge and attitudes about sugar
and sugar intake. We searched 15 electronic databases from inception to December
2016 for English language publications including adults with relevant exposure
and outcome measures. Findings were summarised meta-narratively. Of 3287 studies,
22 studies (14 for objective one and 8 for objective two) were included.
Individual (liking of sugary food), interpersonal (attitudes of peers) and
environmental factors (media, health professionals and food labelling) influenced
adults' knowledge and attitudes about sugar, at least to some extent. Overall,
quality of the studies included in our review was weak, and evidence for the
application of the Knowledge-Attitude-Behavior model for understanding sugar
intake is limited. Protocol registered in the PROSPERO International prospective
register of systematic reviews (registration number CRD42015027540).
PMID- 29634989
TI - A mind cleared by walnut oil: The effects of polyunsaturated and saturated fat on
extinction learning.
AB - The treatment of anxiety-based psychopathology often hinges upon extinction
learning. Research in nutritional neuroscience has observed that the regular
consumption of perilla oil (50% alpha-linolenic acid (ALA)) facilitates
extinction learning in rats (Yamamoto et al., 1988). However, acute facilitation
of extinction learning by oils rich in ALA has not been reported for rats or
humans, though the acute consumption of rapeseed oil (10% ALA) has been observed
to improve cognitive processing speed in humans (Jones, Sunram-Lea, & Wesnes,
2012). For this reason, the present laboratory work examined the effects of
adding walnut oil (12% ALA) to a chocolate milkshake on the acquisition,
generalization, and extinction of a fear-based prediction in young adults. It
compared performance between subjects. The other participants consumed a similar
milkshake with either an equicaloric amount of cream (saturated fat), or with no
added fat (control). Acquisition and generalization of the fear-based prediction
were similar for all groups. However, those who consumed walnut oil extinguished
most rapidly and profoundly. Implications for extinction learning are discussed.
PMID- 29634990
TI - ERPs differentiate the sensitivity to statistical probabilities and the learning
of sequential structures during procedural learning.
AB - Procedural learning facilitates the efficient processing of complex environmental
stimuli and contributes to the acquisition of automatic behaviors. Although
earlier findings suggest different temporal trajectories of the multiple learning
processes within procedural learning, this has not been clarified at the level of
neurocognitive correlates. Therefore, we investigated whether two prominent
learning processes - statistical learning and sequence learning - can be
distinguished using event-related brain potentials (ERPs) within the same
experimental setting. Healthy young adults (N = 40) performed the Alternating
Serial Reaction Time task while RTs and ERPs were measured time-locked to the
onset of the task's stimuli. Both RT and N2 effects reflected the rapid
acquisition of statistical probabilities. At the same time, these effects
reflected the gradual learning of sequential structures. The amplitude change of
the P3 reflected only gradual sequence learning. The P1 component was sensitive
to both learning processes, which did not change as the task progressed. Our
results altogether indicate that statistical learning and sequence learning
develop differently at the level of both ERPs and overt responses. These findings
could provide insight to the dynamic change of multiple parallel learning
processes that occur during procedural memory formation.
PMID- 29634992
TI - Bioresponsive release of insulin-like growth factor-I from its PEGylated
conjugate.
AB - PEGylation of protein ligands, the attachment of polyethylene glycol (PEG)
polymers to a therapeutic protein, increases therapeutics' half-life but
frequently comes at the cost of reduced bioactivity. We are now presenting a
bioinspired strategy leading out of this dilemma. To this end, we selected a
position within insulin-like growth factor I (IGF-I) for decoration with a
PEG30kDa-modified protease-sensitive peptide linker (PSL) using a combination of
enzymatic and chemical bioorthogonal coupling strategies. The PSL sequence
responded to matrix metalloproteinases (MMP) to provide a targeted release in
diseased tissue. The IGF-PSL-PEG conjugate had different binding protein
affinity, cell proliferation, and endocytosis patterns as compared to the wild
type. Exposure of the conjugate to elevated levels of activated MMPs, as present
in inflamed tissues, fully reestablished the wild type properties through
effective PSL cleavage. In conclusion, this bioinspired approach provided a
blueprint for PEGylated therapeutics combining the pharmacokinetic advantages of
PEGylation, while locally restoring the full suite of biological potential of
therapeutics.
PMID- 29634993
TI - "Life-bearing molecules" versus "life-embodying systems": Two contrasting views
on the what-is-life (WIL) problem persisting from the early days of molecular
biology to the post-genomic cell- and organism-level biology.
AB - "What is life?" is an ultimate biological quest for the principle that makes
organisms alive. This 'WIL problem' is not, however, a simple one that we have a
straightforward strategy to attack. From the beginning, molecular biology tried
to identify molecules that bear the essence of life: the double helical DNA
represented replication, and enzymes were micro-actuators of biological
activities. A dominating idea behind these mainstream biological studies relies
on the identification of life-bearing molecules, which themselves are models of
life. Another, prevalent idea emphasizes that life resides in the whole system of
an organism, but not in some particular molecules. The behavior of a complex
system may be considered to embody the essence of life. The thermodynamic view of
life system in the early 20th century was remodeled as physics of complex systems
and systems biology. The two views contrast with each other, but they are no
longer heritage of the historical dualism in biology, such as
mechanism/materialism versus vitalism, or reductionism versus holism. These two
views are both materialistic and mechanistic, and act as driving forces of modern
biology. In reality, molecules function in a context of systems, whereas systems
presuppose functional molecules. A key notion to reconcile this conflict is that
subjects of biological studies are given before we start to study them. Cell- or
organism-level biology is destined to the dialectic of molecules and systems, but
this antagonism can be resolved by dynamic thinking involving biological
evolution.
PMID- 29634991
TI - Integrating in vitro and in silico approaches to evaluate the "dual
functionality" of palmatine chloride in inhibiting and disassembling Tau-derived
VQIVYK peptide fibrils.
AB - BACKGROUND: Alzheimer's disease (AD) is the most common neurodegenerative
disorder which is characterized by the deposits of intra-cellular tau protein and
extra-cellular amyloid-beta (Abeta) peptides in the human brain. Understanding
the mechanism of protein aggregation and finding compounds that are capable of
inhibiting its aggregation is considered to be highly important for disease
therapy. METHODS: We used an in vitro High-Throughput Screening for the
identification of potent inhibitors of tau aggregation using a proxy model; a
highly aggregation-prone hexapeptide fragment 306VQIVYK311 derived from tau.
Using ThS fluorescence assay we screened a library of 2401 FDA approved, bio
active and natural compounds in attempt to find molecules which can efficiently
modulate tau aggregation. RESULTS: Among the screened compounds, palmatine
chloride (PC) alkaloid was able to dramatically reduce the aggregation propensity
of PHF6 at sub-molar concentrations. PC was also able to disassemble preformed
aggregates of PHF6 and reduce the amyloid content in a dose-dependent manner.
Insights obtained from MD simulation showed that PC interacted with the key
residues of PHF6 responsible for beta-sheet formation, which could likely be the
mechanism of inhibition and disassembly. Furthermore, PC could effectively
inhibit the aggregation of full-length tau and disassemble preformed aggregates.
CONCLUSIONS: We found that PC possesses "dual functionality" towards PHF6 and
full-length tau, i.e. inhibit their aggregation and disassemble pre-formed
fibrils. GENERAL SIGNIFICANCE: The "dual functionality" of PC is valuable as a
disease modifying strategy for AD, and other tauopathies, by inhibiting their
progress and reducing the effect of fibrils already present in the brain.
PMID- 29634996
TI - New mechanistic insights into memory processes.
PMID- 29634995
TI - The pUL56 of pseudorabies virus variant induces downregulation of swine leukocyte
antigen class I molecules through the lysosome pathway.
AB - Pseudorabies virus (PRV) is the causative agent of pseudorabies (PR) which causes
large economic losses for Chinese swine industry since breaking out in late 2011.
As a member of herpesviruses, PRV is able to escape the host immune elimination
and establish latency, resulting in persistent infection. Here, we report that a
currently prevalent Chinese PRV variant down-regulated swine leukocyte antigen
class I (SLA-I) molecules on the surface of PK-15 cells and targeted them for
degradation through lysosome pathway. Viral pUL56 protein, independent of other
viral proteins, was associated with this function by inducing degradation of
cellular SLA-I heavy chain (HC) in a manner that was dependent on the lysosome
machinery. In addition, pUL56 interacted with SLA-I HC and increased its
ubiquitination. Further studies demonstrated that the late domains (PPXY motifs)
of pUL56 were required for the ubiquitination and degradation of SLA-I HC by
pUL56. Together, our findings reveal the mechanisms by which PRV interferes with
cytotoxic T lymphocyte (CTL) responses and provide novel insights into the roles
of PRV pUL56.
PMID- 29634994
TI - Reduced regional volumes associated with total psychopathy scores in an adult
population with childhood lead exposure.
AB - Childhood lead exposure has been correlated to acts of delinquency and criminal
behavior; however, little research has been conducted to examine its potential
long term influence on behavioral factors such as personality, specifically
psychopathic personality. Neuroimaging studies have demonstrated that the effects
of childhood lead exposure persist into adulthood, with structural abnormalities
found in gray and white matter regions involved in behavioral decision making.
The current study examined whether measurements of adult psychopathy were
associated with neuroanatomical differences in structural brain volumes for a
longitudinal cohort with measured childhood lead exposure. We hypothesized that
increased total psychopathy scores and increased blood lead concentration at 78
months of age (PbB78) would be inversely associated with volumetric measures of
gray and white matter brain structures responsible for executive and emotional
processing. Analyses did not display a direct effect between total psychopathy
score and gray matter volume; however, reduced white matter volume in the
cerebellum and brain stem in relation to increased total psychopathy scores was
observed. An interaction between sex and total psychopathy score was also
detected. Females displayed increased gray matter volume in the frontal,
temporal, and parietal lobes associated with increased total psychopathy score,
but did not display any white matter volume differences. Males primarily
displayed reductions in frontal gray and white matter brain volume in relation to
increased total psychopathy scores. Additionally, reduced gray and white matter
volume was associated with increased blood lead levels in the frontal lobes;
reduced white matter volume was also observed in the parietal and temporal lobes.
Females demonstrated gray and white matter volume loss associated with increased
PbB78 values in the right temporal lobe, as well as reduced gray matter volume in
the frontal lobe. Males displayed reduced white matter volumes associated with
increased PbB78 values in the frontal, temporal, and parietal lobes. Comparison
of the two primary models revealed a volumetric decrease in the white matter of
the left prefrontal cortex associated with increased total psychopathy scores and
increased blood lead concentration in males. The results of this study suggested
that increased psychopathy scores in this cohort may be attributable to the
neuroanatomical abnormalities observed and that childhood lead exposure may be
influential to these outcomes.
PMID- 29634997
TI - RNAi-mediated SYT14 knockdown inhibits the growth of human glioma cell line
U87MG.
AB - SYT14 (Synaptotagmin 14) participates in pathomechanical neurodegeneration and
contributes to abnormal neurodevelopment. However, the functional mechanism of
SYT14 in human glioma tumorigenesis remains unclear. In the present study, we
measured the expression levels of SYT14 mRNA in human glioma cell lines, U373MG,
U178, and U87MG and neural stem cells (NSC) cell line by RT-PCR, and used
lentivirus-mediated small hairpin RNAs (shRNAs) to knock down SYT14 expression in
U87MG cells. Changes in SYT14 expression were determined by real-time PCR. Cell
proliferation and colony formation assays were used to analyze the role of SYT14
in U87MG cell proliferation, and cell apoptosis was assessed by flow cytometry.
SYT14 mRNA expression was detected in the three glioma cell lines, and was
highest in the U87MG cell line. The RNAi-mediated knockdown of SYT14
significantly decreased cell proliferation and colony formation in U87MG cells,
and caused a moderate increase in apoptosis. Fewer S phase cells and more G2/M
phase cells were observed. These data indicate that SYT14 is highly expressed in
glioma cells, and may participate in glioma cell proliferation, apoptosis, and
colony formation.
PMID- 29634998
TI - Midazolam Attenuates Autophagy and Apoptosis Caused by Ketamine by Decreasing
Reactive Oxygen Species in the Hippocampus of Fetal Rats.
AB - Every year between 0.75% and 2% of pregnant women require surgery that is related
to either the pregnancy or other medical problems in USA. Therefore, the
neurodegeneration following anesthesia in a variety of animal models has
attracted our attention. Neurotoxic effects of ketamine cannot be ignored. In
contrast, some anesthetics, including midazolam, protect neurons and increase
dendritic spine density. However, the mechanism of neuroprotection by midazolam
is not clear, and whether midazolam can relieve the damage caused by ketamine is
unknown. Therefore, in this study, we explored the effects of midazolam on
ketamine anesthesia. We measured protein levels of cleaved-caspase-3 (c-caspase
3), beclin-1, B-cell lymphoma-2 (Bcl-2), Bcl-2-associated X protein (Bax),
autophagy-related gene 4 (ATG4), ATG5, p62 (SQSTM1), and the autophagy marker
light chain 3 (LC3) in hippocampus by Western analysis. We also measured total
antioxidant capacity (T-AOC), and levels of reactive oxygen species (ROS) and
malondialdehyde (MDA) in hippocampus and PC12 cells. Results showed that ketamine
induced apoptosis through activation of the mitochondrial pathway by increasing
the expression of c-caspase-3 and Bax, and decreasing the expression of Bcl-2 at
the protein level. Ketamine also increased the expression of LC3II and ATG5,
proteins, decreased the expression of ATG4 and P62, and finally induced
autophagy. Ketamine promoted the production of ROS and MDA, and reduced total
antioxidant capacity (T-AOC); these effects were attenuated by midazolam. In
conclusion, ketamine induces toxicity in human neurons through ROS-mediated
activation of mitochondrial apoptotic pathway and autophagy. The harmful effects
of ketamine can be ameliorated by midazolam.
PMID- 29634999
TI - Expertise-Level-Dependent Functionally Plastic Changes During Motor Imagery in
Basketball Players.
AB - Motor imagery is the mental process of rehearsing or simulating a given action
without overt movements. The aim of the present study is to examine plastic
changes in relevant brain areas during motor imagery with increasing expertise
level. Subjects (novices, intermediate and elite players) performed motor imagery
of basketball throws under two experimental conditions (with-ball and without
ball). We found that all basketball players exhibited better temporal congruence
(between motor imagery and motor execution) and higher vividness of motor imagery
than novices. The vividness of motor imagery was higher for the with-ball than
for the without-ball conditions in all three subject groups. The results from
functional magnetic resonance imaging (fMRI) showed three different patterns of
cortical activation. Activation in the left middle frontal gyrus increased and
that in the left supplementary motor area decreased with increasing levels of
motor expertise. Importantly, brain activation in the left postcentral gyrus was
the highest in the intermediate players compared to both novices and elite
players. For the elite group, these three areas showed higher activation in the
without-ball condition than the with-ball condition, while the opposite trend was
found in intermediate players. Our findings suggest that the level of motor
expertise may be related to high-order brain functions that are linked to
different activation patterns in different brain areas.
PMID- 29635000
TI - beta-dystroglycan is regulated by a balance between WWP1-mediated degradation and
protection from WWP1 by dystrophin and utrophin.
AB - Dystroglycan is a ubiquitous membrane protein that functions as a mechanical
connection between the extracellular matrix and cytoskeleton. In skeletal muscle,
dystroglycan plays an indispensable role in regulating muscle regeneration; a
malfunction in dystroglycan is associated with muscular dystrophy. The regulation
of dystroglycan stability is poorly understood. Here, we report that WWP1, a
member of NEDD4 E3 ubiquitin ligase family, promotes ubiquitination and
subsequent degradation of beta-dystroglycan. Our results indicate that dystrophin
and utrophin protect beta-dystroglycan from WWP1-mediated degradation by
competing with WWP1 for the shared binding site at the cytosolic tail of beta
dystroglycan. In addition, we show that a missense mutation (arginine 440 to
glutamine) in WWP1-which is known to cause muscular dystrophy in chickens
increases the ubiquitin ligase-mediated ubiquitination of both beta-dystroglycan
and WWP1. The R440Q missense mutation in WWP1 decreases HECT domain-mediated
intramolecular interactions to relieve autoinhibition of the enzyme. Our results
provide new insight into the regulation of beta-dystroglycan degradation by WWP1
and other Nedd4 family members and improves our understanding of dystroglycan
related disorders.
PMID- 29635001
TI - A new role for mast cells as scavengers for clearance of erythrocytes damaged due
to oxidative stress.
AB - Anemia, inflammation, and oxidative stress are interconnected. Erythrocytes are
continuously exposed to oxidative stress, normally and during inflammatory
diseases. Systemic mastocytosis and genetic depletion of mast cells affect
anemia. In the present study, a direct role for mast cells in clearance of
erythrocytes was explored. We show, for the first time, direct phagocytosis of
opsonized as well as oxidatively damaged erythrocytes in vitro by mast cell
lines, bone marrow derived mast cells (BMMCs) and in vivo by murine peritoneal
mast cells. Also, activated mast cells, as may be present in inflammatory
conditions, showed a significantly higher uptake of oxidatively damaged
erythrocytes than resting mast cells. This suggests the involvement of mast cells
in erythrocyte clearance during oxidative stress or inflammatory disorders.
Partial inhibition of phagocytosis by various inhibitors indicated that this
process may be controlled by several pathways. Our study provides important
evidence for a scavenging role for mast cells in anemia due to inflammation and
oxidative stress.
PMID- 29635002
TI - Impact of antiretroviral and tuberculosis therapies on CD4+ and CD8+ HIV/M.
tuberculosis-specific T-cell in co-infected subjects.
AB - BACKGROUND: Human Immunodeficiency Virus (HIV) infection is a risk factor for
tuberculosis (TB). Antiretroviral therapy (ART) changed HIV clinical management
but it is still unclear how pre-existing HIV/Mycobacterium tuberculosis (Mtb)
specific CD4+ and CD8+ T-cells are restored. AIM: to evaluate the impact of ART
and TB therapies on the functional and phenotypic profile of Mtb-specific antigen
response of CD4+ and CD8+ T-cells in prospectively enrolled HIV-TB co-infected
patients. METHODS: ART-naive HIV-infected patients, with or without active TB or
latent TB infection (LTBI), were enrolled before and after starting ART and TB
therapies. Peripheral blood mononuclear cells (PBMC) were stimulated overnight
with Mtb and HIV antigens (GAG). Cytokine expression and phenotype profile were
evaluated by flow cytometry. Cytomegalovirus (CMV) and staphylococcal enterotoxin
B (SEB) were also used. RESULTS: The median of absolute number of CD4+ T-cells
increased after ART and TB therapies in all groups analyzed, while the median of
absolute number of CD8+ T-cells decreases in HIV and HIV-LTBI groups. Treatments
significantly increased the frequency of Mtb-specific CD4+ T-cells in the HIV
LTBI (p = 0.015) with a rise of the central memory compartment. The magnitude of
the CD4+ T-cell response to HIV-GAG significantly increased in active TB (p =
0.03), whereas the magnitude of CMV-specific CD4+ T-cell response decreased in
all the groups. Similarly, the treatments increased the number of Mtb-specific
CD8+ responders in both HIV-LTBI and HIV-TB groups, whereas the phenotype
distribution was dependent on the antigens used and on the stage of
infection/disease. CONCLUSIONS: After therapies the median of absolute number and
the proportion of CD4+ T-cells increased in all groups whereas the median of
absolute count and proportion of CD8+ T-cells decreased in the HIV and HIV-LTBI
subjects. Interestingly, an increased frequency of CD4+ T-cell response to RD1
proteins in HIV-LTBI subjects was found. These results contribute to a better
understanding of the effect of ART and TB therapies on the modulation of Mtb
specific CD4+ and CD8+ T-cells subsets.
PMID- 29635003
TI - STAT3: The art of multi-tasking of metabolic and immune functions in obesity.
AB - Signal transducer and activator of transcription 3 (STAT3) is a transcription
factor that has recently attracted increased attention. Accumulating studies have
demonstrated that STAT3 plays an important role in various diseases, such as
cancer and ischemic injury. In light of the distinctive effects of STAT3 on the
regulation of metabolism and immune responses, we present the elaborate network
of STAT3 in obesity. In this review, we first introduce the general background of
STAT3, including a discussion regarding the STAT family and the characterization
and regulation of STAT3. Then, we describe the STAT3 signaling network and its
pathophysiological roles in lipid and glucose metabolism and immune function.
Finally, we highlight the research progress regarding STAT3 in obesity. The
information presented here may be useful for the design of future studies and may
highlight the potential of STAT3 as a future therapeutic target for obesity.
PMID- 29635004
TI - Response to Shaw et al.
PMID- 29635005
TI - Genetic diversification of chemokine CXCL16 and its receptor CXCR6 in primates.
AB - Chemokine CXCL16 and its receptor CXCR6 are associated with a series of
physiological and pathological processes in cooperative and stand-alone fashions.
To shed insight into their versatile nature, we studied genetic variations of
CXCL16 and CXCR6 in primates. Evolutionary analyses revealed that these genes
underwent a similar evolutionary fate. Both genes experienced adaptive
diversification with the phylogenetic division of cercopithecoids (Old World
monkeys) and hominoids (humans, great apes, and gibbons) from their common
ancestor. In contrast, they were conserved in the periods preceding and following
the dividing process. In terms of the adaptive diversification between
cercopithecoids and hominoids, the adaptive genetic changes have occurred in the
mucin-like and chemokine domains of CXCL16 and the N-terminus and transmembrane
helixes of CXCR6. In combination with currently available structural and
functional information for CXCL16 and CXCR6, the parallels between the
evolutionary footprints and the co-occurrence of adaptive diversification at some
evolutionary stage suggest that interplay could exist between the diversification
related amino acid sites, or between the domains on which the identified sites
are located, in physiological processes such as chemotaxis and/or cell adhesion.
PMID- 29635006
TI - A potential robust antiviral defense state in the common vampire bat: Expression,
induction and molecular characterization of the three interferon-stimulated genes
-OAS1, ADAR1 and PKR.
AB - Bats are known to harbor many zoonotic viruses, some of which are pathogenic to
other mammals while they seem to be harmless in bats. As the interferon (IFN)
response represents the first line of defense against viral infections in
mammals, it is hypothesized that activation of the IFN system is one of the
mechanisms enabling bats to co-exist with viruses. We have previously reported
induction of type I IFN in a cell line from the common vampire bat, Desmodus
rotundus, upon polyinosinic:polycytidylic acid (poly(I:C)) stimulation. To deepen
our knowledge on D. rotundus' IFN-I antiviral response, we molecularly
characterized three interferon-stimulated genes (ISGs), OAS1, PKR and ADAR1,
closely implicated in the IFN-I antiviral response, and tested their
functionality in our cellular model. We first found that D. rotundus encoded two
OAS1 paralogs, OAS1a and OAS1b, and that the functional domains of the four ISGs
characterized were highly conserved with those of other mammals. Despite their
significant transcription level in the absence of stimulation, the transcription
of the four ISGs characterized was enhanced by poly(I:C). In addition, the
transcription of OAS1a and OAS1b appears to be differentially regulated. These
findings demonstrate an active ISG antiviral response in D. rotundus in which
OAS1b may play an important role.
PMID- 29635007
TI - Co-occurrence of mcr-1, mcr-4 and mcr-5 genes in multidrug-resistant ST10
Enterotoxigenic and Shiga toxin-producing Escherichia coli in Spain (2006-2017).
AB - Colistin is an antimicrobial polypeptide commonly employed for controlling and
treating neonatal and post-weaning diarrhoea (PWD) diseases caused by
Enterotoxigenic and Shiga toxin-producing Escherichia coli (ETEC and STEC). The
plasmid-mediated colistin resistance gene, mcr-1 was first described in late 2015
and, since then, multiple studies have reported its global distribution. In
addition, five different mcr genes have been identified. The aim of this study
was to characterise the colistin-resistant E. coli clonal groups implicated in
PWD in farms of intensive pig production. Of 186 ETEC and STEC isolated in Spain
from 2006 to 2017, 76.9% showed resistance to colistin. Of those, 102 were mcr-4
carriers, 37 mcr-1 and 5 mcr-5, with co-occurrence of mcr-1/mcr-4, mcr-1/mcr-5
and mcr-4/mcr-5 in five isolates. Three different mcr-4 variants were detected,
including the new mcr-4.4 and mcr-4.5 described here. Interestingly, the clonal
group ST10-A (CH11-24) appears to be primarily responsible for the spread of mcr
4. In summary, our results show that the pig industry is an important reservoir
of colistin-resistant E. coli, carriers of other additional risk genes, such as
blaESBL. These food-producing animals might be spreading a cocktail of multiple
resistances, posing a worrisome threat to human health.
PMID- 29635008
TI - Primary evidence of the mechanisms of action of HIV aspartyl peptidase inhibitors
on Trypanosoma cruzi trypomastigote forms.
AB - The development of HIV aspartyl peptidase inhibitors (HIV-PIs) and their
introduction into AIDS therapy preceded a significant decrease in the incidence,
morbidity and mortality of relevant protozoan co-infections. However, few data
are available about how HIV-PIs act on pathogenic parasites, such as Trypanosoma
cruzi, the etiological agent of Chagas disease. Therefore, the aim of the present
work was to evaluate different physiological aspects of the treatment of the
infective trypomastigote forms of T. cruzi with the HIV-PIs, nelfinavir and
lopinavir. At the LD50/4 h doses, both HIV-PIs significantly reduced the
trypomastigote size and markedly increased the granularity/complexity.
Transmission electron microscopy analysis associated to biochemical assays
permitted definition of the main HIV-PIs targets in the parasite. Lopinavir and
nelfinavir induced (i) plasma membrane shedding, particularly in the flagellar
region, which drastically affected parasite integrity; (ii) strong mitochondrial
swelling with rare matrix fragmentation, which were linked to severely reduced
hydrolytic activity of dehydrogenases and organelle membrane depolarization;
(iii) increased generation of reactive oxygen species (ROS); (iv) dilation of
both nuclear envelope (without DNA disruption) and endoplasmic reticulum (with
formation of autophagosomes), and (v) accumulation of intracellular lipid
droplets, revealing a typical lipid metabolism disorder. Collectively, our study
demonstrated that nelfinavir and lopinavir target vital cellular structures of
trypomastigotes, culminating in irreversible metabolic injuries that lead to T.
cruzi death.
PMID- 29635009
TI - The impact of CYP2B6 polymorphisms on the interactions of efavirenz with
lumefantrine: Implications for paediatric antimalarial therapy.
AB - Lumefantrine is a widely used antimalarial in children in sub-Saharan Africa and
is predominantly metabolised by CYP3A4. The concomitant use of lumefantrine with
the antiretroviral efavirenz, which is metabolised by CYP2B6 and is an inducer of
CYP3A4, increases the risk of lumefantrine failure and can result in an increased
recrudescence rate in HIV-infected children. This is further confounded by CYP2B6
being highly polymorphic resulting in a 2-3 fold higher efavirenz plasma
concentration in polymorphic subjects, which enhances the potential for an
efavirenz-lumefantrine drug-drug interaction (DDI). This study developed a
population-based PBPK model capable of predicting the impact of efavirenz
mediated DDIs on lumefantrine pharmacokinetics in African paediatric population
groups, which also considered the polymorphic nature of CYP2B6. The validated
model demonstrated a significant difference in lumefantrine target day 7
concentrations (Cd7) in the presence and absence of efavirenz and confirmed the
capability of efavirenz to initiate this DDI. This was more apparent in the *6/*6
compared to *1/*1 population group and resulted in a significantly lower (P <
0.001) lumefantrine Cd7. A prospective change in dosing schedule from 3-days to 7
days resulted in a greater number of *6/*6 subjects (28-57%) attaining the target
Cd7 across age bands (0.25-13 years), with the greatest increase evident in the 1
4 year old group (3-day: 1%; 7-day: 28%).
PMID- 29635010
TI - Dose-reduction antiangiogenic curcumin-low molecular weight heparin nanodrugs for
enhanced combinational antitumor therapy.
AB - Curcumin (CUR) is a natural diketone with diverse bioactivities of inhibiting
angiogenesis and tumor growth. However, its clinical application for cancer
treatment was severely hindered by poor aqueous solubility and chemical
instability. To overcome these drawbacks and achieve enhanced antitumor
efficiency, low molecular weight heparin (LMWH) was conjugated to CUR via the one
step esterification reaction to yield LMWH-CUR (LCU) nanodrugs with the size of
180 nm, which exhibited enhanced accumulation within tumor site by EPR effect and
long circulating capacity by LMWH hydrophilic shell. The solubility of conjugated
CUR was increased to 0.12 mg/mL (equivalent of CUR) in comparison with 0.006
mg/mL of free CUR. The bioactivities of CUR were guaranteed because of the
improved stability of LCU nanodrugs in low pH condition. Moreover, the stronger
anti-angiogenesis efficacy of LCU nanodrugs than LMWH monotherapy was also
verified. Notably, at a rather low dose of equivalent LMWH (5 mg/kg) and CUR (0.3
mg/kg), the tumor inhibition rate of LCU nanodrugs were much higher than that of
LMWH (10 times) and LMWH plus CUR mixture (3.8 times) respectively, indicating
its excellent in vivo antitumor efficacy. Overall, our study managed to obtain
the novel nanodrugs with potent anti-angiogenesis and antitumor effects whereas
avoiding tedious and complicated synthetic procedures. These results also
suggested that LCU nanodrugs could be considered as a promising targeted delivery
system for cancer treatment.
PMID- 29635011
TI - The cysteine residue of glial fibrillary acidic protein is a critical target for
lipoxidation and required for efficient network organization.
AB - The type III intermediate filament protein glial fibrillary acidic protein (GFAP)
contributes to the homeostasis of astrocytes, where it co-polymerizes with
vimentin. Conversely, alterations in GFAP assembly or degradation cause
intracellular aggregates linked to astrocyte dysfunction and neurological
disease. Moreover, injury and inflammation elicit extensive GFAP organization and
expression changes, which underline reactive gliosis. Here we have studied GFAP
as a target for modification by electrophilic inflammatory mediators. We show
that the GFAP cysteine, C294, is targeted by lipoxidation by cyclopentenone
prostaglandins (cyPG) in vitro and in cells. Electrophilic modification of GFAP
in cells leads to a striking filament rearrangement, with retraction from the
cell periphery and juxtanuclear condensation in thick bundles. Importantly, the
C294S mutant is resistant to cyPG addition and filament disruption, thus
highlighting the critical role of this residue as a sensor of oxidative damage.
However, GFAP C294S shows defective or delayed network formation in GFAP
deficient cells, including SW13/cl.2 cells and GFAP- and vimentin-deficient
primary astrocytes. Moreover, GFAP C294S does not effectively integrate with and
even disrupts vimentin filaments in the short-term. Interestingly, short-spacer
bifunctional cysteine crosslinking produces GFAP-vimentin heterodimers,
suggesting that a certain proportion of cysteine residues from both proteins are
spatially close. Collectively, these results support that the conserved cysteine
residue in type III intermediate filament proteins serves as an electrophilic
stress sensor and structural element. Therefore, oxidative modifications of this
cysteine could contribute to GFAP disruption or aggregation in pathological
situations associated with oxidative or electrophilic stress.
PMID- 29635012
TI - A brief history of sex determination.
AB - A fundamental biological question that has puzzled, but also fascinated mankind
since antiquity is the one pertaining to the differences between sexes. Ancient
cultures and mythologies poetically intended to explain the origin of the two
sexes; philosophy offered insightful albeit occasionally paradoxical perceptions
about men and women; and society as a whole put forward numerous intuitive
observations about the traits that distinguish the two sexes. However, it was
only through meticulous scientific research that began in the 16th century, and
gradual technical improvements that followed over the next centuries, that the
study of sex determination bore fruit. Here, we present a brief history of sex
determination studies from ancient times until today, by selectively interviewing
some of the milestones in the field. We complete our review by outlining some yet
unanswered questions and proposing future experimental directions.
PMID- 29635013
TI - Glyphosate based- herbicide exposure affects gut microbiota, anxiety and
depression-like behaviors in mice.
AB - Recently, a number of studies have demonstrated the profound relationship between
gut microbiota (GM) alterations and behavioral changes. Glyphosate-based
herbicides (GBH) have been shown to induce behavioral impairments, and it is
possible that they mediate the effects through an altered GM. In this study, we
investigated the toxic effects of GBH on GM and its subsequent effects on the
neurobehavioral functions in mice following acute, subchronic and chronic
exposure to 250 or 500 mg/kg/day. The effect of these acute and repeated
treatments was assessed at the behavioral level using the open field, the
elevated plus maze, the tail suspension and splash tests. Then, mice were
sacrificed and the intestinal samples were collected for GM analysis. Subchronic
and chronic exposure to GBH induced an increase of anxiety and depression-like
behaviors. In addition, GBH significantly altered the GM composition in terms of
relative abundance and phylogenic diversity of the key microbes. Indeed, it
decreased more specifically, Corynebacterium, Firmicutes, Bacteroidetes and
Lactobacillus in treated mice. These data reinforce the essential link between GM
and GBH toxicity in mice and suggest that observed intestinal dysbiosis could
increase the prevalence of neurobehavioral alterations.
PMID- 29635014
TI - A comparative study on the sticking tendency of ibuprofen and ibuprofen sodium
dihydrate to differently coated tablet punches.
AB - In the present study, the sticking tendencies of ibuprofen and ibuprofen sodium
dihydrate as model substances for a lipophilic and a hydrophilic sticky API to
differently coated punches were investigated. Increased sticking was observed
with the more polar ibuprofen sodium dihydrate than with the less polar
ibuprofen. Interestingly, the anti-sticking performance of the punch coatings
proved to be independent of the APIs' polarity. To understand this phenomenon,
key properties of the punches were investigated. The AFM adhesive forces of both
APIs to the differently coated punches as well as the surface free energies and
the surface texture of these punches were determined. Separately evaluating these
properties revealed only a partial correlation with the sticking observed during
tableting. Consistent results with the tableting data could only be achieved if
evaluating the surface texture in context with the chemical composition of the
respective punch surfaces. It was concluded that each punch tip coating
represents an entirely different system, which were described in detail as a
result of the performed investigations. Particularly, chromium nitride-coated
punches resulted in excellent anti-sticking performances because of a low
chemical interaction with the APIs combined with a smooth and homogeneous surface
texture.
PMID- 29635015
TI - Ethnobotany of dioecious species: Traditional knowledge on dioecious plants in
India.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: More than 15,000 angiosperm species are
dioecious, i.e., having distinct male and female individual plants. The
allocation of resources between male and female plants is different, and also
variation in secondary metabolites and sex-biased herbivory is reported among
dioecious plants. However, little is known about the ethnobotany of dioecious
species and whether preferences exist for a specific gender, e.g., in food,
medicine or timber. AIM OF THE STUDY: The aim of this study was: 1) to study
whether Indian folk healers have preference for plant genders, and to document
their knowledge and use of dioecious species; 2) to understand the concept of
plant gender in Indian systems of medicine and folk medicine, and whether
Ayurvedic literature includes any references to gender preference. MATERIALS AND
METHODS: Lists of dioecious plants used in Indian systems of medicine and folk
medicine were compiled. Ethnobotanical data was collected on perceptions and
awareness of dioecious plants, and preferences of use for specific genders of
dioecious species using semi-structured interviews with folk healers in Tamil
Nadu, India. In addition, twenty Ayurvedic doctors were interviewed to gain
insight into the concept of plant gender in Ayurveda. RESULTS: Indian systems of
medicine contain 5-7% dioecious species, and this estimate is congruent with the
number of dioecious species in flowering plants in general. Informants recognized
the phenomenon of dioecy in 31 out of 40 species, and reported gender preferences
for 13 species with respect to uses as timber, food and medicine. Among
informants different plant traits such as plant size, fruit size, and visibility
of fruits determines the perception of a plant being a male or female. Ayurvedic
classical literature provides no straightforward evidence on gender preferences
in preparation of medicines or treatment of illness, however it contains details
about reproductive morphology and sexual differentiation of plants. CONCLUSIONS:
A knowledge gap exists in ethnobotanical and ethnopharmacological literature on
traditional knowledge of dioecious plants. From this explorative study it is
evident that people have traditional knowledge on plant gender and preferential
usages towards one gender. Based on this, we propose that researchers conducting
ethnobotanical and ethnopharmacological studies should consider documenting
traditional knowledge on sexual systems of plants, and test the existence of
gender specific usages in their conceptual framework and hypothesis testing.
Incorporating such concepts could provide new dimensions of scientific knowledge
with potential implications to conservation biology, chemical ecology,
ethnoecology and drug discovery.
PMID- 29635017
TI - Impact of obesity on the efficacy of different biologic agents in inflammatory
diseases: A systematic review and meta-analysis.
AB - OBJECTIVE: Obesity is a worldwide epidemic and a growing body of evidence
suggests that it may affect the body's response to biologic agents. We
investigated the influence of obesity on the efficacy of different biologic
agents used to treat inflammatory diseases. METHODS: Medline, EMBASE and the
Cochrane Database were searched using relevant MeSH and keyword terms for obesity
and bDMARDs. Articles were selected if they reported a clinical response in obese
subjects relative to other BMI categories. Response and remission outcomes were
assessed using meta-analysis and all other reported outcomes were summarized.
RESULTS: Among the 3850 records retrieved, 24 articles met the inclusion
criteria, including 10 on rheumatoid arthritis (RA), 4 on axial spondyloarthritis
(axSpA), 4 on Crohn's disease (CD), 4 on psoriasis (Ps) and 2 on psoriasic
arthritis (PsA). Four biological disease-modifying anti-rheumatic drugs (bDMARDs)
- anti-TNF agents, T cell co-stimulation inhibitor (abatacept), IL-6 inhibitor
(tocilizumab), and B-cell depletion therapy (rituximab) - were involved. The meta
analysis showed that the odds to reach a good response or achieve remission were
lower in obese (BMI>30kg/m2) than non-obese (BMI<=30kg/m2) patients who were
treated with anti-TNF agents (good responder % in RA: OR 0.34, 95% CI 0.18-0.64;
remission% in RA: OR 0.36, 95% CI 0.21-0.59; BASDAI50% in axSpA: OR 0.41, 95% CI
0.21-0.83), but no significant difference between obese and non-obese was found
in patients treated with abatacept (good responder % in RA: OR 0.75, 95% CI 0.42
1.36; remission% in RA: OR 0.84, 95% CI 0.65-1.09) and tocilizumab (good
responder % in RA: OR 1.08, 95% CI 0.44-2.63; remission% in RA: OR 0.91, 95% CI
0.50-1.66). CONCLUSION: Obesity hampered the effect of anti-TNF agents, but not
those of abatacept and tocilizumab, suggesting that a personalized treatment
strategy should be considered for obese patients with inflammatory diseases.
PMID- 29635016
TI - Brain microvascular endothelial-astrocyte cell responses following Japanese
encephalitis virus infection in an in vitro human blood-brain barrier model.
AB - Japanese encephalitis virus (JEV) remains a leading cause of encephalitis,
globally, which continues to grow in importance despite the availability of
vaccines. Viral entry into the brain can occur via the blood-brain barrier (BBB),
and inflammation at the BBB is a common final pathway in many brain infections.
However, the role of the BBB during JEV infection and the contribution of the
endothelial and astrocytic cell inflammation in facilitating virus entry into the
brain are incompletely understood. We established a BBB model using human brain
endothelial cells (HBECs) and human astrocytes. HBECs are polarised, and
therefore the model was inoculated by JEV from the apical side to simulate the in
vivo situation. The effects of JEV on the BBB permeability and release of
inflammatory mediators from both apical and basolateral sides, representing the
blood and the brain side respectively were investigated. JEV infected HBECs with
limited active virus production, before crossing the BBB and infecting
astrocytes. Control of JEV production by HBECs was associated with a significant
increase in permeability, and with elevation of many host mediators, including
cytokines, chemokines, cellular adhesion molecules, and matrix metalloproteases.
When compared to the controls, significantly higher amounts of mediators were
released from the apical side as opposed to the basolateral side. The increased
release of mediators over time also correlated with increased BBB permeability.
Treatment with dexamethasone led to a significant reduction in the release of
interleukin 6 (IL6), C-C motif chemokine ligand 5 (CCL5) and C-X-C motif
chemokine ligand 10 (CXCL10) from the apical side with a reduction in BBB
disruption and no change in JEV production. The results are consistent with the
hypothesis that JEV infection of the BBB triggers the production of a range of
host mediators from both endothelial cells and astrocytes, which control JEV
production but disrupt BBB integrity thus allowing virus entry into the brain.
Dexamethasone treatment controlled the host response and limited BBB disruption
in the model without increasing JEV production, supporting a re-investigation of
its use therapeutically.
PMID- 29635018
TI - OBscure but not OBsolete: Perturbations of the frontal cortex in common between
rodent olfactory bulbectomy model and major depression.
AB - Olfactory bulbectomy (OBX) has been used as a model of depression over several
decades. This model presupposes a mechanism that is still not proven in clinical
depression. A wealth of clinical literature has focused on the derangements in
frontal cortex (prefrontal, orbitofrontal and anterior cingulate cortices)
associated with depression. In this comprehensive review, anatomical,
electrophysiological and molecular sequelae of bulbectomy in the rodent frontal
cortex are explored and compared with findings on brains of humans with major
depression. Certain commonalities in neurobiological features of the perturbed
frontal cortex in the bulbectomised rodent and the depressed human brain are
evident. Also, meta-analysis reports on clinical studies on depressed patients
provide prima facie evidence that perturbations in the frontal cortex are
associated with major depression. Analysing the pattern of perturbations in the
chemical neuroanatomy of the frontal cortex will contribute to understanding of
the neurobiology of depression. Revisiting the OBX model of depression to examine
these neurobiological changes in frontal cortex with contemporary imaging,
proteomics, lipidomics, metabolomics and epigenomics technologies is proposed as
an approach to enhance the translational value of this animal model to facilitate
identification of targets and biomarkers for clinical depression.
PMID- 29635020
TI - Role of the immune system in regeneration and its dynamic interplay with adult
stem cells.
AB - The immune system plays an indispensable role in the process of tissue
regeneration following damage as well as during homeostasis. Inflammation and
immune cell recruitment are signs of early onset injury. At the wound site,
immune cells not only help to clear debris but also secrete numerous signalling
molecules that induce appropriate cell proliferation and differentiation
programmes essential for successful regeneration. However, the immune system does
not always perform a complementary role in regeneration and several reports have
suggested that increased inflammation can inhibit the regeneration process.
Successful regeneration requires a balanced immune cell response, with the
recruitment of accurately polarised immune cells in an appropriate quantity. The
regulatory interactions of the immune system with regeneration are not
unidirectional. Stem cells, as key players in regeneration, can also modulate the
immune system in several ways to facilitate regeneration. In this review, we will
focus on recent research demonstrating the key role of immune system in the
regeneration process as well as the immunomodulatory effects of stem cells.
Finally, we propose that research investigating the interplay between the immune
system and stem cells within highly regenerating animals can benefit the
identification of the key interactions and molecules required for successful
regeneration.
PMID- 29635021
TI - Ocular Metastasis of Bronchial Typical Carcinoid.
PMID- 29635022
TI - Dynamic interneuron-principal cell interplay leads to a specific pattern of in
vitro ictogenesis.
AB - Ictal discharges induced by 4-aminopyridine in the in vitro rodent entorhinal
cortex present with either low-voltage fast or sudden onset patterns. The role of
interneurons in initiating low-voltage fast onset ictal discharges is well
established but the processes leading to sudden onset ictal discharges remain
unclear. We analysed here the participation of interneurons (n = 75) and
principal cells (n = 13) in the sudden onset pattern by employing in vitro
tetrode wire recordings in the entorhinal cortex of brain slices from Sprague
Dawley rats. Ictal discharges emerged from a background of frequently occurring
interictal spikes that were associated to a specific interneuron/principal cell
interplay. High rates of interneuron firing occurred 12 ms before interictal
spike onset while principal cells fired later during low interneuron firing. In
contrast, the onset of sudden ictal discharges was characterized by increased
firing from principal cells 627 ms before ictal onset whereas interneurons
increased their firing rates 161 ms before ictal onset. Our data show that sudden
onset ictogenesis is associated with frequently occurring interictal spikes
resting on the interplay between interneurons and principal cells while ictal
discharges stem from enhanced principal cell firing leading to increased
interneuron activity. These findings indicate that specific patterns of
interactions between interneurons and principal cells shape interictal and ictal
discharges with sudden onset in the rodent entorhinal cortex. We propose that
specific neuronal interactions lead to the generation of distinct onset patterns
in focal epileptic disorders.
PMID- 29635023
TI - Edaravone attenuates neuronal apoptosis in hypoxic-ischemic brain damage rat
model via suppression of TRAIL signaling pathway.
AB - BACKGROUND AND OBJECTIVES: Edaravone is a new type of oxygen free radical
scavenger and able to attenuate various brain damage including hypoxic-ischemic
brain damage (HIBD). This study was aimed at investigating the neuroprotective
mechanism of edaravone in rat hypoxic-ischemic brain damage model and its
correlation with tumor necrosis factor-related apoptosis-inducing ligand (TRAIL)
signaling pathway. MATERIALS AND METHODS: 75 seven-day-old Sprague-Dawley
neonatal rats were equally divided into three groups: sham-operated group (sham),
HIBD group and HIBD rats injected with edaravone (HIBD + EDA) group. Neurological
severity and space cognitive ability of rats in each group were evaluated using
Longa neurological severity score and Morris water maze testing. TUNEL assay and
flow cytometry were used to determine brain cell apoptosis. Western blot was used
to estimate the expression level of death receptor-5 (DR5), Fas-associated
protein with death domain (FADD), caspase 8, B-cell lymphoma-2 (Bcl-2) and Bcl-2
associated X protein (Bax). In addition, immunofluorescence was performed to
detect caspase 3. RESULTS: Edaravone reduced neurofunctional damage caused by
HIBD and improved the cognitive capability of rats. The above experiment results
suggested that edaravone could down-regulate the expression of active caspase 3
protein, thereby relieving neuronal apoptosis. CONCLUSION: Taken together,
edaravone could attenuate neuronal apoptosis in rat hypoxic-ischemic brain damage
model via suppression of TRAIL signaling pathway, which also suggested that
edaravone might be an effective therapeutic strategy for HIBD clinical treatment.
PMID- 29635024
TI - The origins of the Psechridae: Web-building lycosoid spiders.
AB - Psechrids are an enigmatic family of S.E. Asian spiders. This small family builds
sheet webs and even orb webs, yet unlike other orb weavers, its putative
relatives are largely cursorial lycosoids - a superfamily of approximately seven
spider families related to wolf spiders. The orb web was invented at least twice:
first in a very ancient event, and then second, within this clade of wolf-like
spiders that reinvented this ability. Exactly how the spiders modified their
silks, anatomy, and behaviors to accomplish this transition requires that we
identify their precise evolutionary origins - yet, thus far, molecular
phylogenies show poor support and considerable disagreement. Using phylogenomic
methods based on whole body transcriptomes for psechrids and their putative
relatives, we have recovered a well-supported phylogeny that places the
Psechridae sister to the Ctenidae - a family of mostly cursorial habits but that,
as with all psechrids, retains some cribellate species. Although this position
reinforces the prevailing view that orb weaving in psechrids is largely a
consequence of convergence, it is still possible that some components of this
behavior are retained or resurrected in common with more distant true orb weaving
ancestors.
PMID- 29635019
TI - Planarian regeneration as a model of anatomical homeostasis: Recent progress in
biophysical and computational approaches.
AB - Planarian behavior, physiology, and pattern control offer profound lessons for
regenerative medicine, evolutionary biology, morphogenetic engineering, robotics,
and unconventional computation. Despite recent advances in the molecular genetics
of stem cell differentiation, this model organism's remarkable anatomical
homeostasis provokes us with truly fundamental puzzles about the origin of large
scale shape and its relationship to the genome. In this review article, we first
highlight several deep mysteries about planarian regeneration in the context of
the current paradigm in this field. We then review recent progress in
understanding of the physiological control of an endogenous, bioelectric pattern
memory that guides regeneration, and how modulating this memory can permanently
alter the flatworm's target morphology. Finally, we focus on computational
approaches that complement reductive pathway analysis with synthetic, systems
level understanding of morphological decision-making. We analyze existing models
of planarian pattern control and highlight recent successes and remaining
knowledge gaps in this interdisciplinary frontier field.
PMID- 29635025
TI - A phylotranscriptomic backbone of the orb-weaving spider family Araneidae
(Arachnida, Araneae) supported by multiple methodological approaches.
AB - The orb-weaving spider family Araneidae is extremely diverse (>3100 spp.) and its
members can be charismatic terrestrial arthropods, many of them recognizable by
their iconic orbicular snare web, such as the common garden spiders. Despite
considerable effort to better understand their backbone relationships based on
multiple sources of data (morphological, behavioral and molecular), pervasive low
support remains in recent studies. In addition, no overarching phylogeny of
araneids is available to date, hampering further comparative work. In this study,
we analyze the transcriptomes of 33 taxa, including 19 araneids - 12 of them new
to this study - representing most of the core family lineages, to examine the
relationships within the family using genomic-scale datasets resulting from
various methodological treatments, namely ortholog selection and gene occupancy
as a measure of matrix completion. Six matrices were constructed to assess these
effects by varying orthology inference method and gene occupancy threshold.
Orthology methods used are the benchmarking tool BUSCO and the tree-based method
UPhO; three gene occupancy thresholds (45%, 65%, 85%) were used to assess the
effect of missing data. Gene tree and species tree-based methods (including multi
species coalescent and concatenation approaches, as well as maximum likelihood
and Bayesian inference) were used totalling 17 analytical treatments. The
monophyly of Araneidae and the placement of core araneid lineages were supported,
together with some previously unsound backbone divergences; these include high
support for Zygiellinae as the earliest diverging subfamily (followed by
Nephilinae), the placement of Gasteracanthinae as sister group to Cyclosa and
close relatives, and close relationships between the Araneus + Neoscona clade and
Cyrtophorinae + Argiopinae clade. Incongruences were relegated to short branches
in the clade comprising Cyclosa and its close relatives. We found congruence
between most of the completed analyses, with minimal topological effects from
occupancy/missing data and orthology assessment. The resulting number of genes by
certain combinations of orthology and occupancy thresholds being analyzed had the
greatest effect on the resulting trees, with anomalous outcomes recovered from
analysis of lower numbers of genes.
PMID- 29635027
TI - The emerging role of copper-64 radiopharmaceuticals as cancer theranostics.
AB - Copper radionuclides are rapidly emerging as potential diagnostic and therapeutic
tools in oncology, particularly 64Cu-radiopharmaceuticals for targeting
neuroendocrine, prostate, and hypoxic tumors. Unexpectedly, experimental results
are also revealing the impressive biological behavior of simple [64Cu2+] ions.
For example, it has been demonstrated that administration of ionic [64Cu2+] in
physiological solution allows the selective targeting of a variety of
malignancies. These remarkable biological properties appear to be crucially
linked to the natural role of copper ions in cell proliferation. Here, we review
the current status of 64Cu-radiopharmaceuticals in molecular imaging and cancer
therapy.
PMID- 29635028
TI - Dynamic reorganization of TMS-evoked activity in subcortical stroke patients.
AB - Since early days after stroke, the brain undergoes a complex reorganization to
allow compensatory mechanisms that promote functional recovery. However, these
mechanisms are still poorly understood and there is urgent need to identify
neurophysiological markers of functional recovery after stroke. Here we aimed to
track longitudinally the time-course of cortical reorganization by measuring for
the first time EEG cortical activity evoked by TMS pulses in patients with
subcortical stroke. Thirteen patients in the sub-acute phase of ischemic
subcortical stroke with motor symptoms completed the longitudinal study, being
evaluated within 20 days and after 40, 60 and 180 days after stroke onset. For
each time-point, EEG cortical activity evoked by single TMS pulses was assessed
over the motor and parietal cortex of the affected and unaffected hemisphere. We
evaluated global TMS-evoked activity and TMS-evoked oscillations in different
frequency bands. These measurements were paralleled with clinical and behavioral
assessment. We found that motor cortical activity measured by TMS-EEG varied
across time in the affected hemisphere. An increase of TMS-evoked activity was
evident at 40 days after stroke onset. Moreover, stroke patients showed a
significant increase in TMS-evoked alpha oscillations, as highlighted performing
analysis in the time-frequency domain. Notably, these changes indicated that
crucial mechanisms of cortical reorganization occur in this short-time window.
These changes coincided with the clinical improvement. TMS-evoked alpha
oscillatory activity recorded at baseline was associated to better functional
recovery at 40 and 60 days' follow-up evaluations, suggesting that the power of
the alpha rhythm can be considered a good predictor of motor recovery. This study
demonstrates that cortical activity increases dynamically in the early phases of
recovery after stroke in the affected hemisphere. These findings point to TMS
evoked alpha oscillatory activity as a potential neurophysiological markers of
stroke recovery and could be helpful to determine the temporal window in which
neuromodulation should be potentially able to drive neuroplasticity in an
effective functional direction.
PMID- 29635029
TI - Microstructural imaging in the spinal cord and validation strategies.
AB - In vivo histology using magnetic resonance imaging (MRI) is a newly emerging
research field that aims to non-invasively characterize tissue microstructure.
The implications of in vivo histology are many, from discovering novel biomarkers
to studying human development, to providing tools for disease diagnosis and
monitoring the effects of novel treatments on tissue. This review focuses on
quantitative MRI (qMRI) techniques that are used to map spinal cord
microstructure. Opening with a rationale for non-invasive imaging of the spinal
cord, this article continues with a brief overview of the existing MRI techniques
for axon and myelin imaging, followed by the specific challenges and potential
solutions for acquiring and processing such data. The final part of this review
focuses on histological validation, with suggested tissue preparation,
acquisition and processing protocols for large-scale microscopy.
PMID- 29635026
TI - NTM drug discovery: status, gaps and the way forward.
AB - Incidence of pulmonary diseases caused by non-tuberculous mycobacteria (NTM),
relatives of Mycobacterium tuberculosis, is increasing at an alarming rate,
surpassing tuberculosis in many countries. Current chemotherapies require long
treatment times and the clinical outcomes are often disappointing. There is an
urgent medical need to discover and develop new, more-efficacious anti-NTM drugs.
In this review, we summarize the current status of NTM drug development, and
highlight knowledge gaps and scientific obstacles in NTM drug discovery. We
propose strategies to reduce biological uncertainties and to begin to populate a
NTM drug pipeline with attractive leads and drug candidates.
PMID- 29635031
TI - Sleep loss disrupts Arc expression in dentate gyrus neurons.
AB - Sleep loss affects many aspects of cognition, and memory consolidation processes
occurring in the hippocampus seem particularly vulnerable to sleep loss. The
immediate-early gene Arc plays an essential role in both synaptic plasticity and
memory formation, and its expression is altered by sleep. Here, using a variety
of techniques, we have characterized the effects of brief (3-h) periods of sleep
vs. sleep deprivation (SD) on the expression of Arc mRNA and Arc protein in the
mouse hippocampus and cortex. By comparing the relative abundance of mature Arc
mRNA with unspliced pre-mRNA, we see evidence that during SD, increases in Arc
across the cortex, but not hippocampus, reflect de novo transcription. Arc
increases in the hippocampus during SD are not accompanied by changes in pre-mRNA
levels, suggesting that increases in mRNA stability, not transcription, drives
this change. Using in situ hybridization (together with behavioral observation to
quantify sleep amounts), we find that in the dorsal hippocampus, SD minimally
affects Arc mRNA expression, and decreases the number of dentate gyrus (DG)
granule cells expressing Arc. This is in contrast to neighboring cortical areas,
which show large increases in neuronal Arc expression after SD. Using
immunohistochemistry, we find that Arc protein expression is also differentially
affected in the cortex and DG with SD - while larger numbers of cortical neurons
are Arc+, fewer DG granule cells are Arc+, relative to the same regions in
sleeping mice. These data suggest that with regard to expression of plasticity
regulating genes, sleep (and SD) can have differential effects in hippocampal and
cortical areas. This may provide a clue regarding the susceptibility of
performance on hippocampus-dependent tasks to deficits following even brief
periods of sleep loss.
PMID- 29635032
TI - Enriched expression of the ciliopathy gene Ick in cell proliferating regions of
adult mice.
AB - Cilia are essential for sensory and motile functions across species. In humans,
ciliary dysfunction causes "ciliopathies", which show severe developmental
abnormalities in various tissues. Several missense mutations in intestinal cell
kinase (ICK) gene lead to endocrine-cerebro-osteodysplasia syndrome or short rib
polydactyly syndrome, lethal recessive developmental ciliopathies. We and others
previously reported that Ick-deficient mice exhibit neonatal lethality with
developmental defects. Mechanistically, Ick regulates intraflagellar transport
and cilia length at ciliary tips. Although Ick plays important roles during
mammalian development, roles of Ick at the adult stage are poorly understood. In
the current study, we investigated the Ick gene expression in adult mouse
tissues. RT-PCR analysis showed that Ick is ubiquitously expressed, with
enrichment in the retina, brain, lung, intestine, and reproductive system. In the
adult brain, we found that Ick expression is enriched in the walls of the lateral
ventricle, in the rostral migratory stream of the olfactory bulb, and in the
subgranular zone of the hippocampal dentate gyrus by in situ hybridization
analysis. We also observed that Ick staining pattern is similar to pachytene
spermatocyte to spermatid markers in the mature testis and to an intestinal stem
cell marker in the adult small intestine. These results suggest that Ick is
expressed in proliferating regions in the adult mouse brain, testis, and
intestine.
PMID- 29635030
TI - Metaplasticity within the spinal cord: Evidence brain-derived neurotrophic factor
(BDNF), tumor necrosis factor (TNF), and alterations in GABA function (ionic
plasticity) modulate pain and the capacity to learn.
AB - Evidence is reviewed that behavioral training and neural injury can engage
metaplastic processes that regulate adaptive potential. This issue is explored
within a model system that examines how training affects the capacity to learn
within the lower (lumbosacral) spinal cord. Response-contingent (controllable)
stimulation applied caudal to a spinal transection induces a behavioral
modification indicative of learning. This behavioral change is not observed in
animals that receive stimulation in an uncontrollable manner. Exposure to
uncontrollable stimulation also engages a process that disables spinal learning
for 24-48 h. Controllable stimulation has the opposite effect; it engages a
process that enables learning and prevents/reverses the learning deficit induced
by uncontrollable stimulation. These observations suggest that a learning episode
can impact the capacity to learn in future situations, providing an example of
behavioral metaplasticity. The protective/restorative effect of controllable
stimulation has been linked to an up-regulation of brain-derived neurotrophic
factor (BDNF). The disruption of learning has been linked to the sensitization of
pain (nociceptive) circuits, which is enabled by a reduction in GABA-dependent
inhibition. After spinal cord injury (SCI), the co-transporter (KCC2) that
regulates the outward flow of Cl- is down-regulated. This causes the
intracellular concentration of Cl- to increase, reducing (and potentially
reversing) the inward flow of Cl- through the GABA-A receptor. The shift in GABA
function (ionic plasticity) increases neural excitability caudal to injury and
sets the stage for nociceptive sensitization. The injury-induced shift in KCC2 is
related to the loss of descending serotonergic (5HT) fibers that regulate
plasticity within the spinal cord dorsal horn through the 5HT-1A receptor.
Evidence is presented that these alterations in spinal plasticity impact pain in
a brain-dependent task (place conditioning). The findings suggest that ionic
plasticity can affect learning potential, shifting a neural circuit from
dampened/hard-wired to excitable/plastic.
PMID- 29635033
TI - Treatments of tilapia (Oreochromis niloticus) using nitric oxide for quality
improvement: Establishing a potential method for large-scale processing of farmed
fish.
AB - BACKGROUND: To find a succedaneum of present methods for slaughtering tilapia, we
have demonstrated the influence of nitric oxide (NO) (saturated NO solution)
through euthanasia before slaughter on the animal welfare and muscle color of
tilapia. The results suggested that NO euthanasia significantly improved the
animal welfare and muscle color. Besides, the investigation of NO postmortem
treatment on the muscle color and color stability of tilapia fillets suggested
that NO postmortem treatment not only improved the muscle color and color
stability but also prolonged the shelf-life of tilapia fillets during the
refrigerated storage. OBJECTIVE: To further investigate the effect of NO
euthanasia on the quality of tilapia fillets and to estimate the safety of NO
treatments (NO euthanasia and NO postmortem treatment) for the application of NO
treatments in industrial manufacturing of tilapia and possibly of other fish
species. METHODS: NO euthanasia was adopted in this study following a simulated
fish processing line. HbNO and MbNO values were measured to clarify the mechanism
and process of NO euthanasia. The blood parameters, muscle pH, rigor index, drip
loss and total volatile basic nitrogen (TVB-N) values were measured to evaluate
the quality of the fillets obtained from NO euthanized tilapia. Besides, the
nitrate (NO3-) levels in the muscles after the refrigerated storage were detected
to estimate the food safety of both NO euthanasia and NO postmortem treatment.
RESULTS: Fillets obtained from the tilapia euthanized by NO showed a later
reduction of muscle pH, a later onset of rigor mortis postmortem and less drip
loss during the refrigerated storage than control. NO euthanasia caused less TVB
N than control and prolonged the shelf life of tilapia fillets. Moreover, the NO3
levels in the muscles of both NO euthanasia and NO postmortem treatment after
the refrigerated storage were below the maximum permitted limit. CONCLUSION: Both
the NO euthanasia and NO postmortem treatment are suitable for improving the
quality of tilapia fillets and reducing the food safety threats, which may be
valuable for industrial manufacturing of tilapia and may be applicable for other
fish species.
PMID- 29635034
TI - Altered corneal biomechanical properties in children with osteogenesis
imperfecta.
AB - PURPOSE: To evaluate biomechanical corneal properties in children with
osteogenesis imperfecta (OI). METHODS: A prospective, observational, case-control
study was conducted on children 6-19 years of age diagnosed with OI. Patients
with OI and healthy control subjects underwent complete ophthalmic examinations.
Additional tests included Ocular Response Analyzer (ORA) and ultrasonic
pachymetry. Primary outcomes were central corneal thickness (CCT), corneal
hysteresis (CH), and corneal resistance factor (CRF). Intraocular pressure (IOP)
was measured directly by either iCare or Goldmann applanation and indirectly by
the ORA (Goldmann-correlated and corneal-compensated IOP). Statistically
significant differences between OI and control groups were determined using
independent samples t test. RESULTS: A total of 10 of 18 OI cases (mean age, 13
+/- 4.37 years; 8 males) and 30 controls (mean age, 12.76 +/- 2.62 years; 16
males) were able to complete the corneal biomechanics and pachymetry testing.
Children with OI had decreased CH (8.5 +/- 1.0 mm Hg vs 11.6 +/- 1.2 mm Hg [P <
0.001]), CRF (9.0 +/- 1.9 mm Hg vs 11.5 +/- 1.5 [P < 0.001]) and CCT (449.8 +/-
30.8 MUm vs 568 +/- 47.6 MUm [P < 0.001]) compared to controls. The corneal
compensated IOP was significantly higher in OI cases (18.8 +/- 3.1 mm Hg) than in
controls (15.0 +/- 1.6 mm Hg, P < 0.004), but there was no significant difference
in Goldmann-correlated IOP (16.3 +/- 4.2 mm Hg vs 15.8 +/- 2.2 mm Hg).
CONCLUSIONS: Collagen defects in OI alter corneal structure and biomechanics.
Children with OI have decreased CH, CRF, and CCT, resulting in IOPs that are
likely higher than measured by tonometry. These corneal alterations are present
at a young age in OI. Affected individuals should be routinely screened for
glaucoma and corneal pathologies.
PMID- 29635035
TI - Reply.
PMID- 29635036
TI - The wing base of the palaeodictyopteran genus Dunbaria Tillyard: Where are we
now?
AB - The structure of insect wing articulation is considered as reliable source of
high level characters for phylogenetic analyses. However, the correct
identification of homologous structures among the main groups of Pterygota is a
hotly debated issue. Therefore, the reconstruction of the wing bases in Paleozoic
extinct relatives is of great interest, but at the same time it should be treated
with extreme caution due to distortions caused by taphonomic effects. The present
study is focused on the wing base in Dunbaria (Spilapteridae). The articulation
in Dunbaria quinquefasciata is mainly formed by a prominent upright axillary
plate while the humeral plate is markedly reduced. Due to unique preservation of
surface relief of the axillary plate, its composition shows a detailed pattern of
three fused axillary sclerites and presumable position of the sclerite 3Ax. The
obtained structures were compared among Spilapteridae and to other
palaeodictyopterans Ostrava nigra (Homoiopteridae) and Namuroningxia elegans
(Namuroningxiidae). The comparative study uncovered two patterns of 3Ax in
Dunbaria and Namuroningxia, which correspond to their different suprafamilial
classification. In contrast to previous studies these new results reveal the
homologous structural elements in the wing base between Paleozoic
Palaeodictyoptera and their extant relatives of Ephemeroptera, Odonata and
Neoptera.
PMID- 29635037
TI - Fine structure of the ladybird spermatozoa (Insecta, Coleoptera, Coccinellidae).
AB - The sperm structure of several ladybird species belonging to different
subfamilies of Coccinellidae was studied. Three main sperm types were clearly
recognized, and were characterized by differences in acrosomal length, the
presence of a dense coat around the acrosome, the length of the basal body, the
amount of the centriole adjunct material, and the diameter of the mitochondrial
derivatives. However, the whole group shares a pattern of the posterior sperm
region uncommon for insects, in which the axoneme and other flagellar components
are running parallel with the nucleus. As a general conclusion, this study has
revealed an inconsistency between the sperm structure and the systematics of the
group, indicating that the generic concepts within the group do not reflect a
natural classification, a statement also shared by molecular studies.
PMID- 29635038
TI - Functional analysis of N-terminal propeptide in the precursor of Vibrio
vulnificus metalloprotease by using cell-free translational system.
AB - Vibrio vulnificus is a human pathogen causing fatal septicemia with edematous and
hemorrhagic skin damage. Among multiple virulence factors, an extracellular
metalloprotease termed as V. vulnificus protease (VVP) is known to play a crucial
role in eliciting the skin damage. The mature VVP (413 aa) is composed of two
domains, the N-terminal core domain with proteolytic activity and the C-terminal
domain mediates efficient attachment to protein substrates. However, VVP is
produced as an inactive precursor (609 aa) with a signal peptide (24 aa) and
propeptide (172 aa). In order to clarify the function of propeptide, a series of
DNA fragments encoding the VVP precursor and its various domains were designed
and the proteins were expressed in vitro by using cell-free translational system.
The results indicated that the propeptide might function as an intramolecular
chaperon to promote the proper folding of both N-terminal and C-terminal domains.
The obtained results also suggest that the propeptide, itself was unstable and
thus digested easily by the enzymes present in cell lysate used for cell-free
system. Additionally, the C-terminal domain in VVP found to inhibit the folding
of the N-terminal domain in absence of propeptide.
PMID- 29635039
TI - Prednisolone treatment reduces the osteogenic effects of loading in mice.
AB - Glucocorticoid treatment, a major cause of drug-induced osteoporosis and
fractures, is widely used to treat inflammatory conditions and diseases. By
contrast, mechanical loading increases bone mass and decreases fracture risk.
With these relationships in mind, we investigated whether mechanical loading
interacts with GC treatment in bone. Three-month-old female C57BL/6 mice were
treated with high-dose prednisolone (15 mg/60 day pellets/mouse) or vehicle for
two weeks. During the treatment, right tibiae were subjected to short periods of
cyclic compressive loading three times weekly, while left tibiae were used as
physiologically loaded controls. The bones were analyzed using peripheral
quantitative computed tomography, histomorphometry, real-time PCR, three-point
bending and Fourier transform infrared micro-spectroscopy. Loading alone
increased trabecular volumetric bone mineral density (vBMD), cortical thickness,
cortical area, osteoblast-associated gene expression, osteocyte- and osteoclast
number, and bone strength. Prednisolone alone decreased cortical area and
thickness and osteoblast-associated gene expression. Importantly, prednisolone
treatment decreased the load-induced increase in trabecular vBMD by 57% (p <
0.001) and expression of osteoblast-associated genes, while completely abolishing
the load-induced increase in cortical area, cortical thickness, number of
osteocytes and osteoclasts, and bone strength. When combined, loading and
prednisolone decreased the collagen content. In conclusion, high-dose
prednisolone treatment strongly inhibits the loading-induced increase in
trabecular BMD, and abolishes the loading-induced increase in cortical bone mass.
This phenomenon could be due to prednisolone inhibition of osteoblast
differentiation and function.
PMID- 29635040
TI - Dimer-monomer equilibrium of human HSP27 is influenced by the in-cell
macromolecular crowding environment and is controlled by fatty acids and heat.
AB - Small heat shock protein 27 (HSP27) is an essential element of the proteostasis
network in human cells. The HSP27 monomer coexists with the dimer, which can bind
unfolded client proteins. Here, we evaluated the in-cell dimer-monomer
equilibrium and its relevance to the binding of client proteins in a normal human
vascular endothelial cell line. When cells were treated with a membrane-permeable
crosslinker, the protein existed primarily as a free monomer (27 kDa) with a
markedly smaller percentage of dimer (54 kDa), hetero-conjugates, and minor smear
like bands. When the protein was crosslinked in a cell-free lysate, two of the
hetero-conjugates that were crosslinked in live cells were also detected, but the
dimer and other complexes were absent. However, when cells were pretreated with
fatty acid (FA) and/or heat (42.5 degrees C), dissociation of the dimer was
selectively prevented and two types of covalently linked dimers were increased.
These changes occurred most prominently in cells treated with docosahexaenoic
acid (DHA) and heat, which appeared to intensify the heat resistance of the cell.
Both the formation of covalently linked dimers and heat resistance were prevented
by N-acetylcysteine. By contrast, nearly all of the free monomers in the lysate
converted to disulfide bond-linked dimers by a simple, long incubation at 4
degrees C. These results strongly suggest that the monomer-dimer equilibrium of
HSP27 was inversed between the in-cell and cell-free systems. Temperature- and
amphiphile-regulated dimerization was restricted probably due to the low
hydration of the in-cell crowding environment.
PMID- 29635041
TI - The Biocompatibility of Nanoporous Acupuncture Needles.
AB - We investigate the biocompatibility of a new class of acupuncture needles that
possess a hierarchical nano/microscale porous surface topology, referred to as
porous acupuncture needles (PAN). The PAN is synthesized via a facile
electrochemical anodization technique by which a surface area approximately 20
times greater than a conventional acupuncture needle, of approximately the same
diameter, is obtained. PAN biocompatibility is evaluated using a variety of
standard tests, with results indicating that the PAN can safely be used within
therapeutic practice.
PMID- 29635042
TI - Effect of Ca2+ on the redox potential of heme a in cytochrome c oxidase.
AB - Subunit I of cytochrome c oxidase (CcO) from mitochondria and many bacteria
contains a cation binding site (CBS) located at the outer positively charged
aqueous phase not far from heme a. Binding of Ca2+ with the CBS in bovine CcO
inhibits activity of the enzyme 2-3 -fold [Vygodina, T., Kirichenko, A. &
Konstantinov A.A. (2013) Direct Regulation of Cytochrome c Oxidase by Calcium
Ions, PLoS One.8 e74436]. Here we show that binding of Ca2+ at CBS of bovine CcO
shifts Em of heme a to the positive by 15-20 mV. Na+ ions that bind to the same
site and compete with Ca2+ do not affect Em of heme a and also prevent and
reverse the effect of Ca2+. No effect of Ca2+ or EGTA is observed on Em of heme a
with the wild type bacterial oxidases from R.sphaeroides or P.denitrificans that
contain tightly-bound calcium at the site. In the D477A mutant CcO from P.
denitrificans that binds Ca2+ reversibly like the mitochondrial CcO, calcium
shifts redox titration curve of heme a to the positive by ~35-50 mV that is in
good agreement with the results of electrostatic calculations; however, as shown
earlier, it does not inhibit CcO activity of the mutant enzyme. Therefore the
data do not support the proposal that the inhibitory effect of Ca2+ on CcO
activity may be explained by the Ca2+-induced shift of Em of heme a. Rather, Ca2+
retards electron transfer by inhibition of charge dislocation in the exit part of
the proton channel H in mammalian CcO, that is absent in the bacterial oxidases.
PMID- 29635043
TI - Unique nuclear localization of Nile tilapia (Oreochromis niloticus) Neu4
sialidase is regulated by nuclear transport receptor importin alpha/beta.
AB - Sialidase catalyzes the removal of sialic acids from glycoconjugates. Different
from Neu1 and Neu3 sialidases, Neu4 enzymatic properties such as substrate
specificity and subcellular localization are not well-conserved among
vertebrates. In fish only zebrafish and medaka neu4 genes have been cloned and
their polypeptides have been characterized so far. Thus, characterization of Neu4
from other fish species is necessary to evaluate Neu4 physiological functions.
Here, Nile tilapia was chosen for the characterization of Neu4 polypeptide
considering that it is one of the major cultured fish all over the world and that
its genomic sequences are now available. Coding DNA sequence of tilapia Neu4 was
identified as 1,497 bp and its recombinant protein showed broad substrate
specificity and optimal sialidase enzyme activity pH at 4.0. Neu4 activity was
sustained even in neutral and alkali pH. Interestingly, immunofluorescence
analysis revealed that major subcellular localization of tilapia Neu4 was
nuclear, quite distinct from zebrafish (ER) and medaka Neu4 (lysosome).
Bioinformatic analysis showed the existence of putative nuclear localization
signal (NLS) in tilapia Neu4. In general, it is known that importin families bind
to several proteins via NLS and transfer them into nucleus. Therefore, to
determine the involvement of putative NLS in Neu4 nuclear localization, Neu4
mutant deleting NLS was constructed and expressed in cultured cells. As a result,
NLS deletion significantly diminished the nuclear localization. Furthermore,
treatment of importazole, interrupter of binding importin beta and RanGTP,
significantly suppressed Neu4 nuclear localization. In summary, tilapia Neu4 is a
unique sialidase localized at nucleus and its transport system into nucleus is
regulated by importin.
PMID- 29635044
TI - Chikungunya virus inhibition by peptidomimetic inhibitors targeting virus
specific cysteine protease.
AB - Chikungunya virus (CHIKV), a mosquito-borne pathogenic virus that reemerged and
caused epidemic in the Indian Ocean island of La Reunion, is a potential public
health threat. Currently there is no antiviral drug or vaccine commercially
available for the treatment of chikungunya fever, which necessitates the urge for
an effective antiviral therapy for chikungunya treatment. In the present study, a
FRET based protease assay was used to analyze the proteolytic activity of
chikungunya nsP2 protease (CHIKV nsP2pro) - an essential viral enzyme, with
fluorogenic substrate peptide. This protease assay was used to assess the
inhibitory activity of Pep-I (MMsINC(r) database ID MMs03131094) and Pep-II
(MMsINC(r) database ID MMs03927237), peptidomimetic compounds identified in a
previous study by our group. Both compounds inhibited CHIKV nsP2pro with half
maximal inhibition concentration (IC50) values of ~34 MUM and ~42 MUM,
respectively. Kinetic studies showed that the inhibition constant (Ki) value is
33.34 +/- 2.53 MUM for Pep-I and 45.89 +/- 4.38 MUM for Pep-II. Additionally,
these two compounds significantly inhibited CHIKV replication in BHK-21 cells at
concentrations much lower than their cytotoxic concentrations. Intriguingly,
these compounds did not show inhibitory effect on Sindbis virus. This suggests
that Pep-I and Pep-II compounds identified as CHIKV nsP2 substrate
peptidomimetics, specifically inhibit CHIKV replication.
PMID- 29635045
TI - Implantation loss induced by ethanolamine in the rat is ameliorated by a choline
supplemented diet.
AB - Ethanolamine (EA) reduced implantation success in a two-generation reproduction
toxicity study; the aim of this work was to explore the underlying basis for this
response. When administered to pregnant rats during gestation days (GD) 1-3, 4-5,
or 6-7, EA had no effect upon implantation success. In a second experiment, EA
was administered either in the diet or by oral gavage from two weeks prior to
mating through to GD 8. Parallel groups also received a diet supplemented with
choline. In the absence of supplementary choline, EA induced early resorptions,
statistically significant only when administered in the diet. A slight reduction
in implantation success was ameliorated by supplementary choline. We conclude
that implantation is affected by EA only when exposure starts before mating; that
dietary administration is more effective than gavage dosing; and that
interference with choline homeostasis may play a role in the aetiology of this
lesion.
PMID- 29635046
TI - Effects of Bisphenol-A on proliferation and expression of genes related to
synthesis of polyamines, interferon tau and insulin-like growth factor 2 by ovine
trophectoderm cells.
AB - This study evaluated the effects of bisphenol A (BPA) on proliferation of ovine
trophectoderm (oTr1) cells, as well as expression of genes for transport of
arginine and synthesis of polyamines. BPA reduced proliferation of oTr1 cells at
concentrations of 1 * 10-6, 1 * 10-5, 1 * 10-4 M compared to concentrations of 0,
1 * 10-9, and 1 * 10-8 M at 24 and 96 h of culture. Lower concentrations of BPA
significantly increased expression of mRNAs for agmatinase (AGMAT), arginine
decarboxylase (ADC), ornithine decarboxylase (ODC1) and solute carrier family 7
member 1 (SLC7A1). Similarly, synthesis of polyamines by oTr1 cells was greatest
at lower concentrations of BPA and decreased as the dose of BPA increased.
Expression of mRNAs for interferon tau (IFNT) and insulin-like growth factor 2
(IGF2) by oTr1 cells was greater than for controls at 1 * 10-9 M BPA. Overall,
the effects of BPA on proliferation and gene expression by oTr1 cells were highly
dose-dependent.
PMID- 29635047
TI - The impact of confounder selection in propensity scores when applied to
prospective cohort studies in pregnancy.
AB - Our work was motivated by small cohort studies on the risk of birth defects in
infants born to pregnant women exposed to medications. We controlled for
confounding using propensity scores (PS). The extremely rare events setting
renders the matching or stratification infeasible. In addition, the PS itself may
be formed via different approaches to select confounders from a relatively long
list of potential confounders. We carried out simulation experiments to compare
different combinations of approaches: IPW or regression adjustment, with 1)
including all potential confounders without selection, 2) selection based on
univariate association between the candidate variable and the outcome, 3)
selection based on change in effects (CIE). The simulation showed that IPW
without selection leads to extremely large variances in the estimated odds ratio,
which help to explain the empirical data analysis results that we had observed.
PMID- 29635048
TI - Expression of kappa opioid receptors in developing rat brain - Implications for
perinatal buprenorphine exposure.
AB - Buprenorphine, a mu opioid receptor partial agonist and kappa opioid receptor
(KOR) antagonist, is an emerging therapeutic agent for maternal opioid dependence
in pregnancy and neonatal abstinence syndrome. However, the endogenous opioid
system plays a critical role in modulating neurodevelopment and perinatal
buprenorphine exposure may detrimentally influence this. To identify aspects of
neurodevelopment vulnerable to perinatal buprenorphine exposure, we defined KOR
protein expression and its cellular associations in normal rat brain from
embryonic day 16 to postnatal day 23 with double-labelling immunohistochemistry.
KOR was expressed on neural stem and progenitor cells (NSPCs), choroid plexus
epithelium, subpopulations of cortical neurones and oligodendrocytes, and NSPCs
and subpopulations of neurones in postnatal hippocampus. These distinct patterns
of KOR expression suggest several pathways vulnerable to perinatal buprenorphine
exposure, including proliferation, neurogenesis and neurotransmission. We thus
suggest the cautious use of buprenorphine in both mothers and infants until its
impact on neurodevelopment is better defined.
PMID- 29635049
TI - Effects of maternal subclinical hypothyroidism on amniotic fluid cells oxidative
status.
AB - In this study, we researched the effects of maternal subclinical hypothyroidism
on the amniotic fluid cells oxidative metabolism during the first trimester of
pregnancy. Oxidative stress and damage biomarkers were assayed in the amniotic
fluid cells of healthy and pregnant women with subclinical hypothyroidism.
Obtained results show that amniotic fluid cells of pregnant women with
subclinical hypothyroidism have significantly higher concentrations of oxidative
stress biomarkers (superoxide anion, nitric oxide, peroxynitrite) and oxidative
damage (lipid peroxide and micronuclei frequency), but lower concentrations of
hydrogen peroxide and oxidized glutathione in comparison to healthy pregnant
women. We also showed that oxidative stress biomarkers were positively correlated
with micronuclei frequency and lipid peroxide concentration in amniotic fluid
cells of pregnant women with subclinical hypothyroidism. The present study
provides the first evidence for prooxidative effects of maternal subclinical
hypothyroidism on the fetus obtained by the estimating oxidative metabolism in
the amniotic fluid cells.
PMID- 29635050
TI - Enhancing using glucose encapsulation, the efficacy of CdO NPs against multi-drug
resistant Escherichia coli.
AB - In this study, monodispersed, highly biocompatible and substantially stable
glucose encapsulated CdO nanoparticles (G-CdO NPs) of uniform sizes were
synthesized using a sol-gel route. In addition, naked CdO (n-CdO) NPs without any
capping or surface functionalization were synthesized using the same method.
These NPs were uniformly dispersed in an aqueous solution. The synthesis of G-CdO
and n-CdO NP was confirmed by UV-Vis spectroscopy, transmission electron
microscopy (TEM), zeta potential, and dynamic light scattering analyses. The
average size of G-CdO and n-CdO NP was found to be 17+/-1and 27 +/- 1 nm, under
TEM, respectively. X-ray diffraction analysis of G-CdO and n-CdO NPs confirmed
their sizes to be 18.83 and 28.41 nm, respectively, and revealed their cubic
crystal structures with no impurity. The surface functionalization of G-CdO NPs
with glucose was confirmed by Nuclear Magnetic Resonance and Fourier-transform
infrared spectroscopy analyses. As per our knowledge, this is the first report to
investigate the potencies of G-CdO and n-CdO NPs against gram-negative and gram
positive multi-drug resistant (MDR) bacteria. The minimum inhibitory
concentrations of G-CdO and n-CdO NPs were6.42 and 16.29 MUg/ml, respectively,
against Escherichia coli (NCIM 2571-MDR), whereas 7.5 MUg/ml & 11.6 MUg/ml,
respectively against S. aureus (NCIM- 2079) as determined by the double dilution
method. The minimum bactericidal concentration was determined at the
concentration for which no growth was observed. TEM analysis of E. coli cells
treated with G-CdO NPs revealed cell shrinkage and degraded cell membranes, while
the cell surfaces of untreated viable cells were smooth.
PMID- 29635052
TI - Mechanism of pattern recognition receptors (PRRs) and host pathogen interplay in
bovine mastitis.
AB - Bacterial infection in the mammary gland parenchyma induces local and
subsequently systemic inflammation that results in a complex disease. Mastitis in
bovine is the result of various factors which function together. This review is
aimed to analyze the factors involved in the pathogenesis of common bacterial
species for bovine mastitis. The bacterial growth patterns, signaling pathway and
the pathogen-associated molecular patterns (PAMPs) which activate immune
responses is discussed. Clear differences in bacterial infection pattern are
shown between bacterial species and illustrated TLRs, NLRs and RLGs molecular
mechanism for the initiation of intramammary infection. The underlying reasons
for the differences and the resulting host response are analyzed. Understandings
of the mechanisms that activate and regulate these responses are central to the
development of efficient anticipatory and treatment management. The knowledge of
bovine mammary gland to common mastitis causing pathogens with possible immune
mechanism could be a new conceptual understanding for the prospect of mastitis
control program.
PMID- 29635051
TI - Analysis of two quorum sensing-deficient isolates of Pseudomonas aeruginosa.
AB - Three strains of Pseudomonas aeruginosa were isolated: wild-type (WT, NO4) showed
normal quorum sensing (QS), whereas QSD3 and QSD7 were QS-deficient (QSD)
containing limited N-butyryl homoserine lactone (C4-HSL). The autoinducer
activity produced by NO4 was found to be at least 50-fold higher than those by
the QSD3 and the QSD7 strains. The QSDs produced lower levels of phenazine
compounds (pyocyanin), siderophores (pyoverdine) and biosurfactants
(rhamnolipids) than NO4. Therefore, the swarming motility and the swimming
motility of the QSD3 and the QSD7 strains also decreased. Treatment with
exogenous C4-HSL completely restored rhamnolipid production in both QSDs,
suggesting that the biosynthesis of C4-HSL is defective. However, the biofilm
production of the QSDs reached much higher levels than those of wild-types (NO4
and P. aeruginosa PAO1). And both QSD strains were more resistant than wild-type
cell (NO4) against kanamycin and tobramycin. The RpoS gene, which function is
related with QS, is point-nonsense mutated in QSD3 strain. But eleven QS-related
genes in QSD3 were not mutated, compared to those of PAO1, which carries intact
QS genes and is used as a positive control. This study is helpful in the
development of novel approaches in the treatment of P. aeruginosa infections.
PMID- 29635053
TI - Resiquimod enhances mucosal and systemic immunity against avian infectious
bronchitis virus vaccine in the chicken.
AB - Adjuvant enhancing mucosal immune response is preferred in controlling many
pathogens at the portal of entry. Earlier, we reported that a toll-like-receptor
7 (TLR7) agonist, resiquimod (R-848), stimulated the systemic immunity when
adjuvanted with the inactivated Newcastle disease virus vaccine in the chicken.
Here, we report the effect of R-848 when adjuvanted with live or inactivated
avian infectious bronchitis virus (IBV) vaccines with special emphasis on mucosal
immunity. Specific pathogen free (SPF) chicks (n = 60) were equally divided into
six groups at two weeks of age and immunized with either inactivated or live IBV
vaccine adjuvanted with or without R-848. Groups that received either PBS or R
848 served as control. A booster was given on 14 days post-immunization (dpi). R
848 enhanced the antigen specific humoral and cellular immune responses when co
administered with the vaccines as evidenced by an increase in the antibody titre
in ELISA and stimulation index in lymphocyte transformation test (LTT) till 35
dpi and increased proportion of CD4+ and CD8+ T cells on 21 dpi in the flow
cytometry. Interestingly, it potentiated the IgA responses in the tear and
intestinal secretions when used with both live and inactivated IBV vaccines. The
combination of IBV vaccine with R-848 significantly up-regulated the transforming
growth factor beta 4 (TGFbeta4) transcripts in the peripheral blood mononuclear
cells (PBMCs) than that of the respective vaccine per se. An enhanced secretory
IgA response is likely due to the up-regulation of TGFbeta4, which is responsible
for class switching to IgA. In conclusion, co-administration of R-848 with
inactivated or live IBV vaccine enhanced the systemic as well as mucosal immune
responses in the chicken.
PMID- 29635054
TI - Current regulatory requirements and practical approaches for stability analysis
of pharmaceutical products: A comprehensive review.
AB - Different regulatory guidelines recommend establishing stability profile of
pharmaceuticals at the time of drug development. The expiry date, retesting
period and storage conditions of active drugs or products are established through
stability analysis. Several regulatory guidelines exist for stability testing of
pharmaceuticals. Mostly, ICH stability guidelines are followed in practice. This
guideline recommends to validate stability indicating method using forced
degradation samples that contains all possible degradation impurities. ICH
guidelines provide general recommendations for inclusion of stability indicating
parameters in a stability testing protocol. However, those guidelines do not
provide specific requirements and experimental methodology to be followed for
stability studies. Due to this gap, often confusion arises in the scientific
community in designing stability testing protocol. Therefore, significant
variations are observed in reported literature in selection of stability
indicating parameters. Procedural dissimilarity amongst reported stability
studies is also evident. This review discusses the regulatory guidelines and
procedures to follow in performing stability testing of pharmaceuticals. Scope of
this review also includes recommendations on practical approaches for designing
stability testing protocol to fulfill current regulatory requirements for drug
substances and their formulations.
PMID- 29635055
TI - Polysorbate 20 alters the oral bioavailability of etoposide in wild type and
mdr1a deficient Sprague-Dawley rats.
AB - The aim of the present work was to investigate the ability of nonionic
surfactants to increase the oral absorption of the P-glycoprotein substrate
etoposide in vitro and in vivo. Intestinal absorption was investigated by
studying bidirectional permeability of etoposide across filter-grown Caco-2 and
MDCKII MDR1 cell monolayers. The oral absorption of etoposide was investigated in
wild type (WT) and mdr1a deficient (KO) Sprague-Dawley rats. In cell cultures,
polysorbate 20 (PS20) decreased P-glycoprotein mediated efflux of etoposide. When
PS20 and etoposide were co-administered to WT rats, the oral absorption of
etoposide increased significantly in the presence of 5 and 25% (v/v) PS20.
However, in KO rats, the exposure of etoposide after oral co-administration with
5% PS20 was similar to control. Unexpectedly, co-administration of etoposide with
25% PS20 significantly reduced the absorption fraction of etoposide in mdr1a KO
rats. In vitro dialysis studies performed on PS20-containing etoposide solutions
suggested that the reduced bioavailability may be due to etoposide retention in
PS20 micelles and/or through increased viscosity. In conclusion, PS20 increases
oral bioavailability of etoposide through inhibition of P-glycoprotein. However,
the use of the excipient may be challenged by etoposide retention at higher
concentrations.
PMID- 29635056
TI - Mass Median Plume Angle: A novel approach to characterize plume geometry in
solution based pMDIs.
AB - High-speed laser imaging (HSLI) is the preferred technique to characterize the
geometry of the plume in pressurized metered dose inhalers (pMDIs). However,
current methods do not allow for simulation of inhalation airflow and do not use
drug mass quantification to determine plume angles. To address these limitations,
a Plume Induction Port Evaluator (PIPE) was designed to characterize the plume
geometry based on mass deposition patterns. The method is easily adaptable to
current pMDI characterization methodologies, uses similar calculations methods,
and can be used under airflow. The effect of airflow and formulation on the plume
geometry were evaluated using PIPE and HSLI. Deposition patterns in PIPE were
highly reproducible and log-normal distributed. Mass Median Plume Angle (MMPA)
was a new characterization parameter to describe the effective angle of the
droplets deposited in the induction port. Plume angles determined by mass showed
a significant decrease in size as ethanol increases which correlates to the
decrease on vapor pressure in the formulation. Additionally, airflow
significantly decreased the angle of the plumes when cascade impactor was
operated under flow. PIPE is an alternative to laser-based characterization
methods to evaluate the plume angle of pMDIs based on reliable drug
quantification while simulating patient inhalation.
PMID- 29635057
TI - Long chain fatty acid conjugation remarkably decreases the aggregation induced
toxicity of Amphotericin B.
AB - Amphotericin B is an antimicrobial membrane-acting drug used in the treatment of
systemic fungal infections. However, the clinical utility of AmB is often low as
a result of (i) dose-limiting toxicity which is closely associated with its
aggregation wherein the selectivity for its target i.e. ergosterol in fungal
membranes is diminished and (ii) limited oral bioavailablity. The latter is
attributed to the unfavorable physicochemical properties of the AmB e.g., low
solubility, gastrointestinal instability, and poor intestinal permeability. The
hypothesis of present work was that by applying a lipid conjugation approach the
aggregation induced toxicity of AmB vis-a-vis permeability can be overcome. From
the array of fatty acids, the oleic acid (OA) was selected for conjugation due to
its great impact on increasing the Caco-2 permeability of AmB. AmB-OA conjugate
was synthesized using standard carbodiimide chemistry and characterized
thoroughly. Due to the reported strong correlation between the self-aggregation
of AmB and toxicity, the aggregation behavior of AmB and AmB-OA was studied by in
silico modeling and confirmed experimentally. In vitro hemolytic studies and
viability assays in kidney cells (HEK 293 cells) suggested that AmB in aggregated
was state highly toxic but not AmB-OA. In silico modeling suggested possible
aggregation conformation of AmB-OA dimers that retains the selectivity for
cholesterol even in aggregated state when embedded in in silico generated lipid
bilayers. The results were further confirmed by assessing the interactions of
monomeric and aggregated state of AmB and AmB-OA with that of cholesterol and
ergosterol containing liposomes employing circular dichroism spectroscopy. The
findings were subsequently corroborated by in vivo nephrotoxicity studies. To
conclude, the lipid conjugation approach may be a promising strategy for reducing
the dose-limiting toxicity of AmB.
PMID- 29635058
TI - Elemental impurities in lipsticks: Results from a survey of the Portuguese and
Brazilian markets.
AB - For safety reasons, European regulations prohibit the use of a long list of
metal(loid)s as ingredients of cosmetic products. However, their presence as
impurities in finished products is virtually unavoidable, even under GMP
conditions. This study aimed at determining the elemental profile of lipsticks
available in the Portuguese and Brazilian markets. A total of 96 lipsticks were
purchased in Brazil (n = 53; 9 brands) and Portugal (n = 43; 7 brands) and the
content of 44 elements was determined. Results ranged from <1 MUg/g to several
tens of MUg/g (e.g., Sn, Mn, Zn). Significant differences were found between
Portuguese and Brazilian products for several elements, particularly for Pb. For
the elements of major toxicological concern (Pb, Cd, As, Sb, Hg), mean values
were always below the current limits set by the German competent authority.
However, a significant percentage of exceedances were observed for Pb (24%) and
Cd (21%). A safety assessment was carried out for the toxicologically relevant
elements. Results showed that, except for Pb, the systemic exposure resulting
from lipstick use represents less than 0.2% (ca. 3% for Pb) of the respective
permitted daily exposure even in the worst-case scenario (i.e., ingestion of the
total amount of product applied).
PMID- 29635059
TI - An innovative investigative approach to characterize the effects observed in a
combined fertility study in male and female rats.
AB - This paper describes the characterization of male- and female-mediated effects in
a standard ICH rat fertility and early embryonic development study with a
discontinued clinical small molecule. In the standard study, the test item had no
effect on the number of treated females becoming pregnant, but litter sizes were
reduced at the high dose level. In the treated male rats, increased incidences of
abnormal sperm, decreases in average sperm path and straight line velocities, and
minimal retention of mature sperm in the seminiferous tubules were observed at
all dose-levels tested. These findings were unexpected in view of a lack of
histopathological changes in the reproductive organs of either gender in 4-week
repeat dose studies in rats and monkeys. A follow-up fertility study was
conducted using an innovative flexible study design and a single high-dose level.
In the first instance, treated male rats were mated with untreated females,
followed by necropsy of a subset of males. The intention was then to re-mate the
males after an 8-week wash-out period with either treated or untreated females
depending on the outcome of the first mating. On this occasion, litter sizes were
not affected, but the testicular effects were reproduced. A second mating with
treated females reproduced the reduced litter sizes due to increased pre- and
post-implantation loss, demonstrating that the effect on fecundity was female
mediated. The testicular changes in males were shown to be reversible after a 12
week recovery period.
PMID- 29635060
TI - In vitro percutaneous penetration of silver nanoparticles in pig and human skin.
AB - In this study, the effects of surface charge, dose, and cosmetic vehicle on the
penetration of silver nanoparticles (AgNPs) into pig and human skin were
compared. AgNPs (20 nm) with varying surface-charges (polyethylene glycol (PEG;
neutral), citrate (CIT; negative), and branched polyethylenimine (bPEI; positive)
were dosed onto skin in in vitro diffusion cells using an aqueous solution and an
oil-in-water emulsion formulation. Samples were analyzed by inductively coupled
plasma mass spectroscopy (ICP-MS) and transmission electron microscope (TEM) to
assess AgNP skin penetration. The results showed that neutral and positive AgNPs
penetrate human skin when applied in a high dose aqueous solution and less with
the emulsion vehicle. A mass balance percutaneous penetration study in human skin
found the majority of AgNPs were washed from the skin or remained mostly in the
stratum corneum (3.4% of the applied dose for AgbPEI and 1.7% for AgPEG). Very
little silver was found in the epidermis (1.2% AgbPEI and 0.3% AgPEG) and dermis
(0.1% AgbPEI and none detected for AgPEG). These results indicate low dermal
penetration of AgNPs that is not greatly affected by surface coating charge. The
results will facilitate dermal exposure assessments by better understanding how
nanoparticle properties affect skin absorption of nanoparticles found in personal
care products.
PMID- 29635062
TI - Computational design and experimental characterization of a novel beta-common
receptor inhibitory peptide.
AB - In short-term animal models of ischemia, erythropoietin (EPO) signaling through
the heterodimeric EPO receptor (EPOR)/beta-common receptor (betaCR) is believed
to elicit tissue protective effects. However, large, randomized, controlled
trials demonstrate that targeting a higher hemoglobin level by administering
higher doses of EPO, which are more likely to activate the heterodimeric
EPOR/betaCR, is associated with an increase in adverse cardiovascular events.
Thus, inhibition of long-term activation of the betaCR may have therapeutic
implications. This study aimed to design and evaluate the efficacy of novel
computationally designed betaCR inhibitory peptides (betaIP). These novel betaIPs
were designed based on a truncated portion of Helix-A from EPO, specifically
residues 11-26 (VLERYLLEAKEAEKIT). Seven novel peptides (P1 to P7) were designed.
Peptide 7 (P7), VLERYLHEAKHAEKIT, demonstrated the most robust inhibitory
activity. We also report here the ability of P7 to inhibit betaCR-induced nitric
oxide (NO) production and angiogenesis in human umbilical vein endothelial cells
(HUVECs). Specifically, we found that P7 betaIP completely abolished EPO-induced
NO production. The inhibitory effect could be overcome with super physiological
doses of EPO, suggesting a competitive inhibition. betaCR-induced angiogenesis in
HUVEC's was also abolished with treatment of P7 betaIP, but P7 betaIP did not
inhibit vascular endothelial growth factor (VEGF)-induced angiogenesis. In
addition, we demonstrate that the novel P7 betaIP does not inhibit EPO-induced
erythropoiesis with use of peripheral blood mononuclear cells (PBMCs). These
results, for the first time, describe a novel, potent betaCR peptide inhibitor
that inhibit the actions of the betaCR without affecting erythropoiesis.
PMID- 29635061
TI - An open-label, randomized, four-treatment crossover study evaluating the effects
of salt form, acetaminophen, and food on the pharmacokinetics of phenylephrine.
AB - Phenylephrine hydrochloride (HCl) is a decongestant available in over-the-counter
(OTC) medicines. Previously marketed prescription products contained
phenylephrine tannate, an extended-release salt, which allowed dosing every 8-12
h. Given the regulatory history that cold medicines marketed before 1962 had
limited supporting clinical data, and with widespread replacement of
pseudoephedrine by phenylephrine in OTC products over the last ten years, the
need for contemporary studies grew. This exploratory crossover study evaluated
effects of salt form, acetaminophen, and food on phenylephrine pharmacokinetics
and metabolites in healthy adults. Test treatments were 25 mg phenylephrine
tannate (equivalent to 10 mg phenylephrine HCl) combined with 200 mg guaifenesin,
fasted; 10 mg phenylephrine HCl combined with 650 mg acetaminophen, fasted; and
10 mg phenylephrine HCl, fed. The reference treatment was 10 mg phenylephrine
HCl, fasted. Plasma phenylephrine pharmacokinetics and urine metabolites were
determined. Although the tannate salt slowed phenylephrine absorption compared
with the HCl salt, terminal concentrations were similar, suggesting that products
containing the tannate salt should not be dosed less frequently than those
containing the HCl salt. The premise that acetaminophen increases phenylephrine
bioavailability by competition for presystemic sulfation was corroborated by
increased phenylephrine sulfate in urine. Food delayed phenylephrine absorption,
but not the total amount absorbed.
PMID- 29635063
TI - The SAFE pathway is involved in the postconditioning mechanism of oxytocin in
isolated rat heart.
AB - Oxytocin (OT) has a postconditioning effect against the ischemia-reperfusion
(I/R) injury. However, its precise cardioprotection mechanism at the early
reperfusion phase remains under debate. Our previous study revealed that OT
postconditioning (OTpost) is cardioprotective by activating the Reperfusion
Injury Salvage Kinase (RISK) pathway. Therefore, the present study is aimed to
determine the biological effects of OTpost via the OT receptor and the activation
of the JAK/STAT3 signaling pathway, mitochondrial adenosine triphosphate
dependent potassium channel (mitoKATP), nitric oxide (NO) release, and its anti
apoptotic effects against I/R injury in an isolated rat heart model. Sixty-three
rats were randomly allocated to one of nine groups. OT was perfused 40 min prior
to the regional ischemia or 15 min at the early reperfusion phase. AG490 (a
JAK/STAT3 inhibitor), 5HD (a mitoKATP blocker), atosiban (an OT receptor
antagonist), L-NAME (a nonspecific nitric oxide synthase inhibitor) were applied
either alone or in combination with OT during the pre-ischemia phase and/or in
the early reperfusion phase. Myocardial infarct size, hemodynamic factor,
ventricular arrhythmia, coronary flow, cardiac biochemical marker, and the
apoptosis index were determined at the end of reperfusion. Oxytocin
postconditioning reduced infarct size, lactate dehydrogenase activity, arrhythmia
score, ventricular fibrillation, and apoptosis. Moreover, AG490, 5HD, atosiban,
and L-NAME abrogated the cardioprotective effects of OT. Our results demonstrated
that the cardioprotective effects of OT are mediated by NO release, and the
activation of mitoKATP and the SAFE pathway through the JAK/STAT3 signaling
cascade that finally lead to decrease in the apoptosis index during the early
reperfusion phase.
PMID- 29635064
TI - Regulation of oyster (Crassostrea virginica) hemocyte motility by the
intracellular parasite Perkinsus marinus: A possible mechanism for host
infection.
AB - Hemocytes associated with the mucus lining of pallial (mantle, gill) surfaces of
the oyster Crassostrea virginica have been recently suggested to facilitate
infection by the Alveolate parasite Perkinsus marinus by mediating the uptake and
dispersion of parasite cells. These "pallial hemocytes", which are directly
exposed to microbes present in surrounding seawater, are able to migrate bi
directionally between mucosal surfaces and the circulatory system, potentially
playing a sentinel role. Interestingly, P. marinus was shown to increase trans
epithelial migration of hemocytes suggesting it may regulate cell motility to
favor infection establishment. The purpose of this study was to investigate the
effect of P. marinus on hemocyte motility and identify specific molecular
mechanisms potentially used by the parasite to regulate hemocyte migration. In a
first series of experiments, various components of P. marinus (live P. marinus
cells, extracellular products, fragments of P. marinus cell membrane, membrane
modified live P. marinus cells, heat-killed P. marinus) along with components of
the opportunistic bacterial pathogen Vibrio alginolyticus (bacterial cells and
extracellular products) were investigated for their effects on hemocyte motility.
In a second series of experiments, inhibitors of specific molecular pathways
involved in motility regulation (Y-27632: inhibitor of Rho-associated protein
kinase, RGDS: integrin inhibitor, CK-666: Arp2/3 inhibitor) were used in
conjunction with qPCR gene expression experiments to identify pathways regulated
by P. marinus exposure. Results showed a specific increase in hemocyte motility
following exposure to live P. marinus cells. The increase in motility induced by
P. marinus was suppressed by RGDS and CK-666 implicating the involvement of
integrins and Arp2/3 in cell activation. Gene expression data suggest that Arp2/3
is possibly regulated directly by an effector produced by P. marinus. The
implications of increased hemocyte motility prompted by P. marinus during the
early stage of the infection process are discussed.
PMID- 29635065
TI - Cloning, characterization and functional analysis of dctn5 in immune response of
Chinese tongue sole (Cynoglossus semilaevis).
AB - In mammals, microtubule-dependent trafficking could participate the immune
response, where the motor proteins are suggested to play an important role in
this process, while the related study in fish was rare. In this study, dctn5, a
subunit of dyactin complex for docking motor protein, was obtained by previous
immune QTL screening. The full-length cDNAs of two dctn5 transcript variants were
cloned and identified (named dctn5_tv1 and dctn5_tv2, respectively). Tissue
distribution showed that dctn5_tv1 was widely distributed and high transcription
was observed in immune tissue (skin), while dctn5_tv2 was predominantly detected
in gonad and very low in other tissues. Time-course expression analysis revealed
that dctn5_tv1 could be up-regulated in gill, intestine, skin, spleen, and kidney
after Vibrio harveyi challenge. Moreover, recombinant Dctn5_tv1 exhibited high
antimicrobial activity against Escherichia coli and Streptococcus agalactiae due
to binding to bacteria cells. Taken together, these data suggest Dctn5_tv1 is
involved in immune response of bacterial invasion in Chinese tongue sole.
PMID- 29635066
TI - Dietary administration of the probiotic Shewanella putrefaciens Pdp11 promotes
transcriptional changes of genes involved in growth and immunity in Solea
senegalensis larvae.
AB - Senegalese sole (Solea senegalensis) has been proposed as a high-potential
species for aquaculture diversification in Southern Europe. It has been
demonstrated that a proper feeding regimen during the first life stages
influences larval growth and survival, as well as fry and juvenile quality. The
bacterial strain Shewanella putrefaciens Pdp11 (SpPdp11) has shown very good
probiotic properties in Senegalese sole, but information is scarce about its
effect in the earliest stages of sole development. Thus, the aim of this study
was to investigate the effect of SpPdp11, bioencapsulated in live diet,
administered during metamorphosis (10-21 dph) or from the first exogenous feeding
of Senegalese sole (2-21 dph). To evaluate the persistence of the probiotic
effect, we sampled sole specimens from metamorphosis until the end of weaning
(from 23 to 73 dph). This study demonstrated that probiotic administration from
the first exogenous feeding produced beneficial effects on Senegalese sole larval
development, given that specimens fed this diet exhibited higher and less
dispersed weight, as well as increases in both total protein concentration and
alkaline phosphatase activity, and in non-specific immune response. Moreover,
real-time PCR documented changes in the expression of a set of genes involved in
central metabolic functions including genes related to growth, genes coding for
proteases (including several digestive enzymes), and genes implicated in the
response to stress and in immunity. Overall, these results support the
application of SpPdp11 in the first life stages of S. senegalensis as an
effective tool with the clear potential to benefit sole aquaculture.
PMID- 29635067
TI - Lysine 39 of IKKepsilon of black carp is crucial for its regulation on IRF7
mediated antiviral signaling.
AB - Interferon regulatory factor 7 (IRF7) plays a crucial role in the interferon
(IFN) signaling in mammals, in which it is activated by the TBK1/IKKepsilon
complex during host antiviral innate immune response. There are few reports about
the relation between IRF7 and IKKepsilon in teleost fishes. In this study, the
IRF7 homologue (bcIRF7) of black carp (Mylopharyngodon Piceus) has been cloned
and characterized. The transcription of bcIRF7 gene increased in host cells in
response to the stimulation of LPS, poly (I:C) and viral infection. bcIRF7
migrated around 56 KDa in immunoblot assay and was identified as a predominantly
cytosolic protein by immunofluorescent staining. bcIRF7 showed IFN-inducing
ability in reporter assay and EPC cells expressing bcIRF7 showed enhanced
antiviral ability against both grass carp reovirus (GCRV) and spring viremia of
carp virus (SVCV). IKKepsilon of black carp (bcIKKepsilon) was found to be
recruited into host innate immune response initiated by SVCV and GCRV in the
previous work; in this paper, the kinase dead mutant of bcIKKepsilon,
bcIKKepsilon-K39A was constructed and showed no IFN-inducing activity. The data
of reporter assay and plaque assay demonstrated that bcIKKepsilon but not
bcIKKepsilon-K39A obviously enhanced bcIRF7-mediated IFN production and antiviral
activity. Our data support the conclusion that bcIKKepsilon upregulates bcIRF7
mediated antiviral signaling, which most likely depends on its kinase activity.
PMID- 29635068
TI - Anterior segment optical coherence tomography.
AB - Optical coherence tomography (OCT) provides non-contact, rapid in vivo imaging of
ocular structures, and has become a key part of evaluating the anterior segment
of the eye. Over the years, improvements to technology have increased the speed
of capture and resolution of images, leading to the increasing impact of anterior
segment OCT imaging on clinical practice. In this review, we summarize the
historical development of anterior segment OCT, and provide an update on the
research and clinical applications of imaging the ocular surface, cornea,
anterior chamber structures, aqueous outflow system, and most recently anterior
segment vessels. We also describe advancements in anterior segment OCT technology
that have improved understanding with greater detail, such as tear film in dry
eye disease evaluation, intra-operative real-time imaging for anterior segment
surgery, and aqueous outflow with angle assessment for glaucoma. Improvements to
image processing and software have also improved the ease and utility of
interpreting anterior segment OCT images in everyday clinical practice. Future
developments include refinement of assessing vascular networks for the anterior
segment, in vivo ultra-high resolution anterior segment optical coherence
tomography with histology-like detail, en-face image with 3-dimensional
reconstruction as well as functional extensions of the technique.
PMID- 29635069
TI - Circular RNA ciRS-7 accelerates ESCC progression through acting as a miR-876-5p
sponge to enhance MAGE-A family expression.
AB - As the most well-known circular RNA, ciRS-7 (also termed CDR1as) has been
reported to act as a miR-7 sponge, resulting in reduced miR-7 activity and
increased miR-7-targeted transcripts. Here, we showed that ciRS-7 is up-regulated
in esophageal squamous cell carcinoma (ESCC), and is associated with the poor
clinicopathological parameters of ESCC patients. Moreover, over-expression of
ciRS-7 increased the proliferation, migration and invasion of ESCC cells.
Mechanistic studies revealed that ciRS-7 contains nineteen miR-876-5p binding
sites and acts as a miR-876-5p sponge. Over-expression of ciRS-7 resulted in the
reduced tumor-repressive function of miR-876-5p on its downstream target MAGE-A
family. In animal experiments, enforced ciRS-7 increased ESCC tumor growth and
metastasis through targeting miR-876-5p/MAGE-A family axis. Collectively, our
study provided novel evidence that ciRS-7 accelerates ESCC progression by acting
as a miR-876-5p sponge to enhance MAGE-A family expression.
PMID- 29635070
TI - A density gradient of VAPG peptides on a cell-resisting surface achieves
selective adhesion and directional migration of smooth muscle cells over
fibroblasts.
AB - : Selective adhesion and migration of smooth muscle cells (SMCs) over fibroblasts
(FIBs) is required to prevent adventitia fibrosis in vascular regeneration. In
this study, a uniform cell-resisting layer of poly(ethylene glycol) (PEG) with a
density gradient of azide groups was generated on a substrate by immobilizing two
kinds of PEG molecules in a gradient manner. A density gradient of alkynyl
functionalized Val-Ala-Pro-Gly (VAPG) peptides was then prepared on the PEG layer
via click chemistry. The VAPG density gradient was characterized by fluorescence
imaging, revealing the gradual enhancement of the fluorescent intensity along the
substrate direction. The adhesion and mobility of SMCs were selectively enhanced
on the VAPG density gradient, leading to directional migration toward the higher
peptide density (up to 84%). In contrast, the adhesion and mobility of FIBs were
significantly weakened. The net displacement of SMCs also significantly increased
compared with that on tissue culture polystyrene (TCPS) and that of FIBs on the
gradient. The mitogen-activated protein kinase (MAPK) signaling pathways related
to cell migration were studied, showing higher expressions of functional proteins
from SMCs on the VAPG-modified surface in a density-dependent manner. For the
first time the selective adhesion and directional migration of SMCs over FIBs was
achieved by an elaborative design of a gradient surface, leading to a new insight
in design of novel vascular regenerative materials. STATEMENT OF SIGNIFICANCE:
Selective cell adhesion and migration guided by regenerative biomaterials are
extremely important for the regeneration of targeted tissues, which can avoid the
drawbacks of incorrect and uncontrolled responses of tissue cells to implants.
For example, selectivity of smooth muscle cells (SMCs) over fibroblasts (FIBs) is
required to prevent adventitia fibrosis in vascular regeneration. Herein we
prepare a uniform cell-repelling layer, on which SMCs-selective Val-Ala-Pro-Gly
(VAPG) peptides are immobilized in a continuous manner. Selective adhesion and
enhanced and directional migration of SMCs over FIBs are achieved by the
interplay of cell-repelling layer and gradient SMCs-selective VAPG peptides,
paving a new way for the design of novel vascular grafts with enhanced biological
performance.
PMID- 29635071
TI - A case of typhoidal tularemia in a male Japanese farmer.
AB - In Japan, most tularemia cases occur after contact with hares (hunting, cooking)
and involve the glandular or ulceroglandular form. Here, we present a case of
typhoidal tularemia in a 72-year-old Japanese male farmer who presented with
fever, fatigue, and right lower abdominal pain. Computed tomography revealed
intestinal wall thickening at the ascending colon, pleural effusion, and ascites.
Following an initial diagnosis of bacterial enteric infection, his symptoms
deteriorated after a week-long cephalosporin treatment course. The patient lived
in an area endemic for scrub typhus; the antibiotic was changed to a tetracycline
on suspicion of scrub typhus infection. His symptoms rapidly improved after
initiation of minocycline treatment. Later, blood tests revealed marked increases
in serological tests against Francisella tularensis exclusively, and the patient
was diagnosed with typhoidal tularemia. Typhoidal tularemia may be characterized
by any combination of general symptoms, but does not exhibit the local
manifestations associated with other forms of tularemia. The patient, in this
case, had no direct contact with hares or other wild animals and did not present
with local manifestations of tularemia. Physicians should consider this disease,
especially when tick-borne disease is suspected in the absence of local wounds,
eschar, ulcers, or lymphadenopathy.
PMID- 29635072
TI - Modelling nasal high flow therapy effects on upper airway resistance and
resistive work of breathing.
AB - AIM: The goal of this paper is to quantify upper airway resistance with and
without nasal high flow (NHF) therapy. For adults, NHF therapy feeds 30-60 L/min
of warm humidified air into the nose through short cannulas which do not seal the
nostril. NHF therapy has been reported to increase airway pressure, increase
tidal volume (Vt) and decrease respiratory rate (RR), but it is unclear how these
findings affect the work done to overcome airway resistance to air flow during
expiration. Also, there is little information on how the choice of nasal cannula
size may affect work of breathing. In this paper, estimates of airway resistance
without and with different NHF flow (applied via different cannula sizes) were
made. The breathing efforts required to overcome airway resistance under these
conditions were quantified. METHOD: NHF was applied via three different cannula
sizes to a 3-D printed human upper airway. Pressure drop and flow rate were
measured and used to estimate inspiratory and expiratory upper airway
resistances. The resistance information was used to compute the muscular work
required to overcome the resistance of the upper airway to flow. RESULTS: NHF
raises expiratory resistance relative to spontaneous breathing if the breathing
pattern does not change but reduces work of breathing if peak expiratory flow
falls. Of the cannula sizes used, the large cannula produced the greatest
resistance and the small cannula produced the least. The work required to cause
tracheal flow through the upper airway was reduced if the RR and minute volume
are reduced by NHF. NHF has been observed to do so in COPD patients (Braunlich et
al., 2013). A reduction in I:E ratio due to therapy was found to reduce work of
breathing if the peak inspiratory flow is less than the flow below which no
inspiratory effort is required to overcome upper airway resistance. CONCLUSION:
NHF raises expiratory resistance but it can reduce the work required to overcome
upper airway resistance via a fall in inspiratory work of breathing, RR and
minute volume.
PMID- 29635073
TI - Modeling and optimization of nebulizers' performance in non-invasive ventilation
using different fill volumes: Comparative study between vibrating mesh and jet
nebulizers.
AB - BACKGROUNDS: Substituting nebulisers by another, especially in non-invasive
ventilation (NIV), involves many process-variables, e.g. nebulizer-type and fill
volume of respirable-dose, which might affect patient optimum-therapy. The aim of
the present work was to use neural-networks and genetic-algorithms to develop
performance-models for two different nebulizers. METHODS: In-vitro, ex-vivo and
in-vivo models were developed using input-variables including nebulizer-type [jet
nebulizer (JN) and vibrating mesh nebulizer (VMN)] fill-volumes of respirable
dose placed in the nebulization chamber with an output-variable e.g. average
amount reaching NIV patient. Produced models were tested and validated to ensure
effective predictivity and validity in further optimization of nebulization
process. RESULTS: Data-mining produced models showed excellent training, testing
and validation correlation-coefficients. VMN showed high nebulization efficacy
than JN. JN was affected more by increasing the fill-volume. The optimization
process and contour-lines obtained for in-vivo model showed increase in pulmonary
bioavailability and systemic-absorption with VMN and 2 mL fill-volumes.
CONCLUSIONS: Modeling of aerosol-delivery by JN and VMN using different fill
volumes in NIV circuit was successful in demonstrating the effect of different
variable on dose-delivery to NIV patient. Artificial neural networks model showed
that VMN increased pulmonary-bioavailability and systemic-absorption compared to
JN. VMN was less affected by fill-volume change compared to JN which should be
diluted to increase delivery.
PMID- 29635074
TI - STEM and APT characterization of scale formation on a La,Hf,Ti-doped NiCrAl model
alloy.
AB - A thermally grown scale formed on a cast NiCrAl model alloy doped with lanthanum,
hafnium, and titanium was examined after isothermal exposure at 1100 degrees C
for 100 h in dry flowing O2 to understand the dopant segregation along scale
grain boundaries. The complex scale formed on the alloy surface was composed of
two types of substrates: phase-dependent, thin (<250 nm) outer layers and a
columnar-grained ~3.5 MUm inner alumina layer. Two types of oxides formed between
the inner and outer scale layers: small (3-15 nm) La2O3 and larger (<=50 nm) HfO2
oxide precipitates. Nonuniform distributions of the hafnium, lanthanum, and
titanium dopants were observed along the inner scale grain boundaries, with
hafnium dominating in most of the grain boundaries of alpha-Al2O3. The
concentration of reactive elements (RE) seemed to strongly depend on the grain
boundary structure. The level of titanium grain boundary segregation in the inner
scale decreased toward the model alloy (substrate), confirming the fast outward
diffusion of titanium. Hafnium was also observed at the metal-scale interface and
in the gamma' (Ni3Al) phase of the alloy. High-resolution scanning transmission
electron microscopy (STEM) confirmed the substitution of REs for aluminum atoms
at the scale grain boundaries, consistent with both the semiconducting band
structure and the site-blocking models. Both STEM and atom probe tomography
allowed quantification of REs along the scale grain boundaries across the scale
thickness. Analysis of the scale morphology after isothermal exposure in flowing
oxygen revealed a myriad of new precipitate phases, RE segregation dependence on
grain boundary type, and atomic arrangement along scale grain boundaries, which
is expected to influence the scale growth rate, stability, and mechanical
properties.
PMID- 29635075
TI - From HSV infection to erythema multiforme through autoimmune crossreactivity.
AB - Scientific and clinical data indicate that human herpes simplex virus 1 (HSV1)
and, at a lesser extent, human herpes simplex virus 2 (HSV2) are factor(s)
implicated in the development of erythema multiforme (EM). With a focus on oral
EM, the present structured review of proteomic and epitope databases searched for
the molecular basis that might link HSV1 and HSV2 infections to EM. It was found
that a high number of peptides are shared between the two HSVs and human proteins
related to the oral mucosa. Moreover, a great number of the shared peptides are
also present in epitopes that have been experimentally validated as
immunopositive in the human host. The results suggest the involvement of HSV
infections in the induction of oral EM via a mechanism of autoimmune cross
reactivity and, in particular, highlight a potential major role for 180kDa
bullous pemphigoid antigen and HSV1 infection in the genesis of crossreactions
potentially conducive to EM.
PMID- 29635076
TI - The role of ophthalmic imaging in central nervous system degeneration in systemic
lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is an autoimmune connective tissue disorder
that can involve any organ system. Central nervous system involvement can be a
severe life threatening complication, ultimately resulting in severe
neurodegenerative changes. Magnetic resonance imaging suggests that
neurodegeneration, which may have deleterious effects on brain function, may
occur early in SLE and experimental models suggest that neuroprotection may be
feasible and beneficial. The retina is an extension of the brain. Recent
ophthalmic imaging technologies are capable of identifying early changes in
retinal and choroidal morphology and circulation that may reflect CNS
degeneration. However, their utility in monitoring CNS involvement in SLE has
been poorly studied as these have only been performed in small cohorts, in a
cross-sectional design, non-quantitatively and without correlation to disease
activity. The authors aim to review the current understanding of
neurodegeneration associated with SLE, with particular focus on the visual
pathway. We describe the neuropathology of the visual system in SLE and the
evidence for retinal and choroidal neurodegenerative and microvascular changes
using optical coherence tomography technology. We aim to describe the potential
role of optical imaging modalities in NPSLE diagnosis and their likely impact on
the study of neuronal function.
PMID- 29635077
TI - Systemic lupus erythematosus and systemic sclerosis: All roads lead to platelets.
AB - Systemic lupus erythematosus (SLE) and systemic sclerosis (SSc) are two
phenotypically distincts inflammatory systemic diseases. However, SLE and SSc
share pathogenic features such as interferon signature, loss of tolerance against
self-nuclear antigens and increased tissue damage such as fibrosis. Recently,
platelets have emerged as a major actor in immunity including auto-immune
diseases. Both SLE and SSc are characterized by strong platelet system
activation, which is likely to be both the witness and culprit in their
pathogenesis. Platelet activation pathways are multiple and sometimes redundant.
They include immune complexes, Toll-like receptors activation, antiphospholipid
antibodies and ischemia-reperfusion associated with Raynaud phenomenon. Once
activated, platelet promote immune dysregulation by priming interferon production
by immune cells, providing CD40L supporting B lymphocyte functions and providing
a source of autoantigens. Platelets are actively implicated in SLE and SSc end
organ damage such as cardiovascular and renal disease and in the promotion of
tissue fibrosis. Finally, after understanding the main pathogenic implications of
platelet activation in both diseases, we discuss potential therapeutics targeting
platelets.
PMID- 29635078
TI - Genetic variation and systemic lupus erythematosus: A field synopsis and
systematic meta-analysis.
AB - Systemic lupus erythematosus (SLE) is a multi-systemic severe autoimmune disease
which results from the irreversible loss of self-tolerance and impaired molecular
responses, especially an altered interferon signature. We synthesized all meta
analyses reporting a genetic association of SLE, and further investigated their
validity to discover false positive results under Bayesian methods. We executed a
PubMed search to extract the respective results regarding gene polymorphisms of
SLE, published until June 30th 2017 and selected a single result per genetic
variant among duplicates. Among 133 significant genotype comparisons, 45 (34%)
were found noteworthy under both false positive report probability (FPRP) and
Bayesian false discovery probability (BFDP). From the meta-analysis of genome
wide association studies (GWAS), we could confirm that all significant
comparisons were noteworthy under both Bayesian approaches. Both approaches may
be advantageous for determining whether the reported associations are genuine,
especially for interpreting results from observational studies instead of GWAS
whose significance was determined in a more strict manner. When determining
results from GWAS with a p-value ranging between 0.05 and 5 * 10-8, other
statistical approaches, rather than single standard significance may be
beneficial. Taking into account these considerations, a proportion of meta
analyses claimed statistical significance, but these results need to be
interpreted with caution.
PMID- 29635079
TI - Antinuclear antibodies: Is the indirect immunofluorescence still the gold
standard or should be replaced by solid phase assays?
PMID- 29635080
TI - Efficacy and safety of rituximab in systemic sclerosis: French retrospective
study and literature review.
AB - OBJECTIVE: To describe safety and efficacy of rituximab in patients with systemic
sclerosis. METHODS: We included 13 patients with systemic sclerosis treated with
rituximab and pooled with 40 additional patients from the literature. SSc
rituximab untreated patients were matched to rituximab treated ones. RESULTS:
Thirteen patients who received rituximab and 26 rituximab-untreated patients were
included. In comparison to 26 patients who did not received rituximab, FVC
changes were not significantly different, whereas DLCO improved in 13 patients
who received rituximab (0 [-4; 4] vs loss of -7 [-19; 0]; p=0.05). Considering 7
rituximab treated and 14 untreated diffuse SSc, FVC was improved during the 24
[12; 46] months of follow up in dSSc who received rituximab (gain of 12 [7.5:14]
% vs loss of 1.5 [-16.8; 2.5], (p=0.003)). Pooled analysis of 53 patients (40
literature patients and 13 from personal series) showed significant improvement
of median mRSS from 18 [8; 32] at baseline to 9 [4; 18] at M6 (p=0.007), 13 [8;
18] at M12 (p=0.008) and 10 [4; 16] at the last follow-up (p=0.0002). FVC
increased from 71% [66; 80] at baseline to 84% [75; 90] at M12 (p=0.001). DLCO
increased from 58% [39; 65] at M0 to 63% [53; 78] at M12 (p=0.04). CONCLUSION:
Our personal data and pooled literature analysis suggest the efficacy of
rituximab in the subset of diffuse SSc in particular in skin and interstitial
disease involvements. The safety of rituximab seems to be reasonable and similar
to previous data in other autoimmune diseases.
PMID- 29635081
TI - Myalgic Encephalomyelitis/Chronic Fatigue Syndrome - Evidence for an autoimmune
disease.
AB - Myalgic Encephalomyelitis/Chronic Fatigue Syndrome (ME/CFS) is a frequent and
severe chronic disease drastically impairing life quality. The underlying
pathomechanism is incompletely understood yet but there is convincing evidence
that in at least a subset of patients ME/CFS has an autoimmune etiology. In this
review, we will discuss current autoimmune aspects for ME/CFS. Immune
dysregulation in ME/CFS has been frequently described including changes in
cytokine profiles and immunoglobulin levels, T- and B-cell phenotype and a
decrease of natural killer cell cytotoxicity. Moreover, autoantibodies against
various antigens including neurotransmitter receptors have been recently
identified in ME/CFS individuals by several groups. Consistently, clinical trials
from Norway have shown that B-cell depletion with rituximab results in clinical
benefits in about half of ME/CFS patients. Furthermore, recent studies have
provided evidence for severe metabolic disturbances presumably mediated by serum
autoantibodies in ME/CFS. Therefore, further efforts are required to delineate
the role of autoantibodies in the onset and pathomechanisms of ME/CFS in order to
better understand and properly treat this disease.
PMID- 29635083
TI - Tuning of elasticity and surface properties of hydrogel cell culture substrates
by simple chemical approach.
AB - When designing materials for tissue engineering applications various parameters
characterizing both materials and tissue have to be taken into account. The
characteristics such as chemistry, elasticity, wettability, roughness and
morphology of the substrate's surface have significant impact on cell behavior.
The paper presents biopolymer (collagen/chitosan) based hydrogel materials with
tunable elasticity and surface properties useful for fabrication of substrates
for cell culture. Using simple chemical approach involving the change in
concentration of crosslinking agent (genipin) and composition of the reaction
mixture the hydrogels characterized with various features were obtained. Detailed
analysis of morphology, topography, roughness and elasticity of surface performed
using Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM) and
rheological measurements has shown that the topographical aspects and roughness
parameter can be modulated in nanoscale regime (13-47 nm). Substrate's elasticity
could be modified in a wide range (0.2-270 kPa). Biological in vitro studies on
fibroblasts behavior revealed that the materials prepared provide satisfactory
conditions for cell culture, ensuring their high viability, good adhesion and
normal morphology. The genipin crosslinked collagen-chitosan hydrogels
characterized by denser fiber structure, higher elasticity and lower surface
roughness are the most attractive supports for fibroblasts cultivation. The
results obtained indicate that the properties of the materials developed can be
easily tailored to the needs of the given type of cells.
PMID- 29635082
TI - Intraperitoneal nanotherapy for metastatic ovarian cancer based on siRNA-mediated
suppression of DJ-1 protein combined with a low dose of cisplatin.
AB - Herein, we report an efficient combinatorial therapy for metastatic ovarian
cancer based on siRNA-mediated suppression of DJ-1 protein combined with a low
dose of cisplatin. DJ-1 protein modulates, either directly or indirectly,
different oncogenic pathways that support and promote survival, growth, and
invasion of ovarian cancer cells. To evaluate the potential of this novel
therapy, we have engineered a cancer-targeted nanoplatform and validated that DJ
1 siRNA delivered by this nanoplatform after intraperitoneal injection
efficiently downregulates the DJ-1 protein in metastatic ovarian cancer tumors
and ascites. In vivo experiments revealed that DJ-1 siRNA monotherapy
outperformed cisplatin alone by inhibiting tumor growth and increasing survival
of mice with metastatic ovarian cancer. Finally, three cycles of siRNA-mediated
DJ-1 therapy in combination with a low dose of cisplatin completely eradicated
ovarian cancer tumors from the mice, and there was no cancer recurrence detected
for the duration of the study, which lasted 35 weeks.
PMID- 29635084
TI - Horseradish peroxidase-nanoclay hybrid particles of high functional and colloidal
stability.
AB - Highly stable dispersions of enzyme-clay nanohybrids of excellent horseradish
peroxidase activity were developed. Layered double hydroxide nanoclay was
synthesized and functionalized with heparin polyelectrolyte to immobilize the
horseradish peroxidase enzyme. The formation of a saturated heparin layer on the
platelets led to charge inversion of the positively charged bare nanoclay and to
highly stable aqueous dispersions. Great affinity of the enzyme to the surface
modified platelets resulted in strong horseradish peroxidase adsorption through
electrostatic and hydrophobic interactions as well as hydrogen bonding network
and prevented enzyme leakage from the obtained material. The enzyme kept its
functional integrity upon immobilization and showed excellent activity in
decomposition of hydrogen peroxide and oxidation of an aromatic compound in the
test reactions. In addition, remarkable long term functional stability of the
enzyme-nanoclay hybrid was observed making the developed colloidal system a
promising antioxidant candidate in biomedical treatments and industrial
processes.
PMID- 29635085
TI - Synthesis of triblock copolymeric micelle based on poly (ethylene glycol) and
poly (vinyl acetate) through reversible addition-fragmentation chain transfer
polymerization.
AB - HYPOTHESIS: Polymeric micelles are fabricated by the self-aggregation of
amphiphilic polymers in aqueous medium. Amphiphilic block copolymers consist of
hydrophobic and hydrophilic blocks. The hydrophilic blocks form corona, while
hydrophobic blocks produce core of the micelle. EXPERIMENTS: In the present
manuscript, a triblock copolymer derived from poly (ethylene glycol) and poly
(vinyl acetate) (PVAc-b-PEG200-b-PVAc) has been prepared via reversible addition
fragmentation chain transfer polymerization. Its structural properties as well as
micellar stability have been studied and application as dye carrier has been
discussed in details. FINDINGS: The GPC analysis shows the low polydispersity of
the developed copolymer that signifies the controlled nature of polymerization.
The copolymer demonstrates long-term micellar stability, which has been
determined by dynamic light scattering (DLS) analysis. The block copolymer
reveals excellent pH-triggered release behavior of loaded Nile red, which
ascertained the dye carrier feature of PVAc-b-PEG200-b-PVAc.
PMID- 29635086
TI - Interaction between U(VI) with sulfhydryl groups functionalized graphene oxides
investigated by batch and spectroscopic techniques.
AB - A novel 4-aminothiophenol functionalized graphene oxide composite (GO-SH) was
prepared by an easy reaction route. Chemical structure and element analysis
showed that the sulfhydryl groups (-SH) were successfully decorated on GOs. The
sorption capacity toward U(VI) from aqueous solution was conducted by batch
experiments. The results indicated the maximum sorption capacity of GO-SH
composite toward U(VI) at pH = 5.5 and T = 298 K could reach 281.69 mg.g-1 on the
base of the Langmuir model. The sorption kinetic curve for U(VI) fitted well with
the pseudo-second-order model. X-ray photoelectron spectroscopy and infrared
analysis demonstrated that the surface-grafted sulfhydryl groups contributed to
the sorption of U(VI) by forming the surface complexation. The GO-SH also showed
high stability and excellent reuse capability. Therefore, GO-SH can be regarded
as a potential sorbent for the efficient removal of U(VI) from aqueous solutions.
PMID- 29635087
TI - Carbon materials-functionalized tin dioxide nanoparticles toward robust, high
performance nitrogen dioxide gas sensor.
AB - Carbon (C) materials, which process excellent electrical conductivity and high
carrier mobility, are promising sensing materials as active units for gas
sensors. However, structural agglomeration caused by chemical processes results
in a small resistance change and low sensing response. To address the above
issues, structure-derived carbon-coated tin dioxide (SnO2) nanoparticles having
distinct core-shell morphology with a 3D net-like structure and highly uniform
size are prepared by careful synthesis and fine structural design. The optimum
carbon-coated SnO2 nanoparticles (SnO2/C)-based gas sensor exhibits a low working
temperature, excellent selectivity and fast response-recovery properties. In
addition, the SnO2/C-based gas sensor can maintain a sensitivity to nitrogen
dioxide (NO2) of 3 after being cycled 4 times at 140 degrees C for, suggesting
its good long-term stability. The structural integrity, good synergistic
properties, and high gas-sensing performance of SnO2/C render it a promising
sensing material for advanced gas sensors.
PMID- 29635088
TI - PdCo nanoparticles supported on carbon fibers derived from cotton: Maximum
utilization of Pd atoms for efficient reduction of nitroarenes.
AB - In the present work, a facile and environment-friendly route is illustrated for
the efficient fabrication of highly dispersed PdCo nanoparticles (NPs) by
modified cotton-derived carbon fibers (PdCo/CCF). Firstly, commercial cotton was
impregnated with CoCl2, followed by pyrolysis under high calcination temperature
to obtain the Co NPs modified CCF sample (Co/CCF). Secondly, Co/CCF was treated
with Pd(AcO)2 aqueous solution, wherein, through a spontaneous replacement
reaction process, Pd2+ is reduced to metallic Pd and mostly covered on the
surface of the Co NPs. Thus, the PdCo/CCF catalyst was obtained avoiding the use
of toxic reductants like NaBH4, NH2NH2 and HCHO. The PdCo/CCF catalyst exhibits
excellent catalytic activity and recyclability for the reduction of 4-nitrophenol
and other nitroarenes compared with Pd/CCF, PdCo NPs and many other noble metals
based catalysts. The reasons could be attributed to the uniformly dispersed and
accessible PdCo NPs on the surface of the CCF, and the Pd atoms deposited on the
Co NPs surface that makes the Pd active sites available for optimum use. The
PdCo/CCF catalyst also exhibits potential application for catalytic reduction of
nitroarenes in a fixed bed reactor under mild reaction conditions. Furthermore,
the PdCo/CCF catalyst can be magnetically recycled and reused for at least ten
cycles without either losing catalytic activity or leaching of Pd active sites,
thereby confirming its superior stability.
PMID- 29635089
TI - Metal-organic framework derived Fe/Fe3C@N-doped-carbon porous hierarchical
polyhedrons as bifunctional electrocatalysts for hydrogen evolution and oxygen
reduction reactions.
AB - The development of simple and cost-effective synthesis methods for
electrocatalysts of hydrogen evolution reaction (HER) and oxygen reduction
reaction (ORR) is critical to renewable energy technologies. Herein, we report an
interesting bifunctional HER and ORR electrocatalyst of Fe/Fe3C@N-doped-carbon
porous hierarchical polyhedrons (Fe/Fe3C@N-C) by a simple metal-organic framework
precursor route. The Fe/Fe3C@N-C polyhedrons consisting of Fe and Fe3C
nanocrystals enveloped by N-doped carbon shells and accompanying with some carbon
nanotubes on the surface were prepared by thermal annealing of Zn3[Fe(CN)6]2.xH2O
polyhedral particles in nitrogen atmosphere. This material exhibits a large
specific surface area of 182.5 m2 g-1 and excellent ferromagnetic property.
Electrochemical tests indicate that the Fe/Fe3C@N-C hybrid has apparent HER
activity with a relatively low overpotential of 236 mV at the current density of
10 mA cm-2 and a small Tafel slope of 59.6 mV decade-1. Meanwhile, this material
exhibits excellent catalytic activity toward ORR with an onset potential (0.936 V
vs. RHE) and half-wave potential (0.804 V vs. RHE) in 0.1 M KOH, which is
comparable to commercial 20 wt% Pt/C (0.975 V and 0.820 V), and shows even better
stability than the Pt/C. This work provides a new insight to developing multi
functional materials for renewable energy application.
PMID- 29635090
TI - An investigation on the seasonal variations of the biomarkers of oxidative stress
response and their correlations to Polonium-210 in mussel (Mytilus
galloprovincialis) and common sole (Solea solea) from Izmir Bay, Turkey.
AB - It is well known that the marine organisms are used as biological indicators for
environmental pollution studies. Among these studies, the research on oxidative
stress has been increasing in recent years. In this study, mussels (Mytilus
galloprovincialis) and fish (Solea solea) samples were collected seasonally from
Inciralti, Izmir, Turkey. This station was in an area where fishing is carried
out for human consumption. The relationship between 210Po and oxidative stress
markers (lipid peroxidation (LPO), H2O2 and proline) was investigated in the
mussel tissue (digestive gland, gills) and fish tissue (liver, gills) samples.
The present study indicated that H2O2 accumulated with increasing 210Po
concentration in mussel samples. Statistically significant correlation were found
between H2O2 and 210Po and LPO and proline in mussel samples. This correlation
between LPO and proline can be attributed to common environmental parameters
(other than 210Po) affecting expression of both LPO and proline levels. There was
not a significant correlation between 210Po and LPO levels. Similarly, a
significant correlation was not found between 210Po and proline.
PMID- 29635091
TI - Co-combustion of anthracite coal and wood pellets: Thermodynamic analysis,
combustion efficiency, pollutant emissions and ash slagging.
AB - This work presents studies on the co-combustion of anthracite coal and wood
pellets in fluidized bed. Prior to the fluidized bed combustion,
thermogravimetric analysis are performed to investigate the thermodynamic
behavior of coal and wood pellets. The results show that the thermal
decomposition of blends is divided into four stages. The co-firing of coal and
wood pellets can promote the combustion reaction and reduce the emission of
gaseous pollutants, such as SO2 and NO. It is important to choose the proportion
of wood pellets during co-combustion due to the low combustion efficiency caused
by large pellets with poor fluidization. Wood pellets can inhibit the
volatilization of trace elements, especially for Cr, Ni and V. In addition, the
slagging ratio of wood pellets ash is reduced by co-firing with coal. The
research on combustion of coal and wood pellets is of great significance in
engineering.
PMID- 29635092
TI - Time trend in the impact of heat waves on daily mortality in Spain for a period
of over thirty years (1983-2013).
AB - Many of the studies that analyze the future impact of climate change on mortality
assume that the temperature that constitutes a heat wave will not change over
time. This is unlikely, however, given the process of adapting to heat changes,
prevention plans, and improvements in social and health infrastructure. The
objective of this study is to analyze whether, during the 1983-2013 period, there
has been a temporal change in the maximum daily temperatures that constitute a
heat wave (Tthreshold) in Spain, and to investigate whether there has been
variation in the attributable risk (AR) associated with mortality due to high
temperatures in this period. This study uses daily mortality data for natural
causes except accidents CIEX: A00-R99 in municipalities of over 10,000
inhabitants in 10 Spanish provinces and maximum temperature data from
observatories located in province capitals. The time series is divided into three
periods: 1983-1992, 1993-2003 and 2004-2013. For each period and each province,
the value of Tthreshold was calculated using scatter-plot diagram of the daily
mortality pre-whitened series. For each period and each province capitals, it has
been calculated the number of heat waves and quantifying the impact on mortality
through generalized linear model (GLM) methodology with the Poisson regression
link. These models permits obtained the relative risks (RR) and attributable
risks (AR). Via a meta-analysis, using the Global RR and AR were calculated the
heat impact for the total of the 10 provinces. The results show that in the first
two periods RR remained constant RR: 1.14 (CI95%: 1.09 1.19) and RR: 1.14 (CI95%:
1.10 1.18), while the third period shows a sharp decrease with respect to the
prior two periods RR: 1.01 (CI95%: 1.00 1.01); the difference is statistically
significant. In Spain there has been a sharp decrease in mortality attributable
to heat over the past 10 years. The observed variation in RR puts into question
the results of numerous studies that analyze the future impact of heat on
mortality in different temporal scenarios and show it to be constant over time.
PMID- 29635093
TI - An approach estimating the short-term effect of NO2 on daily mortality in Spanish
cities.
AB - BACKGROUND: Road traffic is the most significant source of urban air pollution.
PM2.5 is the air pollutant whose health effects have been most closely studied,
and is the variable most commonly used as a proxy indicator of exposure to air
pollution, whereas evidence on NO2 concentrations per se is still under study. In
the case of Spain, there are no specific updated studies which calculate short
term NO2-related mortality. OBJECTIVE: To quantify the relative risks (RRs) and
attributable risks (ARs) of daily mortality associated with NO2 concentrations
recorded in Spain across the study period, 2000-2009; and to calculate the number
of NO2-related deaths. MATERIAL AND METHODS: We calculated daily mortality due to
natural causes (ICD-10: A00 R99), circulatory causes (ICD-10: I00 I99) and
respiratory causes (ICD-10: J00 J99) for each province across the period 2000
2009, using data supplied by the National Statistics Institute. Mean daily NO2
concentrations in MUg/m3 for each provincial capital were furnished by the
Ministry of Agriculture & Environment, along with the equivalent figures for the
control pollutants (PM10). To estimate RRs and ARs, we used generalised linear
models with a Poisson link, controlling for maximum and minimum daily
temperature, trend of the series, seasonalities, and the autoregressive nature of
the series. A meta-analysis with random effects was used to estimate RRs and ARs
nationwide. RESULTS: The overall RRs obtained for Spain, corresponding to
increases of 10 MUg/m3 in NO2 concentrations were 1.012 (95% CI: 1.010 1.014) for
natural-cause mortality, 1.028 (95% CI: 1.019 1.037) for respiratory-cause
mortality, and 1.016 (95% CI: 1.012 1.021) for circulatory-cause mortality. This
amounted to an annual overall 6085 deaths (95% CI: 3288 9427) due to natural
causes, 1031 (95% CI: 466 1585) due to respiratory causes, and 1978 (95% CI: 828
3197) due to circulatory causes. CONCLUSION: By virtue of the number of cities
involved and the nature of the analysis performed, with quantification of the RRs
and ARs of the short-term impact of NO2 on daily mortality in Spain, this study
provides an updated estimate of the effect had by this type of pollutant on
causes of mortality, and constitutes an important basis for reinforcing public
health measures at a national level.
PMID- 29635094
TI - Comment on 'Pharmacokinetics of bisphenol S in humans after a single oral
administration'.
PMID- 29635095
TI - Investigation of the photolysis and TiO2, SrTiO3, H2O2-mediated photocatalysis of
an antipsychotic drug loxapine - Evaluation of kinetics, identification of
photoproducts, and in silico estimation of properties.
AB - The photolytic and photocatalytic transformation of loxapine with the use of
H2O2, TiO2 and SrTiO3 under the simulated solar radiation was studied. A micro
scale method for simultaneous irradiation of multiple samples in photostability
chamber was applied. RP-UHPLC-DAD coupled with ESI-Q-TOF mass spectrometer was
used for the quantitative and qualitative analysis of the processes. Influence of
catalysts concentration on kinetic parameters of loxapine photodecomposition was
evaluated, and TiO2 at medium concentration (100 mg L-1) turned out to be the
most effective. Sixteen transformation products were detected and their
structures were elucidated. On the basis of the elucidated structures,
computational evaluation of toxicity, bioconcentration and bioaccumulation
factors as well as biodegradability of transformation products were conducted.
The multivariate chemometric method (principal component analysis) was used to
compare the calculated properties as well as the applied methods. Most of the
transformation products were generally less toxic and more biodegradable than the
parent compound.
PMID- 29635096
TI - Titanocene binding to oligonucleotides.
AB - The binding of titanocene to DNA and RNA was examined by means of electrospray
mass spectrometry. Titanocene served as a model for its therapeutically active
derivatives. The binding preferences were probed by competition experiments with
oligonucleotides of varying nucleobase compositions and sequences. Results from
competition experiments revealed a generally increased preference for the binding
to phosphate groups adjacent to thymidines, which is affected by the nucleobase
sequence of T-rich oligonucleotides. More detailed information about the binding
sites was obtained from tandem mass spectrometric experiments. The binding of the
transition metal coordination center significantly altered the fragment ion
patterns of the oligonucleotides. RNA was found to be less prone to adduct
formation, due to intramolecular interactions. The findings from experiments on
DNA and RNA were complemented by the examination of backbone- and ribose-modified
oligonucleotides.
PMID- 29635097
TI - EPR evidence for a fast-relaxing iron center in Na+-translocating NADH:quinone
oxidoreductase.
AB - A paramagnetic Cys4[Fe] center was detected by pulse EPR in Na+-translocating
NADH:quinone-oxidoreductase (Na+-NQR) by influence of this center on transverse
and longitudinal spin relaxation of Na+-NQR flavin radicals. The oxidation state
of the Cys4[Fe] center was Fe3+ in the oxidized and Fe2+ in the reduced Na+-NQR,
as deduced from the temperature dependence of spin relaxation rates of different
flavin radicals. A high-spin state of iron in the Cys4[Fe] center was assigned to
both forms of Na+-NQR.
PMID- 29635098
TI - Evolution of phosphotriesterase activities of the metallo-beta-lactamase family:
A theoretical study.
AB - Metallo-beta-lactamase (MbetaL) is a eubacterial zinc metallo-hydrolase
superfamily. Despite their well-known lactamase activities, MbetaL family members
also have the ability to catalyze phosphotriester hydrolysis with different
phosphotriesterase activities. In the present study, based on crystal structure
comparisons of the related MbetaL members, a series of models was constructed and
calculated using the density functional theory (DFT) method to explore the
relationship between active-site changes and phosphotriesterase activities. These
calculations show that the energetic barriers for phosphotriesterase activity are
considerably reduced due to active-site differences, which describes an
evolutionary trend for the development of phosphotriesterase activity in the
MbetaL superfamily. The key event is the appearance of a specialized and
negatively charged residue bridging both zinc ions, which plays the two important
roles of maintaining charge balance and stabilizing the binuclear active-site
structure. This pathway is also consistent with the evolutionary relationships
determined by phylogenetic tree analysis using complete residue sequences. Our
studies provide the first methodology to explore the development of a new enzyme
activity within a superfamily, and to shed new light on understanding the
catalytic mechanism from an evolutionary perspective.
PMID- 29635099
TI - The relationship between mismatch response and the acoustic change complex in
normal hearing infants.
AB - OBJECTIVE: To examine the utility of the mismatch response (MMR) and acoustic
change complex (ACC) for assessing speech discrimination in infants. METHODS:
Continuous EEG was recorded during sleep from 48 (24 male, 20 female) normally
hearing aged 1.77 to -4.57 months in response to two auditory discrimination
tasks. ACC was recorded in response to a three-vowel sequence (/i/-/a/-/i/). MMR
was recorded in response to a standard vowel, /a/, (probability 85%), and to a
deviant vowel, /i/, (probability of 15%). A priori comparisons included: age,
sex, and sleep state. These were conducted separately for each of the three
bandpass filter settings were compared (1-18, 1-30, and 1-40 Hz). RESULTS: A
priori tests revealed no differences in MMR or ACC for age, sex, or sleep state
for any of the three filter settings. ACC and MMR responses were prominently
observed in all 44 sleeping infants (data from four infants were excluded).
Significant differences observed for ACC were to the onset and offset of stimuli.
However, neither group nor individual differences were observed to changes in
speech stimuli in the ACC. MMR revealed two prominent peaks occurring at the
stimulus onset and at the stimulus offset. Permutation t-tests revealed
significant differences between the standard and deviant stimuli for both the
onset and offset MMR peaks (p < 0.01). The 1-18 Hz filter setting revealed
significant differences for all participants in the MMR paradigm. CONCLUSION:
Both ACC and MMR responses were observed to auditory stimulation suggesting that
infants perceive and process speech information even during sleep. Significant
differences between the standard and deviant responses were observed in the MMR,
but not ACC paradigm. These findings suggest that the MMR is sensitive to
detecting auditory/speech discrimination processing. SIGNIFICANCE: This paper
identified that MMR can be used to identify discrimination in normal hearing
infants. This suggests that MMR has potential for use in infants with hearing
loss to validate hearing aid fittings.
PMID- 29635101
TI - Control of Aspergillus carbonarius in grape berries by Lactobacillus plantarum: A
phenotypic and gene transcription study.
AB - The in vitro and in situ antifungal activity of Lactobacillus plantarum against
the ochratoxigenic fungus Aspergillus carbonarius was investigated in this study.
Four different fungal isolates from grape berries were co-cultured with four
different strains of L. plantarum on Malt Extract Agar (MEA) plates at 30
degrees C. Bacterial strains inhibited fungal growth up to 88% and significantly
reduced toxin production up to 100%. In addition, L. plantarum was evaluated as
biocontrol agent against A. carbonarius growth and OTA production on table
grapes. Temporal studies of bacterial antagonism were performed with two
different grape cultivars. Artificially wounded and unwounded berries were pre
treated with 108 CFU/mL bacteria and inoculated with 106 spores/mL of A.
carbonarius ochratoxigenic isolates. Biocontrol agents displayed high rate of
colonization on grapes during 5 days of incubation at 30 degrees C. Scanning
electron microscopy (SEM) also determined the presence of microorganisms on grape
surface. Bacterial strains were effective in controlling fungal infection
reaching up to 71% inhibition rates. However the presence of wounds on grape skin
facilitated infection of berries by A. carbonarius, since unwounded berries
showed lower levels of infection. Results also revealed significant reduction in
mycotoxin production ranging between 32% and 92%. Transcriptome analysis
following exposure to co-cultivation, exhibited differential expression for each
gene studied of AcOTAnrps (Aspergillus carbonarius OTA nonribosomal), AcOTApks
(Aspergillus carbonarius OTA polyketide synthase) and laeA, emphasizing the
significance of strain variability. The genes AcOTAnrps and laeA were most
influenced by the presence of L. plantarum. This work is a contribution for the
potential biocontrol of toxigenic fungi in table grapes by lactic acid bacteria
(LAB). The above findings underline the significance of bacterial strain
variability on the effectiveness of biopreservative features of L. plantarum
strains.
PMID- 29635100
TI - Eyeball pressure stimulation induces subtle sympathetic activation in patients
with a history of moderate or severe traumatic brain injury.
AB - OBJECTIVE: After traumatic brain injury (TBI), there may be persistent central
autonomic-network (CAN) dysfunction causing cardiovascular-autonomic
dysregulation. Eyeball-pressure-stimulation (EPS) normally induces cardiovagal
activation. In patients with a history of moderate or severe TBI (post-moderate
severe-TBI), we determined whether EPS unveils cardiovascular-autonomic
dysregulation. METHODS: In 51 post-moderate-severe-TBI patients (32.7 +/- 10.5
years old, 43.1 +/- 33.4 months post-injury), and 30 controls (29.1 +/- 9.8
years), we recorded respiration, RR-intervals (RRI), systolic and diastolic blood
pressure (BPsys, BPdia), before and during EPS (120 sec; 30 mmHg), using an
ocular-pressure-device (Okulopressor(r)). We calculated spectral-powers of mainly
sympathetic low (LF: 0.04-0.15 Hz) and parasympathetic high (HF: 0.15-0.5 Hz)
frequency RRI-fluctuations, sympathetically mediated LF-powers of BPsys, and
calculated normalized (nu) LF- and HF-powers of RRI. We compared parameters
between groups before and during EPS by repeated-measurement-analysis-of-variance
with post-hoc analysis (significance: p < 0.05). RESULTS: At rest,
sympathetically mediated LF-BPsys-powers were significantly lower in the patients
than the controls. During EPS, only controls significantly increased RRIs and
parasympathetically mediated HFnu-RRI-powers, but decreased LF-RRI-powers, LFnu
RRI-powers, and LF-BPsys-powers; in contrast, the patients slightly though
significantly increased BPsys upon EPS, without changing any other parameter.
CONCLUSIONS: In post-moderate-severe-TBI patients, autonomic BP-modulation was
already compromised at rest. During EPS, our patients failed to activate
cardiovagal modulation but slightly increased BPsys, indicating persistent CAN
dysregulation. SIGNIFICANCE: Our findings unveil persistence of subtle
cardiovascular-autonomic dysregulation even years after TBI.
PMID- 29635102
TI - Facile one-pot hydrothermal synthesis of cubic spinel-type manganese
ferrite/biochar composites for environmental remediation of heavy metals from
aqueous solutions.
AB - This study reports the facile synthesis of cubic spinel-type manganese ferrite
(MnFe2O4)/biochar (MF/BC) composites via a one-pot hydrothermal technique.
Multiple characterizations demonstrated that the MnFe2O4 spinel nanoparticles
were successfully grown on the biochar, which provides magnetic separability with
superparamagnetic behavior and effective adsorption performance for heavy metals
(Pb(II), Cu(II), and Cd(II)). The adsorption kinetics and isotherms can be well
described with a pseudo-second-order and Sips isotherm models, respectively.
Comparative adsorption in multi-heavy metal systems (binary and ternary)
indicated that the adsorption affinity of MF/BC composites toward heavy metals
followed the sequence of Pb(II) > Cu(II) > Cd(II), which followed the order of
their covalent indexes. Thermodynamic analysis revealed that the adsorption
process was endothermic and primarily governed by physisorption. This study
provides a feasible and simple approach for the preparation of high-performance
materials for the remediation of heavy metal-contaminated wastewater in a cost
effective manner.
PMID- 29635103
TI - Effective cancer immunotherapy based on combination of TLR agonists with
stimulation of phagocytosis.
AB - Immunotherapy emerges as a fundamental approach in cancer treatment. Up to date,
the efficacy of numerous different immunotherapies has been evaluated. The use of
microorganisms or their parts for immune cell activation, referred to as Pathogen
Associated Molecular Patterns (PAMPs), represents highly promising concept. The
therapeutic effect of PAMPs can be further amplified by suitable combination of
different types of PAMPs such as Toll like receptor (TLR) agonists and
phagocytosis activating ligands. Previously, we used the combination of
phagocytosis activating ligand (mannan) and mixture of TLR agonists (resiquimod
(R-848), poly(I:C), inactivated Listeria monocytogenes) for successful treatment
of melanoma in murine B16-F10 model. In the present study, we optimized the
composition and timing of previously used mixture. Therapeutic mixture based on
well-defined chemical compounds consisted of mannan anchoring to tumor cell
surface by biocompatible anchor for membranes (BAM) and TLR agonists resiquimod,
poly(I:C), and lipoteichoic acid (LTA). The optimization resulted in (1)
eradication of advanced stage progressive melanoma in 83% of mice, (2)
acquisition of resistance to tumor re-transplantation, and (3) potential anti
metastatic effect. After further investigation of mechanisms, underlying anti
tumor responses, we concluded that both innate and adaptive immunity are
activated and involved in these processes. We tested the efficacy of our
treatment in Panc02 murine model of aggressive pancreatic tumor as well.
Simultaneous application of agonistic anti-CD40 antibody was necessary to achieve
effective therapeutic response (80% recovery) in this model. Our results suggest
that herein presented immunotherapeutic approach is a promising cancer treatment
strategy with the ability to eradicate not only primary tumors but also
metastases.
PMID- 29635104
TI - Generation and validation of murine monoclonal and camelid recombinant single
domain antibodies specific for human pancreatic glycoprotein 2.
AB - Pancreatic secretory zymogen-granule membrane glycoprotein 2 (GP2) has been
identified as a major autoantigenic target in Crohn's disease patients. It was
reported recently that a long (GP2a) and a short (GP2b) isoform of GP2 exist and
that in the outcome of inflammatory bowel diseases (IBD) GP2-specific
autoantibodies probably appear as new serological markers for diagnosis and
therapeutic monitoring. To investigate this further and in order to establish
diagnostic tools for the discrimination of both GP2 isoforms, a set of different
murine monoclonal and camelid recombinant single domain antibodies (camelid VHH)
was generated and validated in various enzyme-linked immunosorbent assay (ELISA)
formats, immunofluorescence on transgenic cell lines and immunohistochemistry on
monkey pancreas tissue sections. Out of six binders identified, one was validated
as highly specific for GP2a. This murine monoclonal antibody (mAb) was used as
capture antibody in construction of a sandwich ELISA for the detection of GP2a.
Camelid VHHs or a second murine mAb served as detection antibodies in this
system. All antibodies were also able to stain GP2a or GP2b on transgenic cell
lines as well as on pancreatic tissue in immunohistochemistry. The KD values
measured for the camelid VHHs were between 7 nM and 23pM. This set of specific
binders will enable the development of suitable diagnostic tools for GP2-related
studies in IBD.
PMID- 29635105
TI - Topographic and microscopic anatomical description of the emissary sinus of
foramen ovale in adult humans.
AB - OBJECTIVE: Although the Emissary Sinus of Foramen Ovale (ESFO) was first
described by Trolard in 1868, its definition remains confused and neglected in
the medical literature. This structure represents a vein, two veins, a venous
plexus, or a dural sinus? Does it really exist? To understand this topic, this
work aimed to describe the anatomy, topography, and microscopic features of the
ESFO, precisely characterizing its structure, routes and anatomical correlations.
PATIENTS AND METHODS: ESFO from the skull's base of adults were dissected into
fifty anatomical blocks and evaluated using Hematoxylin and Eosin, Picro-sirius
red and Weigert staining, and by Scanning Electron Microscopy (SEM). RESULTS:
ESFO was always present between cavernous sinus and pterygoid plexus on both
antimeres, its inferior route passing through the foramen ovale and/or sphenoidal
emissary foramen (foramen of Vesalius), anterior to the mandibular branch of
trigeminal nerve. Its microscopic arrangement resembled what was found on
transverse sinus, that is composed by layers of collagen fibers oriented on
transversal and longitudinal planes. It wasn't possible to identify the media and
adventitial tunica, features seen in veins, and the elastic layer was very thin
near its lumen. SEM analysis showed that, like the transverse sinus, the ESFO was
composed by parallel cells that presented a rhombus shape containing central
rounded nuclei. CONCLUSION: In summary, the venous channel passing through the
foramen ovale and/or sphenoidal emissary foramen (foramen of Vesalius) is a dural
venous sinus constituted by dura mater layers and should be considered during
surgical approaches near the foramen ovale in the middle cranial fossa.
PMID- 29635106
TI - The finding of a new heterozygous mutation site of the SCN2A gene in a
monozygotic twin family carrying and exhibiting genetic epilepsy with febrile
seizures plus (GEFS+) using targeted next-generation sequencing.
AB - OBJECTIVES: Generalized epilepsy with febrile seizures plus (GEFS+) is a new
epilepsy syndrome named by the International League Against Epilepsy (ILAE) in
2001. The SCN2A gene encoding alpha2 subunit of the neuronal sodium channel has
been reported to be associated with BFNIS, GFES+, Dravet syndrome and some
intractable childhood epilepsies. This study aimed to develop an approach based
on next-generation sequencing to determine the genetic defects in a monozygotic
twin family with GEFS+. PATIENTS AND METHODS: We collected a twin family with
GEFS+. The DNA of the twin patients was extracted from their peripheral venous
whole blood. A total of 308 known genes related to epilepsies were selected for
deep exon resequencing. The patients family's DNA was sequenced through Sanger's
sequencing for expanded validation. Through systematic data analysis using
established bioinformatics pipeline and segregation analysis techniques, a number
of genetic variants were released. RESULTS: Through detailed data analysis, we
found a new heterozygous mutation c.1399G > A on exon11 of SCN2A (Nav1.2) which
has not been reported in the HGMD (Human Gene Mutation Database), in the twin
patients. Then we tested and verified the presence of the same mutation site in
all individuals of the family. Asymptomatic individuals of this family didn't
show this mutation. CONCLUSION: The methodology provides a reliable strategy for
routine gene diagnosis of GEFS+. This observation of a potentially pathogenic
mutation of SCN2A (Nav1.2) indicates that this gene should be further evaluated
in order to determine possible routes of causation of GEFS+.
PMID- 29635107
TI - Cytokine induced killer cells-assisted delivery of chlorin e6 mediated self
assembled gold nanoclusters to tumors for imaging and immuno-photodynamic
therapy.
AB - The cytotoxicity and unique tumor-tropic properties of cytokine-induced killer
(CIK) cells render them promising in the field of cancer immunotherapy and
delivery systems. Here, we report a novel and facile approach to assemble gold
nanoclusters (GNCs) into stable and monodispersed nanoparticles (NPs) using
Chlorin e6 (Ce6) molecules. Notably, the fluorescence intensity of the GNCs-Ce6
NPs was about 4.5 folds stronger than the GNCs counterparts. The as-prepared GNCs
Ce6 NPs were conjugated with CD3 antibody (Ab) and further employed to label CIK
cells to create a CIK cell-based drug delivery system (Ce6-GNCs-Ab-CIK). The Ce6
GNCs-Ab-CIK exhibited high tumor-targeting efficiency and excellent therapeutic
efficacy toward MGC-803 tumor-bearing mice. Benefiting from the synergistic
therapeutic effect between GNCs-Ce6-Ab NPs and CIK cells, the GNCs-Ce6-Ab-CIK
strategy may present an ideal cancer theranostic platform for tumor targeted
imaging and combination therapy.
PMID- 29635108
TI - In situ formation of interpenetrating polymer network using sequential thermal
and click crosslinking for enhanced retention of transplanted cells.
AB - Injectable hydrogels, which are used as scaffolds in cell therapy, provide a
minimally invasive strategy to enhance cell retention and survival at injection
site. However, till now, slow in situ gelation, undesired mechanical properties,
and weak cell adhesion characteristics of reported hydrogels, have led to
improper results. Here, we developed an injectable fully-interpenetrated polymer
network (f-IPN) by integration of Diels-Alder (DA) crosslinked network and
thermosensitive injectable hydrogel. The proposed DA hydrogels were formed in a
slow manner showing robust mechanical properties. Interpenetration of
thermosensitive network into DA hydrogel accelerated in situ gel-formation and
masked the slow reaction rate of DA crosslinking while keeping its unique
features. Two networks were formed by simple syringe injection without the need
of any initiator, catalyst, or double barrel syringe. The DA and f-IPN hydrogels
showed comparable viscoelastic properties along with outstanding load-bearing and
shape-recovery even under high levels of compression. The subcutaneous
administration of cardiomyocytes-laden f-IPN hydrogel into nude mice revealed
high cell retention and survival after two weeks. Additionally, the
cardiomyocyte's identity of retained cells was confirmed by detection of human
and cardiac-related markers. Our results indicate that the thermosensitive
covalent networks can open a new horizon within the injection-based cell therapy
applications.
PMID- 29635110
TI - Infants learn a rule predicated on the relation same but fail to simultaneously
learn a rule predicated on the relation different.
AB - In two experiments, we assessed whether infants are able to learn rules
predicated on two abstract relations linked by negation: same and different (not
same). In an anticipatory looking paradigm, the relation between successive
colored geometrical shapes predicted the location where a puppet would appear
next. In Experiment 1, 7-month-olds learned and generalized a rule predicated on
the relation same, but not a rule predicated on the relation different.
Similarly, in Experiment 2, 12-month-olds learned a rule predicated on the
relation same-shape, but not a rule predicated on the relation different-shape.
Comparing our data with that from previous experiments in the speech domain, we
found no effect of age, modality or rule complexity. We conclude that, in the
first year of life, infants already possess a representation of the abstract
relation same, which serves as input to a rule. In contrast, we find no evidence
that they represent the relation different.
PMID- 29635109
TI - Ion channelopathies of the immune system.
AB - Ion channels and transporters move ions across membrane barriers and are
essential for a host of cell functions in many organs. They conduct K+, Na+ and
Cl-, which are essential for regulating the membrane potential, H+ to control
intracellular and extracellular pH and divalent cations such as Ca2+, Mg2+ and
Zn2+, which function as second messengers and cofactors for many proteins.
Inherited channelopathies due to mutations in ion channels or their accessory
proteins cause a variety of diseases in the nervous, cardiovascular and other
tissues, but channelopathies that affect immune function are not as well studied.
Mutations in ORAI1 and STIM1 genes that encode the Ca2+ release-activated Ca2+
(CRAC) channel in immune cells, the Mg2+ transporter MAGT1 and the Cl- channel
LRRC8A all cause immunodeficiency with increased susceptibility to infection.
Mutations in the Zn2+ transporters SLC39A4 (ZIP4) and SLC30A2 (ZnT2) result in
nutritional Zn2+ deficiency and immune dysfunction. These channels, however, only
represent a fraction of ion channels that regulate immunity as demonstrated by
immune dysregulation in channel knockout mice. The immune system itself can cause
acquired channelopathies that are associated with a variety of diseases of
nervous, cardiovascular and endocrine systems resulting from autoantibodies
binding to ion channels. These autoantibodies highlight the therapeutic potential
of functional anti-ion channel antibodies that are being developed for the
treatment of autoimmune, inflammatory and other diseases.
PMID- 29635111
TI - Characterizing conditioned reactivity to sequential alcohol-predictive cues in
well-trained rats.
AB - Implicit learning about antecedent stimuli and the unconditional stimulus (US)
properties of alcohol may facilitate the progressive loss of control over
drinking. To model this learning, Cofresi et al. (2017) developed a procedure in
which a discrete, visual conditional stimulus (houselight illumination; CS)
predicted the availability of a retractable sipper that rats could lick to
receive unsweetened alcohol [Alcoholism: Clinical and Experimental Research, 41,
608-617]. Here we investigated the possibility that houselight illumination,
sipper presentation, and oral alcohol receipt might each exert control over
alcohol seeking and drinking. We also determined the relationship between
ingested dose and blood alcohol concentration, in order to validate the idea that
the US is a post-ingestive action of alcohol. Finally, we tested a major
prediction from the conditioning account of problematic drinking [Tomie, A., &
Sharma, N. (2013). Current Drug Abuse Reviews, 6, 201-219], which is that once
learned, responses elicited by a CS will promote drinking. We found that despite
having constrained opportunities to drink alcohol during the conditioning
procedure, ingested doses produced discriminable blood concentrations that
supported cue conditioning. Based on our analysis of the dynamics of cue
reactivity in well-trained rats, we found that houselight illumination triggered
conditioned approach, sipper presentation evoked licking behavior, and alcohol
receipt promoted drinking. Reactivity to these cues, which varied in terms of
their temporal proximity to the alcohol US, persisted despite progressive
intoxication or satiety. Additionally, rats with the greatest conditioned
reactivity to the most distal alcohol cue were also the fastest to initiate
drinking and drank the most. Our findings indicate that the post-ingestive
effects of alcohol may condition multiple cues simultaneously in adult rats, and
these multiple cues help to trigger alcohol seeking and drinking. Moreover,
identification and characterization of these cues should be helpful for designing
interventions that attenuate the power of these cues over behavior.
PMID- 29635112
TI - Underdiagnosed and disfiguring - Radiation-induced morphea following breast
cancer treatment.
AB - OBJECTIVES: Radiation-induced morphea (RIM) is a rare, painful and disfiguring
complication in women who receive adjuvant radiotherapy treatment for breast
cancer. It is a distinct entity unrelated to radiation-induced fibrosis of the
breast. MATERIALS AND METHODS: Between the years 2005-2016, approximately 12,000
breast cancer cases were treated with surgery and radiation in the central
district of Israel. From that population, we have identified and managed the
cases of breast RIM by a multidisciplinary team of dermatologists, breast
surgeons, and plastic surgeons. Demographic, oncologic, diagnostic and treatment
data were collected and reviewed. RESULTS: Three cases of breast radiation
induced morphea, with an estimated prevalence of 1:3000 cases of post-breast
cancer radiation where identified. The first signs of morphea appeared years
after the completion of standard RT. Early management leads to superior cosmetic
results. CONCLUSIONS: Unfortunately, there are no known predictors for RIM. Early
recognition by the oncologist, the breast surgeon or the reconstructive surgeon
during follow-up enables expeditious provision of patient reassurance and helps
guide potential treatment options.
PMID- 29635113
TI - Multifaceted structures and mechanisms of ABC transport systems in health and
disease.
AB - ATP-binding cassette (ABC) transporters are found in all domains of life and
constitute one of the largest protein superfamilies. ABC transporters harness the
energy of ATP binding and hydrolysis to shuttle a diverse range of substrates
across cell membranes. While higher-resolution structures of ABC transporters
have so far exclusively been obtained by X-ray crystallography, recent advances
in single-particle cryogenic electron microscopy (cryo-EM) have provided a deluge
of exciting new structures of medically relevant bacterial and human ABC
proteins, including those of the cystic fibrosis transmembrane conductance
regulator (CFTR), and of supramolecular assemblies involving ABC transporters,
like the ATP-sensitive potassium (KATP) channel and the peptide-loading complex
(PLC), which is crucially involved in the presentation of antigens in adaptive
immunity.
PMID- 29635114
TI - Gene expression profile associated with postnatal development of pyramidal
neurons in the human prefrontal cortex implicates ubiquitin ligase E3 in the
pathophysiology of schizophrenia onset.
AB - Schizophrenia is a neurodevelopmental disorder with the typical age of onset of
overt symptoms and deficits occurring during late adolescence or early adulthood,
coinciding with the final maturation of the cortical network involving the
prefrontal cortex. These observations have led to the hypothesis that
disturbances of the developmental events that take place in the prefrontal cortex
during this period, specifically the remodeling of synaptic connectivities
between pyramidal neurons, may contribute to the onset of illness. In this
context, we investigated the gene expression changes of pyramidal neurons in the
human prefrontal cortex during normal periadolescent development in order to gain
insight into the possible molecular mechanisms involved in synaptic remodeling of
pyramidal neuronal circuitry. Our data suggest that genes associated with the
ubiquitination system, which has been implicated in the biology of synaptic
plasticity, may play a major role. Among these genes, UBE3B, which encodes the
ubiquitin ligase E3, was found to undergo periadolescent increase and was
validated at the protein level to be upregulated during periadolescent
development. Furthermore, we found that the density of UBE3B-immunoreactive
pyramidal neurons was decreased in schizophrenia subjects, consistent with the
result of a previous study of decreased UBE3B mRNA expression in pyramidal
neurons in this illness. Altogether these findings point to the novel hypothesis
that this specific ligase may play a role in the developmental pathogenesis of
schizophrenia onset by possibly altering the synaptic remodeling process.
PMID- 29635115
TI - Comparison of familial and non-familial suicidal behaviors among people with
major depressive disorder: Testing the discriminative predicting role of high
yield clinical variables.
AB - BACKGROUND: Suicidal behavior in first-degree relatives of people diagnosed with
major depressive disorder (MDD) increases the risk of suicidal behavior. Such an
effect may be the result of genetic risk factors or environmental ones, including
imitation, or both. Surprisingly few studies have examined this question and
thus, there still is little known about the effect of first-degree family history
of suicidal behavior on the type of suicidal behavior and profile of risk factors
related to the diathesis for suicidal behavior. Even less is known about intra
familial risk transmission. METHODS: Patients with MDD (n = 252) experiencing a
current major depressive episode and who had a previous suicide attempt were
studied. Those with and without a family history of first-degree relatives who
had made a suicide attempt or died by suicide were compared across clinical and
suicide-related characteristics. RESULTS: Suicide attempters with (FDR+, n = 59)
and without a first-degree relative with suicide attempt or suicide (FDR-, n =
193) were similar in terms of type or frequency of suicide attempts, level of
lifetime aggression and impulsivity, age of onset of depression and age at first
suicide attempt. LIMITATIONS: Cross-Sectional study. Lack of additional external
validators. CONCLUSIONS: Contrary to our hypothesis and the concept of "genetic
anticipation", a first-degree family history of suicide attempt or suicide in
currently depressed attempters with MDD was not associated with a range of
clinical and suicide-related characteristics. Longitudinal studies incorporating
external validators and potential biological markers may advance this area of
research.
PMID- 29635116
TI - Investigating the awareness, behavior, and attitude toward epilepsy among
university students in Benghazi, Libya.
AB - OBJECTIVE: The aim of this study was to explore the level of knowledge regarding
epilepsy and attitudes prevalent toward people with epilepsy (PWE) among Libyan
university students in comparison with international data. METHODS: A self
administrated questionnaire on awareness regarding epilepsy and behaviors toward
PWE was distributed among undergraduate students enrolled in the University of
Benghazi. The collected data were analyzed against responses from an Italian
survey that utilized a similar questionnaire to explore epilepsy-related
knowledge and attitudes among Italian university students in Rome (Mecarelli et
al., 2007). RESULTS: Out of the 500 interviewed students, 96% successfully
completed the survey. Further, 96.6% asserted that they possessed some knowledge
regarding epilepsy, gained mainly from their families (76.6%). A total of 57.5%
considered epilepsy to be a psychiatric disorder while 11.6% recommended
psychological tests for the diagnosis of epilepsy. Moreover, 37.5% believed in
ancient superstitions, such as possession by evil forces, as the underlying cause
of the condition, and 31.8% recommended traditional remedies to cure it. Further,
66.6% deemed epilepsy as a barrier for career prospects, 41% indicated that it
presents an impediment in participation in sports, and 35% considered it as an
obstacle in marriage. Additionally, epilepsy was perceived as a severe illness by
53.3% of the respondents and considered to be a moderately severe condition by
43.7%. The responses were found to be statistically significant (P<0.05) against
the responses from the Italian study. CONCLUSION: There is a reasonable level of
awareness regarding epilepsy among Libyan students, though a lack of accuracy in
the acquired knowledge exists. The ancient misconceptions regarding the nature of
epilepsy and negative attitudes toward PWE appear to be rather common among the
Libyan students. Consequently, the discrepancies in the views between the two
surveys concerning the ways in which epilepsy is perceived and PWE are treated
were extremely evident, thus reflecting the already established view that
epilepsy faces greater stigma as a health condition in developing countries in
comparison with Western nations.
PMID- 29635119
TI - From competition to compassion: A caregiving approach to intervening with
appearance comparisons.
AB - This study used a novel intervention grounded in social mentalities theory to
compare the effects of cultivating a caregiving versus competitive mentality when
intervening with appearance comparisons. For 48 hours, 120 female undergraduates
were randomly assigned to use one of three strategies whenever they made
unfavorable appearance comparisons: cultivating compassion and loving-kindness
toward the comparison target (Caregiving); comparing themselves favorably to the
target in non-appearance domains of superiority (Competition); or distracting
themselves (Control). Although there was no main effect of condition, trait
social comparison orientation interacted with condition to predict outcomes.
Among women engaging more frequently in social comparison, the Caregiving
condition was more effective than the Competition condition at reducing body
dissatisfaction, restrained eating, and body, eating, and exercise-related
comparisons. Findings suggest that cultivating a compassion-focused, caregiving
mentality when threatened by appearance comparisons could be beneficial to women
who engage more frequently in social comparison.
PMID- 29635117
TI - Highly sensitive label-free amperometric immunoassay of prostate specific antigen
using hollow dendritic AuPtAg alloyed nanocrystals.
AB - Herein, well-defined hollow dendritic AuPtAg alloyed nanocrystals (ANCs) were
synthesized by a simple L-proline-mediated one-pot aqueous method. More
importantly, the synthesized hollow dendritic architectures provide a suitable
platform for immobilization of anti-prostate specific antigen (PSA). The
resultant label-free immunosensor exhibited the improved performance for highly
sensitive detection of PSA based on the enhanced catalytic currents of
K3[Fe(CN)6] as a signal probe. Impressively, the immunosensor showed the wide
linear range of 0.05-50 ng mL-1 and low detection limit of 0.017 ng mL-1 under
optimal conditions for the assay of PSA, couple with the improved stability,
reproducibility and selectivity. It provides a promising platform for clinical
research and diagnosis.
PMID- 29635118
TI - Micro-nano-bio acoustic system for the detection of foodborne pathogens in real
samples.
AB - The fast and efficient detection of foodborne pathogens is a societal priority,
given the large number of food-poisoning outbreaks, and a scientific and
technological challenge, given the need to detect as little as 1 viable cell in
25 gr of food. Here, we present the first approach that achieves the above goal,
thanks to the use of a micro/nano-technology and the detection capability of
acoustic wave sensors. Starting from 1 Salmonella cell in 25 ml of milk, we
employ immuno-magnetic beads to capture cells after only 3 h of pre-enrichment
and subsequently demonstrate efficient DNA amplification using the Loop Mediated
Isothermal Amplification method (LAMP) and acoustic detection in an integrated
platform, within an additional 1/2 h. The demonstrated 4 h sample-to-analysis
time comes as a huge improvement to the current need of few days to obtain the
same result. In addition, the work presents the first reported Lab-on-Chip
platform that comprises an acoustic device as the sensing element, exhibiting
impressive analytical features, namely, an acoustic limit of detection of 2
cells/MUl or 3 aM of the DNA target and ability to detect in a label-free manner
dsDNA amplicons in impure samples. The use of food samples together with the
incorporation of the necessary pre-enrichment step and ability for multiple
analysis with an internal control, make the proposed methodology highly relevant
to real-world applications. Moreover, the work suggests that acoustic wave
devices can be used as an attractive alternative to electrochemical sensors in
integrated platforms for applications in food safety and the point-of-care
diagnostics.
PMID- 29635120
TI - Dual amplification strategy for improved efficiency of forensic DNA analysis
using NGM DetectTM, NGMTM or GlobalfilerTM kits.
AB - The new generation of STR amplification kits with improved sensitivity and
additional genetic markers is designed particularly for analyzing difficult
traces with a high DNA degradation index, presence of inhibitors and low level of
DNA. In the new NGM DetectTM kit, modifications including changing the primers'
sequences and shortening of STR markers are introduced. The quality control
system (IQCS, IQCL) used to detect DNA degradation and the presence of inhibitors
in the sample is an additional feature. The purpose of this study was to compare
the results of analysis of different kinds of typical casework samples obtained
using NGMTM or GlobalFilerTM kits with those generated using the new NGM DetectTM
kit. The results indicate that the tested kit is particularly useful for the
analysis of challenging samples for which incomplete profiles are generated with
the NGMTM or GlobalFilerTM kits. The increased number of positively typed alleles
gives better statistical parameters in genetic identification cases. We conclude
that the NGM DetectTM kit can be recommended for the double amplification
protocol together with the NGM or GlobalFilerTM kits.
PMID- 29635121
TI - N-Acetylcysteine protects human bronchi by modulating the release of neurokinin A
in an ex vivo model of COPD exacerbation.
AB - AIMS: N-Acetylcysteine (NAC) reduces the risk of exacerbation of chronic
obstructive pulmonary disease (COPD). Although NAC also has anti-inflammatory
activity, the detailed mechanism leading to its protective role remains to be
elucidated. We tested the impact of NAC against the effects of lipopolysaccharide
(LPS) in an ex vivo model of COPD exacerbation, and investigated the role of
neurokinin A (NKA) in this context. MAIN METHODS: Isolated airways from COPD
patients were incubated overnight with LPS (100 ng/ml). NAC was tested at
concentrations resembling the plasma levels elicited by oral administration of
NAC at 200 mg/day (very low dose), 600 mg/day (low dose) and 1.200 mg/day (high
dose). KEY FINDINGS: NAC at high concentrations normalized the peroxidase
activity, H2O2, malondialdehyde (MDA), nitric oxide, glutathione (GSH), total
antioxidant capacity (TAC), and interleukin 6 (IL-6) (overall change 34.32% +/-
4.22%, P < 0.05 vs. LPS-treated). NAC at low concentrations modulated peroxidase
activity, H2O2, MDA, GSH, TAC, and IL-6 (overall change 34.88% +/- 7.39%, P <
0.05 vs. LPS-treated). NAC at very-low concentrations was effective on peroxidase
activity, H2O2, GSH, and IL-6 (overall change 35.05 +/- 7.71%, P < 0.05 vs. LPS
treated). Binary logistic regression analysis indicated that the modulatory
effect of NAC on NKA levels was associated with a reduction of pro-oxidant
factors and IL-6, and selectively blocking the NK2 receptor abolished such an
association. SIGNIFICANCE: This study demonstrates that, along with its well
known antioxidant activity, the protective effect of NAC against the detrimental
effect of LPS is due to the modulation of NKA and IL-6 levels.
PMID- 29635123
TI - MHC class I chain-related A: Polymorphism, regulation and therapeutic value in
cancer.
AB - MICA and MICB are stress-induced molecules recognized by NKG2D, one of major
activation receptors of natural killer (NK) cells. Upon binding to NKG2D, NKG2D
mediated cytolytic immune response of immune effector cells will be activated
against virally infected and tumor cells expressing MICA. In the early oncogenic
development, membrane-bound MICA serves as a key signal to recruit anti-tumor
immune effectors. Nevertheless, both MICA polymorphic features and its
dysregulated expression in evolving tumors have resulted in tumor evasion in
various cancer types. Therefore, in order to reconstitute tumor
immunosurveilance, it is of great significance that we understand MICA genetics,
polymorphisms, mechanisms of MICA-associated tumor escape and molecular/cellular
modulation of MICA. In this review, the MICA-associated co-expression networks
involving microRNAs (miRNAs) and novel candidate long non-coding RNAs (lncRNAs)
were also discussed. Given the current importance in the study of MICA gene, this
review paper focuses on the role of MICA in different cancer types, and
strategies that we manipulate MICA regulation against tumor proliferation.
PMID- 29635122
TI - Hinokiflavone induces apoptosis in melanoma cells through the ROS-mitochondrial
apoptotic pathway and impairs cell migration and invasion.
AB - Melanoma, the highest degree of malignancy, is one of the most common skin
tumors. However, there is no effective strategy to treat melanoma in current
clinical practice. Therefore, it is urgent to find an efficient drug to overcome
melanoma. Here, the in vitro anticancer effects of a natural product named
hinokiflavone on three melanoma carcinoma cell lines (human melanoma A375 and CHL
1 cells, murine melanoma B16-F10 cells) and mechanisms of action were explored.
The results of MTT assay revealed that hinokiflavone inhibited cell proliferation
of these cell lines in a dose- and time-dependent manner. Interestingly,
hinokiflavone showed low toxicity to normal liver cells. Flow cytometry assay and
EdU incorporation assay indicated that hinokiflavone affected A375 and B16 cells
survival by inducing apoptosis and blocking cell cycle progression at S phase in
a concentration-dependent manner. Moreover, hinokiflavone enhanced the reactive
oxygen species (ROS) and decreased the mitochondrial membrane potential
obviously. Furthermore, hinokiflavone effectively impaired A375 cells migration
and invasion, and down-regulated the expression of matrix metalloproteinase (MMP)
MMP2 and MMP9. The above-mentioned results demonstrated that hinokiflavone could
be a novel chemotherapeutic agent in melanoma treatment by inhibiting cell
proliferation, inducing apoptosis and cell cycle arresting and blocking cell
migration and invasion.
PMID- 29635125
TI - Nobiletin (NOB) suppresses autophagic degradation via over-expressing AKT pathway
and enhances apoptosis in multidrug-resistant SKOV3/TAX ovarian cancer cells.
AB - Chemotherapy could be used as an effective therapeutic treatment for ovarian
cancer and subsequent peritoneal metastasis. However, the occurrence of drug
resistance reduced the treatment effect originated from cancer chemotherapy.
Accumulating evidences indicated the significant role of autophagy in tumor cell
resistance to chemotherapy. Thus, inhibition of autophagy using natural compounds
could be a promising candidate to overcome multidrug resistance in human ovarian
cancer cells. Nobiletin (NOB), a polymethoxyflavonoid found in citrus fruits such
as Citrus depressa and Citrus reticulate, exhibits a number of bioactivities. In
the present study, NOB selectively suppressed the growth and proliferation of
human SKOV3/TAX cells, inducing G0/G1 phase arrest and reducing G2/M phase, along
with the increase of p53 and p21. In addition, NOB induced significant apoptosis
in SKOV3/TAX cells through the intrinsic apoptosis pathway, as evidenced by the
up-regulation of cleaved Caspase-9/-3 and PARP. Further, NOB impaired the
autophagic degradation in SKOV3/TAX cells, resulting in autophagic flux
inhibition. Moreover, the impaired autophagic flux enhanced NOB-induced apoptosis
in SKOV3/TAX cells. Importantly, AKT signaling was activated by NOB, which was
involved in autophagic degradation and apoptotic cell death. In conclusion, the
findings here supplied the illustration that NOB could overcome multidrug
resistance in human ovarian cancer cells through AKT-regulated suppression of
autophagic degradation.
PMID- 29635126
TI - The role of long non-coding RNA ANRIL in the carcinogenesis of oral cancer by
targeting miR-125a.
AB - Recently, increasing evidence has indicated that lncRNAs may play a critical role
in the progression of oral cancer (OC). However, whether lncRNA-ANRIL is involved
in the tumorigenesis of OC remains undetermined. In the present study, ANRIL
showed significantly higher, while miR-125a showed lower, expression in OC
tissues and sera than in normal controls. MTT, colony formation, flow cytometry
analysis, wound-healing, transwell and mice xenograft model assays were used to
detect the proliferation, migration, and invasion of ARNIL-overexpressing HB56
cells and ARNIL-knockdown CAL27 cells. The results showed that cell
proliferation, migration, and invasion were significantly increased by ARNIL
overexpression and decreased by ARNIL silencing in oral cancer cells.
Furthermore, we found a negative correlation between ARNIL and miR-125a, and
ARNIL acts as a miRNA-sponge by directly interacting with miR-125a.
PMID- 29635124
TI - Phosphoethanolamine induces caspase-independent cell death by reducing the
expression of C-RAF and inhibits tumor growth in human melanoma model.
AB - Phosphoethanolamine (PEA) is a fundamental precursor during the biosynthesis of
cell membranes phospholipids. In the past few years, it has been described as a
potential antitumor agent. In previous studies, we demonstrated that PEA showed
antitumor properties in vitro and in vivo in a wide range of tumor cell lines.
Herein, we showed that PEA possesses cytotoxic properties and notably revealed to
induce caspase-independent cell death. Of interest, we provided evidence that PEA
inhibits melanoma cells proliferation through the reduction of C-RAF. Molecular
docking of PEA evidenced that this compound indeed fits satisfactory in the
binding site located between the dimers of C-RAF protein with 107,01 A and score
of -29,62. Also, PEA arrested A2058 cells at G2/M phase in the cell cycle.
Moreover, cell proliferation, migration and adhesion capacities of A2058 cells
were also inhibited by PEA. Most importantly, PEA inhibited tumor growth of
melanoma tumors and prolonged survival rate of mice. Also, PEA induced a
significant immune response in a syngeneic metastatic melanoma model. Taken
together, these data indicate that PEA is a promising candidate for future
developments in cancer field.
PMID- 29635127
TI - Impact of stress on female reproductive health disorders: Possible beneficial
effects of shatavari (Asparagus racemosus).
AB - Stress is deeply rooted in the society and women are frequently exposed to
psychological, physical and physiological stressors. Psychological stress
disturbs reproductive health by inducing generation of reactive oxygen species
(ROS) and thereby oxidative stress (OS). The increased OS may affect physiology
of ovary, oocyte quality and cause female reproductive health disorders. To
overcome stress-mediated reproductive health disorders in women, shatavari
(Asparagus racemosus) is frequently recommended in Ayurvedic system of medicine.
Although shatavari is one of the major health tonics and most popular rasayana
drugs to treat reproductive ailments of women, underlying mechanism of shatavari
action at the level of ovary remains poorly understood. Based on the existing
studies, we propose that shatavari may improve female reproductive health
complications including hormonal imbalance, polycystic ovarian syndrome (PCOS),
follicular growth and development, oocyte quality and infertility possibly by
reducing OS level and increasing antioxidants level in the body. Further studies
are required to elucidate the mechanism of shatavari actions at the level of
ovary and oocyte that directly impacts the reproductive health of women.
PMID- 29635128
TI - Naringin attenuates MLC phosphorylation and NF-kappaB activation to protect
sepsis-induced intestinal injury via RhoA/ROCK pathway.
AB - BACKGROUND: Sepsis is commonly associated with excessive stimulation of host
immune system and result in multi-organ failure dysfunction. Naringin has been
reported to exhibit a variety of biological effects. The present study aimed to
investigate the protective effect of naringin on sepsis-induced injury of
intestinal barrier function in vivo and in vitro. METHODS: Mice were randomly
divided into 4 groups named sham (n = 20), CLP + vehicle (n = 20), CLP + NG (30
mg/kg) (n = 20) and CLP + NG (60 mg/kg) (n = 20) groups. Sepsis was induced by
cecal ligation and puncture (CLP). H&E staining and transmission electron
microscopy (TEM) were performed to observe intestinal mucosal morphology. ELISA
was used to determine the intestinal permeability and inflammatory response in
vivo and in vitro. Western blot and RhoA activity assay were performed to
determine the levels of tight junction proteins and the activation of indicated
signaling pathways. MTT assay was used to determine cell viability. RESULTS:
Naringin improved survival rate of CLP mice and alleviated sepsis-induced
intestinal mucosal injury. Furthermore, naringin improved impaired intestinal
permeability and inhibited the release of TNF-alpha and IL-6, while increased IL
10 level in CLP mice and lipopolysaccharide (LPS)-stimulated MODE-K cells in a
dose-dependent manner. Naringin increased the expression of tight junction
proteins ZO-1 and claudin-1 via RhoA/ROCK/NF-kappaB/MLCK/MLC signaling pathway in
vivo and in vitro. CONCLUSION: Naringin improved sepsis-induced intestinal injury
via RhoA/ROCK/NF-kappaB/MLCK/MLC signaling pathway in vivo and in vitro.
PMID- 29635130
TI - Avicularin reversed multidrug-resistance in human gastric cancer through
enhancing Bax and BOK expressions.
AB - 5-Fluorouracil (5-Fu) and cisplatin (DDP) as important therapies in treatment of
human gastric cancer have been widely determined. However, the therapeutic
effects are usually hampered due to drug resistance or toxicity at high
concentrations for application. Avicularin (AL, quercetin-3-alpha-l
arabinofuranoside), a bio-active flavonol isolated from a number of plants, has
been reported to display diverse pharmacological properties. In this study, we
explored the hypothesis by which AL reversed 5-Fu or DDP resistance in gastric
cancer and the underlying molecular mechanism. Here, in vitro, the drug-resistant
cancer cells were incubated to AL or DDP alone or the combination of AL and DDP.
Then, MTT, colony formation, Hoechst 33258, flow cytometry and western blot
analysis were used to investigate the effects of AL in the regulation of drug
resistance gastric cancer cells. The results indicated that AL treatment markedly
re-sensitizes the drug resistant cells (SGC-7901/5-Fu and SGC-7901/DDP) to
cytotoxicity of 5-Fu or DDP. Molecular mechanism analysis indicated that AL and
DDP combination treatment enhanced apoptosis in SGC-7901/DDP cells, accompanied
with the up-regulation of cleaved Caspase-3 and PARP, as well as the activation
of pro-apoptotic signals, including Bax and BOK. Significantly, down regulation
of Bax or BOK expressions using Bax siRNA or BOK siRNA decreased the inhibitory
role of DDP in apoptosis of SGC-7901/DDP cells pretreated with AL, demonstrating
that AL-reversed DDP resistance was associated with Bax and BOK expression. In
vivo, AL and DDP combination significantly reduced gastric tumor growth.
Immunohistochemical analysis indicated that co-treatment of AL and DDP
significantly induced apoptosis, and reduced tumor cell proliferation in tumor
tissue samples. Furthermore, we also found that the Bax, BOK, cleaved Caspase-3
and PARP expression in tumor tissues were highly induced by AL and DDP co
treatment. Together, our findings may provide a novel combination therapeutic
strategy in treatment of human gastric cancer.
PMID- 29635129
TI - Renal protective effect of SGLT2 inhibitor dapagliflozin alone and in combination
with irbesartan in a rat model of diabetic nephropathy.
AB - Considering the complementary mechanisms of SGLT2 inhibitors and angiotensin
inhibitors on kidney, it is postulated that combination of both agents could
afford greater protection against diabetic renal injury. So, we investigated
renal protective effects of SGLT2 inhibitor, dapagliflozin, alone and in
combination with irbesartan in a rat model of diabetic nephropathy. Diabetic
rats, injected with nicotinamide-streptozotocin, were treated orally for 12 weeks
with either vehicle, dapagliflozin 2 mg/kg/day, irbesartan 30 mg/kg/day, or
combination of both drugs; respectively. Biochemical analysis included blood
glucose, HbA1c, urinary albumin excretion, creatinine clearance, TGF-beta1,
sRAGE, oxidative markers, and histopathological examination of kidneys. Treatment
with dapagliflozin, irbesartan, and especially their combination, produced
significant reduction in albuminuria, improved renal function parameters,
increased sRAGE level and improved inflammatory and oxidative markers, together
with amelioration of renal histopathological changes. Beside glycemic control,
dapagliflozin produced higher sRAGE levels than irbesartan, suggesting that
inhibition of AGE-RAGE axis is important in its renoprotective action.
Combination of dapagliflozin and irbesartan produced more remarkable protective
effects on renal function and structure, than use of either agent alone. It is
concluded that, combination of SGLT2 inhibitor, dapagliflozin and ARB, irbesartan
could offer more effective renal protection and represent a promising therapeutic
option for management of diabetic nephropathy.
PMID- 29635131
TI - Betaine treatment protects liver through regulating mitochondrial function and
counteracting oxidative stress in acute and chronic animal models of hepatic
injury.
AB - Betaine is a derivative of the amino acid glycine widely investigated for its
hepatoprotective properties against alcoholism. The protective properties of
betaine in different other experimental models also have been documented. On the
other hand, the exact cellular mechanism of cytoprotection provided by betaine is
obscure. The current study was designed to evaluate the hepatoprotective effects
of betaine and its potential mechanisms of hepatoprotection in two animal models
of acute and chronic liver injury. Bile duct ligation (BDL) was used as a model
of chronic liver injury and thioacetamide (TAA)-induced hepatotoxicity was
applied as the acute liver injury model. Severe increase in serum markers of
liver tissue damage along with significant liver tissue histopathological changes
were evident in both acute and chronic models of hepatic injury. It was also
found that tissue markers of oxidative stress were significantly increased in BDL
and TAA-treated animals. Moreover, liver mitochondrial indices of functionality
were deteriorated in both investigated models. Betaine supplementation (10 and 50
mg/kg, i.p) ameliorated hepatic injury as judged by decreased liver tissue
histopathological alterations, a significant decrease in tissue markers of
oxidative stress, and mitigation of serum biomarkers of hepatotoxicity. On the
other hand, betaine (10 and 50 mg/kg, i.p) protected hepatocytes mitochondria in
both chronic and acute models of hepatotoxicity. These data indicate that the
antioxidative and mitochondria regulating properties of betaine could play a
primary role in its mechanisms of hepatoprotection.
PMID- 29635132
TI - Bombesin attenuated ischemia-induced spatial cognitive and synaptic plasticity
impairment associated with oxidative damage.
AB - The dysfunction of spatial cognition is a character to various neurological
disorders and therapeutic strategy. However, it is limited to known risk factors
clinically so far. Gastrin releasing peptide (GRP) signaling is a neuropeptide
system mediating emotional memory events. However, the effects of GRP agonist on
spatial cognition and hippocampal synaptic plasticity are rarely investigated,
especially in pathologic condition. This study was designed to investigate the
long-term effects of GRPR agonist, bombesin, against cognitive impairment induced
by chronic cerebral ischemia in rats and its possible mechanisms. Our results
revealed that bombesin administration (30 MUg/kg/day, for 14 continuous days)
significantly protected the cognitive and synaptic plasticity impairments as
assessed by the Morris water maze and long-term potentiation tests. The mechanism
studies demonstrated that bombesin significantly alleviated the decreased
activity of total superoxide dismutase (T-SOD), catalase (CAT) and altered the
increased the content of malondialdehyde (MDA). Besides, the decreased expression
of synapse plasticity-related proteins, calcium- calmodulin- dependent protein
kinase II (CaMKII) and synaptophysin (SYP) in the hippocampus were increased with
drug treatment. In conclusion, bombesin could protect the oxidative stress and
expression of proteins, which were important for synaptic plasticity and
cognitive function impairment induced by chronic cerebral ischemia. Our study is
presented to provide novel insights into the effects of bombesin on spatial
learning and memory, which should be further explored as a potential drug in
disorders involving deficits in cognitive function.
PMID- 29635134
TI - The long non-coding RNA-DANCR exerts oncogenic functions in non-small cell lung
cancer via miR-758-3p.
AB - Long non-coding RNAs (lncRNAs) have been demonstrated to be involved in the
occurrence and progression of multiple cancers. In this study, we investigated
the role of the lncRNA DANCR in the development of non-small cell lung cancer
(NSCLC). First, we found that DANCR was markedly upregulated in NSCLC tumor
tissues and cell lines compared with related normal controls. The ectopic
expression of DANCR significantly increased the proliferation, migration and
invasion of SPC-A1 and NCL-H1299 cells. Furthermore, we investigated whether
DANCR regulates NSCLC tumor formation in vivo. Subsequently, we concluded that
DANCR promotes NSCLC cell proliferation, migration and invasion by regulating the
tumor suppressor miR-758-3p. These results indicated that the DANCR/miR-758-3p
axis could be a potential target in the treatment of NSCLC.
PMID- 29635133
TI - Icariside II alleviates oxygen-glucose deprivation and reoxygenation-induced PC12
cell oxidative injury by activating Nrf2/SIRT3 signaling pathway.
AB - Cerebral ischemia-reperfusion (I/R) injury is a key contributing factor to the
pathogenic mechanisms involved in ischemic stroke. The present study was designed
to explore the effects of icariside II (ICS II) on oxygen-glucose
deprivation/reoxygenation (OGD/R)-induced PC12 cell oxidative injury. The results
showed that ICS II ameliorated OGD/R-induced PC12 cell injury at the
concentrations of 12.5, 25, and 50 MUM, as evidenced by both the increase of cell
viability and the decrease of LDH leakage from 33.96% +/- 0.48% to 16.78% +/-
0.78%, 13.12% +/- 0.17%, 12.96% +/- 0.10%, respectively. Moreover, ICS II not
only attenuated the reactive oxygen species (ROS) from 212.2% +/- 5.45%, 168.6%
+/- 5.29%, 148.7% +/- 9.37%, 142.7% +/- 7.76%, respectively, but also decreased
the overproduction of mitochondrial ROS, as well as recovered the mitochondrial
membrane potential (MMP) from 60.68% +/- 7.90% to 76.71% +/- 2.87%, 93.69% +/-
4.41%, 95.92% +/- 3.97%, respectively. Furthermore, OGD/R accelerated neuronal
oxidative injury and apoptosis along with reduced nucleus-Nrf2, NQO-1, HO-1, Bcl
2 protein expressions, and increased Keap1, Bax and cleaved caspase-3 contents,
whereas ICS II significantly reversed the abovementioned changes. Interestingly,
ICS II also restrained the OGD/R-induced decrease in SIRT3 and IDH2 expressions.
In conclusion, this study indicates that ICS II alleviates OGD/R-induced
oxidative injury in PC12 cells, and its underlying mechanisms are due to the
regulation of Nrf2/SIRT3 signaling pathway.
PMID- 29635138
TI - Time use-economic approaches.
AB - I describe an economic approach to studying time use. The literature has applied
this approach to analyzing: differences and changes in work time; the gender
division of chores; the determinants of the split of time outside work;
differences in time use and time stress by earnings and household income; how the
artificial constructs of time zones and summer time affect what people do and
when they do it; how and why non-work time is used differently by race, gender
and age; and how people would use non-work time if they had more.
PMID- 29635135
TI - Green route for synthesis of multifunctional fluorescent carbon dots from Tulsi
leaves and its application as Cr(VI) sensors, bio-imaging and patterning agents.
AB - We report a one pot green strategy for the synthesis of carbon dots using tulsi
leaves and their potential application in sensing of Cr(VI) selectively. The
detection mechanism is based on the phenomenon called inner filter effect (IFE)
and a good linear static quenching was observed in the range of 1.6 MUM to 50 MUM
with a detection limit of 4.5 ppb. The reversible switching in fluorescence has
been tested and a good recovery in fluorescence was observed up to three
consecutive cycles upon addition of ascorbic acid as reducing agent. Also the low
toxicity, high fluorescence and photostabilty of the CDs make them excellent
imaging and patterning agent. The acid and alkali resistant property of these CDs
makes it suitable for real sample analysis. The fluorescent CDs were applied for
successful detection of Cr(VI) in water with spike-recoveries ranging from 93 to
99%.
PMID- 29635137
TI - Improvements in the water dispersibility of paclitaxel by complexing with
synthetic peptides derived from beta-casein.
AB - Recently, digestive peptides prepared as a casein hydrolysate have been found to
be an effective dispersant for the poorly water-soluble drug paclitaxel (Ptx). A
major hydrophobic peptide in the digested peptides was identified as
YQEPVLGPVRGPFPIIV (PepY) by matrix-assisted laser desorption/ionization time-of
flight/time-of-flight mass spectrometry with the "LIFT" technique. In the present
study, three peptides PepY, VVVPPFLQPEVMGVSKV (PepV), and KFQSEEQQQTEDELQDK
(PepK) were chemically synthesized by Fmoc solid-phase synthesis to compare their
function as dispersants for Ptx. PepV and PepK are the most hydrophobic and
hydrophilic peptides, respectively, in the sequence of beta-casein, which are the
same length as PepY (PepY, PepV, and PepK are abbreviated as Peps). The complex
between Ptx and Peps (Ptx-Peps) was prepared by mixing an ethanol solution of Ptx
and an aqueous solution of Peps, followed by lyophilization. The complex with
PepV, which is estimated to be the most hydrophobic of the peptides, had the
greatest ability to improve the water dispersibility of Ptx. The water
dispersibility of the complexes between Ptx and PepY and PepV increased as the
amount of the peptides increased, whereas PepK was not effective in enhancing the
dispersibility of Ptx. Furthermore, a peptide mixture obtained from a casein
hydrolysate [Pep (fraction A)] was more effective for the enhancement of Ptx
dispersibility than the single peptide PepY. These results suggests that a
variety of peptides in the casein hydrolysate contribute toward complexation with
Ptx.
PMID- 29635136
TI - Synthesis and characterization of zinc-silibinin complexes: A potential bioactive
compound with angiogenic, and antibacterial activity for bone tissue engineering.
AB - Zinc silibinin complex [Zn(sil)(H2O)2] and mixed ligand zinc complexes such as
Zn(silibinin)(phenanthroline) [Zn(sil)(phen)], and Zn(silibinin)(neocuproine)
[Zn(sil)(neo)] have been synthesized and characterized. The UV-vis spectra of the
Zn(II) complexes showed a considerable shift in the intra-ligand transition. From
the IR spectra, it is clear that carbonyl group in the C-ring is involved in the
metal chelation besides A/C-ring hydroxyl group. Thermal gravimetric analysis
showed that [Zn(sil)(neo)] has higher thermal stability compared to the other two
Zn(II) complexes. The potential biological activities of the synthesized
complexes were studied systematically. In osteoblast differentiation, silibinin
and Zn-silibinin complexes enhanced osteoblast differentiation at the cellular
level by increasing calcium deposition and ALP activity, and at molecular level
increased osteoblast markers include Runx2, type 1 col, ALP and OC mRNAs
expression. Additionally, Zn-silibinin complexes showed promising effects on
osteoblast differentiation by regulating miR-590/Smad7 signaling pathway. Among
the complexes, Zn(sil)(phen) showed more stimulatory effect on osteoblastic
differentiation. These complexes also exhibited angiogenic property by increasing
VEGF and Ang 1 expression in mouse MSCs and antibacterial activity against E.
coli (Gram-negative) and S. aureus (Gram-positive) strains. Thus, the present
study demonstrated that the Zn-silibinin complexes exhibit great potential as a
pharmacological agent for bone tissue engineering.
PMID- 29635139
TI - Bilateral absence of the cruciate ligaments with meniscal dysplasia: Unexpected
diagnosis in a child with juvenile idiopathic arthritis.
AB - Bilateral agenesis of the cruciate ligaments is a rare congenital anomaly. We
report a unique case of a young girl who had congenital short femur and diagnosed
with polyarticular juvenile idiopathic arthritis (JIA) and later discovered to
have congenital absence of both anterior and posterior cruciate ligaments and
meniscal dysplasia in both the knees when MRI was performed at 11 years of age.
The MRI was performed to evaluate knee laxity and persistent symptoms despite
medical management and multiple steroid injections for arthritis treatment. This
patient is one of the youngest with congenital absence of both the cruciate
ligaments to be treated with ACL reconstruction. We highlight the unique
radiographic imaging manifestations of congenital cruciate ligament agenesis and
emphasize the role of MRI to confirm and depict additional intraarticular
abnormalities.
PMID- 29635140
TI - Islam and cannabis: Legalisation and religious debate in Iran.
AB - Iran is currently discussing cannabis and opium regulations, which could bring a
legalisation of drug consumption through a state supervised system. The article
engages with the question of cannabis by looking at the legal interpretation of
religious authorities in the Islamic Republic of Iran. The choice of Iran is
justified for several reasons: firstly, Iran has a long history of drug use and
cannabis has been part of the country's intoxicant traditions since times
immemorial; secondly, the Iranian state is unique in that it combines religious
exegesis with political machination through official channels; finally, among all
Middle East and Islamic countries, Iran is at the avant-garde in experimenting in
the field of drugs policy which makes an excellent case for the study of cannabis
regulation. The article is the result of a direct engagement with Iran's leading
Shi'a authorities, the maraje'-e taqlid, 'source of emulation'. The authors
redacted a list of eight questions (estefta'at) about the status of cannabis in
Iranian society. It questioned cannabis' legality in Islam, its potential medical
use, the feasibility of domestic production and other relevant aspects of its
social-religious life. Based on the responses, the authors analysed the
difference in opinions among the religious scholars and speculate on the
possibility of policy reform. Given the dearth of scholarly work about illicit
drugs in the Islamic world, about which many readers might not be familiar, the
article opens with an overview of the place of cannabis in the history of Islamic
societies. It discusses terminological ambiguities, references in religious texts
and traditions, and the general interpretations within Muslim religious schools
of thought. Then, it discusses the status of cannabis in contemporary Iran before
tackling the responses provided by the religious scholars. Eventually, the paper
puts forward reflections about the potential implications for future policy
developments on cannabis.
PMID- 29635144
TI - Bladder cancer survival: Women better off in the long run.
AB - AIM: Mortality among patients with bladder cancer is usually reported to be
higher for women than men, but how the risk differs and why remain largely
unexplained. We also described gender-specific differences in survival for
patients with bladder cancer and estimated to what extent they can be explained
by differences in T-stage distribution at the first diagnosis. METHODS: The
present study comprised all 15,129 new cases of histologically verified invasive
and non-invasive urothelial carcinoma of the urinary bladder diagnosed between
1997 and 2011 as registered in the Cancer Registry of Norway. Gender-specific
excess mortality risk rates and risk ratios were calculated based on a flexible
parametric relative survival model adjusting for T-stage and age, allowing the
effect of gender to vary over time. We also present gender-specific relative
survival curves for different T-stage patterns adjusted for age. RESULTS: Risk
rates were significantly higher for women than men up to 2 years after bladder
cancer diagnosis, particularly for muscle-invasive cancers. Thereafter, risk
rates appeared to be higher in men. Adverse T-Stage distribution in women
explained half of the unfavourable survival difference in female patients 2 years
after diagnosis. CONCLUSION: The common view of worse bladder cancer prognosis in
women than in men needs to be revised. Norwegian women have a less favourable
prognosis solely within the first 2 years after diagnosis, particularly when
diagnosed with a muscle-invasive tumour; parts of this discrepancy can be
attributed to more severe initial diagnoses in women.
PMID- 29635141
TI - Posterior cervical spine crisscross fixation: Biomechanical evaluation.
AB - BACKGROUND: Biomechanical/anatomic limitations may limit the successful
implantation, maintenance, and risk acceptance of posterior cervical plate/rod
fixation for one stage decompression-fusion. A method of posterior fixation
(crisscross) that resolves biomechanical deficiencies of previous facet wiring
techniques and not reliant upon screw implantation has been devised. The
biomechanical performance of the new method of facet fixation was compared to the
traditional lateral mass plate/screw fixation method. METHODS: Thirteen human
cadaver spine segments (C2-T1) were tested under flexion-compression loading and
four were evaluated additionally under pure-moment load. Preparations were
evaluated in a sequence of surgical alterations with intact, laminectomy, lateral
mass plate/screw fixation, and crisscross facet fixation using forces,
displacements and kinematics. FINDINGS: Combined loading demonstrated
significantly lower bending stiffness (p < 0.05) between laminectomy compared to
crisscross and lateral mass plate/screw preparations. Crisscross fixation showed
a comparative tendency for increased stiffness. The increased overall motion
induced by laminectomy was resolved by both fixation techniques, with crisscross
fixation demonstrating a comparatively more uniform change in segmental motions.
INTERPRETATION: The crisscross technique of facet fixation offers immediate
mechanical stability with resolution of increased flexural rotations induced by
multi-level laminectomy. Many of the anatomic limitations and potentially
deleterious variables that may be associated with multi-level screw fixation are
not associated with facet wire passage, and the subsequent fixation using a
pattern of wire connection crossing each facet joint exhibits a comparatively
more uniform load distribution. Crisscross wire fixation is a valuable addition
to the surgical armamentarium for extensive posterior cervical single-stage
decompression-fixation.
PMID- 29635142
TI - Predictors of etiological beliefs about panic disorder and impact of beliefs on
treatment outcomes.
AB - Little is known about the beliefs people with panic disorder (PD) have about
their illness and how these beliefs might influence treatment outcome. This study
explored demographic and clinical predictors of etiological beliefs about PD and
the impact these beliefs have on treatment response. The sample included 251
outpatients with PD who participated in a randomized placebo-controlled trial of
treatments for PD. Regression analyses revealed that sex, duration of PD and
family history of psychiatric illnesses predicted biological etiological beliefs,
previous history of psychotherapy predicted environmental etiological beliefs,
and age, impaired functioning, and measures of "fear of fear" predicted multiple
etiological beliefs about PD. Etiological beliefs predicted more severe symptoms
at 12 weeks post-treatment, irrespective of the type of treatment received, but
had no effect on attrition, treatment adherence or treatment-related adverse
effects This study contributes to the sparse literature on etiological beliefs
about PD. Results are preliminary and further research is needed to understand
more fully the factors that shape etiological beliefs about PD, whether these
beliefs change over the course of illness, and the impact etiological beliefs
have on treatment outcome.
PMID- 29635143
TI - Coping styles in individuals at ultra-high risk for psychosis: Associations with
cognitive appraisals.
AB - Maladaptive coping may play an important role in the manifestation of symptoms,
functioning, and overt psychosis onset in individuals at ultra-high risk (UHR)
for psychosis. To determine the factors associated with coping strategies, the
relationships between cognitive appraisals and coping styles were investigated in
UHR individuals. Sixty-five UHR individuals and 83 healthy controls were assessed
for coping styles and cognitive appraisals of attribution bias as a primary
appraisal and self-efficacy and perceived social support as a secondary
appraisal. UHR participants relied more on a passive, tension-reduction coping
style and less on an active, problem-focused coping style. These maladaptive
coping styles in UHR individuals were significantly associated with their
cognitive appraisals of stress. Aberrant attribution style of hostility
perception and composite blaming bias were associated with problem-focused coping
and tension-reduction, respectively. Perceived social support was related to
problem-focused coping, seeking social support, and wishful thinking. General
self-efficacy was associated with problem-focused coping. Our findings suggest
that cognitive appraisals themselves may be the major determinants of coping
styles in UHR individuals. The identified attribution styles, perceived social
support, and self-efficacy may provide some clues regarding specialized
interventions for the buildup of adaptive coping strategies in UHR individuals.
PMID- 29635145
TI - Treatment decisions and the impact of adverse events before and during extended
endocrine therapy in postmenopausal early breast cancer.
AB - BACKGROUND: Extended endocrine therapy beyond 5 years for postmenopausal breast
cancer has been studied within multiple phase III trials. Treatment compliance in
these trials is generally poor. In this analysis, we aimed to determine factors
that were associated with participation in the phase III Investigation on the
Duration of Extended Adjuvant Letrozole (IDEAL) trial and with early treatment
discontinuation, and how this influenced survival outcome. METHODS: In the IDEAL
trial, postmenopausal patients were randomised between 2.5 or 5 years of extended
letrozole, after completing 5 years of endocrine therapy for hormone receptor
positive early breast cancer. A subgroup of this population participated earlier
in the Tamoxifen Exemestane Adjuvant Multinational trial (5 years of exemestane
or 2.5 years of tamoxifen followed by exemestane as primary adjuvant therapy) in
which we explored which factors were determinative for enrolment in the IDEAL
study. In the IDEAL cohort, we evaluated which factors predicted for early
treatment discontinuation and the effect of early treatment discontinuation on
disease-free survival (DFS). RESULTS: Nodal status, younger age and adjuvant
chemotherapy were significantly associated with higher enrolment in the IDEAL
trial. In the IDEAL cohort, adverse events (AEs), the type of primary endocrine
therapy and the interval between primary and extended therapy were associated
with early treatment discontinuation. Among the reported AEs, depressive feelings
(56%) were most frequently associated with early treatment discontinuation. Early
treatment discontinuation was not associated with worse DFS (hazard ratio [HR] =
1.02, 95% confidence interval = 0.76-1.37). CONCLUSIONS: In this analysis, we
found that risk factors were most strongly associated enrolment in the IDEAL
trial. In contrast, patient experiences were the most significant factors leading
to early treatment discontinuation, with no effect on DFS.
PMID- 29635146
TI - Immunotherapy phase I trials in patients Older than 70 years with advanced solid
tumours.
AB - BACKGROUND: The development of immune checkpoint blocker development brings new
hope in older patients (OPs) because of clinical efficacy and low toxicity.
Clinical indications are rising steadily, but very few data are available in the
geriatric population where comorbidities, reduced functional reserve and
immunosenescence may affect efficacy and tolerance. METHODS: All cases of
patients enrolled in immunotherapy phase I trials between January 2012 and
December 2016 in the Drug Development Department (DITEP) at Gustave Roussy were
retrospectively reviewed. Case-control analysis was performed in OPs (patients >=
70 years) matched to younger patients (YPs) (patients < 70 years) by trial and
treatment dose. We compared cumulative incidence, grade and type of immune
related adverse events (IrAEs) and survival outcomes. RESULTS: Among the 46 OPs
and the 174 YPs enrolled in 14 phase I/II trials, 10 (22%) and 23 (13%) patients
experienced grade III-IV IrAEs. Cumulative incidence of grade I-II IrAEs was
significantly higher in OPs than YPs (p < 0.05). No significant difference was
observed between the two groups for grade III-IV IrAEs (p = 0.50). Older age was
not associated with lower dose intensity of treatment (p = 0.14). No significant
difference was observed between OPs and YPs in median progression-free survival
(hazards ratio 1.41, 95% confidence interval [CI] [0.94-2.11] p = 0.09) or median
overall survival (HR 0.92, 95% CI [0.61-1.39] p = 0.77). CONCLUSION: Immune
checkpoint blockade appears to be an acceptable treatment option for OPs in the
setting of phase I trials.
PMID- 29635148
TI - Airtraq(r) reduces the hemodynamic response to tracheal intubation using single
lumen tubes in adults compared with the Macintosh laryngoscope: A systematic
review and meta-analysis of randomized control trials.
AB - STUDY OBJECTIVE: To investigate whether Airtraq(r) attenuate the hemodynamic
responses to tracheal intubation using single-lumen tubes in adults as compared
with the Macintosh laryngoscope. DESIGN: Meta-analysis. SETTING: Operating room.
MEASUREMENTS: The primary outcome of this meta-analysis was to determine whether
laryngoscopy using the Airtraq(r) reduced hemodynamic responses-heart rate (HR)
and mean blood pressure (MBP)-at 60 s (s) after tracheal intubation compared to
laryngoscopy with the Macintosh laryngoscope. Pooled differences in these
hemodynamic responses between the two devices were expressed as weighted mean
difference with 95% confidence intervals. We then conducted trial sequential
analysis (TSA). The secondary outcome was to investigate whether the Airtraq(r)
reduce the hemodynamic response at 120 s, 180 s, and 300 s after tracheal
intubation compared to the Macintosh laryngoscope. We also conducted sensitivity
analysis of the hemodynamic responses to tracheal intubation with the
laryngoscopes using a multivariate random effects model accounting for within
study correlation of the longitudinal data. MAIN RESULTS: From electronic
databases, we selected 11 randomized controlled trials for studies that enrolled
subjects satisfying our inclusion criteria. Compared with the Macintosh
laryngoscope, the Airtraq(r) significantly reduced both HR and MBP at 60 s after
tracheal intubation. In secondary outcome, the Airtraq(r) significantly reduced
both HR and MBP at all measurement points, excluding HR at 300 s after tracheal
intubation. TSA showed that total sample size reached the required information
size for both HR and MBP. The sensitivity analysis revealed that the Airtraq(r)
reduced both HR and MBP at all measurement points, excluding HR at 300 s after
tracheal intubation. CONCLUSIONS: The Airtraq(r) attenuates the hemodynamic
response at 60 s after tracheal intubation compared with the Macintosh
laryngoscope. (GRADE: Low) These results were supported by the sensitivity
analysis. TSA suggested that the total sample size was exceeded TSA monitoring
boundary both HR and MBP.
PMID- 29635147
TI - Phase I feasibility study for intrathecal administration of trastuzumab in
patients with HER2 positive breast carcinomatous meningitis.
AB - PURPOSE: Leptomeningeal carcinomatosis (MC) is commonly associated with HER2
positive breast cancer (HER2-BC), with a poor prognosis and no standardised
treatment. We conducted a phase I dose-escalation study of intrathecal (IT)
administration of trastuzumab in HER2-BC patients with MC to determine the
maximum tolerated dose (MTD), which was based on both the achievement of a
trastuzumab intra-cerebrospinal fluid concentration close to a conventional
therapeutic plasma concentration (30 mg/L) and/or dose-limiting toxicity (DLT).
METHODS: The protocol planned IT administration of trastuzumab (30 mg, 60 mg, 100
mg or 150 mg dose levels) once a week, over the course of at least 4 weeks.
Sixteen patients with MC from HER2-BC received IT trastuzumab. Intra
cerebrospinal fluid samples were obtained before each injection for
pharmacokinetics. RESULTS: We did not observe DLT of IT trastuzumab. Eleven
patients had no toxicity attributed to IT trastuzumab. For 60 mg or higher dose
levels, minor toxicities attributed to IT trastuzumab included headache (2
patients), nausea (2 patients), vomiting (1 patient), cervical pain (1 patient)
and peripheral neuropathy (1 patient). Two patients experienced immediate
toxicity including headache or vomiting. The mean residual intra-cerebrospinal
fluid concentration of trastuzumab was 27.9 mg/L for the 150 mg dose level. Three
patients achieved a clinical response, seven patients had stable disease and four
patients had progressive disease. CONCLUSIONS: The MTD and recommended phase II
weekly dose of IT trastuzumab in patients with HER2-BC and MC is 150 mg. A phase
II trial using this dose regimen in MC from HER2-BC is ongoing. REGISTRATION
IDENTIFICATION: ClinicalTrials.gov Identifier: NCT01373710
(https://clinicaltrials.gov/ct2/show/NCT01373710?term=trastuzumab+intrathecal&ran
=1).
PMID- 29635149
TI - Comparison of the thermal stabilization of proteins by oligosaccharides and
monosaccharide mixtures: Measurement and analysis in the context of excluded
volume theory.
AB - The thermal stability of apo alpha-lactalbumin (alpha-LA) and lysozyme was
measured in the presence of mixtures of glucose, fructose, and galactose.
Mixtures of these monosaccharides in the appropriate stoichiometric ratio were
found to have a greater stabilizing effect on each of the two proteins than equal
weight/volume concentrations of di- tri- and tetrasaccharides with identical
subunit composition (sucrose, trehalose, raffinose, and stachyose). The excluded
volume model for the effect of a single saccharide on the stability of a protein
previously proposed by Beg et al. [Biochemistry 54 (2015) 3594] was extended to
treat the case of saccharide mixtures. The extended model predicts quantitatively
the stabilizing effect of all monosaccharide mixtures on alpha-LA and lysozyme
reported here, as well as previously published results obtained for ribonuclease
A [Biophys. Chem. 138 (2008) 120] to within experimental uncertainty.
PMID- 29635150
TI - Model-based approach for cyber-physical attack detection in water distribution
systems.
AB - Modern Water Distribution Systems (WDSs) are often controlled by Supervisory
Control and Data Acquisition (SCADA) systems and Programmable Logic Controllers
(PLCs) which manage their operation and maintain a reliable water supply. As
such, and with the cyber layer becoming a central component of WDS operations,
these systems are at a greater risk of being subjected to cyberattacks. This
paper offers a model-based methodology based on a detailed hydraulic
understanding of WDSs combined with an anomaly detection algorithm for the
identification of complex cyberattacks that cannot be fully identified by
hydraulically based rules alone. The results show that the proposed algorithm is
capable of achieving the best-known performance when tested on the data published
in the BATtle of the Attack Detection ALgorithms (BATADAL) competition
(http://www.batadal.net).
PMID- 29635151
TI - Vertical-algal-biofilm enhanced raceway pond for cost-effective wastewater
treatment and value-added products production.
AB - A win-win strategy by the integration of wastewater treatment with value-added
products production through a vertical-algal-biofilm enhanced raceway was
investigated in the present study. Raceway pond was enhanced by vertically
setting the biofilm in the system with a certain interval distance that could be
adjusted for different light conditions and wastewater types. Two types of
synthetic wastewater were treated with suitability-proven materials as biofilm
carriers under four operation distances. Composition of the harvested algal
biomass was analyzed. Coral velvet with 5-8 mm length villus was the optimal
carrier, since it was durable and with high biomass productivity (6.95-8.11 g m
2.day-1). Nutrients in the wastewaters were efficiently removed with the COD, TN
and TP reduction of over 86.61%, 73.68% and 89.85%, respectively. Wastewater with
the low nutrients concentration experienced lower biomass and lipid productivity
but larger biodiesel productivity and higher nutrient removal efficiency. In
addition, as the operation distance increased, wastewater treatment efficiency
was first increased but then decreased, while algal biomass footprint production
was decreased. Differences in nutrients removal efficiencies were mainly due to
the distance difference, which caused different biofilm culture surface areas and
light regimes. The optimal operation distance as a function of the efficient
nutrient removal and biodiesel production in this study was 6 cm.
PMID- 29635152
TI - Transport of bacteriophage MS2 and PRD1 in saturated dune sand under suboxic
conditions.
AB - Soil passage of (pretreated) surface water to remove pathogenic microorganisms is
a highly efficient process under oxic conditions, reducing microorganism
concentrations about 8 log10 within tens of meters. However, under anoxic
conditions, it has been shown that removal of microorganisms can be limited very
much. Setback distances for adequate protection of natural groundwater may,
therefore, be too short if anoxic conditions apply. Because removal of
microorganisms under suboxic conditions is unknown, this research investigated
removal of bacteriophage MS2 and PRD1 by soil passage under suboxic conditions at
field scale. At the field location (dune area), one injection well and six
monitoring wells were installed at different depths along three suboxic flow
lines, where oxygen concentrations ranged from 0.4 to 1.7 mg/l and nitrate
concentrations ranged from 13 to 16 mg/L. PRD1 and MS2 were injected directly at
the corresponding depths and their removal in each flow line was determined. The
highest bacteriophage removal was observed in the top layer, with about 9 log
removal of MS2, and 7 log removal of PRD1 after 16 meters of aquifer transport.
Less removal was observed at 12 m below surface, probably due to a higher
groundwater velocity in this coarser grained layer. MS2 was removed more
effectively than PRD1 under all conditions. Due to short travel times,
inactivation of the phages was limited and the reported log removal was mainly
associated with attachment of phages to the aquifer matrix. This study shows that
attachment of MS2 and PRD1 is similar for oxic and suboxic sandy aquifers, and,
therefore, setback distances used for sandy aquifers under oxic and suboxic
conditions provide a similar level of safety. Sticking efficiency and the
attachment rate coefficient, as measures for virus attachment, were evaluated as
a function of the physico-chemical conditions.
PMID- 29635154
TI - Vertical up-flow constructed wetlands exhibited efficient antibiotic removal but
induced antibiotic resistance genes in effluent.
AB - The intensive use of antibiotics results in their continuous release into the
environment and the subsequent widespread dissemination of antibiotic resistance
genes (ARGs), thus posing potential risks for public health. Although vertical up
flow constructed wetlands (VUF-CWs) have been widely used to treat wastewater in
remote or rural regions, few studies have assessed the potential risks of ARG
dissemination when VUF-CWs are applied to treat wastewaters containing
antibiotics. In this study, the removal performance of two typical antibiotics
(sulfamethoxazole (SMX) and tetracycline (TC)) and the fate of ARGs were
evaluated in three lab-scale VUF-CWs. The results indicated that high removal
efficiencies (>98%) could be achieved for both SMX and TC. However, the exposure
of antibiotics resulted in harboring abundant ARGs (mainly sul- and tet-related
genes), even with increasing abundances with operation time. The abundances of
ARGs had a positive correlation with the accumulation of SMX and TC in different
layers of VUF-CWs, where the tet and sul genes have the highest abundance in the
bottom layer due to the highest antibiotic exposure concentration. Positive
correlations were observed between the abundance of tet gene and antibiotic
concentration in effluent. Although the effluent had lower abundances of the ARGs
than that in the wetland media, the occurrence of ARGs in effluent might still
pose risk for public health. Further studies are required to explore effective
control strategies to eliminate ARGs from VUF-CWs.
PMID- 29635153
TI - Effects of phosphate-enhanced ozone/biofiltration on formation of disinfection
byproducts and occurrence of opportunistic pathogens in drinking water
distribution systems.
AB - The effects of ozone-biologically activated carbon (O3-BAC) treatment with
various phosphate doses (0, 0.3 or 0.6 mg/L) were investigated on the formation
of disinfection by-products (DBPs) and occurrence of opportunistic pathogens
(OPs) in drinking water distribution systems (DWDSs) simulated by annular
reactors (ARs). It was found that the lowest DBPs and the highest inactivation of
OPs such as Mycobacterium spp., Mycobacterium avium, Aeromonas spp., Pseudomonas
aeruginosa and Hartmanella vermiformis, occurred in the effluent of the AR with
0.6 mg/L phosphate addition. Based on the results of different characterization
techniques, for the AR with 0.6 mg/L phosphate-enhanced O3-BAC treatment,
dissolved organic carbon in the influent exhibited the lowest concentration and
most stable fraction due to the improved biodegradation effect. Moreover, the
total amount of suspended extracellular polymeric substances (EPS) in the bulk
water of the AR decreased greatly, resulting in the lowest chlorine consumption
and DBPs formation in the AR. In Fourier transform infrared spectra of the
suspended EPS, the amide II band (1600-1500 cm-1) disappeared and the
protein/polysaccharide ratio decreased remarkably, indicating the destruction of
protein and a decrease in hydrophobicity. Moreover, beta-sheets and alpha-helices
in the protein secondary structures were degraded while the random coils
increased sharply as phosphate addition increased to 0.6 mg/L, inhibiting
microbial aggregation and hence weakening the chlorine-resistance capability.
Thus, most of the OPs in suspended biofilms were more easily inactivated by
residual chlorine, resulting in the lowest OPs occurrence in the effluent of the
AR. Our findings indicated that enhancing the efficiency of the BAC filter by
adding phosphate is a promising method for improving water quality in DWDSs.
PMID- 29635155
TI - Synergistic effects in iron-copper bimetal doped mesoporous gamma-Al2O3 for
Fenton-like oxidation of 4-chlorophenol: Structure, composition, electrochemical
behaviors and catalytic performance.
AB - Iron-copper bimetal supported on mesoporous gamma-Al2O3 with different Fe/Cu
ratios was prepared via a modified evaporation-induced self-assembly method and
employed to degrade 4-chlorophenol (4-CP) in a Fenton-like process. The X-ray
photoelectron spectroscopy, X-ray diffraction spectrum and UV-vis diffuse
reflectance spectroscopy results confirmed the co-doping of Fe2+/Fe3+ and
Cu+/Cu2+ into the lattice of gamma-Al2O3 through the bonds of AlOFe and AlOCu.
With the iron and copper co-doped in gamma-Al2O3, three-fold oxygen vacancies
(V0) could be achieved compared with that of non-metal catalysts, which was
favorable for the reduction of iron and copper species. The 1Fe3Cu-gamma-Al2O3
with a Fe/Cu mass ratio of 1:3 exhibited the highest activity on Fenton-like
degradation of 4-CP due to the synergistic effects of Fe and Cu by facilitating
the electron transfer in the recycles of Cu+/Cu2+ and Fe2+/Fe3+ based on the
results of X-ray photoelectron spectroscopy, cyclic voltammetry and electro
paramagnetic resonance analysis. The utilization efficiency of H2O2 was as high
as 86.0% when 4-CP almost disappeared (i.e., 99.7 percent of 0.78 mM 4-CP) at 240
min and pH 7.0, additionally, their dechlorination and TOC removal efficiencies
were 92.0% and 81.6%, respectively. The catalyst also displayed a good
performance after 5th cycles. Furthermore, a possible mechanism for activation of
OH on the catalyst surface was also proposed.
PMID- 29635159
TI - Temperature-dependent elimination efficiency on Phaeocystis globosa by different
initial population sizes of rotifer Brachionus plicatilis.
AB - Due to sea water eutrophication and global warming, the harmful Phaeocystis
blooms outbreak frequently in coastal waters, which cause a serious threat to
marine ecosystem. The application of rotifer to control the harmful alga is a
promising way. To investigate the influence of initial rotifer density and
temperature on the ability of rotifer Brachionus plicatilis to eliminate
Phaeocystis globosa population, we cultured P. globosa with different initial
rotifer densities (1, 3, 5 inds mL-1) at 19, 22, 25, 28, and 31 degrees C for 9
16 d. Results showed that the population of rotifer feeding on Phaeocystis
increased rapidly and higher temperatures favored the growth of P. globosa and B.
plicatilis. With increased initial rotifer density and temperature, both the
clearance rate of rotifer and the reduction rate of P. globosa increased, and
thus P. globosa were eliminated earlier. Both temperature and initial rotifer
density had significant effects on clearance rate of rotifer and the time to
Phaeocystis extinction, and there was a significant interaction between the two
factors on the two parameters, i.e., the effect of initial rotifer density on
eliminating Phaeocystis decreased with increasing temperature. The rotifer in 5
inds mL-1 at 28 degrees C eliminated P. globosa in 4 d, whereas the rotifer in 1
ind mL-1 at 19 degrees C spent about 16 d on eliminating P. globosa. In
conclusion, higher temperature and bigger initial rotifer density promote rotifer
to eliminate the harmful P. globosa, and the optimal temperature for rotifer to
clear P. globosa is 28 degrees C.
PMID- 29635156
TI - Comparative study of Cu-based bimetallic oxides for Fenton-like degradation of
organic pollutants.
AB - In order to provide useful information for the rational design of effective
Fenton-like catalyst, a series of Cu-based bimetallic oxides were synthesized and
their Fenton-like performances for the degradation of Orange II and ciprofloxacin
were compared. The structure, chemical oxidation state, surface charge property
and redox ability of the catalysts were also investigated by different
characterization techniques. Among them, NiCu exhibited the highest adsorption
capacity towards Orange II and the highest activity for the production of OH from
H2O2 decomposition, which could be attributed to its high surface area and highly
positively charged surface. However, FeCu exhibited the highest activity for the
degradation of Orange II. The reason might be that FeCu has more unpaired
electrons and higher redox ability, thus promoting the activation of adsorbed
Orange II through the electron transfer process. By contrast, NiCu exhibited the
highest activity for the removal of ciprofloxacin because ciprofloxacin was
mainly degraded by OH. Finally, the main degradation intermediates of Orange II
and ciprofloxacin were determined by liquid chromatography-mass spectrometry.
PMID- 29635157
TI - Achieving mainstream nitrogen removal through simultaneous partial nitrification,
anammox and denitrification process in an integrated fixed film activated sludge
reactor.
AB - The anaerobic ammonium oxidation (anammox) is becoming a critical technology for
energy neutral in mainstream wastewater treatment. However, the presence of
chemical oxygen demanding in influent would result in a poor nitrogen removal
efficiency during the deammonification process. In this study, the simultaneous
partial nitrification, anammox and denitrification process (SNAD) for mainstream
nitrogen removal was investigated in an integrated fixed film activated sludge
(IFAS) reactor. SNAD-IFAS process achieved a total nitrogen (TN) removal
efficiency of 72 +/- 2% and an average COD removal efficiency was 88%. The
optimum COD/N ratio for mainstream wastewater treatment was 1.2 +/- 0.2. Illumina
sequencing analysis and activity tests showed that anammox and denitrifying
bacteria were the dominant nitrogen removal microorganism in the biofilm and the
high COD/N ratios (>=2.0) leaded to the proliferation of heterotrophic bacteria
(Hydrogenophaga) and nitrite-oxidizing bacteria (Nitrospira) in the suspended
sludge. Network analysis confirmed that anammox bacteria (Candidatus Kuenenia)
could survive in organic matter environment due to that anammox bacteria
displayed significant co-occurrence through positive correlations with some
heterotrophic bacteria (Limnobacter) which could protect anammox bacteria from
hostile environments. Overall, the results of this study provided more
comprehensive information regarding the community composition and assemblies in
SNAD-IFAS process for mainstream nitrogen removal.
PMID- 29635160
TI - Simultaneous phosphorous and nitrogen recovery from source-separated urine: A
novel application for fertiliser drawn forward osmosis.
AB - Re-thinking our approach to dealing with waste is one of the major challenges in
achieving a more sustainable society. However, it could also generate numerous
opportunities. Specifically, in the context of wastewater, nutrients, energy and
water could be mined from it. Because of its exceptionally high nitrogen (N) and
phosphorous (P) concentration, human urine is particularly suitable to be
processed for fertiliser production. In the present study, forward osmosis (FO)
was employed to mine the P and N from human urine. Two Mg2+-fertilisers, i.e.
MgSO4 and Mg(NO3)2 were selected as draw solution (DS) to dewater synthetic non
hydrolysed urine. In this process, the Mg2+ reverse salt flux (RSF) were used to
recover P as struvite. Simultaneously, the urea was recovered in the DS as it is
poorly rejected by the FO membrane. The results showed that, after concentrating
the urine by 60%, about 40% of the P and 50% of the N were recovered. XRD and SEM
- EDX analysis confirmed that P was precipitated as mineral struvite. If
successfully tested on real urine, this process could be applied to treat the
urine collected in urban areas e.g., high-rise building. After the filtration,
the solid struvite could be sold for inland applications whereas the diluted
fertiliser used for direct fertigation of green walls, parks or for urban
farming. Finally, reduction in the load of N, P to the downstream wastewater
treatment plant would also ensure a more sustainable urban water cycle.
PMID- 29635158
TI - Effects of triclosan in breast milk on the infant fecal microbiome.
AB - Triclosan is frequently used for its antimicrobial properties and has been
detected in human serum, urine, and breast milk. Animal and molecular studies
have shown that triclosan exerts a wide range of adverse health effects at both
high (ppm) and low (ppb) concentrations. Since triclosan is of growing concern to
human and environmental health, there is a need to improve extraction procedures
and to study additional effects from triclosan exposure. In this study, we have
improved triclosan extraction from breast milk by using salt (MgSO4) to reduce
emulsion formation and increase water polarity and water (~80%) to enhance the
overall extraction efficiency (~3.5 fold). This extraction method was applied to
breast milk samples collected from donors who i) recorded their use of triclosan
containing personal care products and ii) provided matching infant stool samples.
Of the participants who had detectable amounts of triclosan in their breast milk,
nine (75%) of them reported daily use of triclosan-containing personal care
products. Levels of triclosan in breast milk were compared to the donor's
infant's fecal microbiome. We found that the bacterial diversity in the fecal
microbiome of the infants exposed to breast milk with detectable triclosan levels
differed compared to their peers exposed to milk containing non-detectable
amounts. This finding implies that exogenous chemicals are impacting microbiome
diversity.
PMID- 29635161
TI - Phase of beta-frequency tACS over primary motor cortex modulates corticospinal
excitability.
AB - The assessment of corticospinal excitability by means of transcranial magnetic
stimulation-induced motor evoked potentials is an established diagnostic tool in
neurophysiology and a widely used procedure in fundamental brain research.
However, concern about low reliability of these measures has grown recently. One
possible cause of high variability of MEPs under identical acquisition conditions
could be the influence of oscillatory neuronal activity on corticospinal
excitability. Based on research showing that transcranial alternating current
stimulation can entrain neuronal oscillations we here test whether alpha or beta
frequency tACS can influence corticospinal excitability in a phase-dependent
manner. We applied tACS at individually calibrated alpha- and beta-band
oscillation frequencies, or we applied sham tACS. Simultaneous single TMS pulses
time locked to eight equidistant phases of the ongoing tACS signal evoked MEPs.
To evaluate offline effects of stimulation frequency, MEP amplitudes were
measured before and after tACS. To evaluate whether tACS influences MEP
amplitude, we fitted one-cycle sinusoids to the average MEPs elicited at the
different phase conditions of each tACS frequency. We found no frequency-specific
offline effects of tACS. However, beta-frequency tACS modulation of MEPs was
phase-dependent. Post hoc analyses suggested that this effect was specific to
participants with low (<19 Hz) intrinsic beta frequency. In conclusion, by
showing that beta tACS influences MEP amplitude in a phase-dependent manner, our
results support a potential role attributed to neuronal oscillations in
regulating corticospinal excitability. Moreover, our findings may be useful for
the development of TMS protocols that improve the reliability of MEPs as a
meaningful tool for research applications or for clinical monitoring and
diagnosis.
PMID- 29635162
TI - Variations in response control within at-risk gamblers and non-gambling controls
explained by GABAergic inhibition in the motor cortex.
AB - Paired-pulse Transcranial Magnetic Stimulation (TMS) is used to study inhibitory
and excitatory mechanisms in the motor cortex through the measurement of short
interval intracortical inhibition (SICI), indicative of GABAergic activity, and
intracortical facilitation (ICF), indicative of glutamatergic activity. In the
present study, TMS was delivered to the left motor cortex of 40 participants
while we measured SICI and ICF at rest. We were interested in whether variation
between individuals in these modulatory mechanisms is related to inhibitory
control over responding measured as stop signal reaction time (SSRT). Within the
same group of participants, we investigated whether SICI, ICF, SSRT, and self
reported impulsivity, are impaired in participants identified as At-Risk gamblers
(n = 20) compared to non-gambling controls (n = 20). We found a significant
negative correlation between SICI strength and SSRT, but no correlation between
ICF strength and SSRT after controlling for the correlation between SICI and
SSRT. Thus, poor inhibitory control of responding was associated with weak
GABAergic activity. When taking into account the effects of substance/alcohol use
and attention-deficit hyperactivity disorder (ADHD) symptom severity, At-Risk
gamblers showed elevated self-reported impulsivity, but did not differ from
controls on SSRT or SICI/ICF. Our study is the first to show that individual
differences in motor cortex inhibition can predict stopping performance, and the
first to investigate paired-pulse TMS parameters (together with other impulse
control measures) in a gambling population.
PMID- 29635163
TI - Bispecific antibodies in haematological malignancies.
AB - Bispecific antibodies (bsAbs) combine the binding sites of two monoclonal
antibodies in one molecule. The close proximity of a tumor specific antigen and
an effector cell antigen results in a targeted activation of effector cells. The
mechanism is similar to the chimeric antigen receptor (CAR) T-cells, recently
approved in two haematologic cancers. CAR T-cells and bsAb represent the most
powerful tools for major-histocompatibility complex (MHC) independent T-cell
immune response against cancer. In contrast to CAR T-cells, bsAbs are "off the
shelf" drugs. As a drawback, the efficacy is dependent on a prolonged
application. More than 40 years of intensive research generate a plethora of
bispecific constructs with a remarkable difference in manufacturability,
stability, half-life time and receptor affinity. Blinatumomab was the first
approved bsAb in relapsed and refractory acute lymphoblastic leukemia. By the
mature experience of blinatumomab in more than 10 clinical trials over more than
one decade, we learned some lessons on how to use this new principle. The
efficacy is higher in patients with less tumor burden, suggesting the use as
consolidation more than for initial debulking. Main resistance mechanisms are
extramedullary relapses and the expression of the inhibitory PD-L1 molecule,
suggesting the value of combination with checkpoint inhibitors. CD19 loss is
infrequent after blinatumomab, preserving the option for alternative CD19-direct
treatments. New bsAbs in lymphoma, myeloma and acute myeloid leukemia enter phase
I trials, together with many new constructs in solid cancer.
PMID- 29635164
TI - Reactor staging influences microbial community composition and diversity of
denitrifying MBBRs- Implications on pharmaceutical removal.
AB - The subdivision of biofilm reactor in two or more stages (i.e., reactor staging)
represents an option for process optimisation of biological treatment. In our
previous work, we showed that the gradient of influent organic substrate
availability (induced by the staging) can influence the microbial activity (i.e.,
denitrification and pharmaceutical biotransformation kinetics) of a denitrifying
three-stage Moving Bed Biofilm Reactor (MBBR) system. However, it is unclear
whether staging and thus the long-term exposure to varying organic carbon type
and loading influences the microbial community structure and diversity. In this
study, we investigated biofilm structure and diversity in the three-stage MBBR
system (S) compared to a single-stage configuration (U) and their relationship
with microbial functions. Results from 16S rRNA amplicon libraries revealed a
significantly higher microbial richness in the staged MBBR (at 99% sequence
similarity) compared to single-stage MBBR. A more even and diverse microbial
community was selected in the last stage of S (S3), likely due to exposure to
carbon limitation during continuous-flow operation. A core of OTUs was shared in
both systems, consisting of Burkholderiales, Xanthomonadales, Flavobacteriales
and Sphingobacteriales, while MBBR staging selected for specific taxa (i.e.,
Candidate division WS6 and Deinococcales). Results from quantitative PCR (qPCR)
showed that S3 exhibited the lowest abundance of 16S rRNA but the highest
abundance of atypical nosZ, suggesting a selection of microbes with more diverse
N-metabolism (i.e., incomplete denitrifiers) in the stage exposed to the lowest
carbon availability. A positive correlation (p < 0.05) was observed between
removal rate constants of several pharmaceuticals with abundance of relevant
denitrifying genes, but not with biodiversity. Despite the previously suggested
positive relationship between microbial diversity and functionality in macrobial
and microbial ecosystems, this was not observed in the current study, indicating
a need to further investigate structure-function relationships for denitrifying
systems.
PMID- 29635165
TI - Discovery of 6-chloro-2-(propylthio)-8,9-dihydro-7H-purines containing a
carboxamide moiety as potential selective anti-lung cancer agents.
AB - A new series of 6-chloro-2-(propylthio)-8,9-dihydro-7H-purine-8-caboxamide
derivatives were designed, synthesized, and further evaluated for their
antiproliferative activities on four human cancer cell lines (A549, MGC803, PC-3
and TE-1). The structure-activity relationships (SARs) studies were conducted
through the variation in the two regions, which including position 8 and 9, of
purine core. One of the compounds, 8, containing a terminal piperazine appendage
with a carboxamide moiety at position 8 and phenyl group at position 9 of 6
chloro-8,9-dihydro-7H-purine core, showed the most potent antiproliferative
activity and good selectivity between cancer and normal cells (IC50 values of
2.80 MUM against A549 and 303.03 MUM against GES-1, respectively). In addition,
compound 8 could inhibit the colony formation and migration of A549 cells in a
concentration-dependent manner, as well as induce the apoptosis possibly through
the intrinsic pathway.
PMID- 29635176
TI - Mechanism and kinetics of photochemical transformation of ketoprofen and its
degradation intermediates.
AB - Ketoprofen, 2-(3-benzoylphenyl)-propionic acid, a widely used non-steroidal anti
inflammatory drug, is considered as an important water pollutant. Kinetics and
mechanism of its photolytic transformation in aqueous solutions was studied
experimentally and partial reaction steps were modelled by means of quantum
chemistry methods. While the rate of ketoprofen photolysis was not significantly
affected by its acid-base equilibrium, a marked influence of pH on the subsequent
degradation reactions was observed. At pH 1.3, two oxygenated primary products
were identified, that underwent fast photolysis. Deprotonated form of ketoprofen
was transformed preferentially to ethylbenzophenone and further degradation
proceeded substantially slower. Oxygen participated on photolytic processes both
as a reactant and the triplet state quencher. The active involvement of water
molecules in the reaction mechanism was investigated by comparative experiments
in acetonitrile. The phototransformation mechanism proposed based on the
experimental data corresponded well with the theoretical results.
PMID- 29635171
TI - Effects of dietary Allium hookeri root on growth performance and antioxidant
activity in young broiler chickens.
AB - The objective of this experiment was to evaluate the antioxidant potential of
Allium hookeri (AH) root in two forms, powdered AH root and fermented powdered AH
root, to demonstrate its value as an antibiotic alternative feed additive for
broiler chickens. A total of 125 male Ross-708 broiler chickens were randomly
assigned to five groups (n = 25 birds/group) and fed standard diets supplemented
with root or fermented root of AH at two different levels (1% or 5%). Control
birds were provided with non-supplemented basal diets. Body weights was measured
at days 14 and 21 of age. To monitor antioxidant activities, heme oxygenase
(HMOX), aflatoxin B1 aldehyde reductase (AFAR), superoxide dismutase 1 (SOD1),
and catalase (CAT) enzyme levels were quantified by real-time PCR in the jejunums
21-day-old birds. Also, serum levels of SOD, CAT, and malondialdehyde (MDA) were
measured using commercial kits. The results showed greater body weight gains at
day 14 in chickens fed diets supplemented with 1% AH root, as compared to the
control group (p < 0.05). Up-regulated transcript levels of AFAR, HMOX1, and CAT
were observed in the jejunum of chickens fed diets supplemented with AH. The
serum levels SOD and CAT were significantly increased (p < 0.05) in groups
treated with AH, whereas MDA levels were decreased in groups fed diets
supplemented with AH, as compared to the control group. These results indicated
that an optimum level of dietary AH supplementation to young broiler chickens
influences growth and improves antioxidant activities.
PMID- 29635180
TI - Plasma impact on structural, morphological and optical properties of copper
acetylacetonate thin films.
AB - The influence of plasma exposure on structural, morphological and optical
properties of copper (II) acetylacetonate thin films deposited by thermal
evaporation technique was investigated. Copper (II) acetylacetonate as-grown thin
films were exposed to the atmospheric plasma for different times. The exposure of
as-grown cu(acac)2 thin film to atmospheric plasma for 5min modified its
structural, morphological and optical properties. The effect of plasma exposure
on structure and roughness of cu(acac)2 thin films was evaluated by XRD and AFM
techniques, respectively. The XRD results showed an increment in crystallinity
due to exposure for 5min, but, when the exposure time reaches 10min, the film was
transformed to an amorphous state. The AFM results revealed a strong modification
of films roughness when the average roughness decreased from 63.35nm to ~1nm as a
result of interaction with plasma. The optical properties of as-grown and plasma
exposured cu(acac)2 thin films were studied using spectrophotometric method. The
exposure of cu(acac)2 thin films to plasma produced the indirect energy gap
decrease from 3.20eV to 2.67eV for 10min exposure time. The dispersion parameters
were evaluated in terms of single oscillator model for as-grown and plasma
exposured thin films. The influence of plasma exposure on third order optical
susceptibility was studied.
PMID- 29635175
TI - The quantitative studies on gas explosion suppression by an inert rock dust
deposit.
AB - The traditional defence against propagating gas explosions is the application of
dry rock dust, but not much quantitative study on explosion suppression of rock
dust has been made. Based on the theories of fluid dynamics and combustion, a
simulated study on the propagation of premixed gas explosion suppressed by
deposited inert rock dust layer is carried out. The characteristics of the
explosion field (overpressure, temperature, flame speed and combustion rate) at
different deposited rock dust amounts are investigated. The flame in the pipeline
cannot be extinguished when the deposited rock dust amount is less than 12 kg/m3.
The effects of suppressing gas explosion become weak when the deposited rock dust
amount is greater than 45 kg/m3. The overpressure decreases with the increase of
the deposited rock dust amounts in the range of 18-36 kg/m3 and the flame speed
and the flame length show the same trends. When the deposited rock dust amount is
36 kg/m3, the overpressure can be reduced by 40%, the peak flame speed by 50%,
and the flame length by 42% respectively, compared with those of the gas
explosion of stoichiometric mixture. In this model, the effective raised dust
concentrations to suppress explosion are 2.5-3.5 kg/m3.
PMID- 29635172
TI - Combination of human acetylcholinesterase and serum albumin sensing surfaces as
highly informative analytical tool for inhibitor screening.
AB - In the continuous research for potential drug lead candidates, the availability
of highly informative screening methodologies may constitute a decisive element
in the selection of best-in-class compounds. In the present study, a surface
plasmon resonance (SPR)-based assay was developed and employed to investigate
interactions between human recombinant AChE (hAChE) and four known ligands:
galantamine, tacrine, donepezil and edrophonium. To this aim, a sensor chip was
functionalized with hAChE using mild immobilization conditions to best preserve
enzyme integrity. Binding affinities and, for the first time, kinetic rate
constants for all drug-hAChE complexes formation/disruption were determined.
Inhibitors were classified in two groups: slow-reversible and fast-reversible
binders according to respective target residence time. Combining data obtained on
drug-target residence time with data obtained on serum albumin binding levels, a
good correlation with potency, plasma protein binding in vivo, and administration
regimen was found. The outcomes of this work demonstrated that the developed SPR
based assay is suitable for the screening, the binding affinity ranking and the
kinetic evaluation of hAChE inhibitors. The method proposed ensures a simpler and
cost-effective assay to quantify kinetic rate constants for inhibitor-hAChE
interaction as compared with other proposed and published methods. Eventually,
the determination of residence time in combination with preliminary ADME studies
might constitute a better tool to predict in vivo behaviour, a key information
for the research of new potential drug candidates.
PMID- 29635166
TI - Targeting the entrance channel of NNIBP: Discovery of diarylnicotinamide 1,4
disubstituted 1,2,3-triazoles as novel HIV-1 NNRTIs with high potency against
wild-type and E138K mutant virus.
AB - Inspired by our previous efforts on the modifications of diarylpyrimidines as HIV
1 non-nucleoside reverse transcriptase inhibitors (NNRTI) and reported
crystallography study, novel diarylnicotinamide derivatives were designed with a
"triazole tail" occupying the entrance channel in the NNRTI binding pocket of the
reverse transcriptase to afford additional interactions. The newly designed
compounds were then synthesized and evaluated for their anti-HIV activities in MT
4 cells. All the compounds showed excellent to good activity against wild-type
HIV-1 strain with EC50 of 0.02-1.77 MUM. Evaluations of selected compounds
against more drug-resistant strains showed these compounds had advantage of
inhibiting E138K mutant virus which is a key drug-resistant mutant to the new
generation of NNRTIs. Among this series, propionitrile (3b2, EC50(IIIB) = 0.020
MUM, EC50(E138K) = 0.015 MUM, CC50 = 40.15 MUM), pyrrolidin-1-ylmethanone (3b8,
EC50(IIIB) = 0.020 MUM, EC50(E138K) = 0.014 MUM, CC50 = 58.09 MUM) and
morpholinomethanone (3b9, EC50(IIIB) = 0.020 MUM, EC50(E138K) = 0.027 MUM, CC50 =
180.90 MUM) derivatives are the three most promising compounds which are equally
potent to the marketed drug Etravirine against E138K mutant strain but with much
lower cytotoxicity. Furthermore, detailed SAR, inhibitory activity against RT and
docking study of the representative compounds are also discussed.
PMID- 29635170
TI - Resilience in high-risk adolescents of mothers with recurrent depressive
disorder: The contribution of fathers.
AB - This study examines the role of paternal emotional support as a resilience
promoter in offspring of mothers with depression by considering the role of
fathers' mental health and the quality of the couple relationship. Two hundred
and sixty-five mothers with recurrent unipolar depression, partners and
adolescents from Wales were assessed. Paternal emotional support, couple
relationship quality, and paternal depression were assessed at baseline;
adolescent mental health symptoms were assessed using the Child and Adolescent
Psychiatric Assessment at follow-up. Results showed evidence of an indirect
pathway whereby couple relationship quality predicted paternal emotional support
(beta = -.21, 95% CI [-.34, -.08]; p = .002) which in turn predicted adolescent
depression (beta = -.18, 95% CI [-.33, -.04]; p = .02), but not disruptive
behaviours (beta = -.08, 95% CI [-.22, .07]; p = .30), after controlling for
relevant confounders. The findings highlight that fathers and the broader family
system play an important role in enhancing resilience to depression symptoms in
at-risk adolescents.
PMID- 29635178
TI - The synthesis of super-small nano hydroxyapatite and its high adsorptions to
mixed heavy metallic ions.
AB - A "molecular cage" was possibly built in glucose alkaline solution to limit the
chemical reaction in a tiny space to control the size and morphology of HA
nanoparticles. A possible reaction mechanism of HA nanoparticles confined space
synthesis was proposed in this work. The super-small HA nanoparticles were
successfully obtained in the first time in mild and green condition which had a 7
nm diameter. The adsorptions to Pb2+, Zn2+, Ni2+, Cd2+ ions in their mixture
solution by the synthesized HA nanoparticles were studied. The adsorbent showed
huge adsorption capacities for the targets (Pb2+ = 3289 mg/g, Zn2+ = 3150 mg/g,
Ni2+ = 3061 mg/g and Cd2+ = 2784 mg/g), which indicated an excellent performance
of the synthesized nano material in water treatment. Freundlich equation analysis
and SEM images of the adsorption products suggested multilayer adsorptions to the
targets, and a new recrystalline type adsorption on the surface of the host was
found. Some Ca atoms in HA nanoparticles were also found to be substituted by the
heavy metallic elements in the initial stage of the adsorptions. The synthesized
nano material exhibits better application prospect in wastewater treatment field
for its easy synthesis, low-cost and environmental friendly properties.
PMID- 29635183
TI - Effect of microwave treatment on structure of binders based on sodium
carboxymethyl starch: FT-IR, FT-Raman and XRD investigations.
AB - The paper deals with the influence of the microwave treatment on sodium
carboxymethyl starch (CMS-Na) applied as a binder for moulding sands. The Fourier
transformation infrared spectrometry (FT-IR), Raman spectroscopy (FT-Raman) and
XRD analysis data of native potato starch and three different carboxymethyl
starches (CMS-Na) with various degree of substitution (DS) before and after
exposition to microwave radiation have been compared. FT-IR studies showed that
polar groups present in CMS-Na structure take part in the formation of new
hydrogen bonds network after water evaporation. However, these changes depend on
DS value of the modified starch. The FT-Raman study confirmed that due to the
impact on the samples by microwave, the changes of intensity in the
characteristic bands associated with the crystalline regions in the sample were
noticed. The X-ray diffraction data for microwave treated CMS-Na samples have
been compared with the diffractograms of initial materials and analysis of XRD
patterns confirmed that microwave-treated samples exhibit completely amorphous
structure. Analysis of structural changes allows to state that the binding of
sand grains in moulding sand with CMS-Na polymeric binder consists in the
formation of hydrogen bonds networks (physical cross-linking).
PMID- 29635181
TI - Investigations on Mg-borate kinetics and mechanisms during evaporation, dilution
and crystallization by Raman spectroscopy.
AB - Raman spectra of boron-concentrated, diluted, and corresponding mother solutions
of brine were recorded at 298.15 K. The main polyborate anions present and their
interactions in brine during evaporation and dilution were proposed according to
the Raman spectra. The polyborate anions B(OH)3, B3O3(OH)4-, B5O6(OH)4-, and
B6O7(OH)62- were found to be the main forms in boron-concentrated brine with
B3O3(OH)4- ion being the principal form. Diluting brines with water accelerated
depolymerization of B5O6(OH)4- and B6O7(OH)62- anions into B(OH)3 and B3O3(OH)4-
ions and generated OH- ions, causing the pH of the solutions to increase from 4.2
to almost 8.0. Mg-borates precipitated from all diluted solutions could be
classified as either hexaborates or triborates. A mechanism of solid phase
transformation was also proposed and discussed based on Raman spectra analysis
and solid species and solution pH data.
PMID- 29635167
TI - Novel sarsasapogenin-triazolyl hybrids as potential anti-Alzheimer's agents:
Design, synthesis and biological evaluation.
AB - Sarsasapogenin, an active ingredient in Rhizoma anemarrhenae, is a promising
bioactive lead compound in the treatment of Alzheimer's disease. To search for
more efficient anti-Alzheimer agents, a series of novel sarsasapogenin-triazolyl
hybrids were designed, synthesized, and evaluated for their Abeta1-42 aggregation
inhibitory activities. Most of these new hybrids displayed potent Abeta1-42
aggregation inhibition. In particular, the promising compounds 6j and 6o
displayed a better ability to interrupt the formation of Abeta1-42 fibrils than
curcumin. Moreover, 6j and 6o exhibited moderate neuroprotective effects against
H2O2-induced neurotoxicity in SH-SY5Y cells. To investigate whether 6j and 6o
could improve cognitive deficits, we performed behavioral tests to examine the
learning and memory impairments induced by intracerebroventricular injection of
Abeta1-42 (ICV-Abeta1-42) in mice and TUNEL staining to observe neuronal
apoptosis in the hippocampus. The results obtained indicated that oral treatment
with 6j and 6o significantly ameliorated cognitive impairments in behavioral
tests and TUNEL staining showed that 6j and 6o attenuated neuronal loss in the
brain. Taken together, the results we obtained showed that the sarsasapogenin
skeleton could be a promising structural template for the development of new anti
Alzheimer drug candidates, and compounds 6j and 6o have the potential to be
important lead compounds for further research.
PMID- 29635182
TI - Self-aggregation of bio-surfactants within ionic liquid 1-ethyl-3
methylimidazolium bromide: A comparative study and potential application in
antidepressants drug aggregation.
AB - Aggregation behavior of bio-surfactants (BS) sodium cholate (NaC) and sodium
deoxycholate (NaDC) within aqueous solution of ionic liquid (IL) 1-ethyl-3
methylimidazolium bromide [Emim][Br] has been investigated using surface tension,
conductivity, steady state fluorescence, FT-IR and dynamic light scattering (DLS)
techniques. Various interfacial and thermodynamic parameters are determined in
the presence of different wt% of IL [Emim][Br]. Information regarding the local
microenvironment and size of the aggregates is obtained from fluorescence and
DLS, respectively. FT-IR spectral response is used to reveal the interactions
taking place within aqueous NaC/NaDC micellar solutions. It is noteworthy to
mention that increasing wt% of [Emim][Br] results in an increase in the
spontaneity of micelle formation and the hydrophilic IL shows more affinity for
NaC as compared to NaDC. Further, the micellar solutions of BS-[Emim][Br] are
utilized for studying the aggregation of antidepressants drug promazine
hydrochloride (pH). UV-vis spectroscopic investigation reveals interesting
outcomes and the results show changes in spectral absorbance of PH drug on the
addition of micellar solution (BS-[Emim][Br]). Highest binding affinity and most
promising activity are shown for NaC as compared to NaDC.
PMID- 29635177
TI - Novel mesoporous TiO2@g-C3N4 hollow core@shell heterojunction with enhanced
photocatalytic activity for water treatment and H2 production under simulated
sunlight.
AB - A novel mesoporous TiO2@g-C3N4 hollow core@shell heterojunction photocatalyst was
engineered for the first time by in situ calcining and growing of cyanamide (CY)
on the surface of TiO2. The HTCN-1 possesses good structure and performance when
the addition amount of CY is 1 mL. HTCN-1 shows high photocatalytic activity
toward congo red (CR), rhodamine B (RhB), phenol and ciprofloxacin (CIP) with
degradation efficiencies of 97%, 100%, 73%, and 74%, respectively. HTCN-1 also
displays high photocatalytic activity for H2 generation at rate of 7.9 MUmol h-1.
A possible charger transfer mechanism and photocatalytic degradation mechanism of
HTCN-1 are proposed basing on the experiment results. The enhanced photocatalytic
activity may be attributed to the higher charge transfer efficiency of
photogenerated electron-hole (e--h+) pairs caused by close contacts, a larger
interfacial area, and the higher barrier for conduction bending. What's more,
HTCN-1 possesses relatively high stability during the entire photoreaction
process. Given the unique spatial structure and superior photocatalytic
characteristics of the HTCN-1, there is great potential for applications in water
treatment and H2 generation.
PMID- 29635169
TI - Antiproliferative hydrogen sulfide releasing evodiamine derivatives and their
apoptosis inducing properties.
AB - To explore antitumor agents with high efficiency and selectivity, two series of
16 H2S donating evodiamine derivatives 8-12 were synthesized and characterized by
1H NMR, 13C NMR and HRMS. Their antiproliferative activities were tested against
five cancer cell lines (Bel-7402, MCF-7, SGC-7901, Caco-2 and HL-60) and human
normal peripheral blood mononuclear cells. Among them, compound 12c showed the
most potent inhibitory activities against human leukemia HL-60 and epithelial
colorectal adenocarcinoma Caco-2 cells with IC50 values of 0.58 and 2.02 MUM,
respectively. Additionally, high selectivity was also observed between human
normal peripheral blood mononuclear cells and human leukemia HL-60 cells. Further
mechanism studies confirmed that 12c could induce apoptosis, arrest cell cycle at
the G2/M phase and lead to mitochondrial dysfunction in HL-60 cells. Furthermore,
western blot assay demonstrated that 12c induced the intrinsic apoptotic
mitochondrial pathway by upregulating protein expression of Bax, cytochrome c,
caspase-3, -9 and p53, and downregulating the relative levels of Bcl-2. The
levels of cell cycle related proteins cyclin B1 and cdc2 were also downregulated
in which G2/M phase arrest was confirmed. Overall, 12c possessed immense
potential for the discovery of antitumor candidates with high efficiency and
selectivity.
PMID- 29635174
TI - Multiple measures of HPA axis function in ultra high risk and first-episode
schizophrenia patients.
AB - INTRODUCTION: Abnormalities within hypothalamus-pituitary-adrenal (HPA) axis
might interact with other neurobiological systems to enhance the risk of
psychosis. Most of the neurodevelopmental and HPA axis changes occur in
adolescence; this is also the period when prodromal and psychotic symptoms occur
for the first time. More knowledge about how various stress components interact
can advance understanding of the link between psychosis and the HPA axis. METHOD:
We examined 41 ultra high-risk (UHR) patients and 40 antipsychotic-naive first
episode schizophrenia (FES) patients and compared them with 47 matched controls.
The Perceived Stress Scale and the Recent Life Events Questionnaire were used to
assess the stress levels. Day-time saliva samples were taken to measure cortisol.
The pituitary gland volume was measured manually on the structural MRI using
stereology. RESULTS: Only the UHR patients, had a higher cortisol increase just
after awakening (p = 0.009) compared to healthy controls. In UHR patients, we
found a negative correlation between cortisol increase after awakening and
symptom severity (p = 0.008). Pituitary gland volume and diurnal cortisol were
not significantly different among the three groups. There was no correlation
between pituitary gland volume, perceived stress/recent life events and any of
the cortisol measures or symptoms. CONCLUSION: Symptom severity during the very
early phase of illness (UHR) seems to be associated with altered cortisol
increase. Longitudinal studies in UHR patients would be useful to examine how
stress levels affect the course of the illness.
PMID- 29635173
TI - Proteomic plasma profile of psoriatic patients.
AB - BACKGROUND: Psoriasis is a chronic, immune-mediated inflammatory skin disease
with severe consequences for the whole organism. The lack of complete knowledge
of the main factors predisposing an individual to the appearance of psoriatic
lesions, has recently led to the search for modifications in biochemical pathways
participating in the development of this disease. We therefore aimed to
investigate changes in the plasma proteomic profile of patients with psoriasis.
MATERIAL AND METHODS: A proteomics approach was used to analyze the expression of
proteins in plasma from psoriatic patients and healthy controls (sex- and age
matched individuals). The analysis was performed using gel electrophoresis,
followed by nanoflow LC-MS/MS using a Q-Exactive OrbiTrap mass spectrometer.
RESULTS: Proteomic data indicated a significant decrease in the level of proteins
involved in lipid metabolism, such as apolipoprotein M, and proteins involved in
the management of vitamin D levels in psoriatic patients' plasma. These changes
were accompanied by the expression of proteins involved in immune response and
signal transduction. This was particularly evident by the level of
transcriptional factors, including AT motif binding factor 1, which regulates
excessive cellular proliferation and differentiation. It was also suggested that
psoriasis development was associated with increased expression of proteins
directly involved in signaling molecule secretion [biotinidase and BAI1
associated protein 3]. In addition, the lipid peroxidation product - 4
hydroxynonenal (4-HNE) generates higher level of adducts with proteins in the
plasma of psoriatic patients. Moreover, plasma proteins from healthy subjects
creating with 4-HNE adducts were mainly characterized as structural, while in the
plasma of psoriatic patients, increased levels of 4-HNE-protein adducts with
catalytic activity were observed. CONCLUSION: The results presented herein
confirm the current knowledge about the profile of proteins responsible for the
immune response and management of vitamin D in the plasma of psoriatic patients.
However, several new proteins were also identified, which are involved in signal
transduction and lipid metabolism as well as catalytic activity. The expression
or structure of these proteins was shown to change through the course of the
development of psoriasis. This knowledge may help contribute to the design of
more specific pharmacotherapy.
PMID- 29635179
TI - Geometry-dependent DNA-TiO2 immobilization mechanism: A spectroscopic approach.
AB - DNA nucleotides are used as a molecular recognition system on electrodes modified
to be applied in the detection of various diseases, but immobilization
mechanisms, as well as, charge transfers are not satisfactorily described in the
literature. An electrochemical and spectroscopic study was carried out to
characterize the molecular groups involved in the direct immobilization of DNA
structures on the surface of nanostructured TiO2 with the aim of evaluating the
influence of the geometrical aspects. X-ray photoelectron spectroscopy at O1s and
P2p core levels indicate that immobilization of DNA samples occurs through
covalent (POTi) bonds. X-ray absorption spectra at the Ti2p edge reinforce this
conclusion. A new species at 138.5eV was reported from P2p XPS spectra analysis
which plays an important role in DNA-TiO2 immobilization. The POTi/OTi ratio
showed that quantitatively the DNA immobilization mechanism is dependent on their
geometry, becoming more efficient for plasmid ds-DNA structures than for PCR ds
DNA structures. The analysis of photoabsorption spectra at C1s edge revealed that
the molecular groups that participate in the C1s->LUMO electronic transitions
have different pathways in the charge transfer processes at the DNA-TiO2
interface. Our results may contribute to additional studies of immobilization
mechanisms understanding the influence of the geometry of different DNA molecules
on nanostructured semiconductor and possible impact to the charge transfer
processes with application in biosensors or aptamers.
PMID- 29635168
TI - 2-Benzylpiperazine: A new scaffold for potent human carbonic anhydrase
inhibitors. Synthesis, enzyme inhibition, enantioselectivity, computational and
crystallographic studies and in vivo activity for a new class of intraocular
pressure lowering agents.
AB - Two series of 2-benzylpiperazines have been prepared and tested for the
inhibition of physiologically relevant isoforms of human carbonic anhydrases
(hCA, EC 4.2.1.1). The new compounds carry on one nitrogen atom of the piperazine
ring a sulfamoylbenzamide group as zinc-binding moiety, and different
alkyl/acyl/sulfonyl groups on the other nitrogen. Regio- and stero-isomers are
described. The majority of these compounds showed Ki values in the low-medium
nanomolar range against hCA I, II and IV, but not IX. In many instances
interaction with the enzyme was enantioselective. The binding mode has been
studied by means of X-ray crystallography and molecular modelling. Two compounds,
evaluated in rabbit models of glaucoma, were able to significantly reduce
intraocular pressure, making them interesting candidates for further studies.
PMID- 29635184
TI - Diketo modification of curcumin affects its interaction with human serum albumin.
AB - Curcumin isoxazole (CI) and Curcumin pyrazole (CP), the diketo modified
derivatives of Curcumin (CU) are metabolically more stable and are being explored
for pharmacological properties. One of the requirements in such activities is
their interaction with circulatory proteins like human serum albumin (HSA). To
understand this, the interactions of CI and CP with HSA have been investigated
employing absorption and fluorescence spectroscopy and the results are compared
with that of CU. The respective binding constants of CP, CI and CU with HSA were
estimated to be 9.3*105, 8.4*105 and 2.5*105M-1, which decreased with increasing
salt concentration in the medium. The extent of decrease in the binding constant
was the highest in CP followed by CI and CU. This revealed that along with
hydrophobic interaction other binding modes like electrostatic interactions
operate between CP/CI/CU with HSA. Fluorescence quenching studies of HSA with
these compounds suggested that both static and dynamic quenching mechanisms
operate, where the contribution of static quenching is higher for CP and CI than
that for CU. From fluorescence resonance energy transfer studies, the binding
site of CU, CI and CP was found to be in domain IIA of HSA. CU was found to bind
in closer proximity with Trp214 as compared to CI and CP and the same was
responsible for efficient energy transfer and the same was also established by
fluorescence anisotropy measurements. Furthermore docking simulation complemented
the experimental observation, where both electrostatic as well as hydrophobic
interactions were indicated between HSA and CP, CI and CU. This study is useful
in designing more stable CU derivatives having suitable binding properties with
proteins like HSA.
PMID- 29635186
TI - Ultrafine particle libraries for exploring mechanisms of PM2.5-induced toxicity
in human cells.
AB - Air pollution worldwide, especially in China and India, has caused serious health
issues. Because PM2.5 particles consist of solid particles of diverse properties
with payloads of inorganic, organic and biological pollutants, it is still not
known what the major toxic components are and how these components induce
toxicities. To explore this complex issue, we apply reductionism principle and an
ultrafine particle library approach in this work. From investigation of 63
diversely functionalized ultrafine particles (FUPs) with adsorbed key pollutants,
our findings indicate that 1) only certain pollutants in the payloads of PM2.5
are responsible for causing cellular oxidative stress, cell apoptosis, and
cytotoxicity while the particle carriers are much less toxic; 2) pollutant
induced cellular oxidative stress and oxidative stress-triggered apoptosis are
identified as one of the dominant mechanisms for PM2.5-induced cytotoxicity; 3)
each specific toxic component on PM2.5 (such as As, Pb, Cr or BaP) mainly affects
its specific target organ(s) and, adding together, these pollutants may cause
synergistic or just additive effects. Our findings demonstrate that reductionism
concept and model PM2.5 particle library approach are very effective in our
endeavor to search for a better understanding of PM2.5-induced health effects.
PMID- 29635187
TI - Energy security impacts of a severe drought on the future Finnish energy system.
AB - Finland updated its Energy and Climate Strategy in late 2016 with the aim of
increasing the share of renewable energy sources, increasing energy self
sufficiency and reducing greenhouse gas emissions. Concurrently, the issue of
generation adequacy has grown more topical, especially since the record-high
demand peak in Finland in January 2016. This paper analyses the Finnish energy
system in years 2020 and 2030 by using the EnergyPLAN simulation tool to model
whether different energy policy scenarios result in a plausible generation
inadequacy. Moreover, as the Nordic energy system is so heavily dependent on
hydropower production, we model and analyse the impacts of a severe drought on
the Finnish energy system. We simulate hydropower availability according to the
weather of the worst drought of the last century (in 1939-1942) with Finnish
Environment Institute's Watershed Simulation and Forecasting System and we
analyse the indirect impacts via reduced availability of electricity imports
based on recent realised dry periods. Moreover, we analyse the environmental
impacts of hydropower production during the drought and peak demand period and
the impacts of climate change on generation adequacy in Finland. The results show
that the scenarios of the new Energy and Climate Strategy result in an improved
generation adequacy comparing to the current situation. However, a severe drought
similar to that experienced in 1940s could cause a serious energy security
threat.
PMID- 29635185
TI - Acridine-based fluorescence chemosensors for selective sensing of Fe3+ and Ni2+
ions.
AB - Two novel acridine-based fluorescence chemosensors (L1 and L2) were prepared and
their metal ions sensing properties were investigated. L1 (L2) exhibited an
excellent selective fluorescence response toward Fe3+ (Ni2+) and the
stoichiometry ratio of L1-Fe3+ and L2-Ni2+ were 1:1. The detection limits of L1
and L2 were calculated by the fluorescence titration to be 4.13MUM and 1.52MUM,
respectively, which were below the maximum permissive level of Fe3+ and Ni2+ ions
in drinking water set by the EPA. The possible mechanism of the fluorescence
detection of Fe3+ and Ni2+ had been proposed according to the analysis of Job's
plot, IR spectra and ESI-MS. The determination of Fe3+ and Ni2+ ions in living
cells had been applied successfully.
PMID- 29635188
TI - Strategies to reduce mass and photons transfer limitations in heterogeneous
photocatalytic processes: Hexavalent chromium reduction studies.
AB - The current work presents different approaches to overcome mass and photon
transfer limitations in heterogeneous photocatalytic processes applied to the
reduction of hexavalent chromium to its trivalent form in the presence of a
sacrificial agent. Two reactor designs were tested, a monolithic tubular
photoreactor (MTP) and a micro-meso-structured photoreactor (NETmix), both
presenting a high catalyst surface area per reaction liquid volume. In order to
reduce photon transfer limitations, the tubular photoreactor was packed with
transparent cellulose acetate monolithic structures (CAM) coated with the
catalyst by a dip-coating method. For the NETmix reactor, a thin film of
photocatalyst was uniformly deposited on the front glass slab (GS) or on the
network of channels and chambers imprinted in the back stainless steel slab (SSS)
using a spray system. The reaction rate for the NETmix photoreactor was evaluated
for two illumination sources, solar light or UVA-LEDs, using the NETmix with the
front glass slab or/and back stainless steel slab coated with TiO2-P25. The
reusability of the photocatalytic films on the NETmix walls was also evaluated
for three consecutive cycles using fresh Cr(VI) solutions. The catalyst
reactivity in combination with the NETmix-SSS photoreactor is almost 70 times
superior to one obtained with the MTP.
PMID- 29635193
TI - Soil microbial biomass: A key soil driver in management of ecosystem functioning.
AB - Although patterns of microbial diversity and biomass have been described and
reviewed at local and regional scales, a unifying driver, or set of environmental
drivers affecting soil microbial biomass (SMB) pattern at global level is still
missing. Biomass of soil microbial community, known as SMB is considered widely
as the index of soil fertility and ecosystem productivity. The escalating soil
stresses due to land degradation and climatic variability are directly correlated
with loss of microbial diversity and abundance or biomass dynamics. Therefore,
alleviating soil stresses on microbial communities with ecological restoration
could reduce the unpredictability and turnover rates of SMB. Thus, the key
ecological factors which stabilize the SMB and minimize its turnover, are
supposed to play an important role in the soil nutrient dynamics and productivity
of the ecosystems. Because of the existing public concern about the deleterious
impacts of ecosystem degradation, there is an increasing interest in improving
the understanding of SMB, and the way, it contributes to restoration and
functioning of ecosystems.
PMID- 29635189
TI - Simultaneous electricity production and antibiotics removal by microbial fuel
cells.
AB - The removal of antibiotics is crucial for improvement of water quality in animal
wastewater treatment. In this paper, the performance of microbial fuel cell (MFC)
in terms of degradation of typical antibiotics was investigated. Electricity was
successfully produced by using sludge supernatant mixtures and synthesized animal
wastewater as inoculation in MFC. Results demonstrated that the stable voltage,
the maximum power density and internal resistance of anaerobic self-electrolysis
(ASE) -112 and ASE-116 without antibiotics addition were 0.574 V, 5.78 W m-3 and
28.06 Omega, and 0.565 V, 5.82 W m-3 and 29.38 Omega, respectively. Moreover,
when adding aureomycin, sulfadimidine, roxithromycin and norfloxacin into the
reactors, the performance of MFC was inhibited (0.51 V-0.41 V), while the output
voltage was improved with the decreased concentration of antibiotics. However,
the removal efficiency of ammonia nitrogen (NH3-N) and total phosphorus (TP) were
both obviously enhanced. Simultaneously, LC-MS analysis showed that the removal
efficiency of aureomycin, roxithromycin and norfloxacin were all 100% and the
removal efficiency of sulfadimidine also reached 99.9%. These results indicated
that antibiotics displayed significantly inhibitions for electricity performance
but improved the quality of water simultaneously.
PMID- 29635190
TI - "It can be challenging, it can be scary, it can be gratifying": Obstetricians'
narratives of negotiating patient choice, clinical experience, and standards of
care in decision-making.
AB - This paper examines obstetricians' perceptions of standards of care and patient
centered care in clinical decision-making in childbirth. Patient-centered care
and standardization of medicine are two social movements that seek to change how
physicians make clinical decisions. Sociologists question if these limit
physician discretion and weaken their social power; the degree to which this
occurs in everyday practice is up for debate. Of additional concern is how
physicians deal with observed tensions between these ideals. These questions are
answered through in-depth interviews with 50 self-selected obstetricians from
Massachusetts, Louisiana, and Vermont collected between 2013 and 2015. Interview
data was analyzed using a grounded theory and template approach. The author
problematizes obstetricians' attitudes about standards of care and shared
decision-making, mechanisms that encourage or discourage these approaches to
decision-making, and how obstetricians negotiate tensions between patient choice,
clinical experience, and standards. The key findings are that most obstetricians
feel they have the authority to interpret the appropriateness of standards and
patient choice on a case-by-case basis. They feel empowered and/or constrained by
pressures to practice patient-centered care and standards depending upon their
style of practice and the organizational context. Following standards of care is
encouraged through organizational mechanisms such as pressure from colleagues,
malpractice threat, hospital policy, and payer restrictions. Practicing shared
decision-making is challenged when the patient wants something that violates the
physician's clinical experience and/or standards of care. When obstetricians
prioritize patient choice over experience and/or standards this is done for moral
reasons, less so because of organizational pressures. These findings have
implications for theorizing the social status of medical professionals,
understanding how physicians deal with tensions between standardized and
individualized ideals in medicine, and illuminating the way obstetricians
interpret power in the physician-patient relationship.
PMID- 29635197
TI - Conversions between natural wetlands and farmland in China: A multiscale
geospatial analysis.
AB - Agricultural activity is widely recognized as a leading driver of natural wetland
loss in many parts of the world. However, little is known about the
spatiotemporal patterns of conversion between natural wetlands and farmland in
China. This information deficiency has limited decision-making for the
sustainable management of natural wetland ecosystems. In this study, we
explicitly quantified bidirectional natural wetland-farmland conversions during
the periods of 1990-2000 and 2000-2010 at multiple spatiotemporal scales. Our
results revealed that about 60% (15,765km2) of China's lost natural wetlands were
due to agricultural encroachment for grain production, 74.7% (11,778km2) of which
occurred from 1990 to 2000. Natural wetland conversion to farmland was highest in
Northeast China (13,467km2 or 85.4%), whereas the natural wetlands in Northwest
China demand extra attention because of a notable increase of agricultural
encroachment. Natural wetlands in the humid zone experienced tremendous
agricultural encroachment, leading to a loss of 10,649km2, accounting for 67.5%
of the total agriculture-induced natural wetland loss in China. On the other
hand, a total of 1369km2 of natural wetlands were restored from farmland, with
66.3% of this restoration occurring between 2000 and 2010, primarily in Northeast
China and the humid zone. Although a series of national policies and population
pressure resulted in agricultural encroachment into natural wetlands, there are
also policies and management measures protecting and restoring natural wetlands
in China. The spatial differences in natural wetland-farmland conversions among
different geographic regions and climatic zones suggest that China must develop
place-based sustainable management policies and plans for natural wetlands. This
study provides important scientific information necessary for developing such
policies and implementation plans.
PMID- 29635191
TI - Dimensional changes of the carpal tunnel and the median nerve during manual
mobilization of the carpal bones.
AB - INTRODUCTION: The carpal tunnel is a clinically important fibro-osseous conduit
for the median nerve and associated tendons. It is mechanically dynamic, such
that the dimensions of the tunnel and median nerve change with position, movement
and application of externally applied force with mechanical devices. Therapeutic
manual techniques that appear to move and change tunnel shape are part of
clinical practice. The aim of this study was therefore to measure changes in
dimensions of the carpal tunnel and median nerve with manual mobilization of the
carpal bones. MATERIAL AND METHODS: An analytical descriptive study with 18
volunteer subjects and a total of 33 records was designed. Ultrasound
measurements of the cross-sectional area (CSA), anteroposterior diameter (APD),
transverse diameter (TD), perimeter, flattening ratio and circularity of the
carpal tunnel and of the median nerve, were measured, both in the anatomical
position of the wrist and during mobilization techniques of the carpal bones.
RESULTS: During the mobilization technique, the tunnel (p = 0.003) CSA
significantly increased. APD also increased significantly for the tunnel (<0.001)
while TD decreased. The median nerve showed similar and significant (p < 0.001)
changes than the tunnel. However, because several of the obtained differences
where smaller than the SDD obtained in a previous study, these differences were
considered as irrelevant. CONCLUSIONS: Manual mobilization of the carpal bones
produced significant changes in the dimensions of the carpal tunnel.
PMID- 29635195
TI - Driving forces and their contribution to the recent decrease in sediment flux to
ocean of major rivers in China.
AB - Understanding the mechanisms behind land-ocean sediment transport processes is
crucial, due to the resulting impacts on the sustainable management of water and
soil resources. This study investigated temporal trends and historical phases of
sediment flux delivered to the sea by nine major rivers in China, while also
quantifying the contribution of key anthropogenic and natural driving forces.
During the past six decades, sediment flux from these nine major rivers exhibited
a statistically significant negative trend, decreasing from 1.92Gtyr-1 during
1954-1968 to 1.39Gtyr-1, 0.861Gtyr-1 and 0.335Gtyr-1 during 1969-1985, 1986-1999
and 2000-2016, respectively. We used a recently developed Sediment Identity
approach and found that the sharp decrease in sediment load observed across China
was mainly (~95%) caused by a reduction in sediment concentration. Reservoir
construction exerted the strongest influence on land-ocean sediment fluxes, while
soil conservation measures represented a secondary driver. Before 1999, soil
erosion was not controlled effectively in China and reservoirs, especially large
ones, played a dominant role in reducing riverine sediments. After 1999, soil
erosion has gradually been brought under control across China, so that
conservation measures directly accounted for ~40% of the observed decrease in
riverine sediments. With intensifying human activities, it is predicted that the
total sediment flux delivered to the sea by the nine major rivers will continue
to decrease in the coming decades, although at a slower rate, resulting in severe
challenges for the sustainable management of drainage basins and river deltas.
PMID- 29635200
TI - Temporal change in the impacts of ambient temperature on preterm birth and
stillbirth: Brisbane, 1994-2013.
AB - BACKGROUND: Pregnant women are susceptible to ambient temperature which may
associate with adverse birth outcomes. These associations might change over time
due to adaptation. However, no evidence is available worldwide. OBJECTIVES: To
examine the effects of ambient temperature at three trimesters of pregnancy on
preterm birth and stillbirth, and evaluate the effect changes during 1994-2013.
METHODS: Information on birth outcomes and meteorological parameters during 1994
2013 were obtained in Brisbane, Australia. Cox proportional hazards models were
employed to evaluate the effects of mean temperature during each trimester of
pregnancy on preterm birth and stillbirth. An interaction term between time and
temperature was used to assess the potential changes in effects of temperature
over time. RESULTS: Both low and high temperatures at the 3rd trimester of
pregnancy significantly increased the risk of preterm birth, with similar hazard
ratios (HRs) [95% confidence intervals (CIs)] for low [1.21 (1.16, 1.27)] and
high [1.21 (1.16, 1.26)] temperatures in comparison with thresholds. Increased
risk of stillbirth was significantly associated with both low and high
temperatures at the 2nd trimester of pregnancy, and lower HRs were observed for
low temperature [1.23 (1.04, 1.45)] than high temperature [1.47 (1.24, 1.74)], in
comparison with thresholds. The effects of low temperature became stronger,
whereas the effects of high temperature became weaker from 1994 to 2013 for both
preterm birth and stillbirth. CONCLUSIONS: Both low and high ambient temperatures
during pregnancy increase the risks of preterm birth and stillbirth. Pregnant
women should have adapted to high temperature but not low temperature.
PMID- 29635192
TI - Enterobacter aerogenes metabolites enhance Microcystis aeruginosa biomass
recovery for sustainable bioflocculant and biohydrogen production.
AB - We report a recycling bioresource involving harvesting of Microcystis aeruginosa
using the bioflocculant (MBF-32) produced by Enterobacter aerogenes followed by
the recovery of the harvested M. aeruginosa as the main substrate for the
sustainable production of MBF-32 and biohydrogen. The experimental results
indicate that the efficiency of bioflocculation exceeded 90% under optimal
conditions. The harvested M. aeruginosa was further recycled as the main
substrate for the supply of necessary elements. The highest yield (3.6+/-0.1g/L)
of MBF-32 could be obtained from 20g/L of wet biomass of M. aeruginosa with an
additional 20g/L of glucose as the extra carbon source. The highest yield of
biohydrogen was 35mL of H2/g (dw) algal biomass, obtained from 20g/L of wet
biomass of M. aeruginosa with an additional 10g/L of glycerol. Transcriptome
analyses indicated that MBF-32 was mainly composed of polysaccharide and
tyrosine/tryptophan proteins. Furthermore, NADH synthase and polysaccharide
export-related genes were found to be up-regulated.
PMID- 29635198
TI - Fading positive effect of biochar on crop yield and soil acidity during five
growth seasons in an Indonesian Ultisol.
AB - Low fertility limits crop production on acidic soils dominating much of the humid
tropics. Biochar may be used as a soil enhancer, but little consensus exists on
its effect on crop yield. Here we use a controlled, replicated and long-term
field study in Sumatra, Indonesia, to investigate the longevity and mechanism of
the effects of two contrasting biochars (produced from rice husk and cacao shell,
and applied at dosages of 5 and 15tha-1) on maize production in a highly acidic
Ultisol (pHKCl3.6). Compared to rice husk biochar, cacao shell biochar exhibited
a higher pH (9.8 vs. 8.4), CEC (197 vs. 20cmolckg-1) and acid neutralizing
capacity (217 vs. 45cmolckg-1) and thus had a greater liming potential. Crop
yield effects of cacao shell biochar (15tha-1) were also much stronger than those
of rice husk biochar, and could be related to more favorable Ca/Al ratios in
response to cacao shell biochar (1.0 to 1.5) compared to rice husk biochar (0.3
to 0.6) and nonamended plots (0.15 to 0.6). The maize yield obtained with the
cacao shell biochar peaked in season 2, continued to have a good effect in
seasons 3-4, and faded in season 5. The yield effect of the rice husk biochar was
less pronounced and already faded from season 2 onwards. Crop yields were
correlated with the pH-related parameters Ca/Al ratio, base saturation and
exchangeable K. The positive effects of cocoa shell biochar on crop yield in this
Ultisol were at least in part related to alleviation of soil acidity. The fading
effectiveness after multiple growth seasons, possibly due to leaching of the
biochar-associated alkalinity, indicates that 15tha-1 of cocoa shell biochar
needs to be applied approximately every third season in order to maintain
positive effects on yield.
PMID- 29635201
TI - Bioelectricity production from wood hydrothermal-treatment wastewater: Enhanced
power generation in MFC-fed mixed wastewaters.
AB - Electrogenic microorganisms are the heart of microbial fuel cell (MFC) systems
that enable the conversion of waste into bioelectricity. Bacteria able to
generate current, found in various natural and anthropogenic environments, need
simple substrates such as acetate or glucose. Complex substrates are utilized by
bacterial consortia made up of strains that exhibit a wide range of enzymatic and
metabolic activity that determines the type of substrate they are able to
degrade. The characteristics of the environment that a bacterial consortium
develops in strongly affect the consortium's species composition and electrogenic
potential. This study presents the first attempt to use industrial raw wastewater
from the hydrothermal treatment of wood (WHTW) as a substrate and a source of
bacterial consortia for MFC, so that such wastewater could simultaneously be
treated and produce bioelectricity. The power generated in MFCs fed with WHTW was
enhanced remarkably from 70 to 360mW/m2 when municipal wastewater was introduced
into the reactor. An analysis of the bacterial composition of these two types of
wastewater revealed that the WHTW was dominated by the genera
Thermoanaerobacterium and Paenibacillus while in the biofilm developed in the
anode the main genera were Hydrogenophilus and Anaerobaculum. It has been shown
for the first time that highly polluted wood industry wastewater may be
effectively treated in MFC systems and the use of appropriate bacterial
consortium may result in enhancing power generation accompanying wastewater
treatment.
PMID- 29635202
TI - Effects of biochar input on the properties of soil nanoparticles and
dispersion/sedimentation of natural mineral nanoparticles in aqueous phase.
AB - Upon addition to or otherwise entering soils and waters, biochar particles
inevitably interact with natural mineral nanoparticles (NPs). We explored the
impacts of two biochars made from charring peanut shells at 300 and 600 degrees C
(P300 and P600) on the characteristics of soil NPs extracted from brown soil and
laterite soil. The dispersion or sedimentation of montmorillonite (Mon),
kaolinite (Kao), goethite (Goe), and hematite (Hem) in the aquatic phase were
investigated in the presence of P300 and P600 or their nano samples (NP300 and
NP600). P300 and P600 increased the organic C fraction in the soil NPs extracted
from brown soil, and decreased the amount of Fe-associated NPs. However, no
significant influence was observed in the organic C and mineral phases of
laterite soil NPs by P300 and P600. Goe and Hem were slightly adsorbed to P300 at
pH6.5, while Goe or Hem homoaggregates formed and settled onto P600. NP300 and
NP600 significantly reduced the dispersion of Goe and Hem in the aquatic
environment via heteroaggregation, but there was no interaction between NP300 or
NP600 and Mon or Kao. These findings are helpful for understanding the
interaction between natural minerals and biochars, and the potential fate and
ecological services of biochar-mineral complexes in soil and water.
PMID- 29635194
TI - Maternal exposure to nonylphenol during pregnancy and lactation induces
microglial cell activation and pro-inflammatory cytokine production in offspring
hippocampus.
AB - Recently, environmental nonylphenol (NP) exposure in the fetus and child has
received increasing attention because of its potentially deleterious effects on
the central nervous system (CNS). Microglia (MG), resident immune cells in the
CNS, are vital to CNS homeostasis and defense against exogenous chemicals, which
makes them a potentially sensitive target of NP. The present study aims to
explore the effects of maternal NP exposure during pregnancy and lactation on MG
in offspring hippocampus, the production of pro-inflammatory cytokines by MG, and
associated underlying mechanisms. We found that maternal NP exposure increased
the production of interleukin-1beta (IL-1beta), interleukin-6 (IL-6), and tumor
necrosis factor-alpha (TNF-alpha) in offspring hippocampus. Increases in both
activation and number of MG were observed in offspring hippocampus. Increased
phosphorylation of Akt was found to co-localize with hippocampal MG, while
increased phosphorylation of c-Jun N-terminal kinase (JNK), and p38 mitogen
activated protein kinase (MAPK) were observed in offspring hippocampus. Activator
protein 1 (AP-1), an inflammatory transcription factor, was also activated in the
hippocampus of pups subjected to maternal NP exposure. These results suggest that
maternal NP exposure might activate MG in offspring hippocampus. This activation
seems to subsequently increase the production of IL-1beta, IL-6, and TNF-alpha.
Furthermore, Akt/MAPK/AP-1 signaling may be involved in this activation of MG and
increased production of pro-inflammatory cytokines.
PMID- 29635203
TI - Pharmaceuticals and illicit drugs - A new threat to the application of sewage
sludge in agriculture.
AB - The occurrence of 93 pharmaceuticals, illicit drugs and their metabolites has
been investigated in stabilized sewage sludge from five municipal wastewater
treatment plants (WWTPs) in the Slovak Republic. The total population connected
to the tested WWTPs was approximately 600,000 p.e. which represents >20% of the
Slovak population connected to public sewer systems. The sludge production from
the five tested plants was >8100tons in 2016, which is approximately 15% of the
total Slovak sewage sludge production in 2016. The highest total concentration of
all pharmaceuticals was found in WWTP Bratislava Devinska Nova Ves (DNV) and
Senec - 11,800 and 11,300ng/g dry matter (DM), respectively. Among individual
pharmaceuticals, the highest concentrations were recorded for fexofenadine (mean
2340ng/g DM, maximum 5600ng/g DM in Bratislava DNV) and telmisartan (mean
1170ng/g DM, with a maximum of 3370ng/g DM in Senec). A principal component
analysis revealed differences between pharmaceutical patterns in aerobically and
anaerobically stabilized sludge. The worst-case scenario based on no further
degradation of pharmaceuticals between sludge production and field application
was used to predict pharmaceutical mass loads in agriculture. For the result, we
estimated an annual load to soil in the Slovak Republic of up to several hundred
kilograms of pharmaceuticals and drugs, with the maximum for fexofenadine
(120kg/year) and verapamil (29kg/year).
PMID- 29635196
TI - Presence of pharmaceuticals in fish collected from urban rivers in the U.S. EPA
2008-2009 National Rivers and Streams Assessment.
AB - Fish are good indicators of aquatic environment pollution because of their
capability to uptake pollutants contained in water. Therefore, accumulation of
pharmaceutical compounds in freshwater and marine fish and other aquatic
organisms has been studied extensively in the last decade. In this context, the
present study investigates the occurrence of pharmaceutical compounds in wild
fish from 25 polluted river sites in the USA, downstream from wastewater
treatment plants (WWTPs). Sample sites constitute a subset of urban rivers
investigated in the U.S. EPA's 2008-2009 National Rivers and Streams Assessment.
Thirteen pharmaceuticals (out of the twenty compounds analyzed) were quantified
in fish fillets at concentrations commonly below 10ngg-1, in accordance with the
findings from previous studies in the USA and Europe. The psychoactive drugs
venlafaxine, carbamazepine and its metabolite 2-hydroxy carbamazepine were the
most prevalent compounds (58%, 27% and 42%, respectively). This group of drugs is
highly prescribed and rather resistant to degradation during conventional
treatment in WWTPs as well as in natural aquatic environments. Salbutamol, a drug
used to treat asthma, and the diuretic hydrochlorothiazide were also frequently
detected (in >20% of the samples). Occurrence of six pharmaceutical families due
to chronic exposure at environmental concentrations in water was detected in
eight fish species.
PMID- 29635199
TI - Genetic polymorphisms are associated with exposure biomarkers for metals and
persistent organic pollutants among Inuit from the Inuvialuit Settlement Region,
Canada.
AB - BACKGROUND: Inuit are exposed to some of the highest levels of contaminants
worldwide. Studies suggest that several genes that mediate the metabolism of
these contaminants are polymorphic. We hypothesize that single nucleotide
polymorphisms (SNPs) in such genes may underline differences in biomarker
concentrations and/or modify exposure-biomarker associations. METHODS: Members
from the Inuvialuit Settlement Region (Canada) were recruited. Blood
concentrations of mercury (Hg), cadmium (Cd), lead (Pb),
dichlorodiphenyldichloroethylene (DDE), and polychlorinated biphenyl (PCB-153)
were measured. SNPs from pathways such as glutathione, metallothionein, oxidative
stress, and xenobiotic transport were genotyped in 281 participants, and data
from 112 SNPs were included in the analyses. Surveys were administered to obtain
information on demographics, and key sources of Hg (diet) and Cd (smoking)
exposure. ANOVA and linear regressions were used for data analyses. RESULTS:
Geometric mean concentrations of metals were 4.6MUg/L for Hg, 1.3MUg/L for Cd,
and 32.2MUg/L for Pb. Concentrations of organic pollutants were 2.0MUg/L for DDE
and 0.6MUg/L for PCB-153. Biomarker levels for Hg, Cd, Pb, DDE, and PCB-153
differed (p<0.05) by genotype for 4, 3, 4, 3, and 3 SNPs, respectively. In
multivariable analyses (for Pb, DDE, PCB-153) adjusting age, sex and body mass
index (BMI), only 2 associations (one for Pb and one for DDE) remained
significant. In multivariable analyses accounting for sources of Hg or Cd
exposure, 24 SNPs (9 for Hg, 15 for Cd with 4 overlapping) had significant
(p<0.05) main effects on biomarker levels and/or modified exposure-biomarker
associations. CONCLUSION: The findings suggest that polymorphisms in key
environmentally responsive genes can influence biomarker levels and/or modify
exposure-biomarker associations for contaminants of concern to Arctic
populations. Consideration of such gene-environment results may help improve the
ability to conduct exposure (and ultimately risk) assessments of country foods
and Inuit health.
PMID- 29635204
TI - Enhanced performance and microbial community analysis of bioelectrochemical
system integrated with bio-contact oxidation reactor for treatment of wastewater
containing azo dye.
AB - Feasibility and superiority of the bioelectrochemical system integrated with
biocontact oxidation (BES-BCO) for degradation and/or mineralization of azo dyes
have been confirmed. In this study, the effects of hydraulic retention time
(HRT), applied voltage, and dissolved oxygen (DO) concentration at the bioanode
on the performance of BES-BCO and traditional BES were investigated. Using the
response surface methodology, the optimum values of HRT, applied voltage, and DO
concentration at the bioanode of BES-BCO were investigated to obtain the maximum
decolouration and COD removal efficiency and minimum specific energy consumption
(SEC). The microbial community structure in BES-BCO was studied for analyzing the
change following the introduction of oxygen. The optimised solution was an
applied voltage of 0.59V, HRT of 12h, and DO concentration of 0.96mg/L at the
bioanode. Under such conditions, the DE, COD removal efficiency, and SEC values
were 94.62+/-0.63%, 89.12+/-0. 32%, and 687.57+/-3.86J/g, respectively. In
addition, after changing from BES to BES-BCO, the bacterial community structure
of the bioanode underwent significant changes. Several aerobic aniline-degrading
bacteria and anode-respiration bacteria (ARB) were found to dominate the
community of the anode biofilm. The results showed that the removal of azo dye
degradation by-products was closely correlated with the o-bioanode and the BCO
bacterial community structure.
PMID- 29635205
TI - Lead modulated Heme synthesis inducing oxidative stress mediated Genotoxicity in
Drosophila melanogaster.
AB - The mechanism of lead (Pb) modulated heme synthesis pathway induced oxidative
stress mediated genotoxicity using standard (ST) and high bioactivation (HB)
crosses of Drosophila melanogaster was addressed in the present study. Third
instar larvae derived from the ST or HB crosses were reared in sub lethal
concentrations of lead acetate (PbAc) treated food media and showed that Pb was
readily taken up and accumulated in the said crosses. Pb modulated heme synthesis
was evident by significant reductions of delta-aminolevulinic acid dehydratase
(delta-ALA-D) and cytochrome P450 (CYP450) and increased accumulation of delta
aminolevulinic acid (delta-ALA). The results have also demonstrated that Pb
induced oxidative stress by overproducing reactive oxygen species (ROS) and lipid
peroxidation (LPO) and depletion of the antioxidant enzymes such as superoxide
dismutase (SOD), catalase (CAT), glutathione (GSH) and glutathione-s-transferase
(GST). Wing somatic mutation and recombination test (SMART) using ST and HB
crosses revealed that Pb is mutagenic and weakly recombinogenic. By employing
larval hemocytes, there was an increase in percent of tail DNA in alkaline comet
compared to that of neutral comet revealing the DNA single strand breaks were the
products of Pb modulated heme synthesis pathway induced oxidative free radicals.
Based on these findings, it can be concluded that Pb modulated heme synthesis
pathway induces oxidative stress that mediates the genotoxicity in D.
melanogaster.
PMID- 29635210
TI - Evaluation of the Architect HIV Ag/Ab Combo Assay in a low-prevalence setting:
The role of samples with a low S/CO ratio.
AB - BACKGROUND: The Architect HIV Ag/Ab Combo Assay, a fourth-generation ELISA, has
proven to be highly reliable for the diagnosis of HIV infection. However, its
high sensitivity may lead to false-positive results. OBJECTIVES: To evaluate the
diagnostic performance of Architect in a low-prevalence population and to assess
the role of the sample-to-cutoff ratio (S/CO) in reducing the frequency of false
positive results. STUDY DESIGN: We conducted a retrospective study of samples
analyzed by Architect between January 2015 and June 2017. Positive samples were
confirmed by immunoblot (RIBA) or nucleic acid amplification tests (NAATs).
Different S/CO thresholds (1, 2.5, 10, 25, and 100) were analyzed to determine
sensitivity, specificity, and negative and positive predictive values (NPV, PPV).
ROC analysis was used to determine the optimal S/CO. RESULTS: A total of 69,471
samples were analyzed. 709 (1.02%) were positive by Architect. Of these, 63
(8.89%) were false-positive results. Most of them (93.65%) were in samples with
S/CO < 100. However, most confirmations by NAATs (12 out of 19 cases) were also
recorded for these samples. The optimal S/CO was 2.5, which provided the highest
area under the ROC curve (0.9998) and no false-negative results. With this S/CO,
sensitivity and specificity were 100.0%, and PPV and NPV were 95.8% and 100.0%,
respectively. In addition, the frequency of false-positive results decreased
significantly to 4.15%. CONCLUSIONS: Although Architect generates a relatively
high number of false-positive results, raising the S/CO limit too much to
increase specificity can lead to false-negative results, especially in newly
infected individuals.
PMID- 29635206
TI - Exploration of severities of rainfall and runoff extremes in ungauged catchments:
A case study of Lai Chi Wo in Hong Kong, China.
AB - Exploration for estimating rainfall and runoff extremes in ungauged catchments is
challenging since there are no field measurements of rainfall and streamflow for
confirming study results. This study proposed a systematic approach to tackle the
challenge, and the approach includes field survey, rainfall data collection,
frequency analysis, installation of equipment in the study area, and numerical
modeling. The approach was then applied to the Lai Chi Wo (LCW) catchment in Hong
Kong, China, in order to evaluate the severity of a rainstorm and flood event
occurred on 11 May 2014. With the collection of rainfall data from the rain
gauges near the catchment, the proxy rainfall dataset for LCW was developed.
Since the time of concentration of the catchment is about 30 to 40min, this study
derived rainfall intensity duration frequency (IDF) curves for 9 different
durations (5min, 10min, 15min, 20min, 30min, 45min, 1h, 1.5h, and 1day) and 7
different return periods (2, 3, 5, 10, 20, 50 and 100years). Further, a
hydrological model, TOPMODEL, was used to simulate streamflow process; to
calibrate the model parameters, a rain gauge was set up in the catchment and a
water level sensor was installed at a control cross-section of the LCW river in
January 2015, and the recorded rainfall and runoff data were used to calibrate
the model parameters. Using the proxy rainfall data, this study obtained the
simulated streamflow for the catchment, and then derived the streamflow peaks for
7 different return periods (2, 3, 5, 10, 20, 50 and 100years). Since the time of
concentration of the catchment is less than 1h, this study derived that the
return periods of the rainstorm on 11 May 2014 for the durations of 30min and 1h
are 2.4 and 1.9years, respectively; the return period of the daily rainfall is
9.6years. The return period of the peak flood of the event is 7.0years, and this
value is between the return periods of the rainfall for the durations of the time
of concentration and 1day. This study revealed that the severities of rainfall
and runoff extremes are not consistent but rationally related, and the 1- and 3
day antecedent rainfalls can considerably influence flood peak severity. Overall,
to achieve rational prediction of ungauged basin hydrological processes, it is
fundamental to install measurement equipment and to record rainfall and
streamflow data. Even though the period of the recorded data in the ungauged
catchment is short, the observations are necessary for evaluating the proxy data
quality, and calibrating and validating the numerical model.
PMID- 29635209
TI - A LC/MS/MS method for determination of tenofovir in human plasma and its
application to toxicity monitoring.
AB - Tenofovir disoproxil fumarate is a pro-drug of the active metabolite tenofovir
widely used against the HIV1, HIV2, and Hepatitis B virus. Several studies have
been conducted and found kidney injury associated with tenofovir exposure. High
tenofovir plasma concentration correlated with kidney injury in tenofovir-exposed
patients. The present study developed and validated a simple and cost-effective
LC/MS/MS method to determine tenofovir level in human plasma. A small plasma
volume of 80 MUl is utilized for the sample preparation. The samples were
separated by Luna C18 (100 mm * 2.0 mm, 3 MUm) using gradient elution with a
mobile phase consisting of water (containing 0.1% formic acid) and acetonitrile
(90:10, v/v). The detection was achieved through multiple reaction monitoring
using positive ionization mode on the triple quadrupole mass spectrometer with a
run time of 10 min. The monitoring transitions were set at m/z 288.0 -> 176.1 and
136.1 for tenofovir and m/z 226.1 -> 152.0 for acyclovir (as the internal
standard). This standard curve was linear from 10 to 640 ng/ml, with the lower
limit of quantification of 10 ng/ml. The inter- and intra-day precision results
were less than 12.3% and their accuracies were within the acceptable range of
84.9-113.1%. The validated method was successfully applied to the study of
tenofovir induced kidney injury in HIV-1 infected patients taking 300 mg once
daily for more than 4 weeks.
PMID- 29635207
TI - Involuntary sterilization among HIV-positive Garifuna women from Honduras seeking
asylum in the United States: Two case reports.
AB - Voluntary sterilization is one of the most widely used forms of contraception by
women worldwide; however, involuntary sterilization is considered a violation of
multiple human rights and grounds for asylum in the United States. Women have
been disproportionately affected by this practice. We report two cases of
involuntary sterilization in HIV-positive Garifuna women from Honduras who sought
asylum in America and were medically evaluated at the request of their attorneys.
Key lessons can be drawn from these cases with regard to the importance of
medical evaluations in establishing persecution. These include the need for a
detailed account of the events surrounding sterilization, radiologic proof of
tubal blockage if at all possible, and confirmation of significant and enduring
mental distress as a result of the involuntary sterilization. Immigration
attorneys and medical evaluators need to be attuned to the possibility of a
history of involuntary sterilization among at risk women seeking asylum in the
United States.
PMID- 29635211
TI - The paradox of oleuropein increase in harvested olives (Olea europea L.).
AB - Olives are non-climacteric fruit. In a previous article, oleuropein (OE)
increased substantially in fresh green olives exposed to 20 degrees C for 7 d,
but the increases were lower in preharvest treated fruit with an ethylene
synthesis inhibitor. The present aim was to investigate whether phenolic
compounds, including OE, were affected by ethylene treatment in green harvested
olives. Postharvest treatments with the ethylene perception inhibitor, 1
methylcyclopropene (1-MCP) at 1.5 MUL L-1 for 12 h, and/or ethylene at 1000 MUL L
1 at 20 degrees C for up to 10 d were applied to fruits of 'Konservolia'
cultivar. The results showed that ethylene and/or 1-MCP had similar effects on
total phenolics (TP), total antioxidant capacity (TAC) and OE and these results
are revealed for the first time in olives. Ethylene had no effect on green loss,
but 1-MCP prevented it slightly. In all treated fruit, but not in controls, TP
and TAC were increased soon after harvest and remained almost stable throughout
exposure, whereas OE increased in controls and all treated at later stages (as
confirmed by HPLC-DAD-ESI-MS) independently of degreening. The present
experiments could be applied to studies of ethylene perception and transcription
related responses in these non- climacteric fruit. In practice, harvested olives
do not lose their antioxidant capacity, but the OE elevation in short-stored
olives at ambient temperature might have an impact on olive products quality.
PMID- 29635208
TI - Metabolites profile of Gualou Xiebai Baijiu decoction (a classical traditional
Chinese medicine prescription) in rats by ultra-performance liquid chromatography
coupled with quadrupole time-of-flight tandem mass spectrometry.
AB - Gualou Xiebai Baijiu decoction (GLXB), a well-known classic traditional Chinese
medicine prescription, has been widely used to treat coronary heart diseases for
thousands of years in Eastern Asian countries due to its remarkable clinical
effect. However, due to lack of in vivo metabolism research, the chemical
components responsible for the therapeutic effects still remain unclear. In this
work, a reliable "representative structure based homologous xenobiotics
identification" (RSBHXI) strategy based on ultra-performance liquid
chromatography coupled with quadrupole time-of-flight tandem mass spectrometry
(UPLC/Q-TOF-MS) were applied to investigate the chemical components in GLXB
extracts. As a result, 133 chemical components were characterized based on
summarized fragmentation patterns, of which 41 components were confirmed
unambiguously with authentic standards. Furthermore, a total of 138 GLXB-related
xenobiotics were identified or tentatively characterized after oral
administration of GLXB extracts. Moreover, to better understand the metabolic
pathways of characteristic components in GLXB, metabolites profiles of five
steroidal saponins and two flavonoids were performed, respectively. Since the
metabolic pathways of five representative saponins had been finished in our
previous study, we focused on the in vivo metabolism of two flavonoids. A total
of 36 and 20 metabolites were detected in rat biological samples after oral
administration of luteolin-7-O-beta-D-glucopyranoside and rutin, respectively.
The results indicated that dehydration, hydrolysis, hydroxylation, methylation,
glucuronidation and sulfation were the main metabolic reactions, following the
metabolic soft spots of GLXB-related flavonoids. Taken altogether, this study
would be helpful for the further pharmacokinetics, pharmacological evaluation and
quality control of GLXB.
PMID- 29635214
TI - 3D QSAR studies, molecular docking and ADMET evaluation, using thiazolidine
derivatives as template to obtain new inhibitors of PIM1 kinase.
AB - Proviral Integration site for Moloney murine leukemia virus-1 (PIM1) belongs to
the serine/threonine kinase family of Ca2+-calmodulin-dependent protein kinase
(CAMK) group, which is involved in cell survival and proliferation as well as a
number of other signal transduction pathways. Thus, PIM1 is regarded as a
promising target for treatment of cancers. In the present paper, a three
dimensional quantitative structure activity relationship (3D-QSAR) and molecular
docking were performed to investigate the binding between PIM1 and thiazolidine
inhibitors in order to design potent inhibitors. The comparative molecular
similarity indices analysis (CoMSIA) was developed using twenty-six molecules
having pIC50 ranging from 8.854 to 6.011 (IC50 in nM). The best CoMSIA model gave
significant statistical quality. The determination coefficient (R2) and Leave-One
Out cross-validation coefficient (Q2) are 0.85 and 0.58, respectively.
Furthermore, the predictive ability of this model was evaluated by external
validation((n = 11, R2test = 0.72, and MAE = 0.170 log units). The graphical
contour maps could provide structural features to improve inhibitory activity.
Furthermore, a good consistency between contour maps and molecular docking
strongly demonstrates that the molecular modeling is reliable. Based on these
satisfactory results, we designed several new potent PIM1 inhibitors and their
inhibitory activities were predicted by the molecular models. Additionally, those
newly designed inhibitors, showed promising results in the preliminary in silico
ADMET evaluations, compared to the best inhibitor from the studied dataset. The
results expand our understanding of thiazolidines as inhibitors of PIM1 and could
be of great help in lead optimization for early drug discovery of highly potent
inhibitors.
PMID- 29635215
TI - Cannabis and joints: scientific evidence for the alleviation of osteoarthritis
pain by cannabinoids.
AB - Cannabis has been used for millennia to treat a multitude of medical conditions
including chronic pain. Osteoarthritis (OA) pain is one of the most common types
of pain and patients often turn to medical cannabis to manage their symptoms.
While the majority of these reports are anecdotal, there is a growing body of
scientific evidence which supports the analgesic potential of cannabinoids to
treat OA pain. OA pain manifests as a combination of inflammatory, nociceptive,
and neuropathic pain, each requiring modality-specific analgesics. The body's
innate endocannabinoid system (ECS) has been shown to ameliorate all of these
pain subtypes. This review summarizes the components of the ECS and details the
latest research pertaining to plant-based and man-made cannabinoids for the
treatment of OA pain. Recent pre-clinical evidence supporting a role for the ECS
to control OA pain is described as well as current clinical evidence of the
efficacy of cannabinoids for treating OA pain in mixed patient populations.
PMID- 29635213
TI - Fermentation performance optimization in an ectopic fermentation system.
AB - Ectopic fermentation systems (EFSs) were developed for wastewater treatment.
Previous studies have investigated the ability of thermophilic bacteria to
improve fermentation performance in EFS. Continuing this research, we evaluated
EFS performance using principle component analysis and investigated the addition
of different proportions of cow dung. Viable bacteria communities were clustered
and identified using BOX-AIR-based repetitive extragenic palindromic-PCR and 16S
rDNA analysis. The results revealed optimal conditions for the padding were maize
straw inoculated with thermophilic bacteria. Adding 20% cow dung yielded the best
pH values (6.94-8.56), higher temperatures, increased wastewater absorption,
improved litter quality, and greater microbial quantities. The viable bacteria
groups were enriched by the addition of thermophilic consortium, and exogenous
strains G21, G14, G4-1, and CR-15 were detected in fermentation process. The
proportion of Bacillus species in treatment groups reached 70.37% after
fermentation, demonstrating that thermophilic bacteria, especially Bacillus, have
an important role in EFS, supporting previous predictions.
PMID- 29635218
TI - Validating post-slaughter interventions to produce consistently high quality pork
cuts from female and immunocastrated male pigs.
AB - Eating quality attributes of pork loin (M. longissimus thoracis et lumborum) and
silverside (M. biceps femoris) from female and immunocastrated male carcases hung
from either the Achilles tendon or aitchbone, aged for either 2 or 7 days post
slaughter and cooked as roasts, stir fry and steak (loin only) (n = 25/gender)
was assessed. A positive control treatment of moisture infusion (10% injection
rate) was applied to Achilles hung sides with cuts aged for 2 d post-slaughter.
Neither gender nor ageing period influenced consumer sensory scores. Beneficial
effects of aitchbone hanging on eating quality compared with Achilles hanging
were largely observed after 2 d ageing, with improvements (P < 0.05) in overall
liking scores found for loin stir fry and silverside stir fry and roasts. Overall
liking scores of all cuts, except silverside stir fry, were increased (P < 0.05)
when moisture infused compared with those from aitchbone-hung sides. However,
targeted fail rates of <10% were not consistently achieved across all cuts
evaluated.
PMID- 29635216
TI - Towards circuit optogenetics.
AB - Optogenetics neuronal targeting combined with single-photon wide-field
illumination has already proved its enormous potential in neuroscience, enabling
the optical control of entire neuronal networks and disentangling their role in
the control of specific behaviors. However, establishing how a single or a sub
set of neurons controls a specific behavior, or how functionally identical
neurons are connected in a particular task, or yet how behaviors can be modified
in real-time by the complex wiring diagram of neuronal connections requires more
sophisticated approaches enabling to drive neuronal circuits activity with single
cell precision and millisecond temporal resolution. This has motivated on one
side the development of flexible optical methods for two-photon (2P) optogenetic
activation using either, or a hybrid of two approaches: scanning and parallel
illumination. On the other side, it has stimulated the engineering of new opsins
with modified spectral characteristics, channel kinetics and spatial distribution
of expression, offering the necessary flexibility of choosing the appropriate
opsin for each application. The need for optical manipulation of multiple targets
with millisecond temporal resolution has imposed three-dimension (3D) parallel
holographic illumination as the technique of choice for optical control of
neuronal circuits organized in 3D. Today 3D parallel illumination exists in
several complementary variants, each with a different degree of simplicity, light
uniformity, temporal precision and axial resolution. In parallel, the possibility
to reach hundreds of targets in 3D volumes has prompted the development of low
repetition rate amplified laser sources enabling high peak power, while keeping
low average power for stimulating each cell. All together those progresses open
the way for a precise optical manipulation of neuronal circuits with
unprecedented precision and flexibility.
PMID- 29635217
TI - Prevalence, patterns, and correlates of multiple substance use disorders among
adult primary care patients.
AB - BACKGROUND: Addressing multiple substance use disorders (SUDs) in primary care
based screening and intervention may improve SUD treatment access, engagement,
and outcomes. To inform such efforts, research is needed on the prevalence and
patterns of multiple SUDs among primary care patients. METHODS: Data were
analyzed from a sample of 2000 adult (aged >= 18) primary care patients recruited
for a multisite National Drug Abuse Treatment Clinical Trials Network (CTN) study
(CTN-0059). Past-year DSM-5 SUDs (tobacco, alcohol, and drug) were assessed by
the modified Composite International Diagnostic Interview. Prevalence and
correlates of multiple versus single SUDs were examined. Latent class analysis
(LCA) was used to explore patterns of multiple SUDs. RESULTS: Multiple SUDs were
found among the majority of participants with SUD for alcohol, cannabis,
prescription opioids, cocaine, and heroin. Participants who were male, ages 26
34, less educated, and unemployed had increased odds of multiple SUDs compared to
one SUD. Having multiple SUDs was associated with greater severity of tobacco or
alcohol use disorder. LCA of the sample identified three classes: class 1 (83.7%)
exhibited low prevalence of all SUDs; class 2 (12.0%) had high-moderate
prevalence of SUDs for tobacco, alcohol, and cannabis; class 3 (4.3%) showed high
prevalence of SUD for tobacco, opioids, and cocaine. LCA-defined classes were
distinguished by sex, age, race, education, and employment status. CONCLUSIONS:
Findings suggest that primary care physicians should be aware of multiple SUDs
when planning treatment, especially among adults who are male, younger, less
educated, or unemployed. Interventions that target multiple SUDs warrant future
investigation.
PMID- 29635219
TI - Proteomic analysis to investigate color changes of chilled beef longissimus
steaks held under carbon monoxide and high oxygen packaging.
AB - This study investigated the proteome basis for color stability variations in beef
steaks packaged under two modified atmosphere packaging (MAP) methods: HiOx-MAP
(80% O2/20% CO2) and CO-MAP (0.4% CO/30% CO2/69.6% N2) during 15 days of storage.
The color stability, pH, and sarcoplasmic proteome analysis of steaks were
evaluated on days 0, 5, 10 and 15 of storage. Proteomic results revealed that the
differential expression of the sarcoplasmic proteome during storage contributed
to the variations in meat color stability between the two MAP methods. Compared
with HiOx-MAP steaks, some glycolytic and energy metabolic enzymes important in
NADH regeneration and antioxidant processes, antioxidant peroxiredoxins
(thioredoxin-dependent peroxide reductase, peroxiredoxin-2, peroxiredoxin-6) and
protein DJ-1 were more abundant in CO-MAP steaks. The over-expression of these
proteins could induce CO-MAP steaks to maintain high levels of metmyoglobin
reducing activity and oxygen consumption rate, resulting in CO-MAP steaks
exhibiting better color stability than HiOx-MAP steaks during storage.
PMID- 29635221
TI - Changes in length of hospital stay and number of hospitalization before and after
the administration of three second-generation antipsychotics long-acting
injections in patients with schizophrenia: Mirror-image study.
PMID- 29635220
TI - Consumers' perceptions, attitudes and perceived quality of game meat in ten
European countries.
PMID- 29635212
TI - SPL7 locally regulates copper-homeostasis-related genes in Arabidopsis.
AB - In Arabidopsis, a central regulator of copper (Cu) homeostasis is the
transcription factor SQUAMOSA promoter binding protein-like7 (SPL7). Under Cu
deficiency, SPL7 induces the expression of miR398, which suppresses the
expression of the genes CSD1 and CSD2, which encode cytosolic and chloroplastic
isoforms of Cu/Zn superoxide dismutase, respectively. Consequently, the limited
Cu is preferentially assigned to plastocyanin, which is essential for
photosynthetic electron transport. Consistent with this function of miR398
related to photosynthesis, its expression is strongly induced in leaves. In this
study, however, we showed that SPL7 was transcribed mainly around the vasculature
in roots, where Cu levels were likely sensed. To test the possible long-distance
signaling of Cu availability from roots to shoots, we conducted a series of
grafting experiments using spl7 mutant and wild-type (WT) plants. Expression of
Cu-responsive microRNAs and the resulting suppression of CSD1 and CSD2 mRNAs were
observed in leaves only when the aerial part was from WT plants, in which a low
level of SPL7 was transcribed also in the vascular tissues. Although local
sensing of Cu was disturbed in the spl7 mutant, the Cu level was not affected in
the shoots. SPL7 is expressed in specific cell layers in both roots and shoots
and locally senses Cu availability, transmitting the information to surrounding
cells.
PMID- 29635222
TI - Psychiatric morbidities and risk factors of suicidal ideation among patients
attending for psychiatric services at a tertiary teaching hospital in Bangladesh.
PMID- 29635223
TI - Correlates of self-reported, autobiographical, and mini-mental status examination
defined memory deficits following electroconvulsive therapy in South India.
AB - BACKGROUND: Cognitive deficits, self-reported or found following
electroconvulsive therapy (ECT), and their correlates are diverse. Despite the
characteristics of people receiving ECT in Asia differ widely from the west,
pertinent research from Asia remains sparse. METHODS: We investigated the
correlates of self-reported, mini-mental status examination (MMSE) defined, and
autobiographical memory deficits in a cohort that received ECT in a south Indian
tertiary-care setting. 76 consecutive consenting people were recruited within
seven days of completing their ECT course. Memory was assessed by a subjective
Likert scale, MMSE, and an autobiographical memory scale (AMS). Psychopathology
was assessed by brief psychiatric rating scale, and serum cortisol levels were
estimated by chemi-luminescence immunoassays. Relevant sociodemographic and
clinical data were collected from the participants, and their medical records.
The correlates were analysed using generalised linear models after adjusting for
the effects of potential confounders. RESULTS: Self-reported, MMSE-defined, and
autobiographical memory deficits were present in 27.6% (95%CI 17.6-37.7%), 42.1%
(95%CI 31.0-53.2%), and 36.8% (95%CI 26.0-47.7%) of participants, respectively.
Agreement between the memory deficits was poor. Age, less education, duration of
illness, hypothyroidism, and past history of another ECT course were
significantly associated with MMSE-defined deficits. Age, anaemia, past ECT
course, and pre-ECT blood pressure were significantly associated with
autobiographical memory deficits, while residual psychopathology and cortisol
levels were significantly associated with self-reported memory deficits.
CONCLUSION: Self-reported, MMSE-defined, and autobiographical memory deficits are
common at the completion of ECT course, and their correlates differ. All service
users receiving ECT need periodic cognitive assessments evaluating multiple
cognitive domains.
PMID- 29635224
TI - Enabling physical activity participation for children and youth with disabilities
following a goal-directed, family-centred intervention.
AB - BACKGROUND: There is a paucity of research demonstrating the optimisation and
maintenance of participation outcomes following physical activity interventions
for children and youth with disabilities. AIM: To evaluate changes in physical
activity participation in children with disabilities following a goal-directed,
family-centred intervention at a healthsports centre, and to identify factors
influencing participation following the intervention. METHODS AND PROCEDURES: A
mixed methods pre-test post-test cohort design was applied. Recruitment occurred
over a 12 month period during standard clinical service provision. The Canadian
Occupational Performance Measure (COPM) was administered to children and parents
pre (T1) and post-intervention (T2), and at 12 weeks follow-up (T3). Goal
Attainment Scaling (GAS) was applied to assess outcomes at 12 weeks follow-up (T2
T3). Qualitative inquiry described barriers to goal attainment at T3. OUTCOMES
AND RESULTS: Ninety two children with a range of disabilities (mean age 11.1yr;
49 males) were included in the study. Statistically significant and clinically
meaningful improvements in parent ratings of COPM performance and satisfaction of
participation goals were observed following intervention. Ratings at 12 weeks
follow-up remained significantly higher than baseline, and 32% of children
attained their COPM-derived GAS goal. Environmental factors were the most
frequent barrier to goal attainment following intervention. CONCLUSION AND
IMPLICATIONS: These results provide preliminary evidence for goal-directed,
family-centred interventions to optimise physical activity participation outcomes
for children with disabilities.
PMID- 29635226
TI - The Role of Central Androgen Receptor Actions in Regulating the Hypothalamic
Pituitary-Ovarian Axis.
AB - The androgen receptor (AR) is expressed throughout the hypothalamic-pituitary
gonadal (HPG) axis, and findings from female global AR knockout mice confirm that
AR-mediated androgen actions play important roles in regulating female
reproductive function. We generated neuron-specific AR knockout mice (NeurARKO)
to investigate the functional role of neuronal AR-mediated androgen action in
regulating the female HPG axis and fertility. Relative to control females,
NeurARKO females exhibited elevated luteinizing hormone (LH) levels at diestrus
(p < 0.05) and a compromised serum LH response to ovariectomy and E2 priming (p <
0.01). Furthermore, NeurARKO females displayed reduced Kiss1 mRNA expression in
the anteroventral periventricular nucleus at diestrus (p < 0.05) and proestrus (p
< 0.05), but elevated Kiss1 (p < 0.05) and neurokinin B (Tac2, p < 0.05) mRNA
expression in the arcuate nucleus at proestrus compared to WT controls. Ovarian
follicle dynamics were also altered in NeurARKO ovaries at 3 months of age, with
a significant reduction in large antral follicle numbers at the proestrus stage
compared to control WT ovaries (p < 0.05). Increased follicular atresia was
evident in NeurARKO ovaries with a 4-fold increase in unhealthy large preantral
follicles (p < 0.01). Despite the findings of aberrant neuroendocrine and ovarian
characteristics in the NeurARKO females, estrous cyclicity and overall fertility
were comparable between NeurARKO and WT females. In conclusion, our findings
revealed that selective loss of neuronal AR actions impacts the
kisspeptin/GnRH/LH cascade leading to compromised ovarian follicle dynamics.
PMID- 29635227
TI - Focus/Summary.
PMID- 29635225
TI - Nutrition for Preterm Infants: 75 Years of History.
AB - As technology has advanced, survival rates of preterm infants have improved
dramatically. Human milk was the primary source of enteral nutrition during the
early days of neonatology, but the HIV/AIDS epidemic resulted in an increased use
of preterm formula. More recently, the benefits of human milk were rediscovered,
resulting in increased use of donor human milk as well. The awareness that human
milk does not contain the amounts of nutrients to meet the high requirements of
infants born premature resulted in the development of human milk fortifiers. The
development of these fortifiers is still ongoing, as are alternative methods of
pasteurization of donor milk. Those initiatives will increase the use of human
milk with consequently short- and long-term benefits for preterm infants.
PMID- 29635228
TI - Surgical Treatment of Spinal Deformities in Young Paraplegic Children with
Intraspinal Tumors.
AB - Bilateral vertical expandable prosthetic titanium rib (VEPTR) treatment using rib
to-pelvis constructs without touching the spine is a safe surgical technique to
correct scoliosis while still allowing further MRI or neurosurgical
interventions. In this retrospective cohort study, 4 paraplegic children with
spinal deformity after intraspinal tumors and 4 children with neuromuscular
diseases were compared. VEPTR treatment was able to considerably reduce the main
scoliotic curve in both patient groups (41 vs. 40%). However, the tumor group
constantly showed more severe curve progression over time and less favorable
pelvic obliquity control. In conclusion, bilateral VEPTR can be expected to be
less satisfying in children with tumors.
PMID- 29635229
TI - Cyclophosphamide or Rituximab Treatment of Scleritis and Uveitis for Patients
with Granulomatosis with Polyangiitis.
AB - PURPOSE: Vision-threatening ocular inflammation can be a devastating complication
of granulomatosis with polyangiitis (GPA). Here we performed a retrospective
observational study to describe the safety and efficacy of treating scleritis and
uveitis with either cyclophosphamide or rituximab in GPA. METHODS: A chart review
of patients diagnosed with GPA-associated scleritis or uveitis, treated with
either cyclophosphamide or rituximab as the final therapy at our clinic, was
conducted. A total of 1 year of follow-up visits was required for inclusion in
the study. RESULTS: Thirteen patients (19 eyes) suffering from GPA-associated
scleritis and/or uveitis were identified. As the final therapy, rituximab was
administered to 9 patients and cyclophosphamide to 4. Mean duration of follow-up
was 55 months (range 16-23 months). Remission was observed in all patients. Three
patients had a flare of scleritis after the completion of therapy, and they were
restarted on their respective agents. One patient had a flare of retinal
vasculitis during rituximab therapy. One patient on cyclophosphamide experienced
transient leukopenia. No adverse side effects of rituximab were noted throughout
the course of treatment. CONCLUSIONS: Cyclophosphamide and rituximab are safe and
effective agents for controlling scleritis and uveitis associated with GPA, with
eventual progression towards steroid-sparing remission.
PMID- 29635230
TI - Cost-Effectiveness of Intensive Short-Term Dynamic Psychotherapy Trial Therapy.
PMID- 29635231
TI - RANKL Inhibits the Production of Osteoprotegerin from Smooth Muscle Cells under
Basal Conditions and following Exposure to Cyclic Strain.
AB - Receptor activator of nuclear factor-kappaB ligand (RANKL) promotes vascular
calcification, while osteoprotegerin (OPG) opposes it by blocking RANKL activity.
It remains unclear which vascular cell populations produce and secrete OPG/RANKL.
This study characterizes the production of OPG/RANKL from human aortic
endothelial and smooth muscle cells (HAECs and HASMCs) under various conditions.
HAECs and HASMCs were exposed to inflammatory stimuli (tumor necrosis factor
alpha or hyperglycemia) or physiological levels of hemodynamic (cyclic) strain.
After 72 h, both cells and supernatant media were harvested for assessment of
OPG/RANKL production. Based on initial findings, the experiments involving HASMCs
were then repeated in the presence of exogenous RANKL. OPG was produced and
secreted by HASMCs and (to a considerably lesser degree) HAECs under basal
conditions. Inflammatory stimuli upregulated OPG production in both cell
populations. Cyclic strain significantly upregulated OPG production in HASMCs.
Neither cell population produced RANKL. Exposing HASMCs to exogenous RANKL
inhibited basal OPG production and completely abrogated the strain-mediated
upregulation of OPG. These data suggest that HASMCs are a significant source of
OPG within the vasculature but that RANKL, once present, downregulates this
production and appears capable of preventing the "protective" upregulation of OPG
seen with HASMCs exposed to physiological levels of cyclic strain.
PMID- 29635233
TI - The Double Burden of Malnutrition in Countries Passing through the Economic
Transition.
AB - Undernutrition in both its acute and chronic forms (wasting and stunting) is
strongly inversely correlated with the wealth of nations. Consequently, as many
low- and middle-income countries (LMICs) achieve economic advancement and pass
through the so-called "nutrition transition," their rates of undernutrition
decline. Many countries successfully achieved the Millennium Development Goal of
halving undernutrition and whole continents have been transformed in recent
decades. The exception is Africa where the slower rates of decline in the
prevalence of undernutrition has been overtaken by population growth so that the
absolute number of stunted children is rising. In many regions, economic
transition is causing a rapid increase in the number of overweight and obese
people. The rapidity of this rise is such that many nations bear the simultaneous
burdens of under- and overnutrition; termed the "double burden" of malnutrition.
This double burden, accompanied as it is by the unfinished agenda of high levels
of infectious diseases, is crippling the health systems of many LMICs and thus
requires urgent attention. Although the prognosis looks threatening for many poor
countries, they have the advantage of being able to learn from the mistakes made
by other nations that have passed through the transition before them. Concerted
action across many arms of government would achieve huge future dividends in
health and wealth for any nations that can grasp the challenge.
PMID- 29635232
TI - New Innovative Approaches for Difficult Retained Intraocular Foreign Bodies.
AB - PURPOSE: To describe innovative ways of removal of complicated difficult large
retained intraocular foreign bodies (RIOFBs). SETTINGS: Both cases were treated
at Disha Eye Hospitals, Kolkata, a tertiary eye hospital in eastern India.
METHODS: Innovative approaches were taken to remove 2 difficult RIOFBs. In the
first case, a large RIOFB was just beyond the posterior exit wound, trapped in
the sclera. A 23-G MVR blade was used to create multiple radial releasing scleral
nicks and bimanually moving the RIOFB into the vitreous cavity using a bent-tip
24G needle and magnet, and the RIOFB was removed through the limbal section. In
the second case, a 21-mm long thick nail was deeply embedded in the superior
sclera with partial localised retinal detachment with its bulbous end just behind
the clear lens. A lasso technique was used to remove the RIOFB without lens
touch. RESULTS: In the first case, the patient finally achieved logMAR 0.2 vision
after silicone oil removal and glued scleral fixation of the intraocular lens. In
the second case, the patient finally achieved logMAR 0.3 vision after silicone
oil removal and phacoemulsification with intraocular lens implantation.
CONCLUSION: Each case of RIOFB is unique and challenging. Here, innovative
techniques are helpful to rescue these difficult RIOFB situations, which can
result in excellent outcomes.
PMID- 29635234
TI - Does Dietary Provision of Guanidinoacetic Acid Induce Global DNA Hypomethylation
in Healthy Men and Women?
AB - BACKGROUND/AIMS: Guanidinoacetic acid (GAA) is an experimental dietary additive
and has been reported to induce methyl depletion when provided by the diet.
However, no study evaluated whether supplemental GAA affects DNA methylation, a
critical epigenetic process for genome regulation. METHODS: In this open-label,
repeated-measure interventional trial, we evaluated the impact of 12 weeks of GAA
supplementation on global DNA methylation in 14 healthy participants (8 women and
6 men, age 22.2 +/- 2.3 years, body mass index 24.8 +/- 5.7). RESULTS: Dietary
provision of GAA had no effect on global DNA methylation, with 5-methylcytosine
(m5C) nonsignificantly increased by 13.4% at postadministration when averaged
across participants (95% confidence interval -5.5 to 32.3; p = 0.26). Notable DNA
hypomethylation (corresponding to a 5% drop in m5C) was found in 3 of 14
participants at follow-up. CONCLUSION: Global DNA methylation seems to be
unaltered by dietary provision of 3 g of GAA per day for 12 weeks in healthy men
and women.
PMID- 29635235
TI - Cranial Irradiation Induces Hypothalamic Injury and Late-Onset Metabolic
Disturbances in Juvenile Female Rats.
AB - Cranial radiotherapy is one of the most effective tools for treating children
with brain tumors. However, radiotherapy-induced late-onset side effects have a
significant impact on patients' quality of life. The purpose of this study was to
investigate the effects of irradiation on metabolism and the possible molecular
and cellular mechanisms behind such effects. Female Wistar rats were subjected to
a single dose of 6-Gy whole-brain irradiation on postnatal day 11. The animals
were sacrificed 6 h or 20 weeks after irradiation. Cell death and proliferation,
microglial activation, and inflammation were analyzed and RNA sequencing was
performed. We found that irradiation led to a significantly increased body weight
from 15 weeks (p < 0.05) along with white adipose tissue accumulation and
adipocyte hypertrophy at 20 weeks, and these changes were accompanied by glucose
and lipid metabolic disturbances as indicated by reduced glucose tolerance,
increased insulin resistance, increased serum triglycerides, and an increased
leptin/adiponectin ratio. Furthermore, irradiation induced cell death, microglial
activation, inflammation, and persistent astrocyte reactivity in the
hypothalamus. Hypothalamic transcriptome analysis showed that 865 genes were
downregulated and 290 genes were upregulated in the irradiated group 20 weeks
after irradiation, and further pathway analysis showed that the insulin
resistance-related PI3K-Akt signaling pathway and the energy expenditure-related
adipocytokine signaling pathway were downregulated. Gene Ontology enrichment
analysis showed that the expression of fatty acid metabolism-related proteins and
effector proteins was significantly different in the irradiation group. This
study demonstrates that ionizing radiation to the juvenile female brain induces
hypothalamic damage that is likely to be associated with delayed metabolic
abnormalities, and this critical vulnerability of the hypothalamus to irradiation
should be taken into consideration in the development of future protective
strategies for radiotherapy.
PMID- 29635236
TI - A Preliminary Probe of Personality Predicting Psychotherapy Outcomes:
Perspectives from Therapists and Their Clients.
AB - BACKGROUND: It is widely established that personality disorder has as broad
negative impact on psychotherapy outcomes. Given the increased emphasis on
dimensional traits for personality pathology in the DSM-5 and the proposal for
the ICD-11, it is important to understand how traits are linked to treatment
outcomes. Building on past research with general traits, we hypothesized that
more nuanced and specific relations would be apparent. Furthermore, much of the
past research has relied upon self-reports of personality and little is known
about how ratings from therapists might be related to outcomes. SAMPLING AND
METHODS: The present paper examined how dimensional traits from the Five-Factor
Model predicted outcomes in a case series of 54 therapist-client dyads within a
doctoral training clinic. Importantly, this extends past research as dimensional
traits were rated by both therapists and clients at intake as well as
sequentially over the course of therapy. RESULTS: Correlations and regression
analyses indicated that traits predicted a variety of outcomes including initial
engagement in treatment as well as overall symptom reduction across therapy.
Specifically, preliminary evidence suggests that therapist-rated
conscientiousness at intake was positively related to clients' early engagement
in therapy. In addition, openness to experience after the 4th session -
particularly as rated by the client - was predictive of long-term therapy
outcomes. CONCLUSIONS: Broadly, these results provided preliminary information
about the promise of dimensional models for improving the clinical utility of
personality disorder diagnoses. More specifically, these results reinforced the
relevance of personality assessment during therapy and indicated the potential
predictive value of ratings by therapists and their clients.
PMID- 29635237
TI - Maternal Serum Interleukin-6: A Non-Invasive Predictor of Histological
Chorioamnionitis in Women with Preterm-Prelabor Rupture of Membranes.
AB - OBJECTIVE: To assess the added value of maternal serum levels of IL-6 in women
with preterm-prelabor rupture of membranes (PPROM) as a non-invasive test for the
prediction of histological chorioamnionitis (HCA). METHODS: This was a
prospective cohort study of pregnant women between 20 + 0 and 36 + 6 weeks of
gestation with a confirmed diagnosis of PPROM. Logistic regression models were
created for the prediction of HCA and compared by assessing the improvement in
their Naegelkerke R2 as a measure of goodness of fit. Predictive performance of
all models was assessed by receiver operating characteristics curve (ROC)
analysis and compared by the DeLong method. RESULTS: From 47 women with PPROM, 31
(66%) developed HCA. Maternal serum IL-6 >=19.5 pg/dL was the best cut-off point
for the prediction of HCA (OR = 15; 95% CI: 3.6-61; p < 0.01). A model comprising
maternal characteristics and IL-6 >=19.5 pg/dL showed an area under the curve of
0.85 (95% CI: 0.74-0.95), significantly improving the previous models of IL-6
>=19.5 pg/dL (R2: 23.3 vs. 34.1%; p = 0.01) or maternal characteristics (R2: 8.4
vs. 34.1%; p < 0.01). CONCLUSIONS: A model comprising maternal serum levels of IL
6 plus maternal characteristics proves to be a good non-invasive predictor of
HCA.
PMID- 29635238
TI - Healthy Lifestyle: A Virtue in Search of a Vision.
PMID- 29635239
TI - Cervical Myelopathy Caused by Invaginated Laminae of the Axis into the Spinal
Canal in a Pediatric Patient.
AB - Cervical myelopathy caused by invaginated laminae of the axis is an extremely
rare entity. Advanced imaging techniques are useful to define this rare pathology
and site of the spinal canal compression. Surgical removal of the floating
laminae is commonly an adequate treatment method. Fusion procedures should be
added in the presence of instability. There are few cases of invaginated
anomalous laminae of the axis in the literature. In this report, to the best of
our knowledge having reviewed the literature, the youngest and first female
patient is presented.
PMID- 29635240
TI - Heterogeneity in Lung Cancer.
AB - Lung cancer diagnosis is a challenge since it is also one of the most frequently
diagnosed cancers. Diagnostic challenges are deeply related to the development of
personalized therapy and molecular and precise histological characterizations of
lung cancer. When addressing these features, it is very important to acknowledge
the issue of tumour heterogeneity, as it imposes several questions. First of all,
lung cancer is a very heterogeneous disease, at a cellular and histological
level. Cellular and histological heterogeneity are addressed with emphasis on the
diagnosis, pre-neoplastic lesions, and cell origin, trying to contribute to a
better knowledge of carcinogenesis. Molecular intra-tumour and inter-tumour
heterogeneity are also addressed as temporal heterogeneity. Lung cancer
heterogeneity has implications in pathogenesis understanding, diagnosis,
selection of tissue for molecular diagnosis, as well as therapeutic decision. The
understanding of tumour heterogeneity is crucial and we must be aware of the
implications and future developments regarding this field.
PMID- 29635241
TI - Focus/Summary.
PMID- 29635242
TI - Pulmonary Catherization Data Correlate Poorly with Renal Function in Heart
Failure.
AB - BACKGROUND: The mechanisms of renal dysfunction in heart failure are poorly
understood. We chose to explore the relationship of cardiac filling pressures and
cardiac index (CI) in relation to renal dysfunction in advanced heart failure.
OBJECTIVES: To determine the relationship between renal function and cardiac
filling pressures using the United Network of Organ Sharing (UNOS) pulmonary
artery catherization registry. METHODS: Patients over the age of 18 years who
were listed for single-organ heart transplantation were included. Exclusion
criteria included a history of mechanical circulatory support, previous
transplantation, any use of renal replacement therapy, prior history of
malignancy, and cardiac surgery, amongst others. Correlations between serum
creatinine (SCr) and CI, pulmonary capillary wedge pressure (PCWP), pulmonary
artery systolic pressure (PASP), and pulmonary artery diastolic pressure (PADP)
were assessed by Pearson correlation coefficients and simple linear regression
coefficients. RESULTS: Pearson correlation coefficients between SCr and PCWP,
PASP, and PADP were near zero with values of 0.1, 0.07, and 0.08, respectively (p
< 0.0001). A weak negative correlation coefficient between SCr and CI was found
(correlation coefficient, -0.045, p = 0.027). In a subgroup of young patients
unlikely to have noncardiac etiologies, no significant correlations between these
values were identified. CONCLUSION: These findings suggest that, as assessed by
pulmonary artery catherization, none of the factors - PCWP, PASP, PADP, or CI -
play a prominent role in cardiorenal syndromes.
PMID- 29635243
TI - A Novel SCN5A Variant Associated with Abnormal Repolarization, Atrial
Fibrillation, and Reversible Cardiomyopathy.
AB - A variety of life-threating arrhythmias are caused by mutations in the cardiac
voltage-gated sodium channel encoded by the SCN5A gene. In this study, we report
a novel loss-of-function SCN5A variant, p.Ile1343Val (c.4027A>G), identified in a
42-year-old proband who presented with an unusual ECG with abnormal
repolarization with biphasic T-waves in anteroseptal leads, persistent atrial
fibrillation (AF), intermittent left bundle branch block (LBBB), and reversible
cardiomyopathy. The patient did not meet the diagnostic criteria for Brugada
syndrome, long QT syndrome, or any other known SCN5A-associated phenotype.
Characterization of the biophysical properties of the variant by in vitro patch
clamp experiments revealed a reduced Na+ current with no effect on the
inactivation kinetics of the channel. This loss-of-function of Na+ current could
explain the intermittent LBBB as well as the AF. In conclusion, we describe a
unique combination of electrical and structural abnormalities associated with a
novel SCN5A variant. Our findings broaden the spectrum of cardiac phenotypes
associated with SCN5A channelopathy, underlining the complex clinical
manifestations of genetic variations within this gene.
PMID- 29635244
TI - Autophagy Facilitates Metadherin-Induced Chemotherapy Resistance Through the
AMPK/ATG5 Pathway in Gastric Cancer.
AB - BACKGROUND/AIMS: Metadherin (MTDH) is overexpressed in some malignancies and
enhances drug resistance; however, its role in gastric cancer (GC) and the
underlying mechanisms remain largely unexplored. Here, we explore the mechanism
by which MTDH induces drug resistance in GC. METHODS: We analysed the level of
MTDH in GC and adjacent normal gastric mucosal tissues by real-time quantitative
PCR (q-PCR). We also analysed the level of autophagy by western blot analysis,
confocal microscopy, and transmission electron microscopy after MTDH knockdown
and overexpression, and examined fluorouracil (5-FU) resistance by Cell Counting
Kit-8 at the same time. Finally, GC patient-derived xenograft tumours were used
to demonstrate 5-FU resistance. An AMPK pathway inhibitor was applied to
determine the molecular mechanisms of autophagy. RESULTS: MTDH expression was
significantly increased in the GC specimens compared with that in the adjacent
normal gastric mucosal tissues. Further study showed a positive correlation
between the expression level of MTDH and 5-FU resistance. MTDH overexpression in
MKN45 cells increased the levels of P-glycoprotein (P-gp) and promoted 5-FU
resistance, while inhibition of MTDH showed the opposite result. The simultaneous
inhibition of autophagy and overexpression of MTDH decreased the levels of P-gp
and inhibited 5-FU resistance. Moreover, MTDH induced AMPK phosphorylation,
regulated ATG5 expression, and finally influenced autophagy, suggesting that MTDH
may activate autophagy via the AMPK/ATG5 signalling pathway. Our findings reveal
a unique mechanism by which MTDH promotes GC chemoresistance and show that MTDH
is a potential target for improved chemotherapeutic sensitivity and GC patient
survival. CONCLUSIONS: MTDH-stimulated cancer resistance to 5-FU may be mediated
through autophagy activated by the AMPK/ATG5 pathway in GC.
PMID- 29635245
TI - Downregulation and Aberrant Localization of Forkhead Box J1 in Allergic Nasal
Mucosa.
AB - BACKGROUND: Forkhead box J1 (FOXJ1) plays pivotal roles in motile cilia
formation. However, it remains unclear whether abnormal expression or
localization of FOXJ1 in nasal mucosa tissues is associated with allergic
rhinitis (AR), in which impaired mucociliary clearance is implicated. OBJECTIVE:
We sought to investigate the expression and localization of FOXJ1 in inferior
turbinate from patients with AR and controls. METHODS: We assayed mRNA levels of
FOXJ1, DNAI1, DNALI1, and DNAH9 by using whole-genome expression array and
quantitative real-time polymerase chain reaction. We elucidated the localization
of FOXJ1 by using immunofluorescence assays in paraffin sections and primary
single cells. Four patterns of FOXJ1 localization (normal, N; intermediate, I;
mislocalization, M; absence, A) were defined. We developed a semiquantitative
scoring system to elucidate their localization in 5 areas per paraffin section,
with individual sections being assigned a score between 0 and 2. RESULTS: The
mRNA levels of FOXJ1, DNAI1, DNALI1, and DNAH9 were significantly reduced in
patients with AR compared with controls (all p < 0.05). The median (1st and 3rd
quartile) of the FOXJ1 score was 0.4 (0.0 and 0.85) in patients with AR, and 0.2
(0.0 and 0.4) in controls (p < 0.05). For primary cytospin samples, the mean
percentages of FOXJ1 localization patterns N, I, M, and A were 46.7, 10.0, 30.0,
and 26.7% in patients with AR, and 82.5, 5.0, 5.0, and 7.5% in controls,
respectively (p < 0.05). CONCLUSION: Downregulation and aberrant localization of
FOXJ1 may be crucial characteristics of the allergic nasal mucosa.
PMID- 29635246
TI - Endocranial Development in the Coyote (Canis latrans) and Gray Wolf (Canis
lupus): A Computed Tomographic Study.
AB - The purpose of this study was to examine the pattern of postnatal brain growth in
two wild canid species: the coyote (Canis latrans) and gray wolf (Canis lupus).
Adult regional and total brain volume differences were also compared between the
two species as well as within each species by sex. Three-dimensional virtual
endocasts of endocranial airspace were created from computed tomography scans of
52 coyote skulls (28 female, 24 male; 1 day to 13.4 years) and 46 gray wolf
skulls (25 female, 21 male; 1 day to 7.9 years). Age was known in coyotes or
estimated from dentition patterns in wolves. The 95% asymptotic growth of the
endocranium is completed by 21 weeks in male and 17.5 weeks in female coyotes and
by 27 weeks in male and 18.5 weeks in female wolves. These ages are well before
age at first reproduction (coyote - 40.4 weeks; wolf - 91.25 weeks). Skull growth
as measured by centroid size lags behind endocranial growth but is also completed
before sexual maturity. Intra- and interspecific comparisons of brain volumes in
the adult wolves and coyotes revealed that relative anterior cerebrum (AC) volume
was greater in males than females in both species. Relative brain size was
greater in the coyote than in the wolf as was relative cerebrum volume. However,
relative AC volume and relative cerebellum and brainstem volume was greater in
the wolf than coyote. One explanation for the increased AC volume in males
compared to females may be related to the role of social information processing.
However, additional data are needed to determine the correspondence between
regional volumes and functional differences either between or within these
species. Nonetheless, these findings provide important baseline data for further
studies on wild canid brain variations and development.
PMID- 29635248
TI - Chromosomal Mapping of Repeat DNA in Bergiaria westermanni (Pimelodidae,
Siluriformes): Localization of 45S rDNA in B Chromosomes.
AB - The occurrence of repetitive DNA in autosomes and B chromosomes of Bergiaria
westermanni was examined using conventional and molecular cytogenetic techniques.
This species exhibited 2n = 56 chromosomes, with intra- and interindividual
variation in the number of heterochromatic B chromosomes (from 0 to 4). The 5S
rDNA was localized in pairs 1 and 5, and histone probes (H1, H3, and H4) and U2
small nuclear RNA were syntenic with 5S rDNA in pair 5. Histone sequences were
also located in chromosome pair 14. The (GATA)n sequence was dispersed throughout
the autosomes and B chromosomes, with clusters (microsatellite accumulation) in
some chromosome regions. The telomeric probe revealed no signs of chromosomal
rearrangements in the genome of B. westermanni. The 45S rDNA sites were detected
in the terminal region of pair 27; these sites corresponded to a GC-rich
heterochromatin block. In addition, 3 of the 4 B chromosomes also contained 45S
rDNA copies. Silver nitrate staining in interphase nuclei provided indirect
evidence of the expression of these rRNA genes in B chromosomes, indicating the
probable origin of these elements. This report shows plasticity in the
chromosomal localization of repeat DNA in B. westermanni and features a
discussion of genomic diversification.
PMID- 29635247
TI - Efficacy and Survival Outcome Associated with the Use of Novel Agents and
Autologous Stem Cell Transplantation in Cases of Immunoglobulin D Multiple
Myeloma in Korea.
AB - BACKGROUND: Immunoglobulin D multiple myeloma (IgD MM) is characterized by a poor
prognosis. Data are lacking on the survival benefits associated with the use of
novel agents followed by autologous stem cell transplantation (ASCT) in IgD MM
patients. We evaluated the clinical outcomes of induction treatment with novel
agents followed by ASCT. METHODS: This was a single-center, retrospective study
of 22 IgD MM patients who underwent ASCT between 1995 and 2016. Of these, 10
(45.4%) received novel agents and 12 (54.6%) received nonnovel agents. Clinical
features and survival outcomes were examined. RESULTS: Median overall survival
(OS) was 37.7 months in the 22 patients. Those in the novel-agents group received
bortezomib or thalidomide-based regimens, whereas 91.7% of the nonnovel-agents
group received a vincristine-based regimen. The median progression-free survival
and OS in the novel-agent/nonnovel-agent groups were 8.3/7.4 and 38.6/12.5
months, respectively. The median OS of patients receiving maintenance therapy was
not reached. CONCLUSION: This study showed improved survival outcomes compared to
our previous study (37.7 vs. 12 months), suggesting that the use of a novel agent
as induction and maintenance therapy may be beneficial in patients with IgD MM
who undergo ASCT.
PMID- 29635249
TI - Alterations in Heterochromatic Knobs in Maize Callus Culture by Breakage-Fusion
Bridge Cycle and Unequal Crossing Over.
AB - The meiotic and mitotic behavior of regenerated plants derived from a long-term
callus culture, designated 12-F, was analyzed. This culture was heterozygous for
an amplification of the heterochromatic knob on the long arm of chromosome 7
(K7L). We aimed to investigate if the amplification resulted from a breakage
fusion-bridge (BFB) cycle or from unequal sister chromatid recombination.
Therefore, C-banded mitotic metaphases and pachytene, diakinesis, and anaphase I
of regenerated plants were analyzed. Additionally, the occurrence of alterations
in K7L was investigated in C-banded metaphases from short-term callus cultures
derived from lines related to the donor genotype of the 12-F culture. As a
result, plants homozygous and heterozygous for the amplification were detected.
Meiosis was normal with few abnormalities, such as a low frequency of univalents
at diakinesis. In the callus cultures a chromosome 7 with knobs of different
sizes in the sister chromatids was detected and interpreted as a result of
unequal crossing over. Other chromosomal alterations were consistent with the
occurrence of BFB cycles. The finding of unequal crossing over in the cultures
supports the conclusion that the amplification in the culture 12-F would be
derived from this mechanism. If the amplification was derived from a BFB cycle,
the terminal euchromatic segment between knob and the telomere would be deleted,
and possibly, homozygous plants would not be viable.
PMID- 29635250
TI - A Systematic Review of Genetic Testing and Lifestyle Behaviour Change: Are We
Using High-Quality Genetic Interventions and Considering Behaviour Change Theory?
AB - BACKGROUND: Studying the impact of genetic testing interventions on lifestyle
behaviour change has been a priority area of research in recent years.
Substantial heterogeneity exists in the results and conclusions of this
literature, which has yet to be explained using validated behaviour change theory
and an assessment of the quality of genetic interventions. The theory of planned
behaviour (TPB) helps to explain key contributors to behaviour change. It has
been hypothesized that personalization could be added to this theory to help
predict changes in health behaviours. PURPOSE: This systematic review provides a
detailed, comprehensive identification, assessment, and summary of primary
research articles pertaining to lifestyle behaviour change (nutrition, physical
activity, sleep, and smoking) resulting from genetic testing interventions. The
present review further aims to provide in-depth analyses of studies conducted to
date within the context of the TPB and the quality of genetic interventions
provided to participants while aiming to determine whether or not genetic testing
facilitates changes in lifestyle habits. This review is timely in light of a
recently published "call-to-action" paper, highlighting the need to incorporate
the TPB into personalized healthcare behaviour change research. METHODS: Three
bibliographic databases, one key website, and article reference lists were
searched for relevant primary research articles. The PRISMA Flow Diagram and
PRISMA Checklist were used to guide the search strategy and manuscript
preparation. Out of 32,783 titles retrieved, 26 studies met the inclusion
criteria. Three quality assessments were conducted and included: (1) risk of
bias, (2) quality of genetic interventions, and (3) consideration of theoretical
underpinnings - primarily the TPB. RESULTS: Risk of bias in studies was overall
rated to be "fair." Consideration of the TPB was "poor," with no study making
reference to this validated theory. While some studies (n = 11; 42%) made
reference to other behaviour change theories, these theories were generally
mentioned briefly, and were not thoroughly incorporated into the study design or
analyses. The genetic interventions provided to participants were overall of
"poor" quality. However, a separate analysis of studies using controlled
intervention research methods demonstrated the use of higher-quality genetic
interventions (overall rated to be "fair"). The provision of actionable
recommendations informed by genetic testing was more likely to facilitate
behaviour change than the provision of genetic information without actionable
lifestyle recommendations. Several studies of good quality demonstrated changes
in lifestyle habits arising from the provision of genetic interventions. The most
promising lifestyle changes were changes in nutrition. CONCLUSIONS: It is
possible to facilitate behaviour change using genetic testing as the catalyst.
Future research should ensure that high-quality genetic interventions are
provided to participants, and should consider validated theories such as the TPB
in their study design and analyses. Further recommendations for future research
are provided.
PMID- 29635251
TI - Clinical, Diagnostic, and Treatment Disparities between HIV-Infected and Non-HIV
Infected Immunocompromised Patients with Pneumocystis jirovecii Pneumonia.
AB - The substantial decline in the Pneumocystis jirovecii pneumonia (PCP) incidence
in HIV-infected patients after the introduction of antiretroviral therapy (ART)
in resource-rich settings and the growing number of non-HIV-infected
immunocompromised patients at risk leads to considerable epidemiologic changes
with clinical, diagnostic, and treatment consequences for physicians. HIV
infected patients usually develop a subacute course of disease, while non-HIV
infected immunocompromised patients are characterized by a rapid disease
progression with higher risk of respiratory failure and higher mortality. The
main symptoms usually include exertional dyspnea, dry cough, and subfebrile
temperature or fever. Lactate dehydrogenase may be elevated. Typical findings on
computed tomography scans of the chest are bilateral ground-glass opacities with
or without cystic lesions, which are usually associated with the presence of
AIDS. Empiric treatment should be initiated as soon as PCP is suspected.
Bronchoalveolar lavage has a higher diagnostic yield compared to induced sputum.
Immunofluorescence is superior to conventional staining. A combination of
different diagnostic tests such as microscopy, polymerase chain reaction, and
(1,3)-beta-D-glucan is recommended. Trimeth-oprim/sulfamethoxazole for 21 days is
the treatment of choice in adults and children. Alternative treatment regimens
include dapsone with trimethoprim, clindamycin with primaquine, atovaquone, or
pentamidine. Patients with moderate to severe disease should receive adjunctive
corticosteroids. In newly diagnosed HIV-infected patients with PCP, ART should be
initiated as soon as possible. In non-HIV-infected immunocompromised patients,
improvement of the immune status should be discussed (e.g., temporary reduction
of immunosuppressive agents). PCP prophylaxis is effective and depends on the
immune status of the patient and the underlying immunocompromising disease.
PMID- 29635252
TI - Study of the Association of PEAR1, P2Y12, and UGT2A1 Polymorphisms with Platelet
Reactivity in Response to Dual Antiplatelet Therapy in Chinese Patients.
AB - OBJECTIVES: Genetic variation is thought to contribute to considerable
interindividual variability in platelet function, and there is a pressing need to
identify genetic markers that can be used to predict the response to treatment.
Our study investigated whether PEAR1, P2Y12, and UGT2A1 polymorphisms were
associated with platelet reactivity in response to dual antiplatelet therapy in
Chinese patients with acute coronary syndrome. METHODS: Patients with inhibition
of platelet aggregation (IPA) < 30% after treatment were classified as the high
platelet reactivity (HPR) group. Patients with IPA > 30% were classified as the
normal platelet reactivity (NPR) group. ADP-induced platelet aggregation was
measured by thromboelastography (TEG) platelet-mapping assay. Thirteen single
nucleotide polymorphisms (SNPs) of PEAR1, P2Y12 and UGT2A1 were genotyped using
the Mass-ARRAY platform. RESULTS: Seven SNPs were significantly associated with
ADP-induced platelet aggregation by univariate analysis. Major allele G at
rs12041331, minor allele G at rs2644592, minor allele C at rs11264580, and minor
allele C at rs11249454 were significantly associated with HPR, whereas minor
allele T at rs57731889, minor allele A at rs16863356, and minor allele T at
rs7634096 were significantly associated with NPR. The mean IPA was significantly
lower in patients suffering recurrent ischemic events than in patients without
recurrent events in our study (p = 0.048). CONCLUSIONS: Our findings suggest that
PEAR1, P2Y12, and UGT2A1 genetic variants may be potential biomarkers that can be
used to guide clinical applications of clopidogrel and aspirin in Chinese
patients.
PMID- 29635253
TI - Prophylactic Chorioretinectomy in Open Ocular Trauma: A Series of 36 Eyes.
AB - PURPOSE: The aim of this paper was to report the outcomes of prophylactic
chorioretinectomy in open-globe injuries where a foreign body penetrated the
choroid or perforated the globe. METHODS: We conducted a retrospective,
consecutive, noncomparative, and descriptive study of patients registered in the
ocular trauma database between January 2006 and December 2014, who underwent
vitrectomy with chorioretinectomy. RESULTS: Thirty-six patients (33 male, 3
female) with a mean age of 40 years and a median of follow-up of 13 months were
included. Twenty-one cases had penetrating globe injuries with an intraocular
foreign body and 15 cases had perforating globe injuries. A concomitant
chorioretinectomy was performed in all eyes, although it was only partial in 8
eyes. At the end of follow-up, proliferative vitreoretinopathy (PVR) rates were
6.5%, anatomical success was 80.6%, and the globe survival rate was 96.8%.
CONCLUSION: Prophylactic chorioretinectomy is a surgical procedure that may
decrease posttraumatic PVR, thus improving final visual acuity and increasing
globe survival rates.
PMID- 29635255
TI - Central Blood Pressure Parameters Correlate with Cardiac Structure and Function
in Healthy Chinese Individuals without Cardiovascular Disease.
AB - PURPOSE: To explore the relationship between central blood pressure (BP)
parameters and cardiac structure and function parameters in healthy individuals.
METHODS: Four hundred Chinese participants with no overt cardiovascular disease
participated in this study. One hundred and seventy-one participants (42.8%) were
male and the mean age was 60 years. Central BP was measured with the SphygmoCor
system. Cardiac structure and function were assessed by echocardiography.
RESULTS: We showed a significant association of left atrial volume and left
ventricular mass index (LVMI) with brachial and central systolic BP (SBP) and
pulse pressure (PP; r = 0.189-0.0.39, p < 0.001). Left ventricular diastolic
function and the E/A ratio were significantly associated with brachial and
central BP (r = 0.228-0.469, p < 0.001). Multivariate regression analysis
revealed that central SBP and PP were independently correlated with LVMI after
normalization for age and other confounding variables (sex, body mass index,
smoking, and alcohol intake, and the levels of triglycerides, high-density
lipoprotein, low-density lipoprotein, creatinine, uric acid, fasting blood
glucose, log C-reactive protein, and fibrinogen. However, only central SBP was
found to be independently correlated with the E/A ratio. CONCLUSIONS: Cardiac
structure and diastolic function were associated with brachial and central BP.
However, after normalization, cardiac structure parameters were independently
correlated with central SBP and PP. Diastolic function was the only cardiac
function parameter that correlated with central SBP.
PMID- 29635254
TI - Specific IgE for Fag e 3 Predicts Oral Buckwheat Food Challenge Test Results and
Anaphylaxis: A Pilot Study.
AB - BACKGROUND: Buckwheat (BW) is the source of a life-threatening allergen. Fag e 3
specific serum IgE (sIgE) is more useful than BW-sIgE for diagnosis; however, it
is unknown whether Fag e 3-sIgE can predict oral food challenge (OFC) results and
anaphylaxis. This study aimed to clarify the efficacy of Fag e 3-sIgE in
predicting OFC results and anaphylaxis. METHODS: We conducted a retrospective
review of BW- and Fag e 3-sIgE data obtained using the ImmunoCAP(r) assay system
and fluorescent enzyme-linked immunosorbent assay from children who underwent OFC
using 3,072 mg of BW protein between July 2006 and March 2014 at Sagamihara
National Hospital, Kanagawa, Japan. RESULTS: We analyzed 60 patients aged 1.9
13.4 years (median 6.0 years); 20 (33%) showed objective symptoms upon BW OFC.
The patients without symptoms had significantly lower Fag e 3-sIgE than those
with non-anaphylactic (p < 0.001) and anaphylactic reactions to BW (p = 0.004).
Fag e 3-sIgE was the only tested factor that significantly predicted positive OFC
results (odds ratio 8.93, 95% confidence interval 3.10-25.73, p < 0.001) and OFC
induced anaphylaxis (2.67, 1.12-6.35, p = 0.027). We suggest that a threshold Fag
e 3-sIgE level of 18.0 kUE/L has 95% probability of provoking a positive reaction
to BW. CONCLUSIONS: Fag e 3-sIgE predicted OFC results and OFC-induced
anaphylaxis. We further emphasize paying careful attention to the risk of BW OFC
induced anaphylaxis.
PMID- 29635257
TI - Characterization of IDH1 p.R132H Mutant Clones Using Mutation-specific Antibody
in Myeloid Neoplasms.
AB - Isocitrate dehydrogenase 1 (IDH1) and IDH2 mutations occur in a variety of
myeloid neoplasms. Immunohistochemistry (IHC)-based direct visualization of
mutant clones of hematopoietic cells can be useful for rapid diagnostic screening
and for monitoring treatment response. In this study, we first evaluated the
sensitivity and specificity of the IDH1 p.R132H mutation-specific antibody by
IHC. All IDH1 wild type cases (n=11) and IDH1 mutant cases with a non-p.R132H
mutation (n=30) were negative by IHC, demonstrating 100% antibody specificity.
All the initial diagnostic specimens with IDH1 p.R132H mutation including acute
myeloid leukemia (n=30), myelodysplastic syndromes (MDS) (n=10),
MDS/myeloproliferative neoplasms (MPN) (n=4), and MPN (n=5) were positive by IHC,
demonstrating 100% antibody sensitivity. Both immature and mature myeloid cells
showed immunoreactivity. Erythroid precursors, lymphoid cells, endothelial cells,
and osteoblasts were consistently negative by IHC. We then evaluated the follow
up specimens with a known IDH1 mutation status including acute myeloid leukemia
(n=23), MDS (n=2), MDS/MPN (n=2), and MPN (n=2). Thirty-three IDH1 p.R132H mutant
cases were positive by IHC and 12 IDH1 mutation negative cases were negative by
IHC. However, IHC reactivity in up to 25% of bone marrow cells was noted in 8 of
20 polymerase chain reaction-negative cases, all from patients with a known
history of IDH1 p.R132H mutation indicating sampling error or a sensitivity issue
with molecular tests. These data indicate that IHC is a highly specific and
sensitive tool to detect IDH1 p.R132H mutation in bone marrow involved by myeloid
neoplasms. In addition, IDH1 p.R132H IHC also allows localization and assessment
of the maturation stage of the clones carrying the mutation.
PMID- 29635258
TI - The Spectrum of Thyroid Gland Pathology in Carney Complex: The Importance of
Follicular Carcinoma.
AB - The initial description of Carney complex (CNC) in 1985 included myxomas, spotty
skin pigmentation, and endocrine overactivity (of the adrenal, the pituitary, and
the testis). In 1997, thyroid neoplasms were found in 3 patients with CNC and
involvement of the gland in the syndrome was apparent. Herein, we describe the
clinical, pathologic, and follow-up findings in 26 patients with CNC and a
disorder of the thyroid gland. The patients were predominantly middle-aged women
with an asymptomatic thyroid mass. Four patients had hyperthyroidism, which was
caused by follicular hyperplasia in 2 patients and by toxic adenoma in 2 others.
Pathologic findings included benign lesions (follicular hyperplasia, nodular
hyperplasia, and follicular adenoma) in 16 patients and carcinomas (follicular or
papillary) in 10 patients. The follicular carcinomas had unusual features,
multifocality, bilaterality, and lymph node metastasis. The tumor was fatal in 3
of 4 patients with a tumor >=3 cm in diameter. One patient had an unusual
multifocal microscopic follicular hyperplasia. Detection and treatment of the
thyroid neoplasms in patients with CNC requires long-term follow-up of patients
with the syndrome.
PMID- 29635259
TI - Melanocytic Myxoid Spindle Cell Tumor With ALK Rearrangement (MMySTAR): Report of
4 Cases of a Nevus Variant With Potential Diagnostic Challenge.
AB - Melanocytic tumors rarely display extensive dermal myxoid deposits except in the
myxoid variant of melanoma. We describe in 4 patients the unusual association of
morphologic and genetic features. All cases occurred in males and were located on
the limbs or proximal girdle area. Age at diagnosis ranged from 8 to 47 years.
Size ranged from 6 to 11 mm. Microscopic analysis showed compound, but mainly
dermal melanocytic nevi, all presenting a deep dermal expansion with fascicules
of amelanotic spindled cells floating in a myxoid background. Cytologic atypia
and mitotic activity were low. The superficial portion was either of spitzoid or
nevoid cytology with a limited junctional component. In the initial case, the
dermal myxoid component was predominant with rare, barely visible, superficial
melanocytic nests. This peculiar morphology was responsible for a delayed
diagnostic, which required an extensive panel of antibodies ruling out most,
potentially myxoid, soft tissue tumors. We later observed the presence of
similar, but more limited, dermal morphologic features in 3 other cases.
Immunohistochemistry in the deep myxoid areas was melanA, ALK, SOX10, and MiTF.
Molecular studies confirmed the ALK rearrangement by an ALK break-apart
fluorescence in situ hybridization technique and by RNA sequencing. The latter
identified 4 different 5'-fusion partners. Two gene fusions were undescribed:
FBXO28(e2)-ALK(e19) and NPAS2(e2)-ALK(e19), and 2 previously described: TPM3(e7)
ALK(e20) and PPFIBP1(e9)-ALK(e19). No relapse or metastatic evolution was seen
during follow-up (3 to 24 mo). We denominated this potentially challenging new
variant of compound nevus linked to a kinase fusion: Melanocytic Myxoid Spindle
Cell Tumor with ALK Rearrangement.
PMID- 29635260
TI - Incidence, Risk Factors, and Definition for Nonunion in Pediatric Lateral Condyle
Fractures.
AB - INTRODUCTION: Lateral condyle fractures are a common pediatric elbow injury.
Nonunion is a known complication of this injury but its incidence and causative
risk factors are unknown. Further, a clear and consistent definition of nonunion
for pediatric lateral condyle fractures does not exist. The purpose of this study
is to determine the rate of nonunion, the risk factors associated with it and to
provide a clear definition. METHODS: A retrospective chart review of all
pediatric lateral condyle fractures treated at an urban, tertiary pediatric care
center between 2001 and 2014. Data collected included demographics, Weiss
classification, type of treatment, follow-up, time from injury to surgery and
complications. For patients with nonunions, additional treatments and final range
of motion were also recorded. A nonunion was defined as lack of callus with
fragment migration by 8 weeks after initiation of treatment. RESULTS: A total of
530 patients were identified of which 500 were available for review. There were
7/500 (1.4%) nonunions in the cohort. Nonunion occurred in 1.4% (2/140) of type I
fractures, 0% (0 /178) of type II fractures, and 3% (5/168) of type III
fractures. The only significant risk factor for nonunion was the presence of a
type III fracture (P=0.05). Five patients with nonunion underwent revision
surgery with a partially threaded cannulated cancellous screw. All of these
patients went on to union. Four of the 5 patients had their screws removed after
union. CONCLUSION: Nonunion after pediatric lateral condyle fracture is rare and
is defined by lack of any callus with fragment migration at 8 weeks. The only
significant risk factor for nonunion development was the presence of a type III
fracture. Revision surgery with a partially threaded screw achieved union in all
cases. LEVEL OF EVIDENCE: Level IV-retrospective case review.
PMID- 29635261
TI - Residual Equinus After the Ponseti Method: An MRI-based 3-Dimensional Analysis.
AB - BACKGROUND: Residual equinus deformity is present in up to 20% of clubfeet
treated by the Ponseti method. These patients may require surgical release to
restore dorsiflexion. Despite complete posterior release; persistent
intraoperative equinus may be present and suggest concurrent joint incongruity.
The purpose of this study was to characterize differences in ankle morphology in
toddlers with residual equinus following the Ponseti method. METHODS:
Preoperative magnetic resonance imaging (MRI) data from 10 patients who underwent
reconstruction (17 feet; 7 bilateral, 3 unilateral clubfeet) for persistent
equinus were compared with 16 age-matched controls. Through reverse engineering
software, MRI data were used to generate 3-dimensional (3D) models. Four talus
based measures were performed on both MRI data and 3D models-neck depth, neck
angle, width, and length. Models were also used to calculate talus volume and arc
of curvature (plafond and talar dome). Standard statistical analyses were
performed. RESULTS: Talus volumes, width, and length were less in clubfeet then
in control feet. Although some measures were significant there was no mismatch
with the ankle mortise dimensions or arc curvature that could account for any
decrease in dorsiflexion. We found that from MRI measures the clubfoot neck depth
was 2.3 versus 3.6 mm in controls (P<0.001) and from 3D modeling the clubfoot
neck depth was 2.3 and 3.5 mm in controls (P=0.003). With 3D modeling talus
clubfoot neck angle was 153.7 versus 140.4 degrees in controls (P=0.01). The
clubfoot neck angle obtained from MRI measures were also different yet not
significant [126.6 in clubfeet versus 122.5 degrees in controls (P=0.12)].
CONCLUSIONS: In comparison to age-matched feet; we have noted a decrease in talar
neck depth and an obtuse talar neck angle in clubfeet treated in the manner of
Ponseti. This may result in anterior ankle impingement and be the cause of
residual equinus despite posterior release. In these procedures, the surgeon
should recognize this possibility when the amount of dorsiflexion is less than
expected. LEVEL OF EVIDENCE: Level III-case control study.
PMID- 29635262
TI - Childhood Obesity is Associated With Osteochondritis Dissecans of the Knee,
Ankle, and Elbow in Children and Adolescents.
AB - BACKGROUND: Osteochondritis dissecans (OCD) is a joint disorder of the
subchondral bone and articular cartilage whose association with obesity in
children is not clearly known. The purpose of this study was to assess the
magnitude of the association between childhood obesity and the occurrence of OCD
of the knee, ankle, and elbow in children. METHODS: A retrospective chart review
of an integrated health system was performed on OCD patients aged 2 to 19 from
2007 to 2011, with over 1 million patients in this cohort. Lesion location,
laterality, and all patient demographics were recorded. The body mass index (BMI)
for each patient in the cohort was used to stratify patients into 5 weight
classes (underweight, normal weight, overweight, moderately obese, and extremely
obese) based on BMI-for-age. The associations between the 5 weight classes and
OCD of the ankle, knee, and elbow were assessed using multiple logistic
regression models to estimate odds ratios (OR) and 95% confidence intervals using
multivariate analysis to adjust for patient demographic variables. RESULTS: In
total, 269 patients fit the inclusion criteria. Mean BMI, both absolute and
percentile, was significantly higher for patients with OCD of the knee, elbow,
and ankle than patients without OCD. In the multivariate analysis, extremely
obese patients were found to have an increased OR of OCD for all patients, with
an 86% increased risk of any OCD compared with normal weight patients. In
addition, assessment by different types of OCD revealed that extremely obese
patients had an increased OR of OCD of the elbow and ankle individually, with a
3.1 times increased OCD elbow risk and 3.0 times increased risk of ankle OCD in
extremely obese patients. Although extremely obese patients did not have a
statistically significant increased risk of knee OCD, moderately obese patients
did have a 1.8 times increased risk of knee OCD as compared with normal weight
children. There were no significantly different risks of any type of OCD seen in
overweight or underweight patients as compared with normal weight patients.
CONCLUSIONS: In this population-based cohort study, extreme obesity is strongly
associated with an increased risk of OCD overall and OCD of the elbow and ankle
specifically. In addition, moderate obesity is associated with an increased risk
of knee OCD. All types of OCD were also found to have a significantly greater
average BMI when compared with patients without OCD. LEVEL OF EVIDENCE: Level IV
descriptive epidemiology study.
PMID- 29635263
TI - Delafloxacin (Baxdela)--a new fluoroquinolone antibiotic.
PMID- 29635264
TI - Secnidazole (Solosec) for bacterial vaginosis.
PMID- 29635265
TI - Voretigene neparvovec-rzyl (Luxturna) for inherited retinal dystrophy.
PMID- 29635267
TI - In brief: Two new drugs for AML.
PMID- 29635266
TI - Dapagliflozin/saxagliptin (Qtern) for type 2 diabetes.
PMID- 29635268
TI - Comparison table: some systemic fluoroquinolones.
PMID- 29635269
TI - Comparison table: some antibiotics for MRSA skin and skin structure infections.
PMID- 29635271
TI - Methodologic weaknesses notwithstanding, can a study examining the association
between potatoes (or really any food or nutrient) and "all-cause mortality" truly
tell us anything meaningful?
PMID- 29635270
TI - Inactive Matrix Gla Protein, Arterial Stiffness, and Endothelial Function in
African American Hemodialysis Patients.
AB - BACKGROUND: Matrix Gla protein (MGP) is a vascular calcification inhibitor
dependent upon vitamin K for activation. Evidence suggests that elevated plasma
inactive MGP levels (desphospho-uncarboxylated MGP, dp-ucMGP; indicating poorer
vascular vitamin K status) are associated with greater cardiovascular disease
(CVD) risk. Despite African Americans experiencing highest rates of kidney
failure and CVD events, relationships between dp-ucMGP and CVD risk markers have
not been examined in this population. We investigated vascular vitamin K status
(via plasma dp-ucMGP) between African American hemodialysis (HD) patients and
healthy controls, and the associations of dp-ucMGP with arterial stiffness and
endothelial function in HD patients only. METHODS: In 37 African American HD
patients and 37 age- and race-matched controls, plasma dp-ucMGP was measured by
enzyme immunoassay as a marker of vascular vitamin K status. Carotid-femoral
pulse wave velocity (PWV; arterial stiffness measurement) and brachial artery
flow-mediated dilation (FMD; endothelial function measurement) were assessed by
applanation tonometry and ultrasound, respectively, in HD patients only. RESULTS:
Mean dp-ucMGP levels were 5.6 times higher in HD patients vs. controls (2,139 +/-
1,102 vs. 382 +/- 181 pmol/l, P < 0.01). Multiple linear regression, adjusting
for age, sex, dialysis vintage, diabetes mellitus, CVD history, body mass index,
and blood pressure, revealed that dp-ucMGP was independently related to PWV
(standardized beta = 0.49) and FMD (standardized beta = -0.53) (both P < 0.01).
CONCLUSIONS: Our data suggest that the higher plasma dp-ucMGP concentrations
found in African American HD patients may be associated with greater arterial
stiffness and endothelial dysfunction.
PMID- 29635272
TI - Sox17 is essential for proper formation of the marginal zone of extraembryonic
endoderm adjacent to a developing mouse placental disk.
AB - In mouse conceptus, two yolk-sac membranes, the parietal endoderm (PE) and
visceral endoderm (VE), are involved in protecting and nourishing early-somite
stage embryos prior to the establishment of placental circulation. Both PE and VE
membranes are tightly anchored to the marginal edge of the developing placental
disk, in which the extraembryonic endoderm (marginal zone endoderm: ME) shows the
typical flat epithelial morphology intermediate between those of PE and VE in
vivo. However, the molecular characteristics and functions of the ME in mouse
placentation remain unclear. Here, we show that SOX17, not SOX7, is continuously
expressed in the ME cells, whereas both SOX17 and SOX7 are coexpressed in PE
cells, by at least 10.5 days postconception. The Sox17-null conceptus, but not
the Sox7-null one, showed the ectopic appearance of squamous VE-like epithelial
cells in the presumptive ME region, together with reduced cell density and
aberrant morphology of PE cells. Such aberrant ME formation in the Sox17-null
extraembryonic endoderm was not rescued by the chimeric embryo replaced with the
wild-type gut endoderm by the injection of wild-type ES cells into the Sox17-null
blastocyst, suggesting the cell autonomous defects in the extraembryonic endoderm
of Sox17-null concepti. These findings provide direct evidence of the crucial
roles of SOX17 in proper formation and maintenance of the ME region, highlighting
a novel entry point to understand the in vivo VE-to-PE transition in the marginal
edge of developing placenta.
PMID- 29635273
TI - Quality Control of the Fuzi Lizhong Pill Through Simultaneous Determination of 16
Major Bioactive Constituents by RRLC-MS-MS.
AB - Fuzi Lizhong pill (FLP) is used to treat gastritis, and the monarch drug of it is
Aconiti Lateralis Radix Praeparata (Fuzi, aconite roots) which is a toxic herbal
medicine. To better control the safety and quality of FLP, an effective method to
analyze the contents of 16 toxic and bioactive components using rapid resolution
liquid chromatography-tandem triple-quadrupole mass spectrometer was established.
The 16 constituents included aconine, mesaconine, hypaconitine, benzoylaconine,
benzoylmesaconine, benzoylhypaconine, adenosine, liquiritin, liquiritigenin,
glycyrrhizic acid, isoliquiritigenin, 6-gingerol, atractylenolide III,
atractylenolide I, atractylenolide II and glycyrrhetic acid. Ideal separation was
performed using gradient elution in 13 min by optimized conditions. All the
isomerides were isolated to baseline. The improved method with a polarity switch
in contiguous time segments could analyze the five types of components, including
polar and nonpolar compounds, without decreasing sensitivity. The proposed method
was fully validated. The results revealed that contents of six alkaloids from
Fuzi were significantly different among the samples. Using the established method
and multivariate statistical method, the quality consistency of two dosage forms
of FLP from different companies were analyzed. The optimized method could be used
for the quality control of FLP and investigate index compound variation between
two dosage forms.
PMID- 29635274
TI - Long-term heart-specific mortality among 347 476 breast cancer patients treated
with radiotherapy or chemotherapy: a registry-based cohort study.
AB - Aims: Breast cancer survival has improved throughout the last decades, but
treatment-induced cardiotoxicity remains a major concern. This study aimed to
investigate competing causes of death and prognostic factors within a large
cohort of breast cancer patients and to describe the heart-specific mortality in
relation to the general population. Methods and results: In this registry-based
cohort study, women diagnosed with breast cancer between 2000 and 2011, who were
treated with radiotherapy or chemotherapy and followed until 2014, were
identified from the Surveillance, Epidemiology, and End Results-18 (SEER-18)
database. Cumulative mortality functions were computed. To investigate heart
specific mortality relative to the general population, long-term (>=10 years)
standardized mortality ratios (SMRs) were calculated. Prognostic factors for
heart-specific mortality were assessed by calculating cause-specific hazard
ratios (HRcs) with corresponding 95% confidence intervals using the Cox
proportional hazards regression. Subgroup analysis on intermediate-term mortality
according to molecular subtypes, for which information was available since 2010,
was performed. In total, 347 476 breast cancer patients were eligible to be
included in the study. Among all possible competing causes of death, breast
cancer accounted for the highest cumulative mortality. Compared with the general
population, heart-specific mortality of breast cancer patients treated with
radiotherapy or chemotherapy was lower [SMRoverall 0.84 (0.79-0.90)]. In subgroup
analysis, human epidermal growth factor receptor 2 (HER2)-positive subtype was
not associated with increased heart-specific mortality relative to HER2-negative
patients [HRcs 0.96 (0.70-1.32)]. Conclusion: Heart-specific mortality among
breast cancer survivors is not increased compared with the general population.
Human epidermal growth factor receptor 2-positive patients do not have increased
heart-specific mortality compared to HER2-negative patients.
PMID- 29635275
TI - Demystifying O-GlcNAcylation: hints from peptide substrates.
AB - O-GlcNAcylation, analogous to phosphorylation, is an essential post-translational
modification of proteins at Ser/Thr residues with a single beta-N
acetylglucosamine moiety. This dynamic protein modification regulates many
fundamental cellular processes and its deregulation has been linked to chronic
diseases such as cancer, diabetes and neurodegenerative disorders. Reversible
attachment and removal of O-GlcNAc is governed only by O-GlcNAc transferase and O
GlcNAcase, respectively. Peptide substrates, derived from natural O-GlcNAcylation
targets, function in the catalytic cores of these two enzymes by maintaining
interactions between enzyme and substrate, which makes them ideal models for the
study of O-GlcNAcylation and deglycosylation. These peptides provide valuable
tools for a deeper understanding of O-GlcNAc processing enzymes. By taking
advantage of peptide chemistry, recent progress in the study of activity and
regulatory mechanisms of these two enzymes has advanced our understanding of
their fundamental specificities as well as their potential as therapeutic
targets. Hence, this review summarizes the recent achievements on this
modification studied at the peptide level, focusing on enzyme activity, enzyme
specificity, direct function, site-specific antibodies and peptide substrate
inspired inhibitors.
PMID- 29635276
TI - Multilevel Regression and Poststratification: A Modelling Approach to Estimating
Population Quantities From Highly Selected Survey Samples.
AB - Large-scale population health studies face increasing difficulties in recruiting
representative samples of participants. Non-participation, item non-response and
attrition, when follow-up is involved, often result in highly selected samples
even in well-designed studies. We aimed to assess the potential value of
multilevel regression and poststratification, a method previously used to
successfully forecast US presidential election results, for addressing biases due
to non-participation in the estimation of population descriptive quantities in
large cohort studies. The investigation was performed as an extensive case study
using a large national health survey of Australian males, the Ten to Men study.
Analyses were performed in the Bayesian computational package RStan. Results
showed greater consistency and precision across population subsets of varying
sizes, when compared with estimates obtained using conventional survey sampling
weights. Estimates for smaller population subsets exhibited a greater degree of
shrinkage towards the national estimate. Multilevel regression and
poststratification provides a promising analytic approach to addressing potential
participation bias in the estimation of population descriptive quantities from
large-scale health surveys and cohort studies.
PMID- 29635278
TI - Obtaining a Correct Diagnosis Rapidly in the United States Is Associated With
Many Barriers Not Present in Other Countries.
PMID- 29635277
TI - Impact of Public Health Responses During a Measles Outbreak in an Amish Community
in Ohio: Modeling the Dynamics of Transmission.
AB - We quantified measles transmissibility during a measles outbreak in Ohio in 2014
to evaluate the impact of public health responses. Case incidence and the serial
interval (time between symptom onset in primary cases and secondary cases) were
used to assess trends in the effective reproduction number R (the average number
of secondary cases generated per case). A mathematical model was parameterized
using early R values to determine the size and duration of the outbreak that
would have occurred if containment measures had not been initiated, as well as
the impact of vaccination. As containment started, we found a 4-fold decline in R
(from approximately 4 to 1) over the course of 2 weeks and maintenance of R < 1
as control measures continued. Under a conservative scenario, the model estimated
8,472 cases (90% confidence interval (CI): 8,447, 8,489) over 195 days (90% CI:
179, 223) without control efforts and 715 cases (90% CI: 103, 1,338) over 128
days (90% CI: 117, 139) when vaccination was included; 7,757 fewer cases (90% CI:
7,130, 8,365) and 67 fewer outbreak days (90% CI: 48, 98) were attributed to
vaccination. Vaccination may not account entirely for transmission reductions,
suggesting that changes in community behavior (social distancing) and other
control efforts (isolation, quarantining) are important. Our findings highlight
the benefits of measles outbreak response and of understanding behavior change
dynamics.
PMID- 29635279
TI - Ceragenins are active against drug-resistant Candida auris clinical isolates in
planktonic and biofilm forms.
AB - Background: Candida auris has emerged as a serious threat to human health. Of
particular concern are the resistance profiles of many clinical isolates, with
some being resistant to multiple classes of antifungals. Objectives: Measure
susceptibilities of C. auris isolates, in planktonic and biofilm forms, to
ceragenins (CSAs). Determine the effectiveness of selected ceragenins in gel and
cream formulations in eradicating fungal infections in tissue explants. Materials
and methods: A collection of 100 C. auris isolates available at CDC was screened
for susceptibility to a lead ceragenin. A smaller collection was used to
characterize antifungal activities of other ceragenins against organisms in
planktonic and biofilm forms. Effects of ceragenins on fungal cells and biofilms
were observed via microscopy. An ex vivo model of mucosal fungal infection was
used to evaluate formulated forms of lead ceragenins. Results: Lead ceragenins
displayed activities comparable to those of known antifungal agents against C.
auris isolates with MICs of 0.5-8 mg/L and minimum fungicidal concentrations
(MFCs) of 2-64 mg/L. No cross-resistance with other antifungals was observed.
Fungal cell morphology was altered in response to ceragenin treatment. Ceragenins
exhibited activity against sessile organisms in biofilms. Gel and cream
formulations including 2% CSA-44 or CSA-131 resulted in reductions of over 4 logs
against established fungal infections in ex vivo mucosal tissues. Conclusions:
Ceragenins demonstrated activity against C. auris, suggesting that these
compounds warrant further study to determine whether they can be used for topical
applications to skin and mucosal tissues for treatment of infections with C.
auris and other fungi.
PMID- 29635280
TI - Successful Development by Design of Experiments of a Gas Chromatography Method
for Simultaneous Analysis of Residual Solvents of Classes 1 and 2.
AB - In this study, design of experiments (DoE) was employed to develop a single
injection method using a headspace gas chromatograph with flame ionization
detector for resolution of residual solvents of United States Pharmacopeia (USP)
listed classes 1 and 2, against current recommendation of independent injections.
G43 column (6% cyanopropylphenyl and 94% dimethylpolysiloxane) and nitrogen were
used as the stationary phase and carrier gas, respectively. Initial temperature,
hold time, temperature ramp and carrier gas velocity were the critical method
parameters. Resolution of 1,1,1-trichloroethane and tetrahydrofuan (THF); THF and
chloroform; benzene and 1,2-dichloroethane (DCE), and 1,2-dimethoxyethane and DCE
were selected as critical quality attributes. These were optimized by DoE that
resulted in resolution of >1.34 among various solvents. The separation of all the
solvents was achieved within total run time of 77 min. A better resolution of
2.66 was observed in the case of acetonitrile and methylene chloride; there was
improved Signal/Noise ratio of 8.86 for 1,1,1-trichloroethane; tailing factor for
pyridine was 1.00, and the method showed acceptable repeatability of peak areas
(%RSDmax = 11.53) and retention times (%RSDmax = 0.45). Thus, while system
suitability criteria and validation results very well met the USP requirements,
the optimized method proposed in this study proved advantageous additionally in
terms of single injection; short run time, and use of nitrogen as a carrier gas
instead of costly helium in the USP method.
PMID- 29635281
TI - Germline genetic variants in somatically significantly mutated genes in tumors
are associated with renal cell carcinoma risk and outcome.
AB - Genome-wide association studies (GWAS) have identified 13 susceptibility loci for
renal cell carcinoma (RCC). Additional genetic loci of risk remain to be
explored. Moreover, the role of germline genetic variants in predicting RCC
recurrence and overall survival (OS) is less understood. In this study, we
focused on 127 significantly mutated genes from The Cancer Genome Atlas (TCGA)
Pan-Cancer Analysis across 12 major cancer sites to identify potential genetic
variants predictive of RCC risk and clinical outcomes. In a three-phase design
with a total of 2657 RCC cases and 5315 healthy controls, two single nucleotide
polymorphisms (SNPs) that map to PIK3CG (rs6466135:A, ORmeta = 0.85, 95% CI =
0.77-0.94, Pmeta = 1.4 * 10-3) and ATM (rs611646:T, ORmeta = 1.17, 95% CI = 1.05
1.31, Pmeta = 3.5 * 10-3) were significantly associated with RCC risk. With
respect to RCC recurrence and OS, two separate datasets with a total of 661
stages I-III RCC patients (discovery: 367; validation: 294) were analyzed. The
most significant association was observed for rs10932384:C (ERBB4) with both
outcomes (recurrence: HRmeta = 0.52, 95% CI = 0.39-0.68, Pmeta = 3.81 * 10-6; OS:
HRmeta = 0.50, 95% CI = 0.37-0.67, Pmeta = 6.00 * 10-6). In addition, six SNPs
were significantly associated with either RCC recurrence or OS but not both
(Pmeta < 0.01). Rs10932384:C was significantly correlated with mutation frequency
of ERBB4 in clear cell RCC (ccRCC) patients (P = 0.003, Fisher's exact test). Cis
eQTL was observed for several SNPs in blood/transformed fibroblasts but not in
RCC tumor tissues. In summary, we identified promising genetic predictors of
recurrence and OS among RCC patients with localized disease.
PMID- 29635283
TI - Errata.
PMID- 29635282
TI - Distinct child-to-adult body mass index trajectories are associated with
different levels of adult cardiometabolic risk.
AB - Aims: The relationship between life-course body mass index (BMI) trajectories and
adult risk for cardiovascular disease (CVD) is poorly described. In a
longitudinal cohort, we describe BMI trajectories from early childhood to
adulthood and investigate their association with CVD risk factors [Type 2
diabetes mellitus (T2DM), high-risk lipid levels, hypertension, and high carotid
intima-media thickness (cIMT)] in adulthood (34-49 years). Methods and results:
Six discrete long-term BMI trajectories were identified using latent class growth
mixture modelling among 2631 Cardiovascular Risk in Young Finns Study
participants (6-49 years): stable normal (55.2%), resolving (1.6%), progressively
overweight (33.4%), progressively obese (4.2%), rapidly overweight/obese (4.3%),
and persistent increasing overweight/obese (1.2%). Trajectories of worsening or
persisting obesity were generally associated with increased risk of CVD outcomes
in adulthood (24-49 years) [all risk ratios (RRs) >15, P < 0.05 compared with the
stable normal group]. Although residual risk for adult T2DM could not be
confirmed [RR = 2.6, 95% confidence interval (CI) = 0.14-8.23], participants who
resolved their elevated child BMI had similar risk for dyslipidaemia and
hypertension as those never obese or overweight (all RRs close to 1). However,
they had significantly higher risk for increased cIMT (RR = 3.37, 95% CI = 1.80
6.39). Conclusion: The long-term BMI trajectories that reach or persist at high
levels associate with CVD risk factors in adulthood. Stabilizing BMI in obese
adults and resolving elevated child BMI by adulthood might limit and reduce
adverse cardiometabolic profiles. However, efforts to prevent child obesity might
be most effective to reduce the risk for adult atherosclerosis.
PMID- 29635284
TI - Divergent Role of Estrogen-Related Receptor alpha in Lipid- and Fasting-Induced
Hepatic Steatosis in Mice.
AB - Given the increasing prevalence of obesity and the metabolic syndrome,
identification of intrinsic molecular programs responsible for ensuring fuel
homeostasis and preventing metabolic disease is needed. We investigated whether
the orphan nuclear receptor estrogen-related receptor alpha (ERRalpha), a major
regulator of energy metabolism, plays a role in lipid homeostasis and the
development of nonalcoholic fatty liver disease (NAFLD) in response to chronic
high-fat diet (HFD) consumption and long-term fasting. Systemic ablation of
ERRalpha in mice demonstrated clear beneficial effects for loss of ERRalpha
function in protection from HFD-provoked body weight gain manifested not only
from a reduction in white adipose tissue stores but also from an impediment in
intrahepatic lipid accumulation. The prevention of HFD-induced NAFLD in ERRalpha
null mice was underscored by transcriptional repression of de novo lipogenesis,
which was upregulated in wild-type mice, a known contributing factor to lipid
stimulated hepatic steatosis. Surprisingly, given these findings, ERRalpha
deficiency had no significant impact on the degree of fasting-induced NAFLD,
involving the mobilization of adipocyte triglyceride (TG) stores into the liver.
However, the presence of ERRalpha was essential for acute refeeding-mediated
reversal of fasting-induced hepatic TG accretion, underpinned by impaired
downregulation of adipose TG lipolysis and reduced hepatic mitochondrial
oxidative activity. Taken together, the regulation of lipid handling by ERRalpha
depended on the nutritional state, suggesting that negative modulation of
ERRalpha activity could be envisaged to prevent lipid-induced NAFLD, whereas
inducing its activity would be useful to treat and reverse the instilled disease.
PMID- 29635285
TI - Chronic Medical Conditions and Peripartum Mental Illness: A Systematic Review and
Meta-Analysis.
AB - The objective of this systematic review and meta-analysis was to examine the
association between maternal chronic medical conditions (CMCs) and peripartum
mental illness. MEDLINE, Embase, CINAHL, and PsycINFO were searched to September
2017. Data were extracted and quality was assessed using standardized
instruments. We generated unadjusted and adjusted pooled odds ratios and 95%
confidence intervals using DerSimonian and Laird random effects models. The
review included 16 papers representing 12 studies and 1,626,260 women. CMCs
overall were associated with peripartum mental illness overall (adjusted pooled
odds ratios (aPOR) = 1.43, 95% confidence interval (CI): 1.25, 1.63). CMCs
overall were associated with antepartum (aPOR = 1.41, 95% CI: 1.10, 1.81) and
postpartum mental illness separately (aPOR = 1.44, 95% CI: 1.13, 1.85) and with
peripartum depression (aPOR = 1.45, 95% CI: 1.25, 1.67) and anxiety separately
(aPOR = 1.63, 95% CI: 1.35, 1.95). No studies examined bipolar or psychotic
disorders. Diabetes (aPOR = 1.34, 95% CI: 1.07, 1.69), hypertension/heart disease
(aPOR = 1.60, 95% CI: 1.05, 2.45), migraine (aPOR = 1.75, 95% CI: 1.20, 2.54),
and other neurological disorders (aPOR = 1.45, 95% CI: 1.19, 1.77), but not
asthma, were each associated with peripartum mental illness. Findings suggest
that mental health resources should be integrated in medical settings where
pregnant and postpartum women with CMCs are treated.
PMID- 29635286
TI - Preliminary Efficacy Testing of the Disinfectant MicrobeCare XLP for Potential
Use in Military Operational Environments.
AB - Introduction: A safe, easy-to-use, permanently bonded antiseptic that does not
require post-exposure bioload reduction but maintains effectiveness over time
would have far-reaching implications across multiple industries. Health care is
one such arena, particularly in austere military settings where resources are at
a premium. MicrobeCare XLP (MicrobeCare, Buffalo Grove, IL, USA) is a
commercially available spray-on agent that is advertised to covalently bond to
surfaces and provide a long-lasting antimicrobial coating inhospitable to >99.99%
of surface microorganisms. A pilot study was devised to gather baseline data
regarding product efficacy and laboratory parameters before consideration of
extended investigations and military utilization. The product manufacturer
recommends bioload reductions before product application, following product
application, and after each pathogenic exposure. To investigate the product's
efficacy in circumstances more closely simulating a military operational setting
in which post-pathogenic exposure bioload reduction would not be possible, this
step was deliberately excluded from the test sequences. Materials and Methods:
Using autoclaved surgical forceps, growth of Staphylococcus aureus and
Acinetobacter baumannii was evaluated in a controlled manner under multiple
conditions. Test variations included duration of submersion in the MicrobeCare
XLP solution and air-drying and a second autoclave sterilization. Control and
treated forceps were exposed to a bacterial suspension and air-dried before being
submerged in sterile saline and vortex mixed. The saline solution was serially
diluted and plated on tryptic soy agar (TSA) II plates. Plates were incubated for
24 h and bacterial colony-forming units (CFU)/mL were counted. Results:
Statistical significance was defined according to the American Society for
Testing and Materials (ASTM) International passing criteria of 3 Log10 or 99.9%
reduction of microorganisms. Additionally, p-values were calculated using two
tailed unpaired two-sample t-tests with unequal variance with a threshold of
0.05. In the S. aureus tests, none of the reduction calculations met the ASTM
International passing criteria. In addition, the difference between the means of
the colony counts in the MicrobeCare XLP-treated forceps and untreated control
forceps was not statistically significant (p-value 0.109). Conversely, in the A.
baumannii tests, each of the percent reduction calculations met the ASTM
International passing criteria; the difference between the means of the colony
counts in the treatment and control groups was statistically significant (p-value
0.008). Conclusion: In these independent tests, MicrobeCare XLP effectively
prevented growth of A. baumannii but had unpredictable results suppressing S.
aureus. These results may relate to inherent properties of the bacteria or
autoclave exposure, although the manufacturer asserts that the coating withstands
such degradation. Additional testing could be performed using a broader range of
microorganisms and exposure to varying conditions including other sterilization
methods.
PMID- 29635287
TI - The draft genome sequence of forest musk deer (Moschus berezovskii).
AB - Background: The forest musk deer, Moschus berezovskii, is one of seven musk deer
(Moschus spp.) and is distributed in Southwest China. Akin to other musk deer,
the forest musk deer has been traditionally and is currently hunted for its musk
(i.e., global perfume industry). Considerable hunting pressure and habitat loss
have caused significant population declines. Consequently, the Chinese government
commenced captive breeding programs for musk harvesting in the 1950s. However,
the prevalence of fatal diseases is considerably restricting population
increases. Disease severity and extent are exacerbated by inbreeding and genetic
diversity declines in captive musk deer populations. It is essential that
knowledge of captive and wild forest musk deer populations' immune system and
genome be gained in order to improve their physical and genetic health. We have
thus sequenced the whole genome of the forest musk deer, completed the genomic
assembly and annotation, and performed preliminary bioinformatic analyses.
Findings: A total of 407 Gb raw reads from whole-genome sequencing were generated
using the Illumina HiSeq 4000 platform. The final genome assembly is around 2.72
Gb, with a contig N50 length of 22.6 kb and a scaffold N50 length of 2.85 Mb. We
identified 24,352 genes and found that 42.05% of the genome is composed of
repetitive elements. We also detected 1,236 olfactory receptor genes. The genome
wide phylogenetic tree indicated that the forest musk deer was within the order
Artiodactyla, and it appeared as the sister clade of four members of Bovidae. In
total, 576 genes were under positive selection in the forest musk deer lineage.
Conclusions: We provide the first genome sequence and gene annotation for the
forest musk deer. The availability of these resources will be very useful for the
conservation and captive breeding of this endangered and economically important
species and for reconstructing the evolutionary history of the order
Artiodactyla.
PMID- 29635289
TI - Re: Spinal Cord Stimulation (SCS) with Anatomically Guided (3D) Neural Targeting
Shows Superior Chronic Axial Low Back Pain Relief Compared to Traditional SCS
LUMINA Study.
PMID- 29635288
TI - Maternal diet-induced obesity programmes cardiac dysfunction in male mice
independently of post-weaning diet.
AB - Aims: Obesity during pregnancy increases risk of cardiovascular disease (CVD) in
the offspring and individuals exposed to over-nutrition during fetal life are
likely to be exposed to a calorie-rich environment postnatally. Here, we
established the consequences of combined exposure to a maternal and post-weaning
obesogenic diet on offspring cardiac structure and function using an established
mouse model of maternal diet-induced obesity. Methods and results: The impact of
the maternal and postnatal environment on the offspring metabolic profile,
arterial blood pressure, cardiac structure, and function was assessed in 8-week
old C57BL/6 male mice. Measurement of cardiomyocyte cell area, the
transcriptional re-activation of cardiac fetal genes as well as genes involved in
the regulation of contractile function and matrix remodelling in the adult heart
were determined as potential mediators of effects on cardiac function. In the
adult offspring: a post-weaning obesogenic diet coupled with exposure to maternal
obesity increased serum insulin (P < 0.0001) and leptin levels (P < 0.0001);
maternal obesity (P = 0.001) and a post-weaning obesogenic diet (P = 0.002)
increased absolute heart weight; maternal obesity (P = 0.01) and offspring
obesity (P = 0.01) caused cardiac dysfunction but effects were not additive;
cardiac dysfunction resulting from maternal obesity was associated with re
expression of cardiac fetal genes (Myh7: Myh6 ratio; P = 0.0004), however, these
genes were not affected by offspring diet; maternal obesity (P = 0.02); and
offspring obesity (P = 0.05) caused hypertension and effects were additive.
Conclusions: Maternal diet-induced obesity and offspring obesity independently
promote cardiac dysfunction and hypertension in adult male progeny. Exposure to
maternal obesity alone programmed cardiac dysfunction, associated with hallmarks
of pathological left ventricular hypertrophy, including increased cardiomyocyte
area, upregulation of fetal genes, and remodelling of cardiac structure. These
data highlight that the perinatal period is just as important as adult-onset
obesity in predicting CVD risk. Therefore, early developmental periods are key
intervention windows to reduce the prevalence of CVD.
PMID- 29635290
TI - Appropriateness of magnetic resonance imaging requested by primary care
physicians for patients with knee pain.
AB - Objective: To evaluate the appropriateness of magnetic resonance imaging (MRI) of
the knee requested by primary care physicians. Design: Retrospective
observational study. Setting: Six primary care centres in the Elche Department of
Health of the Valencian Community, Spain. Participants: Three hundred patients
with knee pain who were prescribed MRI. Main Outcome Measures: Data were
collected from the electronic clinical history, which allowed us to assess the
appropriateness and inappropriateness of the MRI requests for the knee based on
the American College of Radiology (ACR) criteria. A multivariate logistic
regression model was used to identify factors associated with an inappropriate
request. Results: About 45% (41-49%) of knee MRI prescriptions were assessed as
inappropriate. The frequency was higher in female patients (odds ratio, OR =
1.96; P = 0.03). A history of knee trauma and urgent use of MRI were associated
with a lower frequency of inappropriate requests (OR = 0.14, P < 0.001 and OR =
0.32, P = 0.03, respectively). In 82% of cases, the request for MRI was deemed
inappropriate because it was used as the initial imaging test. The availability
of a previous radiograph of the knee significantly reduced the rate of
inappropriate requests (OR = 0.05, P < 0.001); only 47% of the patients had a
previous radiograph. Conclusions: The percentage of inappropriate knee MRI
prescriptions is high. Protocols should be put in place to improve the
appropriateness of MRI requests by promoting understanding of the appropriate use
of MRI among primary care physicians.
PMID- 29635291
TI - Increased Circulating FGF23 Does Not Lead to Cardiac Hypertrophy in the Male Hyp
Mouse Model of XLH.
AB - Serum levels of fibroblast growth factor 23 (FGF23) markedly increase with renal
impairment, with FGF23 levels correlating with the presence of left ventricular
hypertrophy (LVH) and mortality in patients with chronic kidney disease (CKD).
FGF23 activates calcineurin/nuclear factor of activated T cell (NFAT) signaling
and induces hypertrophy in murine cardiomyocytes. X-linked hypophosphatemia (XLH)
is characterized by high circulating levels of FGF23 but, in contrast to CKD, is
associated with hypophosphatemia. The cardiac effects of high circulating levels
of FGF23 in XLH are not well defined. Thus, studies were undertaken to define the
cardiac phenotype in the mouse model of XLH (Hyp mice). Echocardiographic and
histological analyses demonstrated that Hyp left ventricles (LVs) are smaller
than those of wild-type mice. Messenger RNA expression of cardiac hypertrophy
markers was not altered in the LV or right ventricle of Hyp mice. However, the
Hyp LVs had increased expression of the NFAT target genes NFATc1 and RCAN1. To
determine whether phosphate alone can induce markers of hypertrophy,
differentiated C2C12 myocytes were treated with phosphate. Phosphate treatment
increased expression of cardiac hypertrophy markers, supporting a primary role
for phosphate in inducing LVH. Although previous studies showed that increased
circulating FGF23 and phosphate levels are associated with LVH, our results
demonstrated that in XLH, high circulating levels of FGF23 in the setting of
hypophosphatemia do not induce cardiac hypertrophy.
PMID- 29635293
TI - Effects of Moth Age and Rearing Temperature on the Flight Performance of the
Loreyi Leafworm, Mythimna loreyi (Lepidoptera: Noctuidae), in Tethered and Free
Flight.
AB - To understand the migratory flight behaviors of the loreyi leafworm, Mythimna
loreyi Walker (Lepidoptera: Noctuidae), both tethered (flight distance, time, and
velocity) and free-flight activity (flight action, duration, and frequency) of
adults at different ages, sexes, and temperatures were investigated using
computer-controlled insect flight mills and an autonomous flight monitoring
system. Tethered flight activity differed significantly among ages and rearing
temperature, but not sex. Newly emerged adults (the first day after emergence)
displayed the lowest flight time, distance, and speed. However, flight
performance increased with age, peaking at 3 d. Relatively strong flight
performance was maintained up to 5 d postemergence and then declined
significantly by day 6. There was no significant difference in flight performance
between sexes for 3-d-old moths. Adults reared as larvae at 24 degrees C averaged
significantly longer flight duration and distance than those reared at other
temperatures. Both lower and higher rearing temperatures negatively affected
tethered flight. Similar results among age and rearing temperature treatments
were observed in autonomous free-flight tests. During 12-h free-flight tests,
flight activity peaked from 6 to 10 h after beginning of darkness. Free-flight
activity of 1- and 6-d-old adults was significantly less than that of 3-, 4-, and
5-d-old adults. Adults reared at 24 degrees C showed significantly greater free
flight action, duration, and frequency than those reared at other temperatures.
The results suggest that M. loreyi may be a migratory species.
PMID- 29635292
TI - Comparative whole genome DNA methylation profiling of cattle sperm and somatic
tissues reveals striking hypomethylated patterns in sperm.
AB - Background: Although sperm DNA methylation has been studied in humans and other
species, its status in cattle is largely unknown. Results: Using whole-genome
bisulfite sequencing (WGBS), we profiled the DNA methylome of cattle sperm
through comparison with three somatic tissues (mammary gland, brain, and blood).
Large differences between cattle sperm and somatic cells were observed in the
methylation patterns of global CpGs, pericentromeric satellites, partially
methylated domains (PMDs), hypomethylated regions (HMRs), and common repeats. As
expected, we observed low methylation in the promoter regions and high
methylation in the bodies of active genes. We detected selective hypomethylation
of megabase domains of centromeric satellite clusters, which may be related to
chromosome segregation during meiosis and their rapid transcriptional activation
upon fertilization. We found more PMDs in sperm cells than in somatic cells and
identified meiosis-related genes such asKIF2B and REPIN1, which are
hypomethylated in sperm but hypermethylated in somatic cells. In addition to the
common HMRs around gene promoters, which showed substantial differences between
sperm and somatic cells, the sperm-specific HMRs also targeted to distinct
spermatogenesis-related genes, including BOLL, MAEL, ASZ1, SYCP3, CTCFL, MND1,
SPATA22, PLD6, DDX4, RBBP8, FKBP6, and SYCE1. Although common repeats were
heavily methylated in both sperm and somatic cells, some young Bov-A2 repeats,
which belong to the SINE family, were hypomethylated in sperm and could affect
the promoter structures by introducing new regulatory elements. Conclusions: Our
study provides a comprehensive resource for bovine sperm epigenomic research and
enables new discoveries about DNA methylation and its role in male fertility.
PMID- 29635294
TI - Criteria for evaluating programme theory diagrams in quality improvement
initiatives: a structured method for appraisal.
AB - Background: Despite criticisms that many quality improvement (QI) initiatives
fail due to incomplete programme theory, there is no defined way to evaluate how
programme theory has been articulated. The objective of this research was to
develop, and assess the usability and reliability of scoring criteria to evaluate
programme theory diagrams. Methods: Criteria development was informed by
published literature and QI experts. Inter-rater reliability was tested between
two evaluators. About 63 programme theory diagrams (42 driver diagrams and 21
action-effect diagrams) were reviewed to establish whether the criteria could
support comparative analysis of different approaches to constructing diagrams.
Results: Components of the scoring criteria include: assessment of overall aim,
logical overview, clarity of components, cause-effect relationships, evidence and
measurement. Independent reviewers had 78% inter-rater reliability. Scoring
enabled direct comparison of different approaches to developing programme theory;
action-effect diagrams were found to have had a statistically significant but
moderate improvement in programme theory quality over driver diagrams; no
significant differences were observed based on the setting in which driver
diagrams were developed. Conclusions: The scoring criteria summarise the
necessary components of programme theory that are thought to contribute to
successful QI projects. The viability of the scoring criteria for practical
application was demonstrated. Future uses include assessment of individual
programme theory diagrams and comparison of different approaches (e.g.
methodological, teaching or other QI support) to produce programme theory. The
criteria can be used as a tool to guide the production of better programme theory
diagrams, and also highlights where additional support for QI teams could be
needed.
PMID- 29635295
TI - The Emergence and Development of Bioethics in the Uk.
AB - Bioethics emerged in a specific social and historical context. Its relationship
to older traditions in medical ethics and to environmental ethics is an ongoing
matter of debate. This article analyses the social, institutional, and economic
factors that led to the development of bioethics in the UK in the 1980s, and the
course it has taken since. We show how phenomena such as globalisation, the focus
on 'ethical legal and social issues' and the empirical turn have affected the
methods employed, and argue that ongoing controversies about the nature and
possibility of ethical expertise will affect its future.
PMID- 29635296
TI - Piggy: a rapid, large-scale pan-genome analysis tool for intergenic regions in
bacteria.
AB - Background: The concept of the "pan-genome," which refers to the total complement
of genes within a given sample or species, is well established in bacterial
genomics. Rapid and scalable pipelines are available for managing and
interpreting pan-genomes from large batches of annotated assemblies. However,
despite overwhelming evidence that variation in intergenic regions in bacteria
can directly influence phenotypes, most current approaches for analyzing pan
genomes focus exclusively on protein-coding sequences. Findings: To address this
we present Piggy, a novel pipeline that emulates Roary except that it is based
only on intergenic regions. A key utility provided by Piggy is the detection of
highly divergent ("switched") intergenic regions (IGRs) upstream of genes. We
demonstrate the use of Piggy on large datasets of clinically important lineages
of Staphylococcus aureus and Escherichia coli. Conclusions: For S. aureus, we
show that highly divergent (switched) IGRs are associated with differences in
gene expression and we establish a multilocus reference database of IGR alleles
(igMLST; implemented in BIGSdb).
PMID- 29635298
TI - A micro X-ray computed tomography dataset of South African hermit crabs
(Crustacea: Decapoda: Anomura: Paguroidea) containing scans of two rare specimens
and three recently described species.
AB - Background: Along with the conventional deposition of physical types at natural
history museums, the deposition of 3-dimensional (3D) image data has been
proposed for rare and valuable museum specimens, such as irreplaceable type
material. Findings: Micro computed tomography (MUCT) scan data of 5 hermit crab
species from South Africa, including rare specimens and type material, depicted
main identification characteristics of calcified body parts. However, low-image
contrasts, especially in larger (>50 mm total length) specimens, did not allow
sufficient 3D reconstructions of weakly calcified and fine characteristics, such
as soft tissue of the pleon, mouthparts, gills, and setation. Reconstructions of
soft tissue were sometimes possible, depending on individual sample and scanning
characteristics. The raw data of seven scans are publicly available for download
from the GigaDB repository. Conclusions: Calcified body parts visualized from
MUCT data can aid taxonomic validation and provide additional, virtual deposition
of rare specimens. The use of a nondestructive, nonstaining MUCT approach for
taxonomy, reconstructions of soft tissue structures, microscopic spines, and
setae depend on species characteristics. Constrained to these limitations, the
presented dataset can be used for future morphological studies. However, our
virtual specimens will be most valuable to taxonomists who can download a digital
avatar for 3D examination. Simultaneously, in the event of physical damage to or
loss of the original physical specimen, this dataset serves as a vital insurance
policy.
PMID- 29635297
TI - Genome Annotation Generator: a simple tool for generating and correcting WGS
annotation tables for NCBI submission.
AB - Background: One of the most overlooked, yet critical, components of a whole
genome sequencing (WGS) project is the submission and curation of the data to a
genomic repository, most commonly the National Center for Biotechnology
Information (NCBI). While large genome centers or genome groups have developed
software tools for post-annotation assembly filtering, annotation, and conversion
into the NCBI's annotation table format, these tools typically require back-end
setup and connection to an Structured Query Language (SQL) database and/or some
knowledge of programming (Perl, Python) to implement. With WGS becoming
commonplace, genome sequencing projects are moving away from the genome centers
and into the ecology or biology lab, where fewer resources are present to support
the process of genome assembly curation. To fill this gap, we developed software
to assess, filter, and transfer annotation and convert a draft genome assembly
and annotation set into the NCBI annotation table (.tbl) format, facilitating
submission to the NCBI Genome Assembly database. This software has no
dependencies, is compatible across platforms, and utilizes a simple command to
perform a variety of simple and complex post-analysis, pre-NCBI submission WGS
project tasks. Findings: The Genome Annotation Generator is a consistent and user
friendly bioinformatics tool that can be used to generate a .tbl file that is
consistent with the NCBI submission pipeline. Conclusions: The Genome Annotation
Generator achieves the goal of providing a publicly available tool that will
facilitate the submission of annotated genome assemblies to the NCBI. It is
useful for any individual researcher or research group that wishes to submit a
genome assembly of their study system to the NCBI.
PMID- 29635299
TI - Evaluation of Alternatives to an Organophosphate Insecticide with Selected
Cultural Practices: Effects on Thrips, Frankliniella fusca, and Incidence of
Spotted Wilt in Peanut Farmscapes.
AB - Peanut growers use a combination of tactics to manage spotted wilt disease caused
by thrips-transmitted Tomato spotted wilt virus (TSWV). They include planting
TSWV-resistant cultivars, application of insecticides, and various cultural
practices. Two commonly used insecticides against thrips are aldicarb and
phorate. Both insecticides exhibit broad-spectrum toxicity. Recent research has
led to the identification of potential alternatives to aldicarb and phorate. In
this study, along with reduced-risk, alternative insecticides, we evaluated the
effect of conventional versus strip tillage; single versus twin row seeding
pattern; and 13 seed/m versus 20 seed/m on thips density, feeding injury, and
spotted wilt incidence. Three field trials were conducted in Georgia in 2012 and
2013. Thrips counts, thrips feeding injuriy, and incidence of spotted wilt were
less under strip tillage than under conventional tillage. Reduced feeding injury
from thrips was observed on twin-row plots compared with single-row plots. Thrips
counts, thrips feeding injury, and incidence of spotted wilt did not vary by
seeding rate. Yield from twin-row plots was greater than yield from single-row
plots only in 2012. Yield was not affected by other cultural practices.
Alternative insecticides, including imidacloprid and spinetoram, were as
effective as phorate in suppressing thrips and reducing incidence of spotted wilt
in conjunction with cultural practices. Results suggest that cultural practices
and reduced-risk insecticides (alternatives to aldicarb and phorate) can
effectively suppress thrips and incidence of spotted wilt in peanut.
PMID- 29635301
TI - Review of VA Clinical Guidance for the Health Conditions Identified by the Camp
Lejeune Legislation.
PMID- 29635300
TI - Folate Receptor Near-Infrared Optical Imaging Provides Sensitive and Specific
Intraoperative Visualization of Nonfunctional Pituitary Adenomas.
AB - BACKGROUND: Surgical resection is the primary treatment for nonfunctional (NF)
pituitary adenomas, but gross-total resection is difficult to achieve in all
cases. NF adenomas overexpress folate receptor alpha (FRalpha). OBJECTIVE: To
test the hypothesis that we could target FRalpha for highly sensitive and
specific intraoperative detection of NF adenomas using near-infrared (NIR)
imaging. METHODS: Fourteen patients with NF pituitary adenoma were infused with
the folate analog NIR dye OTL38 preoperatively. NIR fluorescence signal-to
background ratio (SBR) was recorded for each tumor during resection of the
adenomas. Extent of surgery was not modified based on the presence or absence of
fluorescence. Immunohistochemistry was performed to assess FRalpha expression in
all specimens. Magnetic resonance imaging (MRI) was performed postoperatively to
assess residual neoplasm. RESULTS: Nine adenomas overexpressed FRalpha and
fluoresced with a NIR SBR of 3.2 +/- 0.52, whereas the 5 non-FRalpha
overexpressing adenomas fluoresced with an SBR of 1.5 +/- 0.21. Linear regression
demonstrated a significant correlation between intraoperative SBR and the FRalpha
expression (P-value < .001). Analysis of 14 margin samples revealed that the
surgeon's impression of the tissue had 83% sensitivity, 100% specificity, 100%
positive predictive value, and 89% negative predictive value, while NIR
fluorescence had 100% for all values. NIR fluorescence accurately predicted
postoperative MRI results in 78% of FRalpha-overexpressing patients. CONCLUSION:
Preoperative injection of folate-tagged NIR dye provides strong signal and
visualization of NF pituitary adenomas. It is 100% sensitive and specific for
detecting margin neoplasm and can predict postoperative MRI findings. Our results
suggest that NIR fluorescence may be superior to white-light visualization alone
and may improve resection rates in NF pituitary adenomas.
PMID- 29635302
TI - Microsurgical Resection of Medulla Oblongata Hemangioblastoma: 2-Dimensional
Operative Video.
AB - This video demonstrates the microsurgical resection of brainstem
hemangioblastoma. The patient is a 32-yr-old woman with Von Hippel Lindau
syndrome who presented with quadriparesis and inability to swallow. Magnetic
resonance imaging (MRI) of the neuroaxis revealed a brainstem cystic lesion with
contrast-enhancing tumor nodule right along the posterior aspect of the lower
part of medulla oblongata. The surgery was performed in the prone position with
suboccipital craniectomy and partial C1 posterior arch removal. The aim of the
surgery was to remove the tumor nodule.1-12The tumor was separated from the right
dorsal nerve roots, and then progressively dissected with coagulation of arterial
feeders and draining vein and division of the pia circumferentially.
Postoperative MRI revealed complete resection. The patient completely recovered
from her quadriparesis and difficulty swallowing.
PMID- 29635303
TI - The Effectiveness of Mindfulness- and Acceptance-Based Interventions for Informal
Caregivers of People With Dementia: A Meta-Analysis.
AB - Background and Objectives: The application of mindfulness- and acceptance-based
interventions (MABIs) for informal caregivers of people with dementia (PwD) is
relatively novel, and the current state of the evidence base is unclear. This
meta-analysis examined the effectiveness of MABIs on reducing symptoms of
depression and burden in informal caregivers of PwD. The quality of included
studies was evaluated and moderator variables explored. Research Design and
Methods: A literature search of six electronic databases (PsycARTICLES, PsycINFO,
MEDLINE Complete, SCOPUS, Web of Science, and ProQuest) was conducted from the
first available date to 20 December 2016. Inclusion criteria involved studies
that quantitatively investigated the impact of MABIs on depression and/or burden
in informal caregivers of PwD. Results: Twelve studies, providing data on 321
caregivers, were included. Most used mindfulness-based stress reduction and were
conducted in the United States. The average attrition among participants was
15.83%. The pre-post effect of MABIs was large for depression and moderate for
burden. These effects were largely maintained at follow-up. Significant
heterogeneity of effect sizes was observed, with no significant moderators
identified. Study quality varied from very poor to moderately good. Discussion
and Implications: The low attrition and moderate to large effects suggest that
MABIs are acceptable and beneficial for informal caregivers of PwD. The lack of
significant moderators could advocate services using more cost-effective forms of
MABIs. Further higher-quality research is needed to improve the robustness of the
evidence base and enable a meta-analysis to thoroughly examine and quantify
moderator variables.
PMID- 29635304
TI - Electronic checklists improve referral letters in gastroenterology: a randomized
vignette survey.
AB - Objective: Investigate whether gastroenterologists rate the quality of referral
letters higher if electronic dynamic checklist items are added to a standard free
text referral letter. Assess how this affects the gastroenterologists' assessment
of the patient's need for healthcare and the agreement between their assessments.
Design: Randomized vignette study. Setting: Norwegian primary gastroenterology
services. Participants: Thirty-two Norwegian gastroenterologists. Intervention:
Between June 2015 and January 2016, participants were recruited through an open
invitation to all members of the Norwegian Society of Gastroenterology. They were
asked to rate 16 referral letters (vignettes) in a web interface: eight letters
in free text following a general template and eight letters based on a general
referral template combined with diagnosis-specific checklist items. The study was
completed in two subsequent rounds >=3 months apart. Main Outcome Measures:
Quality of referral letters assessed on a rating scale from 0 to 10. Agreement in
the referral assessment and accuracy of the selection of correct preliminary
diagnosis and appropriate work-up. Results: The mean quality assesses on the
rating scale was 7.0 (95% confidence interval [CI] 6.8-7.2) for all letters
combined (n = 511), 6.5(CI 6.2-6.8) for the free-text referrals (n = 256) and
7.5(CI 7.3-7.7) for the checklist referrals (n = 255) (P < 0.001, paired t-test).
No difference was observed in the triage of the patients, but fewer
gastroenterologists felt the need to collect additional information about the
patients in the checklist group. Conclusion: Checklist items may ease the
assessment of the referrals for gastroenterologists. We were not able to show
that checklists significantly influence the management of patients.
PMID- 29635305
TI - Modeling the Western Diet for Preclinical Investigations.
AB - Rodent models have been invaluable for biomedical research. Preclinical
investigations with rodents allow researchers to investigate diseases by using
study designs that are not suitable for human subjects. The primary criticism of
preclinical animal models is that results are not always translatable to humans.
Some of this lack of translation is due to inherent differences between species.
However, rodent models have been refined over time, and translatability to humans
has improved. Transgenic animals have greatly aided our understanding of
interactions between genes and disease and have narrowed the translation gap
between humans and model animals. Despite the technological innovations of animal
models through advances in genetics, relatively little attention has been given
to animal diets. Namely, developing diets that replicate what humans eat will
help make animal models more relevant to human populations. This review focuses
on commonly used rodent diets that are used to emulate the Western dietary
pattern in preclinical studies of obesity and type 2 diabetes, nonalcoholic liver
disease, maternal nutrition, and colorectal cancer.
PMID- 29635307
TI - It Takes Two to Read: Interactive Reading with Young Deaf and Hard-of-Hearing
Children.
AB - Interactive storybook reading is an important activity to enhance the emergent
literacy skills of young deaf and hard-of-hearing (DHH) children. Parents have a
crucial role to play in promoting their children's literacy development. However,
parents often do not read in an interactive way; therefore guidance is
recommended in applying these interactive reading strategies. In the present
study we examined how parent reading behavior was affected by implementing an
interactive reading training program for parents of young DHH children. Parents
of 18 DHH toddlers in the Netherlands participated in a series of group training
sessions and their interactive reading behavior was compared to that of 10
parents who did not participate. The results showed that parents' interactive
reading behavior tended to increase after they participated in the interactive
reading program. After the program, they applied the interactive reading
strategies more often than parents who had not participated in the program. The
findings suggest that interactive reading programs should be incorporated into
early intervention programs for DHH children.
PMID- 29635308
TI - Target-based selectivity of strigolactone agonists and antagonists in plants and
their potential use in agriculture.
AB - Strigolactones (SLs) are small carotenoid-derived molecules that possess a wide
spectrum of functions, including plant hormonal activities and chemical mediation
of rhizosphere communication with both root parasitic plants and symbiotic
arbuscular mycorrhizal fungi. Chemicals that regulate the functions of SLs may
therefore have the potential to become widely used in agricultural applications.
For example, various SL analogs and mimics have been developed to reduce the seed
banks of root parasites in the field. Other analogs and mimics act selectively to
suppress branching, with weak, or no stimulation, of germination in root
parasites. In addition, some antagonists for SL receptors have been developed
based on the mechanisms of SL perception. A better understanding of the modes of
action of SL perception by various receptors will help to support the design of
SL analogs, mimics, and antagonists with high activity and selectivity. Here, we
review the compounds reported so far from the viewpoint of their selectivity to
their targets, and the possibilities for their use in agriculture.
PMID- 29635306
TI - Pleiotropic mapping and annotation selection in genome-wide association studies
with penalized Gaussian mixture models.
AB - Motivation: Genome-wide association studies (GWASs) have identified many genetic
loci associated with complex traits. A substantial fraction of these identified
loci is associated with multiple traits-a phenomena known as pleiotropy.
Identification of pleiotropic associations can help characterize the genetic
relationship among complex traits and can facilitate our understanding of disease
etiology. Effective pleiotropic association mapping requires the development of
statistical methods that can jointly model multiple traits with genome-wide
single nucleic polymorphisms (SNPs) together. Results: We develop a joint
modeling method, which we refer to as the integrative MApping of Pleiotropic
association (iMAP). iMAP models summary statistics from GWASs, uses a
multivariate Gaussian distribution to account for phenotypic correlation,
simultaneously infers genome-wide SNP association pattern using mixture modeling
and has the potential to reveal causal relationship between traits. Importantly,
iMAP integrates a large number of SNP functional annotations to substantially
improve association mapping power, and, with a sparsity-inducing penalty, is
capable of selecting informative annotations from a large, potentially non
informative set. To enable scalable inference of iMAP to association studies with
hundreds of thousands of individuals and millions of SNPs, we develop an
efficient expectation maximization algorithm based on an approximate penalized
regression algorithm. With simulations and comparisons to existing methods, we
illustrate the benefits of iMAP in terms of both high association mapping power
and accurate estimation of genome-wide SNP association patterns. Finally, we
apply iMAP to perform a joint analysis of 48 traits from 31 GWAS consortia
together with 40 tissue-specific SNP annotations generated from the Roadmap
Project. Availability and implementation: iMAP is freely available at
http://www.xzlab.org/software.html. Supplementary information: Supplementary data
are available at Bioinformatics online.
PMID- 29635309
TI - The Neuropsychological Profile and Phenomenology of Late Onset Psychosis: A Cross
sectional Study on the Differential Diagnosis of Very-Late-Onset Schizophrenia
Like Psychosis, Dementia with Lewy Bodies and Alzheimer's Type Dementia with
Psychosis.
AB - Objective: Late onset psychosis not only occurs as a prodromal symptom to
neurodegeneration, but it can also be associated with a non-progressive mild
cognitive deficit. Studying the phenomenology of psychotic symptoms and the
neuropsychological profile may serve as sensitive and non-invasive tools for
differential diagnosis. Method: We compared 57 individuals with very-late-onset
schizophrenia-like psychosis (VLOSLP), 49 participants with Dementia with Lewy
Bodies (DLB) and 35 patients with Alzheimer's type Dementia and psychosis (AD+P)
concerning the phenomenology of psychotic symptoms and the neuropsychological
profile using several measures of cognitive function in a cross-sectional study.
Results: Participants with DLB exhibited more visual hallucinations, especially
those involving animals, and less partition/paranoid delusions than both other
groups. VLOSLP showed more partition delusions and auditory hallucinations of
human voices than both other groups. Hence, patients with DLB and VLOSLP showed
greater dissimilarity in the phenomenology of psychosis, whereas individuals with
AD+P held an intermediate position. Processing speed and executive function were
comparably impaired among the three groups, as was expected considering a common
underlying set of neurobiological abnormalities for psychosis. However, AD+P
showed more strongly reduced learning and consolidation skills, whereas DLB was
associated with prominent visuoconstructive deficits. Conclusions: Phenomenology
of psychosis may prove especially informative when comparing individuals with DLB
to those with VLOSLP. Neuropsychological profiles are able to further aid
differential diagnosis of the three groups.
PMID- 29635310
TI - 3DClusterViSu: 3D clustering analysis of super-resolution microscopy data by 3D
Voronoi tessellations.
AB - Motivation: Single-molecule localization microscopy (SMLM) can play an important
role in integrated structural biology approaches to identify, localize and
determine the 3D structure of cellular structures. While many tools exist for the
3D analysis and visualization of crystal or cryo-EM structures little exists for
3D SMLM data, which can provide unique insights but are particularly challenging
to analyze in three dimensions especially in a dense cellular context. Results:
We developed 3DClusterViSu, a method based on 3D Voronoi tessellations that
allows local density estimation, segmentation and quantification of 3D SMLM data
and visualization of protein clusters within a 3D tool. We show its robust
performance on microtubules and histone proteins H2B and CENP-A with distinct
spatial distributions. 3DClusterViSu will favor multi-scale and multi-resolution
synergies to allow integrating molecular and cellular levels in the analysis of
macromolecular complexes. Availability and impementation: 3DClusterViSu is
available under http://cbi-dev.igbmc.fr/cbi/voronoi3D. Supplementary information:
Supplementary figures are available at Bioinformatics online.
PMID- 29635311
TI - The Impact of an Electronic Expensive Test Notification.
AB - Objectives: The impact of clinical decision support tools (CDSTs) that display
test cost information has been variable. Methods: We retrospectively analyzed the
3-year impact of a passive CDST that notified providers when the test order cost
was $1,000 or more. We determined the most common expensive tests ordered, the
frequency with which providers abandoned the order after notification, and the
costs saved through this intervention. Results: The average monthly abandonment
rate was 12.5% (2014), 12.9% (2015), and 14.3% (2016). The cost savings from
tests not performed for this 3-year period was $696,007. Molecular
hematopathology assays were the most frequently ordered tests, with variable
abandonment rates. Conclusions: Although this CDST was passive (ie, could be
overridden at the point of order entry) and was associated with a relatively low
abandonment rate, it achieved a considerable cost savings each year since each
abandoned test saved the institution $1,000 or more.
PMID- 29635312
TI - Primary Intestinal Epstein-Barr Virus-associated Natural Killer/T-cell
Lymphoproliferative Disorder: A Disease Mimicking Inflammatory Bowel Disease.
AB - Background and Aims: Primary intestinal Epstein-Barr virus [EBV]-associated
natural killer/T-cell lymphoproliferative disorder [PIEBV+ NK/T-LPD] is a rare
clinical entity, which is difficult to differentiate from inflammatory bowel
disease [IBD]. We present a series of Chinese patients with PIEBV+ NK/T-LPD to
increase awareness among clinicians of this condition. Methods: Patients
diagnosed with PIEBV+ NK/T-LPD at West China hospital between 2014 and 2016 were
included. Clinical and histopathological characteristics were reviewed, and key
aspects of differential diagnosis were presented. Results: Twelve patients
diagnosed with PIEBV+ NK/T-LPD were identified. Initial symptoms included
intermittent fever [11/12 patients], abdominal pain [9/12], haematochezia [8/12],
and diarrhoea [3/12]. Main endoscopic findings included multisegmental irregular,
variable-sized ulcers, isolated giant ulcers, and diffuse inflammation. Colon and
ileocaecum were mainly affected in 11 patients. The main PIEBV+ NK/T-LPD
immunophenotypic profile of the infiltrating cells was CD3epsilon-positive NK/T
cells characterised by positive T-cell intracellular antigen-1 and granzyme B,
with CD5 deletion. In situ hybridisation was positive for EBV-encoded small RNAs
1/2 in all patients. Eleven patients were misdiagnosed with ulcerative colitis
[4/11], Crohn's disease [4/11], or tuberculosis [TB, 3/11], owing to the similar
endoscopic and histopathological features. The mean number of endoscopic
procedures performed before reaching the diagnosis of PIEBV+ NK/T-LPD was 3.58;
in four patients, the diagnosis was confirmed only after surgical resection
following complications. Conclusions: PIEBV+ NK/T-LPD may be difficult to
differentiate from IBD or TB owing to overlapping endoscopic and pathological
findings. Early identification of EBV reactivation in tissue samples is essential
for the accurate diagnosis.
PMID- 29635314
TI - Growth characteristics of Chlamydia trachomatis in human intestinal epithelial
Caco-2 cells.
AB - Chlamydia trachomatis is an obligate intracellular bacterium causing infections
of the eyes, urogenital and respiratory tracts. Asymptomatic, repeat and chronic
infections with C. trachomatis are common in the urogenital tract potentially
causing severe reproductive pathology. Animal models of infection and
epidemiological studies suggested the gastrointestinal tract as a reservoir of
chlamydiae and as a source of repeat urogenital infections. Thus, we investigated
the growth characteristics of C. trachomatis in human intestinal epithelial Caco
2 cells and the infection-induced defensin production. Immunofluorescence
staining and transmission electron microscopy showed the presence of chlamydial
inclusions in the cells. Chlamydial DNA and viable C. trachomatis were recovered
from Caco-2 cells in similar quantity compared to that detected in the usual in
vitro host cell of this bacterium. The kinetics of expression of selected C.
trachomatis genes in Caco-2 cells indicated prolonged replication with persisting
high expression level of late genes and of heat shock protein gene groEL.
Replication of C. trachomatis induced moderate level of beta-defensin-2
production by Caco-2 cells, which might contribute to avoidance of immune
recognition in the intestine. According to our results, Caco-2 cells support C.
trachomatis replication, suggesting that the gastrointestinal tract is a site of
residence for these bacteria.
PMID- 29635313
TI - Perspective: Protein Requirements and Optimal Intakes in Aging: Are We Ready to
Recommend More Than the Recommended Daily Allowance?
AB - The Dietary Reference Intakes set the protein RDA for persons >19 y of age at 0.8
g protein ? kg body weight-1 ? d-1. A growing body of evidence suggests, however,
that the protein RDA may be inadequate for older individuals. The evidence for
recommending a protein intake greater than the RDA comes from a variety of
metabolic approaches. Methodologies centered on skeletal muscle are of paramount
importance given the age-related decline in skeletal muscle mass and function
(sarcopenia) and the degree to which dietary protein could mitigate these
declines. In addition to evidence from short-term experimental trials,
observational data show that higher protein intakes are associated with greater
muscle mass and, more importantly, better muscle function with aging. We are in
dire need of more evidence from longer-term intervention trials showing the
efficacy of protein intakes that are higher than the RDA in older persons to
support skeletal muscle health. We propose that it should be recommended that
older individuals consume >=1.2 g protein . kg-1 . d-1 and that there should be
an emphasis on the intake of the amino acid leucine, which plays a central role
in stimulating skeletal muscle anabolism. Critically, the often-cited potential
negative effects of consuming higher protein intakes on renal and bone health are
without a scientific foundation in humans.
PMID- 29635315
TI - Elimination of primordial germ cells in sturgeon embryos by ultraviolet
irradiation.
AB - A technique for rescuing and propagating endangered species involves implanting
germ line stem cells into surrogates of a host species whose primordial germ
cells (PGCs) have been destroyed. We induced sterilization in sterlet (Acipenser
ruthenus) embryos by means of ultraviolet (UV) irradiation at the vegetal pole,
the source of early-stage PGCs of sturgeon eggs. The optimal cell stage and
length of UV irradiation for the effective repression of the developing PGCs were
determined by exposing embryos at the one- to four-cell stage to different doses
of irradiation at a wavelength of 254 nm (the optimal absorbance spectrum for
germplasm destruction). The vegetal pole region of the embryos was labeled
immediately upon irradiation with GFP bucky ball mRNA to monitor the amount of
germ plasm and FITC-dextran (M.W. 500,000) to obtain the number of PGCs in the
embryos. The size of the germ plasm and number of surrounding mitochondria in the
irradiated embryos and controls were observed using transmission electron
microscopy, which revealed a drastic reduction in both on the surface of the
vegetal pole in the treated embryos. Furthermore, the reduction in the number of
PGCs was proportional to the dose of UV irradiation. Under the conditions tested,
optimum irradiation for PGCs removal was seen at 360 mJ/cm2 at the one-cell
stage. Although some PGCs were observed after the UV irradiation, they
significantly reduced in number as the embryos grew. We conclude that UV
irradiation is a useful and efficient technique to induce sterility in surrogate
sturgeons.
PMID- 29635316
TI - Induction chemotherapy in locally advanced squamous cell carcinoma of the head
and neck: role, controversy, and future directions.
AB - Background: The value of induction chemotherapy (ICT) remains under investigation
despite decades of research. New advancements in the field, specifically
regarding the induction regimen of choice, have reignited interest in this
approach for patients with locally advanced squamous cell carcinoma of the head
and neck (LA SCCHN). Sufficient evidence has accumulated regarding the benefits
and superiority of TPF (docetaxel, cisplatin, and fluorouracil) over the
chemotherapy doublet cisplatin and fluorouracil. We therefore sought to collate
and interpret the available data and further discuss the considerations for
delivering ICT safely and optimally selecting suitable post-ICT regimens. Design:
We nonsystematically reviewed published phase III clinical trials on TPF ICT in a
variety of LA SCCHN patient populations conducted between 1990 and 2017. Results:
TPF may confer survival and organ preservation benefits in a subgroup of patients
with functionally inoperable or poor-prognosis LA SCCHN. Additionally, patients
with operable disease or good prognosis (who are not candidates for organ
preservation) may benefit from TPF induction in terms of reducing local and
distant failure rates and facilitating treatment deintensification in selected
populations. The safe administration of TPF requires treatment by a
multidisciplinary team at an experienced institution. The management of adverse
events associated with TPF and post-ICT radiotherapy-based treatment is crucial.
Finally, post-ICT chemotherapy alternatives to cisplatin concurrent with
radiotherapy (i.e. cetuximab or carboplatin plus radiotherapy) appear promising
and must be investigated further. Conclusions: TPF is an evidence-based ICT
regimen of choice in LA SCCHN and confers benefits in suitable patients when it
is administered safely by an experienced multidisciplinary team and paired with
the optimal post-ICT regimen, for which, however, no consensus currently exists.
PMID- 29635317
TI - The latent geometry of the human protein interaction network.
AB - Motivation: A series of recently introduced algorithms and models advocates for
the existence of a hyperbolic geometry underlying the network representation of
complex systems. Since the human protein interaction network (hPIN) has a complex
architecture, we hypothesized that uncovering its latent geometry could ease
challenging problems in systems biology, translating them into measuring
distances between proteins. Results: We embedded the hPIN to hyperbolic space and
found that the inferred coordinates of nodes capture biologically relevant
features, like protein age, function and cellular localization. This means that
the representation of the hPIN in the two-dimensional hyperbolic plane offers a
novel and informative way to visualize proteins and their interactions. We then
used these coordinates to compute hyperbolic distances between proteins, which
served as likelihood scores for the prediction of plausible protein interactions.
Finally, we observed that proteins can efficiently communicate with each other
via a greedy routing process, guided by the latent geometry of the hPIN. We show
that these efficient communication channels can be used to determine the core
members of signal transduction pathways and to study how system perturbations
impact their efficiency. Availability and implementation: An R implementation of
our network embedder is available at https://github.com/galanisl/NetHypGeom.
Also, a web tool for the geometric analysis of the hPIN accompanies this text at
http://cbdm-01.zdv.uni-mainz.de/~galanisl/gapi. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29635318
TI - The Voice of the Consumer: A Survey of Veterans and Other Users of Assistive
Technology.
AB - Introduction: A total of 3.6 million Americans and over 250,000 veterans use
wheelchairs. The need for advancements in mobility-assistive technologies is
continually growing due to advances in medicine and rehabilitation that preserve
and prolong the lives of people with disabilities, increases in the senior
population, and increases in the number of veterans and civilians involved in
conflict situations. The purpose of this study is to survey a large sample of
veterans and other consumers with disabilities who use mobility-assistive
technologies to identify priorities for future research and development.
Materials and Methods: This survey asked participants to provide opinions on the
importance of developing various mobility-assistive technologies and to rank the
importance of certain technologies. Participants were also asked to provide open
ended comments and suggestions. Results: A total of 1,022 individuals, including
500 veterans, from 49 states within the USA and Puerto Rico completed the survey.
The average age of respondents was 54.3 yr, and they represented both new and
experienced users of mobility-assistive technologies. The largest diagnostic
group was spinal cord injury (SCI) (N = 491, 48.0%). Several themes on critical
areas of research emerged from the open-ended questions, which generated a total
of 1,199 comments. Conclusion: This survey revealed several themes for future
research and development. Advanced wheelchair design, smart device applications,
human-machine interfaces, and assistive robotics and intelligent systems emerged
as priorities. Survey results also demonstrated the importance for researchers to
understand the effects of policy and cost on translational research and to be
involved in educating both consumers and providers.
PMID- 29635320
TI - Simultaneous HPLC Determination of Cisatracurium and Propofol in Human Plasma via
Fluorometric Detection.
AB - The proposed method describes a high performance liquid chromatographic method
with fluoremetric detection for the determination of cisatracurium (CIS) and
propofol (PRP) simultaneously, which are co-administered as a pre-operative
injection mixture. The separation of the two drugs was achieved using monolithic
column (100 mm and 4.6 mm internal diameter) and mixture of methanol and 0.1 M
phosphate buffer in the ratio of 80:20 (v/v) at pH 4.5 as a mobile phase. The
fluorescence detection was carried out at 230/324 nm. The procedure showed good
linearity through the concentration ranges of 0.01-1.00 MUg/mL and 0.1-3.0 MUg/mL
with limits of detection of 0.002, 0.030 MUg/mL and limits of quantification of
0.006, 0.100 MUg/mL for CIS and PRP, respectively. Simultaneous determination of
CIS and PRP in spiked human plasma samples was additionally executed and the
results were satisfactory precise and accurate.
PMID- 29635319
TI - Cortico-Amygdala-Striatal Activation by Modafinil/Flecainide Combination.
AB - Background: Modafinil, a nonamphetaminic wake-promoting compound, is prescribed
as first line therapy in narcolepsy, an invalidating disorder characterized by
excessive daytime sleepiness and cataplexy. Although its mode of action remains
incompletely known, recent studies indicated that modafinil modulates astroglial
connexin-based gap junctional communication as administration of a low dose of
flecainide, an astroglial connexin inhibitor, enhanced the wake-promoting and
procognitive activity of modafinil in rodents and healthy volunteers. The aim of
this study is to investigate changes in glucose cerebral metabolism in rodents,
induced by the combination of modafinil+flecainide low dose (called THN102).
Methods: The impact of THN102 on brain glucose metabolism was noninvasively
investigated using 18F-2-fluoro-2-deoxy-D-glucose Positron Emission Tomography
imaging in Sprague-Dawley male rats. Animals were injected with vehicle,
flecainide, modafinil, or THN102 and further injected with 18F-2-fluoro-2-deoxy-D
glucose followed by 60-minute Positron Emission Tomography acquisition. 18F-2
fluoro-2-deoxy-D-glucose Positron Emission Tomography images were coregistered to
a rat brain template and normalized from the total brain Positron Emission
Tomography signal. Voxel-to-voxel analysis was performed using SPM8 software.
Comparison of brain glucose metabolism between groups was then performed.
Results: THN102 significantly increased regional brain glucose metabolism as it
resulted in large clusters of 18F-2-fluoro-2-deoxy-D-glucose uptake localized in
the cortex, striatum, and amygdala compared with control or drugs administered
alone. These regions, highly involved in the regulation of sleep-wake cycle,
emotions, and cognitive functions were hence quantitatively modulated by THN102.
Conclusion: Data presented here provide the first evidence of a regional brain
activation induced by THN102, currently being tested in a phase II clinical trial
in narcoleptic patients.
PMID- 29635322
TI - Atrial approaches to the mitral valve: is there a difference in postoperative
rhythm disturbance and permanent pacemaker implantation?
AB - A best evidence topic in cardiac surgery was written according to a structured
protocol. The question addressed was whether there was a difference in
postoperative outcomes [namely permanent pacemaker (PPM) insertion, rhythm
disturbance, reoperation for bleeding, hospital stay and in-hospital mortality]
between trans-septal or superior (extended) trans-septal (STS) approaches in
comparison with the conventional left atriotomy (LA) used in mitral valve
surgery. Using the reported search strategy, 353 papers were found of which 11
represented the best evidence to answer the clinical question. The authors,
journal, year and country of publication, patient group studied, study type,
relevant outcomes and results of these papers are tabulated. Two papers compared
all 3 atrial incisions with neither showing a difference in PPM implantation
rate, whereas 2 papers compared just the trans-septal with the LA approach, again
both finding no significant difference in PPM implantation. Seven studies
compared the STS approach with the LA approach with regard to PPM implantation; 1
study showed that the STS approach was an independent risk factor for PPM
implantation, PPM insertion was not necessary in 2 studies and there was no
difference in PPM insertion in 4 studies. Postoperative junctional rhythm was
studied in 5 papers that compared the STS approach with the LA approach;
junctional rhythm was more prevalent in the STS approach in 2 studies, albeit
transient, whereas 3 papers did not show a significant difference. Mortality,
hospital stay and re-exploration for bleeding did not differ among the 3 groups.
PMID- 29635321
TI - Inhibition of transient receptor potential melastatin 8 alleviates airway
inflammation and remodeling in a murine model of asthma with cold air stimulus.
AB - Cold air stimulus is an important environmental factor that exacerbates asthma.
At the molecular level, the transient receptor potential melastatin 8 (TRPM8)
plays a crucial part in cold detection. The roles of TRPM8 in airway inflammation
and remodeling in a murine model of asthma with cold stimulus and the related
molecular mechanism are largely unknown. In this study, C57BL/6 mice were
randomly divided into four groups: phosphate-buffered saline control group
(control), ovalbumin (OVA)-induced asthma group (OVA), OVA with cold air stimulus
group (OVA+cold), and OVA+cold+shTRPM8 (TRPM8 short hairpin RNA) group. We showed
that cold air stimulus-induced TRPM8 upregulation in the OVA+cold group.
Moreover, TRPM8 knockdown significantly attenuated cold-induced inflammation and
infiltration, decreased levels of immunoglobulin E, restored the Th1/Th2 balance,
and reduced inflammatory cell accumulation and airway remodeling. Furthermore, we
demonstrated that TRPM8 knockdown dramatically inhibited mitogen-activated
protein kinase and nuclear factor-kappaB pathways. Collectively, these results
revealed that cold air stimulus induced an airway inflammatory response and
remodeling by increasing TRPM8 expression and that downregulation of TRPM8
alleviated these responses.
PMID- 29635323
TI - Myocardial fibrosis as an early feature in phospholamban p.Arg14del mutation
carriers: phenotypic insights from cardiovascular magnetic resonance imaging.
AB - Aims: The p.Arg14del founder mutation in the gene encoding phospholamban (PLN) is
associated with an increased risk of malignant ventricular arrhythmia (VA) and
heart failure. It has been shown to lead to calcium overload, cardiomyocyte
damage, and eventually to myocardial fibrosis. This study sought to investigate
ventricular function, the extent and localization of myocardial fibrosis and the
associations with ECG features and VA in PLN p.Arg14del mutation carriers.
Methods and results: Cardiovascular magnetic resonance (CMR) data of 150 mutation
carriers were analysed retrospectively. Left ventricular (LV) and right
ventricular (RV) volumes, mass, and ejection fraction were measured. The extent
of late gadolinium enhancement (LGE) was expressed as a percentage of myocardial
mass. All standard ECG parameters were measured. Occurrence of VA was analysed on
ambulatory 24-h and/or exercise electrocardiography, if available. Mean age was
40 +/- 15 years, 42% males, and 7% were index patients while 93% were pre
symptomatic carriers identified after family cascade screening. Mean LV ejection
fraction (LVEF) and RV ejection fraction were 58 +/- 9% and 55 +/- 9%,
respectively. LV-LGE was present in 91% of mutation carriers with reduced LVEF
(<45%) and in 30% of carriers with preserved LVEF. In carriers with positive LV
LGE, its median extent was 5.9% (interquartile range 3.2-12.7). LGE was mainly
observed in the inferolateral wall. Carriers with inverted T-waves in the lateral
ECG leads more often had LV-LGE (P < 0.01) than carriers without. Finally, the
presence of LV-LGE, but not attenuated R-waves and inverted lateral T-waves, was
independently associated with VA. Conclusion: LV myocardial fibrosis is present
in many PLN p.Arg14del mutation carriers, and who still have a preserved LVEF. It
is seen predominantly in the LV inferolateral wall and corresponds with
electrocardiographic repolarization abnormalities. Although preliminary,
myocardial fibrosis was found to be independently associated with VA. Our
findings support the use of CMR with LGE early in the diagnostic work-up.
PMID- 29635324
TI - Biceps Tendon Sheath Injection: An Anatomical Conundrum.
AB - Objective: Long head biceps tendon peritendinous or sheath injections are
routinely administered at or immediately distally to the bicipital groove. The
main indication for injection remains the clinical diagnosis or treatment of
biceps tendinopathy, although true inflammation of the tendon within the
bicipital groove is rare. Because the tendon sheath is merely an extension of the
joint cavity, it is plausible to assume that an injection into the sheath would
result in intraarticular spread. Surprisingly, such an anatomical tenet has a
vague confirmation in the published clinical literature. This experiment was
undertaken to investigate patterns of injectate spread when peri-tendon injection
at the bicipital groove is performed. Design: An experimental cadaveric study.
Setting: An institutional clinical anatomy laboratory. Methods: Twelve ultrasound
guided methylene blue injections of the bicep tendon sheath were performed on
cadaver specimens. Dissections and gross examination of staining of the internal
joint surfaces were performed. Visual confirmation of the intra- and/or extra
articular spread of the injectate was performed. Results: In 11 specimens,
injected contrast was found spreading onto the entire internal joint surface,
including glenoid cartilage. One extraarticular injection was attributable to a
technical issue. Conclusions: The experiment confirmed continuity of the joint
capsule and the biceps tendon sheath. These results suggest a low diagnostic
utility of peritendinous injections at the level of the bicep groove. Such
injections would likely result in intraarticular deposit of the injectate.
Nonetheless, this approach may be utilized as an alternative simplified access to
the glenohumeral joint.
PMID- 29635325
TI - The effect of cellobiose on the health status of growing rabbits depends on the
dietary level of soluble fiber.
AB - The aim of this study was to examine whether the combination of dietary soluble
fiber and cellobiose exerts a synergistic effect on growth performance, health
status, fermentation traits, and immune response in rabbits. Six treatments in a
3 * 2 factorial arrangement were used: 3 cellobiose concentrations in drinking
water (0.0, 7.5, and 15.0 g/L) * 2 dietary levels of soluble fiber (84.0 and 130
g/kg DM, for the low soluble fiber [LSF] and high soluble fiber [HSF] diets,
respectively). A total of 318 young rabbits (53/treatment) were weaned at 34 d of
age and had ad libitum access to feed and water. At 46 d of age, 9
rabbits/treatment were slaughtered and ileal and cecal digesta were collected to
analyze VFA profile and the immune response in the cecal appendix mucosa. At 48 d
of age, the cellobiose supplementation was withdrawn and the experimental diets
were replaced by a standard commercial diet until 61 d of age. From 34 to 48 d of
age, there was a linear increase of mortality with the level of cellobiose in the
HSF group (0% vs. 17.1%; P = 0.017). In contrast, a quadratic effect of
cellobiose level on mortality was observed in the LSF group, the rabbits offered
7.5-cellobiose showing the lowest mortality (5.7% vs. 21.4%; P = 0.030).
Cellobiose level had a quadratic effect on ADFI, ADG, and G:F in this period (P
<= 0.047), with the 7.5-cellobiose groups having the best growth performance. In
contrast, only minor changes on these traits were observed from 48 d of age
onwards. Cellobiose level influenced quadratically the ileal VFA concentrations
(P = 0.014), showing the maximal value in the 7.5-cellobiose groups. In rabbits
fed 7.5-cellobiose-LSF, a change of acetate to propionate, butyrate, and valerate
was observed in the ileum. Increasing cellobiose levels reduced linearly cecal
VFA concentrations in HSF fed rabbits, but no effect was detected in LSF groups
(P = 0.046). The level of soluble fiber increased VFA concentrations in both the
ileum (by 22%; P < 0.001), and the cecum (by 11%; P = 0.005). The relative gene
expression of IL-6, IL-10, TNF-alpha, iNOS, MUC-1, and toll-like receptors (TLR-2
and TLR-4) in the cecal appendix increased linear and quadratically with
increasing levels of cellobiose (P <= 0.063). In conclusion, in rabbits fed LSF
diets, a dose of 7.5 g cellobiose/L drinking water would be recommended, whereas
these levels of cellobiose supplementation should be avoided in rabbits fed HSF
diets.
PMID- 29635326
TI - Landscape Effects on Reproduction of Euschistus servus (Hemiptera: Pentatomidae),
a Mobile, Polyphagous, Multivoltine Arthropod Herbivore.
AB - Landscape factors can significantly influence arthropod populations. The
economically important brown stink bug, Euschistus servus (Say) (Hemiptera:
Pentatomidae), is a native mobile, polyphagous and multivoltine pest of many
crops in southeastern United States and understanding the relative influence of
local and landscape factors on their reproduction may facilitate population
management. Finite rate of population increase (lambda) was estimated in four
major crop hosts-maize, peanut, cotton, and soybean-over 3 yr in 16 landscapes of
southern Georgia. A geographic information system (GIS) was used to characterize
the surrounding landscape structure. LASSO regression was used to identify the
subset of local and landscape characteristics and predator densities that account
for variation in lambda. The percentage area of maize, peanut and woodland and
pasture in the landscape and the connectivity of cropland had no influence on E.
servus lambda. The best model for explaining variation in lambda included only
four predictor variables: whether or not the sampled field was a soybean field,
mean natural enemy density in the field, percentage area of cotton in the
landscape and the percentage area of soybean in the landscape. Soybean was the
single most important variable for determining E. servus lambda, with much
greater reproduction in soybean fields than in other crop species. Penalized
regression and post-selection inference provide conservative estimates of the
landscape-scale determinants of E. servus reproduction and indicate that a
relatively simple set of in-field and landscape variables influences reproduction
in this species.
PMID- 29635327
TI - Use of a Lysine-Derived Urethane Surgical Adhesive as an Alternative to
Progressive Tension Sutures in Abdominoplasty Patients: A Cohort Study.
AB - Background: Fluid accumulation is a common complication after abdominoplasty
procedures, and is typically managed by the placement of post-surgical drains.
Progressive tension sutures (PTS) have been shown to be an effective approach to
reduce the dead space by point-wise mechanical fixation, allowing for drain-free
procedures. Lysine-derived urethane surgical adhesive provides an alternative
approach for mechanical fixation and reduction of dead space, and may reduce
surgery time compared to PTS. Objectives: This prospective, controlled, single
center clinical study compared progressive tension suture wound closure technique
without drains (control) to tissue adhesive wound closure technique without
drains (test) during abdominoplasty surgery. The objective was to determine if
lysine-derived urethane surgical adhesive is an effective alternative to PTS for
drain-free abdominoplasty procedures. Methods: Patients undergoing abdominoplasty
who met the established inclusion/exclusion criteria were consented and enrolled
in the study. Ten PTS (control) cases were performed, followed immediately by 10
tissue adhesive (test) cases. Results: Surgeries were completed over an 8-month
period. No statistical differences were identified between the two groups with
regard to age, BMI, dissection surface area or flap weight. No clinical seroma
formation was observed in either group. In the control (PTS) group, two patients
developed small areas of dermal closure suture abscess requiring removal of
suture material. One control patient developed drainage and fat necrosis thought
to be related to PTS above the incision and later required a scar revision. One
tissue adhesive patient developed hypertrophic scars of both her breast reduction
and abdominoplasty scars requiring additional treatment. Conclusions: Lysine
derived urethane surgical adhesive was applied in less time than PTS, even after
accounting for holding pressure for 5 minutes. The tissue adhesive provided four
times the number of attachment points compared to PTS, although the significance
of this is not clear. Based on these results, the use of lysine-derived urethane
surgical adhesive was found to be a safe and effective alternative to PTS to
reduce seroma formation in drain-free abdominoplasty procedures. Level of
Evidence 2:
PMID- 29635328
TI - Genetic Diversity on the Sex Chromosomes.
AB - Levels and patterns of genetic diversity can provide insights into a population's
history. In species with sex chromosomes, differences between genomic regions
with unique inheritance patterns can be used to distinguish between different
sets of possible demographic and selective events. This review introduces the
differences in population history for sex chromosomes and autosomes, provides the
expectations for genetic diversity across the genome under different evolutionary
scenarios, and gives an introductory description for how deviations in these
expectations are calculated and can be interpreted. Predominantly, diversity on
the sex chromosomes has been used to explore and address three research areas: 1)
Mating patterns and sex-biased variance in reproductive success, 2) signatures of
selection, and 3) evidence for modes of speciation and introgression. After
introducing the theory, this review catalogs recent studies of genetic diversity
on the sex chromosomes across species within the major research areas that sex
chromosomes are typically applied to, arguing that there are broad similarities
not only between male-heterogametic (XX/XY) and female-heterogametic (ZZ/ZW) sex
determination systems but also any mating system with reduced recombination in a
sex-determining region. Further, general patterns of reduced diversity in
nonrecombining regions are shared across plants and animals. There are unique
patterns across populations with vastly different patterns of mating and
speciation, but these do not tend to cluster by taxa or sex determination system.
PMID- 29635329
TI - Large Diversity of Nonstandard Genes and Dynamic Evolution of Chloroplast Genomes
in Siphonous Green Algae (Bryopsidales, Chlorophyta).
AB - Chloroplast genomes have undergone tremendous alterations through the
evolutionary history of the green algae (Chloroplastida). This study focuses on
the evolution of chloroplast genomes in the siphonous green algae (order
Bryopsidales). We present five new chloroplast genomes, which along with existing
sequences, yield a data set representing all but one families of the order. Using
comparative phylogenetic methods, we investigated the evolutionary dynamics of
genomic features in the order. Our results show extensive variation in
chloroplast genome architecture and intron content. Variation in genome size is
accounted for by the amount of intergenic space and freestanding open reading
frames that do not show significant homology to standard plastid genes. We show
the diversity of these nonstandard genes based on their conserved protein
domains, which are often associated with mobile functions (reverse
transcriptase/intron maturase, integrases, phage- or plasmid-DNA primases,
transposases, integrases, ligases). Investigation of the introns showed
proliferation of group II introns in the early evolution of the order and their
subsequent loss in the core Halimedineae, possibly through RT-mediated intron
loss.
PMID- 29635330
TI - Live growth performance, carcass grading characteristics, and harvest yields of
beef steers supplemented zilpaterol hydrochloride and offered ad libitum or
maintenance energy intake.
AB - A trial was conducted to examine live growth efficiency, harvest yields, and
carcass grading performance of steers fed at maintenance (M) or at ad libitum (A)
level of intake during zilpaterol hydrochloride (Z) supplementation. Single
sired, beef steers (n = 56; start of trial BW 590 +/- 36 kg) blocked (n = 2) by
weight and terminal implant were sorted into pairs (n = 14 per block) by weight.
Pairs of steers were initially assigned to 0, 28, or 56 d of feeding. Within 28
or 56 d, pairs were assigned to M or A intake. Steers within a pair assigned to
56 d of feeding were randomly assigned to either 20 d of Z supplementation (90
mg/d per steer) with a 4 d withdrawal period prior to slaughter or to no ZH
supplementation (C). Steers were housed and fed in individual pens. Weights of
all non-carcass and carcass components were recorded at slaughter; carcasses were
graded 24-h postmortem. Data were analyzed via a mixed model; the fixed effect
was treatment combination with random effects of block and pair. Live growth data
used harvest day as the repeated measure and animal as the subject. Single df
contrasts were constructed for day 0 vs. day 28, day 0 vs. day 56, day 28 vs. day
56, M vs. A, and C vs. Z. Treatment impacted (P <= 0.05) live ADG; contrasts
indicated A (1.33) was greater than M (0.14 kg), and Z (1.12) was greater than C
(0.82 kg). Similarly, carcass ADG differences (P < 0.01) indicated A (1.04) was
greater than M (0.36 kg), and Z (1.35) was greater than C (0.71 kg). Intake level
altered BW and empty body weight (EBW); M cattle had reduced BW and EBW (P <
0.01, 585 and 540 kg) than A cattle (647 and 597 kg). Cattle fed at M had less
carcass and internal cavity mass (P < 0.01, 359 and 79.4 kg) than A cattle (394
and 93.5 kg). Liver mass was reduced by M feeding (P < 0.01; M-5.03, A-6.69 kg)
and Z treatment (P < 0.01; Z-5.64, C-6.06 kg). Moreover, mass of total splanchnic
tissue was less (P < 0.01) for M cattle than A cattle (59.8 vs. 72.5 kg). Dressed
carcass yield was greater (P < 0.01) for Z than C cattle (63.5 vs. 61.6%). Cattle
fed at M had less 12th rib s.c. fat, lower numerical U.S. yield grades (P < 0.01;
M-1.71 cm and 3.3, A-2.46 cm and 4.3) and lower numerical Canadian yield grades
(P < 0.01; 51.9 vs. 53.9% for M and A, respectively) than A cattle. Results
indicate that energy intake level and Z supplementation influence live and
carcass growth, carcass transfer, kill yields, and carcass characteristics across
time.
PMID- 29635331
TI - Response to K. Hemminki et al.
PMID- 29635332
TI - Contribution of Smoking to Tuberculosis Incidence and Mortality in High
Tuberculosis-Burden Countries.
AB - Globally, 10 million incident cases of tuberculosis (TB) are reported annually,
and 95% of TB cases and 80% of tobacco users reside in low- and middle-income
countries. Smoking approximately doubles the risk of TB disease and TB mortality.
We estimated the proportion of annual incident TB cases and TB mortality
attributable to tobacco smoking in 32 high-TB-burden countries. We obtained
country-specific estimates of TB incidence, TB mortality, and smoking prevalence
from the World Health Organization Global TB Report (2017), tobacco surveillance
reports (2015), and the Tobacco Atlas. Risk ratios for the effect of smoking on
TB incidence and TB mortality were obtained from published meta-analyses. An
estimated 17.6% (95% confidence interval (CI): 8.4, 21.4) of TB cases and 15.2%
(95% CI: 1.8, 31.9) of TB mortality were attributable to smoking. Among high-TB
burden countries, Russia had the highest proportion of smoking-attributable TB
disease (31.6%, 95% CI: 15.9, 37.6) and deaths (28.1%, 95% CI: 3.8, 51.4). Men
had a greater proportion of TB cases attributable to smoking (30.3%, 95% CI:
14.7, 36.6) than did women (4.3, 95% CI: 1.7, 5.7). Our findings highlight the
need for tobacco control in high-TB-burden countries to combat TB incidence and
TB mortality.
PMID- 29635334
TI - Clinical Significance of Human Herpesvirus 6 Positivity on the FilmArray
Meningitis/Encephalitis Panel.
AB - A review of 15 patients who tested positive for human herpesvirus 6 (HHV-6) on
the FilmArray Meningitis/Encephalitis panel revealed that the majority were
unlikely to have HHV-6 encephalitis. Criteria to assist interpretation of HHV-6
positive results are presented.
PMID- 29635333
TI - Genes from the TAS1R and TAS2R Families of Taste Receptors: Looking for
Signatures of Their Adaptive Role in Human Evolution.
AB - Taste perception is crucial in monitoring food intake and, hence, is thought to
play a significant role in human evolution. To gain insights into possible
adaptive signatures in genes encoding bitter, sweet, and umami taste receptors,
we surveyed the available sequence variation data from the 1000 Genomes Project
Phase 3 for TAS1R (TAS1R1-3) and TAS2R (TAS2R16 and TAS2R38) families. Our study
demonstrated that genes from these two families have experienced contrasting
evolutionary histories: While TAS1R1 and TAS1R3 showed worldwide evidence of
positive selection, probably correlated with improved umami and sweet perception,
the patterns of variation displayed by TAS2R16 and TAS2R38 were more consistent
with scenarios of balancing selection that possibly conferred a heterozygous
advantage associated with better capacity to perceive a wide range of bitter
compounds. In TAS2R16, such adaptive events appear to have occurred restrictively
in mainland Africa, whereas the strongest evidence in TAS2R38 was detected in
Europe. Despite plausible associations between taste perception and the TAS1R and
TAS2R selective signatures, we cannot discount other biological mechanisms as
driving the evolutionary trajectories of those TAS1R and TAS2R members,
especially given recent findings of taste receptors behaving as the products of
pleiotropic genes involved in many functions outside the gustatory system.
PMID- 29635335
TI - Risk profile, quality of life and care of patients with moderate and advanced CKD
: The French CKD-REIN Cohort Study.
AB - Background: The French Chronic Kidney Disease-Renal Epidemiology and Information
Network (CKD-REIN) cohort study was designed to investigate the determinants of
prognosis and care of patients referred to nephrologists with moderate and
advanced chronic kidney disease (CKD). We examined their baseline risk profile
and experience. Methods: We collected bioclinical and patient-reported
information from 3033 outpatients with CKD and estimated glomerular filtration
rates (eGFRs) of 15-60 mL/min/1.73 m2 treated at 40 nationally representative
public and private facilities. Results: The patients' median age was 69 (60-76)
years, 65% were men, their mean eGFR was 33 mL/min/1.73 m2, 43% had diabetes, 24%
had a history of acute kidney injury (AKI) and 57% had uncontrolled blood
pressure (BP; >140/90 mmHg). Men had worse risk profiles than women and were more
likely to be past or current smokers (73% versus 34%) and have cardiovascular
disease (59% versus 42%), albuminuria >30 mg/mmol (or proteinuria > 50) (40%
versus 30%) (all P < 0.001) and a higher median risk of end-stage renal disease
within 5 years, predicted by the kidney failure risk equation {12% [interquartile
range (IQR) 3-37%] versus 9% [3-31%], P = 0.008}. During the previous year, 60%
of patients reported one-to-two nephrologist visits and four or more general
practitioner visits; only 25% saw a dietician and 75% were prescribed five or
more medications daily. Physical and mental quality of life (QoL) were poor, with
scores <50/100. Conclusions: The CKD-REIN study highlights high-risk profiles of
cohort members and identifies several priorities, including improving BP control
and dietary counselling and increasing doctors' awareness of AKI, polypharmacy
and QoL. Trial registration: ClinicalTrials.gov identifier: NCT03381950.
PMID- 29635336
TI - A systematic approach to develop a core set of parameters for boards of directors
to govern quality of care in the ICU.
AB - Objective: Hospital boards are legally responsible for the quality of care
delivered by healthcare professionals in their hospitals, but experience
difficulties in overseeing quality and safety risks. This study aimed to select a
core set of parameters for boards to govern quality of care in the intensive care
unit (ICU). Design: Two-round Delphi study. Setting: Two university hospitals in
the Netherlands. Participants: An expert panel of 12 former ICU patients or their
family members, 12 ICU nurses, 12 ICU physicians and 12 members of boards of
directors and quality managers. Main outcome measures: Participants indicated the
relevance of existing parameters for assessing the quality of ICU care for
governance purposes (round 1) and selected 10 quality parameters that together
provide boards of directors with a good representation of quality of care in
their ICU (round 2). Results: We identified 122 quality parameters related to
care in the ICU, which we limited to a short list to present to participants in
round 1. The response rate was 94% in round 1 and 85% in round 2. The final set
consisted of the 10 most frequently selected quality parameters per hospital.
Five parameters were included in both sets; all related to patient safety and
continuous quality improvement. Conclusions: Parameters in the core set were
mostly qualitative and generic, rather than quantitative and ICU-specific in
nature. To engage in a true dialog about quality of care, boards are more
interested in the story behind the numbers than in just the numbers themselves.
PMID- 29635337
TI - RE: Familial Cancer Clustering of Urothelial Cancer: A Population-Based Case
Control Study.
PMID- 29635338
TI - Increased cardiac fatty acid oxidation in a mouse model with decreased malonyl
CoA sensitivity of CPT1B.
AB - Aims: Mitochondrial fatty acid oxidation (FAO) is an important energy provider
for cardiac work and changes in cardiac substrate preference are associated with
different heart diseases. Carnitine palmitoyltransferase 1B (CPT1B) is thought to
perform the rate limiting enzyme step in FAO and is inhibited by malonyl-CoA. The
role of CPT1B in cardiac metabolism has been addressed by inhibiting or
decreasing CPT1B protein or after modulation of tissue malonyl-CoA metabolism. We
assessed the role of CPT1B malonyl-CoA sensitivity in cardiac metabolism. Methods
and results: We generated and characterized a knock in mouse model expressing the
CPT1BE3A mutant enzyme, which has reduced sensitivity to malonyl-CoA. In isolated
perfused hearts, FAO was 1.9-fold higher in Cpt1bE3A/E3A hearts compared with
Cpt1bWT/WT hearts. Metabolomic, proteomic and transcriptomic analysis showed
increased levels of malonylcarnitine, decreased concentration of CPT1B protein
and a small but coordinated downregulation of the mRNA expression of genes
involved in FAO in Cpt1bE3A/E3A hearts, all of which aim to limit FAO. In vivo
assessment of cardiac function revealed only minor changes, cardiac hypertrophy
was absent and histological analysis did not reveal fibrosis. Conclusions:
Malonyl-CoA-dependent inhibition of CPT1B plays a crucial role in regulating FAO
rate in the heart. Chronic elevation of FAO has a relatively subtle impact on
cardiac function at least under baseline conditions.
PMID- 29635339
TI - Screening oligonucleotide sequences for silver staining and d-galactose visual
detection using RCA silver staining in a tube.
AB - Oligonucleotides were screened for strongly silver-stained repetitive sequences.
An 'AG'-clustered purine sequence showed strong staining, and the staining
density can be compromised by disrupting the continuity of the 'AG'-clustered
sequence. The staining-favored sequence was then employed in rolling circle
amplification (RCA) for its product detection. A tube-staining method was
developed for convenient and visual RCA assay. Moreover, by introducing GalR into
RCA, d-galactose was detected by RCA tube-staining with naked eyes without any
equipment. About 10 mM d-galactose can be easily identified, and the detection of
d-galactose was specific in comparison with that of several other
monosaccharides.
PMID- 29635341
TI - Motivation for Writing.
PMID- 29635340
TI - Structurally Related Kappa Opioid Receptor Agonists with Substantial Differential
Signaling Bias: Neuroendocrine and Behavioral Effects in C57BL6 Mice.
AB - Background: The kappa opioid receptor system has been revealed as a potential
pharmacotherapeutic target for the treatment of addictions to substances of
abuse. Kappa opioid receptor agonists have been shown to block the rewarding and
dopamine-releasing effects of psychostimulants. Recent investigations have
profiled the in vivo effects of compounds biased towards G-protein-mediated
signaling, with less potent arrestin-mediated signaling. The compounds studied
here derive from a series of trialkylamines: N-substituted-N- phenylethyl-N-3
hydroxyphenylethyl-amine, with N-substituents including n-butyl (BPHA),
methylcyclobutyl (MCBPHA), and methylcyclopentyl (MCPPHA). Methods: BPHA, MCBPHA,
and MCPPHA were characterized in vitro in a kappa opioid receptor-expressing cell
line in binding assays and functional assays. We also tested the compounds in
C57BL6 mice, assaying incoordination with rotarod, as well as circulating levels
of the neuroendocrine kappa opioid receptor biomarker, prolactin. Results: BPHA,
MCBPHA, and MCPPHA showed full kappa opioid receptor agonism for G-protein
coupling compared with the reference compound U69,593. BPHA showed no measurable
beta-arrestin-2 recruitment, indicating that it is extremely G-protein biased.
MCBPHA and MCPPHA, however, showed submaximal efficacy for recruiting beta
arrestin-2. Studies in C57BL6 mice reveal that all compounds stimulate release of
prolactin, consistent with dependence on G-protein signaling. MCBPHA and MCPPHA
result in rotarod incoordination, whereas BPHA does not, consistent with the
reported requirement of intact kappa opioid receptor/beta-arrestin-2 mediated
coupling for kappa opioid receptor agonist-induced rotarod incoordination.
Conclusions: BPHA, MCBPHA, and MCPPHA are thus novel differentially G-protein
biased kappa opioid receptor agonists. They can be used to investigate how
signaling pathways mediate kappa opioid receptor effects in vitro and in vivo and
to explore the effects of candidate kappa opioid receptor-targeted
pharmacotherapeutics.
PMID- 29635342
TI - Editorial: Mapping microbiology with scientometrics - help provide a clearer
vision of microbiology research around the globe.
PMID- 29635343
TI - Decision-Making in Adolescents with Profound Hearing Loss.
AB - People with profound hearing loss show differences in language-related cognitive
functions that may affect decision-making processes, but few studies have
examined their decision-making behavior. The current study used the Iowa Gambling
Task and the Game of Dice Task to explore the decision-making characteristics of
adolescents with profound hearing loss. In the Iowa Gambling Task, deaf
adolescents were more inclined to choose from the deck of infrequent losses with
large immediate gains and larger future losses. In the Game of Dice Task, the
deaf adolescents showed a preference for high-risk choices with high gains and
high losses. These results suggest that deaf adolescents show a stronger
preference for choices with immediate high gains and underestimate the potential
risks, which may be related to differences in executive function or utilization
of feedback.
PMID- 29635344
TI - Histone H3 lysine 36 methyltransferase mobilizes NER factors to regulate
tolerance against alkylation damage in fission yeast.
AB - The Set2 methyltransferase and its target, histone H3 lysine 36 (H3K36), affect
chromatin architecture during the transcription and repair of DNA double-stranded
breaks. Set2 also confers resistance against the alkylating agent, methyl
methanesulfonate (MMS), through an unknown mechanism. Here, we show that
Schizosaccharomyces pombe (S. pombe) exhibit MMS hypersensitivity when expressing
a set2 mutant lacking the catalytic histone methyltransferase domain or a H3K36R
mutant (reminiscent of a set2-null mutant). Set2 acts synergistically with base
excision repair factors but epistatically with nucleotide excision repair (NER)
factors, and determines the timely nuclear accumulation of the NER initiator,
Rhp23, in response to MMS. Set2 facilitates Rhp23 recruitment to chromatin at the
brc1 locus, presumably to repair alkylating damage and regulate the expression of
brc1+ in response to MMS. Set2 also show epistasis with DNA damage checkpoint
proteins; regulates the activation of Chk1, a DNA damage response effector
kinase; and acts in a similar functional group as proteins involved in homologous
recombination. Consistently, Set2 and H3K36 ensure the dynamicity of Rhp54 in DNA
repair foci formation after MMS treatment. Overall, our results indicate a novel
role for Set2/H3K36me in coordinating the recruitment of DNA repair machineries
to timely manage alkylating damage.
PMID- 29635345
TI - Bis-Indole-Derived NR4A1 Ligands and Metformin Exhibit NR4A1-Dependent Glucose
Metabolism and Uptake in C2C12 Cells.
AB - Treatment of C2C12 muscle cells with metformin or the NR4A1 ligand 1,1-bis(3'
indolyl)-1-(p-hydroxyphenyl)methane (DIM-C-pPhOH) induced NR4A1 and Glut4
messenger RNA and protein expression. Similar results were observed with
buttressed (3- or 3,5-substituted) analogs of DIM-C-pPhOH, including 1,1-bis(3'
indolyl)-1-(3-chloro-4-hydroxy-5-methoxyphenyl)methane (DIM-C-pPhOH-3-Cl-5-OCH3),
and the buttressed analogs were more potent than DIM-C-pPhOH NR4A1 agonists.
Metformin and the bis-indole substituted analogs also induced expression of
several glycolytic genes and Rab4, which has previously been linked to enhancing
cell membrane accumulation of Glut4 and overall glucose uptake in C2C12 cells,
and these responses were also observed after treatment with metformin and the
NR4A1 ligands. The role of NR4A1 in mediating the responses induced by the bis
indoles and metformin was determined by knockdown of NR4A1, and this resulted in
attenuating the gene and protein expression and enhanced glucose uptake responses
induced by these compounds. Our results demonstrate that the bis-indole-derived
NR4A1 ligands represent a class of drugs that enhance glucose uptake in C2C12
muscle cells, and we also show that the effects of metformin in this cell line
are NR4A1-dependent.
PMID- 29635347
TI - Erratum for Bahadoran et al. The nitrate-independent blood pressure-lowering
effect of beetroot juice: a systematic review and meta-analysis. Adv Nutr
2017;8(6):830-8.
PMID- 29635346
TI - Early life thermal stress: Impact on future thermotolerance, stress response,
behavior, and intestinal morphology in piglets exposed to a heat stress challenge
during simulated transport.
AB - Study objectives were to evaluate the impact of early life thermal stress (ELTS)
on thermoregulation, stress response, and intestinal health of piglets subjected
to a future heat stress (HS) challenge during simulated transport. From d 7 to 9
post-farrowing, 12 first-parity sows and their litters were exposed to
thermoneutral (ELTN; 25.4 +/- 1.1 degrees C w/heat lamp; n = 4), HS (ELHS;
cycling 32-38 degrees C w/heat lamp; n = 4), or cold stress (ELCS; 25.4 +/- 1.1
degrees C w/no heat lamp; n = 4) conditions, and then from d 10 until weaning all
piglets were exposed to thermoneutral (TN) conditions (25.3 +/- 1.9 degrees C
w/heat lamp). During the ELTS period, respiration rate, rectal temperature (TR),
and skin temperature (TS) of three mixed-sex piglets per dam were monitored daily
(0800, 1200, 1600, 2000 h). At 13 +/- 1.3 d of age, temperature recorders were
implanted intra-abdominally into all piglets. At weaning (20.0 +/- 1.3 d of age),
piglets were bled and then herded up a ramp into a simulated transport trailer
and exposed to HS conditions (cycling 32-38 degrees C) for 8 h. During the 8 h
simulated transport, core body temperature (TC) and TS were assessed every 15
min. After the simulated transport, piglets were unloaded from the trailer, bled,
weighed, and then housed individually in TN conditions (28.5 +/- 0.7 degrees C)
for 7 d. During this time, ADFI and ADG were monitored, blood samples were taken
on d 1, 4, and 7, and piglets were video-recorded to assess behavior. Piglets
were sacrificed on d 8 post-simulated transport and intestinal morphology was
assessed. Data were analyzed using PROC MIXED in SAS 9.4. In the ELTS period,
piglet TR was increased overall (P = 0.01) in ELHS (39.77 +/- 0.05 degrees C)
compared to ELTN (39.34 +/- 0.05 degrees C) and ELCS (39.40 +/- 0.05 degrees C)
litters. During simulated transport, TC was greater (P = 0.02) in ELHS (40.84 +/-
0.12 degrees C) compared to ELTN (40.49 +/- 0.12 degrees C) and ELCS (40.39 +/-
0.12 degrees C) pigs. Following simulated transport, BW loss was greater (P =
0.01; 40%) for ELHS compared to ELTN and ELCS pigs and ADFI was reduced (P =
0.05; 28.6%) in ELHS compared to ELTN pigs. Sitting behavior tended to be
increased (P = 0.06; 47.4%) in ELHS vs. ELCS or ELTN pigs. Overall, circulating
cortisol was greater for ELHS (P <= 0.01; 38.8%) compared to ELCS and ELTN pigs.
Goblet cells per villi were reduced (P = 0.02; 20%) in the jejunum of ELHS vs.
ELCS and ELTN pigs. In summary, ELHS reduced thermotolerance and increased the
future stress response of piglets compared to ELCS and ELTN.
PMID- 29635348
TI - Force Modulating Tissue Bridges for Reduction of Tension and Scar: Finite Element
and Image Analysis of Preclinical Incisional and Nonincisional Models.
AB - Background: Force modulating tissue bridges (FMTB) represent a new class of
combined wound closure and scar reduction device designed to optimize the tension
milieu of the healing wound. Objectives: Engineering analysis and testing in both
intact skin and incisional models was undertaken to assess changes in tissue
tension associated with device placement and compare to standard suture closure.
Methods: Nonlinear, large deformation finite element analyses (FEA) were
performed to compare the strains applied to tissues with sutures and FMTB. In the
incisional model, a freshly euthanized Yorkshire pig received full thickness
cutaneous incisions followed by alternating closure with sutures and FMTBs. FMTBs
were also applied to intact adult human skin after pattern application. In each
of the experiments, photographs were taken preapplication and postapplication and
the resultant dot grid pattern changes were analyzed by image recognition
algorithms to calculate applied strains. Results: FEA indicate compressive
stresses at the tissue:suture interface on the order of 4000 mmHg and 20 mmHg at
the tissue:FMTB interface. Strain analysis of the sutures and FMTBs applied in
the incisional lab testing indicated imposed strains on the tissues of around
40%, with FMTBs providing 10% more compression than sutures and 25% more
compression between the applied devices (P = 0.000057). In the longitudinal
study, tension reduction of the order of 30% was maintained over the treatment
period of 10 days to verify device efficacy. Conclusions: FMTBs provide wounds
while simultaneously modulating skin tension and thus have the potential to
improve scar appearance.
PMID- 29635349
TI - Linking inflammation and cancer: the unexpected SYK world.
PMID- 29635352
TI - Improving Estimation of HIV Viral Suppression in the United States: A Method to
Adjust HIV Surveillance Estimates From the Medical Monitoring Project Using
Cohort Data.
AB - The US Centers for Disease Control and Prevention has estimated human
immunodeficiency virus (HIV) viral suppression (VS) using 2 data sources. The
National HIV Surveillance System estimate (50% of HIV-diagnosed persons in 2012)
is derived from viral load reporting from a subset of jurisdictions that vary
yearly. The Medical Monitoring Project (MMP) estimate (42% of HIV-diagnosed
persons in 2012) is based on a sample of persons receiving HIV care during the
first 4 months of each year. We developed the cohort-adjustment method to
reconstruct VS estimates, accounting for persons receiving care later in the
year. Using the HIV Outpatient Study cohort, we assessed timing of care receipt,
demographics, and VS at last test (<200 vs. >=200 copies/mL), standardizing MMP
to HIV Outpatient Study data using multivariable regression models and yielding
adjusted VS estimates. We estimated that 52% (95% CI: 48, 56) of HIV-diagnosed
persons achieved VS in 2012. Differences from previously published estimates were
due to: 1) 23% underestimation of persons receiving HIV care, and 2) lower VS
rates among persons receiving care outside versus inside the 4-month MMP sampling
period (79% vs. 88%). This methodology yielded VS estimates closer to the
National HIV Surveillance System estimate than previously published. Use of more,
geographically diverse cohort data may enable assessment of temporal trends.
PMID- 29635351
TI - Inequality signals in dorsolateral prefrontal cortex inform social preference
models.
AB - Humans typically display inequality aversion in social situations, which
manifests itself as a preference for fairer distributions of resources. However,
people differ in the degree to which they dislike being worse off
[disadvantageous inequality (DI) aversion] or better off [advantageous inequality
(AI) aversion] than others. Competing models explain such behavior by focusing on
aversion to payoff differences, maximization of total payoff or reciprocity.
Using functional near-infrared spectroscopy, we asked which of these theories
could better explain dorsolateral prefrontal cortex (dlPFC) activity while
participants accepted or punished fair vs unfair monetary transfers in an
anonymous norm compliance task. We found that while all participants exhibited DI
aversion, there were substantial differences in preferences for AI, which were
strongly predicted by dlPFC activation. Model comparisons revealed that both
punishment behavior and prefrontal activity were best explained by a model that
allowed for AI seeking rather than imposing aversion. Moreover, enhancing this
model by taking into account behavioral response times, as a proxy for choice
difficulty, further improved model fits. Our data provide evidence that the dlPFC
encodes subjective values of payoff inequality and that this representation is
richer than envisaged by standard models of social preferences.
PMID- 29635353
TI - The relation of age at menarche with age at natural menopause: a population study
of 336 788 women in Norway.
AB - STUDY QUESTION: Is age at menarche associated with age at menopause or with
duration of the reproductive period (interval between menarche and menopause)?
SUMMARY ANSWER: The association of age at menarche with age at menopause was weak
and non-linear, and the duration of the reproductive period decreased by
increasing age at menarche. WHAT IS KNOWN ALREADY: It remains uncertain whether
age at menarche is associated with age at menopause. Some studies report that
women with early menarche also have early menopause. Other studies report that
women with early menarche have late menopause, or they report no association. The
duration of the reproductive period may be an indicator of the cumulative
endogenous exposure to estrogens and progestogens during life course and is
associated with risk of breast cancer and endometrial cancer. STUDY DESIGN, SIZE,
DURATION: A retrospective cohort study of 336 788 women, aged 48-71 years, in the
BreastScreen Norway during the years 2006-2014 was performed.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Information about age at menarche and
menopausal status was obtained by self-administered questionnaires. We used time
to event approaches to estimate the associations. MAIN RESULTS AND THE ROLE OF
CHANCE: Median age at menopause was 51 years in most menarche groups. Women with
menarche at age 16 years or age >= 17 years had menopause 1 year later [median:
52 years, interquartile range (IQR): 49-54 years] than women with menarche at age
13 years (median: 51 years, IQR: 49-54 years, reference) (crude hazard ratio (HR)
= 0.95; 95% CI: 0.93-0.97 and 0.95; 95% CI: 0.92-0.99, Pnon-linearity < 0.001).
The reproductive period decreased with increasing age at menarche (Pnon-linearity
< 0.001), and women with menarche at age <= 9 years had 9 years longer median
reproductive period than women with menarche at age >= 17 years (median: 43
versus 34 years). Adjustment for year of birth did not change the HR estimates
notably. LARGE SCALE DATA: Not applicable. LIMITATIONS, REASONS FOR CAUTION:
Information about age at menarche and age at menopause was based on self-reports.
Particularly for age at menarche, the long time interval between the event and
data collection may have caused imprecise reporting. WIDER IMPLICATIONS OF THE
FINDINGS: Our study suggests that age at menarche is a strong indicator for the
duration of women's reproductive period. Our findings should encourage studies of
the independent role of duration of the reproductive period on the risk of breast
cancer and endometrial cancer, since these cancers have been associated with
exposure to estrogens and progestogens. STUDY FUNDING/COMPETING INTEREST(S): The
present study was funded by the Norwegian Cancer Society [Grant number 6863294
2015]. The authors declare no conflicts of interest.
PMID- 29635354
TI - The SlFSR gene controls fruit shelf-life in tomato.
AB - Fruit ripening represents a process that changes flavor and appearance and also a
process that dramatically increases fruit softening. Fruit softening and textural
variations mainly result from disruptions to the cell walls of the fruit
throughout ripening, but the exact mechanisms and specific modifications of the
cell wall remain unclear. Plant-specific GRAS proteins play a critical role in
development and growth. To date, few GRAS genes have been functionally
categorized in tomato. The expression of a novel GRAS gene described in this
study and designated as SlFSR (fruit shelf-life regulator) specifically increased
during fruit ripening, but was significantly decreased in the tomato mutant rin
(ripening inhibitor). RNAi repression of SlFSR resulted in reduced expression of
multiple cell wall modification-related genes, decreased the activities of PG
(polygalacturonase), TBG (tomato beta-galactosidase), CEL (cellulase), and XYL
(beta-D-xylosidase), and significantly prolonged fruit shelf-life. Furthermore,
overexpression of SlFSR in mutant rin gave rise to up-regulated expression of
multiple cell wall modification-related genes, such as PG, TBG4, CEL2, XYL1, PL,
PE, MAN1, EXP1, and XTH5, and significantly shortened the fruit shelf-life. These
findings reveal some of the genetic mechanisms underlying fruit cell wall
metabolism and suggest that the SlFSR gene is another potential biotechnological
target for the control of tomato fruit shelf-life.
PMID- 29635355
TI - Paul Hugenholtz MD at 90.
PMID- 29635356
TI - Carlos E Ruiz.
PMID- 29635357
TI - Neglected Populations, Neglected Diseases.
PMID- 29635358
TI - German Cardiac Society: Its History.
PMID- 29635359
TI - VSClust: feature-based variance-sensitive clustering of omics data.
AB - Motivation: Data clustering is indispensable for identifying biologically
relevant molecular features in large-scale omics experiments with thousands of
measurements at multiple conditions. Optimal clustering results yield groups of
functionally related features that may include genes, proteins and metabolites in
biological processes and molecular networks. Omics experiments typically include
replicated measurements of each feature within a given condition to statistically
assess feature-specific variation. Current clustering approaches ignore this
variation by averaging, which often leads to incorrect cluster assignments.
Results: We present VSClust that accounts for feature-specific variance. Based on
an algorithm derived from fuzzy clustering, VSClust unifies statistical testing
with pattern recognition to cluster the data into feature groups that more
accurately reflect the underlying molecular and functional behavior. We apply
VSClust to artificial and experimental datasets comprising hundreds to >80 000
features across 6-20 different conditions including genomics, transcriptomics,
proteomics and metabolomics experiments. VSClust avoids arbitrary averaging
methods, outperforms standard fuzzy c-means clustering and simplifies the data
analysis workflow in large-scale omics studies. Availability and implementation:
Download VSClust at https://bitbucket.org/veitveit/vsclust or access it through
computproteomics.bmb.sdu.dk/Apps/VSClust. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29635360
TI - Longitudinal Assessment of Multidrug-Resistant Organisms in Newly Admitted
Nursing Facility Patients: Implications for an Evolving Population.
AB - Background: The spread of multidrug-resistant organisms (MDROs) is a global
concern, and much about transmission in healthcare systems remains unknown. To
reduce hospital stays, nursing facilities (NFs) have increasingly assumed care of
post-acute populations. We estimate the prevalence of MDRO colonization in NF
patients on enrollment and discharge to community settings, risk factors for
colonization, and rates of acquiring MDROs during the stay. Methods: We conducted
a prospective, longitudinal cohort study of newly admitted patients in 6 NFs in
southeast Michigan using active microbial surveillance of multiple anatomic sites
sampled at enrollment, days 14 and 30, and monthly thereafter for up to 6 months.
Results: We enrolled 651 patients and collected 7526 samples over 1629 visits,
with an average of 29 days of follow-up per participant. Nearly all participants
were admitted for post-acute care (95%). More than half (56.8%) were colonized
with MDROs at enrollment: methicillin-resistant Staphylococcus aureus (MRSA),
16.1%; vancomycin-resistant enterococci (VRE), 33.2%; and resistant gram-negative
bacilli (R-GNB), 32.0%. Risk factors for colonization at enrollment included
prolonged hospitalization (>14 days), functional disability, antibiotic use, or
device use. Rates per 1000 patient-days of acquiring a new MDRO were MRSA, 3.4;
VRE, 8.2; and R-GNB, 13.6. MDRO colonization at discharge was similar to that at
enrollment (56.4%): MRSA, 18.4%; VRE, 30.3%; and R-GNB, 33.6%. Conclusions: Short
stay NF patients exhibit a high prevalence of MDROs near the time of admission,
as well as at discharge, and may serve as a reservoir for spread in other
healthcare settings. Future interventions to reduce MDROs should specifically
target this population.
PMID- 29635361
TI - Central IKK2 Inhibition Ameliorates Air Pollution-Mediated Hepatic Glucose and
Lipid Metabolism Dysfunction in Mice With Type II Diabetes.
AB - Previous studies supported a role of hypothalamic inflammation in fine ambient
particulate matter (PM2.5) exposure-mediated diabetes development. We therefore
investigated the effects of PM2.5 exposure on insulin resistance and the
disorders of hepatic glucose and lipid metabolism via hypothalamic inflammation.
KKAy mice, a genetically susceptible model of type II diabetes mellitus, were
administered intra-cerebroventricularly with IKK2 inhibitor (IMD-0354) and were
exposed to either concentrated PM2.5 or filtered air (FA) for 4 weeks
simultaneously via a versatile aerosol concentration exposure system. At the end
of the exposure, fasting blood glucose and serum insulin were evaluated before
epididymal adipose tissue and liver were collected, flow cytometry, quantitative
PCR and Western blot were performed at euthanasia. We observed that
intracerebroventricular administration of IMD-0354 attenuated insulin resistance,
inhibited macrophage polarization to M1 phenotype in epididymal adipose tissue in
response to PM2.5 exposure. Although the treatment did not affect hepatic
inflammation or endoplasmic reticulum stress, it inhibited the expression of the
enzymes for gluconeogenesis and lipogenesis in the liver. Therefore, our current
finding indicates an important role of hypothalamic inflammation in PM2.5
exposure-mediated hepatic glucose and lipid metabolism disorder.
PMID- 29635362
TI - Using clinician text notes in electronic medical record data to validate
transgender-related diagnosis codes.
AB - Objective: Transgender individuals are vulnerable to negative health risks and
outcomes, but research remains limited because data sources, such as electronic
medical records (EMRs), lack standardized collection of gender identity
information. Most EMR do not include the gold standard of self-identified gender
identity, but International Classification of Diseases (ICDs) includes diagnostic
codes indicating transgender-related clinical services. However, it is unclear if
these codes can indicate transgender status. The objective of this study was to
determine the extent to which patients' clinician notes in EMR contained
transgender-related terms that could corroborate ICD-coded transgender identity.
Methods: Data are from the US Department of Veterans Affairs Corporate Data
Warehouse. Transgender patients were defined by the presence of ICD9 and ICD10
codes associated with transgender-related clinical services, and a 3:1 comparison
group of nontransgender patients was drawn. Patients' clinician text notes were
extracted and searched for transgender-related words and phrases. Results: Among
7560 patients defined as transgender based on ICD codes, the search algorithm
identified 6753 (89.3%) with transgender-related terms. Among 22 072 patients
defined as nontransgender without ICD codes, 246 (1.1%) had transgender-related
terms; after review, 11 patients were identified as transgender, suggesting a
0.05% false negative rate. Conclusions: Using ICD-defined transgender status can
facilitate health services research when self-identified gender identity data are
not available in EMR.
PMID- 29635363
TI - Sprouty2 enhances the tumorigenic potential of glioblastoma cells.
AB - Background: Sprouty2 (SPRY2), a feedback regulator of receptor tyrosine kinase
(RTK) signaling, has been shown to be associated with drug resistance and cell
proliferation in glioblastoma (GBM), but the underlying mechanisms are still
poorly defined. Methods: SPRY2 expression and survival patterns of patients with
gliomas were analyzed using publicly available databases. Effects of RNA
interference targeting SPRY2 on cellular proliferation in established GBM or
patient-derived GBM stemlike cells were examined. Loss- or gain-of-function of
SPRY2 to regulate the tumorigenic capacity was assessed in both intracranial and
subcutaneous xenografts. Results: SPRY2 was found to be upregulated in GBM, which
correlated with reduced survival in GBM patients. SPRY2 knockdown significantly
impaired proliferation of GBM cells but not of normal astrocytes. Silencing of
SPRY2 increased epidermal growth factor-induced extracellular signal-regulated
kinase (ERK) and Akt activation causing premature onset of DNA replication,
increased DNA damage, and impaired proliferation, suggesting that SPRY2
suppresses DNA replication stress. Abrogating SPRY2 function strongly inhibited
intracranial tumor growth and led to significantly prolonged survival of U87
xenograft-bearing mice. In contrast, SPRY2 overexpression promoted tumor
propagation of low-tumorigenic U251 cells. Conclusions: The present study
highlights an antitumoral effect of SPRY2 inhibition that is based on excessive
activation of ERK signaling and DNA damage response, resulting in reduced cell
proliferation and increased cytotoxicity, proposing SPRY2 as a promising
pharmacological target in GBM patients.
PMID- 29635365
TI - Complete Genome Sequences of Seven Vibrio anguillarum Strains as Derived from
PacBio Sequencing.
AB - We report here the complete genome sequences of seven Vibrio anguillarum strains
isolated from multiple geographic locations, thus increasing the total number of
genomes of finished quality to 11. The genomes were de novo assembled from long
sequence PacBio reads. Including draft genomes, a total of 44 V. anguillarum
genomes are currently available in the genome databases. They represent an
important resource in the study of, for example, genetic variations and for
identifying virulence determinants. In this article, we present the genomes and
basic genome comparisons of the 11 complete genomes, including a BRIG analysis,
and pan genome calculation. We also describe some structural features of
superintegrons on chromosome 2 s, and associated insertion sequence (IS)
elements, including 18 new ISs (ISVa3 - ISVa20), both of importance in the
complement of V. anguillarum genomes.
PMID- 29635366
TI - Thermal Performance of Two Indigenous Pupal Parasitoids Attacking the Invasive
Drosophila suzukii (Diptera: Drosophilidae).
AB - Pachycrepoideus vindemiae (Rondani) and Trichopria drosophilae (Perkins) are
among a few indigenous parasitoids attacking the invasive Drosophila suzukii
(Matsumura) (Diptera: Drosophilidae) in North America. Both parasitoid species
occur in California, whereas only P. vindemiae has been reported from Oregon. We
compared the thermal performance of the California populations of P. vindemiae
and T. drosophilae, and the Oregon population of P. vindemiae at eight constant
temperatures (12.6-32.8 degrees C). Both P. vindemiae populations could develop
at all tested temperatures. T. drosophilae failed to develop at or above 29.6
degrees C. This species was, however, able to develop at a diurnal temperature
regime of 15-32 degrees C, and survival was higher in older developmental stages.
T. drosophilae was less tolerant to both low and high temperatures than P.
vindemiae, whereas the Oregon P. vindemiae population was more cold-tolerant but
less heat-tolerant than the California population in terms of offspring survival,
development, and reproduction. To develop storage strategies for mass-cultured
parasitoids, we compared the cold tolerance of immature P. vindemiae and T.
drosophilae of the California populations at 12 degrees C for 1, 2, or 3 mo,
followed by a 23 degrees C holding period. Successful development to the adult
stage decreased as cold storage duration increased. Successful development,
however, increased when cold storage was initiated during the older developmental
stages for 1-mo exposure for both parasitoid species. The results are discussed
with regards to parasitoid thermal adaptation and the potential use of P.
vindemiae and T. drosophilae for biological control of spotted-wing drosophila.
PMID- 29635364
TI - MIR137 schizophrenia-associated locus controls synaptic function by regulating
synaptogenesis, synapse maturation and synaptic transmission.
AB - The MIR137 locus is a replicated genetic risk factor for schizophrenia. The risk
associated allele is reported to increase miR-137 expression and miR-137
overexpression alters synaptic transmission in mouse hippocampus. We investigated
the cellular mechanisms underlying these observed effects in mouse hippocampal
neurons in culture. First, we correlated the risk allele to expression of the
genes in the MIR137 locus in human postmortem brain. Some evidence for increased
MIR137HG expression was observed, especially in hippocampus of the disease
associated genotype. Second, in mouse hippocampal neurons, we confirmed
previously observed changes in synaptic transmission upon miR-137 overexpression.
Evoked synaptic transmission and spontaneous release were 50% reduced. We
identified defects in release probability as the underlying cause. In contrast to
previous observations, no evidence was obtained for selective synaptic vesicle
docking defects. Instead, ultrastructural morphometry revealed multiple effects
of miR-137 overexpression on docking, active zone length and total vesicle
number. Moreover, proteomic analyses of neuronal protein showed that expression
of Syt1 and Cplx1, previously reported as downregulated upon miR-137
overexpression, was unaltered. Immunocytochemistry of synapses overexpressing miR
137 showed normal Synaptotagmin1 and Complexin1 protein levels. Instead, our
proteomic analyses revealed altered expression of genes involved in
synaptogenesis. Concomitantly, synaptogenesis assays revealed 31% reduction in
synapse formation. Taken together, these data show that miR-137 regulates
synaptic function by regulating synaptogenesis, synaptic ultrastructure and
synapse function. These effects are plausible contributors to the increased
schizophrenia risk associated with miR-137 overexpression.
PMID- 29635368
TI - DNA Barcodes for Forensically Important Fly Species in Brazil.
AB - Here, we analyze 248 DNA barcode sequences of 35 fly species of forensic
importance in Brazil. DNA barcoding can be effectively used for specimen
identification of these species, allowing the unambiguous identification of 31
species, an overall success rate of 88%. Our results show a high rate of success
for molecular identification using DNA barcoding sequences and open new
perspectives for immature species identification, a subject on which limited
forensic investigations exist in Tropical regions. We also address the
implications of building a robust forensic DNA barcode database. A geographic
bias is recognized for the COI dataset available for forensically important fly
species in Brazil, with concentration of sequences from specimens collected
mainly in sites located in the Cerrado, Mata Atlantica, and Pampa biomes.
PMID- 29635367
TI - First nationwide antimicrobial susceptibility surveillance for Neisseria
gonorrhoeae in Brazil, 2015-16.
AB - Objectives: Gonorrhoea and antimicrobial resistance (AMR) in Neisseria
gonorrhoeae are major public health concerns globally. Enhanced AMR surveillance
for gonococci is essential worldwide; however, recent quality-assured gonococcal
AMR surveillance in Latin America, including Brazil, has been limited. Our aims
were to (i) establish the first nationwide gonococcal AMR surveillance, quality
assured according to WHO standards, in Brazil, and (ii) describe the
antimicrobial susceptibility of clinical gonococcal isolates collected from 2015
to 2016 in all five main regions (seven sentinel sites) of Brazil. Methods:
Gonococcal isolates from 550 men with urethral discharge were examined for
susceptibility to ceftriaxone, cefixime, azithromycin, ciprofloxacin,
benzylpenicillin and tetracycline using the agar dilution method, according to
CLSI recommendations and quality assured according to WHO standards. Results: The
levels of resistance (intermediate susceptibility) to tetracycline,
ciprofloxacin, benzylpenicillin and azithromycin were 61.6% (34.2%), 55.6%
(0.5%), 37.1% (60.4%) and 6.9% (8.9%), respectively. All isolates were
susceptible to ceftriaxone and cefixime using the US CLSI breakpoints. However,
according to the European EUCAST cefixime breakpoints, 0.2% (n = 1) of isolates
were cefixime resistant and 6.9% (n = 38) of isolates had a cefixime MIC
bordering on resistance. Conclusions: This study describes the first national
surveillance of gonococcal AMR in Brazil, which was quality assured according to
WHO standards. The high resistance to ciprofloxacin (which promptly informed a
revision of the Brazilian sexually transmitted infection treatment guideline),
emerging resistance to azithromycin and decreasing susceptibility to extended
spectrum cephalosporins necessitate continuous surveillance of gonococcal AMR and
ideally treatment failures, and increased awareness when prescribing treatment in
Brazil.
PMID- 29635369
TI - Proteomic Comparison of Various Hepatic Cell Cultures for Preclinical Safety
Pharmacology.
AB - Experimental drugs need to be screened for safety within time constraints.
Hepatotoxicity is one concerning contributor to the failure of investigational
new drugs and a major rationale for postmarketing withdrawal decisions. Ethical
considerations in preclinical research force the requirement for highly
predictive in vitro assays using human tissue which retains functionality
reflective of primary tissue. Here, the proteome of cells commonly used to assess
preclinical hepatotoxicity was compared. Primary human hepatocytes (PHHs),
hepatocyte-like cells (HLCs) differentiated from human pluripotent stem cells,
HepG2 cell monolayers and HepG2 cell 3D spheroids were cultured and collected as
whole cell lysates. Over 6000 proteins were identified and quantified in terms of
relative abundance in replicate proteomic experiments using isobaric tagging
methods. Comparison of these quantitative data provides biological insight into
the feasibility of using HLCs, HepG2 monolayers, and HepG2 3D spheroids for
hepatotoxicity testing. Collectively these data reveal how HLCs differentiated
for 35 days and HepG2 cells proteomes differ from one another and that of PHHs.
HepG2 cells possess a strong cancer cell signature and do not adequately express
key metabolic proteins which mark the hepatic phenotype, this was not
substantially altered by culturing as 3D spheroids. These data suggest that while
no single hepatic model reflects the diverse array of outcomes required to mimic
the in vivo liver functions, that HLCs are the most suitable investigational
avenue for replacing PHHs in vitro.
PMID- 29635370
TI - The health of Antillean migrants in the Netherlands: a comparison with the health
of non-migrants in both the countries of origin and destination.
AB - Background: This article examines risk factor and health differences between
Antillean migrants in the Netherlands and Antillean and Dutch non-migrants, and
relates these findings to four commonly used explanations for migrant health
disparities. Methods: Nationally representative data from the 2012 Dutch Public
Health Monitor and the 2013 National Health Survey Curacao was used. The weighted
rates were calculated and significance assessed using the chi2 test. Logistic
regression analyses were used to compare health behaviours and outcomes between
Antillean migrants and the non-migrant populations. Results: Overall, Antillean
migrants had poorer physical and mental health than Antillean and Dutch non
migrants. For overweight/obesity and tobacco and alcohol use, Antillean migrants
had rates in-between those of the Antillean and Dutch non-migrants. The poor
health of Antillean migrants persisted in the second generation, who were born in
the Netherlands. Conclusions: Patterns of differences in physical and mental
health among the study populations were suggestive of a 'stressful environment'
effect. The poorer health of Antillean migrants may be partly determined by host
country-specific stressors, such as perceived discrimination, spatial
concentration in multi-ethnic neighbourhoods and reduced social mobility.
PMID- 29635371
TI - Two-step transplantation with adipose tissue-derived stem cells increases
follicle survival by enhancing vascularization in xenografted frozen-thawed human
ovarian tissue.
AB - STUDY QUESTION: Do adipose tissue-derived stem cells (ASCs) enhance
vascularization and follicle survival in xenografted ovarian tissue using a two
step transplantation approach? SUMMARY ANSWER: Higher rates of oxygenation and
vascularization of ovarian tissue, as well as increased follicle survival rates,
were detected in the early post-grafting period. WHAT IS KNOWN ALREADY: ASCs have
multilineage differentiation potential, proangiogenic properties and enhance
vascularization in a peritoneal grafting site. Some studies suggest that using
ASCs may improve ovarian tissue quality by enhancing graft angiogenesis. STUDY
DESIGN, SIZE, DURATION: A total of 15 severe combined immunodeficient (SCID) mice
were intraperitoneally grafted with frozen-thawed human ovarian tissue (OT) from
five different patients. A peritoneal transplantation site had been previously
prepared in a first step using either empty fibrin (Fi+OT group [n = 5]) or ASC
loaded fibrin (Fi/ASCs+OT group [n = 5]) for 14 days prior to grafting. Five mice
underwent the standard one-step transplantation procedure and served as controls
(OT group). Lithium phthalocyanine (LiPc) crystals were inserted into all grafted
human ovarian tissue before transplantation. Levels of partial pressure of oxygen
(pO2) in grafts were monitored in vivo by electron paramagnetic resonance (EPR)
oximetry on Days 3 and 7. Samples for histology and immunohistochemistry (IHC)
were collected after euthanizing the mice on Day 7 following EPR. One piece of
ovarian tissue per patient was fixed for analysis to serve as non-grafted
controls. PARTICIPANTS/MATERIALS, SETTING, METHODS: Prospective experimental
study conducted at the Gynecology Research Unit, Universite Catholique de
Louvain. All materials were used to perform pO2 measurements (EPR oximetry),
histological (haematoxylin and eosin staining), immunohistochemistry (anti-mouse
and human double CD34 and anti-human Ki-67) and TUNEL analyses. MAIN RESULTS AND
THE ROLE OF CHANCE: A significant increase in pO2 was observed in all groups
between Days 3 and 7 (P < 0.001). A significantly higher pO2 level was observed
in the Fi/ASCs+OT group compared to the OT group on Day 7 (P = 0.028). Total CD34
positive vessel area on Day 7 was greater in the Fi/ASCs+OT group than in any
other group (vs non-grafted group: P = 0.0014; vs OT group: P = 0.013; vs Fi+OT
group: P = 0.018). Primordial follicle survival rates after grafting were higher
in the Fi/ASCs+OT group than in the OT (P = 0.0059) or Fi+OT groups (P = 0.0307).
TUNEL-positive follicle percentages after grafting were significantly lower in
the Fi/ASCs+OT group than in any other grafted tissue (vs OT group: P = 0.045; vs
Fi+OT group: P = 0.0268). Percentages of Ki-67-positive primordial follicles were
significantly higher in all grafted groups compared to non-grafted tissue
controls (P < 0.01). LIMITATIONS REASONS FOR CAUTION: As demonstrated by our
results, the proposed two-step ovarian tissue transplantation procedure using
ASCs enhances vascularization in the early post-grafting period, leading to
increased follicle survival rates and decreased apoptosis. However, mechanisms
involved in the proangiogenic behavior of ASCs remain to be elucidated. WIDER
IMPLICATIONS OF THE FINDINGS: Our results suggest that the proposed
transplantation procedure with ASCs is a promising step towards potentially
solving the problem of massive follicle loss after ovarian tissue grafting. STUDY
FUNDING/COMPETING INTEREST(S): This study was supported by grants from the Fonds
National de la Recherche Scientifique de Belgique (FNRS-PDR Convention T.0077.14,
grant Televie No. 7.6515.16 F to DDM and grant 5/4/150/5 awarded to MMD and CAA
is research associate, FRS-FNRS), Fonds Speciaux de Recherche, Fondation St Luc,
and Foundation Against Cancer, and donations from the Ferrero family.
PMID- 29635372
TI - Genome Sequences of Apibacter spp., Gut Symbionts of Asian Honey Bees.
AB - Honey bees have distinct gut microbiomes consisting almost entirely of several
host-specific bacterial species. We present the genomes of three strains of
Apibacter spp., bacteria of the Bacteroidetes phylum that are endemic to Asian
honey bee species (Apis dorsata and Apis cerana). The Apibacter strains have
similar metabolic abilities to each other and to Apibacter mensalis, a species
isolated from a bumble bee. They use microaerobic respiration and fermentation to
catabolize a limited set of monosaccharides and dicarboxylic acids. All strains
are capable of gliding motility and encode a type IX secretion system. Two
strains and A. mensalis have type VI secretion systems, and all strains encode
Rhs or VgrG proteins used in intercellular interactions. The characteristics of
Apibacter spp. are consistent with adaptions to life in a gut environment;
however, the factors responsible for host-specificity and mutualistic
interactions remain to be uncovered.
PMID- 29635373
TI - Structural dynamics of the N-terminal domain and the Switch loop of Prp8 during
spliceosome assembly and activation.
AB - Precursor message RNA (pre-mRNA) splicing is executed by the spliceosome, a large
ribonucleoprotein (RNP) machinery that is comparable to the ribosome. Driven by
the rapid progress of cryo-electron microscopy (cryo-EM) technology, high
resolution structures of the spliceosome in its different splicing stages have
proliferated over the past three years, which has greatly facilitated the
mechanistic understanding of pre-mRNA splicing. As the largest and most conserved
protein in the spliceosome, Prp8 plays a pivotal role within this protein
directed ribozyme. Structure determination of different spliceosomal complexes
has revealed intimate and dynamic interactions between Prp8 and catalytic RNAs as
well as with other protein factors during splicing. Here we review the structural
dynamics of two elements of Prp8, the N-terminal domain (N-domain) and the Switch
loop, and delineate the dynamic organisation and underlying functional
significance of these two elements during spliceosome assembly and activation.
Further biochemical and structural dissections of idiographic splicing stages are
much needed for a complete understanding of the spliceosome and pre-mRNA
splicing.
PMID- 29635375
TI - Patterns of radiotherapy infrastructure in Japan and in other countries with well
developed radiotherapy infrastructures.
AB - Background: In high-income countries, the number of radiotherapy machine per
population reaches a sufficient level. However, the patterns of infrastructure of
radiotherapy in high-income countries are not well known. Methods: Among 29 high
income countries with gross national income of $25,000 or more per capita, we
selected 23 countries whose total number of newly diagnosed cancer patients in
2012 was reported in the Organisation for Economic Co-operation and Development
Health Statistics 2017. The numbers of radiotherapy centers and teletherapy
machines in each of these 23 countries were collected using the Dictionary of
Radiotherapy Centers database. Results: The number of cancer patients per
teletherapy machine was 452.35-1398.22 (median 711.66) with a three-fold
variation, whereas the number of cancer patients per radiotherapy center varied
even more widely, from 826.16 to 5159.86 (median 2259.83) with a six-fold
variation. The average number of teletherapy machines per radiotherapy center
also ranged widely, from 1.24 to 8.29 (median 3.11) with a seven-fold variation.
The number of teletherapy machines in each country was almost proportional to
that of cancer patients, and the number of teletherapy machines per radiotherapy
center was inversely related to the number of radiotherapy centers per cancer
patients. The number of teletherapy machines per radiotherapy center in Japan was
1.24, the most fragmented among the high-income countries. The percentage of
large radiotherapy centers having three or more teletherapy machines in Japan was
the smallest among 23 high-income countries. Conclusions: Optimization of the
radiotherapy infrastructure in Japan should be carefully considered.
PMID- 29635376
TI - Analysis of the effects of EHR implementation on timeliness of care in a
dermatology clinic: a simulation study.
AB - Objective: Quantify the downstream impact on patient wait times and overall
length of stay due to small increases in encounter times caused by the
implementation of a new electronic health record (EHR) system. Methods: A
discrete-event simulation model was created to examine the effects of increasing
the provider-patient encounter time by 1, 2, 5, or 10 min, due to an increase in
in-room documentation as part of an EHR implementation. Simulation parameters
were constructed from an analysis of 52 000 visits from a scheduling database and
direct observation of 93 randomly selected patients to collect all the steps
involved in an outpatient dermatology patient care visit. Results: Analysis of
the simulation results demonstrates that for a clinic session with an average
booking appointment length of 15 min, the addition of 1, 2, 5, and 10 min for in
room physician documentation with an EHR system would result in a 5.2 (22%), 9.8
(41%), 31.8 (136%), and 87.2 (373%) minute increase in average patient wait time,
and a 6.2 (12%), 11.7 (23%), 36.7 (73%), and 96.9 (193%) minute increase in
length of stay, respectively. To offset the additional 1, 2, 5, or 10 min,
patient volume would need to decrease by 10%, 20%, 40%, and >50%, respectively.
Conclusions: Small changes to processes, such as the addition of a few minutes of
extra documentation time in the exam room, can cause significant delays in the
timeliness of patient care. Simulation models can assist in quantifying the
downstream effects and help analyze the impact of these operational changes.
PMID- 29635374
TI - Genome-wide determination of on-target and off-target characteristics for RNA
guided DNA methylation by dCas9 methyltransferases.
AB - Background: Fusion of DNA methyltransferase domains to the nuclease-deficient
clustered regularly interspaced short palindromic repeat (CRISPR) associated
protein 9 (dCas9) has been used for epigenome editing, but the specificities of
these dCas9 methyltransferases have not been fully investigated. Findings: We
generated CRISPR-guided DNA methyltransferases by fusing the catalytic domain of
DNMT3A or DNMT3B to the C terminus of the dCas9 protein from Streptococcus
pyogenes and validated its on-target and global off-target characteristics. Using
targeted quantitative bisulfite pyrosequencing, we prove that dCas9-BFP-DNMT3A
and dCas9-BFP-DNMT3B can efficiently methylate the CpG dinucleotides flanking its
target sites at different genomic loci (uPA and TGFBR3) in human embryonic kidney
cells (HEK293T). Furthermore, we conducted whole genome bisulfite sequencing
(WGBS) to address the specificity of our dCas9 methyltransferases. WGBS revealed
that although dCas9-BFP-DNMT3A and dCas9-BFP-DNMT3B did not cause global
methylation changes, a substantial number (more than 1000) of the off-target
differentially methylated regions (DMRs) were identified. The off-target DMRs,
which were hypermethylated in cells expressing dCas9 methyltransferase and guide
RNAs, were predominantly found in promoter regions, 5? untranslated regions, CpG
islands, and DNase I hypersensitivity sites, whereas unexpected hypomethylated
off-target DMRs were significantly enriched in repeated sequences. Through
chromatin immunoprecipitation with massive parallel DNA sequencing analysis, we
further revealed that these off-target DMRs were weakly correlated with dCas9 off
target binding sites. Using quantitative polymerase chain reaction, RNA
sequencing, and fluorescence reporter cells, we also found that dCas9-BFP-DNMT3A
and dCas9-BFP-DNMT3B can mediate transient inhibition of gene expression, which
might be caused by dCas9-mediated de novo DNA methylation as well as interference
with transcription. Conclusion: Our results prove that dCas9 methyltransferases
cause efficient RNA-guided methylation of specific endogenous CpGs. However,
there is significant off-target methylation indicating that further improvements
of the specificity of CRISPR-dCas9 based DNA methylation modifiers are required.
PMID- 29635377
TI - A Soft Casting Technique for Managing Pediatric Hand and Foot Burns.
AB - Hand and foot burns in children are difficult to dress. The authors have
developed a soft casting technique to manage burns to these areas. The aim of
this study is to report the outcomes using weekly dressing changes with a soft
casting technique to manage pediatric hand and foot burns in the outpatient
setting. A retrospective chart review was performed on children with burns to the
hands or feet, who underwent dressing changes with a soft casting technique at
the Children's Hospital Colorado Burn Center. Soft casting was performed by
placing antibiotic ointment-impregnated nonadherent gauze over the burn wound(s),
wrapping the extremity using rolled gauze, applying soft cast pad, plaster, soft
cast tape, and an elastic bandage. This was changed weekly. Two hundred ninety
eight children with hand burns had a mean age of 16.8 +/- 2 months. Two hundred
forty-eight children had partial thickness burn injuries (83%), 50 had full
thickness burn injuries (17%), and the mean total body surface area (TBSA) was 1
+/- 2.4%. The mean time to heal was 10.1 +/- 1.7 days for all subjects. Sixty-six
children with foot burns were identified with a mean age of 24 +/- 2.6 months.
Forty-six children had partial thickness injuries (70%), 20 had full thickness
burn injuries (30%), and the mean TBSA was 2.3 +/- 2.9%. The mean time to heal
was 14.1 +/- 2.2 days for all subjects. Weekly dressing changes using a soft
casting technique are effective for the outpatient management of pediatric hand
and foot burns. This method avoids costly inpatient hospital care, reduces the
number of painful dressing changes, and allows children to heal in their own
environment.
PMID- 29635378
TI - FGF2 and EGF induce epithelial-mesenchymal transition in malignant pleural
mesothelioma cells via a MAPKinase/MMP1 signal.
AB - Malignant pleural mesothelioma (MPM), an aggressive malignancy affecting pleural
surfaces, occurs in three main histological subtypes. The epithelioid and
sarcomatoid subtypes are characterized by cuboid and fibroblastoid cells,
respectively. The biphasic subtype contains a mixture of both. The sarcomatoid
subtype expresses markers of epithelial-mesenchymal transition (EMT) and confers
the worst prognosis, but the signals and pathways controlling EMT in MPM are not
well understood. We demonstrate that treatment with FGF2 or EGF induced a
fibroblastoid morphology in several cell lines from biphasic MPM, accompanied by
scattering, decreased cell adhesion and increased invasiveness. This depended on
the MAP-kinase pathway but was independent of TGFbeta or PI3-kinase signaling. In
addition to changes in known EMT markers, microarray analysis demonstrated
differential expression of MMP1, ESM1, ETV4, PDL1 and BDKR2B in response to both
growth factors and in epithelioid versus sarcomatoid MPM. Inhibition of MMP1
prevented FGF2-induced scattering and invasiveness. Moreover, in MPM cells with
sarcomatoid morphology, inhibition of FGF/MAP-kinase signaling induced a more
epithelioid morphology and gene expression pattern. Our findings suggest a
critical role of the MAP-kinase axis in the morphological and behavioral
plasticity of mesothelioma.
PMID- 29635379
TI - Apremilast monotherapy in DMARD-naive psoriatic arthritis patients: results of
the randomized, placebo-controlled PALACE 4 trial.
AB - Objectives: The PALACE 4 trial evaluated apremilast monotherapy in patients with
active PsA who were DMARD-naive. Methods: Eligible patients were randomized
(1:1:1) to placebo, apremilast 20 mg twice a day or apremilast 30 mg twice a day.
At week 16 or 24, placebo patients were rerandomized to apremilast. Double-blind
apremilast treatment continued to week 52, with extension up to 4 years. The
primary endpoint was the proportion of patients achieving ?20% improvement in ACR
response criteria (ACR20) at week 16; secondary endpoints included the mean
change in the HAQ Disability Index (HAQ-DI) score at week 16. Results: A total of
527 patients with mean disease duration of 3.4 years and high disease activity
were randomized and received treatment. More apremilast patients achieved ACR20
response at week 16 [placebo, 15.9%; 20 mg, 28.0% (P = 0.0062); 30 mg, 30.7% (P =
0.0010)]. The mean HAQ-DI improvements were -0.17 (20 mg; P = 0.0008) and -0.21
(30 mg; P < 0.0001) vs 0.03 (placebo). Both apremilast doses showed significant
ACR50 responses vs placebo at week 16 and improvements in secondary efficacy
measures (swollen/tender joint counts) and psoriasis assessments, with sustained
improvements through week 52. Common adverse events (AEs) over 52 weeks were
diarrhoea, nausea, headache and upper respiratory tract infection; most events
were mild or moderate. Serious AEs and AEs leading to discontinuation were
comparable between groups. Laboratory abnormalities were infrequent and
transient. Conclusions: In DMARD-naive patients, apremilast monotherapy improved
PsA signs/symptoms over 52 weeks and was generally well tolerated. Trial
registration: ClinicalTrials.gov (http://clinicaltrials.gov), NCT01307423.
PMID- 29635380
TI - Ultrasound guidance for central venous catheterisation. A Colombian national
survey.
AB - Quality problem or issue: Ultrasound (US) is a widely propagated medical
technology. Anaesthesiologists increase procedural safety by using US techniques,
but training and availability are essential for its usage. Although its utility
for central venous catheterisation (CVC) is well established, only a paucity of
evidence is available regarding its use in low- and middle-income countries. This
study is a nationwide survey of Colombian anaesthesiologists designed to explore
the current use of US guidance for CVC. Initial assessment and implementation:
Web-based survey at National level. Anaesthesiologists registered in the
Colombian Society of Anaesthesiology and Resuscitation database. Choice of
solution: Demographic variables (age and gender), anaesthesia expertise, years of
anaesthesiology practice, US availability, use of US during CVC, reasons for not
using US and training experience were collected. Evaluation: Of 351 respondents
(12.3% response rate), 45% reported using US sometimes and always for CVC (95% CI
39%-50%) (n = 157). Most anaesthesiologists obtained training in US through
external courses (50.4%) or from colleagues (22.8%). Of the total respondents,
62.7% (n = 220) have US equipment available at all time and this factor was
independently associated with the use of US for CVC (adjusted odds ratio [OR] =
38.6, P < 0.001). Lessons learned: US guidance is not a common technique used for
CVC by Colombian anaesthesiologists; an important barrier for its use is lack of
equipment.
PMID- 29635381
TI - Physical, Physiological, and Dietary Comparisons Between Marine Corps Forces
Special Operations Command Critical Skills Operators and Enablers.
AB - Introduction: Tactical demands of a Marine Corps Forces Special Operations
Command (MARSOC) Critical Skills Operator (CSO) require high levels of physical
performance. During combat deployments, teams of CSOs are supplemented with
enablers who specialize in mission-specific tasks. MARSOC CSOs and enablers serve
alongside each other in extreme combat environments, often enduring the same
physical demands, but the selection process for each group is very different. The
purpose of this observational study was to quantify the physical, physiological,
and dietary differences of MARSOC CSOs and enablers, as this may have a direct
impact on tactical performance and provide important information to shape future
research. Materials and Methods: Fat free mass (FFM), fat mass (FM), fat mass
index (FMI), fat free mass index (FFMI), anaerobic power (AP), anaerobic capacity
(AC), aerobic capacity (VO2max), knee flexion (KF), knee extension (KE), trunk
extension (TE), and trunk flexion (TF) isokinetic strength were collected.
Dietary intake was collected using automated self-administered 24-hr dietary
recalls (ASA24) for a subgroup of subjects. Results: Testing on 164 male CSOs
(age: 27.5 +/- 3.8 yr, height: 178.7 +/- 6.5 cm, mass: 85.7 +/- 9.1 kg, and 7.6
+/- 2.9 yr of military service) and 51 male enablers (age: 27.8 +/- 5.4 yr,
height: 178.4 +/- 8.5 cm, mass: 83.8 +/- 11.8 kg, and 7.9 +/- 5.4 yr of military
service) showed there were no significant differences for age, height, mass, or
years of military service. (p > 0.05). CSOs demonstrated greater physiological
performance in AP (W/kg) (p = 0.020), AC (W/kg) (p = 0.001), and VO2max
(ml/kg/min) (p = 0.018). There were no significant differences in FM and FFM (p >
0.05), however CSOs demonstrated significantly higher FFMI (p = 0.011). CSOs also
demonstrated greater KF (%BW) (p = 0.001), KE (%BW) (p = 0.001), TE (%BW) (p =
0.010), and TF (%BW) (p = 0.016). No differences in energy or macronutrient
intake were observed in the subgroup. Conclusions: MARSOC CSOs demonstrated
significantly greater FFMI, AP, AC, VO2max, KF, KE, TE, and TF compared with
enablers. Dietary intake was consistent between groups, but fueling concerns were
identified for all personnel in the subgroup. These findings suggest the need for
future studies to examine what physiological and strength thresholds are
necessary to operate effectively as a member of a MSOT and determine the
relationship between specific performance deficits and risk of injury. In
addition, the integration of nutrition strategies that augment and optimize the
performance of both CSOs and enablers may be beneficial.
PMID- 29635382
TI - Exploring Mosquito Fauna of Majuro Atoll (Republic of Marshall Islands) in the
Context of Zika Outbreak.
AB - First autochthonous Zika clinical case was reported in the Republic of Marshall
Islands (RMI) on Majuro Atoll in February 2016. An entomological survey of
mosquito larvae and adult populations was carried out in four areas of Majuro,
the most populated atoll of RMI encompassing different habitats (forest, rural,
or urban) including some with confirmed clinical Zika cases to evaluate which
mosquito species could be involved in the Zika transmission. A total of 2,367
immature and adult mosquito specimens were collected and identified to the
species level. In total, five mosquito species were detected, Aedes aegypti
(Linnaeus), Aedes albopictus (Skuse), Aedes marshallensis (Stone and Bohart),
Culex quinquefasciatus (Say), and Culex annulirostris (Skuse) (Diptera:
Culicidae), a first record for RMI. The most abundant species was Ae. aegypti
presumed to be the main vector of Zika virus followed by Ae. albopictus. Improved
management of breeding containers through better public awareness and community
engagement, mosquito surveillance and innovative mosquito control strategies
using the sterile insect technique (SIT) and/or the incompatible insect technique
(IIT) could help prevent outbreaks of arboviruses in the RMI.
PMID- 29635383
TI - Attention Measures of Accuracy, Variability, and Fatigue Detect Early Response to
Donepezil in Alzheimer's Disease: A Randomized, Double-blind, Placebo-Controlled
Pilot Trial.
AB - Objective: Donepezil is widely used to treat Alzheimer's disease (AD), but
detecting early response remains challenging for clinicians. Acetylcholine is
known to directly modulate attention, particularly under high cognitive
conditions, but no studies to date test whether measures of attention under high
load can detect early effects of donepezil. We hypothesized that load-dependent
attention tasks are sensitive to short-term treatment effects of donepezil, while
global and other domain-specific cognitive measures are not. Method: This
longitudinal, randomized, double-blind, placebo-controlled pilot trial
(ClinicalTrials.gov Identifier: NCT03073876) evaluated 23 participants newly
diagnosed with AD initiating de novo donepezil treatment (5 mg). After baseline
assessment, participants were randomized into Drug (n = 12) or Placebo (n = 11)
groups, and retested after approximately 6 weeks. Cognitive assessment included:
(a) attention tasks (Foreperiod Effect, Attentional Blink, and Covert Orienting
tasks) measuring processing speed, top-down accuracy, orienting, intra-individual
variability, and fatigue; (b) global measures (Alzheimer's Disease Assessment
Scale-Cognitive Subscale, Mini-Mental Status Examination, Dementia Rating Scale);
and (c) domain-specific measures (memory, language, visuospatial, and executive
function). Results: The Drug but not the Placebo group showed benefits of
treatment at high-load measures by preserving top-down accuracy, improving intra
individual variability, and averting fatigue. In contrast, other global or
cognitive domain-specific measures could not detect treatment effects over the
same treatment interval. Conclusions: The pilot-study suggests that attention
measures targeting accuracy, variability, and fatigue under high-load conditions
could be sensitive to short-term cholinergic treatment. Given the central role of
acetylcholine in attentional function, load-dependent attentional measures may be
valuable cognitive markers of early treatment response.
PMID- 29635384
TI - Pulmonary Non-Small Cell Carcinoma With Morphologic Features of Adenocarcinoma or
"Non-Small Cell Carcinoma Favor Adenocarcinoma" in Cytologic Specimens Share
Similar Clinical and Molecular Genetic Characteristics.
AB - Objectives: Define if the presence of morphologic features of adenocarcinoma
(ACA) in non-small cell lung carcinoma (NSCLC) on cytology specimens correlates
with clinical and biologic features. Methods: A total of 209 cases of NSCLC
diagnosed on fine-needle aspiration in a 3-year period were included. Results:
After morphologic review, the cases were classified as ACA (n = 115), NSCLC favor
ACA (n = 43), and NSCLC-not otherwise specified (NOS) (n = 18). Squamous cell
(SCC) (n = 14) and NSCLC favor SCC (n = 19) were excluded from further analysis.
Patients with EGFR-mutated tumors had longer overall survival than those with
EGFR wild-type tumors (P = .01). When comparing cases with morphologic features
of ACA, NSCLC favor ACA, and NSCLC-NOS, there were no differences in the presence
or absence of tested mutations, clinical stage, or survival. Conclusion: Patients
diagnosed with pulmonary ACA, NSCLC favor ACA, or NSCLC-NOS in cytology specimens
have similar clinical stage, survival, and molecular alterations.
PMID- 29635385
TI - The Fukushima Daiichi Nuclear Power Plant accident and school bullying of
affected children and adolescents: the need for continuous radiation education.
AB - The health threats of radiation-release incidents are diverse and long term. In
addition to direct radiation effects, it is imperative to manage the indirect
effects of radiation such as stigma, prejudice and broader mental health impacts.
Six years after the Fukushima Daiichi Nuclear Power Plant accident of March 2011,
bullying caused by stigma and prejudice toward evacuees, including children, has
become a social problem in Japan. This phenomenon may be associated with the fact
that knowledge about radiation has still not reached the general public, and to a
potential lack of motivation among Japanese citizens to learn about radiation and
bullying. Continuous and sustained education regarding radiation is warranted in
order to enhance the general knowledge level about the effects of radiation in
Japan after the Fukushima Daiichi Nuclear Power Plant accident, and this
education will become an important reference for education after future nuclear
disasters.
PMID- 29635386
TI - Amniotic Fluid Exosome Proteomic Profile Exhibits Unique Pathways of Term and
Preterm Labor.
AB - Our objective was to determine the amniotic fluid-derived exosomal proteomic
profile in patients who had spontaneous preterm birth (PTB) or preterm premature
rupture of membranes (pPROM) compared with those who delivered at term. A cross
sectional study of a retrospective cohort was used to quantify and determine the
protein content of exosomes present in amniotic fluid, in PTB or pPROM, and
normal term labor (TL) or term not in labor (TNIL) pregnancies. Exosomes were
isolated by differential centrifugation and quantified using nanocrystals (Qdot)
coupled to CD63 and placental alkaline phosphatase (PLAP) by fluorescence
nanoparticle tracking analysis. The exosomal proteomic profile was identified by
liquid chromatography-tandem mass spectrometry, and a small ion library was
constructed to quantify the proteomic data by Sequential Window Acquisition of
All Theoretical analysis. Ingenuity Pathway Analysis determined canonical
pathways and biofunctions associated with dysregulated proteins. Amniotic fluid
exosomes have similar shape and quantity regardless of the conditions; however,
the PLAP/CD63 ratios for TL, PTB, and pPROM were significantly higher (~3.8-,
~4.4-, and ~3.5-fold, respectively) compared with TNIL. The PLAP/CD63 ratio was
also significantly higher (~1.3-fold) in PTB compared with pPROM. Biological
functions primarily indicated nonspecific inflammatory response regardless of
condition, but unique profiles were also identified in cases (PTB and pPROM)
compared with term. Amniotic fluid exosomes provide information specific to
normal and abnormal parturition. Inflammatory marker enrichment and its
uniqueness in term and preterm pregnancies support the value of exosomes in
determining underlying physiology associated with term and preterm parturition.
PMID- 29635387
TI - Degradation Capacity and Diapause Effects on Oviposition of Hermetia illucens
(Diptera: Stratiomyidae).
AB - Although well known for its organic waste decomposing capability, much of the
biology of Hermetia illucens (L.) (Diptera: Stratiomyidae) remains unknown,
including details involving its diapause. The present study provides a better
understanding of the effects of diapause on the fecundity and longevity of H.
illucens. The daily degradation rates (dry weight of the uneaten residue) of a H.
illucens cohort were also evaluated. Data were collected and analyzed based on
the age-stage, two-sex life table. The intrinsic rate of increase (r), finite
rate of increase (lambda), net reproduction rate (R0), and mean generation time
(T) were 0.0498 (d-1), 1.0511 (d-1), 118.3 offspring, and 95.8 d, respectively.
The maximum net maternity was 22.5 eggs after 88 d. Only 12 females out of 22
were able to successfully oviposit. The number of eggs produced per female ranged
from 508 to 1,047. Degradation and consumption occurred during each of the 23 d
until all larvae developed into the prepupal stage. The age-stage net consumption
rate (Bxj) dropped at day 11 (1.05 mg) and then reached its highest level at day
14 (1.72 mg). The mean degradation rate was 26.69 mg and the total degradation
and consumption was 1,921.52 mg. Linking life table data and degradation rates
provides the necessary data for predicting a population's growth and its
degradation capacity. Results of this study demonstrated the importance of adding
moisture during the prepupal stage. This information will provide a better
understanding for an implementation program using H. illucens as a biodegrading
agent of organic waste matter.
PMID- 29635388
TI - Identification and Characterization of Compounds that Affect Stomatal Movements.
AB - Regulation of stomatal aperture is essential for plant growth and survival in
response to environmental stimuli. Opening of stomata induces uptake of CO2 for
photosynthesis and transpiration, which enhances uptake of nutrients from roots.
Light is the most important stimulus for stomatal opening. Under drought stress,
the plant hormone ABA induces stomatal closure to prevent water loss. However,
the molecular mechanisms of stomatal movements are not fully understood. In this
study, we screened chemical libraries to identify compounds that affect stomatal
movements in Commelina benghalensis and characterize the underlying molecular
mechanisms. We identified nine stomatal closing compounds (SCL1-SCL9) that
suppress light-induced stomatal opening by >50%, and two compounds (temsirolimus
and CP-100356) that induce stomatal opening in the dark. Further investigations
revealed that SCL1 and SCL2 had no effect on autophosphorylation of phototropin
or the activity of the inward-rectifying plasma membrane (PM) K+ channel, KAT1,
but suppressed blue light-induced phosphorylation of the penultimate residue,
threonine, in PM H+-ATPase, which is a key enzyme for stomatal opening. SCL1 and
SCL2 had no effect on ABA-dependent responses, including seed germination and
expression of ABA-induced genes. These results suggest that SCL1 and SCL2
suppress light-induced stomatal opening at least in part by inhibiting blue light
induced activation of PM H+-ATPase, but not by the ABA signaling pathway.
Interestingly, spraying leaves onto dicot and monocot plants with SCL1 suppressed
wilting of leaves, indicating that inhibition of stomatal opening by these
compounds confers tolerance to drought stress in plants.
PMID- 29635389
TI - Hrp48 and eIF3d contribute to msl-2 mRNA translational repression.
AB - Translational repression of msl-2 mRNA in females of Drosophila melanogaster is
an essential step in the regulation of X-chromosome dosage compensation.
Repression is orchestrated by Sex-lethal (SXL), which binds to both untranslated
regions (UTRs) of msl-2 and inhibits translation initiation by poorly understood
mechanisms. Here we identify Hrp48 as a SXL co-factor. Hrp48 binds to the 3' UTR
of msl-2 and is required for optimal repression by SXL. Hrp48 interacts with
eIF3d, a subunit of the eIF3 translation initiation complex. Reporter and RNA
chromatography assays showed that eIF3d binds to msl-2 5' UTR, and is required
for efficient translation and translational repression of msl-2 mRNA. In line
with these results, eIF3d depletion -but not depletion of other eIF3 subunits- de
represses msl-2 expression in female flies. These data are consistent with a
model where Hrp48 inhibits msl-2 translation by targeting eIF3d. Our results
uncover an important step in the mechanism of msl-2 translation regulation, and
illustrate how general translation initiation factors can be co-opted by RNA
binding proteins to achieve mRNA-specific control.
PMID- 29635391
TI - A005, a novel inhibitor of phosphatidylinositol 3-kinase/mammalian target of
rapamycin, prevents osteosarcoma-induced osteolysis.
AB - Osteosarcoma is the most frequent primary bone tumor in children and adolescents.
The phosphatidylinositol 3-kinase (PI3K)/mammalian target of rapamycin (mTOR)
signaling pathway is an attractive anticancer target because it plays key roles
in the regulation of cell growth, division and differentiation. In this study, we
demonstrated high expression of PI3K/mTOR signaling pathway-related genes in
patients with osteosarcoma. We thus investigated the effects of A005, a newly
synthesized dual PI3K/mTOR inhibitor, on osteosarcoma cells and in a mouse
xenograft tumor model. The results confirmed that A005 inhibited the
proliferation, migration and invasion of human osteosarcoma cells. In addition,
A005 also inhibited receptor activator of nuclear factor kappa-B ligand (RANKL)
induced osteoclast differentiation and bone resorption in vitro. Therefore, A005
was further applied to a SaOS-2 osteosarcoma-induced mouse osteolysis model. A005
inhibited tumor growth and prevented osteosarcoma-associated osteolysis via
modulation of the PI3K/AKT/mTOR pathway. Overall, our results showed that A005
inhibited osteoclastogenesis and prevented osteosarcoma-induced bone osteolysis
by suppressing PI3K/AKT/mTOR signaling. These findings indicated that A005 may be
a promising candidate drug for the treatment of human osteosarcoma.
PMID- 29635390
TI - RAD51 foci as a functional biomarker of homologous recombination repair and PARP
inhibitor resistance in germline BRCA-mutated breast cancer.
AB - Background: BRCA1 and BRCA2 (BRCA1/2)-deficient tumors display impaired
homologous recombination repair (HRR) and enhanced sensitivity to DNA damaging
agents or to poly(ADP-ribose) polymerase (PARP) inhibitors (PARPi). Their
efficacy in germline BRCA1/2 (gBRCA1/2)-mutated metastatic breast cancers has
been recently confirmed in clinical trials. Numerous mechanisms of PARPi
resistance have been described, whose clinical relevance in gBRCA-mutated breast
cancer is unknown. This highlights the need to identify functional biomarkers to
better predict PARPi sensitivity. Patients and methods: We investigated the in
vivo mechanisms of PARPi resistance in gBRCA1 patient-derived tumor xenografts
(PDXs) exhibiting differential response to PARPi. Analysis included exome
sequencing and immunostaining of DNA damage response proteins to functionally
evaluate HRR. Findings were validated in a retrospective sample set from gBRCA1/2
cancer patients treated with PARPi. Results: RAD51 nuclear foci, a surrogate
marker of HRR functionality, were the only common feature in PDX and patient
samples with primary or acquired PARPi resistance. Consistently, low RAD51 was
associated with objective response to PARPi. Evaluation of the RAD51 biomarker in
untreated tumors was feasible due to endogenous DNA damage. In PARPi-resistant
gBRCA1 PDXs, genetic analysis found no in-frame secondary mutations, but BRCA1
hypomorphic proteins in 60% of the models, TP53BP1-loss in 20% and RAD51
amplification in one sample, none mutually exclusive. Conversely, one of three
PARPi-resistant gBRCA2 tumors displayed BRCA2 restoration by exome sequencing. In
PDXs, PARPi resistance could be reverted upon combination of a PARPi with an
ataxia-telangiectasia mutated (ATM) inhibitor. Conclusion: Detection of RAD51
foci in gBRCA tumors correlates with PARPi resistance regardless of the
underlying mechanism restoring HRR function. This is a promising biomarker to be
used in the clinic to better select patients for PARPi therapy. Our study also
supports the clinical development of PARPi combinations such as those with ATM
inhibitors.
PMID- 29635392
TI - Do plasma neprilysin activity and plasma neprilysin concentration predict cardiac
events in chronic kidney disease patients?
AB - Background: Since the introduction of sacubitril/valsartan in clinical
cardiology, neprilysin has become a major target for heart failure treatment.
Plasma neprilysin concentration has been discussed as a novel biomarker that
predicts cardiac events. Natriuretic peptides may inhibit plasma neprilysin. As
they accumulate in chronic kidney disease (CKD), we hypothesized that high plasma
neprilysin loses its predictive role in CKD patients. Methods: We measured plasma
levels of neprilysin concentration, neprilysin activity and brain natriuretic
peptide (BNP) in 542 CKD G2-G4 patients within the CARE FOR HOMe study. Patients
were followed for predefined endpoints of hospitalization for acute decompensated
heart failure and incident atherosclerotic cardiovascular events. Results: During
5.1 +/- 2.1 years, 63 patients had acute decompensated heart failure and 125
patients had incident atherosclerotic cardiovascular events. In both Kaplan-Meier
and multivariate Cox regression analyses, high plasma BNP and low, rather than
elevated, neprilysin activity predicted future hospitalization for acute
decompensated heart failure; neprilysin concentration was not predictive.
Furthermore, only BNP was an independent predictor of incident atherosclerotic
cardiovascular events. Conclusions: In line with experimental studies, high
natriuretic peptides may inhibit neprilysin activity in CKD. Therefore, high
neprilysin activity and concentrations are not predictors of adverse
cardiovascular outcome in CKD patients. Thus neprilysin inhibitors should be
implemented with caution in patients with advanced CKD.
PMID- 29635393
TI - Calvarial Volume Loss and Facial Aging: A Computed Tomographic (CT)-Based Study.
AB - Background: Our understanding of the aging changes involving the cranium and its
impact on the overlying soft tissues is limited. Objectives: This study was
designed to look at the changes that occur in the cranium with aging and to
propose an additional mechanism for loss of support for overlying soft tissues.
Methods: One hundred and fifty-seven white individuals (10 males and 10 females
in each decade: 20-29 years, 30-39 years, 40-49 years, 50-59 years, 60-69 years,
70-79 years, 80-89 years, and 8 males and 9 females aged 90-98 years) were
investigated. Computed tomographic (CT) multiplanar scans with standardized
measurements of cranial thickness were performed for the frontal bone, nasion,
vertex, pterion, lambda, calvarial and midfacial height, and sagittal and
transverse diameter. Results: Increasing age correlated with a decrease in
sagittal diameter in both males (rp = -0.201) and females (rp = -0.055) but with
an increase in transverse diameter in both males (rp = 0.233) and females (rp =
0.207). Frontal bone thickness decreased in males -1.57mm/-18.14%, whereas it
increased slightly in females +0.26mm/+3.04%. At the pterion, bone thickness
increased significantly in both genders. Calvarial volume decreased with
increased age in both males and females: -70.2 ml/-5.35% and -61.4 ml/-5.10%,
respectively. Conclusions: The lateral expansion of the skull may favor a
skeletonized appearance of the face in elderly individuals. The computed volume
of the calvaria decreased with advancing age in both genders, providing an
additional element in the multifactorial model for facial soft-tissue laxity.
Level of Evidence 2:
PMID- 29635394
TI - Field of genes: using Apache Kafka as a bioinformatic data repository.
AB - Background: Bioinformatic research is increasingly dependent on large-scale
datasets, accessed either from private or public repositories. An example of a
public repository is National Center for Biotechnology Information's (NCBI's)
Reference Sequence (RefSeq). These repositories must decide in what form to make
their data available. Unstructured data can be put to almost any use but are
limited in how access to them can be scaled. Highly structured data offer
improved performance for specific algorithms but limit the wider usefulness of
the data. We present an alternative: lightly structured data stored in Apache
Kafka in a way that is amenable to parallel access and streamed processing,
including subsequent transformations into more highly structured representations.
We contend that this approach could provide a flexible and powerful nexus of
bioinformatic data, bridging the gap between low structure on one hand, and high
performance and scale on the other. To demonstrate this, we present a proof-of
concept version of NCBI's RefSeq database using this technology. We measure the
performance and scalability characteristics of this alternative with respect to
flat files. Results: The proof of concept scales almost linearly as more compute
nodes are added, outperforming the standard approach using files. Conclusions:
Apache Kafka merits consideration as a fast and more scalable but general-purpose
way to store and retrieve bioinformatic data, for public, centralized reference
datasets such as RefSeq and for private clinical and experimental data.
PMID- 29635395
TI - Clinical, Empirical, and Theoretical Rationale for Selection of Accelerated
Resolution Therapy for Treatment of Post-traumatic Stress Disorder in VA and DoD
Facilities.
AB - Introduction: Post-traumatic stress disorder (PTSD) is a chronic, disabling
psychiatric disorder prevalent among U.S. service members and veterans. First
line treatments for PTSD endorsed in the 2017 Veterans Affairs (VA)/Department of
Defense (DoD) Clinical Practice Guideline for PTSD emphasize individual,
manualized trauma-focused psychotherapies that have a primary component of
exposure and/or cognitive restructuring. These include prolonged exposure (PE)
therapy, cognitive processing therapy (CPT), eye movement desensitization and
reprocessing (EMDR), and others. Accelerated resolution therapy (ART) is an
emerging trauma-focused therapy not specifically referenced in the guideline, but
one that is consistent with the recommendations and is derived directly from
EMDR. One randomized clinical trial and multiple observational studies have
suggested that ART can be delivered in an average of just four treatment
sessions. This commentary reviews the clinical, empirical, and theoretical
rationale for use of ART as a potential first-line PTSD treatment modality in VA
and DoD facilities. Materials and Methods: The clinical protocol of ART is
summarized into discrete procedural steps. The theoretical rationale as to how
ART may help clients process traumatic memories and resolve symptoms of PTSD is
also discussed, including how repeated sets of smooth pursuit horizontal eye
movements may facilitate a relaxation response and assist with processing
emotionally intrusive memories. Herein, we review primary treatment results from
four published studies of ART, including mean symptom score reductions on the 17
item PCL (PTSD checklist) after treatment with ART, along with effect sizes and
percentage of treatment responders. Finally, the ART protocol is compared
directly against specific recommended elements of trauma-focused therapy
described in the VA/DoD Clinical Practice Guideline. Results: The four published
studies of ART reviewed (n = 291) included adult civilians and service
members/veterans; the mean age was 42.3 +/- 12.3 yr and 28.9% were female. Among
237 treatment completers (81.4% of the combined cohort), the mean number of ART
sessions received was 3.9 +/- 1.1. Across the four studies, mean treatment
related reductions in PCL scores ranged from 15.6 +/- 13.2 to 25.6 +/- 11.3, with
a pooled mean reduction on the PCL of 20.6 +/- 15.0. Effect sizes were large and
ranged from 1.18 to 2.26 (p< 0.0005) across studies, with a pooled effect size of
1.38 (95% confidence interval: 1.20-1.56, p < 0.0001). Using the clinical
cutpoint of >10-point reduction on the PCL instrument, clinically significant
change (response) ranged from 63.8% to 100.0% across the four studies, with a
pooled treatment response rate of 74.7%. Results were nominally attenuated when
conservatively assuming no treatment response for non-completers. Conclusion: The
ART protocol contains the core therapeutic elements and aligns closely with the
current VA/DoD Clinical Practice Guideline. It has a plausible theoretical
rationale and an evolving empirical research base that includes four studies with
peer-reviewed publications, one of which was a randomized controlled trial. These
features, along with the brevity of the treatment protocol, no requirement for
narration, and high provider satisfaction rates, provide a rationale for the
potential use of ART as a first-line PTSD treatment modality for active duty and
veteran military personnel.
PMID- 29635396
TI - Takayasu arteritis: advanced understanding is leading to new horizons.
AB - Although outcomes in Takayasu arteritis (TAK) are improving, diagnosis is
typically delayed and significant arterial injury accrues. While wider use of non
invasive imaging is impacting this, the onus remains with clinicians to consider
a diagnosis of TAK earlier. Meanwhile, morbidity and mortality in TAK remains
increased. Herein we review the current situation, outline recent advances and
summarize remaining challenges. Understanding of disease pathogenesis remains
poor. However, recent genetic data and identification of pathogenic cytokines may
facilitate the search for biomarkers capable of distinguishing active and
inactive disease, inflammatory and non-inflammatory arterial remodelling. Imaging
is critical for TAK, and each modality has important strengths and limitations.
Dependence upon CS therapy remains too high. However, the impact of combination
immunosuppressive therapy is now recognized, biologic therapies are increasingly
available and new agents offer promise. Multicentre clinical trials are now
required, and these will depend upon development of defined clinical and imaging
end-points.
PMID- 29635397
TI - Simultaneous Determination of Antipsychotic Drugs and Their Active Metabolites by
LC-MS-MS and its Application to Therapeutic Drug Monitoring.
AB - A quantitative method was developed to support therapeutic drug monitoring of
eight antipsychotic drugs: chlorpromazine, haloperidol, zuclopenthixol,
clozapine, risperidone, quetiapine, aripiprazole or olanzapine and some active
metabolites (dehydroaripiprazole, N-desmethylclozapine and 9-hydroxyrisperidone)
in human serum. Separation of the compounds was achieved using a Zorbax SB-C18
(150 mm * 4.6 mm, 5 MUm) column and mass-spectrometric detection in multiple
reaction monitoring mode. Human blood samples were collected in vacutainer tubes
and the analytes were extracted with methyl-tert-butyl ether. The lower limits of
quantitation were equal 0.5-1 ng/mL for all analytes. The method was applied with
success to serum samples from schizophrenic patients undergoing polypharmacy with
two or more different antipsychotic drugs. Precision data, accuracy results were
satisfactory, and no interference from other psychotropic drugs was found. Hence,
the method is suitable for the TDM of the analytes in psychotic patients' serum.
PMID- 29635398
TI - The predictive value of new-onset atrial fibrillation on postoperative morbidity
after esophagectomy.
AB - New-onset atrial fibrillation (AF) is frequently observed following esophagectomy
and may predict other complications. The aim of the current study was to
determine the association between, and the possible predictive value of, new
onset AF and infectious complications following esophagectomy. Consecutive
patients who underwent elective esophagectomy with curative intent for esophageal
cancer between 2004 and 2016 in the University Medical Center Utrecht were
included from a prospective database. The date of diagnosis of the complications
included in the current analysis was retrospectively collected from the
computerized medical record. The association between new-onset AF and infectious
complications was studied in univariable and multivariable logistic regression
analyses. A total of 455 patients were included. In 93 (20.4%) patients new-onset
AF was encountered after esophagectomy. There were no significant differences in
patient and treatment-related characteristics between the patients with and
without AF. In 9 (9.7%) patients, AF was the only adverse event following
surgery. In multivariable analyses, AF was significantly associated with
infectious complications in general (OR 3.00, 95% CI: 1.73-5.21). More
specifically, AF was associated with pulmonary complications (OR 2.06, 95% CI:
1.29-3.30), pneumonia (OR 2.41, 95% CI: 1.48-3.91) and anastomotic leakage (OR
3.00, 95% CI: 1.80-4.99). In patients who underwent esophagectomy, new-onset AF
was highly associated with infectious complications. AF may serve as an early
clinical warning sign for anastomotic leakage. Therefore, further evaluation of
patients who develop new-onset AF after esophagectomy is warranted.
PMID- 29635399
TI - Does the Visual Channel Improve the Perception of Consonants Produced by Speakers
of French With Down Syndrome?
AB - Purpose: This work evaluates whether seeing the speaker's face could improve the
speech intelligibility of adults with Down syndrome (DS). This is not
straightforward because DS induces a number of anatomical and motor anomalies
affecting the orofacial zone. Method: A speech-in-noise perception test was used
to evaluate the intelligibility of 16 consonants (Cs) produced in a vowel
consonant-vowel context (Vo = /a/) by 4 speakers with DS and 4 control speakers.
Forty-eight naive participants were asked to identify the stimuli in 3
modalities: auditory (A), visual (V), and auditory-visual (AV). The probability
of correct responses was analyzed, as well as AV gain, confusions, and
transmitted information as a function of modality and phonetic features. Results:
The probability of correct response follows the trend AV > A > V, with smaller
values for the DS than the control speakers in A and AV but not in V. This trend
depended on the C: the V information particularly improved the transmission of
place of articulation and to a lesser extent of manner, whereas voicing remained
specifically altered in DS. Conclusions: The results suggest that the V
information is intact in the speech of people with DS and improves the perception
of some phonetic features in Cs in a similar way as for control speakers. This
result has implications for further studies, rehabilitation protocols, and
specific training of caregivers. Supplemental Material:
https://doi.org/10.23641/asha.6002267.
PMID- 29635400
TI - Time needed to resolve patient complaints and factors influencing it: a cohort
study.
AB - Objective: There has been an increase in the number and complexity of patient
complaints against healthcare institutions. An understanding of the resources
needed in this area is important for proper planning. Design: Cohort study.
Setting: A 1250-bed tertiary-care teaching hospital. Participants: All patient
complaints received between 1 February 2014 and 31 January 2015 were
prospectively included in this cohort study. Main Outcome Measures: The amount of
time spent on the investigation and liaising with the complainant for each case
was recorded. The complainant's personal details and characteristics were
recorded anonymously. Results: In total, 908 patient complaints were recorded
from 801 individuals during the study period. Longer median person-hours were
spent on managing complaints that were brought forward by men (1.48 h), those who
were distant relatives of the patients (2.08 h), foreigners (1.58 h) and non
subsidised patients (1.83 h). Patient complaints falling into the categories of
clinical domain (3.00 h) and patient rights (2.54 h), quality (3.00 h) and safety
(2.83 h) required the longest median time to manage. Multiple logistic regression
analysis revealed that the total amount of time spent on the complaints was
predicted by the gender of the complainant, the relationship of the complainant
with the patient, the subsidy status of the patient, the severity and the domain
of the complaint. Conclusions: This study reported the time required to manage
patient complaints in a larger tertiary-care academic medical centre. Predictors
of the time spent on resolving patient complaints can be used as parameters for
resource planning.
PMID- 29635401
TI - One hundred and fifty-six cases of anatomical pulmonary segmentectomy by
uniportal video-assisted thoracic surgery: a 2-year learning experience.
AB - OBJECTIVES: Our goal was to explore the outcome of the study and the feasibility
for patients of the technique of anatomical pulmonary segmentectomy by uniportal
video-assisted thoracic surgery (VATS). METHODS: A total of 156 consecutive
patients with lung lesions who received anatomical pulmonary segmentectomy by
VATS between 2015 and 2016 in our hospital were enrolled. All the subjects had
high-resolution, thin-section chest computed tomography (CT) examinations with 3
dimensional reconstruction, a pulmonary function test, abdominal ultrasonography,
electrocardiogram and cardiac ultrasonography. The lung lesion was localized
before the operation using CT reconstruction or a hookwire to plan the operative
method. RESULTS: Uniportal VATS pulmonary segmentectomy was successfully
completed in 151 (96.8%) patients. Most cases involved the right apical and
apical posterior segments and the left trisegment. Only 1 patient had a right
middle interior segmentectomy, left upper apical anterior segmentectomy or a
right lower posteriolateral segmentectomy, respectively. There were 26 cases of
benign lesions (including 17 cases of atypical hyperplasia) and 130 cases of non
small-cell lung cancer. Operation time (146 +/- 56 vs 113 +/- 32 min), blood loss
(63 +/- 17 vs 54 +/- 13 ml) and complication rates (13.5% vs 5.8%) were obviously
lower in 2016 compared with 2015 (P < 0.01). In contrast, the preoperative
hookwire localization rate was markedly higher in 2016 compared with 2015.
CONCLUSIONS: Uniportal VATS anatomical pulmonary segmentectomy is safe and
feasible in clinical applications. Compared with the 2- or 3-port method, there
were some technical difficulties in the early phase of the learning curve for
uniportal VATS that were overcome through a period of practice.
PMID- 29635402
TI - Effect of intensive diet and exercise on self-efficacy in overweight and obese
adults with knee osteoarthritis: The IDEA randomized clinical trial.
AB - Physical activity decreases the risk of osteoarthritis (OA)-related disability;
however, pain and lack of confidence represent barriers for older adults with
knee OA. The purpose of this study was to examine (a) the baseline associations
among self-efficacy and physical activity, function, and pain; (b) longitudinal
changes in self-efficacy; and (c) whether self-efficacy mediates treatment
effects on clinical outcomes. The Intensive Diet and Exercise for Arthritis
(IDEA) trial was a single-blind, randomized controlled 18-month study including
454 overweight/obese older adults (M age = 66 years) with knee OA. Participants
were randomized to one of three interventions: exercise (E), diet-induced weight
loss (D), or both (D+E). Self-efficacy for gait, balance, and walking duration
were assessed at baseline, 6 months, and 18 months. Baseline associations were
tested using Pearson correlations, and group least squares means were compared
using mixed linear models at follow-up. Participants with higher self-efficacy
reported significantly better physical function and less knee pain at baseline,
walked farther (6-min walk), and were more physically active (all |r| > 0.12, all
p < .01). Significant differences between groups were detected for all self
efficacy measures at 18 months; the D+E group reported significantly (all p <
.005) higher self-efficacy for gait, walking duration, and balance compared with
the D- or E-only groups. Self-efficacy significantly (p < .05) mediated treatment
effects on physical function and pain at 18 months. A combined intervention of
diet-induced weight loss and exercise is the treatment of choice to maximize self
efficacy, improve physical function, and reduce pain in overweight/obese adults
with knee OA.
PMID- 29635403
TI - C5-C6 Cervical Spinal Cord Cavernous Malformation Microsurgical Resection: 2
Dimensional Operative Video.
AB - We describe the case of a previously healthy 44-yr-old female patient presenting
with a sudden onset of numbness, paresthesias, and decreased sensation in her
lower limbs. Physical examination revealed a decreased sensation to vibration and
light touch in her lower extremities, primarily in the left limb. Impaired
proprioception was also evident primarily in the left toe. Full strength with 2+
reflexes was observed in all extremities. Magnetic resonance imaging demonstrated
an exophytic lesion in the posterior aspect of the cervical spinal cord at the C5
C6 level, with a hemosiderin halo, consistent with a cavernous malformation.
Given the evidence of past hemorrhage and the location of the lesion,
microsurgical intervention was indicated. A midline cervical C5-C6 laminectomy
under neurophysiologic monitoring was performed, and complete resection of the
lesion was achieved with mild improvement of the sensitive symptoms and no
evidence of new motor deficits. Any microsurgical resection of a cervical spinal
cord lesion can be technically difficult and adequate patient selection with
evaluation of the accessibility to the lesion is key.1 Surgical resection of
cavernous malformations in selected patients eliminates the risk of future
hemorrhage and may achieve satisfactory outcomes comparable to patients who
undergo conservative management.2 In the following video illustration, we narrate
this operative case, and highlight the nuances of this approach. Patient consent
was obtained for the submission of the video to this journal.
PMID- 29635406
TI - Corrigendum.
PMID- 29635404
TI - Species Identity Supersedes the Dilution Effect Concerning Hantavirus Prevalence
at Sites across Texas and Mexico.
AB - Recent models suggest a relationship exists between community diversity and
pathogen prevalence, the proportion of individuals in a population that are
infected by a pathogen, with most inferences tied to assemblage structure. Two
contrasting outcomes of this relationship have been proposed: the "dilution
effect" and the "amplification effect." Small mammal assemblage structure in
disturbed habitats often differs from assemblages in sylvan environments, and
hantavirus prevalence is often negatively correlated with habitats containing
high species diversity via dilution effect dynamics. As species richness
increases, prevalence of infection often is decreased. However, anthropogenic
changes to sylvan landscapes have been shown to decrease species richness and/or
increase phylogenetic similarities within assemblages. Between January 2011 and
January 2016, we captured and tested 2406 individual small mammals for hantavirus
antibodies at 20 sites across Texas and Mexico and compared differences in
hantavirus seroprevalence, species composition, and assemblage structure between
sylvan and disturbed habitats. We found 313 small mammals positive for antibodies
against hantaviruses, evincing an overall prevalence of 9.7% across all sites. In
total, 40 species of small mammals were identified comprising 2 taxonomic orders
(Rodentia and Eulipotyphla). By sampling both habitat types concurrently, we were
able to make real-world inferences into the efficacy of dilution effect theory in
terms of hantavirus ecology. Our hypothesis predicting greater species richness
higher in sylvan habitats compared to disturbed areas was not supported,
suggesting the characteristics of assemblage structure do not adhere to current
conceptions of species richness negatively influencing prevalence via a dilution
effect.
PMID- 29635405
TI - The Effects of Caloric Education, Trial-by-Trial Feedback, and their Interaction
on College-Aged Women's Abilities to Estimate Caloric Content.
AB - Background: Many people track the caloric content of food, given its relevance to
weight loss, gain, or maintenance. A better understanding of the psychological
underpinnings of caloric-content estimation for unhealthy foods is of significant
psychological and public-health interest. Purpose: This study investigated
whether college-aged women could be trained to estimate the caloric content of
unhealthy foods more accurately via exposure to caloric-content education, trial
by-trial feedback, and their combination. Methods: The caloric content of 84
foods was estimated and three transfer tasks were completed by 238 undergraduate
women. Mixed-effects modeling estimated three aspects of the quadratic function
linking true and judged caloric content: threshold (average perceived caloric
content), linear sensitivity, and change in sensitivity as caloric content
increases. Results: On average, college-aged women underestimated caloric
content, demonstrated substantial linear sensitivity to caloric content, and did
not show reduced sensitivity as caloric content increased. Trial-by-trial
feedback, but not Caloric Education, enhanced caloric estimation on the first two
tasks. Conclusions: College-aged women show biased but sensitive judgments of the
caloric content of unhealthy food presented in images. Initial evidence suggests
that trial-by-trial feedback may be an efficacious strategy to enhance caloric
content estimation, at least when viewing static images of foods.
PMID- 29635407
TI - Job Stressors and Employment Precarity as Risks for Thoughts About Suicide: An
Australian Study Using the Ten to Men Cohort.
AB - Aims: Past research suggests that adverse experiences at work (such as job
stressors and precarious employment) are associated with thoughts about suicide,
especially among males. A limitation of this research is that it is largely cross
sectional. Thus, it is unknown whether job stressors are a prior cause of
thoughts about suicide. This study examined the baseline association between
adverse experiences at work and thoughts about suicide at follow-up in a large
nationally representative cohort of employed men. Methods: We used data from the
Australian Longitudinal Study on Male Health (Ten to Men). The outcome was
thoughts about suicide in the prior 12 months (reported in wave 2) and the key
exposure variables were: high job demands, low job control, job insecurity,
perceived unfairness of pay, occupational skill level, and employment arrangement
(all reported in wave 1). We adjusted for possible confounders, including mental
health and suicidal thoughts (wave 1). Results: In a sample of 8379 and after
adjustment, job insecurity (OR 1.35, 95% CI 1.13-1.61, P = 0.001), low job
control (OR 1.19, 95% CI 1.06-1.33, P = 0.004), and employment on a casual or on
a fixed term basis (OR 1.30, 95% 1.01-1.67, P = 0.041) were associated with a
greater odds of thoughts about suicide at follow up. Results for all by job
control were maintained after removing those who reported thoughts of suicide at
baseline. Conclusion: This study suggests that experiences at work may be risk
factors for thoughts about suicide among employed men. More research is needed to
unpack the complex associations between, employment, and experiences of suicide.
PMID- 29635408
TI - Acceptability and Suitability of Three Liriomyza Leafminer Species as Host for
the Endoparasitoid Chrysocharis flacilla (Hymenoptera: Eulophidae).
AB - Liriomyza leafminers represent important threats to the horticulture sector in
East Africa. Parasitism rates of local parasitoids are reported to be low and the
endoparasitoid, Chrysocharis flacilla (Walker) (Hymenoptera: Eulophidae), was
introduced in Kenya for a classical biological control program. Acceptability and
suitability bioassays were conducted on the three economically important
Liriomyza species in Africa (Liriomyza huidobrensis (Blanchard) (Diptera:
Agromyzidae), Liriomyza sativae (Blanchard) (Diptera: Agromyzidae), and Liriomyza
trifolii (Burgess) (Diptera: Agromyzidae). Foraging behavior, developmental time,
sex ratio, parasitism rates, host pupal mortality, and body indices of C.
flacilla were assessed. Results showed that the three Liriomyza leafminer species
were accepted and suitable to C. flacilla. Foraging time was significantly
shorter on L. trifolii than on L. sativae and L. huidobrensis. Ninety-eight per
cent of females successfully oviposited in the three-host species. Female
parasitoids were significantly aggressive in attempting to oviposit on L.
huidobrensis than on L. sativae and L. trifolii. High parasitism rates ranging
between 73 and 78% were observed from the three Liriomyza hosts, but no
significant difference among hosts. C. flacilla-induced significant
nonreproductive pupal mortality ranging from 23 to 35%, an attribute rare among
endoparasitoids. In all three Liriomyza hosts, the parasitoid progeny was female
biased. Parasitoid development period ranged between 16 and 24 d. Female
parasitoids reared on L. huidobrensis were significantly bigger than those reared
on L. sativae and L. trifolii. The acceptance to local Liriomyza leafminers and
high host suppression ability is potential for considerations of C. flacilla in
the management of Liriomyza spp. in Africa.
PMID- 29635409
TI - Whole-genome resequencing reveals signatures of selection and timing of duck
domestication.
AB - Background: The genetic basis of animal domestication remains poorly understood,
and systems with substantial phenotypic differences between wild and domestic
populations are useful for elucidating the genetic basis of adaptation to new
environments as well as the genetic basis of rapid phenotypic change. Here, we
sequenced the whole genome of 78 individual ducks, from two wild and seven
domesticated populations, with an average sequencing depth of 6.42X per
individual. Results: Our population and demographic analyses indicate a complex
history of domestication, with early selection for separate meat and egg
lineages. Genomic comparison of wild to domesticated populations suggests that
genes that affect brain and neuronal development have undergone strong positive
selection during domestication. Our FST analysis also indicates that the duck
white plumage is the result of selection at the melanogenesis-associated
transcription factor locus. Conclusions: Our results advance the understanding of
animal domestication and selection for complex phenotypic traits.
PMID- 29635411
TI - Should decisions on adding adjuvant chemotherapy in early-stage ER-positive
breast cancer be based on gene expression testing or clinicopathologic factors or
both?
PMID- 29635413
TI - Correction and Prevention of the Pixie Ear Deformity: A Combined Technique.
AB - Background: Ear and earlobe deformities after surgical rhytidectomy are common
and can significantly diminish the aesthetic outcome. The main causes of
ear/earlobe distortion are skin over-resection, an imbalance between
vertical/horizontal skin-lift vectors, and tractional distortions through
superficial muscularaponeurotic system (SMAS) tension. Objective: To demonstrate
a new method for earlobe suturing and ear fixation that would prevent aesthetics
related complications after facelift surgery. Methods: A total of 105 primary
SMAS facelift surgeries were performed between 2015 and 2016 by the first author.
A combination technique consisting of a posterior earlobe rotation flap (PERF)
and a concha-mastoid suspension suture (CMSS) was executed bilaterally within
each facelift procedure (n=210). A retrospective data analysis was conducted
(preoperatively and one year postoperatively) using our hospital information
system and a photometric data analysis to assess auricular displacement, earlobe
distortion, and hypertrophic scarring. Results: Pseudoptosis of the earlobe was
noted in two cases, and auricular displacement was observed in four cases.
Bilateral mild hypertrophic scarring was seen in one patient. The postoperative
photometric analysis showed a natural ptosis grade I/II in all the patients, with
a statistically significantly reduced postoperative earlobe size (p<0.05). The
total rate of aesthetics-related complications was 4% in our cohort (earlobe
distortion with pseudoptosis: 1%; auricular displacement: 2%; hypertrophic
scarring: 1%). Conclusions: Our modification of the facial flap anchoring at the
ear base in combination with a CMSS stabilizes the natural position of the ear
and prevents distortion while allowing better control over the earlobe's
aesthetic shaping. This novel method reduces the incidence of ear/earlobe
deformities and hypertrophic scarring at the ear base after rhytidectomy and,
therefore, promises to be a valuable advancement.
PMID- 29635412
TI - Nutritive value of corn distiller's dried grains with solubles steeped without or
with exogenous feed enzymes for 24 h and fed to growing pigs.
AB - The use of corn distillers dried grains with solubles (DDGS) in pig diets is
limited due to high fiber concentration. Steeping with exogenous fiber-degrading
enzymes (FDE) may improve their feeding value. We evaluated apparent ileal
digestibility (AID), standardized ileal digestibility (SID), and apparent total
tract digestibility (ATTD) of components and DE content in DDGS steeped without
or with two commercial FDE (A and B). Mixture of 350 g of DDGS, FDE (none for
control), and 1.5 liters of water was incubated at 40 degrees C for 24 h with 15
min agitation every 40 min. FDE-A (pure combination) supplied 5,500 U of xylanase
and 1,050 U of beta-glucanase while FDE-B (multienzyme complex) supplied 1,200 U
of xylanase, 150 U of beta-glucanase, 500 U of cellulase, and 5,000 U of protease
per kg of DDGS plus side activities. Samples were taken at time 0, 4, 8, and 24 h
for organic acids and pH measurements. Three semi-purified corn starch-based
diets were formulated with steeped DDGS as the sole source of CP. The basal
mixture contained 0.2% TiO2 as indigestible marker. Six ileal-cannulated pigs (20
kg BW) were fed the three diets in a replicated 3 * 3 Latin square design to give
six replicates per diet. Pigs were fed at 2.8* maintenance energy requirements
and had free access to water. In each period, pigs were adjusted to diets for 7 d
followed by 2 d for grab fecal and 2 d of 8 h continuous ileal digesta
collection. There were no (P > 0.05) treatment and sampling time interaction or
treatment effects on pH and lactic concentration. Lactic and acetic acids
increased, and pH decreased (P < 0.05) over time points. The AID of CP, NDF, and
crude fat and SID of CP were not different (P > 0.05) among treatments. Steeping
DDGS with FDE-A had lower (P = 0.01) ATTD of NDF than control but higher (P =
0.001) ATTD of crude fat compared with the control or DDGS steeped with FDE-B.
Values for DE content in steeped DDGS were not different (P > 0.05) and amounted
to 4,095, 4,039, and 3,974 kcal/kg DM for the control, FDE-A, and FDE-B,
respectively. In conclusion, under conditions of the study, steeping DDGS with
exogenous enzymes did not improve fiber and energy digestibility.
PMID- 29635414
TI - The passage of tobacco control law 174 in Lebanon: reflections on the problem,
policies and politics.
AB - Progress in tobacco control policy making has occurred worldwide through advocacy
campaigns involving multiple players- civil society groups, activists, academics,
media and policymakers. The Framework Convention on Tobacco Control (FCTC)-the
first ever global health treaty-outlines evidence-based tobacco control policies.
Lebanon ratified the FCTC in 2005, but until 2011, tobacco control policies
remained rudimentary and not evidence-based. Beginning in 2009, a concerted
advocacy campaign was undertaken by a variety of stakeholders with the aim of
accelerating the process of adopting a strong tobacco control policy. The
campaign was successful, and Law 174 passed the Lebanese Parliament in August
2011. In this article, we analyse the policy making process that led to the
adoption of Law 174 using Kingdon's model. The analysis relies on primary and
secondary data sources including historical records of key governmental
decisions, documentation of the activities of the concerted advocacy campaign and
in-depth interviews with key stakeholders. We describe the opening of a window of
opportunity as a result of the alignment of the problem, policy and politics
streams. Furthermore, findings revealed that despite the challenge of persistent
tobacco industry interference and established power relations between the
industry, its allies and policymakers; policy entrepreneurs succeeded in
supporting the alignment of the streams, and influencing the passage of the law.
Kingdon's multiple stream approach was useful in explaining how tobacco control
became an emerging policy issue at the front of the policy agenda in Lebanon.
PMID- 29635410
TI - Diagnosis and management of asymptomatic bacteriuria in kidney transplant
recipients: a survey of current practice in Europe.
AB - Background: Asymptomatic bacteriuria is frequent in kidney transplant recipients
(KTRs). However, there is no consensus on diagnosis or management. We conducted a
European survey to explore current practice related to the diagnosis and
management of asymptomatic bacteriuria in adult KTRs. Methods: A panel of experts
from the European Renal Association-European Dialysis Transplant
Association/Developing Education Science and Care for Renal Transplantation in
European States working group and the European Study Group for Infections in
Compromised Hosts of the European Society of Clinical Microbiology and Infectious
Diseases designed this cross-sectional, questionnaire-based, self-administered
survey. Invitations to participate were e-mailed to European physicians involved
in the care of KTRs. Results: Two hundred and forty-four participants from 138
institutions in 25 countries answered the survey (response rate 30%). Most
participants [72% (176/244)] said they always screen for asymptomatic bacteriuria
in KTRs. Six per cent (15/240) reported never treating asymptomatic bacteriuria
with antibiotics. When antimicrobial treatment was used, 24% of the participants
(53/224) said they would start with empirical antibiotics. For an episode of
asymptomatic bacteriuria caused by a fully susceptible microorganism and despite
no contraindications, a majority of participants (121/223) said they would use a
fluoroquinolone (n = 56), amoxicillin/clavulanic acid (n = 38) or oral
cephalosporins (n = 27). Conclusions: Screening for and treating asymptomatic
bacteriuria are common in KTRs despite uncertainties around the benefits and
harms. In an era of antimicrobial resistance, further studies are needed to
address the diagnosis and management of asymptomatic bacteriuria in these
patients.
PMID- 29635415
TI - Beyond the Biomedical: Preexposure Prophylaxis Failures in a Cohort of Young
Black Men Who Have Sex With Men in Atlanta, Georgia.
AB - Human immunodeficiency virus (HIV) preexposure prophylaxis (PrEP) has high
biomedical efficacy; however, awareness, access, uptake, and persistence on
therapy remain low among black men who have sex with men (BMSM), who are at
highest risk of HIV in the United States. To date, discussions of "PrEP failure"
have focused on one typology: rare, documented HIV acquisitions among PrEP users
with adequate serum drug levels (ie, biomedical failure). In our cohort of HIV
negative young BMSM in Atlanta, Georgia, we continue to observe a high HIV
incidence (6.2% annually at interim analysis) despite access to free PrEP
services. Among 14 seroconversions, all were offered PrEP before acquiring HIV.
Among these participants, we identified 4 additional typologies of PrEP failure
that expand beyond biomedical failure: low PrEP adherence, PrEP discontinuation,
PrEP contemplation without initiation, and PrEP refusal. We describe the 5
typologies and suggest interventions to improve PrEP effectiveness among those at
highest risk.
PMID- 29635416
TI - New Methods for Inferring the Distribution of Fitness Effects for INDELs and
SNPs.
AB - Small insertions and deletions (INDELs; <=50 bp) are the most common type of
variability after single nucleotide polymorphism (SNP). However, compared with
SNPs, we know little about the distribution of fitness effects (DFE) of new INDEL
mutations and how prevalent adaptive INDEL substitutions are. Studying INDELs has
been difficult partly because identifying ancestral states at these sites is
error-prone and misidentification can lead to severely biased estimates of the
strength of selection. To solve these problems, we develop new maximum likelihood
methods, which use polymorphism data to simultaneously estimate the DFE, the
mutation rate, and the misidentification rate. These methods are applicable to
both INDELs and SNPs. Simulations show that they can provide highly accurate
results. We applied the methods to an INDEL polymorphism data set in Drosophila
melanogaster. We found that the DFE for polymorphic INDELs in protein-coding
regions is bimodal, with the variants being either nearly neutral or strongly
deleterious. Based on the DFE, we estimated that 71.5-83.7% of the INDEL
substitutions that took place along the D. melanogaster lineage were fixed by
positive selection, which is comparable with the prevalence of adaptive
substitutions at nonsynonymous sites. The new methods have been implemented in
the software package anavar.
PMID- 29635417
TI - Quality of cross-infection control in dental laboratories. A critical systematic
review.
AB - Purpose: To identify reported practices for cross-infection control in dental
laboratories and to quantify the importance of the flaws encountered. Data
sources: Systematic search (cross-infection AND dental laboratory) at EMBASE,
PubMed, SciELO and Scopus databases. Study selection: Papers reporting on cross
sectional studies providing original data about cross-infection knowledge,
practices and attitudes of dental technicians. Papers reporting on a single
laboratory or institution were excluded. Data extraction: Data extraction was
undertaken independently by three reviewers using a purpose made form. The
outcome of this study was analyzed in five aspects, namely process organization,
disinfection, working environment, use of individual protective equipment and
vaccination policy. Results of data synthesis: The systematic search output was
1651 references and 11 papers were finally selected. Flaws were more frequently
identified in terms of vaccination policy, biological safety of the working
environment and use of individual protective equipment (100%). Slightly better
results were found in terms of organization of the cross-infection control
process (89.47%) and disinfection practices (85.71%). The application of the
formula for disclosing the relative importance of the flaws identified in the
literature prioritizes the need for interventions aimed at improving the
organization of the cross-infection control procedures, followed by training in
item disinfection. The control of the working environment together with the use
of individual protective equipments rank closely in importance, followed by the
existence of a vaccination policy. Conclusions: Sub-standard cross-contamination
practices seem to be a common finding in dental laboratories, which may well
compromise the quality of certain dental treatments.
PMID- 29635418
TI - Dysglycaemia, Inflammation and Psychosis: Findings From the UK ALSPAC Birth
Cohort.
AB - Background: Psychosis is associated with both dysglycaemia and low-grade
inflammation, but population-based studies investigating the interplay between
these factors are scarce. Aims: (1) To explore the direction of association
between markers of dysglycaemia, inflammation and psychotic experiences (PEs);
and (2) To explore whether dysglycaemia moderates and/or mediates the association
between inflammation and PEs. Method: Data from the Avon Longitudinal Study of
Parents and Children (ALSPAC) birth cohort were modeled using logistic and linear
regression to examine cross-sectional and longitudinal associations between
markers of dysglycaemia (ages 9 and 18), interleukin-6 (IL-6) (age 9), and PEs
(ages 12 and 18). We tested for an interaction between dysglycaemia and IL-6 on
risk of PEs at age 18, and tested whether dysglycaemia mediated the relationship
between IL-6 and PEs. Results: Based on 2627 participants, at age 18, insulin
resistance (IR) was associated with PEs (adjusted OR = 2.32; 95% CI, 1.37-3.97).
IR was associated with IL-6 both cross-sectionally and longitudinally.
Interaction analyses under a multiplicative model showed that IR moderated the
association between IL-6 at age 9 and PEs at age 18 (adjusted OR for interaction
term = 2.18; 95% C.I., 1.06-4.49). Mediation analysis did not support a model of
IR mediating the relationship between IL-6 and PEs. Implications: IR is
associated with PEs in young people even before the onset of clinical psychosis.
Metabolic alterations may interact with childhood inflammation to increase risk
of PEs. The findings have implications for clinical practice and future research.
PMID- 29635419
TI - BCG Vaccination at Birth and Rate of Hospitalization for Infection Until 15
Months of Age in Danish Children: A Randomized Clinical Multicenter Trial.
AB - Background: The bacillus Calmette-Guerin (BCG) vaccine against tuberculosis might
reduce the non-tuberculosis-related child mortality rate in low-income settings.
We tested the hypothesis that BCG vaccination at birth would reduce early
childhood hospitalization for infection in Denmark, a high-income setting.
Hospitalization for infection was a secondary outcome in a randomized trial with
the primary aim to estimate the potential non-specific effects of BCG vaccination
at birth on all-cause hospitalization. Methods: A total of 4262 children included
in the Danish Calmette Study were assigned randomly to either receive the BCG
vaccine or not and were followed through the Danish National Patient Register.
The outcome was number of hospitalizations for infection until the age of 15
months. Data were analyzed by Cox regression in intention-to-treat (ITT) and per
protocol (PP) analyses. Results: In the ITT analysis, we observed 588
hospitalizations for infection (mean, 0.28 hospitalization per child) among the
2129 children allocated to receive the BCG vaccine and 595 hospitalizations for
infection (mean, 0.28 hospitalization per child) among the 2133 children
allocated to the control group (hazard ratio [HR], 0.99 [95% confidence interval
(CI), 0.85-1.15]). The PP analysis yielded an HR of 1.00 (95% CI, 0.86-1.16).
Predefined interaction ITT analyses showed that among 740 children with a BCG
vaccinated mother, the HR for BCG-vaccinated children was 0.65 (95% CI, 0.45
0.94); the HR for children who had a non-BCG-vaccinated mother was 1.10 (95% CI,
0.93-1.29) (P = .01, test of no interaction). Cesarean delivery modified the
effect of BCG vaccination (HRs, 0.73 [95% CI, 0.54-0.99] in children born by
cesarean section vs 1.10 [95% CI, 0.92-1.30] in other children; P = .02). When
the outcome was defined as time to first hospitalization, the HR for premature
children after BCG vaccination was 1.81 (95% CI, 0.95-3.43), whereas the HR was
0.94 (95% CI, 0.82-1.08) for children born at term (P = .05). Conclusion: BCG
vaccination did not affect the rate of hospitalization for infection up to the
age of 15 months in Danish children. In future studies, the role of maternal BCG
vaccination, premature birth, and cesarean delivery needs further exploration.
PMID- 29635420
TI - Validation of a biomarker panel in Barrett's esophagus to predict progression to
esophageal adenocarcinoma.
AB - In a prior study, baseline mutational load (ML) predicted progression to high
grade dysplasia (HGD) or esophageal adenocarcinoma (EAC) in Barrett's esophagus
(BE) with an area under the curve (AUC) of 0.95. We aimed to validate the test
characteristics of this predictive biomarker panel using crude DNA lysates in a
larger well-characterized cohort. We performed a nested case-control study of BE
patients from three tertiary referral centers in the Netherlands. Cases had
baseline nondysplastic BE (NDBE) and developed HGD/EAC >= 2 years later. Controls
were matched 2:1, had baseline NDBE, and no progression. Polymerase chain
reaction (PCR)-based mutational analysis was performed on crude lysates from
formalin-fixed, paraffin-embedded tissue. ML was calculated from loss of
heterozygosity (LOH) and microsatellite instability (MSI) at 10 genomic loci.
Receiver operator characteristic (ROC) curves were created to assess the
diagnostic utility of various cutoffs of ML for progression. Of 159 subjects, 58
were progressors and 101 were nonprogressors, there was no difference in mean ML
in preprogression tissue in progressors and nonprogressors (ML = 0.73 +/- 0.69
vs. ML = 0.74 +/- 0.61, P = 0.93). ROC curves showed poor discrimination of ML in
predicting progression with AUC of 0.50 at ML >= 1. AUC did not vary with
different ML cut-points. The utility of the ML to stratify BE patients for risk
of progression was not confirmed in this study. The etiology for discrepancies
between this and prior studies showing high predictiveness is likely due to the
use of crude lysates in this study, but requires further investigation.
PMID- 29635421
TI - Prospective association between added sugars and frailty in older adults.
AB - Background: Sugar-sweetened beverages and added sugars (monosaccharides and
disaccharides) in the diet are associated with obesity, diabetes, and
cardiovascular disease, which are all risk factors for decline in physical
function among older adults. Objective: The aim of this study was to examine the
association between added sugars in the diet and incidence of frailty in older
people. Design: Data were taken from 1973 Spanish adults >=60 y old from the
Seniors-ENRICA cohort. In 2008-2010 (baseline), consumption of added sugars
(including those in fruit juices) was obtained using a validated diet history.
Study participants were followed up until 2012-2013 to assess frailty based on
Fried's criteria. Statistical analyses were performed with logistic regression
adjusted for age, sex, education, smoking status, body mass index, energy intake,
self-reported comorbidities, Mediterranean Diet Adherence Score (excluding
sweetened drinks and pastries), TV watching time, and leisure-time physical
activity. Results: Compared with participants consuming <15 g/d added sugars
(lowest tertile), those consuming >=36 g/d (highest tertile) were more likely to
develop frailty (OR: 2.27; 95% CI: 1.34, 3.90; P-trend = 0.003). The frailty
components "low physical activity" and "unintentional weight loss" increased dose
dependently with added sugars. Association with frailty was strongest for sugars
added during food production. Intake of sugars naturally appearing in foods was
not associated with frailty. Conclusions: The consumption of added sugars in the
diet of older people was associated with frailty, mainly when present in
processed foods. The frailty components that were most closely associated with
added sugars were low level of physical activity and unintentional weight loss.
Future research should determine whether there is a causal relation between added
sugars and frailty.
PMID- 29635423
TI - Higher incidence of screening-related cancers in the employed population.
AB - Background: Employment may confound the risk of a cancer diagnosis in both
directions. We hypothesized that a higher baseline rate of employment among
cancer patients may explain the lack of association between a cancer diagnosis
and later unemployment in many studies. Aims: To assess the unemployment rate
among cancer patients before diagnosis compared with a matched cancer-free
control group. Methods: Using data from the Israeli National Central Bureau of
Statistics 1995 census (persons aged between 15 and 60 years old), the Israeli
Tax Authority database and the Israel Cancer Registry, cancer patients (diagnosed
between the years 2000 and 2007 and alive at 2011) were compared with matched
cancer-free controls. Results: There were 8797 cancer patients and 26166 cancer
free controls. We found that, in general, cancer was not associated with
unemployment 2 years before diagnosis (adjusted odds ratio [OR] = 0.96, 95%
confidence interval [CI] 0.90-1.009, P = NS) after adjustment for age, gender,
ethnicity, educational years and residential socioeconomic position. However, the
diagnoses associated with screening (breast, prostate, colorectal and cervix
cancers) were inversely associated with unemployment 2 years before diagnosis
(adjusted OR = 0.90, 95% CI 0.84-0.97, P < 0.01). Conclusions: The results from
the current study suggest that a higher baseline rate of employment among cancer
patients, mainly those who were diagnosed with screening-associated cancers,
explains false negative results in previous studies assessing cancer survivors'
work issues.
PMID- 29635422
TI - Characterization of poxtA, a novel phenicol-oxazolidinone-tetracycline resistance
gene from an MRSA of clinical origin.
AB - Objectives: To characterize a novel phenicol-oxazolidinone-tetracycline
resistance gene, named poxtA, identified in a previously described MRSA strain
that was highly resistant to linezolid and also carried the cfr gene. Methods:
The poxtA gene was identified by bioinformatic analysis of the whole genome
sequence of Staphylococcus aureus AOUC-0915. The poxtA gene was cloned in a
shuttle plasmid vector and expressed in Escherichia coli, S. aureus and
Enterococcus faecalis to investigate the protein function. Comparative sequence
analyses at the protein and genetic levels were carried out using standard
procedures. Results: The poxtA gene encodes a protein that is 32% identical to
OptrA and exhibits structural features typical of the F lineage of the ATP
binding cassette (ABC) protein superfamily that cause antibiotic resistance by
ribosomal protection. Expression of poxtA in E. coli, S. aureus and E. faecalis
was able to decrease susceptibility to phenicols, oxazolidinones and
tetracyclines. A database search identified the presence of poxtA in E. faecalis,
Enterococcus faecium and Pediococcus acidilactici strains, mostly of animal
origin, and revealed the presence of poxtA homologues in the genomes of some
Clostridiales. Analysis of the genetic context revealed that poxtA was located in
a composite transposon-like structure containing two IS1216 elements.
Conclusions: A novel resistance gene, named poxtA, encoding a protein of the
antibiotic resistance (ARE) ABC-F lineage, was identified in the genome of an
MRSA of clinical origin. PoxtA can confer decreased susceptibility to phenicols,
oxazolidinones and tetracyclines and is associated with a putative mobile element
that could contribute to its horizontal dissemination.
PMID- 29635424
TI - Breast Implant-Associated Anaplastic Large Cell Lymphoma With Bone Marrow
Involvement.
AB - Level of Evidence 5:
PMID- 29635425
TI - Parental Unemployment and Offspring Psychotropic Medication Purchases: A
Longitudinal Fixed-Effects Analysis of 138,644 Adolescents.
AB - Parental unemployment is associated with worse adolescent mental health, but
prior evidence has primarily been based on cross-sectional studies subject to
reverse causality and confounding. We assessed the association between parental
unemployment and changes in adolescent psychotropic medication purchases, with
longitudinal individual-level fixed-effects models that controlled for time
invariant confounding. We used data from a large, register-based panel of Finnish
adolescents aged 13-20 years in 1987-2012 (n = 138,644) that included annual
measurements of mothers' and fathers' employment and offspring psychotropic
medication purchases. We assessed changes in the probability of adolescent
psychotropic medication purchases in the years before, during, and after the
first episode of parental unemployment. There was no association between mother's
unemployment and offspring psychotropic purchases in the fixed-effects models,
suggesting this association is largely driven by unmeasured confounding and
selection. By contrast, father's unemployment led to a significant 15%-20%
increase in the probability of purchasing psychotropic medication among
adolescents even after extensive controls for observed and unobserved
confounding. This change takes at least 1 year to emerge, but it is long-lasting;
thus, policies are needed that mitigate the harm of father's unemployment on
offspring's mental well-being.
PMID- 29635426
TI - Human alpha-defensin-1 protects cells from intoxication with Clostridium
perfringens iota toxin.
AB - Iota toxin is produced by Clostridium perfringens type E strains and associated
with diarrhea in cattle and lambs. This binary protein toxin comprises the enzyme
component iota a (Ia), which ADP-ribosylates G-actin, and the separate transport
component iota b (Ib), which delivers Ia into the cytosol of target cells. Ib
binds to cell receptors and forms biologically active toxin complexes with Ia,
which cause rounding of adherent cells due to the destruction of the actin
cytoskeleton. Here, we report that the human peptide alpha-defensin-1 protects
cultured cells including human colon cells from intoxication with iota toxin. In
contrast, the related beta-defensin-1 had no effect, indicating a specific mode
of action. The alpha-defensin-1 did not inhibit ADP-ribosylation of actin by Ia
in vitro. Pretreatment of Ib with alpha-defensin-1 prior to addition of Ia
prevented intoxication. Additionally, alpha-defensin-1 protected cells from
cytotoxic effects mediated by Ib in the absence of Ia, implicating that alpha
defensin-1 interacts with Ib to prevent the formation of biologically active iota
toxin on cells. In conclusion, the findings contribute to a better understanding
of the functions of alpha-defensin-1 and suggest that this human peptide might be
an attractive starting point to develop novel pharmacological options to
treat/prevent diseases associated with iota toxin-producing Clostridium
perfringens strains.
PMID- 29635427
TI - Caregiver-Reported Indicators of Communication and Social Functioning for Young
Children Who Are Deaf or Hard of Hearing.
AB - We elicited caregiver-reported observations of children aged 5-10 who were deaf
or hard of hearing (DHH) that resulted in two age-specific instruments: Caregiver
Report of Behaviors and Events (CROBE-DHH 5-7 and 8-10). These new instruments
record observations on communication and social behaviors/events. In Study 1, 36
caregivers provided qualitative data on important content on what they were able
to observe for instrument development and in Study 2, 271 provided data for
studying cross-sectional measurement properties. Two modules resulted in 11 items
for children age 5-7 and 15 items for children 8-10 years. Items showing good 7
day reproducibility (ICC over .70) and fair 4-week reproducibility (ICC over .50)
were retained. Children with milder hearing loss received higher (better) scores.
Items did not distinguish between those with or without cochlear implants.
Analyses suggest that the instruments are best used as individual indicator
items. In both age groups, caregivers reported youths missed out on family
conversations and spent little time on their own. These content-validated
indicators apply to all children with DHH. Further work will evaluate the
usefulness of these indicators in evaluating change in communication and social
behaviors, and the implications of results for intervention.
PMID- 29635429
TI - Spatiotemporal dynamics of human leptospirosis and its relationship with rainfall
anomalies in Colombia.
AB - Background: In Colombia, human leptospirosis (HL) is a disease that has had a
mandatory notification rule since 2007. Humans usually acquire the infection
through water contaminated with animal urine that comes into direct contact with
cutaneous lesions, eyes or mucous membranes. Objectives: To analyze the
spatiotemporal variability in the occurrence of HL cases in Colombia between 2007
and 2016, and its relation with the El Nino Southern Oscillation (ENSO) cycle and
the consequent anomalies in rainfall in spatiotemporal clusters. Methods: An
ecological study of the HL cases, aggregated by municipality, and reported
between 2007 and 2016, is presented. Findings: During the period of study, 9928
cases of HL were reported, and 58.9% of the municipalities reported at least one
case of leptospirosis. Six spatiotemporal clusters were identified-five were in
the Andean region and one was in the Caribbean region. The assessment of the ENSO
cycle and rainfall anomalies suggests the importance of La Nina episodes, and
excess rainfall periods in the occurrence of cases of HL. Conclusions: Our
results demonstrate the importance of the ENSO cycle, rainfall periods and
periods with excess rainfall in the occurrence of cases and outbreaks of HL in
Colombia, and suggest the importance of the topography of valleys and flood zones
as zones in which the risk of infection is elevated.
PMID- 29635430
TI - Knockout of the Gnrh genes in zebrafish: effects on reproduction and potential
compensation by reproductive and feeding-related neuropeptides.
AB - Gonadotropin-releasing hormone (GNRH) is known as a pivotal upstream regulator of
reproduction in vertebrates. However, reproduction is not compromised in the
hypophysiotropic Gnrh3 knockout line in zebrafish (gnrh3-/-). In order to
determine if Gnrh2, the only other Gnrh isoform in zebrafish brains, is
compensating for the loss of Gnrh3, we generated a double Gnrh knockout zebrafish
line. Surprisingly, the loss of both Gnrh isoforms resulted in no major impact on
reproduction, indicating that a compensatory response, outside of the Gnrh
system, was evoked. A plethora of factors acting along the reproductive
hypothalamus-pituitary axis were evaluated as possible compensators based on
neuroanatomical and differential gene expression studies. In addition, we also
examined the involvement of feeding factors in the brain as potential
compensators for Gnrh2, which has known anorexigenic effects. We found that the
double knockout fish exhibited upregulation of several genes in the brain,
specifically gonadotropin-inhibitory hormone (gnih), secretogranin 2 (scg2),
tachykinin 3a (tac3a), and pituitary adenylate cyclase-activating peptide 1
(pacap1), and downregulation of agouti-related peptide 1 (agrp1), indicating the
compensation occurs outside of Gnrh cells and therefore is a noncell autonomous
response to the loss of Gnrh. While the differential expression of gnih and agrp1
in the double knockout line was confined to the periventricular nucleus and
hypothalamus, respectively, the upregulation of scg2 corresponded with a broader
neuronal redistribution in the lateral hypothalamus and hindbrain. In conclusion,
our results demonstrate the existence of a redundant reproductive regulatory
system that comes into play when Gnrh2 and Gnrh3 are lost.
PMID- 29635428
TI - Inverse correlation between vascular endothelial growth factor back-filtration
and capillary filtration pressures.
AB - Background: Vascular endothelial growth factor A (VEGF) is an essential growth
factor during glomerular development and postnatal homeostasis. VEGF is secreted
in high amounts by podocytes into the primary urine, back-filtered across the
glomerular capillary wall to act on endothelial cells. So far it has been assumed
that VEGF back-filtration is driven at a constant rate exclusively by diffusion.
Methods: In the present work, glomerular VEGF back-filtration was investigated in
vivo using a novel extended model based on endothelial fenestrations as surrogate
marker for local VEGF concentrations. Single nephron glomerular filtration rate
(SNGFR) and/or local filtration flux were manipulated by partial renal mass
ablation, tubular ablation, and in transgenic mouse models of systemic or
podocytic VEGF overexpression or reduction. Results: Our study shows positive
correlations between VEGF back-filtration and SNGFR as well as effective
filtration rate under physiological conditions along individual glomerular
capillaries in rodents and humans. Conclusion: Our results suggest that an
additional force drives VEGF back-filtration, potentially regulated by SNGFR.
PMID- 29635431
TI - Does BCG Vaccination Protect Against Nontuberculous Mycobacterial Infection? A
Systematic Review and Meta-Analysis.
AB - Background: The incidence of nontuberculous mycobacterial (NTM) infections is
increasing worldwide, particularly NTM lymphadenitis and skin infections (Buruli
ulcer). This review summarizes the evidence for the protective effectiveness of
BCG vaccination against NTM disease. Methods: A systematic search using PRISMA
guidelines was done for controlled studies investigating the protective
effectiveness of BCG vaccination against NTM disease in immunocompetent
individuals. This revealed 10 studies, including almost 12 million participants.
Results: Three cohort studies in industrialized countries suggest that the
incidence of NTM lymphadenitis is greatly reduced among BCG-vaccinated children
compared with BCG-unvaccinated children, with a risk ratio (RR) of 0.04 (95%
confidence interval [CI], .01-.21). In two randomized trials in low-income
countries, BCG protected against Buruli ulcer for the first 12 months following
vaccination (RR, 0.50 [95% CI, .37-.69]). Four case-control studies had
conflicting results. One cohort study found that individuals with Buruli ulcer
are less likely to develop osteomyelitis if they have a BCG scar (RR, 0.36 [95%
CI, .22-.58]). No studies have compared different BCG vaccine strains or the
effect of revaccination in this setting. Conclusions: The protective effect of
BCG vaccination against NTM should be taken into consideration when deciding on
recommendations for discontinuation of universal BCG vaccination programs and in
assessing new vaccines designed to replace BCG.
PMID- 29635432
TI - Absolute Lymphocyte Count: A Predictor of Recurrent Cytomegalovirus Disease in
Solid Organ Transplant Recipients.
AB - Background: Recurrent cytomegalovirus (CMV) disease in solid organ transplant
recipients frequently occurs despite effective antiviral therapy. We previously
demonstrated that patients with lymphopenia before liver transplantation are more
likely to develop posttransplant infectious complications including CMV. The aim
of this study was to explore absolute lymphocyte count (ALC) as a predictor of
relapse following treatment for CMV disease. Methods: We performed a
retrospective cohort study of heart, liver, and kidney transplant recipients
treated for an episode of CMV disease. Our primary outcome was time to relapse of
CMV within 6 months. Data on potential predictors of relapse including ALC were
collected at the time of CMV treatment completion. Univariate and multivariate
hazard ratios (HRs) were calculated with a Cox model. Multiple imputation was
used to complete the data. Results: Relapse occurred in 33 of 170 participants
(19.4%). Mean ALC in relapse-free patients was 1.08 +/- 0.69 vs 0.73 +/- 0.42 *
103 cells/MUL in those who relapsed, corresponding to an unadjusted hazard ratio
of 1.11 (95% confidence interval, 1.03-1.21; P = .009, n = 133) for every
decrease of 100 cells/MUL. After adjusting for potential confounders, the
association between ALC and relapse remained significant (HR, 1.11 [1.03-1.20]; P
= .009). Conclusions: Low ALC at the time of CMV treatment completion was a
strong independent predictor for recurrent CMV disease. This finding is
biologically plausible given the known importance of T-cell immunity in
maintaining CMV latency. Future studies should consider this inexpensive, readily
available marker of host immunity.
PMID- 29635433
TI - Green tea polyphenols enhance gingival keratinocyte integrity and protect against
invasion by Porphyromonas gingivalis.
AB - The gingival epithelium, a stratified squamous tissue that acts as an interface
between the external environment and the underlying connective tissue, plays an
active role in maintaining periodontal health. The aim of the present study was
to investigate the ability of green tea catechins to enhance gingival epithelial
barrier function and protect against the disruption of epithelial integrity
induced by Porphyromonas gingivalis. Both the green tea extract and
epigallocatechin-3-gallate (EGCG) dose- and time-dependently increased the
transepithelial electrical resistance (TER) of a gingival keratinocyte model and
decreased the permeability of the cell monolayer to fluorescein isothyocyanate
conjugated 4.4-kDa dextran. This was associated with the increased expression of
zonula occludens-1 (ZO-1) and occludin, two tight junction proteins. Treating the
gingival keratinocyte monolayer with P. gingivalis caused a reduction in TER and
affected the distribution of ZO-1 and occludin, allowing P. gingivalis to
translocate through the cell monolayer. These deleterious effects mediated by P.
gingivalis were abolished by the green tea extract and EGCG. This protection may
be in part related to the ability of tea catechins to inhibit the protease
activities of P. gingivalis. Given the above properties, green tea catechins may
represent promising preventive and therapeutic molecules against periodontal
disease.
PMID- 29635435
TI - Axillo-iliac artery bypass for recurrent aortic coarctation to reduce cardiac
afterload.
AB - A 13-year-old girl, who had undergone interrupted aortic arch repair with an 8-mm
graft as a neonate and Fontan completion in childhood, developed ventricular
fibrillation due to long-QT syndrome. Cardioverter defibrillator implantation was
planned. Preoperative catheterization showed a 45-mmHg aortic pressure gradient
and ventricular end-diastolic pressure of 11 mmHg. This indicated that recurrent
coarctation had adversely affected ventricular function. After consideration of
the patient's age, symptoms and anatomical/surgical complexities, axillo-iliac
bypass with cardioverter defibrillator implantation was performed. Postoperative
ventricular end-diastolic pressure was 6 mmHg. Axillo-iliac bypass is a surgical
option for coarctation that can reduce cardiac afterload.
PMID- 29635434
TI - Fatigue Related to Speech Processing in Children With Hearing Loss: Behavioral,
Subjective, and Electrophysiological Measures.
AB - Purpose: The purpose of this study was to examine fatigue associated with
sustained and effortful speech-processing in children with mild to moderately
severe hearing loss. Method: We used auditory P300 responses, subjective reports,
and behavioral indices (response time, lapses of attention) to measure fatigue
resulting from sustained speech-processing demands in 34 children with mild to
moderately severe hearing loss (M = 10.03 years, SD = 1.93). Results: Compared to
baseline values, children with hearing loss showed increased lapses in attention,
longer reaction times, reduced P300 amplitudes, and greater reports of fatigue
following the completion of the demanding speech-processing tasks. Conclusions:
Similar to children with normal hearing, children with hearing loss demonstrate
reductions in attentional processing of speech in noise following sustained
speech-processing tasks-a finding consistent with the development of fatigue.
PMID- 29635436
TI - Validated HPTLC Method for Dihydrokaempferol-4'-O-glucopyranoside Quantitative
Determination in Alcea Species.
AB - Dihydrokaempferol-4'-O-glucopyranoside, a flavanonol glucoside, is the major
compound in the flower of Alcea rosea L. which possesses significant antioxidant
and anticancer activity against HepG-2 cell line and thus can be considered a
marker compound for A. rosea L. We attempted to establish a new simple, validated
high-performance thin-layer chromatographic (HPTLC) method for the quantitation
of dihydrokaempferol-4'-O-glucopyranoside to help in the standardization of the
hydroalcoholic extracts of A. rosea L. flowers and to evaluate the best method
for its extraction from the plant material. The separation was carried out on an
HPTLC aluminum plate pre-coated with silica gel 60F-254, eluted with ethyl
acetate-methanol-water-acetic acid (30:5:4:0.15 v/v). Densitometric scanning was
performed using a Camag TLC scanner III, at 295 nm. A linear relationship was
obtained between the concentrations (0.9-3.6 mg) and peak areas with the
correlation coefficient (r) of 0.9971 +/- 0.0002. The percentage relative
standard deviations of intra-day and inter-day precisions were 0.22-1.45 and 0.49
1.66, respectively. The percentage w/w of dihydrokaempferol-4'-O-glucopyranoside
in the flowers of A. rosea L. after maceration and sonication for 15 min was
found to be 0.733 g/100 g and 0.928 g/100 g, respectively.
PMID- 29635437
TI - A 5-Year Prospective Multicenter Evaluation of Influenza Infection in Transplant
Recipients.
AB - Background: Seasonal influenza infection may cause significant morbidity and
mortality in transplant recipients. The purpose of this study was to assess the
epidemiology of symptomatic influenza infection posttransplant and determine risk
factors for severe disease. Methods: Twenty centers in the United States, Canada,
and Spain prospectively enrolled solid organ transplant (SOT) or hematopoietic
stem cell transplant (HSCT) recipients with microbiologically confirmed influenza
over 5 consecutive years (2010-2015). Demographics, microbiology data, and
outcomes were collected. Serial nasopharyngeal swabs were collected at diagnosis
and upto 28 days, and quantitative polymerase chain reaction for influenza A was
performed. Results: We enrolled 616 patients with confirmed influenza (477 SOT;
139 HSCT). Pneumonia at presentation was in 134 of 606 (22.1%) patients.
Antiviral therapy was given to 94.1% for a median of 5 days (range, 1-42 days);
66.5% patients were hospitalized and 11.0% required intensive care unit (ICU)
care. The receipt of vaccine in the same influenza season was associated with a
decrease in disease severity as determined by the presence of pneumonia (odds
ratio [OR], 0.34 [95% confidence interval {CI}, .21-.55], P < .001) and ICU
admission (OR, 0.49 [95% CI, .26-.90], P = .023). Similarly, early antiviral
treatment (within 48 hours) was associated with improved outcomes. In patients
with influenza A, pneumonia, ICU admission, and not being immunized were also
associated with higher viral loads at presentation (P = .018, P = .008, and P =
.024, respectively). Conclusions: Annual influenza vaccination and early
antiviral therapy are associated with a significant reduction in influenza
associated morbidity, and should be emphasized as strategies to improve outcomes
of transplant recipients.
PMID- 29635438
TI - Neoadjuvant chemotherapy followed by chemoradiation and surgery with and without
cetuximab in patients with resectable esophageal cancer: a randomized, open
label, phase III trial (SAKK 75/08).
AB - Background: This open-label, phase III trial compared chemoradiation followed by
surgery with or without neoadjuvant and adjuvant cetuximab in patients with
resectable esophageal carcinoma. Patients and methods: Patients were randomly
assigned (1 : 1) to two cycles of chemotherapy (docetaxel 75 mg/m2, cisplatin 75
mg/m2) followed by chemoradiation (45 Gy, docetaxel 20 mg/m2 and cisplatin 25
mg/m2, weekly for 5 weeks) and surgery, with or without neoadjuvant cetuximab 250
mg/m2 weekly and adjuvant cetuximab 500 mg/m2 fortnightly for 3 months. The
primary end point was progression-free survival (PFS). Results: In total, 300
patients (median age, 61 years; 88% male; 63% adenocarcinoma; 85% cT3/4a, 90%
cN+) were assigned to cetuximab (n = 149) or control (n = 151). The R0-resection
rate was 95% for cetuximab versus 97% for control. Postoperative treatment
related mortality was 6% in both arms. Median PFS was 2.9 years [95% confidence
interval (CI), 2.0 to not reached] with cetuximab and 2.0 years (95% CI, 1.5-2.8)
with control [hazard ratio (HR), 0.79; 95% CI, 0.58-1.07; P = 0.13]. Median
overall survival (OS) time was 5.1 years (95% CI, 3.7 to not reached) versus 3.0
years (95% CI, 2.2-4.2) for cetuximab and control, respectively (HR, 0.73; 95%
CI, 0.52-1.01; P = 0.055). Time to loco-regional failure after R0-resection was
significantly longer for cetuximab (HR 0.53; 95% CI, 0.31-0.90; P = 0.017); time
to distant failure did not differ between arms (HR, 1.01; 95% CI, 0.64-1.59, P =
0.97). Cetuximab did not increase adverse events in neoadjuvant or postoperative
settings. Conclusion: Adding cetuximab to multimodal therapy significantly
improved loco-regional control, and led to clinically relevant, but not
significant improvements in PFS and OS in resectable esophageal carcinoma.
Clinical trial information: NCT01107639.
PMID- 29635439
TI - Novel bacterial diversity is enriched with chloroperoxidase-reacted organic
matter under anaerobic conditions.
AB - Fungal chloroperoxidases (CPOs) are one class of enzymes that produce natural
organochlorides in soils. The microbial degradation of these organochlorides is
not well known, though has implications for bioremediation, microbial ecology and
natural chlorine and carbon cycling. In this study, Illumina-based 16S rRNA gene
sequencing and real-time quantitative PCR (qPCR) was used to characterize the
bacterial community enriched from an amendment of organic matter reacted with CPO
under conditions conducive towards chlorination (CPO-OM). In total, 17 bacterial
groups were enriched in triplicate microcosms inoculated with creek sediment and
amended with CPO-OM. These bacterial groups were neither enriched with amendments
of non-reacted organic matter extract, with or without oxidative stress induced
by H2O2, nor with amendments of organic matter reacted with CPO under non
chlorinating conditions. Of these, only two represented genera with known
organohalide respiring bacteria-Dehalogenimonas and Dehalobacter. The genus
Acetobacterium was also found to be enriched but the other 14 groups of enriched
bacteria do not currently have any close phylogenetically related isolates. This
study highlights a gap in the current understanding of the microbiology involved
in natural organochloride turnover and suggests that CPO-OM could be used for
isolating and culturing strains from novel bacteria genera.
PMID- 29635440
TI - Neighborhood stress and autonomic nervous system activity during sleep.
AB - Study Objectives: Stressful neighborhood environments are known to adversely
affect health and contribute to health disparities but underlying mechanisms are
not well understood. Healthy sleep can provide a respite from sustained
sympathetic nervous system (SNS) activity. Our objective was to evaluate
relationships between neighborhood stress and nocturnal and daytime SNS and
parasympathetic nervous system (PNS) activity. Methods: Eighty-five urban
residing African Americans (56.5% female; mean age of 23.0) participated.
Evaluation included surveys of neighborhood stress and sleep-related vigilance,
and continuous electrocardiogram (ECG) and actigraphic recording in participants'
homes from which heart rate variability (HRV) analysis for low frequency/high
frequency (LF/HF) ratio and normalized high frequency (nHF), as indicators of SNS
and PNS activity, respectively, and total sleep time (TST), and wake after sleep
onset were derived. Results: All significant relationships with HRV measures were
from the sleep period. Neighborhood disorder correlated negatively with nHF (r =
.24, p = .035). There were also significant correlations of HRV indices with
sleep duration and sleep fears. Among females, LF/HF correlated with exposure to
violence, r = .39, p = .008, and nHF with census tract rates for violent crime (r
= -.35, p = .035). In a stepwise regression, TST accounted for the variance
contributed by violent crime to nHF in the female participants. Conclusions:
Further investigation of relationships between neighborhood environments and
SNS/PNS balance during sleep and their consequences, and strategies for
mitigating such effects would have implications for health disparities.
PMID- 29635441
TI - Twenty Thousand Needles Under the Sea. Trigger Point Dry Needling Aboard an
Israeli Navy Submarine: A Case Report.
AB - Nonspecific lower back pain affects a major part of the population at a certain
point of their life. The intensity of pain can be debilitating and it causes a
significant burden on society. Trigger point dry needling is a method of
alleviating such pain by the introduction of needles into trigger points in
muscles. A growing body of evidence supports its use in myofascial pain and
specifically lower back pain. Submarine Medicine is a unique field due to the
special characteristics and the environment of the submarine. It poses challenges
that are not always seen by primary care physicians. Here, we present a case of a
40-yr-old senior submarine officer who complained of pain in his lower back and
pelvis before departing on a mission. The pain persisted in spite of treatment
with nonsteroidal anti-inflammatory drugs and he was then treated by the
submarine's physician with trigger point dry needling. The officer showed rapid
improvement following this treatment, both regarding pain and the range of
motion.
PMID- 29635443
TI - Commentary on: Patients' and Surgeons' Perceptions of Social Media's Role in the
Decision Making for Primary Aesthetic Breast Augmentation.
PMID- 29635442
TI - Systemic-to-pulmonary artery shunting using heparin-bonded grafts.
AB - OBJECTIVES: Systemic-to-pulmonary artery shunting remains an important palliative
procedure in the staged management of complex congenital heart defects. The use
of heparin-bonded polytetrafluoroethylene shunts (HBPSs) should enhance graft
patency. This study aimed to review the single-centre experience using HBPS in
the context of congenital cardiac surgery. METHODS: The records of 51 patients
treated using HBPS between 2010 and 2016 were retrospectively reviewed. The
median age and weight of the patients were 8 (range 3-83) days and 3.2 (range 1.8
5.7) kg, respectively. Selected shunt size was 3.5 mm in all patients. Fourteen
(27.5%) patients were planned for future biventricular repair and 37 (72.5%)
patients underwent univentricular pathway. Shunt modifications included central
aortopulmonary shunts (n = 35; 68.6%) and modified Blalock-Taussig shunts (n =
16; 31.4%). Shunt patency and survival until estimated 2nd procedure were
calculated using the Kaplan-Meier method. RESULTS: Shunt patency was 90 +/- 4%
after a median duration of 133 (range 0-315) days. Early mortality (30 days) was
3.9% (n = 2). Another 3 patients died during their hospital stay. All the
deceased patients had univentricular morphology, and the cause of death was not
shunt related in all patients. Five patients developed subtotal HBPS thrombosis
intraoperatively (n = 3), early postoperatively after 3 days (n = 1, 1.9%) or
late after 41 days (n = 1, 1.9%). Treatment of those patients comprised right
ventricular outflow tract opening (n = 2, 3.9%) or new shunting (n = 3, 5.9%).
Elective shunt takedown was performed during corrective surgery (n = 10, 19.6%),
bidirectional Glenn (n = 25, 49%) or shunt replacement (n = 5, 9.8%). At the end
of follow-up, 1 (1.9%) patient had still an HBPS in situ. The survival rate until
planned 2nd procedure was 87 +/- 6% in univentricular patients and 100% in
biventricular patients (P = 0.17). CONCLUSIONS: The use of HBPS in the context of
palliative heart surgery is safe and seems to warrant a long-term patency of
systemic-to-pulmonary shunts. However, by acting on only 1 site of Virchow's
triad, shunt thrombosis, occurring predominantly early, cannot be totally
excluded.
PMID- 29635444
TI - Parental Incarceration and Child Health in the United States.
AB - Mass incarceration has profoundly restructured the life courses of not only
marginalized adult men for whom this event is now so prevalent but also their
families. We examined research published from 2000 to 2017 on the consequences of
parental incarceration for child health in the United States. In addition to
focusing on specific health outcomes, we also considered broader indicators of
child well-being because there has been little research on the association
between parental incarceration and objectively measured child health outcomes.
Our findings support 4 conclusions. First, paternal incarceration is negatively
associated-possibly causally so-with a range of child health and well-being
indicators. Second, although some research has suggested a negative association
between maternal incarceration and child health, the evidence on this front is
mixed. Third, although the evidence for average effects of paternal incarceration
on child health and well-being is strong, research has also suggested that some
key factors moderate the association between paternal incarceration and child
health and well-being. Finally, because of the unequal concentration of parental
incarceration and the negative consequences this event has for children, mass
incarceration has increased both intracountry inequality in child health in the
United States and intercountry inequality in child health between the United
States and other developed democracies. In light of these important findings,
investment in data infrastructure-with emphasis on data sets that include
reliable measures of parental incarceration and child health and data sets that
facilitate causal inferences-is needed to understand the child health effects of
parental incarceration.
PMID- 29635445
TI - GntR is involved in the expression of virulence in strain Streptococcus suis
P1/7.
AB - Streptococcus suis is a severe zoonotic pathogen, responsible for acute diseases
in pigs and human. GntR proteins are widely distributed in various bacterial
species. In a previous study, GntR proteins were reported to be involved in
virulence in many pathogens. To investigate the relationship between GntR and S.
suis serotype 2, we constructed an isogenic deletion mutant P1/7DeltagntR and an
isogenic single-nucleotide substitution mutant P1/7gntR(SC070731), which took
strain P1/7 as parent. The results show that the mutants P1/7DeltagntR and
P1/7gntR(SC070731) have a stronger ability to tolerate oxidative stress and to
reproduce in blood. Moreover, a higher fatality rate was observed in mice when
challenged with P1/7DeltagntR or P1/7gntR(SC070731) compared with that of P1/7.
Overall, all results suggest that GntR greatly impacts the virulence of S. suis
serotype 2 strain P1/7 and give a new perspective on virulence generation in S.
suis.
PMID- 29635446
TI - Resistance of Selected Sorghum Genotypes to Maize Weevil (Coleoptera:
Curculionidae).
AB - The maize weevil, Sitophilus zeamais (Motschulsky) (Coleoptera: Curculionidae),
is a major insect pest of stored grain. This study evaluated resistance of grain
of 26 sorghum genotypes, Sorghum bicolor (L.) Moench, to maize weevil under
laboratory conditions. Three female and two male newly emerged maize weevils were
reared with 5 g of grain in each of 10 vials for each of the 26 sorghum genotypes
in a laboratory experiment. The weevils and grain of each genotype were scored
once every 3 wk for a total of five times during 105 d. The numbers of live and
newly emerged maize weevils, dead weevils from the initial population, damage
score (scale of 1-5), and grain weight loss were used to indicate resistance. The
least percentage weight loss of 23.9 and 24.1% was recorded for sorghum genotypes
Sureno and (5BRON151*Tegemeo)-HG7, respectively. Genotypes B.HF8 and
(A964*P850029)-HW6 had the most weight loss, 70.6 and 67.7%, at 105 d after
infestation. Genotypes B.HF8 and (A964*P850029)-HW6 consistently exhibited the
highest numbers of maize weevil, 63 and 84, per vial at 105 d after infestation.
Sorghum genotypes Sureno, (SV1*Sima/IS23250)-LG15, (5BRON151*Tegemeo)-HG7, and
(B35*B9501)-HD9 ranked among the top four genotypes with least damage rating more
often than any other genotype across the five sampling dates. On the other hand,
genotypes B.HF8, (A964*P850029)-HW6, (Segaolane*WM#322)LG2, and
(Tx2880*(Tx2880*(Tx2864*(Tx436*(Tx2864*PI550607)))))-PR3-CM1 were more often
ranked among the top four genotypes with the highest damage rating. Our results
indicate that grain of genotype Sureno is most resistant to the maize weevil
among screened genotypes.
PMID- 29635447
TI - Psychosocial Predictors of Self-reported Medical Adherence in Patients With Heart
Failure Over 6 Months: An Examination of the Influences of Depression, Self
efficacy, Social Support, and Their Changes.
AB - Background: Poor adherence to medical regimens is a serious problem that
interferes with heart failure (HF) patients' disease management and contributes
to poor clinical outcomes. Few prospective studies have examined the psychosocial
predictors of adherence over time in HF patients. Purpose: This study examined
the influences of depression, self-efficacy, social support, and their changes on
self-reported medical adherence over 6 months in HF patients. Methods:
Participants were 252 HF outpatients, among whom 168 completed follow-up
assessments. Hierarchical multiple regression analyses were conducted to examine
whether psychosocial variables and their changes prospectively predicted
adherence at 6 months, after adjusting for baseline adherence, age, gender,
ethnicity, marital status, education, HF severity, medical comorbidity, and
mental health treatment. Results: Baseline self-efficacy (beta = .22, p < .05),
increase in self-efficacy (beta = .34, p < .001), and decrease in depression
(beta = -.15, p = .05) predicted improved adherence over 6 months, but social
support did not. In the combined model that included all significant psychosocial
predictors from previous analyses, baseline self-efficacy (beta = .37, p = .001)
and its increase (beta = .35, p < .001) emerged as independent predictors of
improved adherence at 6 months. Conclusions: Promoting self-efficacy and reducing
depressive symptoms may be promising targets of behavioral interventions to
facilitate long-term disease management in HF patients.
PMID- 29635449
TI - The use of electronic consultations is associated with lower specialist referral
rates: a cross-sectional study using population-based health administrative data.
AB - Background: The referral-consultation process can be difficult to navigate.
Electronic consultations (eConsults) can help streamline referrals by
facilitating inter-provider communication. Objective: We evaluated the potential
effect of eConsult on specialist referral rates in Ontario among family
physicians providing comprehensive care. Methods: We conducted a retrospective
1:3 matched cohort study examining total referrals and referrals to all available
medical specialties from primary care providers between 1 April 2014 and 31 March
2015. We used multivariable random effects Poisson regression analysis to compare
referral rates between eConsult and non-eConsult users while adjusting for
relevant patient and provider characteristics. Referral rates were expressed per
physician, per 100 patients and per 100 patient encounters. Results: There were
113197 referrals across all medical specialties made by 119 eConsult physicians
and 352 matched controls. Referral rates per physician were significantly lower
in the eConsult group for all specialty groupings [unadjusted rate ratio (RR) =
0.87, 95% confidence interval (CI) = 0.80-0.95; adjusted RR = 0.92, 95% CI = 0.85
1.00]. Referral rates per patient were lower among eConsult physicians
(unadjusted RR = 0.91, 95% CI = 0.84-0.98) but this difference was not
statistically significant after adjustment (adjusted RR = 0.96, 95% CI = 0.90
1.02). No statistically significant difference was observed when referrals were
expressed per 100 patient encounters. Conclusion: This is the first Canadian
study to examine the potential effect of eConsult on overall referrals at a
population level. Our findings demonstrate that using eConsult service is
associated with fewer referrals from primary to specialist care, with
considerable potential for cost savings to our single-payer system.
PMID- 29635450
TI - Is there a relation between the time to ICSI and the reproductive outcomes?
AB - STUDY QUESTION: Does time to ICSI affect reproductive outcomes? SUMMARY ANSWER:
Biochemical and clinical pregnancy diminish progressively as time between oocyte
pick up (OPU) and ICSI increases after fresh embryo transfer. WHAT IS KNOWN
ALREADY: Appropriate oocyte cytoplasmic and nuclear maturation are of paramount
importance to ensure an optimal embryonic developmental competence. While nuclear
maturation is usually attained by the time an oocyte reaches OPU, cytoplasmic
maturation cannot be readily assessed and might be incomplete. On the other hand,
excessive in vitro culture of mature human oocytes can affect their
ultrastructural characteristics and, in mice, induces alterations in gene
expression and changes of chromatin and histone modification patterns. STUDY
DESIGN, SIZE, DURATION: Retrospective consecutive cohort study including 1468
ICSI cycles carried out in a single center between December 2012 and September
2015. All cycles were with patient's own oocytes and fresh embryo transfer (ET).
A radiofrequency-based system was used to record exact culture times, namely, OPU
denudation (DN); DN-ICSI and OPU-ICSI. We analyzed the effect of total and
partial time intervals between procedures, from OPU to ICSI, on fertilization
rate and biochemical, clinical, ongoing pregnancy and live birth rates.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Differences in laboratory times between
positive and negative biochemical, clinical, ongoing pregnancies and birth
results were tested by Mann-Whitney U test. The likelihood of positive clinical
outcomes was further modeled by locally weighted scatterplot smoothing (LOWESS)
regression and logistic regression, adjusting for woman's age and BMI, number of
transferred embryos; mean embryo morphological score, sperm origin and status,
and number of mature oocytes obtained at OPU. Effect of time on fertilization
rate was modeled by Generalized Linear Modeling (GLM) and LOWESS regression. MAIN
RESULTS AND THE ROLE OF CHANCE: The mean woman's age was 38.4 years (SD 4.6).
Biochemical, clinical, ongoing pregnancy and live birth rates after the fresh ET
were: 39.6, 33.1, 25.7 and 20.8%, respectively. Cumulative values for biochemical
pregnancy and live birth were 46.4 and 26.3%, respectively. Mean times in hours
for OPU-DN, DN-ICSI and OPU-ICSI were: 1.00 (SD 0.20); 3.86 (SD 1.93) and 4.87
(SD 1.96), respectively, and were not different for pregnant and non-pregnant
patients. However, multivariate analyses showed that on average (anti-log
transformed), each 1-h increase in the OPU-ICSI time reduced the likelihood of
biochemical pregnancy by 7.3% (95% CI: 0.7-13.5%) and of clinical pregnancy by
7.7% (95% CI 0.8-14.1%), after the fresh ET. No effect of time was observed for
ongoing pregnancy or live birth rates. Increasing OPU-ICSI time increased the
fertilization rate (B = 0.052, 95% CI: 0.022, 0.082). LIMITATIONS, REASONS FOR
CAUTION: The lack of relationship between incubation time of oocytes and live
birth rates might be due to uncontrolled variables. Given the population
analyzed, these results should not be extended to other ART protocols such as in
vitro maturation of oocytes or classical IVF fertilization. WIDER IMPLICATIONS OF
THE FINDINGS: This study indicates that in vitro ageing of mature oocytes
significantly affects the chances to become pregnant. Effect on live birth rates,
although not evident in this study, cannot be excluded. Limiting incubation time
of mature oocytes in the embryology laboratory should improve reproductive
results for patients using their own oocytes and with a transfer of fresh
embryos. STUDY FUNDING/COMPETING INTEREST(S): None. TRIAL REGISTRATION NUMBER:
NA.
PMID- 29635448
TI - Little genetic variability in resilience among cattle exists for a range of
performance traits across herds in Ireland differing in Fasciola hepatica
prevalence.
AB - It is anticipated that in the future, livestock will be exposed to a greater risk
of infection from parasitic diseases. Therefore, future breeding strategies for
livestock, which are generally long-term strategies for change, should target
animals adaptable to environments with a high parasitic load. Covariance
components were estimated in the present study for a selection of dairy and beef
performance traits over herd-years differing in Fasciola hepatica load using
random regression sire models. Herd-year prevalence of F. hepatica was determined
by using F. hepatica-damaged liver phenotypes which were recorded in abattoirs
nationally. The data analyzed consisted up to 83,821 lactation records from dairy
cows for a range of milk production and fertility traits, as well as 105,054
young animals with carcass-related information obtained at slaughter. Reaction
norms for individual sires were derived from the random regression coefficients.
The heritability and additive genetic standard deviations for all traits analyzed
remained relatively constant as herd-year F. hepatica prevalence gradient
increased up to a prevalence level of 0.7; although there was a large increase in
heritability and additive genetic standard deviation for milk and fertility
traits in the observed F. hepatica prevalence levels >0.7, only 5% of the data
existed in herd-year prevalence levels >0.7. Very little rescaling, therefore,
exists across differing herd-year F. hepatica prevalence levels. Within-trait
genetic correlations among the performance traits across different herd-year F.
hepatica prevalence levels were less than unity for all traits. Nevertheless,
within-trait genetic correlations for milk production and carcass traits were all
>0.8 for F. hepatica prevalence levels between 0.2 and 0.8. The lowest estimate
of within-trait genetic correlations for the different fertility traits ranged
from -0.03 (SE = 1.09) in age of first calving to 0.54 (SE = 0.22) for calving to
first service interval. Therefore, there was reranking of sires for fertility
traits across different F. hepatica prevalence levels. In conclusion, there was
little or no genetic variability in sensitivity to F. hepatica prevalence levels
among cattle for milk production and carcass traits. But, some genetic
variability in sensitivity among dairy cows did exist for fertility traits
measured across herds differing in F. hepatica prevalence.
PMID- 29635451
TI - Eribulin in BRAF V600E-mutant metastatic colorectal cancer: case series and
potential rationale.
PMID- 29635452
TI - Stability Study of Finasteride: Stability-Indicating LC Method, In Silico and LC
ESI-MS Analysis of Major Degradation Product, and an In Vitro Biological Safety
Study.
AB - Stability studies of the pharmaceutically important compound finasteride were
conducted in order to evaluate decomposition of the drug under forced degradation
conditions. A simple stability-indicating liquid chromatography method was
developed and validated for the evaluation of finasteride and degradation
products formed in pharmaceutical preparations and the raw material. Isocratic LC
separation was achieved on a C18 column using a mobile phase of o-phosphoric acid
(0.1% v/v), adjusted to pH 2.8 with triethylamine (10% v/v) and acetonitrile
(52:48 v/v), with a flow rate of 1.0 mL min-1. The alkaline degradation kinetics
of the drug were also evaluated and could be best described as second-order
kinetics under the experimental conditions applied for the tablets and raw
material. Based on in silico studies and molecular weight confirmation, a
comprehensive degradation pathway for the drug and the identity of its major
product could be suggested without complicated isolation or purification
processes. Furthermore, a biological safety study was performed to evaluate the
effect of the degraded sample in relation to the intact molecule. The results
showed that the degraded sample affected the cell proliferation. Therefore, these
studies show that special care must be taken during the manipulation, manufacture
and storage of this pharmaceutical drug.
PMID- 29635453
TI - Circulating tumor DNA detection in hepatocellular carcinoma.
PMID- 29635454
TI - Response to Dr. Kapural.
PMID- 29635456
TI - Of mice and men: long-term safety of assisted reproduction treatments.
PMID- 29635455
TI - Microbiome profiling of commercial pigs from farrow to finish.
AB - Balanced bacterial communities within the gastrointestinal (GI) tract of animals
are a key component of gut health, resulting in optimal performance and the
prevention of disease. The purpose of this study was to characterize the
commercial pig's baseline bacterial microbiome over time and across anatomical
site. Several anatomical sites (duodenum/jejunum, ileum, cecum, and colon) were
examined across multiple ages (days 0, 10, 21, 33, 62, 84, and market) for
bacterial microbiome structure using 16S rRNA V4 region sequencing with Illumina
MiSeq. General trends in the succession of the bacterial microbiome were observed
over age, such as increasing populations of Clostridia and decreasing populations
of Gammaproteobacteria (P < 0.05). However, apparent disruptions in the
microbiome were also observed that did not follow these trends, specifically at
sampling 24 h post-weaning where Lactobacillaceae were drastically reduced in
relative abundance (P < 0.05). The introduction of solid feed between days 21 and
33 had the greatest overall impact on bacterial community structure as compared
with the effects of age, changes in solid feed type, and pig movement. A core
bacterial microbiome was identified across all anatomical sites consisting of the
dominant operational taxonomic units (OTUs); samples were only differentiated
based upon anatomical site when considering less abundant OTUs and differences in
relative abundance. When considering mucosal vs. digesta samples from the cecum
and ileum, several taxa were of significantly higher relative abundance in the
mucosa (P < 0.05), including Anaerovibrio, Bacteroides, Desulfovibrio,
Helicobacter, Oscillospira, Phascolarctobacterium, and Prevotella. Correlations
between several genus-level taxa and pig weight were observed. Overall, this
study provides an expanded view of the dynamic pig GI microbiome from farrow to
finish.
PMID- 29635457
TI - Impact of Defaulting to Single-Lumen Peripherally Inserted Central Catheters on
Patient Outcomes: An Interrupted Time Series Study.
AB - Defaulting to single-lumen peripherally inserted central catheters (PICCs)
ordered from non-critical care units resulted in a sustained reduction in PICC
related complications. This system of care is transferrable to other
institutions, with potential for improved patient safety and efficiency in
outpatient parenteral antimicrobial therapy clinics.
PMID- 29635459
TI - Corrigendum to "Reported Incidence and Survival of Fallopian Tube Carcinomas: A
Population-Based Analysis From the North American Association of Central Cancer
Registries".
PMID- 29635458
TI - Selection in the Introgressed Regions of the Chimpanzee Genome.
AB - During the demographic history of the Pan clade, there has been gene-flow between
species, likely >200,000 years ago. Bonobo haplotypes in three subspecies of
chimpanzee have been identified to be segregating in modern-day chimpanzee
populations, suggesting that these haplotypes, with increased differentiation,
may be a target of natural selection. Here, we investigate signatures of adaptive
introgression within the bonobo-like haplotypes in chimpanzees using site
frequency spectrum-based tests. We find evidence for subspecies-specific
adaptations in introgressed regions involved with male reproduction in central
chimpanzees, the immune system in eastern chimpanzees, female reproduction and
the nervous system in Nigeria-Cameroon chimpanzees. Furthermore, our results
indicate signatures of balancing selection in some of the putatively introgressed
regions. This might be the product of long-term balancing selection resulting in
a similar genomic signature as introgression, or possibly balancing selection
acting on alleles reintroduced through gene flow.
PMID- 29635460
TI - Breast Implant-Associated Bilateral B-Cell Lymphoma.
AB - Lymphomas associated with implants are predominantly of T-cell type-with
anaplastic large cell lymphoma (ALCL) being most reported. That said, to date 6
cases of B-cell lymphoma associated with breast implants have been reported. All
cases exhibited unilateral breast involvement. Here, the authors report a case of
low-grade B-cell lymphoma occurring bilaterally in a 34-year-old woman with a
history of Poly Implant Prosthese silicone implants at age 20, T-cell
angioimmunoblastic lymphoma, and subsequent myeloablative double cord blood
transplantation. Lymphoma cells were positive for CD20, CD5, BCL-2, CD21, CD23,
IgD, IgM, with very low Ki-67 of 1%. Nevertheless, cases of ALCL associated with
breast implants are rare but much more documented in literature than B-cell
lymphomas associated with breast implants, as in this patient. Identification of
a relationship between breast cancer and silicone is still ongoing in the
literature, with long-term clinical follow-up required. More research will be
necessary to fully characterize the occurrence, course, and association of this
disease with breast implants in order to properly guide diagnosis and management.
PMID- 29635461
TI - Doctor-couple communication during assisted reproductive technology visits.
AB - STUDY QUESTION: What are the characteristics of doctor-couple communication
content during actual ART visits? SUMMARY ANSWER: Physicians were mainly focused
on providing biomedical information, while communication content from couples had
a 2-fold focus on providing biomedical information and on positive talk. WHAT IS
KNOWN ALREADY: Communication aspects in ART seem crucial for clinical decision
making, retention in care and critical conversations with couples due to low
treatment success rates. However, no studies have been carried out on the actual
interaction between the doctor and the couple in this context. STUDY DESIGN,
SIZE, DURATION: This observational study involved 28 clinicians and 160 patients
referred to eight Italian ART clinics during a one-year recruitment period.
PARTICIPANTS/MATERIALS, SETTING, METHODS: ART visits at eight Italian clinics
were videotaped. The visits were coded using the Roter Interaction Analysis
System (RIAS), particularly focusing on RIAS composite categories, verbal
dominance and patient-centeredness score. MAIN RESULTS AND THE ROLE OF CHANCE: A
total of 85 visits were eligible for analysis (62% acceptance rate), involving 28
clinicians and 160 patients (including 75 couples). The average visit duration
was 37 +/- 17.7 min. The mean verbal dominance was 1.9 +/- 0.86 (range: 0.72
5.74). Physicians mainly focused on providing biomedical information.
Communication content from couples had a 2-fold focus on providing biomedical
information and on positive talk. The mean of patient centeredness index (PCI)
was 0.51 (SD = 0.28; range 0.08-1.77); visits in which the doctor was a woman or
the treatment indication was for heterologous fertilization showed higher PCI
scores. Overall, females accounted for 67% of all patient talk. Taking this
imbalance into account as expected frequencies for each composite category, males
reported significantly more utterances in almost all of the socioemotional
categories. LIMITATIONS, REASONS FOR CAUTION: These results are preliminary and
observational and only regard Italy. Communication during visits may have been
biased since the professionals who agreed to participate showed an interest in
communication issues. Another limitation is a possible Hawthorne effect due to
the fact that participants were aware of being videotaped. WIDER IMPLICATIONS OF
THE FINDINGS: Our study showed that ART physicians mainly adopted an informative
model of communication and a more disease-oriented approach. Findings revealed
the complexity of communication content during ART consultations, given its
triadic characteristic in which the third party is also a patient; clinicians
should be aware of this complex aspect and of the specific male and female
perspectives to be taken into account. The results could be useful for training
ART professionals. STUDY FUNDING/COMPETING INTEREST(S): This study was possible
thanks to an unconditional grant from Ferring Spa to the Department of Health
Sciences, University of Milan. There are no competing interests to declare. TRIAL
REGISTRATION NUMBER: N/A.
PMID- 29635462
TI - Low prevalence of transmitted HIV-1 drug resistance detected by a dried blood
spot (DBS)-based next-generation sequencing (NGS) method in newly diagnosed
individuals in Cameroon in the years 2015-16.
AB - Objectives: To determine the most recent prevalence, transmission patterns and
risk factors of transmitted drug-resistance mutations (TDRMs) in Cameroon, we
initiated a multicentre study monitoring HIV-1 drug resistance in newly HIV-1
diagnosed individuals using a novel next-generation sequencing (NGS) assay
applicable to fingerprick dried blood spot (DBS) samples. Methods: Fingerprick
DBS samples and questionnaires were collected from 360 newly HIV-1-diagnosed
individuals in four hospitals in urban areas in Cameroon in the years 2015-16. We
developed an HIV-1 protease and reverse transcriptase drug resistance genotyping
assay applicable to DBS samples and HIV-1 genomes of groups M, N and O. The WHO
2009 list of mutations for surveillance of transmitted drug-resistant HIV strains
was used to analyse TDRMs. Results: Applying our 'DBS-NGS-genotypic resistance
test', baseline HIV-1 drug resistance data were successfully obtained from 82.8%
(298/360) of newly diagnosed individuals. At nucleotide frequencies >15%, TDRMs
to NRTIs were observed in 3.0% (9/298), to NNRTIs in 4.0% (12/298) and to PIs in
1.3% (3/240). The NNRTI mutation K103N was most commonly detected (2.7%).
Expanding the analysis to low-abundance TDRMs, i.e. 3%-15%, 12 additional
individuals (4.0%) harbouring TDRMs were identified. Having unprotected sex with
a known HIV-1-positive person was significantly associated with the transmission
of DRMs (adjusted OR 9.6; 95% CI 1.79-51.3). Conclusions: The prevalence of
transmitted HIV-1 drug resistance is currently low in the study sites in
Cameroon. Evidence of some risky sexual behaviours depicts a public health
problem with possible implications for the prevention of new HIV-1 infections.
PMID- 29635463
TI - Lexical and Grammatical Factors in Sentence Production in Semantic Dementia:
Insights From Greek.
AB - Purpose: Language production in semantic dementia (SD) is characterized by a
lexical-semantic deficit and largely preserved argument structure and inflection
production. This study investigates (a) the effect of argument structure on verb
retrieval and (b) the interrelation between inflection marking and verb retrieval
in SD. Method: Seven individuals with SD and 7 healthy controls performed 2
sentence elicitation tasks. In Experiment 1, participants described the action
taking place in a video. In Experiment 2, they watched the same videos preceded
by a phrase prompting the production of past tense. Three verb classes were
tested: (a) unergative (e.g., to walk), (b) unaccusative (e.g., to fall), and (c)
transitive with 1 object (e.g., to read a book). Results: There was not any
quantitative difference among the verb classes in Experiment 1, but error
analysis hinted at difficulties related with argument structure complexity. The
findings of Experiment 2 suggest no general effect of inflection on verb
retrieval; nevertheless, inflection marking impeded the retrieval of verbs with
complex argument structure. Large individual variation was established.
Conclusions: Argument structure complexity may challenge speakers with SD. Verb
retrieval and inflection marking seem to interrelate at the expense of the
former. Inflection production may be affected at severe stages of the disease.
Supplemental Material: https://doi.org/10.23641/asha.6030779.
PMID- 29635464
TI - Alcohol and gender gaps in life expectancy in eight Central and Eastern European
countries.
AB - Background: Gender differences in life expectancy (LE) have been traditionally
large in Central and Eastern Europe (CEE), and alcohol has been hypothesized to
be one of its main determinants. We examined the role of alcohol in gender
differences in LE in Estonia, Lithuania, Latvia, Moldova, Poland, Romania, Russia
and Ukraine, and changes in this role from 1965 until 2012. Methods: We
decomposed the gender differences in LE at birth into alcohol- and non-alcohol
related mortality. We examined causes of death wholly attributable to alcohol
over the whole period, and estimated from 1990 onwards additional alcohol
attributable mortality by using alcohol-attributable fractions from the Global
Burden of Disease study. Results: In the eight CEE countries, women's advantage
in LE relative to men increased from 7.3 years on average in 1965 to 10.0 years
on average in 2012. All alcohol-attributable mortality contributed 1.9 years on
average (uncertainty intervals (UI): 1.2-2.5; 18.8%) to the gender differences
from 1990 to 2012. Its relative contribution increased in most countries until
around 2005, and declined thereafter, resulting in a contribution of at least 15%
in 2012. The absolute contribution of alcohol to the LE gender gap was strongly
correlated with the overall LE gender differences (Pearson's r > 0.75), except in
Poland and Estonia. Conclusions: Despite recent declines, the contribution of sex
differences in excessive alcohol consumption to the LE gender gap is substantial,
and should not be neglected. Tackling gender differences in alcohol consumption
and alcohol-attributable mortality would contribute to further progress in
reducing mortality.
PMID- 29635467
TI - Role of medical ozone in attenuating age-related changes in the rat cerebellum.
AB - Aging is an inevitable biological process characterized by motor in coordination
and decline in the ability to learn new motor skills. The purpose of this study
is to investigate, for the first time, the beneficial antiaging effects of
medical ozone (O3) on the age-related structural damage of the rat cerebellum. We
have examined the cerebellum of albino rats at the ages of 6, 20 and 22, and the
effect of intraperitoneal medical O3 administration (0.7 g/kg) by histological,
immunohistochemical and morphometric studies. Age-related changes in the
cerebellum were in the form of a significant reduction in the number of Purkinje
cells (PCs), which appeared shrunken with a darkly stained cytoplasm and
vacuolated spaces in all layers. The decrease in Nissl granule content of the PCs
was also observed. A significant reduction in Mab2, Ki67 immunoreactivity
associated with significant increase in glial fibrillary acidic protein, Caspase
3 and iNos immunoreactivity were also detected. Medical O3 administration
reversed all these histopathological and immunohistochemical changes. This
protective effect was mediated by reducing oxidative stress, apoptosis, astrocyte
activation and improving both neuritogenesis and neurogenesis. We can conclude
from the results of the present study that medical O3 can prevent the retardation
of age-related changes in rat cerebellum.
PMID- 29635465
TI - Risk of Progressive Multifocal Leukoencephalopathy in the Combination
Antiretroviral Therapy Era in the French Hospital Database on Human
Immunodeficiency Virus (ANRS-C4).
AB - Background: Risk factors for progressive multifocal leukoencephalopathy (PML) in
individuals with human immunodeficiency virus (HIV) infection are poorly
documented in the era of combination antiretroviral therapy (cART). Methods: We
studied HIV-1-infected individuals aged >=15 years who had no history of PML and
were prospectively followed up between 1997 and 2011 in the French Hospital
Database on HIV (FHDH-ANRS CO4) cohort. Cox models were used to calculate
adjusted hazard ratios (HRs), focusing on sub-Saharan origin, suggested to be
protective, and recent cART initiation, potentially associated with an increased
risk of PML. Results: PML developed in 555 individuals, in 57 during the first 6
months of cART. From 1997-2000 to 2009-2011, the incidence fell from 1.15 (95%
confidence interval [CI], .98-1.31) to 0.49 (.37-.61) per 1000 person-years. Sub
Saharan African origin had no clear influence (HR, 0.80; 95% CI, .58-1.11).
Compared with men who have sex with men, injection drug users (IDUs) were at
higher risk (HR, 1.80 [95% CI, 1.32-2.45] for male and 1.68 [1.13-2.48] for
female IDUs). When IDUs were excluded, hepatitis C virus seropositivity was
associated with an increased risk (HR, 1.40; 95% CI, 1.02-1.93). Compared with no
cART initiation, initiation <6 months previously was associated with PML onset
(HR, 4.91; 95% CI, 2.42-9.95). Conclusions: Recent cART initiation is associated
with an increased risk of PML, as are injection drug use and hepatitis C virus
seropositivity. Sub-Saharan African origin had no protective effect.
PMID- 29635466
TI - Application of a Multistate Model to Evaluate Visit Burden and Patient Stability
to Improve Sustainability of Human Immunodeficiency Virus Treatment in Zambia.
AB - Background: Differentiated service delivery (DSD) for human immunodeficiency
virus (HIV)-infected persons who are clinically stable on antiretroviral therapy
(ART) has been embraced as a solution to decrease access barriers and improve
quality of care. However, successful DSD implementation is dependent on
understanding the prevalence, incidence, and durability of clinical stability.
Methods: We evaluated visit data in a cohort of HIV-infected adults who made at
least 1 visit between 1 March 2013 and 28 February 2015 at 56 clinics in Zambia.
We described visit frequency and appointment intervals using conventional
stability criteria and used a mixed-effects linear regression model to identify
predictors of appointment interval. We developed a multistate model to
characterize patient stability over time and calculated incidence rates for
transition between states. Results: Overall, 167819 patients made 3418018 post
ART initiation visits between 2004 and 2015. Fifty-four percent of visits were
pharmacy refill-only visits, and 24% occurred among patients on ART for >6 months
and whose current CD4 was >500 cells/mm3. Median appointment interval at
clinician visits was 59 days, and time on ART and current CD4 were not strong
predictors of appointment interval. Cumulative incidence of clinical stability
was 66.2% at 2 years after enrollment, but transition to instability (31 events
per 100 person-years) and lapses in care (41 events per100 person-years) were
common. Conclusions: Current facility-based care was characterized by high visit
burden due to pharmacy refills and among treatment-experienced patients.
Differentiated service delivery models targeted toward stable patients need to be
adaptive given that clinical stability was highly transient and lapses in care
were common.
PMID- 29635468
TI - Antibiotic resistance phenotypes and virulence-associated genes in Escherichia
coli isolated from animals and animal food products in Tunisia.
AB - Livestock and food products of animal origin constitute important reservoirs of
intestinal and extraintestinal pathogenic Escherichia coli including antibiotic
resistant E. coli isolates. To assess potential risks to public health related to
E. coli strains of animal origin in Tunisia, 65 E. coli isolates recovered from
healthy animals and food products of animal origin were studied. Antimicrobial
susceptibility was determined according to CLSI guidelines and genes encoding
antibiotic resistance as well as virulence factors were investigated by PCR. High
rates of antibiotic resistance were observed to kanamycin (78.4%), gentamicin
(75.3%) and streptomycin (75.3%, encoded by strA-strB (7 isolates)), amoxicillin
(64.6%), amoxicillin/clavulanic acid (60%), tetracycline (44.6%; tetA (8
isolates) and tetB (7 isolates)), nalidixic acid (27.6%, qnrS (3 isolates), qnrB
(2 isolates) and qnrA (one isolate)) and sulfonamides (36.9%; sul1 (1 isolate),
sul2 (4 isolates), and sul3 (1 isolate)). Virulotypes classified some isolates as
STEC (3%), MNEC (1.5%) and atypical EPEC (1.5%). This study demonstrated high
rates of antimicrobial resistance and the presence of some pathogenic pathovars
from animal origins that are a cause of concern for public health.
PMID- 29635469
TI - Toward a normalized clinical drug knowledge base in China-applying the RxNorm
model to Chinese clinical drugs.
AB - Objective: In recent years, electronic health record systems have been widely
implemented in China, making clinical data available electronically. However,
little effort has been devoted to making drug information exchangeable among
these systems. This study aimed to build a Normalized Chinese Clinical Drug
(NCCD) knowledge base, by applying and extending the information model of RxNorm
to Chinese clinical drugs. Methods: Chinese drugs were collected from 4 major
resources-China Food and Drug Administration, China Health Insurance Systems,
Hospital Pharmacy Systems, and China Pharmacopoeia-for integration and
normalization in NCCD. Chemical drugs were normalized using the information model
in RxNorm without much change. Chinese patent drugs (i.e., Chinese herbal
extracts), however, were represented using an expanded RxNorm model to
incorporate the unique characteristics of these drugs. A hybrid approach
combining automated natural language processing technologies and manual review by
domain experts was then applied to drug attribute extraction, normalization, and
further generation of drug names at different specification levels. Lastly, we
reported the statistics of NCCD, as well as the evaluation results using several
sets of randomly selected Chinese drugs. Results: The current version of NCCD
contains 16 976 chemical drugs and 2663 Chinese patent medicines, resulting in 19
639 clinical drugs, 250 267 unique concepts, and 2 602 760 relations. By manual
review of 1700 chemical drugs and 250 Chinese patent drugs randomly selected from
NCCD (about 10%), we showed that the hybrid approach could achieve an accuracy of
98.60% for drug name extraction and normalization. Using a collection of 500
chemical drugs and 500 Chinese patent drugs from other resources, we showed that
NCCD achieved coverages of 97.0% and 90.0% for chemical drugs and Chinese patent
drugs, respectively. Conclusion: Evaluation results demonstrated the potential to
improve interoperability across various electronic drug systems in China.
PMID- 29635470
TI - In pursuit of quality and safety: an 8-year study of clinical peer review best
practices in US hospitals.
AB - Objectives: Gather normative data on the goals of clinical peer review; refine a
best-practice model and related self-assessment inventory; identify the interval
progress towards best-practice adoption. Design: Online survey (2015-16) of a
cohort of 457 programs first studied by volunteer sampling in either 2007 or 2009
on 40 items assessing the degree of conformance to a validated quality
improvement (QI) model and addressing program goals, structure, process,
governance, and impact on quality and safety. Setting: Acute care hospitals of
all sizes in the USA. Study Participants: Physicians and hospital leaders or
hospital staff with intimate program knowledge. Intervention: None. Main Outcome
Measures: Subjectively-rated program impact on quality and safety; QI model
score. Results: Two hundred and seventy responses (59% response rate) showed that
clinical peer review most commonly aims to improve quality and safety. From 2007
to 2015, the median [inter-quartile range, IQR] annual rate of major program
change was 20% [11-24%]. Mean [confidence interval, CI] QI model scores increased
5.6 [2.9-8.3] points from 46.2 at study entry. Only 35% scored at least 60 of 80
possible points-'C' level progress in adopting the QI model. The analysis
supports expansion of the QI model and an associated self-assessment inventory to
include 20 items on a 100-point scale for which a 10-point increase predicts a
one level improvement in quality impact with an odds ratio [CI] of 2.5 [2.2-3.0].
Conclusions: Hospital and physician leaders could potentially accelerate progress
in quality and safety by revisiting their clinical peer review practices in light
of the evidence-based QI model.
PMID- 29635471
TI - Impact of mass drug administration campaigns depends on interaction with seasonal
human movement.
AB - Background: Mass drug administration (MDA) is a control and elimination tool for
treating infectious diseases. For malaria, it is widely accepted that conducting
MDA during the dry season results in the best outcomes. However, seasonal
movement of populations into and out of MDA target areas is common in many places
and could potentially fundamentally limit the ability of MDA campaigns to achieve
elimination. Methods: A mathematical model was used to simulate malaria
transmission in two villages connected to a high-risk area into and out of which
10% of villagers traveled seasonally. MDA was given only in the villages.
Prevalence reduction under various possible timings of MDA and seasonal travel
was predicted. Results: MDA is most successful when distributed outside the
traveling season and during the village low-transmission season. MDA is least
successful when distributed during the traveling season and when traveling
overlaps with the peak transmission season in the high-risk area. Mistiming MDA
relative to seasonal travel resulted in much poorer outcomes than mistiming MDA
relative to the peak transmission season within the villages. Conclusions:
Seasonal movement patterns of high-risk groups should be taken into consideration
when selecting the optimum timing of MDA campaigns.
PMID- 29635472
TI - Limitations of ceftriaxone compared with cefazolin against MSSA: an integrated
pharmacodynamic analysis.
AB - Objectives: Despite the convenience of once-daily dosing, the use of ceftriaxone
for Staphylococcus aureus infections has significant limitations, including
scarce clinical evidence and increasingly questionable pharmacodynamic activity.
Our goal was to conduct an integrated pharmacokinetic-pharmacodynamic analysis of
the appropriateness of ceftriaxone compared with cefazolin for treating serious
MSSA infections. Methods: Ceftriaxone and cefazolin activity against five
clinical MSSA isolates was characterized in an in vitro pharmacodynamic model.
Monte Carlo simulations were then used to evaluate various dosing regimens of
ceftriaxone and cefazolin based on relevant patient pharmacokinetic data,
significant pharmacodynamic targets derived from the in vitro studies (55%fT>MIC
for bacteriostasis, 75%fT>MIC for 1 log10 bacterial kill, 100%fT>MIC for >=3
log10 bacterial kill) and MIC distributions for MSSA from national surveillance
data. Results: Ceftriaxone at 1 g once daily had poor activity against MSSA with
net bacterial growth predicted in 76% of simulated subjects. The standard 2 g of
ceftriaxone once daily had predicted bacterial growth or bacteriostasis in 54% of
cases with bactericidal effects in only 17%. Cefazolin at 2 g once daily was
notably similar to ceftriaxone in expected target attainments. Cefazolin at 2 g
twice daily demonstrated maximal pharmacodynamic activity with bactericidal
effects in 97% of simulated subjects. Conclusions: Given the limited activity of
ceftriaxone against S. aureus, particularly for serious infections when bacterial
kill is desired, the convenience of once-daily dosing should be weighed against
the risks of using an overly broad, suboptimal therapy. Cefazolin warrants
further consideration, particularly as optimal pharmacodynamics against MSSA may
be achieved with twice-daily dosing in most patients.
PMID- 29635473
TI - Type 1 diabetes mellitus and risk of cancer: a meta-analysis of observational
studies.
AB - Objective: Previous observational studies have focused on the link between type 2
diabetes and the risk of cancer. However, the association between type 1 diabetes
and the risk of cancer has not been well addressed. This study aimed to
investigate the association between type 1 diabetes and the risk of cancer by
using a meta-analysis of observational studies. Methods: We searched PubMed and
EMBASE for observational studies that examined the association between type 1
diabetes and cancer in April 2017. We calculated the pooled odds ratios (ORs) or
relative risks (RRs) with confidence intervals (CIs) from individual studies
based on a random-effects model meta-analysis. Results: We included a total of 15
observational studies with two case-control studies and 13 cohort studies
involving 31 893 cancer patients among a total of 1 915 179 participants in the
final analysis. In the random-effects meta-analysis of all studies, patients with
type 1 diabetes had an increased risk of cancer (OR or RR, 1.29; 95% CI, 1.09
1.52; n = 15; I2 = 95.2%). In the subgroup meta-analysis by type of cancer, type
1 diabetes significantly increased the risk of cancers of stomach, lung,
pancreas, liver, ovary and kidney, whereas it significantly decreased the risk of
breast cancer (OR or RR, 0.91; 95% CI, 0.86-0.95; n = 9; I2 = 0%). Conclusion:
This meta-analysis suggests that type 1 diabetes is associated with the increased
risk of several types of cancer and the decreased risk of breast cancer. However,
the plausible mechanisms for the decreased risk of breast cancer remain unclear.
Further prospective studies with proper adjustment for possible confounding
factors are warranted.
PMID- 29635474
TI - Crystal structure and mutational analysis of Mycobacterium smegmatis FenA
highlight active site amino acids and three metal ions essential for flap
endonuclease and 5' exonuclease activities.
AB - Mycobacterium smegmatis FenA is a nucleic acid phosphodiesterase with flap
endonuclease and 5' exonuclease activities. The 1.8 A crystal structure of FenA
reported here highlights as its closest homologs bacterial FEN-family enzymes
ExoIX, the Pol1 exonuclease domain and phage T5 Fen. Mycobacterial FenA
assimilates three active site manganese ions (M1, M2, M3) that are coordinated,
directly and via waters, to a constellation of eight carboxylate side chains. We
find via mutagenesis that the carboxylate contacts to all three manganese ions
are essential for FenA's activities. Structures of nuclease-dead FenA mutants
D125N, D148N and D208N reveal how they fail to bind one of the three active site
Mn2+ ions, in a distinctive fashion for each Asn change. The structure of FenA
D208N with a phosphate anion engaged by M1 and M2 in a state mimetic of a product
complex suggests a mechanism for metal-catalyzed phosphodiester hydrolysis
similar to that proposed for human Exo1. A distinctive feature of FenA is that it
does not have the helical arch module found in many other FEN/FEN-like enzymes.
Instead, this segment of FenA adopts a unique structure comprising a short 310
helix and surface beta-loop that coordinates a fourth manganese ion (M4).
PMID- 29635475
TI - Socioeconomic multi-domain health inequalities in Dutch primary school children.
AB - Background: This study assesses socio-economic health inequalities (SEHI) over
primary school-age (4- to 12-years old) across 13 outcomes (i.e. body-mass index
[BMI], handgrip strength, cardiovascular fitness, current physical conditions,
moderate to vigorous physical activity, sleep duration, daily fruit and vegetable
consumption, daily breakfast, exposure to smoking, mental strengths and
difficulties, self-efficacy, school absenteeism and learning disabilities),
covering four health domains (i.e. physical health, health behaviour, mental
health and academic health). Methods: Multilevel mixed effect (linear and
logistic) regression analyses were applied to cross-sectional data of a Dutch
quasi-experimental study that included 1403 pupils from nine primary schools.
Socioeconomic background (high-middle-low) was indicated by maternal education (n
= 976) and parental material deprivation (n = 784). Results: Pupils with higher
educated mothers had lower BMIs, higher handgrip strength and higher
cardiovascular fitness; their parents reported more daily fruit and vegetable
consumption, daily breakfast and less exposure to smoking. Furthermore these
pupils showed less mental difficulties and less school absenteeism compared with
pupils whose mothers had a lower education level. When using parental material
deprivation as socio-economic indicator, similar results were found for BMI,
cardiovascular fitness, sleep duration, exposure to smoking and mental strengths
and difficulties. Socio-economic differences in handgrip strength, cardiovascular
fitness and sleep duration were larger in older than in younger pupils.
Conclusions: Childhood SEHI are clearly found across multiple domains, and some
are larger in older than in younger pupils. Interventions aiming to tackle SEHI
may therefore need a comprehensive and perhaps more fundamental approach.
PMID- 29635476
TI - Targeting IS608 transposon integration to highly specific sequences by structure
based transposon engineering.
AB - Transposable elements are efficient DNA carriers and thus important tools for
transgenesis and insertional mutagenesis. However, their poor target sequence
specificity constitutes an important limitation for site-directed applications.
The insertion sequence IS608 from Helicobacter pylori recognizes a specific
tetranucleotide sequence by base pairing, and its target choice can be re
programmed by changes in the transposon DNA. Here, we present the crystal
structure of the IS608 target capture complex in an active conformation,
providing a complete picture of the molecular interactions between transposon and
target DNA prior to integration. Based on this, we engineered IS608 variants to
direct their integration specifically to various 12/17-nt long target sites by
extending the base pair interaction network between the transposon and the target
DNA. We demonstrate in vitro that the engineered transposons efficiently select
their intended target sites. Our data further elucidate how the distinct
secondary structure of the single-stranded transposon intermediate prevents
extended target specificity in the wild-type transposon, allowing it to move
between diverse genomic sites. Our strategy enables efficient targeting of unique
DNA sequences with high specificity in an easily programmable manner, opening
possibilities for the use of the IS608 system for site-specific gene insertions.
PMID- 29635477
TI - Pharmacogenomics and big genomic data: from lab to clinic and back again.
AB - The field of pharmacogenomics is an area of great potential for near-term human
health impacts from the big genomic data revolution. Pharmacogenomics research
momentum is building with numerous hypotheses currently being investigated
through the integration of molecular profiles of different cell lines and large
genomic data sets containing information on cellular and human responses to
therapies. Additionally, the results of previous pharmacogenetic research efforts
have been formulated into clinical guidelines that are beginning to impact how
healthcare is conducted on the level of the individual patient. This trend will
only continue with the recent release of new datasets containing linked genotype
and electronic medical record data. This review discusses key resources available
for pharmacogenomics and pharmacogenetics research and highlights recent work
within the field.
PMID- 29635478
TI - Nightly Biting Cycles of Anopheles Species in Rural Northwestern Burkina Faso.
AB - The biting behavior of anophelines is an important determinant of malaria
transmission. Understanding the local vector host-seeking behavior, its outdoor/
indoor biting preference, and nocturnal biting periods is essential for
effectively applying and improving vector control methods, such as Long Lasting
Insecticidal Nets (LLINs) and personal protective measures. To better understand
the biting and host-seeking patterns of Anopheles mosquitoes in Northwestern
Burkina Faso, we performed biweekly Human Landing Catches (HLC) in six villages
during the period of highest mosquito abundance and malaria transmission. We
applied a negative binomial regression framework to statistically analyze the
host-seeking activities of Anopheles species and test for differences across
hours, months, and villages, as well as for differences between indoor and
outdoor capture points. Anopheles gambiae s.l. was identified as the main malaria
vector in this region, representing about 90% of the total anopheline population.
Biting activity was significantly different across hours and showed a peaked
plateau between 2000 and 0200 hours. Differences in the pattern of biting cycles
were observed between the early and late rainy season. This study shows that
anopheline biting activity in Northwest Burkina Faso is high throughout the
night, at indoor and outdoor posts alike. Consequently, bed nets alone may not
provide sufficient protection against early biting anophelines and should be
complemented with additional strategies such as indoor residual spraying (IRS)
and larval source management (LSM) to meet the WHO's ambitious goals that are
reflected in the global technical malaria strategy for 2030.
PMID- 29635480
TI - Two J domains ensure high cochaperone activity of DnaJ, Escherichia coli heat
shock protein 40.
AB - Heat shock protein 70 (Hsp70) chaperone systems consist of Hsp70, Hsp40 and a
nucleotide-exchange factor and function to help unfolded proteins achieve their
native conformations. Typical Hsp40s assume a homodimeric structure and have both
chaperone and cochaperone activity. The dimeric structure is critical for
chaperone function, whereas the relationship between the dimeric structure and
cochaperone function is hardly known. Here, we examined whether two intact
protomers are required for cochaperone activity of Hsp40 using an Escherichia
coli Hsp70 chaperone system consisting of DnaK, DnaJ and GrpE. The expression
systems were generated and two heterodimeric DnaJs that included a mutated
protomer lacking cochaperone activity were purified. Normal chaperone activity
was demonstrated by assessing aggregation prevention activity using urea
denatured luciferase. The heterodimeric DnaJs were investigated for cochaperone
activity by measuring DnaK ATPase activity and the heat-denatured glucose-6
phosphate dehydrogenase refolding activity of the DnaK chaperone system, and they
showed reduced cochaperone activity. These results indicate that two intact
protomers are required for high cochaperone activity of DnaJ, suggesting that one
homodimeric DnaJ molecule promotes the simultaneous binding of multiple DnaK
molecules to one substrate molecule, and that this binding mode is required for
the efficient folding of denatured proteins.
PMID- 29635479
TI - A practical blueprint to systematically study life-long health consequences of
novel medically assisted reproductive treatments.
AB - In medicine, safety and efficacy are the two pillars on which the implementation
of novel treatments rest. To protect the patient from unnecessary or unsafe
treatments, usually, a stringent path of (pre) clinical testing is followed
before a treatment is introduced into routine patient care. However, in
reproductive medicine several techniques have been clinically introduced without
elaborate preclinical studies. Moreover, novel reproductive techniques may harbor
safety risks not only for the patients undergoing treatment, but also for the
offspring conceived through these techniques. If preclinical (animal) studies
were performed, efficacy and functionality the upper hand. When a new medically
assisted reproduction (MAR) treatment was proven effective (i.e. if it resulted
in live birth) the treatment was often rapidly implemented in the clinic. For
IVF, the first study on the long-term health of IVF children was published a
decade after its clinical implementation. In more recent years, prospective
follow-up studies have been conducted that provided the opportunity to study the
health of large groups of children derived from different reproductive
techniques. Although such studies have indicated differences between children
conceived through MAR and children conceived naturally, results are often
difficult to interpret due to the observational nature of these studies (and the
associated risk of confounding factors, e.g. subfertility of the parents),
differences in definitions of clinical outcome measures, lack of uniformity in
assessment protocols and heterogeneity of the underlying reasons for fertility
treatment. With more novel MARs waiting at the horizon, there is a need for a
framework on how to assess safety of novel reproductive techniques in a
preclinical (animal) setting before they are clinically implemented. In this
article, we provide a blueprint for preclinical testing of safety and health of
offspring generated by novel MARs using a mouse model involving an array of tests
that comprise the entire lifespan. We urge scientists to perform the proposed
extensive preclinical tests for novel reproductive techniques with the goal to
acquire knowledge on efficacy and the possible health effects of to-be
implemented reproductive techniques to safeguard quality of novel MARs.
PMID- 29635481
TI - B chromosomes are associated with redistribution of genetic recombination towards
lower recombination chromosomal regions in perennial ryegrass.
AB - Supernumerary 'B' chromosomes are non-essential components of the genome present
in a range of plant and animal species-including many grasses. Within diploid and
polyploid ryegrass and fescue species, including the forage grass perennial
ryegrass (Lolium perenne L.), the presence of B chromosomes has been reported as
influencing both chromosome pairing and chiasma frequencies. In this study, the
effects of the presence/absence of B chromosomes on genetic recombination has
been investigated through generating DArT (Diversity Arrays Technology) marker
genetic maps for six perennial ryegrass diploid populations, the pollen parents
of which contained either two B or zero B chromosomes. Through genetic and
cytological analyses of these progeny and their parents, we have identified that,
while overall cytological estimates of chiasma frequencies were significantly
lower in pollen mother cells with two B chromosomes as compared with zero B
chromosomes, the recombination frequencies within some marker intervals were
actually increased, particularly for marker intervals in lower recombination
regions of chromosomes, namely pericentromeric regions. Thus, in perennial
ryegrass, the presence of two B chromosomes redistributed patterns of meiotic
recombination in pollen mother cells in ways which could increase the range of
allelic variation available to plant breeders.
PMID- 29635482
TI - MADS transcription factors cooperate: complexities of complex formation.
PMID- 29635483
TI - Reflections from the Janus face of gibberellin in legume nodulation.
PMID- 29635484
TI - A Comparative Assessment of the Response of Two Species of Cucumber Beetles
(Coleoptera: Chrysomelidae) to Visual and Olfactory Cues and Prospects for Mass
Trapping.
AB - Spotted (Diabrotica undecimpunctata howardii) and striped (Acalymma vittatum)
cucumber beetles (Coleoptera: Chrysomelidae) are serious pests of field-grown
cucurbits in most areas of the United States where these crops are grown. This
study aimed at quantifying, using a comparative approach, the behavioral response
of A. vittatum and D. u. howardii to visual and olfactory cues associated with
different trap types. In a first field study, Pherocon corn rootworm (CRW) traps
baited with a 5-component floral-based lure (= AgBio lure) captured significantly
more A. vittatum than traps baited with any other commercial lure. When used in
combination with yellow sticky cards, the AgBio lure outperformed the other lures
except for the Trece lure TRE8274. Subsequent tests revealed that the response of
both cucumber beetle species to the AgBio lure was positively associated with
increases in the amount of lure used. In the last series of tests that involved
color discrimination by the beetles, traps constructed using 3.8-liter jugs
painted yellow outperformed the CRW trap. Results from on-farm research,
conducted at a commercial vegetable farm, confirmed the beetles' visual
preference for yellow, and also revealed an excellent performance of the mass
trapping system, which kept cucumber beetle densities in the cash crop below
economic thresholds. Combined findings indicate that the mass trapping system
developed can be implemented as part of a broader IPM program aimed at managing
cucumber beetles.
PMID- 29635486
TI - Erratum for Langeveld et al. No metabolic effects of mustard allyl-isothiocyanate
compared with placebo in men. Am J Clin Nutr 2017;106:1197-205.
PMID- 29635487
TI - The effect of dietary glycemic index and glycemic load on inflammatory
biomarkers: a systematic review and meta-analysis of randomized clinical trials.
AB - Background: To our knowledge, there is no study available that summarizes earlier
findings on the effect of dietary glycemic index (GI) and glycemic load (GL) on
inflammatory biomarkers. Objective: This systematic review and meta-analysis was
conducted to systematically review the available clinical trials that examined
the effects of low-GI (LGI) and low-GL (LGL) diets on several inflammatory
biomarkers in adults. Design: We searched for relevant articles published up to
June 2017 through PubMed, Medline, SCOPUS, EMBASE, and Google Scholar with the
use of relevant keywords. Clinical trials that examined the effect of dietary GI
and GL on inflammation in adults were included. Results: Overall, 28 randomized
controlled trials (RCTs) including 2961 participants (59% women, 41% men) were
included in this meta-analysis. By combining findings from 14 studies on high
sensitivity C-reactive protein (hs-CRP) concentrations, we found no significant
effect of LGI or LGL diets on serum hs-CRP concentrations compared with the
control diet [weighted mean difference (WMD) for dietary GI: -0.05 mg/L (95% CI:
0.21, 0.10 mg/L); and WMD for dietary GL: 0.08 mg/L (95% CI: -0.26, 0.42 mg/L),
respectively]. After combining effect sizes from 5 studies, we did not find
significant changes in serum tumor necrosis factor alpha (TNF-alpha)
concentrations comparing control diets with LGI (WMD: -0.18 mg/L; 95% CI: -0.43,
0.06 mg/L) or LGL (WMD: -0.20 mg/L; 95% CI: -0.33, 0.07 mg/L) diets. Significant
changes were also not seen in leptin and interleukin 6 (IL-6) concentrations
after the consumption of LGI or LGL diets. Conclusions: We did not find any
significant effect of dietary GI or GL on serum concentrations of inflammatory
cytokines, including hs-CRP, leptin, IL-6, and TNF-alpha in adults. Additional
RCTs-in particular, feeding trials-are required to shed light on this issue.
PMID- 29635485
TI - Elotuzumab-induced interstitial lung disease: the first case report.
AB - Elotuzumab, a humanized immunoglobulin G1 monoclonal antibody targeted against
signaling lymphocytic activation molecule F7 (SLAMF7), has recently been used in
combination with lenalidomide and dexamethasone for the treatment of patients
with relapsed or refractory multiple myeloma. The clinical characteristics of
drug-induced interstitial lung disease (ILD) due to elotuzumab have not been
clarified. In this report, we describe a patient with refractory multiple myeloma
who received elotuzumab in combination with lenalidomide and dexamethasone in
whom fatigue, fever and diffuse pulmonary infiltration developed. The patient had
a history of long-term therapy with lenalidomide without pulmonary toxicity.
Bronchoscopy with bronchoalveolar lavage was negative for infection, and
transbronchial lung biopsies showed active alveolitis with lymphocytic
infiltration and myxomatous change of the thick alveolar wall. After the
discontinuation of elotuzumab and lenalidomide, the patient's clinical symptoms
gradually improved, and spontaneous remission of the pulmonary infiltration was
observed. Based on the chest CT and lung pathology findings, the exclusion of
infection and pulmonary edema, and according to the clinical course, we
established a diagnosis of drug-induced ILD due to elotuzumab. Clinicians should
bear in mind the potential for pulmonary toxicity in patients receiving
elotuzumab-containing therapy.
PMID- 29635488
TI - Effect of motilin receptor activation on food intake and food timing.
AB - Background: Motilin plasma concentrations are positively correlated with hunger
ratings during the fasting state. Moreover, the motilin agonist erythromycin
stimulates meal requests. Objectives: The first aim of the study was to evaluate
the effect of erythromycin on ad libitum food intake. The second aim was to study
the involvement of endogenous motilin and octanoylated ghrelin on voluntary meal
initiations. Design: Study 1: Fourteen healthy participants were studied twice
after an overnight fast. Intravenous administration of placebo (saline) or
erythromycin (40 mg) was given in a double-blind randomized order. Participants
had the opportunity to eat ad libitum from an excess free-choice buffet (2330
kcal) for the duration of 1 h. The primary outcome was total caloric intake.
Study 2: Thirteen healthy participants were studied after an overnight fast.
Baseline blood samples were collected before a breakfast (245 kcal). After a rest
period of 90 min, blood samples were collected every 15 min for a duration of 5
h. During this period, volunteers could request small meal portions (164
kcal/serving) at time points of their choosing and unlimited in numbers. The
primary outcome was the determination of plasma concentrations before
postbreakfast spontaneous meal requests. Results: Ad libitum food intake did not
differ between placebo and erythromycin groups (difference compared with placebo:
79 kcal; 95% CI: -245.9, 403.97 kcal; P = 0.3). Octanoylated ghrelin
concentrations before spontaneous meal requests were, on average, 36% (95% CI:
5.8%, 65.7%; P = 0.02) higher than values before breakfast, whereas motilin
concentrations did not increase (6% increase: 95% CI: -5.9%, 17.2% increase; P =
0.3). Conclusions: Motilin receptor stimulation during the fasting state does not
affect total caloric intake nor does endogenous motilin stimulate meal requests
after breakfast in the current study population. This trial was registered at
www.clinicaltrials.gov as NCT03024879.
PMID- 29635489
TI - Nitrate, the oral microbiome, and cardiovascular health: a systematic literature
review of human and animal studies.
AB - Background: Dietary nitrate is an important source of nitric oxide (NO), a
molecule critical for cardiovascular health. Nitrate is sequentially reduced to
NO through an enterosalivary nitrate-nitrite-NO pathway that involves the oral
microbiome. This pathway is considered an important adjunct pathway to the
classical l-arginine-NO synthase pathway. Objective: The objective of this study
was to systematically assess the evidence for dietary nitrate intake and improved
cardiovascular health from both human and animal studies. Design: A systematic
literature search was performed according to PRISMA (Preferred Reporting Items
for Systematic Reviews and Meta-Analyses) guidelines by using key search terms in
Medline and EMBASE databases and defined inclusion and exclusion criteria.
Results: Thirty-seven articles on humans and 14 articles on animals were included
from 12,541 screened references. Data on the effects of dietary nitrate on blood
pressure, endothelial function, ischemic reperfusion injury, arterial stiffness,
platelet function, and cerebral blood flow in both human and animal models were
identified. Beneficial effects of nitrate on vascular health have predominantly
been observed in healthy human populations, whereas effects in populations at
risk of cardiovascular disease are less clear. Few studies have investigated the
long-term effects of dietary nitrate on cardiovascular disease clinical
endpoints. In animal studies, there is evidence that nitrate improves blood
pressure and endothelial function, particularly in animal models with reduced NO
bioavailability. Nitrate dose seems to be a critical factor because there is
evidence of cross-talk between the 2 pathways of NO production. Conclusions:
Evidence for a beneficial effect in humans at risk of cardiovascular disease is
limited. Furthermore, there is a need to investigate the long-term effects of
dietary nitrate on cardiovascular disease clinical endpoints. Further animal
studies are required to elucidate the mechanisms behind the observed effects.
PMID- 29635491
TI - Erratum for Velmurugan et al. Dietary nitrate improves vascular function in
patients with hypercholesterolemia: a randomized, double-blind, placebo
controlled study. Am J Clin Nutr 2016;103:25-38.
PMID- 29635490
TI - Cancer and vitamin D supplementation: a systematic review and meta-analysis.
AB - Background: Low 25-hydroxyvitamin D status has been associated with a higher risk
of cancer in epidemiologic studies. Objective: The aim of this study was to
undertake a systematic review and meta-analysis of randomized clinical trials
(RCTs) investigating the effect of vitamin D supplementation alone on cancer
incidence and mortality. Design: A systematic review was undertaken. MEDLINE,
Embase, CENTRAL, conference abstracts, and clinical trial registries were
searched (last search March 2017) for RCTs investigating vitamin D
supplementation alone. RCTs with >=12 mo of follow-up and in participants with a
mean or median age >=60 y were eligible. During-study events were used as the
main analysis, but after-study events were included in a secondary analysis.
Subgroup analyses concerning different forms of vitamin D supplementation, 25
hydroxyvitamin D status at baseline, vitamin D dose, and exclusion of open-label
trials were undertaken. Results: Thirty studies in 18,808 participants were
included in the systematic review, with a median follow-up ranging from 1 to 6.2
y. The results of the meta-analysis for during-study events showed no evidence of
an effect of vitamin D supplementation for cancer incidence (RR: 1.03; 95% CI:
0.91, 1.15) and cancer-related deaths (RR: 0.85; 95% CI: 0.70, 1.04). Including
after-study events, the RRs were 1.02 (95% CI: 0.92, 1.13) and 0.85 (95% CI:
0.72, 1.00), respectively. These results did not appear to be affected by
baseline 25-hydroxyvitamin D status, vitamin D dose, or the exclusion of open
label trials. Conclusion: We did not find evidence to suggest that vitamin D
supplementation alone reduces the incidence of cancer or cancer mortality, even
after including long-term follow-up results.
PMID- 29635492
TI - Gene-specific DNA methylation in newborns in response to folic acid
supplementation during the second and third trimesters of pregnancy: epigenetic
analysis from a randomized controlled trial.
AB - Background: Emerging evidence suggests that maternal folate status can impact
cognitive development in childhood. Folate-dependent DNA methylation may provide
a biological mechanism to link folate status during pregnancy with cognition in
the offspring. Objective: The objective was to investigate the effect of
continued folic acid (FA) supplementation beyond the first trimester of pregnancy
on DNA methylation in cord blood of epigenetically controlled genes related to
brain development and function. Design: Using available cord blood samples (n =
86) from the Folic Acid Supplementation in the Second and Third Trimesters
(FASSTT) trial in pregnancy, we applied pyrosequencing techniques to analyze cord
blood DNA at 9 candidate loci known to be regulated by methylation, including
some previously implicated in observational studies: the widely dispersed
retrotransposon long interspersed nuclear element-1 (LINE-1) and 8 single-copy
loci (RBM46, PEG3, IGF2, GRB10, BDNF, GRIN3B, OPCML, and APC2). Results: The
newborns of mothers who received ongoing FA (400 ug/d) through the second and
third trimesters, compared with placebo, had significantly lower overall DNA
methylation levels at LINE-1 (56.3% +/- 1.7% compared with 57.2% +/- 2.1%; P =
0.024), IFG2 (48.9% +/- 4.4% compared with 51.2% +/- 5.1%; P = 0.021), and BDNF
(2.7% +/- 0.7% compared with 3.1% +/- 0.8%; P = 0.003). The effect of FA
treatment on DNA methylation was significant only in female offspring for IGF2 (P
= 0.028) and only in males for BDNF (P = 0.012). For GRB10 and GRIN3B, we
detected no effect on overall methylation; however, individual cytosine-phosphate
guanine sites showed significant DNA methylation changes in response to FA.
Conclusions: Continued supplementation with FA through trimesters 2 and 3 of
pregnancy results in significant changes in DNA methylation in cord blood of
genes related to brain development. The findings offer a potential biological
mechanism linking maternal folate status with neurodevelopment of the offspring,
but this requires further investigation using a genome-wide approach. This trial
was registered at www.isrctn.com as ISRCTN19917787.
PMID- 29635493
TI - Avocado consumption and risk factors for heart disease: a systematic review and
meta-analysis.
AB - Background: Nutrients in avocados are associated with cardiovascular benefits.
Objective: The aim of this study was to determine the effect of avocado intake on
cardiovascular disease (CVD) risk with the use of a systematic review and meta
analysis. Design: MEDLINE, Cochrane Central, and Commonwealth Agricultural Bureau
abstracts were searched from 1946 through September 2017 for publications on
avocado intake and CVD risk. All designs except for cross-sectional studies that
evaluated avocado intake were included. Two investigators independently screened
citations and extracted data. Random-effects models meta-analysis was used when
>=3 studies reported the same outcome. Results: Of 18 eligible studies (481
subjects), 7 studies compared avocado intake with no intake, 3 studies compared
avocado plus monounsaturated fat intake with a control, and 8 studies reported
data for qualitative synthesis. In 7 studies, avocado intake significantly
increased HDL cholesterol (summary net change: 2.84 mg/dL; 95% CI: 0.18, 5.49
mg/dL), with significant heterogeneity. This remained consistent in sensitivity
and subgroup analyses. There was no significant difference between avocado intake
and the control for the outcomes of serum total cholesterol (TC), LDL
cholesterol, triglycerides (TGs), ratios of TC to HDL cholesterol and LDL
cholesterol to HDL cholesterol, and body weight. In qualitative synthesis, there
was no significant difference between groups for blood glucose (2 studies),
homeostasis model assessment (1 of 2 studies), oxidized LDL (2 studies), high
sensitivity C-reactive protein (2 studies), or apolipoprotein B (2 studies) or,
in 1 study each, for body mass index, systolic and diastolic blood pressure,
arterial compliance, fibrinogen, interleukin 6, tumor necrosis factor alpha, and
serum nitric oxide. No studies reported incident clinical outcomes of CVD,
including myocardial infarction, stroke, and other clinical endpoints.
Conclusions: Avocado intake resulted in no difference in serum TC, LDL
cholesterol, and TG concentrations, but it did increase serum HDL-cholesterol
concentrations, with significant heterogeneity. The association between avocado
intake and CVD risk should be confirmed by well-conducted prospective
observational studies or long-term trials.
PMID- 29635494
TI - Variety and content of commercial infant and toddler vegetable products
manufactured and sold in the United States.
AB - Background: Exposure to vegetable flavors during infancy and toddlerhood is
hypothesized to enhance vegetable acceptance when children transition to table
foods. Objective: We sought to examine the vegetable types, ingredients, and
nutrient contents of vegetable-containing infant and toddler foods (ITFs)
manufactured and sold in the United States. Design: A database of ITFs that
contain vegetables (n = 548) was compiled from websites of companies based in the
United States (n = 24). Product information was recorded, including intended age
or stage, ingredient lists, and selected nutrients from the Nutrition Facts
label. Ingredient lists were used to categorize vegetables using the USDA
vegetable categories: dark green (e.g., spinach), red and orange (e.g., carrots),
starchy (e.g., green peas, corn), beans and peas (e.g., black beans), and other
(e.g., green beans, beets). Furthermore, products were categorized as single
vegetable, multi-vegetable, vegetable and fruit, vegetable and meat, or vegetable
and other combinations (e.g., grains and and or dairy). Nutrients were examined,
including energy (kilocalories), carbohydrates, fiber, and total sugars [per
serving, per 100 g, per reference amount customarily consumed (RACC), and
percentage of kilocalories from sugars]. Results: Of the 548 vegetable products,
only 52 single-vegetable products (9.5%) were identified, none of which contained
dark green vegetables or beans and peas. Red and orange vegetables most often
appeared as the first ingredient (23.7%) compared to other vegetable types, such
as dark green vegetables, which were rarely listed first (1.1%). Fruits were
listed as the first ingredient more commonly than all vegetables (37.8%). One-way
ANOVA revealed that vegetable and fruit products contained more sugars on average
than did vegetable products with other ingredients, such as dairy and/or grains
(all P values < 0.001). Conclusions: Current available products do not provide
caregivers with a sufficient variety of single-vegetable products or products
containing dark green vegetables to facilitate children's subsequent acceptance
of these vegetables. Guidance should include making caregivers aware of the
limitations of commercial ITFs manufactured and sold in the US market.
PMID- 29635495
TI - Simulating long-term human weight-loss dynamics in response to calorie
restriction.
AB - Background: Mathematical models have been developed to predict body weight (BW)
and composition changes in response to lifestyle interventions, but these models
have not been adequately validated over the long term. Objective: We compared
mathematical models of human BW dynamics underlying 2 popular web-based weight
loss prediction tools, the National Institutes of Health Body Weight Planner (NIH
BWP) and the Pennington Biomedical Research Center Weight Loss Predictor (PBRC
WLP), with data from the 2-year Comprehensive Assessment of Long-term Effects of
Reducing Intake of Energy (CALERIE) study. Design: Mathematical models were
initialized using baseline CALERIE data, and changes in body weight (DeltaBW),
fat mass (DeltaFM), and energy expenditure (DeltaEE) were simulated in response
to time-varying changes in energy intake (DeltaEI) objectively measured using the
intake-balance method. No model parameters were adjusted from their previously
published values. Results: The PBRC WLP model simulated an exaggerated early
decrease in EE in response to calorie restriction, resulting in substantial
underestimation of the observed mean (95% CI) BW losses by 3.8 (3.5, 4.2) kg. The
NIH WLP simulations were much closer to the data, with an overall mean DeltaBW
bias of -0.47 (-0.92, -0.015) kg. Linearized model analysis revealed that the
main reason for the PBRC WLP model bias was a parameter value defining how
spontaneous physical activity expenditure decreased with caloric restriction.
Both models exhibited substantial variability in their ability to simulate
individual results in response to calorie restriction. Monte Carlo simulations
demonstrated that DeltaEI measurement uncertainties were a major contributor to
the individual variability in NIH BWP model simulations. Conclusions: The NIH BWP
outperformed the PBRC WLP and accurately simulated average weight-loss and energy
balance dynamics in response to long-term calorie restriction. However, the
substantial variability in the NIH BWP model predictions at the individual level
suggests cautious interpretation of individual-level simulations. This trial was
registered at clinicaltrials.gov as NCT00427193.
PMID- 29635496
TI - Breastfeeding duration, maternal body mass index, and birth weight are associated
with differences in body mass index growth trajectories in early childhood.
AB - Background: Accelerated postnatal growth is an important predictor for obesity
risk. It is unknown whether early-life obesity-related risk factors affect body
mass index (BMI) growth rates during distinct growth periods from early infancy
through preschool years. Objective: We examined whether breastfeeding duration,
maternal BMI, and birth weight are associated with growth trajectories of age-
and sex-standardized WHO BMI z scores (zBMIs) in young children. Design: Children
(n = 5905) in The Applied Research Group for Kids (TARGet Kids!) prospective
cohort study underwent repeated measures of weight and length or height from
birth to 10 y of age. Piecewise linear mixed models were used to determine
whether zBMI growth rates differ for each risk factor during periods of growth
between birth and 1, 3, 18, 36, and 72 mo of age. Results: Children who were
breastfed <6 mo compared with >=6 mo showed a higher growth rate between 1-3 and
3-18 mo, resulting in higher standardized BMIs (zBMIs) of +0.24, +0.12, and +0.19
at 18, 36, and 72 mo, respectively. Maternal BMI (in kg/m2) >=30 compared with
<30 resulted in higher growth rates between 1-3 and 36-72 mo and higher zBMIs of
+0.22, +0.14, +0.18, and +0.41 at 3, 18, 36, and 72 mo, respectively. Infants
weighing <2.5 kg at birth (compared with 2.5-4 kg) experienced higher growth
rates between 1-3 and 3-18 mo but had lower zBMIs at all time points (zBMI: -1.45
to -0.21). Infants weighing >=4 kg at birth (compared with 2.5-4 kg) had
significantly lower growth rates in the first 3 mo but higher zBMIs at all time
points (zBMI: +1.16 to +0.27). Conclusion: Differences in zBMI growth rates by
breastfeeding duration, maternal BMI, and birth weight are seen in early infancy
and contribute to differences in zBMI, which persist into midchildhood. This
trial was registered at www.clinicaltrials.gov as NCT01869530.
PMID- 29635498
TI - Dietary glucosinolates and risk of type 2 diabetes in 3 prospective cohort
studies.
AB - Background: Glucosinolates are a group of phytochemicals that are abundant in
cruciferous vegetables and precursors of the potentially chemopreventive
isothiocyanates. Isothiocyanates may reduce oxidative stress and inflammation,
but little is known regarding the association between glucosinolate intake and
risk of type 2 diabetes (T2D). Objective: To evaluate the association between the
intake of glucosinolates and the incidence of T2D in US men and women. Design:
This prospective cohort study investigated 200,907 women and men [71,256 women
from the Nurses' Health Study (NHS; 1984-2012), 88,293 women from the NHS II
(1991-2013), and 41,358 men from the Health Professionals Follow-Up Study (1986
2012)] who were free of diabetes, cardiovascular disease, and cancer at baseline.
Diet was assessed using validated semiquantitative food frequency questionnaires.
Self-reported T2D incidence was confirmed by a supplementary questionnaire.
Results: During follow-up in the 3 cohorts, we accumulated 4,303,750 person-years
and 16,567 incident cases of T2D. After adjustment for major lifestyle and
dietary risk factors for T2D, participants in the highest quintile of total
glucosinolate intake had a 19% higher risk (95% CI: 13%, 25%; Ptrend < 0.001) of
T2D than did those in the lowest quintile. The intake of 3 major glucosinolate
subtypes was consistently and significantly associated with T2D risk, with pooled
HRs ranging from 1.13 to 1.18 (all Ptrend < 0.001). A significant association was
also observed between total cruciferous vegetable consumption and T2D (HR: 1.16;
95% CI :1.07, 1.25; Ptrend < 0.001). These associations persisted in subgroups
defined by demographic, lifestyle, and other dietary factors. Conclusions:
Dietary glucosinolate intake was associated with a moderately higher risk of T2D
in US adults. These results need to be replicated in further investigations,
including biomarker-based studies. Mechanistic research is also needed to
understand the relation between exposures to glucosinolates, isothiocyanates, and
other metabolites with T2D risk. This trial was registered at clinicaltrials.gov
as NCT03366532.
PMID- 29635497
TI - Inflammatory potential of the diet and risk of gastric cancer in the European
Prospective Investigation into Cancer and Nutrition (EPIC) study.
AB - Background: Chronic inflammation plays a critical role in the pathogenesis of the
2 major types of gastric cancer. Several foods, nutrients, and nonnutrient food
components seem to be involved in the regulation of chronic inflammation.
Objective: We assessed the association between the inflammatory potential of the
diet and the risk of gastric carcinoma, overall and for the 2 major subsites:
cardia cancers and noncardia cancers. Design: A total of 476,160 subjects (30%
men, 70% women) from the European Investigation into Cancer and Nutrition (EPIC)
study were followed for 14 y, during which 913 incident cases of gastric
carcinoma were identified, including 236 located in the cardia, 341 in the distal
part of the stomach (noncardia), and 336 with overlapping or unknown tumor site.
The dietary inflammatory potential was assessed by means of an inflammatory score
of the diet (ISD), calculated with the use of 28 dietary components and their
corresponding inflammatory scores. The association between the ISD and gastric
cancer risk was estimated by HRs and 95% CIs calculated by multivariate Cox
regression models adjusted for confounders. Results: The inflammatory potential
of the diet was associated with an increased risk of gastric cancer. The HR (95%
CI) for each increase in 1 SD of the ISD were 1.25 (1.12, 1.39) for all gastric
cancers, 1.30 (1.06, 1.59) for cardia cancers, and 1.07 (0.89, 1.28) for
noncardia cancers. The corresponding values for the highest compared with the
lowest quartiles of the ISD were 1.66 (1.26, 2.20), 1.94 (1.14, 3.30), and 1.07
(0.70, 1.70), respectively. Conclusions: Our results suggest that low-grade
chronic inflammation induced by the diet may be associated with gastric cancer
risk. This pattern seems to be more consistent for gastric carcinomas located in
the cardia than for those located in the distal stomach. This study is listed on
the ISRCTN registry as ISRCTN12136108.
PMID- 29635499
TI - Neither body mass nor sex influences beverage hydration index outcomes during
randomized trial when comparing 3 commercial beverages.
AB - Background: The beverage hydration index (BHI) assesses the hydration potential
of any consumable fluid relative to water. The BHI is a relatively new metric,
and the impact of body mass, sex, and reproducibility has yet to be investigated.
Objectives: To assess the independent impact of body mass and sex on BHI using
beverages not previously assessed, including an amino acid-based oral rehydration
solution (AA-ORS), a glucose-containing ORS (G-ORS), and a sports drink (SpD),
compared with water (control). The reproducibility of the results was examined
using statistical modeling (bootstrap analysis). Design: Using a repeated
measures design, 40 euhydrated and fasted subjects (17 male, 23 female; urine
specific gravity <1.025) were studied on 4 separate occasions. During each trial,
subjects ingested 1 L of each beverage, and urine output was measured immediately
postingestion and at 1-h intervals for the next 4 h. The BHI was calculated as a
ratio of each individual's cumulative urine output after drinking 1 L of water
over their cumulative urine output after drinking each of the test beverages.
Results: The calculated mean +/- SD BHIs of the beverages were as follows: water
(1.0 +/- 0.0), AA-ORS (1.15 +/- 0.28), G-ORS (1.21 +/- 0.28), and SpD (1.09 +/-
0.26). The BHI for both AA-ORS and G-ORS was greater than that for water (P <
0.05). Despite overall differences in body mass, neither body mass nor sex
independently affected BHI. Based upon statistical modeling, our results
demonstrate excellent reproducibility of outcomes and external validity.
Conclusions: Our results suggest that the BHI may be used and interpreted with
confidence independently of body mass or sex. Furthermore, a novel carbohydrate
free AA-ORS and a traditional commercially available G-ORS were superior to water
in optimizing hydration, whereas SpD was not. This trial was registered at
clinicaltrials.gov as NCT03262597.
PMID- 29635500
TI - Normal-weight obesity and clinical outcomes in nondiabetic chronic kidney disease
patients: a cohort study.
AB - Background: Normal-weight obesity (NWO), defined by a normal body mass index
(BMI) and high body fat percentage, has been shown to be associated with
cardiometabolic dysfunction and an increased risk of cardiovascular disease and
mortality in the general population. However, little is known about the clinical
implications of NWO among patients with chronic kidney disease (CKD). Objective:
The aim of this study was to assess the characteristics and outcomes of
nondiabetic CKD patients with NWO. Design: A total of 178 nondiabetic patients
with stages 3-5 CKD were prospectively followed for a median of 4.9 y. The
patients were classified into 3 different adiposity phenotypes: nonobese [BMI (in
kg/m2) <25 and fat mass percentage (FM%) <=25% for men or <=35% for women], NWO
(BMI <25 and FM% >25% for men or >35% for women), and preobese-obese (BMI >=25).
FM% was determined using the Body Composition Monitor, a multifrequency
bioimpedance spectroscopy device. The outcome was a composite of cardiovascular
events or all-cause mortality. Results: The prevalence of NWO was 28.1% among
nondiabetic CKD patients with a normal BMI. NWO patients were older, had lower
lean body mass, and had higher plasma interleukin-6 concentrations than nonobese
patients. However, homeostatic model assessment for insulin resistance levels did
not differ between the 2 groups. NWO patients showed a significant 3-fold higher
risk of the composite outcome (HR 2.96, 95% CI: 1.13, 7.77; P < 0.05) than did
nonobese patients in the fully adjusted model. Preobese-obese patients were not
at increased risk compared to nonobese patients. Conclusions: NWO was associated
with the worst prognosis among the 3 different adiposity phenotypes in
nondiabetic CKD patients. Our findings suggest the importance of using direct
measures of adiposity for risk assessment in CKD patients who are normal-weight.
This trial was registered at clinicaltrials.gov as NCT03285074.
PMID- 29635502
TI - Infant feeding and growth: putting the horse before the cart.
AB - Background: Previous observational studies have consistently shown slower weight
and length gains in infants with prolonged breastfeeding than in those who were
formula-fed from birth or breastfed for a shorter duration. These studies
inferred that prolonged breastfeeding causes slower growth in infancy. Objective:
We compared infant growth associated with >=12 mo of breastfeeding with a shorter
duration of breastfeeding on the basis of 3 different analytic approaches to the
same data from a randomized trial: intention-to-treat (ITT; "as randomized"),
observational ("as fed"), and instrumental variable (IV; by using randomization
as an "instrument" to achieve >=12 mo of breastfeeding). Design: This was a
cluster-randomized trial of a breastfeeding-promotion intervention.
Anthropometric measurements were obtained at birth and at 1, 2, 3, 6, 9, and 12
mo. Results: The 3 analytic approaches yielded different results. The ITT
approach showed more rapid growth in the first 2 mo among infants randomly
assigned to the breastfeeding-promotion intervention than among control infants,
with a decreasing difference over the ensuing months and nearly identical weight,
length, and body mass index by 12 mo. The observational analysis showed a
different trend: higher weight and length in infants who were breastfed >=12 mo
than in those who were breastfed <12 mo during the first 3 mo and no difference
by 6 mo, while infants who were breastfed <12 mo showed increasingly higher
weight and length from 6 to 12 mo. The IV analysis showed a temporal pattern that
was similar to that seen in the ITT analysis, but with larger (and less precise)
differences between infants breastfed for >=12 compared with <12 mo. Conclusions:
We observed major differences in experimental (ITT and IV) compared with
observational approaches to analyzing data obtained from the same children. These
approaches lead to opposite causal inferences about the relation between infant
feeding and growth and underline the importance of ensuring that the postulated
cause (feeding) temporally precedes its hypothesized effect (growth). This trial
is registered at http://www.isrctn.org/ as ISRCTN37687716.
PMID- 29635501
TI - Changes in susceptibility to life-threatening infections after treatment for
complicated severe malnutrition in Kenya.
AB - Background: Goals of treating childhood severe acute malnutrition (SAM), in
addition to anthropometric recovery and preventing short-term mortality, include
reducing the risks of subsequent serious infections. How quickly and how much the
risk of serious illness changes during rehabilitation are unknown but could
inform improving the design and scope of interventions. Objective: The aim of
this study was to investigate changes in the risk of life-threatening events
(LTEs) in relation to anthropometric recovery from SAM. Design: This was a
secondary analysis of a clinical trial including 1778 HIV-uninfected Kenyan
children aged 2-59 mo with complicated SAM, enrolled after the inpatient
stabilization phase of treatment, and followed for 12 mo. The main outcome was
LTEs, defined as infections requiring rehospitalization or causing death. We
examined anthropometric variables measured at months 1, 3, and 6 after enrollment
in relation to LTEs occurring during the 6 mo after each of these time points.
Results: Over 12 mo, there were 823 LTEs (257 fatal), predominantly severe
pneumonia and diarrhea. At months 1, 3, and 6, 557 (34%), 764 (49%), and 842
(56%) children had a weight-for-height or -length z score (WHZ) >=-2,
respectively, which, compared with a WHZ <-3, was associated with lower risks of
subsequent LTEs [adjusted HRs (95% CIs): 0.50 (0.40, 0.64), 0.30 (0.23, 0.39),
and 0.23 (0.16, 0.32), respectively]. However, children with a WHZ >=-2 at 1, 3,
and 6 mo still had 39 (95% CI: 32, 47), 26 (95% CI: 22, 32), and 15 (95% CI: 12,
20) LTEs/100 child-years of observation during the following 6 mo. WHZ at study
enrollment predicted subsequent WHZ but not the risk of LTEs. Changes in height
for-age z score did not predict LTEs. Conclusions: Anthropometric response was
associated with a rapid and substantial reduction in risk of LTEs. However,
reduction in susceptibility lagged behind anthropometric improvement. Disease
events, together with anthropometric assessment, may provide a clearer picture of
the effectiveness of interventions. Robust protocols for detecting and treating
poor anthropometric recovery and addressing broader vulnerabilities that
complicated SAM indicates may save lives. This trial was registered at
www.clinicaltrials.gov as NCT00934492.
PMID- 29635503
TI - Portion size and later food intake: evidence on the "normalizing" effect of
reducing food portion sizes.
AB - Background: Historical increases in the size of commercially available food
products have been linked to the emergence of a worldwide obesity crisis.
Although the acute effect that portion size has on food intake is well
established, the effect that exposure to smaller portion sizes has on future
portion size selection has not been examined. Objective: We tested whether
reducing a food portion size "renormalizes" perceptions of what constitutes a
normal amount of that food to eat and results in people selecting and consuming
smaller portions of that food in the future. Design: Across 3 experiments,
participants were served a larger or smaller portion of food. In experiments 1
and 2, participants selected and consumed a portion of that food 24 h later. In
experiment 3, participants reported on their preferred ideal portion size of that
food after 1 wk. Results: The consumption of a smaller, as opposed to a larger,
portion size of a food resulted in participants believing a "normal"-sized
portion was smaller (experiments 1-3, P <= 0.001), consuming less of that food 1
d later (experiments 1-2, P <= 0.003), and displaying a tendency toward choosing
a smaller ideal portion of that food 1 wk later (experiment 3, P = 0.07),
although the latter finding was not significant. Conclusion: Because consumer
preferences appear to be driven by environmental influences, reducing food
portion sizes may recalibrate perceptions of what constitutes a "normal" amount
of food to eat and, in doing so, decrease how much consumers choose to eat. This
trial was registered at www.clinicaltrials.gov as NCT03241576.
PMID- 29635504
TI - Identifying the effect of shelf nutrition labels on consumer purchases: results
of a natural experiment and consumer survey.
AB - Background: NuVal, developed by NuVal, LLC, is a shelf nutrition label that rates
the nutritional quality of foods on a scale of 1 (worst) to 100 (best).
Objective: The objective of this study was to explore the impact of the NuVal
labels on food-purchasing patterns. Design: In 2014, NuVal updated its nutrient
profiling system, which changed the NuVal score on many foods. We took advantage
of this "natural experiment" to assess the extent to which a change in the NuVal
score influenced purchases of yogurts, a category with a wide range of more and
less healthy alternatives. We supplemented these data with a survey of consumers
in stores using NuVal labels to obtain their experience with the labels and the
extent to which they state that the labels influence their purchases. Results:
Results suggested that a 1-point increase in the NuVal score is associated with a
0.49% increase in sales. Because only 8% of survey respondents reported using
NuVal to influence dairy purchases, the impact of a change in the score among
users may be >10 times the average effect. Conclusion: Results suggest that front
of-package nutrition labels are likely to influence purchasing patterns. This
trial was registered at www.clinicaltrials.gov as NCT03390075.
PMID- 29635505
TI - A small dose of whey protein co-ingested with mixed-macronutrient breakfast and
lunch meals improves postprandial glycemia and suppresses appetite in men with
type 2 diabetes: a randomized controlled trial.
AB - Background: Large doses of whey protein consumed as a preload before single high
glycemic load meals has been shown to improve postprandial glycemia in type 2
diabetes. It is unclear if this effect remains with smaller doses of whey co
ingested at consecutive mixed-macronutrient meals. Moreover, whether hydrolyzed
whey offers further benefit under these conditions is unclear. Objective: The aim
of this study was to investigate postprandial glycemic and appetite responses
after small doses of intact and hydrolyzed whey protein co-ingested with mixed
nutrient breakfast and lunch meals in men with type 2 diabetes. Design: In a
randomized, single-blind crossover design, 11 men with type 2 diabetes [mean +/-
SD age: 54.9 +/- 2.3 y; glycated hemoglobin: 6.8% +/- 0.3% (51.3 +/- 3.4
mmol/mol)] attended the laboratory on 3 mornings and consumed 1) intact whey
protein (15 g), 2) hydrolyzed whey protein (15 g), or 3) placebo (control)
immediately before mixed-macronutrient breakfast and lunch meals, separated by 3
h. Blood samples were collected periodically and were processed for insulin,
intact glucagon-like peptide 1 (GLP-1), gastric inhibitory polypeptide (GIP),
leptin, peptide tyrosine tyrosine (PYY3-36), and amino acid concentrations.
Interstitial glucose was measured during and for 24 h after each trial.
Subjective appetite was assessed with the use of visual analog scales. Results:
Total postprandial glycemia area under the curve was reduced by 13% +/- 3% after
breakfast following the intact whey protein when compared with control (P <
0.05). Hydrolyzed whey attenuated early glucose after breakfast when compared
with control (P < 0.05). Glycemia was improved postlunch after the intact whey
protein only when compared with control (P < 0.05). Greater satiety was observed
after the intact whey protein only after both meals when compared with control (P
< 0.05). Insulin concentrations increased after both the intact and hydrolyzed
whey protein, showing strong positive correlations with increases in valine and
isoleucine (P < 0.05). Incretin and appetite regulatory hormone responses were
similar across trials (P > 0.05). Conclusions: The consumption of a small 15-g
dose of intact whey protein immediately before consecutive mixed-macronutrient
meals improves postprandial glycemia, stimulates insulin release, and increases
satiety in men with type 2 diabetes. This trial was registered at
www.clinicialtrials.gov as NCT02903199.
PMID- 29635506
TI - The challenge of meeting prescribed carbohydrate intake goals in low-carbohydrate
diet studies.
PMID- 29635507
TI - Reply to JN Orloff et al.
PMID- 29635508
TI - Corrigendum for Al Khatib et al. Sleep extension is a feasible lifestyle
intervention in free-living adults who are habitually short sleepers: a potential
strategy for decreasing intake of free sugars? A randomized controlled pilot
study. Am J Clin Nutr 2018;107:43-53.
PMID- 29635509
TI - Evidence on the "normalizing" effect of reducing food-portion sizes.
PMID- 29635510
TI - Folic acid throughout pregnancy: too much?
PMID- 29635511
TI - Breastfeeding newborns and infants: some new food for thought about an old
practice.
PMID- 29635512
TI - Using total knee arthroplasty as a primary outcome measure is too "hard" in
lifestyle intervention trials in osteoarthritis.
PMID- 29635514
TI - PROFICIENCY TEST OF THE SSDL-ININ-MEXICO FOR THE CALIBRATION OF WELL-TYPE
CHAMBERS WITH HDR 192IR SOURCES USING TWO DIFFERENT DOSIMETRY CODES OF PRACTICE
FOR THE DETERMINATION OF REFERENCE AIR KERMA RATE KR.
AB - The results of the comparison between SSDL-ININ and SSDL-CPHR (pilot laboratory)
demonstrates the competence of the SSDL-ININ for the performance of the KR in
192Ir. The RININ/CHPR ratio for the calibration coefficients is 0.989 +/- 0.005.
The comparison uses three SI-HDR 1000-Plus as transfer chambers, series: A02423,
A941755 and A973052. CPHR used a secondary standard PTW 3304 chamber, s/n 154,
calibrated at PTB and ININ employed a secondary standard SI-90008 s/n A963391,
calibrated at NPL. To determine KR, the SSDL-CPHR used the IAEA TEC-DOC-1274 and
the SSDL-ININ used the IPEM (UK) code of practice. The latter uses a correction
factor by source's geometry, ksg. The results show that both codes are
equivalent; however, for the use of well chambers in the highlands or in
locations with reduced atmospheric pressure, it is needed to apply an additional
factor k'P, or, to design a well chamber with air-equivalent walls for the
application of the conventional kPT.
PMID- 29635513
TI - SNX14 mutations affect endoplasmic reticulum-associated neutral lipid metabolism
in autosomal recessive spinocerebellar ataxia 20.
AB - Mutations in SNX14 cause the autosomal recessive cerebellar ataxia 20 (SCAR20).
Mutations generally result in loss of protein although several coding region
deletions have also been reported. Patient-derived fibroblasts show disrupted
autophagy, but the precise function of SNX14 is unknown. The yeast homolog, Mdm1,
functions in endoplasmic reticulum (ER)-lysosome/vacuole inter-organelle
tethering, but functional conservation in mammals is still required. Here, we
show that loss of SNX14 alters but does not block autophagic flux. In addition,
we find that SNX14 is an ER-associated protein that functions in neutral lipid
homeostasis and inter-organelle crosstalk. SNX14 requires its N-terminal
transmembrane helices for ER localization, while the Phox homology (PX) domain is
dispensable for subcellular localization. Both SNX14-mutant fibroblasts and
SNX14KO HEK293 cells accumulate aberrant cytoplasmic vacuoles, suggesting defects
in endolysosomal homeostasis. However, ER-late endosome/lysosome contact sites
are maintained in SNX14KO cells, indicating that it is not a prerequisite for ER
endolysosomal tethering. Further investigation of SNX14- deficiency indicates
general defects in neutral lipid metabolism. SNX14KO cells display distinct
perinuclear accumulation of filipin in LAMP1-positive lysosomal structures
indicating cholesterol accumulation. Consistent with this, SNX14KO cells display
a slight but detectable decrease in cholesterol ester levels, which is
exacerbated with U18666A. Finally, SNX14 associates with ER-derived lipid
droplets (LD) following oleate treatment, indicating a role in ER-LD crosstalk.
We therefore identify an important role for SNX14 in neutral lipid homeostasis
between the ER, lysosomes and LDs that may provide an early intervention target
to alleviate the clinical symptoms of SCAR20.
PMID- 29635515
TI - Defensive medicine among antibiotic stewards: the international ESCMID
AntibioLegalMap survey.
AB - Objectives: To investigate fear of legal claims and defensive behaviours among
specialists in infectious diseases (ID) and clinical microbiology (CM) and to
identify associated demographic and professional characteristics. Methods:
AntibioLegalMap was an international cross-sectional internet-based survey
targeting specialists in ID and CM. Three variables were explored: fear of legal
liability in antibiotic prescribing/advising on antibiotic prescription;
defensive behaviours in antibiotic prescribing; and defensive behaviours in
advising. A multivariable logistic regression analysis was performed to identify
factors significantly associated with each of the three variables. Results: Eight
hundred and thirty individuals from 74 countries participated. Only 0.4% (3/779)
had any kind of condemnation for malpractice related to antibiotic prescription.
Concerning the fear of liability, 21.2% (164/774) of respondents said they never
worried, 45.1% (349/774) sometimes worried and 28.6% (221/774) frequently worried
when prescribing/advising on antibiotic prescription. Being female, younger than
or equal to 35 years and aware of previous cases of litigation were independently
associated with fear. Most respondents (85.0%, 525/618) reported some defensive
behaviour in antibiotic prescribing. These behaviours were independently
associated with being younger than or equal to 35 years and sometimes or often
worried about liability. Similarly, 76.4% (505/661) reported defensive behaviours
in advising. These behaviours were associated with being sometimes or often
worried about liability. The preferred measures to reduce fear and defensive
behaviours were having local guidelines and sharing decisions through teamwork.
Conclusions: A significant proportion of specialists in ID and CM reported some
form of defensive behaviour in prescribing or advising to prescribe antibiotics.
Defensive medicine should be considered when implementing antibiotic stewardship
programmes.
PMID- 29635517
TI - S100A12 and S100A8/9 proteins are biomarkers of articular disease activity in
Blau syndrome.
AB - Objective: To identify biomarkers of articular and ocular disease activity in
patients with Blau syndrome (BS). Methods: Multiplex plasma protein arrays were
performed in five BS patients and eight normal healthy volunteers (NHVs). Plasma
S100A12 and S100A8/9 were subsequently measured by ELISA at baseline and 1-year
follow-up in all patients from a prospective multicentre cohort study. CRP was
measured using Meso Scale Discovery immunoassay. Active joint counts,
standardization uveitis nomenclature for anterior uveitis cells and vitreous haze
by Nussenblatt scale were the clinical parameters. Results: Multiplex Luminex
arrays identified S100A12 as the most significantly elevated protein in five
selected BS vs eight NHVs and this was confirmed by ELISA on additional samples
from the same five BS patients. In the patient cohort, S100A12 (n = 39) and
S100A8/9 (n = 33) were significantly higher compared with NHVs (n = 44 for
S100A12, n = 40 for S100A8/9) (P = 0.0000004 and P = 0.0003, respectively).
Positive correlations between active joint counts and S100 levels were
significant for S100A12 (P = 0.0008) and S100A8/9 (P = 0.015). CRP levels did not
correlate with active joint count. Subgroup analysis showed significant
association of S100 proteins with active arthritis (S100A12 P = 0.01, S100A8/9 P
= 0.008). Active uveitis was not associated with increased S100 levels.
Conclusion: S100 proteins are biomarkers of articular disease activity in BS and
potential outcome measures in future clinical trials. As secreted neutrophil and
macrophage products, S100 proteins may reflect the burden of granulomatous tissue
in BS.
PMID- 29635516
TI - Metabolomic studies identify changes in transmethylation and polyamine metabolism
in a brain-specific mouse model of tuberous sclerosis complex.
AB - Tuberous sclerosis complex (TSC) is an autosomal dominant neurodevelopmental
disorder and the quintessential disorder of mechanistic Target of Rapamycin
Complex 1 (mTORC1) dysregulation. Loss of either causative gene, TSC1 or TSC2,
leads to constitutive mTORC1 kinase activation and a pathologically anabolic
state of macromolecular biosynthesis. Little is known about the organ-specific
metabolic reprogramming that occurs in TSC-affected organs. Using a mouse model
of TSC in which Tsc2 is disrupted in radial glial precursors and their neuronal
and glial descendants, we performed an unbiased metabolomic analysis of
hippocampi to identify Tsc2-dependent metabolic changes. Significant metabolic
reprogramming was found in well-established pathways associated with mTORC1
activation, including redox homeostasis, glutamine/tricarboxylic acid cycle,
pentose and nucleotide metabolism. Changes in two novel pathways were identified:
transmethylation and polyamine metabolism. Changes in transmethylation included
reduced methionine, cystathionine, S-adenosylmethionine (SAM-the major methyl
donor), reduced SAM/S-adenosylhomocysteine ratio (cellular methylation
potential), and elevated betaine, an alternative methyl donor. These changes were
associated with alterations in SAM-dependent methylation pathways and expression
of the enzymes methionine adenosyltransferase 2A and cystathionine beta synthase.
We also found increased levels of the polyamine putrescine due to increased
activity of ornithine decarboxylase, the rate-determining enzyme in polyamine
synthesis. Treatment of Tsc2+/- mice with the ornithine decarboxylase inhibitor
alpha-difluoromethylornithine, to reduce putrescine synthesis dose-dependently
reduced hippocampal astrogliosis. These data establish roles for SAM-dependent
methylation reactions and polyamine metabolism in TSC neuropathology.
Importantly, both pathways are amenable to nutritional or pharmacologic therapy.
PMID- 29635518
TI - Presence of aggregates of smooth endoplasmic reticulum in MII oocytes affects
oocyte competence: molecular-based evidence.
AB - STUDY QUESTION: Does the presence of aggregates of smooth endoplasmic reticulum
(SERa) impact the transcriptome of human metaphase II (MII) oocytes?. SUMMARY
ANSWER: The presence of SERa alters the molecular status of human metaphase II
oocytes. WHAT IS KNOWN ALREADY: Oocytes presenting SERa are considered
dysmorphic. Oocytes with SERa (SERa+) have been associated with reduced
embryological outcome and increased risk of congenital anomalies, although some
authors have reported that SERa+ oocytes can lead to healthy newborns. The
question of whether or not SERa+ oocytes should be discarded is still open for
debate, and no experimental information about the effect of the presence of SERa
on the oocyte molecular status is available. STUDY DESIGN, SIZE, DURATION: This
study included 28 women, aged <38 years, without any ovarian pathology, and
undergoing IVF treatment. Supernumerary MII oocytes with no sign of morphological
alterations as well as SERa+ oocytes were donated after written informed consent.
A total of 31 oocytes without SERa (SERa-) and 24 SERa+ oocytes were analyzed.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Pools of 8-10 oocytes for both group
were prepared. Total RNA was extracted from each pool, amplified, labeled and
hybridized on oligonucleotide microarrays. Analyses were performed by R software
using the limma package. MAIN RESULTS AND THE ROLE OF CHANCE: The expression
profiles of SERa+ oocytes significantly differed from those of SERa- oocytes in
488 probe sets corresponding to 102 down-regulated and 283 up-regulated unique
transcripts. Gene Ontology analysis by DAVID bioinformatics disclosed that genes
involved in three main biological processes were significantly down-regulated in
SERa+ oocytes respective to SERa- oocytes: (i) cell and mitotic/meiotic nuclear
division, spindle assembly, chromosome partition and G2/M transition of mitotic
cell cycle; (ii) organization of cytoskeleton and microtubules; and (iii)
mitochondrial structure and activity. Among the transcripts up-regulated in SERa+
oocytes, the most significantly (P = 0.002) enriched GO term was 'GoLoco motif',
including the RAP1GAP, GPSM3 and GPSM1 genes. LARGE SCALE DATA: Raw microarray
data are accessible through GEO Series accession number GSE106222
(https://www.ncbi.nlm.nih.gov/geo/query/acc.cgi?acc=GSE106222). LIMITATIONS,
REASONS FOR CAUTION: Data validation in a larger cohort of samples would be
beneficial, although we applied stringent criteria for gene selection (fold
change >3 or <1/3 and FDR < 0.1). Surveys on clinical outcomes, malformation
rates and follow-up of babies born after transfer of embryos from SERa+ oocytes
are necessary. WIDER IMPLICATIONS OF THE FINDINGS: We provide information on the
molecular status of SERa+ oocytes, highlighting possible associations between
presence of SERa, altered oocyte physiology and reduced developmental competence.
Our study may offer further information that can assist embryologists to make
decisions on whether, and with what possible implications, SERa+ oocytes should
be used. We believe that the presence of SERa should be still a 'red flag' in IVF
practices and that the decision to inseminate SERa+ oocytes should be discussed
on a case-by-case basis. STUDY FUNDING/COMPETING INTEREST(s): This study was
partially supported by Ferring Pharmaceuticals. The authors have no conflicts of
interest to declare.
PMID- 29635519
TI - CoERG11 A395T mutation confers azole resistance in Candida orthopsilosis clinical
isolates.
AB - Background: Candida orthopsilosis is a human fungal pathogen responsible for a
wide spectrum of symptomatic infections. Evidence suggests that C. orthopsilosis
is mainly susceptible to azoles, the most extensively used antifungals for
treatment of these infections. However, fluconazole-resistant clinical isolates
are reported. Objectives: This study evaluated the contribution of a single amino
acid substitution in the azole target CoErg11 to the development of azole
resistance in C. orthopsilosis. Methods: C. orthopsilosis clinical isolates (n =
40) were tested for their susceptibility to azoles and their CoERG11 genes were
sequenced. We used a SAT1 flipper-driven transformation to integrate a mutated
CoERG11 allele in the genetic background of a fluconazole-susceptible isolate.
Results: Susceptibility testing revealed that 16 of 40 C. orthopsilosis clinical
isolates were resistant to fluconazole and to at least one other azole. We
identified an A395T mutation in the CoERG11 coding sequence of azole-resistant
isolates only that resulted in the non-synonymous amino acid substitution Y132F.
The SAT1 flipper cassette strategy led to the creation of C. orthopsilosis
mutants that carried the A395T mutation in one or both CoERG11 alleles
(heterozygous or homozygous mutant, respectively) in an azole-susceptible genetic
background. We tested mutant strains for azole susceptibility and for hot-spot
locus heterozygosity. Both the heterozygous and the homozygous mutant strains
exhibited an azole-resistant phenotype. Conclusions: To the best of our
knowledge, these findings provide the first evidence that the CoErg11 Y132F
substitution confers multi-azole resistance in C. orthopsilosis.
PMID- 29635520
TI - Symptomatic Adjacent Level Disease Requiring Surgery: Analysis of 10-Year Results
From a Prospective, Randomized, Clinical Trial Comparing Cervical Disc
Arthroplasty to Anterior Cervical Fusion.
AB - BACKGROUND: Ten-year follow-up data from the US Food and Drug Administration
investigational device exemption trial comparing BRYAN(r) Cervical Disc
(Medtronic, Dublin, Ireland) arthroplasty to anterior cervical discectomy and
fusion (ACDF) demonstrated that disc arthroplasty maintained range of motion and
improvements in overall success and neck disability. OBJECTIVE: To compare the 10
yr rates of symptomatic adjacent level disease requiring surgery (SALDRS).
METHODS: Prospective randomized trial data were analyzed comparing BRYAN(r)
Cervical Disc arthroplasty to ACDF for single-level cervical disc disease with
concordant radiculopathy or myelopathy with clinicoradiographic analysis at 10
yr. Secondarily, 84-mo data were pooled with PRESTIGE(r) Cervical Disc
arthroplasty (Medtronic) study data to provide overall rates of SALDRS. RESULTS:
Significantly greater overall success was maintained at every postoperative
interval with an overall success rate of 81.3% with BRYAN(r) disc and 66.3% with
ACDF (P = .005) without loss of motion preservation (8.69 degrees vs 0.60
degrees ). Reoperation at adjacent levels up to the 120-mo visit was 9.7% in the
arthroplasty group and 15.8% in the ACDF group (P = .146). The combined data from
BRYAN(r) and Prestige ST demonstrate that BRYAN(r) and Prestige disc groups had a
lower rate of second surgeries at the adjacent levels, up to the 84-mo visit,
compared to the combined ACDF groups (6.9% vs 11.7%; P = .023). CONCLUSION:
Compared with ACDF, fewer patients with the BRYAN(r) disc required surgery for
symptomatic adjacent level degeneration, but this did not achieve statistical
significance. Analysis of combined study data using Bryan and Prestige discs
shows significant differences in SADLRS as early as 7 yr.
PMID- 29635521
TI - Getting the balance right-tackling the obesogenic environment by reducing
unhealthy options in a hospital shop without affecting profit.
AB - Background: UK hospitals have been criticized for fuelling obesity by allowing
contracts with food retailers selling high fat and high-sugar products on
hospital premises. Methods: We assessed the impact for a major retailer of
increasing healthy food choices at their Royal Free London NHS Foundation Trust
outlet. To assess the impact on sales, profit and acceptability to customers, a
multi-component intervention based on behavioural insights theory was enacted
over 2 months (November-December 2014) at the Royal Free site WHSmith. Sales data
on all food and drink were assessed over three time periods: (i) 2 months
immediately prior to, and (ii) immediately after the intervention, and (iii) the
equivalent period 10 months later. Acceptability to customers was assessed via
questionnaires, and profit assessed as a proxy for retailer satisfaction.
Results: Compared to the pre-intervention period, total sales increased
immediately after the intervention, and again 10 months after the intervention.
Sales of healthier options increased as a proportion of total sales following the
intervention, sales of sweets and chocolates decreased, while the relative sales
of other items remained similar. Conclusions: We demonstrated that healthier
alternatives could be provided in a hospital retail premises without negatively
affecting total sales, retailer or customer satisfaction.
PMID- 29635522
TI - Contemporary Factors Associated with the Use of Laparoscopy for Inguinal Hernia
Repair Among Department of Defense Beneficiaries.
AB - Introduction: The factors that contribute to variation in utilization of
laparoscopic inguinal hernia repair are unknown. We sought to determine the
current usage patterns of laparoscopic and open surgery in the elective repair of
uncomplicated unilateral inguinal hernia in a large population with universal
health care coverage comprised of Department of Defense (DoD) beneficiaries.
Materials and methods: The DoD Military Health System Data Repository (MDR)
tracks health care delivered to a universally insured population of
active/reserve/retired members of the U.S. Armed Services and their dependents.
The MDR was queried for elective unilateral inguinal hernia repair among adult
patients between 2008 and 2014. The primary outcome was laparoscopic (vs. open)
approach to hernia repair. We conducted univariable and multivariable analyses of
patient- and systems-level factors associated with approach to inguinal hernia
repair. This research was approved by our institutional review board prior to
commencement of the study and need for informed consent was waived given the
design of this study. Results: Among 37,742 elective uncomplicated unilateral
inguinal hernia repairs, 35% (n = 13,114) were performed laparoscopically. In
2014, 40% of inguinal hernia repairs were performed laparoscopically, compared
with 27% of repairs in 2008 (P < 0.01). In multivariable analysis, laparoscopic
hernia repair was more likely for male patients (OR = 1.38, 95% CI = 1.23-1.54, P
< 0.01), military (vs. civilian) institutions (OR = 1.34, 95% CI = 1.28-1.41, P <
0.01), active-duty officers (vs. active-duty enlisted; OR = 1.21, 95% CI = 1.12
1.30, P < 0.01), and more recent year of surgery (P < 0.01). Laparoscopic repair
was significantly less likely among patients with greater than one comorbidity
(vs. none; OR = 0.68, 95% CI = 0.61-0.76, P < 0.01). Conclusion: In a large,
universally insured population of military service members and their dependents,
laparoscopic inguinal repair is increasingly used and was preferred over open
repair for younger, healthier, active-duty patients and those treated within the
military (vs. non-military) care system.
PMID- 29635523
TI - Early Tympanoplasty Using a Synthetic Biomembrane for Military-Related Blast
Induced Large Tympanic Membrane Perforation.
AB - Introduction: Blast injuries in modern warfare are common, and tympanic
perforation is often found. Spontaneous closures of large perforations that
encompass greater than 80% of the tympanic surface are rare. Early closure of the
tympanic membrane avoids the immediate infectious risk, which potentially
complicates the initial management of these war-wounded patients, and allows for
safe and early recovery of military activity. This study compared the outcomes of
spontaneous closures and early biomembrane myringoplasty in subjects with large
blast injury-induced tympanic perforation following a massive explosion.
Materials and Methods: This is a retrospective, observational, cohort study
military troops with large barotraumatic tympanic membrane perforation. The study
investigates early surgical tympanoplasty versus observation for spontaneous
closure. The hearing loss, tympanic perforation closure rate, and closure time
were noted. Results: Fourteen patients (19 ears) were referred from May 2008 to
April 2017, and 6 patients (9 ears) underwent early myringoplasty. A total of 89%
(n = 8) and 100% (n = 9) of the ears exhibited successful sealing of the
perforation at one and 6 mo, respectively. In contrast, 60% (n = 6) of the 10
ears (8 patients) without initial myringoplasty did not heal spontaneously at 6
mo, and these ears underwent a delayed tympanoplasty procedure. Notably, patients
with early myringoplasty suffered lower conductive hearing loss and fewer
functional signs remotely. Conclusion: Early myringoplasty using a biomembrane
for blast injury-induced large tympanic perforation is a fast and minimally
invasive method to achieve earlier tympanic closure and a higher closure rate for
safe recovery of activity. It can be performed under general anesthesia
concurrently with surgery for additional body-wide trauma. The deployment of ENT
surgeons on the battlefield in the French Army has enabled early management of
these patients.
PMID- 29635524
TI - Placental defects are involved in most gene mutations that cause embryonic and
fetal death.
PMID- 29635525
TI - Effects of isoniazid resistance on TB treatment outcomes under programmatic
conditions in a high-TB and -HIV setting: a prospective multicentre study
authors' response.
PMID- 29635526
TI - Oncological and peri-surgical outcomes of radical prostatectomy for non
metastatic prostate cancer with prostate-specific antigen level of 50 ng/ml or
greater.
AB - Background: The role of radical prostatectomy in treating non-metastatic prostate
cancer patients with high prostate-specific antigen levels remains unclear. We
evaluated the feasibility and oncological outcomes of radical prostatectomy in
non-metastatic prostate cancer patients with prostate-specific antigen levels of
50 ng/ml or higher. Methods: This retrospective study included 31 patients who
were diagnosed as very high-risk prostate cancer (clinical stage of any T, N0-1
M0 and PSA levels >=50 ng/ml) and underwent radical prostatectomy either as a
monotherapy or as a component of multimodal therapy (RP group). Surgery-related
complications were investigated. Time to castration-resistant prostate cancer,
cancer-specific survival, and overall survival were estimated using the Kaplan
Meier method. A total of 47 patients with very high-risk prostate cancer who were
treated with androgen deprivation therapy without local therapy served as a
control group (ADT group). Survivals were compared between RP group and ADT group
in exploratory analyses. Results: The median pretreatment prostate-specific
antigen was 87 ng/ml and 100 ng/ml in the RP and ADT groups, respectively (P =
0.67). Surgical complications of Clavien-Dindo Grade 3 were documented in nine
patients (29%). Ten-year castration-resistant prostate cancer-free, cancer
specific and overall survivals were 78%, 81% and 77% in RP group, respectively,
and they were significantly better than those of ADT group (54%, P = 0.006; 54%,
P = 0.006 and 38%, P < 0.001). Exploratory multivariate analysis identified
radical prostatectomy as the only significant factor associated with a better
cancer-specific survival (hazard ratio: 0.25, P = 0.006). Conclusions: Radical
prostatectomy is feasible for non-metastatic prostate cancer patients with
prostate-specific antigen levels of 50 ng/ml or higher. Radical prostatectomy is
a viable option for select patients with non-metastatic, very high-risk prostate
cancer.
PMID- 29635527
TI - Impact of intensification with raltegravir on HIV-1-infected individuals
receiving monotherapy with boosted PIs.
AB - Background: Monotherapy with ritonavir-boosted PIs (PI/r) has been used to
simplify treatment of HIV-1-infected patients. In previous studies raltegravir
intensification evidenced ongoing viral replication and reduced T cell
activation, preferentially in subjects receiving PI-based triple ART. However,
data about low-level viral replication and its consequences in patients receiving
PI/r monotherapy are scarce. Methods: We evaluated the impact of 24 weeks of
intensification with raltegravir on markers of viral persistence, cellular immune
activation and inflammation biomarkers in 33 patients receiving maintenance PI/r
monotherapy with darunavir or lopinavir boosted with ritonavir.
ClinicalTrials.gov identifier: NCT01480713. Results: The addition of raltegravir
to PI/r monotherapy resulted in a transient increase in 2-LTR (long-terminal
repeat) circles in a significant proportion of participants, along with decreases
in CD8+ T cell activation levels and a temporary increase in the expression of
the exhaustion marker CTLA-4 in peripheral T lymphocytes. Intensification with
raltegravir also reduced the number of samples with intermediate levels of
residual viraemia (10-60 HIV-1 RNA copies/mL) compared with samples taken during
PI/r monotherapy. However, there were no changes in cell-associated HIV-1 DNA in
peripheral CD4+ T cells or soluble inflammatory biomarkers (CD14, IP-10, IL-6, C
reactive protein and D-dimer). Conclusions: Intensification of PI/r monotherapy
with raltegravir revealed persistent low-level viral replication and reduced
residual viraemia in some patients during long-term PI/r monotherapy. The
concomitant change in T cell phenotype suggests an association between active
viral production and T cell activation. These results contribute to understanding
the lower efficacy rates of PI/r monotherapies compared with triple therapies in
clinical trials.
PMID- 29635528
TI - Tocilizumab concentrations in maternal serum and breast milk during breastfeeding
and a safety assessment in infants: a case study.
PMID- 29635529
TI - Acute Encephalitis Syndrome in Eastern Uttar Pradesh, India: Changing Etiological
Understanding.
AB - Seasonal outbreaks of acute encephalitis syndrome (AES) with high case fatality
have been occurring in Gorakhpur division in Eastern Uttar Pradesh, India, for
more than three decades. Japanese encephalitis virus (JEV) accounted for <10% of
AES cases, while the etiology of the remaining cases remained largely unknown.
Investigations conducted during the 2014 and 2015 outbreaks indicated Orientia
tsutsugamushi (Haruo Hayashi 1920) (Norio Ogata 1929) Tamura et al. 1995
(Rickettsiales: Rickettsiaceae) as the etiology in about 60% of AES cases.
Hospital-based surveillance studies indicated that about one-fifth of the
patients with acute febrile illness were due to scrub typhus. Further studies are
required to identify the etiology of about a third of AES cases that test
negative for scrub typhus, JEV, or dengue.
PMID- 29635530
TI - The Impact of Stressful Life Events on the Incidence of Type 2 Diabetes in U.S.
Adults from the Health and Retirement Study.
AB - Objectives: We evaluated the association between cumulative stressful life events
(SLE) and type of stress (lifetime vs. recent) and incident diabetes (T2DM) in
middle-aged U.S. adults. Methods: Data from the 2006-2014 waves of the Health and
Retirement Study (HRS) were analyzed (n = 7956). Stress-related differences in
age at T2DM diagnosis were estimated using Cox proportional hazards models.
Results: The adjusted risk of T2DM significantly increased by six percent per
unit increase in cumulative SLE (95% CI = 1.03, 1.11), by five percent per unit
increase in lifetime stress (95% CI = 1.00, 1.09), and by 23% per unit increase
in recent stress (95% CI = 1.12, 1.36). Each level of cumulative SLE (one, two,
three, and > four events) and recent stress (one and > two events) compared to no
stress was significantly associated with an increased risk of T2DM. Each level of
lifetime stress compared to no stress was significantly associated with an
elevated risk of T2DM except for three events. Discussion: Cumulative SLE and
type of stress were associated with incident T2DM in middle-aged adults. Reducing
the direct effect of stress with management interventions may reduce the indirect
effect of developing T2DM and warrants further investigation.
PMID- 29635531
TI - Independent Predictors for Higher Postoperative Pain Intensity During Recovery
After Open Thoracic Surgery: A Retrospective Analysis in 621 Patients.
AB - Objective: To evaluate two standard procedure-specific pain regimens and to
assess independent predictors for higher pain intensity after thoracic surgery.
Methods: Patients received either oral opioid analgesia (Opioid Group) or
epidural analgesia and were then bridged to systemic opioid analgesia (EDA + O
Group) in this retrospective observational study. Medical history, discharge
letters, anesthetic protocols, and pain protocols were evaluated in 621 patients
after open thoracotomy and assessed with a stepward back elimination in a
multivariate logistic regression model. Results: Data of 621 thoracotomies in
2014 were analyzed, 309 patients in the Opioid Group and 312 patients in the EDA
+ O Group. Pain scores at rest and on coughing were significantly lower in the
EDA + O Group on postoperative days (PODs) 1-4 (P < 0.001). Stepwise backward
elimination in multivariate logistic regression identified preexisting pain
disease (P = 0.034), no epidural analgesia (P < 0.001), opioids in preoperative
pain therapy (P < 0.001), and antidepressant medication (P = 0.003) as
independent risk factors for higher pain intensity at rest on PODs 1-4. Same on
PODs 5-8 with regard to opioids in preoperative pain therapy (P < 0.001) and
antidepressant medication (P = 0.018). Moreover, on PODs 5-8, male gender had a
lower risk (P < 0.003) for pain, and preexisting musculosceletal disease had a
lower risk for more postoperative pain (P = 0.009). On coughing, male gender and
younger age proved to have a lower risk for postoperative pain on PODs 1-8 and on
PODs 1-4, respectively. Opioids in preexisting pain therapy and antidepressant
medication were identified as risk factors for pain on PODs 1-8 on coughing, and
pain disease was identified as a risk factor for more pain on PODs 1-4 (P =
0.041). Moreover, preexisting cardiac disease indicated more pain on PODs 1-4 (P
= 0.05), and musculoskeletal disease and neurological disease indicated more pain
on PODs 5-8 (P = 0.041, and P = 0.023). Conclusions: We present data on
independent risk factors for higher pain intensity during recovery after
thoracotomy. The lack of postoperative epidural analgesia, female gender,
preexisting opioid pain therapy, and chronic pain are the strongest risk factors
for higher pain intensity. Antidepressant medication was identified as an
independent risk factor at rest and on coughing on all PODs. Study limitations:
The study design is retrospective.
PMID- 29635532
TI - Transmural circumflex infarction in a young Fontan patient: to leave home to find
it.
PMID- 29635533
TI - Effect of Military Deployment on Diabetes Mellitus in Air Force Personnel.
AB - Introduction: Military deployments relocate service members to austere locations
with limited medical capabilities, raising uncertainties whether members with
diabetes can participate safely. Military regulations require a medical clearance
for service members with diabetes prior to deployment, but there is a dearth of
data that can guide the provider in this decision. To alleviate the lack of
evidence in this area, we analyzed the change in glycated hemoglobin (HbA1c) and
body mass index (BMI) before and after a deployment among active duty U.S. Air
Force personnel who deployed with diabetes. Materials and Methods: A
retrospective analysis was conducted using HbA1c and BMI values obtained within 3
mo before and within 3 mo after repatriation from a deployment of at least 90 d
between January 1, 2004 through December 31, 2014. The study population consisted
of 103 and 195 subjects who had an available pre- and post-deployment HbA1c and
BMI values, respectively. Paired t-tests were conducted to determine significant
differences in HbA1C and BMI values. Results: The majority (73.8%) of members had
a HbA1c <7.0% (53 mmol/mol) prior to deployment. For the overall population,
HbA1c before and after deployment decreased from 6.7% (50 mmol/mol) to 6.5% (40
mmol/mol) (p = 0.03). Subgroup analysis demonstrated a significant decline in
HbA1c among males, those aged 31-40 yr, and those with a pre-deployment HbA1c of
>7%. BMI declined for the overall population (28.3 kg/m2 vs. 27.7 kg/m2, p <
0.0001) and for most of the subgroups. Conclusion: Air Force service members who
deployed with diabetes, including those with a HbA1c > 7%, experienced a
statistically significant improvement in HbA1c and BMI upon repatriation. A
prospective study design in the future can better reconcile the effect of a
military deployment on a more comprehensive array of diabetes parameters.
PMID- 29635534
TI - Efficacy of heparinoid moisturizer as a prophylactic agent for radiation
dermatitis following radiotherapy after breast-conserving surgery: a randomized
controlled trial.
AB - Background: The application of heparinoid moisturizer for 2 weeks following whole
breast radiotherapy (WBRT) was previously reported to significantly increase skin
water content (WC) and help improve skin dryness and desquamation. The
prospective open-label, randomized trial included an exploratory arm to
investigate the preventive efficacy of heparinoid moisturizer for acute radiation
dermatitis (ARD). Methods: Between April 2011 and April 2013, patients receiving
WBRT were assigned (1:2:2) to receive either: moisturizer for prophylaxis (group
P), moisturizer starting 2 weeks after WBRT for treatment (group M), and no
moisturizer (group C). This paper presents the results of comparison between the
exploratory arm and no moisturizer group. Skin WC was measured prior to WBRT, on
the last day of WBRT, and 2 weeks, 4 weeks and 3 months following WBRT. Signs and
symptoms were also assessed. Results: Comparing two groups, WC values were
significantly higher in group P until 4 weeks following WBRT. At 2 weeks
following WBRT, mean WC values in group P and C were 38.5 +/- 6.1 arbitrary units
(a.u.) and 30.2 +/- 7.8 a.u., respectively (P < 0.001). In group C, dryness was
more severe at 2 and 4 weeks following WBRT and desquamation more severe until 3
months following WBRT. However, the erythema score showed no difference between
the two groups. Regarding symptoms, group C pain scores on the last day of WBRT
were significantly higher than in group P (P < 0.030). Conclusions: The
preventive application of heparinoid moisturizer has the potential of reducing
skin desquamation and dryness in patients receiving WBRT.
PMID- 29635535
TI - Defective gene expression of the membrane complement inhibitor CD46 in patients
with progressive immunoglobulin A nephropathy.
AB - Background: Complement is thought to play a role in immunoglobulin A nephropathy
(IgAN), though the activating mechanisms are unknown. This study focused on the
gene expression of CD46 and CD55, two key molecules for regulating C3 convertase
activity of lectin and alternative complement pathways at a cellular level.
Methods: The transcriptional expression in peripheral white blood cells (WBCs) of
CD46 and CD55 was investigated in 157 patients enrolled by the Validation of the
Oxford Classification of IgAN group, looking for correlations with clinical and
pathology features and estimated glomerular filtration rate (eGFR) modifications
from renal biopsy to sampling. Patients had a previous median follow-up of 6.4
(interquartile range 2.8-10.7) years and were divided into progressors and non
progressors according to the median value of their velocity of loss of renal
function per year (-0.41 mL/min/1.73 m2/year). Results: CD46 and CD55 messenger
RNA (mRNA) expression in WBCs was not correlated with eGFR values or proteinuria
at sampling. CD46 mRNA was significantly correlated with eGFR decline rate as a
continuous outcome variable (P = 0.014). A significant difference was found in
CD46 gene expression between progressors and non-progressors (P = 0.013). CD46
and CD55 mRNA levels were significantly correlated (P < 0.01), although no
difference between progressors and non-progressors was found for CD55 mRNA
values. The prediction of progression was increased when CD46 and CD55 mRNA
expressions were added to clinical data at renal biopsy (eGFR, proteinuria and
mean arterial blood pressure) and Oxford MEST-C (mesangial hypercellularity,
endocapillary hypercellularity, segmental glomerulosclerosis, tubular
atrophy/interstitial fibrosis, presence of any crescents) score. Conclusions:
Patients with progressive IgAN showed lower expression of mRNA encoding for the
complement inhibitory protein CD46, which may implicate a defective regulation of
C3 convertase with uncontrolled complement activation.
PMID- 29635536
TI - Stereotactic body radiotherapy (SBRT) for Stage I lung cancer.
AB - Stereotactic body radiation therapy (SBRT) is a newly developed technique
currently in clinical use. SBRT originated from stereotactic radiosurgery (SRS)
for intracranial tumors. Since the 1990s, SBRT has been widely used in clinical
settings for the treatment of lung cancer. We review the history and current
standard techniques. Previous clinical studies of lung cancer showed high local
control rates with acceptable toxicities. Past and on-going clinical trials are
also reviewed.
PMID- 29635537
TI - Revision of the medically relevant species of the yeast genus Diutina.
AB - Diutina (Candida) rugosa is emerging as a causative agent of human infections.
Recently some close relatives have been described, that is, D. mesorugosa, D.
pseudorugosa, and D. neorugosa, some of which have also been implicated in human
infection. Phylogenetic relationships of 24 clinical isolates of the D. rugosa
complex are reconstructed using multilocus sequence analysis of five housekeeping
genes, supplemented with phenotypic studies of CandiSelectTM 4 Agar and
nutritional physiology. Diutina mesorugosa could not meaningfully be
distinguished from D. rugosa and is regarded as a synonym. Diutina neorugosa and
D. pseudorugosa represent separate, distantly related species within the genus
Diutina, but have as yet not been encountered in clinical settings.
PMID- 29635538
TI - Genome Re-Sequencing of Diverse Sweet Cherry (Prunus avium) Individuals Reveals a
Modifier Gene Mutation Conferring Pollen-Part Self-Compatibility.
AB - The S-RNase-based gametophytic self-incompatibility (GSI) reproduction barrier is
important for maintaining genetic diversity in species of the families
Solanaceae, Plantaginaceae and Rosaceae. Among the plant taxa with S-RNase-based
GSI, Prunus species in the family Rosaceae exhibit Prunus-specific self
incompatibility (SI). Although pistil S and pollen S determinants have been
identified, the mechanism underlying SI remains uncharacterized in Prunus
species. A putative pollen-part modifier was identified in this study. Disruption
of this modifier supposedly confers self-compatibility (SC) to sweet cherry
(Prunus avium) 'Cristobalina'. To identify the modifier, genome re-sequencing
experiments were completed involving sweet cherry individuals from 18 cultivars
and 43 individuals in two segregating populations. Cataloging of subsequences (35
bp kmers) from the obtained genomic reads, while referring to the mRNA sequencing
data, enabled the identification of a candidate gene [M locus-encoded GST
(MGST)]. Additionally, the insertion of a transposon-like sequence in the
putative MGST promoter region in 'Cristobalina' down-regulated MGST expression
levels, probably leading to the SC of this cultivar. Phylogenetic, evolutionary
and gene expression analyses revealed that MGST may have undergone lineage
specific evolution, and the encoded protein may function differently from the
corresponding proteins encoded by GST orthologs in other species, including
members of the subfamily Maloideae (Rosaceae). Thus, MGST may be important for
Prunus-specific SI. The identification of this novel modifier will expand our
understanding of the Prunus-specific GSI system. We herein discuss the possible
functions of MGST in the Prunus-specific GSI system.
PMID- 29635539
TI - The Initial Impact of Tele-Critical Care on the Surgical Services of a Community
Military Hospital.
AB - Introduction: Mortality is reduced in hospitals staffed with intensivists,
however, many smaller military hospitals lack intensivist support. Naval Hospital
Camp Pendleton (NHCP) is a Military Treatment Facility (MTF) that operates a 6
bed Intensive Care Unit (ICU) north of its referral center, Naval Medical Center
San Diego (NMCSD). To address a gap in NHCP on-site intensivist coverage, a
comprehensive Tele-Critical Care (TCC) support system was established between
NHCP and NMCSD. To examine the initial impact of telemedicine on surgical ICU
patients, we compare NHCP surgical ICU admissions before and after TCC
implementation. Materials and methods: Patient care by remote intensivist was
achieved utilizing video teleconferencing technology, and remote access to
electronic medical records. Standardization was promoted by adopting protocols
and mandatory intensivist involvement in all ICU admissions. Surgical ICU
admissions prior to TCC implementation (pre-TCC) were compared to those following
TCC implementation (post-TCC). Results: Of 828 ICU admissions, 21% were surgical.
TCC provided coverage during 35% of the intervention period. Comparing pre-TCC
and post-TCC periods, there was a significant increase in the percentage of
surgical ICU admissions [15.3 % vs 24.6%, p = 0.01] and the average monthly
APACHE II score [4.1vs 6.5, p = 0.03]. The total number of surgical admissions
per month also increased [3.9 vs 6.3, p = 0.009]. No adverse outcomes were
identified. Conclusion: Implementation of TCC was associated with an increase in
the scope and complexity of surgical admissions with no adverse outcomes.
Surgeons were able to safely expand the surgical services offered requiring
perioperative ICU care to patients who previously may have been transferred.
Caring for these types of patients not only maintains the operational readiness
of deployable caregivers but patient experience is also enhanced by minimizing
transfers away from family. Further exploration of TCC on surgical case volume
and complexity is warranted.
PMID- 29635541
TI - Jumpstarting Monitoring and Evaluation for Global Health Engagement.
PMID- 29635540
TI - A Framework for Resolving Cryptic Species: A Case Study from the Lizards of the
Australian Wet Tropics.
AB - As we collect range-wide genetic data for morphologically-defined species, we
increasingly unearth evidence for cryptic diversity. Delimiting this cryptic
diversity is challenging, both because the divergences span a continuum and
because the lack of overt morphological differentiation suggests divergence has
proceeded heterogeneously. Herein, we address these challenges as we diagnose and
describe species in three co-occurring species groups of Australian lizards. By
integrating genomic and morphological data with data on hybridization and
introgression from contact zones, we explore several approaches-and their
relative benefits and weaknesses-for testing the validity of cryptic lineages.
More generally, we advocate that genetic delimitations of cryptic diversity must
consider whether these lineages are likely to be durable and persistent through
evolutionary time.
PMID- 29635542
TI - Targeted methylation sequencing of plasma cell-free DNA for cancer detection and
classification.
AB - Background: Targeted methylation sequencing of plasma cell-free DNA (cfDNA) has a
potential to expand liquid biopsies to patients with tumors without detectable
oncogenic alterations, which can be potentially useful in early diagnosis.
Patients and methods: We developed a comprehensive methylation sequencing assay
targeting 9223 CpG sites consistently hypermethylated according to The Cancer
Genome Atlas. Next, we carried out a clinical validation of our method using
plasma cfDNA samples from 78 patients with advanced colorectal cancer, non-small
cell lung cancer (NSCLC), breast cancer or melanoma and compared results with
patients' outcomes. Results: Median methylation scores in plasma cfDNA samples
from patients on therapy were lower than from patients off therapy (4.74 versus
85.29; P = 0.001). Of 68 plasma samples from patients off therapy, methylation
scores detected the presence of cancer in 57 (83.8%), and methylation-based
signatures accurately classified the underlying cancer type in 45 (78.9%) of
these. Methylation scores were most accurate in detecting colorectal cancer
(96.3%), followed by breast cancer (91.7%), melanoma (81.8%) and NSCLC (61.1%),
and most accurate in classifying the underlying cancer type in colorectal cancer
(88.5%), followed by NSCLC (81.8%), breast cancer (72.7%) and melanoma (55.6%).
Low methylation scores versus high were associated with longer survival (10.4
versus 4.4 months, P < 0.001) and longer time-to-treatment failure (2.8 versus
1.6 months, P = 0.016). Conclusions: Comprehensive targeted methylation
sequencing of 9223 CpG sites in plasma cfDNA from patients with common advanced
cancers detects the presence of cancer and underlying cancer type with high
accuracy. Methylation scores in plasma cfDNA correspond with treatment outcomes.
PMID- 29635543
TI - Processing diets containing corn distillers' dried grains with solubles in
growing broiler chickens: effects on performance, pellet quality, ileal amino
acids digestibility, and intestinal microbiota.
AB - The present study investigated the effects of feed form and distillers' dried
grains with solubles (DDGS) on growth performance, nutrient digestibility, and
intestine microbiota in broilers. A total of 720 broilers (Ross 308; average BW
541 +/- 6 g) was randomly allotted to 6 treatments on the basis of BW. There were
6 replicates in each treatment with 20 birds per replicate. Birds were fed 3
different feed forms (mash, simple pellet, and expanded pellet) and DDGS (0 or
20% of diet) in a 3 * 2 factorial arrangement. Simple pellet (SP) and expanded
pellet (EP) fed birds showed an increase in BW gain (P < 0.05). The interaction
between feed processing and DDGS level was observed on pellet hardness (P <
0.01). The lowest (P < 0.01) pellet durability index (PDI) and hardness were
observed in the diet with DDGS. Values for PDI and hardness were higher for EP
compared with SP (P < 0.01). Simple pellet decreased ileal digestibility of CP
compared to mash feed. The inclusion of DDGS decreased the digestibility of CP,
and tended to decrease digestibility of DM (P = 0.056) and gross energy (P =
0.069). Expanded pellet feeding decreased (P < 0.05) the ileal digestibility of
isoleucine, lysine, methionine, phenylalanine, threonine, cysteine, and glutamine
compared with mash diet. Processed feed increased (P < 0.01) pH in the gizzard
and duodenum; however, processing decreased pH in ileum. The addition of DDGS to
the diet reduced pH in the duodenum. The population of Lactobacillus spp. was
lower in the duodenum of birds fed the EP diet compared to the mash diet.
Processed feed increased the colonization of Clostridium spp. in the gizzard.
These results indicated that SP and EP in broiler diet had a potential to improve
BW gain, but EP compromised amino acid digestibility. In addition, DDGS
supplementation (20%) decreased pellet quality and CP digestibility in broiler
chickens; however, the growth performance and feed intake were not affected.
PMID- 29635545
TI - Influenza in Transplant Recipients: Many Outstanding Questions Despite a Growing
Body of Data.
PMID- 29635544
TI - Hypovolemia due to cerebral salt wasting may contribute to stroke in tuberculous
meningitis.
AB - Background: Both stroke and cerebral salt wasting (CSW) are common in tuberculous
meningitis (TBM), but there is paucity of studies evaluating their combined
effect. Aim: The present study has been undertaken to evaluate the spectrum of
stroke in TBM and its relation to CSW. Design: Hospital-based prospective cohort
study. Methods: Eighty-one patients with TBM diagnosed on the basis of clinical,
cerebrospinal fluid and magnetic resonance imaging (MRI) criteria were
prospectively included. Stroke was diagnosed on the basis of clinical, MRI
findings or both. Stroke risk factors were noted. Patients with hyponatremia were
categorized into CSW and other causes. Three and 6 months outcome was defined
using modified Rankin Scale (mRS) as good (<2) or poor (>=2). Results: Out of 81
patients with TBM, 32 (39.5%) had ischemic stroke. CSW was the commonest cause of
hyponatremia and occurred in 34 (42%) patients. Stroke occurred in tubercular
zone in 10, ischemic zone in 15 and both in 7 patients. The patients with
ischemic zone infarction were older and had stroke risk factors such as diabetes
mellitus, hypertension and hyperlipidemia. Out of 16 (47%) patients with CSW, 10
(62.5%) had stroke during the polyuric phase. The patients with CSW had more
frequent deep white matter infarcts (P = 0.01) which were in internal border zone
in 4 (40%). Conclusion: In TBM, stroke occurred in 39.5% of the patients, 50% of
whom had CSW. Volume contraction due to CSW may contribute to stroke.
PMID- 29635546
TI - Non-disclosure of symptoms in primary care: an observational study.
AB - Background: Symptoms form a major component of patient agendas, with the need for
an explanation of symptoms being a prominent reason for consultation. Objectives:
To estimate the prevalence of different symptoms pre-consultation, to investigate
whether intention to mention a symptom in the consultation varied between
patients and across symptoms, and to determine how patients' intended agendas for
mentioning symptoms compared with what was discussed. Method: We videorecorded
consultations of an unselected sample of people aged 45 and over consulting their
GP in seven different practices in UK primary care. A pre-consultation
questionnaire recorded the patient's agenda for the consultation, current
symptoms and symptoms the patient intended to discuss with their GP. The
videorecorded consultation was viewed and all patient agendas and 'symptoms with
intention to discuss' were compared with the actual topics of discussion.
Results: Totally, 190 patients participated. Eighty-one (42.6%) were female and
the mean age was 68 (range 46-93). Joint pain was the most commonly reported
symptom. One hundred thirty-nine (81.8% of those reporting symptoms) patients
reported intention to discuss a symptom. In 43 (22.6%) consultations, 67 symptoms
(27.2%), where an intention to discuss had been expressed, remained undisclosed.
Tiredness and sleeping difficulty were more likely to be withheld than other
symptoms after an intention to discuss had been expressed. Of the more physically
located symptoms, joint pain was the most likely to remain undisclosed.
Conclusion: This study suggests that the extent of symptom non-disclosure varies
between patients, physicians and symptoms. Further work needs to explore the
consequences of non-disclosure.
PMID- 29635547
TI - Continuous Veno-Venous Hemofiltration During Intercontinental Aeromedical
Evacuation.
AB - Overseas contingency operations which occur in areas lacking medical
infrastructure pose challenges to the stabilization and transportation of
critically ill patients. In particular, metabolic derangements resulting from
acute kidney injury (AKI) make long-distance aeromedical evacuation risky. Here,
we report the first modern use of in-flight continuous veno-venous hemofiltration
(CVVH) for intercontinental aeromedical evacuation. Hospital and transport
records were reviewed for a 31-yr-old male active duty service member who
sustained 40% total body surface area full thickness burns after high-voltage
electrical exposure in the southern Philippines. He was evacuated to the Burns
Centre at Singapore General Hospital, where CVVH was initiated for anuric AKI
secondary to rhabdomyolysis. The United States Army Institute of Surgical
Research (USAISR) Burn Flight Team transported the patient to the USAISR Burn
Center at Fort Sam Houston, TX, USA. CVVH was performed in-flight for 15 h out of
19.5 h of total flight time. CVVH settings were maintained as follows: blood flow
250 mL/min; replacement fluid rate 3,500 mL/h; and no ultra-filtrate removal.
Unfractionated heparin at 500 units/h was utilized for regional anticoagulation.
No filter clotting was encountered; a planned filter change was performed during
a midway refueling stop. Pre-flight hyperkalemia was managed with low-potassium
replacement fluid. No fluid was removed in the setting of large wound insensible
losses. The patient remained hemodynamically stable and required no vasoactive
medications. Continuous veno-venous hemofiltration can be used safely during high
altitude flight to evacuate casualties with AKI from distant contingency
operations. The use of portable hemodialysis equipment in this case also proves
the feasibility of deploying renal replacement therapies to more forward
facilities than previously considered.
PMID- 29635548
TI - A Novel Peptide-Based Antimicrobial Wound Treatment is Effective Against Biofilms
of Multi-Drug Resistant Wound Pathogens.
AB - Wound infections are a common complication of combat-related injuries that
significantly increase morbidity and mortality. Multi-drug resistant (MDR)
organisms and their associated biofilms play a significant role in the
pathogenicity and chronicity of wound infections. A critical barrier to progress
in the treatment of traumatic wounds is the need for broad spectrum
antimicrobials that are effective against biofilms and compatible with topical
delivery. In this study, we present the in vitro efficacy of two de novo designed
cationic, antimicrobial peptides and related topical formulations against single
species and polymicrobial biofilms of MDR bacteria. Minimum biofilm eradication
concentrations for peptides ranged from 0.7 MUM for Staphylococcus aureus to 13.2
MUM for Pseudomonas aeruginosa. Varying pH did not adversely impact peptide
activity, however, in the presence of albumin, minimum biofilm eradication
concentrations generally increased. When formulated into gels or dressings, both
peptides eradicated mono- and polymicrobial biofilms of MDR pathogens. The
biocompatibility index (BI) was found to be greater than one for both ASP-1 and
ASP-2, with a slightly greater (more favorable) BI for ASP-2. The BIs for both
peptides were greater than BIs previously reported for commonly used topical
antimicrobial agents. The antimicrobial peptides and related formulations
presented provide a promising platform for treatment of wound biofilms to improve
outcomes for those injured in combat.
PMID- 29635549
TI - Perceptions of Simulator- and Live Tissue-Based Combat Casualty Care Training of
Senior Special Operations Medics.
AB - The relative effectiveness of live tissue (LT)- and inanimate simulation (SIM)
based training of combat medics is the subject of intense debate. A structured
interview was utilized to determine the training modality preferences and the
perceived value of LT- and SIM-based combat casualty care training of 25 senior
special operations medics. Participant demographics and training experience,
Likert scale-based assessment of training modality value, selection of preferred
training modality for 11 combat casualty care procedures, and 12 open-ended
questions probing opinions of the limitations and benefits of LT- and SIM-based
training were collected from this convenience sample. All participants indicated
significant combat medic experience and training. Of the 11 procedures
questioned, LT was identified as superior for seven with mixed responses for the
remaining four. LT was consistently identified as an essential training modality
with tactile sensation and the physiologic responses of animal models to injury
and therapy as primary benefits. Across procedures, 100% of participants felt
that LT should be used in combat casualty care training and 96% felt that SIM
should also be utilized. Repeatability and accuracy of size/weight were
identified as key benefits of SIM training. Respondents reported that capability,
self-confidence, success, and resilience of the combat medic all benefitted from
LT training. The overriding theme was the general superiority of LT with
recognition of the unique and complementary benefits of SIM.
PMID- 29635550
TI - Utilizing Propensity Score Analyses in Prehospital Blood Product Transfusion
Studies: Lessons Learned and Moving Toward Best Practice.
AB - Recently, observational studies analyzing prehospital blood product transfusions
(PHT) for trauma have become more widespread in both military and civilian
communities. Due to these studies' non-random treatment assignment, propensity
score (PS) methodologies are often used to determine an intervention's
effectiveness. However, there are no guidelines on how to appropriately conduct
PS analyses in prehospital studies. Such analyses are complicated when treatments
are given in emergent settings as the ability to administer treatment early,
often before hospital admission, can interfere with assumptions of PS modeling.
This study conducts a systematic review of literature from military and civilian
populations to assess current practice of PS methodology in PHT analyses. The
decision-making process from the multicenter Prehospital Resuscitation on
Helicopter Study (PROHS) is discussed and used as a motivating example. Results
show that researchers often omit or incorrectly assess variable balance between
treatment groups and include inappropriate variables in the propensity model.
When used correctly, PS methodology is an effective statistical technique to show
that aggressive en route resuscitation strategies, including PHT, can reduce
mortality in individuals with severe trauma. This review provides guidelines for
best practices in study design and analyses that will advance trauma care.
PMID- 29635551
TI - Virtual Reality Training System for Anytime/Anywhere Acquisition of Surgical
Skills: A Pilot Study.
AB - This article presents a hardware/software simulation environment suitable for
anytime/anywhere surgical skills training. It blends the advantages of physical
hardware and task analogs with the flexibility of virtual environments. This is
further enhanced by a web-based implementation of training feedback accessible to
both trainees and trainers. Our training system provides a self-paced and
interactive means to attain proficiency in basic tasks that could potentially be
applied across a spectrum of trainees from first responder field medical
personnel to physicians. This results in a powerful training tool for surgical
skills acquisition relevant to helping injured warfighters.
PMID- 29635552
TI - Modeling and Comparing Nurse and Physician Trauma Assessment Skills.
AB - Medical providers must master a large number of complicated tasks to deliver
quality care and minimize unwanted clinical outcomes. In order to optimally train
these tasks, medical training systems would benefit from models of skill that
enable objective assessment of proficiency and define important declarative
knowledge, cognitive states, and decision-making rules that are necessary for
effective learning and performance. This article describes the Methodology for
Annotated Skill Trees (MAST), a skill-modeling framework that facilitates the
creation of descriptive and rule-based content that supports skill acquisition.
This framework is used to generate models of trauma assessment skills from two
existing curricula: Advanced Trauma Life Support (ATLS) and the Trauma Nurse Core
Course (TNCC). Key differences between these curricula's teaching methods for the
same procedure and skill are highlighted through the use of the model framework.
The framework comparison provides insight into the underlying teaching approach
and highlights the fact that some skills are not represented in medical education
materials.
PMID- 29635553
TI - Simulation Training for Operational Medicine Providers (STOMP): Design and
Implementation of a Novel Comprehensive Skills-Based Curriculum for Military
General Medical Officers.
AB - Background: Fifty percent of graduating U.S. Navy post-graduate year (PGY)-1
physicians will practice in the operational environment before returning to
residency training. However, current internship structure is less rotational and
focuses more on specialty-specific training. Therefore, these physicians may not
be fully prepared for this primary care role. Methods: Based on the U.S. Navy
privileges for General Medical Officers, a comprehensive didactic and simulation
curriculum was developed. Twenty-three procedural skill competencies (SK) and
five validated standardized patient (SP) scenarios were identified. During the SK
portion, learners reviewed instructional videos, read reference materials, and
practiced with partial task trainers before small-group sessions with subject
matter experts (SME). Separate SP round-robin sessions were conducted and
feedback provided by SMEs and SPs. Learners demonstrated competency or were
remediated. Results: One hundred and three PGY-1 trainees participated over 2 yr.
All trainees met requirements during the SK phase. During the SP phase, seven
learners required remediation. All learners ultimately met requirements for
privileging. Conclusion: The Simulation Training for Operational Medicine
Providers curriculum for future General Medical Officers is an effective tool for
primary care skill training and credentialing. Plans for export to other Graduate
Medical Education sites are underway and further evaluation of skills retention
is warranted.
PMID- 29635554
TI - Videoconferenced Telebehavioral Health Referral Process Adherence.
AB - Background: In 2015, the Army mandated 100% digital storage of telehealth consent
forms (DA4700) in the Health Artifact and Image Management Solution (HAIMS)
system, and a telebehavioral health (TBH) hub clinic set an aim to accomplish
this by improving adherence to referral procedures essential to expanding patient
access to videoconferenced (VC) behavioral health care. Methods: The Knowledge-to
Action (KTA) planned action framework underpinned development of a two-phase,
PDSA (Plan-Do-Study-Act) quality improvement project to increase the rates of TBH
new intake consent form completeness and upload adherence. First, a provider
education initiative addressed form uploads. Second, TBH consultants prepared
(signed and sent) intake forms to referring sites for their patients to finalize
during the initial VC encounter. A chart review of consecutive new intake
encounters compared data extracted from CY2015 Q1 baseline records (n = 65) with
data from CY2016 Q1 improvement period records (n = 40). A total of 352 forms
were reviewed. Results: Referrals (N = 118) that resulted in kept new VC TBH
intake visits (n = 105), originated from three military behavioral health clinic
referral sites. In CY2016 Q1, all DA4700 consent forms were uploaded to HAIMS.
Telehealth treatment and medication consent form upload adherence increased from
94% and 68%, respectively, to 100% (p > 0.05). Form completeness increased from
36% to 95% (p < 0.001), and multiple linear regression analysis predicted an
average 59% increase across the three referral sites (sr2 = 0.54). Conclusion:
Consultant preparation of telehealth new intake consent forms effectively
improved form completeness and increased adherence to new intake referral
processes essential to this hub clinic expanding patient access to TBH care.
PMID- 29635555
TI - Using 3D Printing (Additive Manufacturing) to Produce Low-Cost Simulation Models
for Medical Training.
AB - Objectives: This work describes customized, task-specific simulation models
derived from 3D printing in clinical settings and medical professional training
programs. Methods: Simulation models/task trainers have an array of purposes and
desired achievements for the trainee, defining that these are the first step in
the production process. After this purpose is defined, computer-aided design and
3D printing (additive manufacturing) are used to create a customized anatomical
model. Simulation models then undergo initial in-house testing by medical
specialists followed by a larger scale beta testing. Feedback is acquired, via
surveys, to validate effectiveness and to guide or determine if any future
modifications and/or improvements are necessary. Results: Numerous custom
simulation models have been successfully completed with resulting task trainers
designed for procedures, including removal of ocular foreign bodies, ultrasound
guided joint injections, nerve block injections, and various suturing and
reconstruction procedures. These task trainers have been frequently utilized in
the delivery of simulation-based training with increasing demand. Conclusions: 3D
printing has been integral to the production of limited-quantity, low-cost
simulation models across a variety of medical specialties. In general, production
cost is a small fraction of a commercial, generic simulation model, if available.
These simulation and training models are customized to the educational need and
serve an integral role in the education of our military health professionals.
PMID- 29635556
TI - HIV PrEP in the Military: Experience at a Tertiary Care Military Medical Center.
AB - Objectives: We evaluated human immunodeficiency virus (HIV) pre-exposure
prophylaxis (PrEP) administration at the Walter Reed National Military Medical
Center (WRNMMC), which serves a geographic area at high risk of HIV infection.
Methods: Medical records were reviewed for all patients initiating PrEP at WRNMMC
from November 1, 2013, to March 30, 2016. Demographic, laboratory, clinical, and
risk exposure characteristics and outcomes were described. Results: One hundred
fifty-nine patients received PrEP; 133 (84%) patients were active duty, 95 (60%)
patients were over 28 yr old. The majority were non-Hispanic Whites (n = 87,
55%). The median men who have sex with men (MSM) risk index score was 18.0 (IQR
12.0-22.0); 20 patients scored less than 10. One hundred and thirty-one (82%)
patients remained on PrEP through the evaluation period. Patients mainly
discontinued PrEP for service-related or toxicity reasons. Incident STIs occurred
in 31 (19%) patients. No cases of HIV seroconversion were observed. Conclusions:
In this first description of PrEP utilization in a U.S. military health care
system, a significant number of patients were non-Hispanic Whites, well-educated,
were older, or were otherwise at low risk for HIV acquisition. Further effort is
needed to enhance PrEP use among the higher risk young African-American MSM
population, and further studies are needed to determine the cost-effectiveness of
PrEP in individuals who are not categorized as high risk.
PMID- 29635557
TI - Antibiotic Treatment - What Can Be Learned from Point of Injury Experience?
AB - Introduction: Early antibiotic administration after trauma reduces infection
rates of open wounds. A clinical practice guideline (CPG) was created to ensure
that wounded personnel who are not expected to arrive at the hospital within an
hour receive antibiotic treatment in the field. This study evaluated how well
advanced life saver (ALS) providers complied with Israeli Defense Force (IDF)
CPG. Materials and Methods: A retrospective review of all trauma patients between
November 2011 and January 2015 was conducted. All casualties who suffered from
penetrating injuries with evacuation times greater than 60 min were examined.
Casualties who should have received antibiotic treatment in accordance with the
IDF CPG were further divided into those who received antibiotics (i.e.,
"Antibiotic" group) and those who did not receive antibiotic treatment (i.e., "No
Antibiotics" group). Results: For a 3-yr period, a total of 5,142 casualties
occurred in the pre-hospital environment. According to parameters established in
the CPG, 600 casualties should have received antibiotic treatment. Of these
patients, only 49 (8.2%) received antibiotic treatment. Comparative analysis
between these groups revealed no significant differences in regards to gender,
age, and time to MTF; however, significant differences were found in regards to
injury severity score (ISS) (p < 0.01), care under fire (i.e., treatment at a
combat zone) criteria (p < 0.00001), and life-saving interventions (p < 0.005).
Discussion: Although the reasons for poor adherence to IDF CPG's are not entirely
clear, the data suggest that the severity of the injuries sustained by these
casualties requiring a greater number of LSIs, longer evacuation distances, and a
more hostile battlefield environment may each contribute to poor adherence. Since
this has been identified as a training gap, the importance of antibiotic
administration at point of injury in delayed evacuation scenarios has been
reinforced.
PMID- 29635558
TI - Autofluorescence Imaging for Evaluating Debridement in Military and Trauma
Wounds.
AB - Background: Mechanisms for military injury have evolved in the past century.
Debridement is the gold standard for preparing a clean wound bed, decreasing the
bacterial load, and reducing the likelihood of infection. However, bacteria may
continue to linger in these wounds. The MolecuLight i:X Imaging Device uses the
principle of autofluorescence to detect bacteria under violet light. Thus,
visualizing bacteria will not only guide clinicians in their management of the
wound but it will also serve as a means of evaluating debridement efforts. We
hope to improve traumatic wound management by targeting debridement and assessing
its quality. Methods: We describe the use of the MolecuLight i:X to photograph
wounds under standard and violet light in three patients. Images were captured
before and after debridement. Microbiology swabs were collected to correlate the
bacteria found in the images to the wounds. Results: The post-debridement images
demonstrate a marked decrease or complete removal of bacteria. The microbiology
swabs confirmed the pre-debridement presence of bacteria. Conclusions: The
MolecuLight i:X shows promise for debridement evaluation. The use of the
MolecuLight i:X may reduce the likelihood of infection, thus having positive
implications for military and trauma wounds.
PMID- 29635560
TI - Chronic Neurological Morbidities and Elevated Hippocampal Calcium Levels in a DFP
Based Rat Model of Gulf War Illness.
AB - Over 20 yr have elapsed since the end of the First Gulf War, yet approximately
one-third of the veterans exhibit Gulf War Illness (GWI) symptoms, particularly
depression and memory impairments. Exposure to organophosphate (OP) compounds is
implicated for GWI development. The role of calcium (Ca2+) signaling in learning,
memory, and mood is well established and disruptions in Ca2+ homeostasis are
observed in many neurological disorders. However, the status of Ca2+ homeostasis
in the development of GWI behavioral impairments is not known. Male Sprague
Dawley rats were exposed to OP agent diisopropyl fluorophosphate (DFP; 0.5 mg/kg,
s.c. 5 days), and at 6 mo post-DFP exposure, rats were subjected to behavioral
assays for the determination of GWI neurological morbidities. Fura-2AM loaded
acutely isolated hippocampal neurons were used for [Ca2+]i estimations. We
observed chronic depressive symptoms and cognitive deficits in rats exposed to
repeated low-dose DFP. The GWI rats also manifested elevations in hippocampal
[Ca2+]i along with a significant increase in the number of neurons displaying
these elevations. As Ca2+ is a major second-messenger molecule, such sustained
increases in its levels could activate multiple signaling cascades and alter gene
expression of proteins involved in synaptic plasticity and possibly underlie the
neuronal injury and chronic morbidities in GWI.
PMID- 29635559
TI - Risk Factors for Training-Related Injuries During U.S. Army Basic Combat
Training.
AB - Background: Injuries during basic combat training (BCT) impact military health
and readiness in the U.S. Army. Identifying risk factors is crucial for injury
prevention, but few Army-wide studies to identify risk factors for injury during
BCT have been completed to date. This study examines associations between
individual and training-related characteristics and injuries during Army BCT.
Methods: Using administrative data from the Total Army Injury and Health Outcomes
Database (TAIHOD), we identified individuals who apparently entered BCT for the
first time between 1 January 2002 and 30 September 2007, based on review of
administrative records. Injuries were identified and categorized based on coded
medical encounter data. When combined with dates of medical services, we could
count injuries per person, identify unique injuries, and identify the quantity
and type of medical care delivered. Regression models produced odds ratios (ORs)
and 95% confidence intervals (CIs) to identify risk factors for injury during BCT
(yes/no), adjusted for potential confounders. Results: Of the 278,045 (83.4%) men
and 55,302 (16.6%) women who were apparently first-time trainees, 39.5% (n =
109,760) of men and 60.9% (n = 33,699) of women were injured during training
based on over 2 million recorded medical encounters entries. The large cohort
yielded statistically significant, small magnitude associations between injury
and all individual and training-related covariates for men, and all but medical
accession waivers and weight for women. After adjustment, largest magnitude
effects among men were due to age > 25 yr vs. 17-18 yr (OR = 1.83, 95% CI: 1.75,
1.91); having been married in the past vs. being single (OR = 1.36, 95% CI: 1.24,
1.49); rank E4-E7 vs. E1 (OR = 0.56, 95% CI: 0.53. 0.59); training at Ft. Jackson
(OR = 0.66, 95% CI: 0.64, 0.69), Ft. Leonard Wood (OR = 0.67, 95% CI: 0.65,
0.70), or Ft. Knox (OR = 0.69, 95% CI: 066, 0.72) vs. Ft. Benning. Odds of injury
were highest during 2005, 2006, and 2007. After adjustment for weight and body
mass index, taller men had higher odds of BCT injury than average height men (OR
= 1.08, 95% CI: 1.05, 1.11). Among women, short stature (OR = 1.11; 95% CI: 1.04,
1.19), training at Ft Leonard Wood (OR = 1.10; 95% CI: 1.04, 1.16) and evidence
of injury prior to training based on accession waiver (OR = 1.12; 95% CI: 1.00,
1.26) increased injury risk. Conclusions: This Army-wide analysis reveals higher
BCT-related injury rates for both men and women than prior studies and identifies
risk factors for injuries during BCT. The large data set allows adjustment for
many covariates, but because statistical analysis may yield significant findings
for small differences, results must be interpreted based on minimally important
differences determined by military and medical professionals. Results provide
information that may be used to adapt training or medical screening and
examination procedures for basic trainees.
PMID- 29635561
TI - Biomechanical Measurement and Modeling of Human Eardrum Injury in Relation to
Blast Wave Direction.
AB - Rupture of the eardrum or tympanic membrane (TM) is one of the most frequent
injuries of the ear after blast exposure. To understand how the TM damage is
related to blast wave direction, human cadaver ears were exposed to blast waves
along three directions: vertical, horizontal, and front with respect to the head.
Blast overpressure waveforms were recorded at the ear canal entrance (P0), near
the TM (P1), and inside the middle ear (P2). Thirteen to fourteen cadaver ears
were tested in each wave direction and the TM rupture thresholds were identified.
Results show that blast wave direction affected the peak P1/P0 ratio, TM rupture
threshold, and energy flux distribution over frequencies. The front wave resulted
in lowest TM rupture threshold and the horizontal wave resulted in highest P1/P0
ratio. To investigate the mechanisms of TM injury in relation to blast wave
direction, the recorded P1 waveforms were applied onto the surface of the TM in a
three-dimensional finite element model of the human ear and distributions of the
stress in TM were calculated. Modeling results indicate that the sensitivity of
TM stress change with respect to P1 pressure (dsigma/dP1) may characterize
mechanical damage of the TM in relation to blast waves.
PMID- 29635562
TI - Assessment of Anatomical Knowledge and Core Trauma Competency Vascular Skills.
AB - Objectives: Surgical residents express confidence in performing specific vascular
exposures before training, but such self-reported confidence did not correlate
with co-located evaluator ratings. This study reports residents' self-confidence
evaluated before and after Advanced Surgical Skills for Exposure in Trauma
(ASSET) cadaver-based training, and 12-18 mo later. We hypothesize that residents
will better judge their own skill after ASSET than before when compared with
evaluator ratings. Methods: Forty PGY2-7 surgical residents performed four
procedures: axillary artery (AA), brachial artery (BA), femoral artery exposure
and control (FA), and lower extremity fasciotomy (FAS) at the three evaluations.
Using 5-point Likert scales, surgeons self-assessed their confidence in
anatomical understanding and procedure performance after each procedure and
evaluators rated each surgeon accordingly. Results: For all the three
evaluations, residents consistently rated their anatomical understanding (p <
0.04) and surgical performance (p < 0.03) higher than evaluators for both FA and
FAS. Residents rated their anatomical understanding and surgical performance
higher (p < 0.005) than evaluators for BA after training and up to 18 mo later.
Only for third AA evaluation were there no rating differences. Conclusions:
Residents overrate their anatomical understanding and performance abilities for
BA, FA, and FAS even after performing the procedures and being debriefed three
times in 18 mo.
PMID- 29635563
TI - TRR's Warrior Camp: An Intensive Treatment Program for Combat Trauma in Active
Military and Veterans of All Eras.
AB - Effective treatments for combat trauma in military service members exist, but
barriers to care abound, including poor access, stigma, and dropout. Although the
effects of post-traumatic stress disorder (PTSD) can be severe, recovery is
possible when proper treatment is implemented. Trauma and Resiliency Resources,
Inc.'s Warrior Camp (WC) program is designed to address the effects of combat
trauma in military service members and veterans. This intensive, 7-d treatment
incorporates eye movement desensitization and reprocessing therapy, equine
assisted psychotherapy, yoga, and narrative writing in context of community. This
single-group pretest-posttest design included paired t-tests and effect size
analyses for 85 participants of WC. Outcome measures included the Mississippi
Scale for Combat-related PTSD, the Patient Health Questionnaire, the Revised
Adult Attachment Scales, and the Moral Injury Events Scale. Clinician
administered measures included the Davidson Trauma Scale and the Dissociative
Experiences Scale. All measures showed statistically significant reductions in
distress. The effect sizes ranged from small to large. Results suggest that WC
participants experienced significant improvement in PTSD, depression, moral
injury, dissociation and adult attachment. Clinicians should consider the
potential benefits of this short-term, intensive treatment when addressing combat
related PTSD among military service members and veterans.
PMID- 29635564
TI - Physiological Effects of Wearing Athletic Mouth Pieces While Performing Various
Exercises.
AB - The purpose of this study is to evaluate physiological responses associated with
exercise using two different mouthpieces compared with not using a mouthpiece.
Improved performance while using the PX3 Bite Regulator (PX3) may significantly
reduce the risk of concussions by allowing an athlete to better prepare, react,
absorb, and/or avoid impact completely compared with the restricted breathing or
mandibular instability that occurs when using mouthguards. Twenty-three subjects
completed a battery of five physiological tests; the 1.5-mile run, sit and reach,
anaerobic endurance, leg press, and bench press. Each test battery was completed
under three conditions: wearing a PX3, wearing a mouthguard, or no mouthpiece
respectfully. The PX3 resulted in significantly faster 1.5-mile run times (667.4
+/- 9.4 vs. 684.9 +/- 9.2 vs. 679 +/- 7.9 s, p <= 0.05) and significantly longer
anaerobic endurance runs (311 +/- 23 vs. 283 +/- 18 vs. 286 +/- 18yds, p <= 0.05)
compared with the mouthguard and no mouthpiece. The leg press lifts (51.8 +/- 4.1
vs. 46.0 +/- 4.3, p <= 0.05) while wearing the PX3 were significantly greater
than when wearing a mouthguard. There were improvements, but no significant
differences for sit and reach (16.8 +/- 0.8 vs. 15.9 +/- 0.8 vs. 16.4 +/- 0.8
in., p = 0.73) and bench press (17.7 +/- 1.8 vs. 17.2 +/- 1.6 vs. 17.2 +/- 1.7
lifts, p = 0.94). The increased performance with the PX3 could be a result of
better jaw alignment and/or decreased resistance to airflow.
PMID- 29635565
TI - A Family's Affair: Caring for Veterans with Penetrating Traumatic Brain Injury.
AB - Approximately 1.1 million family members are primary caregivers to post 9/11
veterans. These military caregivers assume a role that requires a long-term
commitment that may affect their own health status; however, the impact on health
among military caregivers is underestimated and underrepresented. As part of a
larger retrospective cohort study that aimed to assess the health-related
outcomes of post 9/11 veterans with penetrating traumatic brain injury (pTBI), we
examined the health impact of caregiving on caregivers. Caregivers (n = 66) of
veterans with pTBI completed a survey that captured the veterans' and their own
health-related outcomes. Surveys included veteran and caregiver
sociodemographics, caregiver role, tasks, burden, and caregiver-reported measures
of veterans' health and quality of life. The participants were spouses (58%) and
parents (32%) providing full-time assistance for more than 5 yr (74%). In their
caregiver role, they provided assistance with activities of daily living and
emotional/social support. Forty-eight percent of these caregivers met the
definition of experiencing clinically significant burden. Veterans with pTBI had
other comorbidities (e.g., depression, cognitive dysfunction, and anger), which
were associated with caregiver burden. The findings further confirm the impact of
caregiving on health status of caregivers, specifically when assisting veterans
with pTBI.
PMID- 29635566
TI - PHIT for Duty, a Mobile Application for Stress Reduction, Sleep Improvement, and
Alcohol Moderation.
AB - Post-traumatic stress and other problems often occur after combat, deployment,
and other military operations. Because techniques such as mindfulness meditation
show efficacy in improving mental health, our team developed a mobile application
(app) for individuals in the armed forces with subclinical psychological problems
as secondary prevention of more significant disease. Based on the Personal Health
Intervention Toolkit (PHIT), a mobile app framework for personalized health
intervention studies, PHIT for Duty integrates mindfulness-based relaxation,
behavioral education in sleep quality and alcohol use, and psychometric and
psychophysiological data capture. We evaluated PHIT for Duty in usability and
health assessment studies to establish app quality for use in health research.
Participants (N = 31) rated usability on a 1 (very hard) to 5 (very easy) scale
and also completed the System Usability Scale (SUS) questionnaire (N = 9).
Results were (mean +/- SD) overall (4.5 +/- 0.6), self-report instruments (4.5 +/
0.7), pulse sensor (3.7 +/- 1.2), sleep monitor (4.4 +/- 0.7), sleep monitor
comfort (3.7 +/- 1.1), and wrist actigraphy comfort (2.7 +/- 0.9). The average
SUS score was 85 +/- 12, indicating a rank of 95%. A comparison of PHIT-based
assessments to traditional paper forms demonstrated a high overall correlation (r
= 0.87). These evaluations of usability, health assessment accuracy,
physiological sensing, system acceptability, and overall functionality have shown
positive results and affirmation for using the PHIT framework and PHIT for Duty
application in mobile health research.
PMID- 29635567
TI - Effects on Neurons and Hippocampal Slices by Single and Multiple Primary Blast
Pressure Waves From Detonating Spherical Cyclotrimethylenetrinitramine (RDX)
Explosive Charges.
AB - Threshold shock-impulse levels required to induce cellular injury and cumulative
effects upon single and/or multiple exposures are not well characterized.
Currently, there are few in vitro experimental models with blast pressure waves
generated by using real explosives in the laboratory for investigating the
effects of primary blast-induced traumatic brain injury. An in vitro indoor
experimental platform is developed using real military explosive charges to
accurately represent battlefield blast exposure and to probe the effects of
primary explosive blast on dissociated neurons and tissue slices. Preliminary
results indicate that physical insults altered membrane permeability, impacted
cellular viability, created axonal beadings, and led to synaptic protein loss in
hippocampal slice cultures. Injuries from blast under the conditions that were
examined did not appear to cause immediate or sustained damage to the cells.
Three consecutive primary blasts failed to disrupt the overall cellular integrity
in the hippocampal slice cultures and produced a unique type of pathology
comprised with distinct reduction in synaptic proteins before cellular
deterioration set in. These observed changes might add to the challenges in
regard to enhancing our understanding of the complex biochemical and molecular
mechanisms caused by primary blast-induced injury.
PMID- 29635568
TI - A Cluster of Exertional Rhabdomyolysis Cases in a ROTC Program Engaged in an
Extreme Exercise Program.
AB - Exertional rhabdomyolysis (ER) is on the rise among service members and high
school and college athletes. Reported risk factors for ER include fitness level,
sudden increase in exercise intensity and duration, and eccentric predominant
exercise. This study examined an ER cluster among Reserve Officer Training Corps
cadets who participated in a mandatory, timed, extreme conditioning program (ECP)
workout. Forty-four cadets participated in this ECP; 11 were subsequently
hospitalized with ER. Thirty-five cadets, including all who developed ER,
completed a questionnaire to assess ECP times, prior fitness scores, and other ER
risk factors. Cadets completed the ECP workout as individuals or in teams. Nine
of 29 (31%) individual and two of 15 (13%) team participants were hospitalized
with ER. Among the cadets, no associations were noted between hospitalization for
ER and finish time, previous fitness scores, or dietary supplement use. The
relative risk of developing ER was significantly increased in those who consumed
alcohol in the week preceding the ECP (RR = 4.20; 95% CI 1.95, 9.03). In this
cohort of Reserve Officer Training Corps cadets, an ECP resulted in a high rate
of hospitalization for ER. Contrary to reported ER risk factors, higher baseline
fitness was not protective. Rather, cadet knowledge that ECP performance was
strongly linked to final cadet ranking greatly influenced intensity of effort.
PMID- 29635569
TI - Case Report of Extracorporeal Membrane Oxygenation and Aeromedical Evacuation at
a Deployed Military Hospital.
AB - The U.S. Military no longer maintains overseas extracorporeal membrane
oxygenation (ECMO) capability for patients with severe lung injury including
acute respiratory distress syndrome (ARDS). The authors present a case of severe
ARDS at a military hospital in Afghanistan with limited capability for rescue
therapies to include presentation, treatment, transport, and use of ECMO in the
deployed military environment at one Role 3 medical facility. Lack of ECMO in the
overseas environment is a significant gap in U.S. Military medical capability.
The authors propose a novel solution, "ECMO packs," for prepositioning at
strategic Role 3 facilities for early intervention in patients with severe lung
injury to close this lethal and unnecessary capability gap.
PMID- 29635570
TI - Non-invasive Dual-Channel Broadband Diffuse Optical Spectroscopy of Massive
Hemorrhage and Resuscitative Endovascular Balloon Occlusion of the Aorta (REBOA)
in Swine.
AB - Objective: To quantitatively measure tissue composition and hemodynamics during
resuscitative endovascular balloon occlusion of the aorta (REBOA) in two tissue
compartments using non-invasive two-channel broadband diffuse optical
spectroscopy (DOS). Methods: Tissue concentrations of oxy- and deoxyhemoglobin
(HbO2 and HbR), water, and lipid were measured in a porcine model (n = 10) of
massive hemorrhage (65% total blood volume over 1 h) and 30-min REBOA superior
and inferior to the aortic balloon. Results: After hemorrhage, hemoglobin oxygen
saturation (StO2 = HbO2/[HbO2 + HbR]) at both sites decreased significantly (
29.9% and -42.3%, respectively). The DOS measurements correlated with mean
arterial pressure (MAP) (R2 = 0.79, R2 = 0.88), stroke volume (SV) (R2 = 0.68, R2
= 0.88), and heart rate (HR) (R2 = 0.72, R2 = 0.88). During REBOA, inferior StO2
continued to decline while superior StO2 peaked 12 min after REBOA before
decreasing again. Inferior DOS parameters did not associate with MAP, SV, or HR
during REBOA. Conclusions: Dual-channel regional tissue DOS measurements can be
used to non-invasively track the formation of hemodynamically distinct tissue
compartments during hemorrhage and REBOA. Conventional systemic measures MAP, HR,
and SV are uncorrelated with tissue status in inferior (downstream) sites. Multi
compartment DOS may provide a more complete picture of the efficacy of REBOA and
similar resuscitation procedures.
PMID- 29635571
TI - The Uniformed Services University's Surgical Critical Care Initiative (SC2i):
Bringing Precision Medicine to the Critically Ill.
AB - Precision medicine endeavors to leverage all available medical data in pursuit of
individualized diagnostic and therapeutic plans to improve patient outcomes in a
cost-effective manner. Its promise in the field of critical care remains
incompletely realized. The Department of Defense has a vested interest in
advancing precision medicine for those sent into harm's way and specifically
seeks means of individualizing care in the context of complex and highly dynamic
combat clinical decision environments. Building on legacy research efforts
conducted during the Afghanistan and Iraq conflicts, the Uniformed Service
University (USU) launched the Surgical Critical Care Initiative (SC2i) in 2013 to
develop clinical- and biomarker-driven Clinical Decision Support Systems (CDSS),
with the goals of improving both patient-specific outcomes and resource
utilization for conditions with a high risk of morbidity or mortality. Despite
technical and regulatory challenges, this military-civilian partnership is
beginning to deliver on the promise of personalized care, organizing and
analyzing sizable, real-time medical data sets to support complex clinical
decision-making across critical and surgical care disciplines. We present the
SC2i experience as a generalizable template for the national integration of
federal and non-federal research databanks to foster critical and surgical care
precision medicine.
PMID- 29635572
TI - Validation of Visual Objective Biomarkers for Acute Concussion.
AB - Objective: Despite an increase in the awareness and diagnosis of mild traumatic
brain injury (mTBI), there remains a paucity of data examining the comparative
efficacy of available assessments. This study aims to validate visual functions
as potential biomarkers for mTBI. Methods: This case-control correlational design
utilizes military personnel diagnosed with acute (<=72 h post-injury) mTBI (n =
100) and age-matched controls (n = 100) to examine the relative effectiveness of
the pupillary light reflex (PLR), near point of convergence (NPC) break, King
Devick (KD) test time, and Convergence Insufficiency Symptom Survey (CISS) score
to discriminate between participants with mTBI. Results: Three of the eight PLR
parameters (i.e., average constriction velocity (ACV), average dilation velocity
(ADV), and 75% re-dilation time; all p < 0.001) were affected in mTBI
participants. Similarly, NPC break, KD test time, and CISS scores showed a
statistically significant difference between groups (all p < 0.001). Area under
the curve showed that ADV (0.82) and NPC (0.74) have the higher predictive values
of all objective parameters. Conclusions: ADV, ACV, and NPC break are objective
visual functions markedly affected in the acute mTBI group compared with
controls; therefore, we proposed that they could be used as biomarkers for acute
mTBI.
PMID- 29635573
TI - Evaluation of a Novel Wireless Transmission System for Trauma Ultrasound
Examinations From Moving Ambulances.
AB - Objective: To determine if physicians trained in ultrasound interpretation
perceive a difference in image quality and usefulness between Extended Focused
Assessment with Sonography ultrasound examinations performed at bedside in a
hospital vs. by emergency medical technicians minimally trained in medical
ultrasound on a moving ambulance and transmitted to the hospital via a novel
wireless system. In particular, we sought to demonstrate that useful images could
be obtained from patients in less than optimal imaging conditions; that is, while
they were in transport. Methods: Emergency medical technicians performed the
examinations during transport of blunt trauma patients. Upon patient arrival at
the hospital, a bedside Extended Focused Assessment with Sonography examination
was performed by a physician. Both examinations were recorded and later reviewed
by physicians trained in ultrasound interpretation. Results: Data were collected
on 20 blunt trauma patients over a period of 13 mo. Twenty ultrasound-trained
physicians blindly compared transmitted vs. bedside images using 11 Questionnaire
for User Interaction Satisfaction scales. Four paired samples t-tests were
conducted to assess mean differences between ratings for ambulatory and base
images. Conclusion: Although there is a slight tendency for the average rating
across all subjects and raters to be slightly higher in the base than in the
ambulatory condition, none of these differences are statistically significant.
These results suggest that the quality of the ambulatory images was viewed as
essentially as good as the quality of the base images.
PMID- 29635574
TI - Splenic Trauma in the Israeli Defense Forces - Do Not Underestimate Minor Trauma.
AB - Background: The spleen is the most commonly damaged abdominal organ following
blunt abdominal trauma (BAT), usually involving a major injury mechanism. Several
cases of splenic rupture caused by minor BAT in the Israeli Defense Forces (IDF)
have recently occurred. This led us to explore the demographics and mechanisms of
trauma resulting in splenic injury among IDF personnel. Methods: All cases of
splenic injury between 2007 and 2015 were pulled from the computerized patient
records of the IDF. Data regarding patient demographics, injury mechanism,
presenting symptoms, treatment, and outcomes were collected. Three independent
physicians graded the injury mechanism as major or minor. Results: Fifty-two
cases of splenic injury were identified. Of them, 82.7% resulted from a blunt
trauma, 9.6% occurred after a penetrating trauma and 7.7% occurred spontaneously.
37.2% of BAT were unanimously considered as minor. Patients who suffered minor
trauma were more likely to experience delayed diagnosis (85.7% vs. 0% p < 0.0001)
and had significantly less concomitant injuries (12.5% vs. 88.8% p < 0.0001). The
presentation, treatment, and outcome of the patients were similar, regardless of
the severity of trauma mechanism. Conclusion: Our findings indicate that although
minor BAT results from a milder traumatic mechanism, it is a significant cause of
splenic injury among active duty personnel. We suggest that military physicians
maintain a high level of suspicion while managing minor BAT cases.
PMID- 29635575
TI - Comparison of Two Tourniquets on a Mid-Thigh Model: The Israeli Silicone Stretch
and Wrap Tourniquet vs. The Combat Application Tourniquet.
AB - Introduction: Experience from recent conflicts underlines the dramatic impact of
effective tourniquet use on combat casualty mortality. Although the Combat
Application Tourniquet (CAT) is replacing the silicone band tourniquets (IST;
"Israeli Silicone Tourniquet") in the Israeli Defense Forces, no direct
comparison was made between them. The purpose of this study is to compare the
performance of the two tourniquets on a mid-thigh model. Methods: Participants
were Israeli military recruits who previously had the military first aid course.
Each participant applied both the CAT and the IST. Applications were assessed by
the HapMed Leg Tourniquet Trainer, which measured the applied pressure and the
time required to reach it. Results: IST application resulted in higher rates of
effective occlusion pressure compared with the CAT (91% vs. 73.1%, p < 0.01), and
a higher mean occlusion pressure (41 mmHg, p < 0.01) was recorded using the IST.
Among effective attempts, application time did not differ significantly between
the tourniquets. Conclusion: The IST was superior to the CAT in producing
effective occlusion pressure while not prolonging application time. These results
may indicate that the IST remains a valid option for controlling mid-thigh
bleeding.
PMID- 29635576
TI - Vestibular Test Patterns in the NICoE Intensive Outpatient Program Patient
Population.
AB - The National Intrepid Center of Excellence (NICoE), on the campus of Walter Reed
National Military Medical Center, was developed to help improve the lives of
active duty service members (SMs) with traumatic brain injury (TBI) and comorbid
behavioral health (BH) conditions. During the NICoE intensive outpatient program,
SM who have all been identified as having some degree of TBI, undergo extensive
interdisciplinary evaluations, including comprehensive vestibular assessment.
These SMs sometimes present with vestibular symptoms ranging from lightheadedness
to vertigo associated with the dual TBI/BH diagnoses, and vestibular testing
results reported elsewhere have varied among this population. The study's purpose
was to collate the vestibular test results obtained from a sample of the NICoE
patients to determine if specific tests have a tendency to be abnormal in these
SMs. Results indicate that oculomotor tests, particularly pursuit and saccade,
were most often abnormal. The vertical subtests of the pursuit and saccade tests
were abnormal more frequently than the horizontal subtests, suggesting that the
vertical subtests should be utilized when evaluating the TBI population. Overall,
oculomotor tests of vertical pursuit and saccades, as well as tests of central
vestibular function, appear useful for detecting neurologic changes in active
duty SMs with chronic symptoms after TBI.
PMID- 29635577
TI - Clinical Impact of Cabin Altitude Restriction Following Aeromedical Evacuation.
AB - Combat medical care relies on aeromedical evacuation (AE). Vital to AE is the
validating flight surgeon (VFS) who warrants a patient is "fit to fly." To do
this, the VFS considers clinical characteristics and inflight physiological
stressors, often prescribing specific interventions such as a cabin altitude
restriction (CAR). Unfortunately, limited information is available regarding the
clinical consequences of a CAR. Consequently, a dual case-control study (CAR
patients versus non-CAR patients and non-CAR patients flown with a CAR versus non
CAR patients) was executed. Data on 1,114 patients were obtained from TRANSCOM
Regulating and Command and Control Evacuation System and Landstuhl Regional
Medical Center trauma database (January 2007 to February 2008). Demographic and
clinical factors essentially showed no difference between groups; however, CAR
patients appeared more severely injured than non-CAR patients. Despite being
sicker, CAR patients had similar clinical outcomes when compared with non-CAR
patients. In contrast, despite an equivocal severity picture, the non-CAR
patients flown with a CAR had superior clinical outcomes when compared with non
CAR patients. It appeared that the CAR prescription normalized severely injured
to moderately injured and brought moderately injured into a less morbid state.
These results suggest that CAR should be seriously considered when evacuating
seriously ill/injured patients.
PMID- 29635578
TI - Preliminary Evidence for Improvement in Symptoms, Cognitive, Vestibular, and
Oculomotor Outcomes Following Targeted Intervention with Chronic mTBI Patients.
AB - Introduction: To determine if targeted, active interventions would improve
symptoms and impairment in previously intractable patients with chronic mild
traumatic brain injury (mTBI). Materials and Methods: Twenty-six (20 males; 6
females) out of 51 (51%) former military and civilian patients with chronic (1-3
yr) mTBI enrolled in the TEAM traumatic brain injury (TBI) study completed both
an initial and 6-mo post-intervention comprehensive mTBI assessment including
symptoms (Post-concussion Symptom Scale [PCSS], Dizziness Handicap Inventory
[DHI]), cognitive (Immediate Post-concussion Assessment and Cognitive Testing
[ImPACT]), vestibular/oculomotor (Vestibular/Ocular Motor Screening [VOMS]),
balance (Activities-specific Balance Confidence [ABC] scale, Balance Error
Scoring System [BESS]), and cervical (Neck Disability Index [NDI]). Patients were
prescribed progressive, targeted interventions and therapies (e.g., behavioral,
vestibular, vision, and exertion) that matched their mTBI clinical profile. A
series of paired t-tests adjusted for multiple corrections were used to compare
pre- and post-intervention assessment scores. Results: Patients demonstrated
significant improvement from pre- to post-intervention on total symptoms (t =
2.69, p = 0.01), verbal memory (t = -1.96, p = 0.05), ABC balance score (t =
2.05, p = 0.05), smooth pursuits (t = 2.32, p = 0.04), near-point convergence
distance (t = -3.58, p = 0.01), vestibular ocular reflex (t = 2.31, p = 0.03),
and visual motion sensitivity (t = 2.43, p = 0.03). Conclusions: Previously
recalcitrant patients with chronic complex mTBI demonstrated significant
improvement in symptoms, cognitive, vestibular, oculomotor, and balance function
following targeted interventions.
PMID- 29635579
TI - Coagulopathy and Mortality in Combat Casualties: Do the Kidneys Play a Role?
AB - Background: Acute traumatic coagulopathy (ATC) is a common condition after
traumatic injury and is known to be associated with an increase in morbidity and
mortality in trauma patients. ATC has been implicated as a causative factor in
both early hemorrhage and late organ failure in this population, yet the
pathophysiology remains largely unknown. Additionally, acute kidney injury (AKI)
is a common condition among critically injured trauma patients. AKI has been
associated with an elevated International Normalized Ratio (INR) and warfarin
use, but its development has not been well studied in the setting of ATC. We
hypothesized that the presence of ATC influences the development of AKI and may
mediate mortality in combat casualties. Methods: Data were obtained from the
Department of Defense Trauma Registry, Medical Data Store and Composite
Healthcare System, and the Armed Forces Medical Examiner System. A retrospective
review was conducted of US service members injured in Iraq or Afghanistan between
February 1, 2002 and February 1, 2011, who required ICU level care and survived
evacuation out of theater. Exclusions were made for missing data. Cox
proportional hazard regression was performed to determine the effect of ATC (a
priori defined as first INR > 1.3) on the development of AKI. Further analysis
was conducted to determine the influence of these variables on 30-d mortality,
and multiple sensitivity analyses were performed to determine the effect of ATC
on both AKI and mortality. Results: A total of 1,288 patients were identified for
analysis. ATC was a risk factor for subsequent AKI after adjustment (HR 1.67, 95%
CI 1.28-2.18; p < 0.001). However, ATC was not a risk factor for mortality after
adjustment in the full model (HR 1.87, 95% CI 0.95-3.65; p = 0.069). On
sensitivity analyses exploring alternate definitions of ATC, an INR of 1.2
remained associated with AKI (HR 1.46, 95% CI 1.13-1.88; p = 0.004) and an INR of
1.5 became significant for mortality (HR 1.76, 95% CI 1.32-2.35; p < 0.001).
Conclusion: ATC is independently associated with the development of AKI. Although
ATC is associated with mortality in the unadjusted model, it is not significant
after adjustment for AKI. This implies that the kidneys may play a role in the
adverse outcomes observed after ATC. Increased awareness and monitoring for
coagulopathy and the subsequent development of AKI in combat casualty patients
may lead to earlier diagnosis and treatment of these conditions, possibly
decreasing morbidity and mortality.
PMID- 29635580
TI - Trabectome-Initiated Gonioscopy-Assisted Transluminal Trabeculotomy.
AB - Introduction: To introduce a trabectome-initiated gonioscopy-assisted
transluminal trabeculotomy (TIGATT) procedure and to report preliminary results.
Materials and Methods: A preliminary case series of eight patients who have
undergone the newly proposed TIGATT procedure is presented. TIGATT is a new
concept that modifies established techniques by replacing the initial goniotomy
incision of gonioscopy-assisted transluminal trabeculotomy (GATT) with an ab
interno trabeculectomy ablation utilizing the trabectome. All surgeries were
performed by a single surgeon (W.I.K.) between November 2014 and October 2015 in
adults with primary open-angle glaucoma. Recorded outcome measures were
intraocular pressure (IOP), number of medications, and complications. Results:
Eight patients with an age range of 63-93 yr underwent TIGATT with at least 3 mo
of follow-up. Five of the eight initial patients had follow-up to 2 yr. The mean
pre-operative IOP was 25 mmHg (standard deviation [SD] 7.0) on four medications
(SD 1.1). The mean post-operative IOP at 3 mo was 14 mmHg (SD 1.8) on two
medications (SD 1.3). The average decrease in IOP was 9.9 mmHg (SD 7.5) with an
average decrease in medications of two (SD 1.4) at 3 mo. At 2 yr, the mean post
operative IOP was 14 mmHg (SD 3.2) on one medication (SD 1.1). The average
decrease in IOP was 7.8 mmHg (SD 3.1) with an average decrease in medications of
two (SD 1.8). There were two treatment failures that required further glaucoma
surgery and one patient was lost to follow-up. Conclusions: The preliminary
results and safety profile for TIGATT are promising and appear at least
comparable with previously published results for both GATT and trabectome.
Initiating the transluminal trabeculotomy with trabectome clearly exposes
Schlemm's canal and facilitates threading the microcatheter into the canal.
Additionally, if the 360-degree trabeculotomy cannot be completed because of an
incompletely patent Schlemm's canal, the patient will at least have a trabectome
ablation that can serve as their glaucoma surgery.
PMID- 29635581
TI - Simplified Method for Rapid Field Assessment of Visual Acuity by First Responders
After Ocular Injury.
AB - Objective: Initial visual acuity after ocular injury is an important measure, as
it is an accurate predictor of final visual outcome and gives a rapid estimation
of the overall severity of the injury, thereby aiding evacuation prioritization.
We devised a simple method for rapidly assessing visual acuity in the field
without having to rely on formal screening cards. Methods: Using common objects,
icons, and text found in the injury zone - for example, common military name
tapes, rank insignias, patches, emblems, and helmet camouflage bands, which will
be known collectively as the Army Combat Optotypes (ACOs) - a Snellen-equivalent
method of assessing visual acuity was devised and correlated to the ocular trauma
score (OTS). Results: Ability to read the ACOs at 2, 3, and 5 ft correlates with
acuities in the range from 20/20 to 20/400. Identification of ACOs with visual
acuity of 20/50 and 20/200 approximates important inflection points of severity
for the OTS. Conclusion: Accurately assessing visual acuity in the field after
ocular injury provides essential information but does not require sophisticated
screening equipment. Pertinent and accurate acuities can be rapidly estimated
using commonly available text or graphical icons such as standard name tapes,
patches, and rank insignias.
PMID- 29635582
TI - Stability of Fibrinogen Concentrate in Human Blood Samples: An In Vitro Study.
AB - Objectives: This study was designed to assess the stability and functional
activity of fibrinogen concentrates subjected to the changes in temperature and
duration observed in field conditions. Methods: Fibrinogen concentrate was stored
at -20 degrees C (12 vials), 22 degrees C (12 vials), and 50 degrees C with 80%
humidity (12 vials), for up to 6 mo. At each temperature, three vials of
fibrinogen concentrate were taken out at 0, 1, 3, and 6 mo and reconstituted. On
analysis days, blood samples were taken from a single healthy donor to collect
plasma samples. The donor plasma was mixed with commercial fibrinogen-deficient
plasma to make fibrinogen-adjusted plasma (FAP). An aliquot of the reconstituted
fibrinogen concentrate was used for quantification of stored fibrinogen content
(using STA-R) and function (Rotem - Fibtem) in FAP. Results: At 22 degrees C for
0, 1, 3, and 6 mo, there were no significant changes observed in fibrinogen
content (1,223 +/- 42 mg/vial, 1,286 +/- 86 mg/vial, 1,234 +/- 76 mg/vial, and
1,178 +/- 64 mg/vial), prothrombin time (13.5 +/- 0.1 s, 13.7 +/- 0.6 s, 13.3 +/-
0.4 s, and 13.7 +/- 0.2 s), or activated partial thromboplastin time (31.1 +/-
0.2 s, 32.0 +/- 0.2 s, 31.5 +/- 0.2 s, and 32.0 +/- 0.8 s), respectively. There
were also no significant changes observed in any of the Fibtem measurements.
Similarly, no differences were observed in these variables over time at -20
degrees C and 50 degrees C with 80% humidity. Conclusions: Fibrinogen concentrate
maintained its content and function when stored at -20 degrees C to 50 degrees C
with up to 80% humidity for 6 mo.
PMID- 29635583
TI - Development of the Warfighter's Hearing Health Instructional (WHHIP) Primer App.
AB - The Warfighter's Hearing Health Instructional Primer (WHHIP) is a supplemental
tool for military hearing conservation programs (MHCPs) and can be accessed by
the warfighter on his/her own personal mobile phone. A gap was identified for a
supplement to MHCPs that is easily accessible by warfighters to improve hearing
health knowledge. The WHHIP aims to instruct the warfighter in best hearing
health practices. To do so, four activities are included: Learn, Demos, HPD
Check, and Glossary. Learn and Glossary allow the warfighter to scroll through
various informational topics related to hearing conservation - including videos,
descriptions of noise, and results of hearing tests. In the Demos activity, the
warfighter can explore the difficulties that hearing loss and tinnitus pose to
sound and speech identification. The HPD Check feature allows the warfighter to
take a picture of the fit of hearing protection devices (HPDs) in his/her own
ears then compare the images to ones that he/she had previously taken or of
standard images of good fitting devices. The WHHIP is an easily accessed
reference tool available for free via Google Play; if a warfighter has a question
or concern regarding his/her hearing health, the WHHIP can be used to verify or
improve knowledge.
PMID- 29635584
TI - Olfactory Testing Informs the Decision Process to Obtain Advanced Neuroimaging in
Traumatic Brain Injury.
AB - The purpose of this article is to examine the usefulness of olfactory testing as
a tool for the evaluation or stratification of traumatic brain injury (TBI)
patients. Olfactory dysfunction is more likely to be overlooked by both the
patient and the provider, especially in the acute setting, in contrast to
deficits in other senses like vision or hearing. This is a retrospective clinical
analysis (case series) of eight active duty service members referred to ear,
nose, and throat clinic at Walter Reed National Military Medical Center during a
2-yr period between March 2014 and March 2016 for subspecialist evaluation of
suspected olfactory impairment after an exposure to closed head trauma. Advanced
neuroimaging revealed evidence of frontal lobe injury in all eight patients,
which was subtle and subcentimeter in half of the cases, best demonstrated with
high-resolution imaging in the coronal plane. In this article, we discuss the
correlation between olfactory dysfunction and brain pathology in both TBI and non
TBI settings. We then provide our recommendation for an orbit magnetic resonance
imaging (MRI) to evaluate the inferior frontal lobes and olfactory bulbs in
patients with unexplained anosmia.
PMID- 29635585
TI - Observations of Pediatric Disease Prevalence from Pacific Partnership 2015.
AB - Pacific Partnership is an ongoing yearly humanitarian assistance mission to
Pacific Rim countries. Although many case reports and surgical successes have
been documented, few data have been published specifically about the primary care
mission. This article analyzes outpatient pediatric data collected during Pacific
Partnership 2015. Eleven different providers documented care delivered to
children from birth through age 18 yr, inclusive. Personally de-identified data
were entered into spreadsheets, sorted according to country visited, and analyzed
with IBM SPSS software looking for disease frequency. One thousand eighty-seven
pediatric patients were seen across Fiji, Papua New Guinea (PNG), and the
Philippines (PI). Asthma was the first, second, and third most prevalent
diagnosis in PNG, Fiji, and PI, with a relative proportion of the total patients
seen at 5.4%, 7.2%, and 5%, respectively. In PI, 123 cases of upper respiratory
infection were seen, more than four times the next most common diagnosis of
normal exam. Thirty-six patients with scabies were seen in Fiji (number 1), with
abdominal pain at number 3 (26 cases, 6.5%). Surprisingly, helminths were rarely
seen, comprising the sixteenth and fourteenth most common diagnoses in Fiji and
PI and only two cases in PNG. Future Pacific Partnership missions can plan
medication stock, personnel assignment, equipment needs, and educational
literature based on these data.
PMID- 29635586
TI - Hemodilution and Endothelial Cell Regulation of Whole Blood Coagulation.
AB - Background: Beyond localized damage to the circulatory system and surrounding
tissue, trauma stresses endothelial cells throughout the vasculature, potentially
leading to hemorrhagic or thrombotic complications away from the injury site.
Objective: Use a whole blood endothelial cell model to define the effects of
crystalloid fluid therapy on protein C pathway regulation of tissue factor
initiated coagulation. Methods: Tissue factor-initiated coagulation was studied
in the presence of EA.hy926 cells. Blood was diluted to 70% or 40% using normal
saline or lactated ringers. Analyses of coagulation dynamics included clot times,
thrombin formation (thrombin-antithrombin complex), FV activation/inactivation,
fibrinogen consumption, FXIII activation, and platelet activation. Results: In
all donors, the onset of thrombin generation was not altered in 70% blood using
either diluent; with the blood component reduced to 40%, clot time was prolonged
two-fold when normal saline was utilized but was unchanged with lactated ringers.
The timing of the activations of FV, fibrinogen, and platelets paralleled the
effects of dilution on clot times. Extensive inactivation of FVa was observed in
undiluted blood and where lactated ringers was the diluent but not in trials with
40% blood/60% normal saline. Conclusion: Feedback inhibition of tissue factor
initiated coagulation by the protein C pathway is not compromised by hemodilution
with crystalloids.
PMID- 29635587
TI - Combat Helmet Suspension System Stiffness Influences Linear Head Acceleration and
White Matter Tissue Strains: Implications for Future Helmet Design.
AB - Combat helmets are expected to protect the warfighter from a variety of blunt,
blast, and ballistic threats. Their blunt impact performance is evaluated by
measuring linear headform acceleration in drop tower tests, which may be
indicative of skull fracture, but not necessarily brain injury. The current study
leverages a blunt impact biomechanics model consisting of a head, neck, and
helmet with a suspension system to predict how pad stiffness affects both (1)
linear acceleration alone and (2) brain tissue response induced by both linear
and rotational acceleration. The approach leverages diffusion tensor imaging
information to estimate how pad stiffness influences white matter tissue strains,
which may be representative of diffuse axonal injury. Simulation results
demonstrate that a softer pad material reduces linear head accelerations for mild
and moderate impact velocities, but a stiffer pad design minimizes linear head
accelerations at high velocities. Conversely, white matter tract-oriented strains
were found to be smallest with the softer pads at the severe impact velocity. The
results demonstrate that the current helmet blunt impact testing standards'
standalone measurement of linear acceleration does not always convey how the
brain tissue responds to changes in helmet design. Consequently, future helmet
testing should consider the brain's mechanical response when evaluating new
designs.
PMID- 29635588
TI - Healthy Active Duty Military with Lifetime Experience of Mild Traumatic Brain
Injury Exhibits Subtle Deficits in Sensory Reactivity and Sensory Integration
During Static Balance.
AB - Postural control and stress reactivity were investigated in active duty coast
guard personnel to determine whether they are sensitive to lifetime effects of
mild traumatic brain injury (mTBI). A custom-designed and validated virtual
reality-based computerized posturography device was used to assess postural
stability, whereas emotional reactivity was assessed using the acoustic startle
response (ASR), and neurocognitive performance was assessed using the defense
automated neurobehavioral assessment (DANA). It was hypothesized that residual
and subtle postural control imbalance and deficits in cognitive and sensory
reactivity would be evident in those reporting multiple lifetime mTBI. Active
duty military personnel (N = 36; 7 females and 29 males) with no Deployment
Limiting Medical Condition were recruited and tested on all assessments. Medical
history information provided a history of head injury. Thirty-nine percent of
participants reported having a previous mTBI (nine reporting one and five
reporting more than one incident). No participant had experienced a head injury
within the past year and all were symptom free. A significant effect of number of
mTBI was found in the postural assessment (p = 0.002). Lifetime mTBI was
associated with suppressed ASR magnitude (p = 0.03) but did not affect
neurocognitive performance. The current findings provide new insight into ongoing
controversies concerning sensitivity to functional deficits following mTBI and
when the window for treatment or restoration ends.
PMID- 29635589
TI - Operation Brain Trauma Therapy: 2016 Update.
AB - Operation brain trauma therapy (OBTT) is a multi-center, pre-clinical drug and
biomarker screening consortium for traumatic brain injury (TBI). Therapies are
screened across three rat models (parasagittal fluid percussion injury,
controlled cortical impact [CCI], and penetrating ballistic-like brain injury).
Operation brain trauma therapy seeks to define therapies that show efficacy
across models that should have the best chance in randomized clinical trials
(RCTs) and/or to define model-dependent therapeutic effects, including TBI
protein biomarker responses, to guide precision medicine-based clinical trials in
targeted pathologies. The results of the first five therapies tested by OBTT
(nicotinamide, erythropoietin, cyclosporine [CsA], simvastatin, and
levetiracetam) were published in the Journal of Neurotrauma. Operation brain
trauma therapy now describes preliminary results on four additional therapies
(glibenclamide, kollidon-VA64, AER-271, and amantadine). To date, levetiracetam
was beneficial on cognitive outcome, histology, and/or biomarkers in two models.
The second most successful drug, glibenclamide, improved motor function and
histology in CCI. Other therapies showed model-dependent effects (amantadine and
CsA). Critically, glial fibrillary acidic protein levels predicted treatment
effects. Operation brain trauma therapy suggests that levetiracetam merits
additional pre-clinical and clinical evaluation and that glibenclamide and
amantadine merit testing in specific TBI phenotypes. Operation brain trauma
therapy has established that rigorous, multi-center consortia could revolutionize
TBI therapy and biomarker development.
PMID- 29635590
TI - Two-Stage Osseointegrated Reconstruction of Post-traumatic Unilateral
Transfemoral Amputees.
AB - A new technique called osseointegration was introduced recently by intimately
connecting the artificial limb prosthesis to the residual bone, eliminating the
problematic socket-residuum interface. The objective here is to describe the two
stage strategy for the osseointegrated reconstruction of amputated limbs and
discuss the clinical outcomes of the procedure. This is a prospective case series
of 37 post-traumatic unilateral transfemoral amputees with a minimum 2-yr follow
up. Outcome measures included the Questionnaire for persons with a Transfemoral
Amputation (Q-TFA), the Short Form Health Survey 36 (SF-36), the 6 Minute Walk
Test (6MWT), and Timed Up and Go (TUG) tests. Adverse events including infection,
revision surgery, fractures, and implant failures were reported. Clinical
outcomes for all outcome measures were significantly improved at follow-up.
Twelve participants were wheelchair bound pre-operatively; however, all 12 were
able to ambulate after osseointegrated reconstruction. Sixteen patients
experienced infection episodes but were managed successfully without the need for
implant removal. One periprosthetic fracture occurred due to increased activity,
which was revised successfully. These results confirm that the procedure is a
suitable alternative for post-traumatic unilateral transfemoral amputees
experiencing socket-related discomfort, with the potential to reduce recovery
time compared with other treatment protocols.
PMID- 29635591
TI - Longitudinal Investigation of Neurotrauma Serum Biomarkers, Behavioral
Characterization, and Brain Imaging in Soldiers Following Repeated Low-Level
Blast Exposure (New Zealand Breacher Study).
AB - "Breachers" (dynamic entry personnel) are routinely exposed to low-level blast
overpressure during training and occupational duties. Data were collected from 22
military breachers (mean 29.7 yr) over a 5-yr period to characterize the
longitudinal effects of repeated low-level blast overpressure exposure. None of
the participants reported a diagnosed concussion during the study period. Blood
based biomarker concentrations (n = 22) showed either no significant change or a
significant decrease over time. Neurocognitive performance (n = 20) and symptom
reporting (n = 22) did not change over time. Neuroimaging analyses resulted in no
significant differences for within-subject (baseline vs follow-up, n = 8) and
between-subject (naive, n = 5 vs experienced, n = 8) comparisons. Changes to
training doctrine mid-study reduced blast exposure (< 4 psi) and may have
mitigated any measurable effects associated with long-term, low-level blast
exposure. The results suggest that the first 5 yr of a breaching career in
healthy, young individuals is unlikely to result in measurable effects when
overpressure exposure is maintained within the 4 psi safe limit. The lack of any
significant changes in these operators suggests that either no identifiable
injuries occurred and/or measurement tools may not be sensitive enough to
identify any negative subconcussive effects.
PMID- 29635592
TI - Protective Effects of Psychological Strengths Against Psychiatric Disorders Among
Soldiers.
AB - This study prospectively examined psychological strengths targeted in U.S. Army
training programs as predictors of psychiatric diagnosis in active duty soldiers.
At baseline, the cohort (140,584 soldiers) was without psychiatric disorder.
Soldiers were then followed for 2 yr and classified as healthy, or acquiring a
psychiatric diagnosis (adjustment disorder, anxiety disorder, depression, or post
traumatic stress disorder), or being prescribed psychotropic medication without a
psychiatric diagnosis. Soldiers who remained healthy reported significantly
higher strengths scores at baseline, compared with soldiers who were diagnosed
with a psychiatric disorder. In addition, soldiers in the worst strengths decile
were twice as likely to develop a psychiatric disorder, compared with soldiers in
the top 50% on baseline strengths. Strengths afforded the greatest protection
against depression. Offering tailored resilience training programs could help the
Army steel vulnerable soldiers against the challenges of life, military training,
and combat.
PMID- 29635593
TI - Forward.
PMID- 29635594
TI - Development of a Non-invasive Cerebrovascular Status Algorithm to Estimate
Cerebral Perfusion Pressure and Intracranial Pressure in a Porcine Model of Focal
Brain Injury.
AB - Background: New tools for diagnosis, monitoring, and treatment of elevated
intracranial pressure (ICP) or compromised cerebral perfusion pressure (CPP) are
urgently needed to improve outcomes after brain injury. Previous success in
applying advanced data analytics to build precision monitors based on large,
noisy sensor datasets suggested applying the same approach to monitor
cerebrovascular status. In these experiments, a new algorithm was developed to
estimate ICP and CPP using the arterial pressure waveform. Methods: Sixty-five
porcine subjects were subjected to a focal brain injury to simulate a mass lesion
with elevated ICP. The arterial pressure waveform and the measured ICP from these
subjects during injury and treatment were then utilized to develop and calibrate
an ICP and CPP estimation algorithm. These estimation algorithms were then
subsequently evaluated on 14 new subjects. Results: The root mean square
difference between actual ICP and estimated ICP was 2.0961 mmHg. The root mean
square difference between the actual CPP and the estimated CPP was 2.6828 mmHg.
Conclusion: A novel ICP or CPP monitor based on the arterial pressure signal
produced a very close approximation to actual measured ICP and CPP and warrants
further evaluation.
PMID- 29635595
TI - A Retrospective Cohort Study of QuickDASH Scores for Three Hand Therapy Acute
Upper Limb Conditions.
AB - Introduction: The QuickDASH is a valid and reliable outcome measure widely used
to assess the function and pain in arm, shoulder, and hand disabilities. A recent
study introduced a QuickDASH 80% cut point test to gauge patients at risk of poor
outcomes. However, the utility of this test has not been validated. Purpose: To
determine typical QuickDASH scores for three upper limb conditions and to test
the sensitivity and specificity of the QuickDASH 80% cut point test in predicting
patients at risk of poor outcomes. Methods: This is a retrospective study with a
total of 406 patient records for whom QuickDASH scores were examined. The
sensitivity and specificity of the QuickDASH 80% cut point test was investigated
for three acute upper limb conditions seen in hand therapy: surgical distal
radius fracture, nonsurgical lateral epicondylitis, and carpal tunnel release.
Results: Typical scores were determined for three upper limb conditions. The
QuickDASH 80% cut point test per upper limb condition returned poor sensitivity
between 28.57% and 41.67%. Conclusion: The results did not support the QuickDASH
80% cut point test as a predictor of final outcome in these three patient
populations. Patients with the worse initial 20% scores were not correctly
classified as worse 20% final scores. This study provides summary data from three
upper limb conditions to provide clinicians with comparison data to establish
goals and educate patients.
PMID- 29635596
TI - A Fast-Running, End-to-End Concussion Risk Model for Assessment of Complex Human
Head Kinematics.
AB - An end-to-end, mechanism-based concussion risk model, linking head motion to
axonal injury, has been demonstrated to predict concussion outcomes with greater
sensitivity and specificity than external correlates such as peak head
acceleration. The development of this model was driven by the need to more
accurately translate head-worn sensor measurements into injury assessment in near
real time. The full end-to-end model is a detailed multi-scale model, composed of
complex components (e.g., a human head finite element model), is computationally
expensive, and requires specialized software. For practicality, this research
level model must be simplified into a standalone, fast-running algorithm that can
be embedded on the microprocessor of a head-worn sensor. This article describes
the development of a simplified, fast-running algorithm that delivers comparable
results to those of the full end-to-end model. The dynamic axonal response of the
human head finite element model to head motion is mathematically modeled using a
lumped parameter system fitted to the finite element model response for a range
of head motions. The other component models of the full end-to-end model were
similarly reduced. For the same head kinematic scenarios, the probabilities of
concussion obtained from the end-to-end model and from the simplified algorithm
are compared well.
PMID- 29635597
TI - A Step Toward Identifying Sources of Medical Errors: Modeling Standards of Care
Deviations for Different Disease States.
AB - Objective: To examine the feasibility of utilizing electronic health records
(EHR) to determine a metric for identifying physician diagnostic and treatment
deviations in standards of care for different disease states. Methods: A Boolean
rule-based model compared deviations in standards of care across four disease
states: diabetes, cardiovascular disease, asthma, and rheumatoid arthritis. This
metric was used to identify the relationship between physician deviations in
standards of care procedures, before and after diagnosis, for 76 internal
medicine physicians. Results: The Boolean-rule-based model identified patterns of
standards of care deviation for the physicians before diagnosis and during
treatment. The deviations identified for each of the four disease states were
then related to Continuing Medical Education courses that could support further
training. The rule-based model was extended and improved by including system and
process aspects of medical care that are not specifically related to the
physician, yet potentially have an impact on the physician's decision to deviate
from the standards of care. Conclusion: The Boolean-rule-based approach provided
a means to systematically mine EHRs and use these data to assess deviations in
standards of care that could identify quality of care issues stemming from system
processes or the need for specific CME for a physician.
PMID- 29635598
TI - Retrospective Analysis of Esophageal Heat Transfer for Active Temperature
Management in Post-cardiac Arrest, Refractory Fever, and Burn Patients.
AB - Core temperature management is an important aspect of critical care; preventing
unintentional hypothermia, reducing fever, and inducing therapeutic hypothermia
when appropriate are each tied to positive health outcomes. The purpose of this
study is to evaluate the performance of a new temperature management device that
uses the esophageal environment to conduct heat transfer. De-identified patient
data were aggregated from three clinical sites where an esophageal heat transfer
device (EHTD) was used to provide temperature management. The device was
evaluated against temperature management guidelines and best practice
recommendations, including performance during induction, maintenance, and
cessation of therapy. Across all active cooling protocols, the average time-to
target was 2.37 h and the average maintenance phase was 22.4 h. Patients spent
94.9% of the maintenance phase within +/-1.0 degrees C and 67.2% within +/-0.5
degrees C (574 and 407 measurements, respectively, out of 605 total). For warming
protocols, all of the patient temperature readings remained above 36 degrees C
throughout the surgical procedure (average 4.66 h). The esophageal heat transfer
device met performance expectations across a range of temperature management
applications in intensive care and burn units. Patients met and maintained
temperature goals without any reported adverse events.
PMID- 29635599
TI - Evaluation of Environmental Sensors During Laboratory Direct and Indirect Head
Exposures.
AB - With the prevalence of traumatic brain injury (TBI) in the military and
athletics, several commercial and military environmental sensors (ES) have been
developed to quantify head impact exposures. The performance of five ES in
controlled laboratory exposures from direct and indirect loadings, and the effect
on impact protection and dynamic retention of the worn Advanced Combat Helmet
(ACH) was evaluated. Direct impacts were conducted on a drop tower and indirect
impacts used a mini-sled. The ES data were compared with laboratory sensors
through cross-correlation and comparison of peak values. The effects of ES on
dynamic retention were assessed using a one-way ANOVA with Tukey's post hoc
analysis against baseline ACH performance. Two ES provided data during the blunt
impact tests: one, attached to the side of the headform, correlated well (phi >
0.92) with the laboratory data; the other, mounted in the helmet crown,
calculated peak headform velocity, which predicted laboratory velocity well.
During indirect impact tests, one environmental sensor (attached to the side of
the headform) provided usable data, which correlated well (phi > 0.92) with
laboratory data. The inclusion of the environmental sensors did not introduce any
safety hazards during the blunt impact attenuation tests or the dynamic retention
tests.
PMID- 29635600
TI - Suicide Rates Among Active Duty Service Members Compared with Civilian
Counterparts, 2005-2014.
AB - The objective of this study was to compare military suicide rates with civilian
suicide rates, adjusting for age and sex differences that exist between the two
populations. The number of active component (AC) service members whose manner of
death was certified as suicide was determined for specific age and sex groups for
each year from 2005 to 2014. Indirect standardization was then used to determine
the expected number of suicides for each age/sex group, based on the U.S. suicide
rates for the corresponding age/sex groups obtained from the Centers for Disease
Control and Prevention's Web-based Injury Statistics Query and Reporting System.
Although suicide rates among U.S. active duty Service Members were found to
increase between 2005 and 2009, overall age- and sex-adjusted AC suicide rates
were lower than or comparable to civilian rates every year of the study period.
When suicide numbers were analyzed within specific age and sex categories, there
was a significant association between higher suicide incidence and AC military
status for 17-29-yr-old females in 2010, 2012, and 2014, and a significant
association between lower suicide incidence and AC military status for 25-49 -yr
old males in some years.
PMID- 29635601
TI - Preliminary Investigation of Skull Fracture Patterns Using an Impactor
Representative of Helmet Back-Face Deformation.
AB - Military combat helmets protect the wearer from a variety of battlefield threats,
including projectiles. Helmet back-face deformation (BFD) is the result of the
helmet defeating a projectile and deforming inward. Back-face deformation can
result in localized blunt impacts to the head. A method was developed to
investigate skull injury due to BFD behind-armor blunt trauma. A representative
impactor was designed from the BFD profiles of modern combat helmets subjected to
ballistic impacts. Three post-mortem human subject head specimens were each
impacted using the representative impactor at three anatomical regions (frontal
bone, right/left temporo-parietal regions) using a pneumatic projectile launcher.
Thirty-six impacts were conducted at energy levels between 5 J and 25 J.
Fractures were detected in two specimens. Two of the specimens experienced
temporo-parietal fractures while the third specimen experienced no fractures.
Biomechanical metrics, including impactor acceleration, were obtained for all
tests. The work presented herein describes initial research utilizing a test
method enabling the collection of dynamic exposure and biomechanical response
data for the skull at the BFD-head interface.
PMID- 29635602
TI - A Review of Casualties Transported to Role 2 Medical Treatment Facilities in
Afghanistan.
AB - Critically injured trauma patients benefit from timely transport and care.
Accordingly, the provision of rapid transport and effective treatment
capabilities in appropriately close proximity to the point of injury will
optimize time and survival. Pre-transport tactical combat casualty care, rapid
transport with en route casualty care, and advanced damage control resuscitation
and surgery delivered early by small, mobile, forward-positioned Role 2 medical
treatment facilities have potential to reduce morbidity and mortality from
trauma. This retrospective review and descriptive analysis of trauma patients
transported from Role 1 entities to Role 2 facilities in Afghanistan from 2008 to
2014 found casualties to be diverse in affiliation and delivered by various types
and modes of transport. Air medical evacuation provided transport for most
patients, while the shortest transport time was seen with air casualty
evacuation. Although relatively little data were collected for air casualty
evacuation, this rapid mode of transport remains an operationally important
method of transport on the battlefield. For prehospital care provided before and
during transport, continued leadership and training emphasis should be placed on
the administration and documentation of tactical combat casualty care as
delivered by both medical and non-medical first responders.
PMID- 29635603
TI - Evaluations of Sexual Assault Prevention Programs in Military Settings: A
Synthesis of the Research Literature.
AB - The prevention of sexual assault (SA) in the U.S. military is a significant
priority. This study applied the Preferred Reporting Items for Systematic Reviews
and Meta-Analyses (PRISMA) guidelines to a literature search that identified
research evaluating SA prevention programs conducted within military settings.
Only six studies published between 2005 and 2016 met criteria for inclusion in
the review. Studies demonstrated high heterogeneity in the: (1) conceptual
framework of the prevention approach; (2) target population and timing of
administration; (3) study recruitment methods; (4) methodological design; (5)
method of delivery, program dosage and theory of change; and (6) outcome
administration and efficacy. Scientific rigor according to the Oxford Center for
Evidence-based Medicine was also variable. Several gaps in the research base were
identified. Specifically, research evaluating SA prevention programs have only
been conducted among U.S. Army and U.S. Navy samples. Most studies did not
examine whether program participation was associated with reductions in rates of
sexual violence. Studies also lacked utilization of a long-term follow-up period.
Additionally, studies did not reflect the types of SA prevention programs
currently being implemented in military settings. Taken together, further
research is needed to enhance the evidence base for SA prevention in the
military, and to evaluate the effectiveness of the approaches currently being
conducted with service members.
PMID- 29635604
TI - Non-invasive Detection of Unique Molecular Signatures in Laser-Induced Retinal
Injuries.
AB - Unintentional laser exposure is an increasing concern in many operational
environments. Determining whether a laser exposure event caused a retinal injury
currently requires medical expertise and specialized equipment that are not
always readily available. The purpose of this study is to test the feasibility of
using dynamic light scattering (DLS) to non-invasively detect laser retinal
injuries through interrogation of the vitreous humor (VH). Three grades of
retinal laser lesions were studied: mild (minimally visible lesions), moderate
(Grade II), and severe (Grade III). A pre-post-treatment design was used to
collect DLS measurements in vivo at various time points, using a customized
instrument. VH samples were analyzed by liquid chromatography/tandem mass
spectrometry (LC-MS/MS) and relative protein abundances were determined by
spectral counting. DLS signal analysis revealed significant changes in particle
diameter and intensity in laser-treated groups as compared with control.
Differences in protein profile in the VH of the laser-treated eyes were noted
when compared with control. These results suggest that laser injury to the retina
induces upregulation of proteins that diffuse into the VH from the damaged
tissue, which can be detected non-invasively using DLS.
PMID- 29635605
TI - Incident Angle Correction Algorithm For Impulse Noise Injury Assessment.
AB - Objectives: We developed an empirical algorithm to account for the effect of the
change in the A-weighted sound exposure level (SELA) as a result of the change in
angle of incidence (AoI) of the impulse noise on the prediction of hearing loss.
The product is the upgraded software tool, Auditory 4.5 that incorporates the
incident angle correction algorithm. Methods: The SELA calculated from free-field
pressure data is used as the dose metric that was corrected for AoI. The angle
dependent eardrum pressure was measured by performing shock tube tests with the
Acoustical Testing Fixture varied over a wide range of orientation angles. The
yaw angle was varied from 0 to 360 degrees and the pitch angle from -60 degrees
to +90 degrees in 15 degrees steps. The algorithm was constructed by
calculating a correction factor, DeltaSELA for any given AoI at the ear relative
to the SELA at normal incidence. The DeltaSELA values were applied to correct the
dose values to predict injury for all AoI. Results: A three-dimensional contour
of DeltaSELA as a function of the AoI was produced. The largest DeltaSELA was
9.81 dB at pitch = -15 degrees and yaw = 255 degrees . DeltaSELA values compared
well against available benchmark data. Conclusions: A new capability has been
incorporated in Auditory 4.5 to predict the effects of AoI on impulse noise
injury.
PMID- 29635606
TI - Comparison of Intubation Using Personal Protective Equipment and Standard Uniform
in Simulated Cadaveric Models.
AB - Introduction: The use of personal protection equipment (PPE) for patient care can
have an impact on the delivery of effective patient care. The purpose of this
study is to examine the effects of basic PPE on intubation times and
corresponding success rates in cadaver models. Methods: A prospective crossover
design using a single cohort of emergency medicine residents was used for this
study. The primary objective is to compare time with intubation in standard
uniform (e.g., scrubs without PPE) and using PPE. The secondary objectives are
measuring success rates of intubation and the perceived difficulty of intubation
when using PPE. Results: The mean time to intubation in the standard uniform
group was 18.85 s, whereas the mean time to intubation in the PPE group was
19.29. The overall success rate in the standard uniform group was 83% compared
with the PPE group, which was 72%. The perceived difficulty was higher in the PPE
group (3.38) when compared with the standard uniform. Conclusion: Although the
mean intubation times between the groups were not significantly different, the
overall success rate was significantly higher in the standard uniform group when
compared with PPE group. The perceived difficulty rating was also noted to be
significantly higher in the PPE group when compared with the standard uniform
group.
PMID- 29635607
TI - Retrospective Analysis of Injuries in Underbody Blast Events: 2007-2010.
AB - Underbody Blast (UBB) exposure emerged as a substantial cause of morbidity and
mortality of Service Members in Iraq and Afghanistan, which was unique to OIF/OEF
due to the frequent use of improvised explosive devices. Improvised explosive
devices under the vehicle delivered high-rate vertical loading to the vehicle
translating energy to the occupant(s) resulting in injuries. Injury mitigating
technologies needed to be developed; however, technologies rely on biomechanical
human response data for research and development. Widely accepted human response
corridors have been developed and established for slower frontal and side impact
exposures. Currently, there are no accepted human response data for high-rate
vertical exposures, like those experienced during UBB events. To understand the
mechanisms and replicate the exposures, analyses of injuries caused by UBB events
were required. Medical injury data from UBB events during OIF/OEF were examined.
Data were categorized by disposition, body region, injury type, and severity.
Data analyses were performed on 555 Service Members receiving a total of 3,844
injuries. The Torso and the Head/face regions were the most injured and sustained
predominately fractures/dislocations and internal organ injuries. This work will
allow others to prioritize injuries to develop the methodology required to create
response metrics to improve energy mitigating technology.
PMID- 29635608
TI - The 2016 Military Health System Research Symposium Awards.
PMID- 29635609
TI - Computational Modeling of Blast Wave Transmission Through Human Ear.
AB - Hearing loss has become the most common disability among veterans. Understanding
how blast waves propagate through the human ear is a necessary step in the
development of effective hearing protection devices (HPDs). This article presents
the first 3D finite element (FE) model of the human ear to simulate blast wave
transmission through the ear. The 3D FE model of the human ear consisting of the
ear canal, tympanic membrane, ossicular chain, and middle ear cavity was imported
into ANSYS Workbench for coupled fluid-structure interaction analysis in the time
domain. Blast pressure waveforms recorded external to the ear in human cadaver
temporal bone tests were applied at the entrance of the ear canal in the model.
The pressure waveforms near the tympanic membrane (TM) in the canal (P1) and
behind the TM in the middle ear cavity (P2) were calculated. The model-predicted
results were then compared with measured P1 and P2 waveforms recorded in human
cadaver ears during blast tests. Results show that the model-derived P1 waveforms
were in an agreement with the experimentally recorded waveforms with statistic
Kurtosis analysis. The FE model will be used for the evaluation of HPDs in future
studies.
PMID- 29635610
TI - Impact of In-Person and Virtual World Mindfulness Training on Symptoms of Post
Traumatic Stress Disorder and Attention Deficit and Hyperactivity Disorder.
AB - Mindfulness meditation training has been shown to reduce stress and improve short
term memory for military personnel. However, no studies have investigated the
effects of in-person and virtual world (VW) mindfulness training on Post
Traumatic Stress Disorder (PTSD) or Attention Deficit Hyperactivity Disorder
(ADHD) symptoms. In this study, U.S. military active duty service members and
veterans were pseudo-randomized into two mindfulness training groups: in-person
(IP) and online via a VW, and a wait-list control group. Volunteers answered a
demographic questionnaire, and completed the PTSD Checklist-Military Version (PCL
M) and ADHD Current Symptoms Scale before and after training. The results showed
practical and clinically relevant reductions in PTSD symptoms, particular for the
IP group, but did not show statistical relevance with hypothesis testing. Results
also showed post-training reductions in ADHD symptoms for both IP and VW groups,
but no change for the control group. To investigate the effects of initial ADHD
symptoms, IP and VW groups were combined into a single Mindfulness Training
group. Those with high-initial ADHD symptoms attending training showed
improvements, but the control group did not. These results expand research on the
mindfulness training, and suggest that IP mindfulness training, rather than VW
training, may be of greater benefit for those with PTSD symptoms, while either
delivery system appears adequate for reducing attentional symptoms.
PMID- 29635611
TI - Potential of Intranasal Neuropeptide Y (NPY) and/or Melanocortin 4 Receptor
(MC4R) Antagonists for Preventing or Treating PTSD.
AB - There is a great need for effective treatment options for post-traumatic stress
disorder (PTSD). Neuropeptide Y (NPY) is associated with resilience to traumatic
stress. MC4R antagonists, such as HS014, also reduce response to stress. Both
regulate stress-responsive systems - the hypothalamic-pituitary-axis (HPA) and
the noradrenergic nervous system and their associated behaviors. Therefore, we
examined if their intranasal delivery to brain could attenuate development of
PTSD-related symptoms in single prolonged stress (SPS) rodent PTSD model. Three
regimens were used: (1) prophylactic treatment 30 min before SPS stressors, (2)
early intervention right after SPS stressors, (3) therapeutic treatment when PTSD
behaviors are manifested 1 wk or more after the traumatic stress. NPY delivered
by regimen 1 or 2 prevented SPS-triggered elevation in anxiety, depressive-like
behavior, and hyperarousal and reduced dysregulation of HPA axis. Hypothalamic
CRH mRNA and GR in ventral hippocampus were significantly induced in vehicle- but
not NPY-treated group. NPY also prevented hypersensitivity of LC/NE system to
novel mild stressor and induction of CRH in amygdala. Some of these impairments
were also reduced with HS014, alone or together with NPY. When given after
symptoms were manifested (regiment 3), NPY attenuated anxiety and depressive
behaviors. This demonstrates strong preclinical proof of concept for intranasal
NPY, and perhaps MC4R antagonists, for non-invasive early pharmacological
interventions for PTSD and comorbid disorders and possibly also as therapeutic
strategy.
PMID- 29635612
TI - Feasibility of Training Physical Therapists to Implement a Psychologically
Informed Physical Therapy Program for Deployed U.S. Sailors and Marines with
Musculoskeletal Injuries.
AB - This study assesses the feasibility of training U.S. Navy Physical Therapy staff
members (PT staff) aboard a U.S. Navy Aircraft Carrier in psychologically
informed physical therapy (PiPT). Training was conducted prior to deployment over
3 d and included background information, skills development, and application in
the form of role playing and case studies. During deployment, nine phone
conferences were conducted to reinforce training, assess skills, and discuss
implementation. PiPT knowledge was assessed by a written test and role-playing
skills. The adoption of the training was determined by analysis of clinical notes
and verbal responses of the PT staff during phone conferences. There were two PT
staff members on the carrier. Both received passing knowledge test scores and
demonstrated role-playing proficiency. Clinical note assessment and discussions
during conference calls also indicated successful implementation. The feasibility
of training Navy PT staff to implement PiPT was demonstrated. PT staff
successfully translated training into practice. This is significant, since PiPT
has the potential to limit attrition due to musculoskeletal injuries in Navy
personnel. Factors believed to be associated with the success of the training
include adoption of the PiPT model by PT staff and reinforcement of changes in
clinical practice during deployment.
PMID- 29635613
TI - Using the RE-AIM Model of Health Promotion to Implement a Military Women's Health
Promotion Program for Austere Settings.
AB - The health concerns for military women who serve in austere environments include
feminine hygiene, risk of infection, and limited knowledge of gynecologic
conditions, symptoms, and prevention. The purpose of this study is to evaluate
the effectiveness of the Women's Health Promotion Program (WHPP) for Austere
Environments that was implemented in the military community setting of a large
operational military unit on a southeastern U.S. military base. The WHPP is a
pilot-tested program designed to educate women to recognize and prepare for
environments that require alteration of feminine hygiene behaviors, with the goal
of maintaining genitourinary health. Evaluation of the WHPP was designed
according to the RE-AIM framework, which measures the Reach,
Efficacy/effectiveness, Adoption, Implementation, and Maintenance of a health
promotion program. The WHPP was offered to 49 military units (none of which
declined) and presented to 443 military women in those units. One year after the
implementation of the WHPP, the rates of urinary tract infections, vaginal
candidiasis infections, and menstrual disorders decreased among the units that
participated in the WHPP. These findings lay the foundation for an Army-wide
adoption of this WHPP that could enhance readiness in military women.
PMID- 29635614
TI - Army Combat Medic Resilience: The Process of Forging Loyalty.
AB - This study presents a grounded theory analysis of in-depth interviews of United
States Army Combat Medics (CMs) who had served in Iraq and/or Afghanistan. The
study explores how 17 CMs nominated by their peers as resilient cope with
military stressors in order to identify the factors that enable them to thrive
amidst harsh conditions. Four distinct categories of characteristics unique to
this group emerged: (1) social bonding, (2) readiness, (3) dual loyalty as
performance, and (4) leader by example. Forging loyalty underpins these
characteristics and represents the main process used by resilient CMs and
comprised three behavior patterns: (1) commitment to the family, (2) commitment
to the military mission, and (3) commitment to their guiding religious and
spiritual beliefs. Prominent behavioral tendencies of forging loyalty likely
developed during childhood and re-enforced by families, friends, and other role
models. Based on the findings, new training and education efforts should focus on
developing positive emotional, environmental, and social resources to enhance the
health and well-being of service members and their families.
PMID- 29635615
TI - Hydrogel Contact Lens Water Content is Dependent on Tearfilm pH.
AB - Introduction: Based on clinical inferences, investigators theorized in situ soft
lens hydration was linked to the precorneal tearfilm pH. Methods: Forty-one
myopic subjects at Fort Rucker, AL, USA were fitted with one of two types of
extended-wear soft contact lenses, and were followed quarterly for a period of 33
mo. The anterior soft contact lens surface pH was measured in situ, while the in
vitro lens water content was measured immediately after lens removal, using a
hand-held refractometer on one lens, and a gravimetric means of hydration
measurement on the other lens. Results: The in situ pH increased logarithmically
across extended wearing time, reaching an asymptote at approximately 5 d' wearing
time at a pH of 7.45 +/- 0.03. Lens water content was shown to similarly decrease
at an inversely logarithmic rate, leveling off at 4-5 d' extended wearing time.
Both means of hydration assessment correlated well with each other across days'
extended wearing time (R = 0.98; p < 0.0001). Discussion: A log-log dual
conversion yielded significantly different linear slopes (p < 0.001), based on a
multifactorial analysis of both lens types, by the pH, and by their water
content. The differing ionic status of each material accentuated their varied
polar attraction characteristics. Two soft lenses, identical in all patient-based
parameters, could provide differing oxygen availability, as well as differing
physical fits in patients of identical physical characteristics, as a result of
their unique tearfilm pH differences. Conclusion: The in situ hydrogel lens water
content is directly dependent on the precorneal tearfilm pH.
PMID- 29635616
TI - Issue Overview.
PMID- 29635617
TI - Surfactant Dysfunction in ARDS and Bronchiolitis is Repaired with Cyclodextrins.
AB - Objectives: Acute respiratory distress syndrome (ARDS) is caused by many factors
including inhalation of toxicants, acute barotrauma, acid aspiration, and burns.
Surfactant function is impaired in ARDS and acute airway injury resulting in high
surface tension with alveolar and small airway collapse, edema, hypoxemia, and
death. In this study, we explore the mechanisms whereby surfactant becomes
dysfunctional in ARDS and bronchiolitis and its repair with a cyclodextrin drug
that sequesters cholesterol. Methods: We used in vitro model systems, a mouse
model of ARDS, and samples from patients with acute bronchiolitis. Surface
tension was measured by captive bubble surfactometry. Results: Patient samples
showed severe surfactant inhibition even in the absence of elevated cholesterol
levels. Surfactant was also impaired in ARDS mice where the cholesterol to
phospholipid ratio (W/W%) was increased. Methyl-beta-cyclodextrin (MbetaCD)
restored surfactant function to normal in both human and animal samples. Model
studies showed that the inhibition of surfactant was due to both elevated
cholesterol and an interaction between cholesterol and oxidized phospholipids.
MbetaCD was also shown to have anti-inflammatory effects. Conclusions: Inhaled
cyclodextrins have potential for the treatment of ARDS. They could be delivered
in a portable device carried in combat and used following exposure to toxic gases
and fumes or shock secondary to hemorrhage and burns.
PMID- 29635618
TI - Medical Device Connectivity Challenges Outline the Technical Requirements and
Standards For Promoting Big Data Research and Personalized Medicine in
Neurocritical Care.
AB - Brain injuries are complicated medical problems and their management requires
data from disparate sources to extract actionable information. In neurocritical
care, interoperability is lacking despite the perceived benefits. Several efforts
have been underway, but none have been widely adopted, underscoring the
difficulty of achieving this goal. We have identified the current pain points of
data collection and integration based on the experience with two large multi-site
clinical studies: Transforming Research And Clinical Knowledge in Traumatic Brain
Injury (TRACK-TBI) in the United States and Collaborative European Neuro Trauma
Effectiveness Research in Traumatic Brain Injury (CENTER-TBI) in Europe. The
variability of measurements across sites remains a barrier to uniform data
collection. We found a need for annotation standards and for a standardized
archive format for high-resolution data. Overall, the hidden cost for successful
data collection was initially underestimated.Although the use of bedside data
integration solutions, such as the Moberg's Component Neuromonitoring System
(Moberg Research, Inc., Ambler, PA, USA) or ICM+ software (Cambridge Enterprise,
Cambridge, UK), facilitated the homogenous collection of synchronized data, there
remain issues that need to be addressed by the neurocritical care community. To
this end, we have organized a Working Group on Neurocritical Care Informatics,
whose next step is to create an overarching informatics framework that takes
advantage of the collected information to answer scientific questions and to
accelerate the translation of trial results to actions benefitting military
medicine.
PMID- 29635619
TI - Ciprofloxacin and Rifampin Dual Antibiotic-Loaded Biopolymer Chitosan Sponge for
Bacterial Inhibition.
AB - Complex extremity wounds in Wounded Warriors can become contaminated with
microbes, which may cause clinical outcomes resulting in amputation, morbidity,
or even fatality. Local delivery of multiple or broad-spectrum antibiotics allows
practicing clinicians treatment solutions that may inhibit biofilm formation.
Propagation of vancomycin-resistant Staphylococcus aureus is also a growing
concern. The development of vancomycin-resistant S. aureus has become a critical
challenge in nosocomial infection prevention in the USA, but to date has seen
little occurrence in osteomyelitis. As an alternative, locally delivered
ciprofloxacin and rifampin were investigated in a preclinical model for the
prevention of biofilm in complex extremity wounds with implanted fixation device.
In vitro assays demonstrated ciprofloxacin and rifampin possess an additive
effect against Gram-negative Pseudomonas aeruginosa and were actively eluted from
a chitosan sponge based local delivery system. In an in vivo orthopedic hardware
associated polymicrobial model (S. aureus and Escherichia coli) the combination
was able to achieve complete clearance of both bacterial strains. E. coli was
detected in bone of untreated animals, but did not form biofilm on wires. Results
reveal the clinical potential of antibiotic-loaded chitosan sponges to inhibit
infection through tailored antibiotic selection at desired concentrations with
efficacy towards biofilm inhibition.
PMID- 29635620
TI - Association of 25-OH Vitamin D Status with Findings on Screening Colonoscopy.
AB - Objectives: Greater serum levels and dietary intake of vitamin D have been
inversely associated with the risk of multiple cancers including colon cancer.
Most colorectal cancers are thought to arise from adenomatous polyps, which
become dysplastic under the influence of numerous factors. Prospective data are
needed to distinguish between association or a causative role of vitamin D in the
pathogenesis of colorectal cancer. Methods: A prospective cohort study was
designed, located at a hospital-based screening colonoscopy referral center,
including Department of Defense beneficiaries aged 18 yr or older. A serum 25
hydroxyvitamin D level was drawn, and colonoscopy findings were recorded. A power
calculation using p = 0.8, alpha = 0.05 generated a necessary sample size of n =
224 to detect an association between vitamin D level and adenomatous polyp.
Unconditional multivariable logistic regression modeling was used to evaluate
associations between outcomes, adjusted for known risk factors. Results: Of final
population (n) = 228, 155 (68%) were diagnosed with vitamin D insufficiency (<30
ng/mL) and 104 (46%) were found to have adenomatous polyps. Adjusted odds ratio
for adenomatous colon polyp given vitamin D <30 ng/mL = 1.16 (95% CI 0.57-2.36).
Conclusions: Serum vitamin D level was not associated with increased adenomatous
polyp detection at screening colonoscopy in this population.
PMID- 29635621
TI - Deployment Anxiety Reduction Training: A Pilot Study of Acceptability and
Feasibility in Current or Recent Active Duty Service Members.
AB - Objectives: The Deployment Anxiety Reduction Training (DART) is a manualized tool
that was developed and piloted with active duty service members and recently
deployed veterans regarding their response to potential and experienced acute
combat stress reactions. DART is low risk and has high potential to be
beneficial. It is a brief, one-session, non-pharmacological approach designed to
reduce symptoms of peritraumatic panic and increase resilience in the face of a
potentially traumatic stressor. Methods: This study was a mixed-methods pilot
study to assess the utility and acceptability of DART during deployment. Results:
Self-report and interview responses indicated that participants generally found
the DART techniques acceptable and easy to understand. Overall, the techniques
were perceived as likely to be helpful with high utility, although there was
variation in perceived helpfulness among the different techniques. Participants
overwhelmingly positively endorsed delivery of the DART protocol through use of
smartphone technology. Conclusions: Results indicate that the DART components
were considered highly acceptable and feasible for use in the deployed
environment.
PMID- 29635622
TI - Local Delivery of Amikacin and Vancomycin from Chitosan Sponges Prevent
Polymicrobial Implant-Associated Biofilm.
AB - Military personnel have high risk for infection, particularly those with combat
related extremity trauma. Administration of multiple or broad-spectrum
antibiotics provides clinicians with a strategy for preventing biofilm-based
medical device infections. Selection of effective antibiotic combinations based
on common pathogens may be used to improve chitosan wound dressing sponge-based
local antibiotic delivery systems. In vitro assays in this study demonstrate that
vancomycin and amikacin have a synergistic relationship against a strain of
osteomyelitis-producing Gram-positive Staphylococcus aureus, although an
indifferent relationship was observed against Gram-negative Pseudomonas
aeruginosa. In an in vivo model of orthopedic hardware-associated polymicrobial
(S. aureus and Escherichia coli) biofilm, chitosan sponges loaded with a
combination of vancomycin and amikacin at 5 mg/mL each showed a greater
percentage of complete clearance, 50%, than either antibiotic alone, 8.33%.
Doubling the loading concentration of the combination achieved a complete
clearance rate of 100%, a four log-fold reduction of S. aureus on the wire and a
six log-fold reduction in bone. E. coli was detected in bone of untreated animals
but did not form biofilm on wires. Results demonstrate the clinical potential of
chitosan sponges to prevent infection and illustrates antibiotic selection and
loading concentrations necessary for effective biofilm prevention.
PMID- 29635623
TI - Inertial Sensor-Based Assessment of Central Sensory Integration for Balance After
Mild Traumatic Brain Injury.
AB - Introduction: Optimal balance control requires a complex integration of sensory
information from the visual, vestibular, and proprioceptive systems. The goal of
this study is to determine if the instrumented modified Clinical Test of Sensory
Integration and Balance (mCTSIB) was impaired acutely after mild traumatic brain
injury (mTBI) when postural sway under varying sensory conditions was measured
with a wearable inertial sensor. Materials and Methods: Postural sway was
assessed in athletes who had sustained a mTBI within the past 2-3 d (n = 38) and
control athletes (n = 81). Postural sway was quantified with a wearable inertial
sensor (Opal; APDM, Inc.) during four varying sensory conditions of quiet stance:
(1) eyes open (EO) firm surface, (2) eyes closed (EC) firm surface, (3) eyes open
(EO) foam surface, and (4) eyes closed (EC) foam surface. Sensory reweighting
deficits were computed by comparing the postural sway area in eyes closed versus
eyes open conditions for firm and foam condition. Results: Postural sway was
higher for mTBI compared with the control group during three of the four
conditions of instrumented mCTSIB (EO firm, EC firm, and EC foam; p < 0.05).
Sensory reweighting deficits were evident for mTBI individuals compared with
control group on foam surface (EC firm vs EO firm; p < 0.05) and not on firm
surface (EC firm vs EO firm; p = 0.63). Conclusions: The results from this study
highlight the importance of detecting postural sway deficits during sensorimotor
integration in mild TBI individuals.
PMID- 29635624
TI - An Innovative Advance in Non-invasive Wound Closure: A New Paradigm.
AB - Injury is the leading health and readiness threat to the armed forces, with two
million instances per year; therefore, innovating wound care solutions can help
improve readiness. The DermaClip Skin Closure Device is a new, non-invasive,
painless, and easy-to-apply wound closure device that does not require either
needles or painful anesthesia injections or create additional damage to the
wounded area. The efficacy of the device was tested in a 120-patient trial,
composed of 60 experimental cases and 60 control cases. The trial of the
DermaClip device demonstrated the device's efficacy in meeting the needs of
clinical applications. Additionally, the experimental group had no adverse events
in the product safety test. The efficacy of the device coupled with the features
of ease of use and limited requirements for application make this a wound closure
device particularly applicable to the emergency and battlefield setting.
PMID- 29635625
TI - Ocular Manifestations of Mosquito-Transmitted Diseases.
AB - Of the 3,548 known mosquito species, about 100 transmit human diseases.
Mosquitoes are distributed globally throughout tropical and temperate regions
where standing water sources are available for egg laying and the maturation of
larva. Female mosquitoes require blood meals for egg production. This is the main
pathway for disease transmission. Mosquitoes carry several pathogenic organisms
responsible for significant ocular pathology and vision loss including West Nile,
Rift Valley, chikungunya, dengue viruses, various encephalitis viruses, malarial
parasites, Francisella tularensis, microfilarial parasites, including
Dirofilaria, Wuchereria, and Brugia spp., and human botfly larvae. Health care
providers may not be familiar with many of these mosquito-transmitted diseases or
their associated ocular findings delaying diagnosis, treatment, and recovery of
visual function. This article aims to provide an overview of the ocular
manifestations associated with mosquito-transmitted diseases.
PMID- 29635626
TI - Exosomal microRNAs in seminal plasma are markers of the origin of azoospermia and
can predict the presence of sperm in testicular tissue.
AB - STUDY QUESTION: Are exosomal microRNAs (miRNAs) in seminal plasma (SP) useful as
markers of the origin of azoospermia and the presence of sperm in the testis?
SUMMARY ANSWER: Our study demonstrated the potential of several miRNAs contained
in small extracellular vesicles (sEVs) of seminal fluid as sensitive and specific
biomarkers for selecting those azoospermic individuals with real chances of
obtaining spermatozoa from the testicular biopsy. WHAT IS KNOWN ALREADY: There
are no precise non-invasive diagnostic methods for classifying the origin of the
sperm defects in semen and the spermatogenic reserve of the testis in those
infertile men with a total absence of sperm in the ejaculate (azoospermia). The
diagnosis of such individuals is often based on the practice of biopsies. In this
context it is reasonable to study the presence of organ-specific markers in human
semen that contains fluid from the testis and the male reproductive glands, which
could help in the diagnosis and prognosis of male infertility. Additionally,
seminal fluid contains high concentrations of sEVs that are morphologically and
molecularly consistent with exosomes, which originate from multiple cellular
sources in the male reproductive tract. STUDY DESIGN, SIZE, DURATION: A case and
control prospective study was performed. This study compares the miRNA content of
exosomes in semen samples obtained from nine normozoospermic fertile individuals
(control group), 14 infertile men diagnosed with azoospermia due to spermatogenic
failure, and 13 individuals with obstructive azoospermia and conserved
spermatogenesis. Additionally, three severe oligozoospermic individuals (<5 * 106
sperm/ml) were included in the study. PARTICIPANTS/MATERIALS, SETTING, METHODS: A
differential high-throughput miRNA profiling analysis using miRNA quantitative
PCR panels was performed in SP exosomes from azoospermic patients and fertile
individuals. MAIN RESULTS AND THE ROLE OF CHANCE: A total of 623 miRNAs were
included in the miRNA profiling stage of the study. A total of 397 miRNAs (63.7%)
were consistently detected in samples from all groups and statistically analysed,
which revealed altered patterns of miRNA expression in infertile patients. We
focused on the miRNAs that were differentially expressed between azoospermia as a
result of an obstruction in the genital tract (i.e. having conserved
spermatogenesis) and azoospermia caused by spermatogenic failure, and described,
in a miRNA validation stage of the study, the expression values of one miRNA (miR
31-5p) in exosomes from semen as a predictive biomarker test for the origin of
azoospermia with high sensitivity and specificity (>90%). The efficacy of the
predictive test was even better when the blood FSH values were included in the
analysis. Furthermore a model that included miR-539-5p and miR-941 expression
values is also described as being useful for predicting the presence of residual
spermatogenesis in individuals with severe spermatogenic disorders with
diagnostic accuracy. LIMITATIONS, REASONS FOR CAUTION: Further studies, with an
independent second population involving a larger number of samples, are needed to
confirm our findings. WIDER IMPLICATIONS OF THE FINDINGS: Our findings contribute
to the search for the most valuable genetic markers that are potentially useful
as tools for predicting the presence of testicular sperm in azoospermic
individuals. STUDY FUNDING/COMPETING INTEREST(S): This work was financially
supported by grants from the Fondo de Investigaciones Sanitarias/Fondo Europeo de
Desarrollo Regional "Una manera de hacer Europa" (FIS/FEDER) [Grant number
PI15/00153], the Generalitat de Catalunya [Grant number 2014SGR5412]. S.L. is
sponsored by the Researchers Stabilization Program (ISCIII/Generalitat de
Catalunya) from the Spanish National Health System [CES09/020].
PMID- 29635628
TI - Outstanding Merit Award for 2017.
PMID- 29635627
TI - An exclusion criterion for acute pericarditis.
PMID- 29635629
TI - Temocillin against Enterobacteriaceae isolates from community-acquired urinary
tract infections: low rate of resistance and good accuracy of routine
susceptibility testing methods.
AB - Background: Temocillin is an old 'revived' antibiotic that may play an important
role in the treatment of febrile urinary tract infection (UTI). Data regarding
its activity against current Enterobacteriaceae isolates as well as the
performance of routine susceptibility testing methods are, however, scarce.
Objectives: To determine the MICs of temocillin for Enterobacteriaceae strains
reflecting the current epidemiology and to analyse the accuracy of three
commercial methods. Methods: Enterobacteriaceae isolates causing community
acquired UTI were prospectively collected from September 2015 to January 2017 in
two French centres. Temocillin MIC was determined by agar dilution (AD) as the
reference method and then compared with: (i) susceptibility testing by disc
diffusion; (ii) MIC determination by Etest; and (iii) MIC estimation by the Vitek
2 automated system. Results: A total of 762 Enterobacteriaceae were analysed
comprising 658 (86.4%) Escherichia coli and 37 (4.9%) ESBL-producing isolates.
Susceptibility rate assessed by AD was 99.6% according to the 8 mg/L clinical
breakpoint and was significantly lower against the ESBL-producing isolates than
the non-ESBL-producing isolates (94.6% versus 99.9%, P < 0.01). The MIC50 and
MIC90 for the total set were 3 and 6 mg/L, respectively. According to the 8 mg/L
clinical breakpoint, the major error rate was <1% for disc diffusion and Etest,
and significantly higher for Vitek 2 (4.3%, P < 0.01), but still low. No very
major error was noticed. Conclusions: Temocillin showed a high level of activity
against Enterobacteriaceae from community-acquired UTI and good to excellent
reliability of routine methods for susceptibility testing in such a setting.
PMID- 29635631
TI - Trend Analysis of Current Modalities for Monitoring Fluid Therapy in Patients
With Large Burns: Echoing the Call for Better Resuscitation Indices.
AB - The aim of this study was to investigate whether current standards at our
institution have effectively monitored resuscitations of severely burned patients
during the first 48 hours postburn. Demographics, injuries assessed by TBSA and
full thickness (FT), and resuscitation volumes (lactated Ringer's [LR]) were
compared for all patients and those who died or survived. Means and standard
deviations of hourly indices (urinary output [UOP], lactate [LAC], base excess
[BE]) vs LR were analyzed. Waveforms, four-quadrant concordance, and correlation
were also employed to compare the trending abilities (hourly changes [?]) of
aforementioned variables vs LR. A total of 203 patients were included in the
analysis. Of these patients, 71 (35%) died, and 50 (25%) had inhalation injuries.
Mean age and weight were 47 +/- 19 years and 87 +/- 18 kg, respectively. Mean
TBSA burned was 41 +/- 20%, with a mean FT of 18 +/- 24%. Importantly, normalized
waveform plots demonstrated the inability of UO, LAC, and BE to follow hourly
changes in LR. Correlation of these variables was weak (r>>-1). This was
confirmed by concordance plots. Slopes in all groups demonstrated that UOP was a
better resuscitative monitor than LAC or BE. ?UOP responded to ?LR better in
patients who survived than died. Reliance on hourly UOP as the sole index of
optimal resuscitation is not supported. This study echoed the call for better
resuscitation indices.
PMID- 29635630
TI - Creatine enhances the duration of sperm capacitation: a novel factor for
improving in vitro fertilization with small numbers of sperm.
AB - STUDY QUESTION: Why are many sperm required for successful fertilization of
oocytes in vitro, even though fertilization occurs in vivo when only a few sperm
reach the oocyte? SUMMARY ANSWER: Creatine produced in the ovary promotes
efficient fertilization in vivo; however, in vitro, creatine is not contained in
the in vitro fertilization (IVF) medium. WHAT IS KNOWN ALREADY: The IVF medium
enables capacitation of sperm. However, the IVF medium does not fully mimic the
in vivo environment during fertilization. Consequently, fertilization in vitro is
more inefficient than in the oviduct. STUDY DESIGN, SIZE, DURATION: Follicular
and oviductal fluids were collected and then analyzed for creatine and glucose
levels. To determine the physiological functions of creatine, the creatine
antagonist 3-guanidinopropionic acid (GPA) was injected into hormonally primed
mice. Using conventional IVF protocols, sperm were pre-incubated in IVF medium
with creatine and then co-cultured with 10 ovulated cumulus-oocyte complexes (1
1000 per oocyte) in 50 MUl medium droplets. PARTICIPANTS/MATERIALS, SETTING,
METHODS: Glucose and creatine levels were measured using commercial enzymatic
assay kits. The effect of creatine in vivo was assessed by mating experiments
using mice treated with or without GPA just before ovulation. To assess the
functions of sperm incubated in IVF medium containing creatine, we analyzed (1)
the motility of sperm using computer-assisted sperm assay, (2) the capacitation
level of sperm by western blot analyses, and (3) the condition of sperm acrosomes
by peanut agglutinin lectin-FITC staining. MAIN RESULTS AND THE ROLE OF CHANCE:
Oviductal creatine levels were significantly increased following ovulation.
Injecting mice with GPA just before ovulation significantly reduced the number of
fertilized oocytes. The addition of creatine to IVF medium enhanced sperm
capacitation by increasing ATP levels. Successful fertilization was achieved with
as few as five sperm/oocyte in the creatine group, and the number of fertilized
oocytes was significantly higher than in the control without creatine (P < 0.01).
LIMITATIONS, REASONS FOR CAUTION: In the present study, a pharmacological
approach, creatine antagonist (GPA) treatment, but not a knockout mouse model,
was used to understand the role of creatine in vivo. The role of creatine in
fertilization processes can only be shown in a mouse model. WIDER IMPLICATIONS OF
THE FINDINGS: A modified IVF technique using creatine-containing medium was
developed and shown to markedly improve fertilization with small numbers of
sperm. This approach has the potential to be highly beneficial for human assisted
reproductive technologies, especially for patients with a limited number of good
quality sperm. STUDY FUNDING/COMPETING INTEREST(S): This work was supported in
part by JSPS KAKENHI Grant numbers JP24688028, JP16H05017 (to M.S.), and
JP15J05331 (to T.U.), the Japan Agency for Medical Research and Development
(AMED) (16gk0110015h0001 to M.S.), and National Institutes of Health (NIH-HD
076980 to J.S.R). The authors have nothing to disclose.
PMID- 29635632
TI - A double-blind, randomized comparative study to investigate the morphine to
hydromorphone conversion ratio in Japanese cancer patients.
AB - Objective: To confirm the morphine to hydromorphone conversion ratio for
hydromorphone (DS-7113b) immediate-release tablets in cancer patients who
achieved pain control with oral morphine. Methods: This was a multicenter, active
controlled, randomized, double-blind, parallel-group, comparative study (July
2013 to December 2014) at 39 Japanese sites. Seventy-one patients (aged >20
years) who had achieved pain control with morphine 60 mg/day and 90 mg/day were
randomly allocated 1:1 to hydromorphone immediate-release tablets at a dose
converted at a hydromorphone:morphine ratio of 1:5 or 1:8, respectively, and
treated for up to 5 days. The efficacy was evaluated as the pain control ratio.
Results: The pain control ratio in the full analysis set was 83.3% (25/30) in the
conversion ratio 1:5 group and 95.0% (38/40) in the conversion ratio 1:8 group,
and both groups demonstrated highly successful pain control. The incidence of
adverse events was 46.7% (14/30) in the conversion ratio 1:5 group and 58.5%
(24/41) in the 1:8 group; the difference was not clinically relevant. Frequently
observed adverse events (incidence >=5%) were nausea, vomiting, diarrhea,
somnolence and dyspnea. Conclusions: A high pain control ratio was maintained by
a switch at either conversion ratio, and no notable difference was observed in
the incidence of adverse events. A switch from morphine to hydromorphone is
effective at a dose converted at ratios of 1:5 and 1:8.
PMID- 29635633
TI - Genetic parameters for fecal egg counts and their relationship with body weights
in Katahdin lambs.
AB - Reliance on anthelmintic drugs to control internal parasites in sheep is no
longer sustainable because of the development of resistance to these drugs in
parasite populations. Genetic selection may offer an alternative long-term
solution, as differences in parasite resistance exist both within and among sheep
breeds. However, selection for parasite resistance may have correlated effects on
other production traits. The objectives of this study were to estimate genetic
parameters for weaning (WFEC) and postweaning (PWFEC) fecal egg counts (FEC) and
assess their relationship with birth (BWT), weaning (WWT), and postweaning (PWWT)
BW in Katahdin lambs. The study used WFEC (n = 2,537), PWFEC (n = 3.421), BWT (n
= 12,869), WWT (n = 10,961), and PWWT (n = 7,812) from 12,869 lambs measured
between 2003 and 2015 in 13 flocks enrolled in the U.S. National Sheep
Improvement Program. Animal and sire models were fitted to the data using the
ASReml statistical package. Records were corrected for fixed effects of dam age,
joint effect of type of birth and rearing, and management group (defined by joint
effects of flock, sex, and birth year and season); lamb age in days at each
measurement time was fitted as a covariate. Maternal additive and maternal
permanent environmental effects were not significant (P > 0.05), but litter
effects influenced (P < 0.01) both WFEC and PWFEC. Heritability estimates ranged
from 0.18 to 0.26 for WFEC and 0.23 to 0.46 for PWFEC, depending on the model
used. Heritability estimates from sire models were higher than estimates from
animal models. Direct additive, litter, residual, and phenotypic correlations
between WFEC and PWFEC were 0.82, 0.25, 0.15, and 0.29, respectively. Bivariate
analyses revealed low to moderate correlations between BW and FEC. Moderate
heritabilities for FEC in this study indicated that genetic progress for this
trait can be achieved in Katahdin lambs and that selection for low FEC should
have little or no effect on BW.
PMID- 29635634
TI - Phosphofructokinase and mitochondria partially explain the high ultimate pH of
broiler pectoralis major muscle.
AB - During postmortem metabolism, muscle pH gradually declines to reach an ultimate
pH near 5.6 across most meat species. Yet, broiler pectoralis major (P. major)
muscle generates meat with high ultimate pH (pH ~ 5.9). For better understanding
of the underlying mechanism responsible for this phenomenon, we evaluated the
involvement of breast muscle chilling on the extent of postmortem metabolism.
Broiler breast muscles were either subjected to chilling treatment (control) or
left at room temperature (RT) for 120 min. P. major muscle from the RT treatment
had lower ultimate pH, greater glycogen degradation and lactate accumulation.
While these findings suggest that carcass chilling can contribute to the
premature termination of postmortem metabolism, chilling did not fully explain
the high ultimate pH of P. major muscle. Our results also revealed that glucose-6
phosphate (G6P) was very low at 24 h, and therefore we hypothesized that G6P was
limiting. To test this hypothesis, muscle samples from P. major and porcine
longissimus lumborum (LL) muscle were homogenized into a reaction buffer that
mimics postmortem glycolysis with or without 0.5 mg/mL isolated mitochondria.
While samples containing porcine LL muscle reached the normal level of ultimate
pH, P. major muscle samples reached a value similar to that observed in vivo even
in the presence of excess G6P, indicating that G6P was not limiting. Mitochondria
enhanced the glycolytic flux and pH decline in systems containing muscle from
both species. More importantly, however, was that in vitro system containing
chicken with mitochondria reached pH value similar to that of samples containing
LL muscle without mitochondria. To investigate further, phosphofructokinase (PFK)
activity was compared in broiler P. major and porcine LL muscle at different pH
values. PFK activity was lower in P. major muscle at pH 7, 6.5, and 6.2 than LL
muscle. In conclusion, carcass chilling can partially contribute to the high
ultimate pH of broiler P. major muscle, while low PFK activity and mitochondria
content limit the flux through glycolysis.
PMID- 29635635
TI - A Healthy Eating Identity is Associated with Healthier Food Choice Behaviors
Among U.S. Army Soldiers.
AB - Introduction: Promoting healthy eating among Soldiers is a priority to the Army
due to the link between nutrition and performance. The Army typically uses
nutrition education to encourage Soldiers to make healthier food choices with low
emphasis on other psychosocial determinants of food choice behaviors. Materials
and Methods: Drill Sergeant Candidates (n = 575) completed surveys assessing
nutrition knowledge, eating identity type, and food choice behaviors including
fruit and vegetable intake, skipping meals, and eating out frequency. In multiple
linear regression models using full-information maximum likelihood estimation
while controlling for race/ethnicity, education, and marital status, we examined
relationships between nutrition knowledge, a healthy eating identity, and
Soldiers' food choice behaviors. The study was approved by the Department of
Defense and University of South Carolina's Institutional Review Boards. Results:
A healthy eating identity was positively associated with greater fruit and
vegetable consumption (p < 0.05), and negatively associated with skipping meals
and eating out frequency (p < 0.05). Nutrition knowledge was negatively
associated with skipping meals (p < 0.05). Conclusions: Findings suggest that
fostering a healthy eating identity may be more effective for promoting healthy
food choice behaviors than nutrition education alone. Determining if various
points in a Soldier's career could be leveraged to influence a healthy eating
identity and behaviors could be an important strategy to improve compliance with
health promotion programs.
PMID- 29635636
TI - Electric shielding films for biased TEM samples and their application to in situ
electron holography.
AB - We developed a novel sample preparation method for transmission electron
microscopy (TEM) to suppress superfluous electric fields leaked from biased TEM
samples. In this method, a thin TEM sample is first coated with an insulating
amorphous aluminum oxide (AlOx) film with a thickness of about 20 nm. Then, the
sample is coated with a conductive amorphous carbon film with a thickness of
about 10 nm, and the film is grounded. This technique was applied to a model
sample of a metal electrode/Li-ion-conductive-solid-electrolyte/metal electrode
for biasing electron holography. We found that AlOx film with a thickness of 10
nm has a large withstand voltage of about 8 V and that double layers of AlOx and
carbon act as a 'nano-shield' to suppress 99% of the electric fields outside of
the sample. We also found an asymmetry potential distribution between high and
low potential electrodes in biased solid-electrolyte, indicating different
accumulation behaviors of lithium-ions (Li+) and lithium-ion vacancies (VLi-) in
the biased solid-electrolyte.
PMID- 29635637
TI - Are We Delivering Optimal Pulmonary Rehabilitation? The Importance of Quality
Indicators in Evaluating Clinical Practice.
AB - Pulmonary rehabilitation (PR) is a complex intervention that has been shown to
improve exercise capacity and quality of life, reduce dyspnea, and decrease the
risk of exacerbations and hospitalization. Although the evidence for PR is
strong, the translation of this evidence into clinical practice remains a
challenge, and important gaps in care exist. To date, most research in PR has
focused on questions related to treatment efficacy. Less attention has been paid
to confirming whether the strong evidence base of PR has been effectively
translated to this complex clinical setting. Policy makers and other stakeholders
in PR are calling for the establishment of core standards and quality indicators
in PR to evaluate existing programs and improve patient care. However, what are
quality indicators, and how are they used? This Perspective explores quality
assurance in the context of PR and introduces the concepts and uses of quality
indicators that can be used to evaluate and improve the quality of care.
PMID- 29635639
TI - Subcutaneous tocilizumab in rheumatoid arthritis: findings from the common
framework phase 4 study programme TOZURA conducted in 22 countries.
PMID- 29635638
TI - Enhanced detection of microsatellite instability using pre-PCR elimination of
wild-type DNA homo-polymers in tissue and liquid biopsies.
AB - Detection of microsatellite-instability in colonoscopy-obtained polyps, as well
as in plasma-circulating DNA, is frequently confounded by sensitivity issues due
to co-existing excessive amounts of wild-type DNA. While also an issue for point
mutations, this is particularly problematic for microsatellite changes, due to
the high false-positive artifacts generated by polymerase slippage (stutter
bands). Here, we describe a nuclease-based approach, NaME-PrO, that uses
overlapping oligonucleotides to eliminate unaltered micro-satellites at the
genomic DNA level, prior to PCR. By appropriate design of the overlapping
oligonucleotides, NaME-PrO eliminates WT alleles in long single-base homopolymers
ranging from 10 to 27 nucleotides in length, while sparing targets containing
variable-length indels at any position within the homopolymer. We evaluated 5 MSI
targets individually or simultaneously, NR27, NR21, NR24, BAT25 and BAT26 using
DNA from cell-lines, biopsies and circulating-DNA from colorectal cancer
patients. NaME-PrO enriched altered microsatellites and detected alterations down
to 0.01% allelic-frequency using high-resolution-melting, improving detection
sensitivity by 500-1000-fold relative to current HRM approaches. Capillary
electrophoresis also demonstrated enhanced sensitivity and enrichment of indels 1
16 bases long. We anticipate application of this highly-multiplex-able method
either with standard 5-plex reactions in conjunction with HRM/capillary
electrophoresis or massively-parallel-sequencing-based detection of MSI on
numerous targets for sensitive MSI-detection.
PMID- 29635640
TI - Reply to comment "Do prebiotics reduce the risk of travelers' diarrhea? Promising
but more research needed".
PMID- 29635641
TI - Travel and biologic therapy: travel-related infection risk, vaccine response and
recommendations.
AB - Background: Biologic therapy has revolutionized the management of refractory
chronic autoimmune and auto-inflammatory disease, as well as several
malignancies, providing rapid symptomatic relief and/or disease remission.
Patients receiving biologic therapies have an improved quality of life,
facilitating travel to exotic destinations and potentially placing them at risk
of a range of infections. For each biologic agent, we review associated travel
related infection risk and expected travel vaccine response and effectiveness.
Methods: A PUBMED search [vaccination OR vaccine] AND/OR ['specific vaccine']
AND/OR [immunology OR immune response OR response] AND [biologic OR biological OR
biologic agent] was performed. A review of the literature was performed in order
to develop recommendations on vaccination for patients in receipt of biologic
therapy travelling to high-risk travel destinations. Results: There is a paucity
of literature in this area, however, it is apparent that travel-related infection
risk is increased in patients on biologic therapy and when illness occurs they
are at a higher risk of complication and hospitalization. Patients in receipt of
biologic agents are deemed as having a high level of immunosuppression-live
vaccines, including the yellow fever vaccine, are contraindicated. Inactivated
vaccines are considered safe; however, vaccine response can be attenuated by the
patient's biologic therapy, thereby resulting in reduced vaccine effectiveness
and protection. Conclusions: Best practice requires a collaborative approach
between the patient's primary healthcare physician, relevant specialist and
travel medicine expert, who should all be familiar with the immunosuppressive and
immunomodulatory effects resulting from the biologic therapies. Timing of
vaccines should be carefully planned, and if possible, vaccination provided well
before established immunosuppression.
PMID- 29635642
TI - An analysis of international traveller deaths at the Cliffs of Moher in Ireland,
1993-2017.
AB - Background: Traumatic deaths, and more particularly suicides, during
international travel receive a disproportionately low level of attention in the
travel medicine literature. We describe the demographic profile of international
travellers whose death occurred at the Cliffs of Moher along the Atlantic
seaboard in Ireland. Methods: Coroners' files for the 25 years between 1993 and
2017 were interrogated. All cases of death on or at the cliffs were examined, and
demographic data were extracted, including date of death, gender, age,
nationality, whether the victims were alone at the cliffs prior to their death,
whether the fall was witnessed, prevailing weather conditions, post-mortem
examinations, toxicology reports and inquest verdicts. Results: Overall, 66
deaths occurred on or at the base of the Cliffs of Moher during the period 1993
through August 2017. In total, 18 (27.3%) of the victims were international
visitors to Ireland, including 11 males (61.1%). The mean age of travellers (n =
17) was 34.2 years. Victims were nationals of 12 different countries, with 13
being European nationals. Most deaths occurred in summer (n = 7) or spring (n =
6), with eight deaths (44%) reported at weekends. In total, 15 victims (83.3%)
had walked along the cliff path alone. A jump or fall from the cliffs was
witnessed in only two cases (11.1%). Post-mortem examinations revealed multiple
traumatic injuries consistent with a fall from a height. Four cases had evidence
of alcohol intoxication. Suicide or open verdicts were returned in 50% (n = 9) of
the cases. Conclusions: Travelling alone to the site, purchasing one-way tickets,
or depositing belongings on the clifftop support the possibility of suicidal
intent, while being intoxicated could be a co-factor in suicidal jumps or support
the possibility of an accidental fall. This knowledge could help to identify
travellers at the greatest risk of death at cliffs.
PMID- 29635643
TI - LTBI among migrants by Mediterranean Sea: assessing prevalence and its variations
according with different thresholds and diagnostic tools. A 10-month on-field
experience.
AB - Background: In 2016, 362 753 migrants reached Europe by sea. Most of migrants
come from high tuberculosis (TB) burden countries and travel in conditions that
increase the risk for communicable diseases. The goal of WHO End TB Strategy is
to end global epidemic by 2035. Management of latent TB infection (LTBI) in low
TB incidence countries is thus essential. Nevertheless, a lack of uniformity in
policies and procedures for LTBI screening in Europe is perceived. The aim of
this study was to estimate the LTBI prevalence in migrants by Mediterranean Sea.
Methods: A cross-sectional study was conducted, involving 1038 migrants. Since a
gold standard method is not available, LTBI prevalence was assessed in four
alternative scenarios with different thresholds and diagnostic tools: (i) TST >=
5 mm; (ii) TST >= 10 mm; (iii) TST >= 5 mm plus IGRA; and (iv) TST >= 10 mm plus
IGRA. TST = tuberculin skin test; IGRA = interferon-gamma release assay. Results:
The four scenarios returned the following prevalence: (i) TST >= 5 mm: 40%; (ii)
TST >= 10 mm: 33%; (iii) TST >= 5 mm plus IGRA: 27%; and (iv) TST >= 10 mm plus
IGRA: 25%. Moreover, a positive association was found between the proportion of
IGRA positive patients and the size of TST induration site. No patient who
reported TST >= 18 mm tested IGRA negative. Conclusions: Prevalence varied
substantially in the investigated scenarios. Significant differences were noted
according with the nationality of migrants, probably attributable to different
Bacillus Calmette-Guerin vaccination coverage rates in the countries of origin or
different exposition to non-tuberculous mycobacteria infection. Data about the
nationality can suggest the need of a tailored approach according to migrants'
area of origin.
PMID- 29635644
TI - Recommendations for travellers during the yellow fever outbreaks in Brazil-2018.
PMID- 29635645
TI - Acute kidney injury changes with the seasons.
PMID- 29635646
TI - Developmental Exposure to Aluminum Chloride Irreversibly Affects Postnatal
Hippocampal Neurogenesis Involving Multiple Functions in Mice.
AB - Aluminum (Al) is neurotoxic to adults and also to infants. In this study, we
investigated the developmental exposure effect of AlCl3 on postnatal hippocampal
neurogenesis. Pregnant mice were administered 0-, 900-, or 1800-ppm AlCl3 via
drinking water from gestational day 6 to postnatal day (PND) 21, with their
offspring examined on PND 21 and PND 77. On PND 21, GFAP-immunoreactive (+)
neural stem cells (NSCs) and p21Cip1/Waf1+ cells were decreased in number in the
subgranular zone at 900 and >=900 ppm, respectively. Pcna transcript level
examined at 1800 ppm was decreased in the dentate gyrus. These results suggest
induction of compromised cell quiescence that caused impaired self-renewal
capacity of NSCs accompanying slowing down of cell cycling, which ultimately
resulted in exhaustion of the NSC pool. At 1800 ppm, Reelin+ hilar GABAergic
interneurons were also decreased, suggesting a contribution to the NSC reduction.
At this dose, TBR2+ or DCX+ progenitor and immature granule cells and PVALB+
interneurons were increased. Moreover, COX-2+ granule cells were increased at
>=900 ppm. These results suggest facilitation of transient progenitor cell
proliferation and differentiation during exposure. Moreover, TUNEL+ or Morin
stained granule cells were increased, together with Casp12 transcript
upregulation, suggesting induction of Al accumulation-related endoplasmic
reticulum stress-mediated granule cell apoptosis. Transcript expression changes
on cholinergic and glutamatergic signals and synaptic plasticity suggested
contribution to disruptive neurogenesis. The NSC-targeting effects sustained
through the adult stage despite no sustained Al-accumulation. These results
suggest that developmental AlCl3-exposure irreversibly affects postnatal
hippocampal neurogenesis involving multiple functions in mice.
PMID- 29635647
TI - Tension Band Wire Fixation Technique for Anterior Cruciate Ligament Tibial
Avulsion Fracture: A New Fixation Technique.
AB - This study evaluated clinical results of tension band wire fixation technique in
the arthroscopic treatment of anterior cruciate ligament (ACL) tibial avulsion
fracture. This study included 148 cases of ACL tibial avulsion fracture treated
using arthroscopic tension band wire fixation technique. Patients were followed
up for 25 to 36 months with an average of 27 months and evaluated in accordance
with the International Knee Documentation Committee (IKDC) and Lysholm knee
scoring scale. Fractures were united within 3 months after surgery in all cases.
During the last follow-up, the anterior drawer, Lachman, and pivot shift tests
showed negative, except for eight patients who had a 1-degree positive anterior
drawer test. KT-1000 knee stability test showed no anterior instability exceeding
2 mm in 132 patients and 4 mm in 8 patients. In accordance with the IKDC scale,
the knees of 132 patients were graded normal, whereas 8 other patients were
nearly normal. Lysholm scores before surgery and during the last follow-up were
72.4 +/- 3.2 and 97.5 +/- 2.2, respectively. Statistically significant
differences were observed among the Lysholm scores (p < 0.05). The Tegner scores
were 6.5 +/- 0.9 before injury and 6.4 +/- 0.7 at the last follow-up (p > 0.05).
No statistically significant differences between the preinjury and postoperative
activity level were observed. All patients were satisfied with the outcomes of
operation. Arthroscopic treatment of ACL tibial avulsion fracture by using
tension band wire fixation technique ensures fracture healing and restores the
stability and function of the joint in most patients. The level of evidence for
this study is III.
PMID- 29635648
TI - Ten-Year Results of the Press Fit Condylar Sigma Cobalt-Chrome Total Knee
Replacement.
AB - Total knee arthroplasty (TKA) is reliant on safe and effective implants. The
Press Fit Condylar Sigma Cobalt-Chrome (PFCSCC) was introduced in 2006, but no
reports have examined long-term failure rates and patient-reported outcome
measures (PROMs). The primary aim of this study was to assess survival outcomes
of the PFCSCC at 10 years after implantation. Prospective data collection was
performed on all patients undergoing primary TKA in one orthopaedic department
using this prosthesis exclusively from February 1, 2006, to January 31, 2007.
Follow-up was at 10 years. Survival analysis was performed using two primary
outcome measures: (1) all-cause joint revision and (2) aseptic revision.
Secondary outcomes measures included the American Knee Society Score (AKSS) and
Oxford Knee Score (OKS). In total, 249 knees of 233 patients underwent primary
TKA. Mean patient age was 66.7 years (range 34-80), and mean body mass index
(BMI) was 30 kg/m2 (20.7-40.1). Reviewing all causes of revisions, 14 joints
(5.6%) of 14 patients required reoperation. Of these, nine were for deep
infection, including six in the first year. Four (1.6%) underwent aseptic
revision. Mean AKSS changed from 47.6 to 90.4 (n = 140; p < 0.001) at 10 years,
and mean OKS changed from 38.3 to 23.2 (n = 149; p < 0.001). Prospective analysis
of consecutive TKA cases using this design of prosthesis demonstrated high
survival rates of 94.4% (all-cause revision) and 98.4% (aseptic revision) at 10
years. Postoperative gains in PROMs were sustained at 10 years. These real-world
data represent a substantial extension to previous reports for the PFCSCC and
suggest that it is not prone to late mechanical failure.
PMID- 29635649
TI - Achieving Minimum Clinically Important Difference in Oxford Knee Score and Short
Form-36 Physical Component Summary Is Less Likely with Single-Radius Compared
with Multiradius Total Knee Arthroplasty in Asians.
AB - Single-radius (SR) and multiradius (MR) total knee arthroplasties (TKAs) have
produced similar outcomes, albeit most studies originate from Western nations.
There are known knee kinematic differences between Western and Asian patients
after TKA. The aim of this study is to compare the short-term patient-reported
outcome measures (PROMs) of SR-TKA versus MR-TKA in Asians. Registry data of 133
SR-TKA versus 363 MR-TKA by a single surgeon were analyzed. Preoperative and 2
year postoperative range of motion (ROM) and PROMs were compared with Student's t
test and Mann-Whitney U-test. Logistic regression model was used to evaluate the
odds of SR-TKA or MR-TKA achieving the minimum clinically important difference
(MCID) of studied outcomes. Patients in both groups had similar age (65.7 +/- 7.6
vs. 65.8 +/- 8.2 years; p = 0.317), gender proportion (71% females vs. 79%
females; p = 0.119), and ethnic distribution (80% Chinese vs. 84% Chinese; p =
0.258). Preoperatively, there were no statistically significant differences
between both groups for ROM, Knee Society Score (KSS), Oxford Knee Score (OKS),
and Short Form (SF)-36 scores. At 2 years, all outcomes were statistically
similar or failed to achieve a difference of MCID. Controlling for all
preoperative variables, SR-TKA has significantly lower odds of achieving MCID for
OKS (odds ratio [OR]: 0.275, 95% confidence interval [CI]: 0.114-0.663; p =
0.004) and SF-36 Physical Component Summary (PCS) (OR: 0.547; 95% CI: 0.316
0.946; p = 0.031) compared with MR-TKA. In conclusion, there are no significant
differences in the absolute PROMs between SR-TKA and MR-TKA at 2 years following
TKA in Asians. However, SR-TKA has significantly lower odds of achieving the MCID
for OKS and SF-36 PCS.
PMID- 29635650
TI - Expression of LOXs and MMP-1, 2, 3 by ACL Fibroblasts and Synoviocytes Impact of
Coculture and TNF-alpha.
AB - This study aims to confirm the effects of synoviocytes (SCs) on regulating lysyl
oxidases (LOXs) and matrix metalloproteinase (MMP)-1, 2, 3 in the normal and
injured anterior cruciate ligament (ACL) fibroblasts response to tumor necrosis
factor-alpha(TNF-alpha). The gene and protein expression levels of LOXs and MMP
1, 2, 3 in SCs cocultured ACL fibroblasts (ACLfs) induced by TNF-alpha and
mechanical injury were analyzed by real-time polymerase chain reaction (PCR) and
western bolting; the MMP-2 activity were analyzed by zymography. The results
exhibited that TNF-alpha alone slightly downregulated the expressions of LOXs and
upregulated the expression of MMP-1, 2, 3 in both normal and injured ACL
fibroblasts. The decrease of LOXs and increase of MMP-1, 2, 3 in ACLfs response
to TNF-alpha were further promoted by coculture. Taken together, these results
show for the first time that the crosstalk between ACLfs and SCs could modulate
the LOXs and MMP-1, 2, 3 synthesis in ACLfs in the presence of TNF-alpha.
Accumulation of MMPs in the isolated fluid-containing space not only disrupts the
balance of ACL healing, but also increases cartilage degradation and accelerates
osteoarthritis (OA) in injured joint. Based on this mechanism, targeting
inhibition of MMPs could provide a promising therapeutic strategy for acute
ligament injury.
PMID- 29635651
TI - Congenital Esophageal Atresia-Surgical Treatment Results in the Context of
Quality of Life.
AB - INTRODUCTION: Esophageal atresia (EA) is one of the most frequent congenital
malformations of the gastrointestinal tract. The aim of the study was to assess
surgical treatment results in the context of the quality of life (QoL) of
children after correction of EA. MATERIALS AND METHODS: The data were collected
among 73 patients after surgery of EA. The work diagnostic survey method was
applied using authors' own questionnaire and standardized questionnaire, the
PedsQL 4.0 generic core scales. Analysis of children growth was done based on
World Health Organization percentile charts for body height and weight. Medical
background for each patient, including demographic data, type of EA, type of
delivery, the age of gestation, associated anomalies, mode of repair-primary and
subsequent, if applicable, was collected from clinical records. Additional
anomalies were divided into cardiac, skeletal, respiratory, renal, central
nervous system, and other malformations (VACTERL association, CHARGE syndrome,
trisomy chromosomes 18 and 21, and others). RESULTS: In this study, 23% of
children in the study group weighted below third percentile, 36% were born
between 33rd and 37th week of gestation; 56% had at least one associated
congenital anomalies. The QoL of children born before 37th week of gestational
age was lower (p < 0.034) in social functioning than children born in term. The
presence of concomitant anomalies does affect the overall generic QoL. No
statistical correlation was found between the type of anomaly (with/without TEF)
and patients' QoL. CONCLUSION: It seems to be needed to extend psychological
care of premature infants with EA.
PMID- 29635652
TI - Relationship between In Vitro Fertilization and Neonatal Outcomes in Very Low
Birth Weight Preterm Infants.
AB - OBJECTIVE: To assess the association of in vitro fertilization (IVF) with
neonatal outcomes and duration of stay in neonatal intensive care unit (NICU)
among very low birth weight (VLBW) preterm infants. METHODS: This was a cohort
study of VLBW preterm infants admitted to NICU over 15 years. We assessed
neonatal outcomes including composite outcome of mortality or severe morbidity,
risk for major birth defects, survival without severe morbidity, and the duration
of NICU stay across three birth-year epochs. RESULTS: A total of 1,533 infants
were eligible for data analysis. Of these, 236 were born following IVF and 1,297
were born following spontaneous conception (SC). After adjustment for baseline
variables, regression analysis revealed no statistically significant differences
between IVF and SC groups in terms of the composite outcome of mortality or
severe morbidity, risk for major birth defects, survival without severe
morbidity, or duration of NICU stay. CONCLUSION: Among VLBW preterm infants, IVF
had no detectable relationship with neonatal outcomes and duration of NICU stay.
These findings can help provide guidance in professional counseling of affected
families.
PMID- 29635653
TI - Might Bilirubin Serve as a Natural Antioxidant in Response to Neonatal
Encephalopathy?
AB - BACKGROUND: Neonatal asphyxia is often associated with hepatic injury. We
hypothesized that this might lead to increased bilirubin concentrations. STUDY
DESIGN: Term neonates admitted between January 2015 and April 2017 who remained
hospitalized for >= 4 days and who had serial serum bilirubin concentrations
recorded were divided into those with neonatal encephalopathy (NE) and controls.
Serial serum bilirubin concentrations during the first days of life were compared
between groups. RESULTS: Twenty-nine neonates with NE and 84 age-matched
controls were identified. Mean total serum bilirubin concentrations of NE babies
were significantly lower than those controls throughout the first days of life.
At 96 hours of age, NE serum bilirubin concentrations were 4.5 (3.2, 5.8) versus
controls of 10.5 (9.4, 11.5) mg/dL (p < 0.0001). The mean area under the curve
(AUC) for the NE group was 268 (215, 321) versus 663 (608, 718), p < 0.0001, for
the control group. All of the NE babies remained below the 40th percentile of the
Bhutani curve and none required phototherapy. CONCLUSION: Contrary to our
hypothesis, bilirubin concentrations in NE infants are significantly lower than
expected during the first 4 days postnatally. We speculate that, under conditions
of severe oxidative stress, bilirubin is consumed as an antioxidant.
PMID- 29635654
TI - Acute Hypoxia Induces Enkephalin Production and Release in an Adrenergic Cell
Line Model of Neonatal Chromaffin Cell Responses to Hypoxic Stress.
AB - OBJECTIVE: Prior to maturation of the human sympathetic nervous system, the
neonatal adrenal medulla senses and responds to hypoxia. In addition to
catecholamine release, the adrenal medulla synthesizes and stores opioid
peptides, notably enkephalin (ENK). However, it is not known whether acute
hypoxia evokes adrenal ENK production and release, as seen in the central nervous
system (CNS). We hypothesize that acute hypoxia stimulates synthesis and release
of ENK in chromaffin cells. STUDY DESIGN: Cultures of adrenergic mouse
pheochromocytoma cells (MPC) 10/9/96CR were incubated in 10% oxygen (O2) at
intervals of up to 60 minutes. ENK content and release were measured by Met-ENK
enzyme-linked immunosorbent assay (ELISA). ENK messenger ribonucleic acid (mRNA)
was analyzed by quantitative reverse-transcriptase polymerase chain reaction
(PCR). RESULTS: Incubation of MPC 10/9 cells in 10% O2 evoked rapid release of
epinephrine and of Met-ENK which increased approximately twofold in 15 minutes.
Reduced [O2] also induced an overall increase (14%) in cellular ENK peptide
content within 60 minutes. Acute hypoxia-stimulated release of Met-ENK was
accompanied by increased mRNAENK expression in MPC 10/9s, a cell culture model of
adrenergic chromaffin cells. CONCLUSION: We speculate that the ability of
reduced [O2] to evoke ENK release from chromaffin cells may influence blood
pressure regulation and heart contractility, thereby providing an adaptive
survival advantage during neonatal asphyxia.
PMID- 29635655
TI - Are Anti-beta2 Glycoprotein 1 Antibodies Associated with Placenta-Mediated
Pregnancy Complications? A Nested Case-Control Study.
AB - BACKGROUND: While anti-beta2 glycoprotein 1 (anti-beta2GP1) antibody positivity
is included in the diagnostic criteria for antiphospholipid syndrome (APS), the
association between anti-beta2GP1 and the obstetrical complications of APS has
been inconsistently reported and remains unclear. OBJECTIVE: We completed a case
control study nested within the Canadian Ottawa and Kingston (OaK) Birth Cohort
to evaluate the association between anti-beta2GP1 antibody positivity and
placenta-mediated pregnancy complications. STUDY DESIGN: Five hundred cases were
randomly selected among pregnant women who experienced any of the following
independently adjudicated placenta-mediated pregnancy complications:
preeclampsia, placental abruption, late pregnancy loss (>= 12 weeks' gestation),
and birth of a small-for-gestational age (SGA) infant < 10th percentile. Five
hundred pregnant women without any placenta-mediated pregnancy complications were
selected as controls. Stored blood samples were analyzed for the presence of anti
beta2GP1 antibodies by enzyme-linked immunosorbent assay. RESULTS: Anti-beta2GP1
immunoglobulin G (IgG) and/or immunoglobulin M (IgM) antibodies in titers >= 20
G/M units (> 99th percentile) were present in 24 of 497 (4.8%) of controls and 33
of 503 (6.6%) of cases. There was no significant difference between cases and
controls for the composite outcome of any placenta-mediated pregnancy
complications (odds ratio, 1.38, 95% confidence interval [CI], 0.8-2.37, p =
0.25). CONCLUSION: Our results call into question the association between anti
beta2GP1 antibodies and placenta-mediated pregnancy complications, with further
research needed.
PMID- 29635656
TI - Preterm Pulmonary Hemorrhage Is Associated with Multiple Births but Not with
Intracytoplasmic Sperm Injection: A Cohort Study on Medical Records.
AB - OBJECTIVE: Pulmonary hemorrhage (PH) is a severe complication in preterm
neonates. This study aims to identify risk factors and comorbidities of PH. STUDY
DESIGN: A single-center cohort study on medical records including all preterm
neonates of <30 weeks' gestational age was conducted in the neonatal intensive
care unit of Universitatsklinikum Aachen, Germany. The occurrence of PH served as
a primary end point. Gestational age, birthweight, sex, multiple births,
intracytoplasmic sperm injection (ICSI), intubation, surfactant, antenatal
steroids, intraventricular hemorrhage (IVH), amniotic infection syndrome, and
persistent ductus arteriosus were studied as risk factors. RESULTS: In this
study, 344 preterm neonates were included, of whom 36 suffered from PH (10.5%).
The mean time of the first occurrence was the third day of life (standard
deviation [SD]: 1.2). On average, the patients suffered from 1.5 incidents (SD:
0.8) of PH, of whom 50% were severe. Preterm neonates born as multiples (95%
confidence interval [CI]: 3.1, 26.9) and those who suffered from IVH (95% CI:
2.7, 18.9) had a significantly increased risk of PH. ICSI was not an independent
risk factor. CONCLUSION: PH is significantly associated with IVH and multiple
births but not with ICSI. The identification of patients at risk allows to apply
prophylactic strategies of ventilation and pharmacological treatment.
PMID- 29635657
TI - ?
PMID- 29635658
TI - [Rare Differential Diagnosis of a Neonatal Bacterial Sepsis: The Neonatal Viral
Myocarditis].
AB - The neonatal bacterial infection is a potentially life-threatening condition that
justifies intravenous antibiotic therapy. However, clinical symptoms are often
unspecific. Particularly in the absence of a response to antibiotic therapy,
various differential diagnoses can be considered. We report the clinical
presentation, the diagnostic steps, the therapy as well as the long-term
progression of a preterm infant who acquired a perinatal enterovirus infection
including viral myocarditis. The case underlines the clinical relevance of
enterovirus infections in newborns. The current literature, however, describes
only single aspects and lacks, in particular, accurate data on both epidemiology
and morbidity.
PMID- 29635659
TI - ?
PMID- 29635660
TI - ?
PMID- 29635661
TI - [Editor's Comment].
PMID- 29635662
TI - ?
PMID- 29635663
TI - ?
PMID- 29635664
TI - ?
PMID- 29635667
TI - [Techniques of stapedotomy].
PMID- 29635668
TI - [Laryngopharyngeal Reflux].
AB - The prevalence of laryngopharyngeal reflux (LPR) is around 31 % in the general
population. Patients with a dysphonia or other laryngeal diseases are accompanied
up to 50 % by an LPR. Typical reflux associated diseases of the larynx are a
chronical laryngitis and a contact granuloma. The role of LPR is still not
clarified in the development of a glottic carcinoma. There still doesn't exist
evidence based data for the diagnosis of a LPR. Therefore LPR is usually
clinically diagnosed by a combination of typical symptoms like hoarseness,
chronic coughing, relapsing throat clearing, globus pharyngis and dysphagia as
well as through the laryngoscopic characteristics like mucosal erythema, mucosal
hyperplasia with plication of the interarytenoid region and an edema of the vocal
cords. Occasionally the LPR can be ensured with the additional method of the
pharyngeal 24-hour pH-monitoring. The therapy of the LPR is a multimodal for
example dietary arrangements, medication with proton pump inhibitors and where
indicated a surgical intervention. The treatment of a symptomatic patient is
administered by proton pump inhibitors in a close dialog with the ENT
practitioner and the gastroenterologist.
PMID- 29635669
TI - [The correct handling of bonus miles].
PMID- 29635670
TI - [Endoscopic early diagnosis of carcinomas in upper respiratory and digestive
tract].
AB - Modern endoscopic imaging techniques make it possible to detect tumor diseases of
the upper respiratory and digestive tract and treat them minimally invasive -
with a good oncologic outcome and maintaining the functionality of the
tissue.Horizontal techniques permit the inspection of big mucous membrane
surfaces, searching vor areas suspicious of dysplasia or tumor. They can be used
as screening techniques. Vertical techniques serve for precise examination of in
depth expansion, infiltration chraracteristics and dignity of known lesions.
Cellular techniques deal with the detection of cellular changes in vivo. As the
techniques have different advantages and disandvantages, it is recommended to
combine several techniques for best diagnostic gains.
PMID- 29635671
TI - ?
PMID- 29635672
TI - ?
PMID- 29635673
TI - HPLC-ESI-MS Characterization of Certain Polyphenolic Compounds of Carica papaya
L. Fruit Extracts and Evaluation of Their Potential Against Murine
Schistosomiasis mansoni.
AB - The in vivo antischistosomal activities of Carica papaya L. extracts were
evaluated and the characterization of the active secondary metabolites of the
defatted methanolic extract was performed using HPLC-ESI-MS. The plant fruit
powders were extracted with 85% methanol and fractionated using organic solvents.
The in vivo antischistosomal effects of the methanolic extracts and its
fractions, as well as the assessment of the relationship between the
antischistosomal activity of these plant extracts and oxidative stress, was
determined. In addition, the defatted methanolic extract was characterized by
HPLC-ESI-MS analysis. The number of worms, ova, and the Oogram pattern displayed
typical Schistosoma mansoni pathology 8 weeks after infection in mice. Treatment
of the infected group with the defatted methanolic extracts significantly
decreased worm burden, immature ova and mature ova, while increasing the
percentage of dead ova in vivo. The butanol fraction was the most effective
fraction reducing worm burden by 77%, ova count in the intestine by 76% and in
the liver by 80%, and significantly decreased immature and mature ova (P<0.001)
compared to the infected group. Additionally, the defatted methanolic extracts
improved the reduced glutathione and malondialdehyde levels in hepatic tissues in
the treated groups compared to the infected group. The HPLC-ESI-MS analysis of
the Carica papaya defatted methanolic extract revealed the presence of several
polyphenolic compounds. Carica papaya fruit extracts are rich with phenolic acids
and flavonoids and show a significant effect against S. mansoni infections which
may be used alternative to PZQ as anti-schistosomal drug against schistosomiasis.
PMID- 29635674
TI - Anti-Obesity Effect of Arq Zeera and Its Main Components Thymol and Cuminaldehyde
in High Fat Diet Induced Obese Rats.
AB - Arq zeera is a distillate product that prepared from four different herbs namely
Trachyspermum ammi L., apiaceae, Zingiber officinale Roxb., zingiberaceae, Carum
carvi L.,apiaceae and Cuminum cyminum L., apiaceae. The present study aims to
determine the antiobesity effect of arq zeera and its main components thymol and
cuminaldehyde in high fat diet induced obese rats and to explore its mechanism of
action. In current study, orlistat was used as positive controls. Male Wistar
rats were fed with HFD for 42 days to induce obesity. HFD-fed rats were
administered with arq zeera, thymol, cumic aldehyde, thymol + cuminaldehyde and
orlistat for 28 days. During the course of treatment, body weight and food intake
frequently observed and after end of treatments, liver weight, visceral fat pad
weight, plasma lipid proflie, alanine aminotransferase, aspartate
aminotransferase, glucose, insulin, leptin levels and pancreatic lipase activity
were studied on all treated obese rats. The histopathology of liver was also
studied. After the treatments of arq zeera and its main components, body weight,
food intake, liver weight, visceral fat pad weight and the level of lipid
profile, alanine aminotransferase, aspartate aminotranferase, glucose, insulin,
and leptin were found to be decreased and pancreatic lipase inhibition were
increased. Arq zeera showed more potential antiobesity effect than orlistat.
According to our present findings, arq zeera and its main components possessed
potent antiobesity effect on high fat diet -induced obese rats and excreted anti
obesity effect partly via hypolipidemic, hypoglycemic, hypoinsulinemic,
hypoleptinemic and pancreatic lipase inhibition action.
PMID- 29635675
TI - [Submucosal supraglottic mass: A first case report].
PMID- 29635676
TI - [Painless tumor of the facial bone with osseous arrosion and secondary mucocele
of the frontal sinus].
PMID- 29635677
TI - [Altered indication for parotidectomy in north-western Brandenburg: A
longitudinal study].
AB - OBJECTIVE: Knowledge about parotoidectomy indications is based on notifications
to ENT departments and tumor databases. The study investigates the hypothesis
that the surgical indication for parotoidectomy has changed over recent years.
MATERIAL AND METHODS: We performed a retrospective observation study of patients
who underwent parotidectomy between 1975 and 2016 (subdivided into 4 quartiles)
in a teaching hospital of a medical university. RESULTS: 405 men and 366 women
underwent operation for benign tumors (600/78 %), malignant tumors (116/15 %) or
parotitis (55/7 %). The proportion of benign tumors remained unchanged (78 %),
whereas malignant tumors increased (7 % - 23 %) and parotitides decreased (17 % -
< 1 %). In benign tumors, the proportion of pleomorphic adenomas decreased (60 %
24 %), whereas Warthin tumors increased (23 % - 58 %). Among malignant tumors,
the proportion of metastases increased from 2/13 to 31/49 (in particular squamous
cell carcinomas of the skin). CONCLUSIONS: The present the study shows the
decreasing importance of parotitis as an indication for parotidectomy due to
improved non-surgical procedures. The increasing prevalence of Warthin tumors was
associated with an increasing proportion of smokers. Increased number of
malignant tumors was caused by an increased prevalence of intraparotidial
matastases of cutaneous squamous cell carcinoma. The presented results are based
on the evaluation of patient charts. Due to their ICD or DRG reference,
histological findings cannot be generated by evaluating databases. For this
purpose, a special salivary gland tumor database should be established.
PMID- 29635678
TI - [Prediction of modality-specific working memory performance in kindergarten age].
AB - OBJECTIVE: Working memory (WM) as a central cognitive construct is a fundamental
prerequisite for learning and provides a marker of developmental disorders. It
has received considerable attention in recent years. Here, multivariate
regression analyses using generalized linear models were conducted to determine
predictor variables for phonological and visuospatial WM. MATERIAL AND METHODS:
The phonological WM was investigated by repetition of non-words (subtest PGN of
the German SETK 3-5) and number recall (K-ABC-subtest), the visuospatial WM by
the imitation of a sequence hand movements (K-ABC-subtest hand movements). The
estimation of intelligence was operationalized by the performance in the K-ABC
scale "Simultaneous Processing". SAMPLE: Kindergarten kids (N = 169; 49 % boys;
51 % girls), mostly with migration background and German as second language (mean
age: 45.9; SD 6.2; min 36, max 61 months). They visited the kindergarten at the
time of testing for 9.9 (SD 6.9) months, on average and had an average
intelligence. Independent variables were chronological age, gender, kindergarten
attendance until the test examination, intelligence, migration background.
RESULTS: Both phonological and visuospatial working WM performance were on
average not reduced. Chronological age and simultaneous processing were found to
be significant predictors for the performance in all WM tests. CONCLUSION: In the
age from 36 to 61 months both working memory systems can be described as a
congenital, maturity-dependent and rather gender non-specific mechanism.
PMID- 29635679
TI - [Internal Validation of the Acoustic Voice Quality Index version 03.01 und
Acoustic Breathiness Index].
AB - OBJECTIVE: Acoustics might have the potential to objectify voice quality (eg,
hoarseness and breathiness). The Acoustic Voice Quality Index (AVQI) and the
Acoustic Breathiness Index (ABI) revealed sufficient valid and reliable results
in the evaluation of voice quality. The aim of the present study is to validate
the recent version of AVQI 03.01 and ABI in their internal validation. The
responsiveness of change is evaluated on the hoarseness and breathiness severity
after voice therapy. MATERIALS AND METHODS: In total, 84 voice samples of
continuous speech and sustained vowel [a:] before and after a voice therapy were
used. All 42 subjects presented organic and nonorganic voice disorders and
various degrees of dysphonia severity before and after behavioral voice therapy.
The voice samples were judged by three voice experts using the RBH-scale, which
is based on the GRBAS-scale. RESULTS: The intra-rater reliability was high for
hoarseness (mean kappa = 0.76) and breathiness (mean kappa = 0.69).The inter
rater reliability was lower for both voice quality characteristics and ranged
between kappa = 0.27 to 0.29. A strong correlation was identified between the
perceived rating of hoarseness and breathiness and AVQI and ABI before and after
voice therapy (r = 0.715, p < 0.01, and r = 0.712, p < 0.01, respectively).
Additionally, no significant differences were revealed. CONCLUSION: The present
results showed that AVQI version 03.01 und ABI had a high internal validity to
assess voice changes after voice therapy. AVQI and ABI are two valid and robust
voice measures to objectify hoarseness and breathiness.
PMID- 29635680
TI - [Aerobic exercises worsen the detection threshold of short H2S pulses].
AB - BACKGROUND: Whether smelling is reduced during aerobic exercise is described
contradictorily in the sparse literature. MATERIAL AND METHODS: To clarify this
question, in healthy subjects the passive detection thresholds for short H2S
stimuli were determined by means of a flow olfactometer in an inspiration
synchronous manner during nasal breathing in a staircase procedure during a
resting phase, a subsequent submaximal load on a bicycle ergometer and a
subsequent recovery phase. In parallel, the measurements of heart rate, blood
pressure, blood lactate and body temperature were monitored to confirm an aerobic
exercise. RESULTS: The participants achieved the highest values for the detection
threshold in the load phase. By means of rm-ANOVA and post-hoc tests, a
significant difference in the mean values of detection thresholds between the
measurements at rest and during cycling as well as between the recovery and load
phases was observed. However, the mean values of the detection thresholds between
the resting and recovery phases did not differ. CONCLUSION: The worsening of
olfactory detection thresholds during acute aerobic physical exercise and their
immediate improvement during recovery phase to rest values suggests that the
detection threshold is influenced by exercise. The most probable cause for this
is a dilution effect caused by additional inflowing neutral ambient air in the
case of forced nasal breathing.
PMID- 29635681
TI - [Validation of the German version of Eating Assessment Tool for head and neck
cancer patients].
AB - OBJECTIVE: The assessment of subjective swallowing complaints constitutes an
important element in a multidimensional, modern management of head and neck
cancer patients suffering from dysphagia. For this purpose, an internationally
recognized and validated 10-item questionnaire EAT-10 is used that was developed
and validated by Belafski et al. in 2008. The purpose of the present study is the
translation of EAT-10 into the German language and its validation for head and
neck cancer patients. MATERIAL AND METHODS: After the translation of EAT-10 into
German according to the guidelines for the translation of foreign measuring
instruments, a validation of gEAT-10 was carried out on the basis of the sample
of 210 head and neck cancer patients. The reliability was determined by means of
the internal consistency (Cronbach's Alpha) and item-total correlations
(Spearman). The construct validity was verified by the uni- and multivariate
analyses of the distribution of gEAT-10 total scores depending on gender, age,
BMI, tumor stage and localization as well as type of the oncological therapy.
RESULTS: The internal consistency amounted to alpha = .94, the item-total
correlations varied between rho = .59 and rho = .85. No significant associations
between gEAT-10 total scores and gender as well as age were identified in
univariate calculations. Such associations were found for BMI, tumor stage and
localization as well as type of the oncological therapy. However, only the tumor
stage yielded a significant result in a regression. CONCLUSIONS: The gEAT-10 was
shown to be a reliable and construct valid questionnaire for the assessment of
subjective swallowing complaints in patients with head and neck cancer.
PMID- 29635682
TI - Hydroxypropyl-beta-cyclodextrin-containing hydrogel enhances skin formononetin
permeation/retention.
AB - OBJECTIVES: This study was aimed to investigate the in vitro permeation potential
of hydrogel formulations containing the isoflavones formononetin and biochanin A
and cyclodextrins in different combinations. METHODS: The permeation assay was
performed using porcine skin discs on Franz diffusion cells model. The isoflavone
contents of the formulations were quantified in the different layers of the skin
using a validated HPLC-PDA method. KEY FINDINGS: The isoflavones individually
incorporated into the formulations showed high permeation potential, especially
formononetin, after the incorporation of hydroxypropyl-beta-cyclodextrin that
enhanced its permeation in the epidermis and dermis. Biochanin A showed 2.7 times
of permeation capacity in the epidermis and dermis mainly after incorporation of
cyclodextrins in the formulations. Formononetin showed reduction in its
permeation when incorporated in the formulations together to biochanin A, showing
the absence of synergism. CONCLUSIONS: Our results indicated a noticeable skin
permeation promoting effect of HPbetaCD in formononetin formulation. Furthermore,
formononetin and biochanin A can permeate the skin being mostly retained in the
epidermis and dermis, revealing its potential use in cosmetic preparations
intended to prevent skin aging.
PMID- 29635683
TI - Assessment of burbot Lota lota (L. 1758) population sustainability in central
European reservoirs.
AB - A novel sampling scheme, using a combination of electrofishing, visual
exploration by scuba divers, two types of fyke nets and longlines, was tested in
four reservoirs (including their inlets and outlets) to monitor a population of
burbot Lota lota. This was supplemented by fry trawling and vertical hydro
acoustics, to detect L. lota larvae in two deep reservoirs that have had a long
term stocking programme. The majority of the L. lota detected were juveniles,
captured by electrofishing in the littoral zones of the reservoirs and in running
waters. Older individuals were rarely captured with longlines or fyke nets in
deeper zones or structured habitats within the reservoirs. A combination of
multiple sampling methods provided an assessment of the whole population.
Population establishment could not be demonstrated as the age structure of the
sampled fish corresponded with that of the stocked fish. Low post-stocking
survival, migratory behaviour, interactions with other species and warmer water
temperatures are considered the potential drivers for unsuccessful establishment
of L. lota populations in these reservoirs.
PMID- 29635684
TI - Catch composition and aspects of the biology of sharks caught by Thai commercial
fisheries in the Andaman Sea.
AB - Catch composition, landing patterns and biological aspects of sharks caught by
commercial fishing fleet operating in the Andaman Sea were recorded from landing
sites in Ranong province of Thailand over a period of 1 year. Of the 64 species
previously reported in the existing Thailand checklist, only 17 species were
recorded in this study. Shark landings from the Andaman Sea appear now to be
dominated largely by bamboo sharks Chiloscyllium spp. (Hemiscylliidae), which
contribute c. 65% of the total number of sharks recorded. The carcharhinid sharks
comprised c. 30.5% to the total catch, while the remaining c. 4.5% of landings
comprised sharks from the families Squalidae, Stegostomatidae, Sphyrnidae and
Triakidae. The catch composition is remarkably different from the previous
landing survey in 2004, in that the current study found noticeable declines in
landings of slow-growing, late- maturing and low-fecundity species (especially
sphyrnid and carcharhinid species). The absences of many species and changes in
life-stage composition suggest that the populations of these groups may be close
to collapse. The results from this study emphasize the urgency for additional
research and monitoring efforts and also the need for management incentives in
order to manage shark fisheries effectively in the Andaman Sea.
PMID- 29635686
TI - The anatomy and physiology of the terminal thoracic duct and ostial valve in
health and disease: potential implications for intervention.
AB - The thoracic duct (TD) transports lymph drained from the body to the venous
system in the neck via the lymphovenous junction. There has been increased
interest in the TD lymph (including gut lymph) because of its putative role in
the promotion of systemic inflammation and organ dysfunction during acute and
critical illness. Minimally invasive TD cannulation has recently been described
as a potential method to access TD lymph for investigation. However, marked
anatomical variability exists in the terminal segment and the physiology
regarding the ostial valve and terminal TD is poorly understood. A systematic
review was conducted using three databases from 1909 until May 2017. Human and
animal studies were included and data from surgical, radiological and cadaveric
studies were retrieved. Sixty-three articles from the last 108 years were
included in the analysis. The terminal TD exists as a single duct in its terminal
course in 72% of cases and 13% have multiple terminations: double (8.5%), triple
(1.8%) and quadruple (2.2%). The ostial valve functions to regulate flow in
relation to the respiratory cycle. The patency of this valve found at the
lymphovenous junction opening, is determined by venous wall tension. During
inspiration, central venous pressure (CVP) falls and the valve cusps collapse to
allow antegrade flow of lymph into the vein. During early expiration when CVP and
venous wall tension rises, the ostial valve leaflets cover the opening of the
lymphovenous junction preventing antegrade lymph flow. During chronic disease
states associated with an elevated mean CVP (e.g. in heart failure or cirrhosis),
there is a limitation of flow across the lymphovenous junction. Although lymph
production is increased in both heart failure and cirrhosis, TD lymph outflow
across the lymphovenous junction is unable to compensate for this increase. In
conclusion the terminal TD shows marked anatomical variability and TD lymph flow
is controlled at the ostial valve, which responds to changes in CVP. This
information is relevant to techniques for cannulating the TD, with the aid of
minimally invasive methods and high resolution ultrasonography, to enable
longitudinal physiology and lymph composition studies in awake patients with both
acute and chronic disease.
PMID- 29635685
TI - The pig as a preclinical model for predicting oral bioavailability and in vivo
performance of pharmaceutical oral dosage forms: a PEARRL review.
AB - OBJECTIVES: In pharmaceutical drug development, preclinical tests in animal
models are essential to demonstrate whether the new drug is orally bioavailable
and to gain a first insight into in vivo pharmacokinetic parameters that can
subsequently be used to predict human values. Despite significant advances in the
development of bio-predictive in vitro models and increasing ethical expectations
for reducing the number of animals used for research purposes, there is still a
need for appropriately selected pre-clinical in vivo testing to provide guidance
on the decision to progress to testing in humans. The selection of the
appropriate animal models is essential both to maximise the learning that can be
obtained from such experiments and to avoid unnecessary testing in a range of
species. KEY FINDINGS: The present review, provides an insight into the
suitability of the pig model for predicting oral bioavailability in humans, by
comparing the conditions in the GIT. It also contains a comparison between the
bioavailability of compounds dosed to both humans and pigs, to provide an insight
into the relative correlation and examples on why a lack of correlation may be
observed. SUMMARY: While there is a general trend towards predicting human
bioavailability from pig data, there is considerable variability in the data set,
most likely reflecting species specific differences in individual drug
metabolism. Nonetheless, the correlation between pigs vs. humans was comparable
to that reported for dogs vs. humans. The presented data demonstrate the
suitability of the pig as a preclinical model to predict bioavailability in
human.
PMID- 29635687
TI - The inter-observer agreement in the assessment of carotid plaque
neovascularization by contrast-enhanced ultrasonography: The impact of plaque
thickness.
AB - BACKGROUND: The interobserver agreement in the assessment of the grade of carotid
plaque neovascularization by contrast-enhanced ultrasonography is poorly
established. METHOD: We examined 140 carotid plaques in 66 patients (all patients
had bilateral plaques, and 8 patients had 2 plaques on one side). We performed
conventional and contrast-enhanced ultrasonography to analyze the presence of
carotid plaque neovascularization, which was graded by two independent observers
whose interobserver agreement (kappa) was evaluated according to the thickness of
carotid plaque. RESULTS: For all carotid plaques, the mean kappa was 0.689 (95%
confidence interval 0.604-0.774). It was 0.689 (0.569-0.808), 0.637 (0.487
0.787), and 0.740 (0.585-0.896), respectively for carotid plaques with maximal
thickness <2 mm, from 2 mm to 3 mm, and >3 mm. CONCLUSION: The interobserver
agreement for assessing carotid plaque neovascularization by using contrast
enhanced ultrasonography is substantial and acceptable for research purposes,
regardless of the maximal thickness of the plaque.
PMID- 29635688
TI - Umbilical cord arterial base deficit and arterial pH as predictors of adverse
outcomes among term neonates.
AB - OBJECTIVE: To determine the importance of arterial pH and arterial base deficit
(ABD) for predicting adverse outcomes among all term neonates, regardless of
acidemic status. METHODS: The present observational cohort study included
consecutive term, non-anomalous singleton neonates with validated paired cord gas
data at a single UK teaching hospital between June 23, 2005, and December 31,
2009. Outcomes included encephalopathy (Sarnat grade 2-3) and/or death; 5-minute
Apgar score below 7; a composite neurologic adverse outcome; and systemic
involvement. Comparison of areas under the curve and hierarchical logistical
regressions were used to examine the importance of arterial pH and arterial base
deficit (ABD) in predicting adverse outcomes. RESULTS: There were 8759 neonates
included. In all, 111 (1.3%) neonates had high ABD (>=12 mmol/L). Encephalopathy
and/or death was recorded in 17 (0.2%) neonates in the whole cohort and 6 (5.4%)
from the high ABD group. The mean arterial pH values for these two groups were
7.23 and 7.03, respectively. Comparison of the area under the receiver operating
characteristic curves showed that adding ABD to arterial pH did not improve the
prediction. Further, hierarchical logistic regression analysis demonstrated that
ABD was not an independent predictor of adverse outcomes when adjusted for
arterial pH. CONCLUSIONS: ABD demonstrated no predictive value for adverse
neonatal outcomes beyond using arterial pH alone.
PMID- 29635689
TI - Large sensitivity in land carbon storage due to geographical and temporal
variation in the thermal response of photosynthetic capacity.
AB - Plant temperature responses vary geographically, reflecting thermally contrasting
habitats and long-term species adaptations to their climate of origin. Plants
also can acclimate to fast temporal changes in temperature regime to mitigate
stress. Although plant photosynthetic responses are known to acclimate to
temperature, many global models used to predict future vegetation and climate
carbon interactions do not include this process. We quantify the global and
regional impacts of biogeographical variability and thermal acclimation of
temperature response of photosynthetic capacity on the terrestrial carbon (C)
cycle between 1860 and 2100 within a coupled climate-carbon cycle model, that
emulates 22 global climate models. Results indicate that inclusion of
biogeographical variation in photosynthetic temperature response is most
important for present-day and future C uptake, with increasing importance of
thermal acclimation under future warming. Accounting for both effects narrows the
range of predictions of the simulated global land C storage in 2100 across
climate projections (29% and 43% globally and in the tropics, respectively).
Contrary to earlier studies, our results suggest that thermal acclimation of
photosynthetic capacity makes tropical and temperate C less vulnerable to
warming, but reduces the warming-induced C uptake in the boreal region under
elevated CO2 .
PMID- 29635690
TI - Are there multiple ways to direct attention in working memory?
AB - In visual working memory tasks, memory for an item is enhanced if participants
are told that the item is relatively more valuable than others presented within
the same trial. Experiment 1 explored whether these probe value boosts (termed
prioritization effects in previous literature) are affected by probe frequency
(i.e., how often the more valuable item is tested). Participants were presented
with four colored shapes sequentially and asked to recall the color of one probed
item following a delay. They were informed that the first item was more valuable
(differential probe value) or as valuable as the other items (equal probe value),
and that this item would be tested more frequently (differential probe frequency)
or as frequently (equal probe frequency) as the other items. Probe value and
probe frequency boosts were observed at the first position, though both were
accompanied by costs to other items. Probe value and probe frequency boosts were
additive, suggesting the manipulations yield independent effects. Further
supporting this, experiment 2 revealed that probe frequency boosts are not
reliant on executive resources, directly contrasting with previous findings
regarding probe value. Taken together, these outcomes suggest there may be
several ways in which attention can be directed in working memory.
PMID- 29635691
TI - Peptides derived from lupin proteins confer potent protection against oxidative
stress.
AB - BACKGROUND: Lupin seeds are rich in proteins, which are utilized in the food
industry. There is an increased interest in lupin research due to its association
with health-related benefits, such as reduction of hypertension and
hyperglycemia. However, studies on the peptides derived from lupin proteins are
rare. RESULTS: Lupin protein hydrolysates (LPHs) were prepared by proteolysis
using alcalase, trypsin and pepsin, respectively. All the hydrolysates
demonstrated higher antioxidant and angiotensin I-converting enzyme (ACE)
inhibitory activities compared to lupin proteins. The hydrolysates were
fractionated into three fractions based on molecular weight (MW), and the
peptides with MW < 3 kDa (LPH3) had the highest antioxidant and ACE inhibitory
activities compared to other fractions. Cell model study revealed that LPH3
fraction had the highest protection against the generation of reactive oxygen
species in HepG2 cells, which was associated with increased activities of
superoxide dismutase and glutathione peroxidase through upregulation of SOD1,
GPX1, GCLM, SLC7A11 and SRXN1 expression. CONCLUSIONS: The analysis of amino acid
composition indicated that the peptides were characterized with high content of
hydrophobic amino acids, which may be responsible for the greatest antioxidant
activity. This study highlights the promising potential of lupin peptides as a
functional ingredient in healthy foods. (c) 2018 Society of Chemical Industry.
PMID- 29635692
TI - Novel insights into Sabino1 and splashed white coat color patterns in horses.
AB - Within the framework of genome-wide analyses using the novel Axiom(r) genotyping
array, we investigated the distribution of two previously described coat color
patterns, namely sabino1 (SBI), associated with the KIT gene (KI16+1037A), and
splashed white, associated with the PAX3 gene (ECA6:g.11429753C>T; PAX3C70Y ),
including a total of 899 horses originating from eight different breeds (Achal
Theke, Purebred Arabian, Partbred Arabian, Anglo-Arabian, Shagya Arabian,
Haflinger, Lipizzan and Noriker). Based on the data we collected we were able to
demonstrate that, besides Quarter horses, the PAX3C70Y allele is also present in
Noriker (seven out of 189) and Lipizzan (three out of 329) horses. The SB1 allele
was present in three breeds (Haflinger, 14 out of 98; Noriker, four out of 189;
Lipizzan one out of 329). Furthermore, we examined the phenotypes of SB1- and
PAX3C70Y -carrier horses for their characteristic white spotting patterns. None
of the SB1/sb1-carrier horses met the criteria defining the Sabino1 pattern
according to current applied protocols. From 10 heterozygous PAX3C70Y -carrier
horses, two had nearly a splashed white phenotype. The results of this large
scale experiment on the genetic association of white spotting patterns in horses
underline the influence of gene interactions and population differences on
complex traits such as Sabino1 and splashed white.
PMID- 29635693
TI - Preliminary study on the treatment of vitiligo with carbon dioxide fractional
laser together with tacrolimus.
AB - BACKGROUND: Tacrolimus is a conventional medication for the treatment of
vitiligo, but the effect of a single medication is limited. OBJECTIVE: This paper
aims at observing the effects, adverse responses, and repigmentation results of
the joint treatment of vitiligo by Carbon dioxide (CO2 ) fractional laser
together with tacrolimus. METHODS: Forty-five patients with vitiligo were
randomly divided into two groups: treatment (T) group and control (C) group, and
each group was further divided into three subgroups (face, torso and limbs, and
hand and foot) according to the location of the skin defect. Both groups used
topical 0.1% tacrolimus cream, but the T group was given one CO2 fractional laser
treatment each month. We observed the clinical efficacy, adverse responses, and
repigmentation results after 6 months. RESULTS: Compared to the C group, the T
group showed better improvement in both objective and subjective assessments.
When the treatment time was increased, the efficacy was also improved, and the
repigmentation in the T group occured in three ways: perifollicular
repigmentation, marginal repigmentation and diffuse repigmentation. There were
three cases of isomorphic responses (2 cases in the rapid progression stage, one
case in the progression stage), and 1 case formed scarring on the neck in the T
group. CONCLUSIONS: The treatment of vitiligo by CO2 fractional laser together
with tacrolimus is significantly effective and is most suitable for patients in
the progression stage. Patients in the rapid progression stage should use this
approach with caution, and its efficacy was limited for patients in the stable
stage. An extended course of treatment is helpful for the repigmentation of white
patches. All three forms of repigmentation can occur in the joint treatment of
vitiligo by CO2 fractional laser together with tacrolimus. Lasers Surg. Med.
50:829-836, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29635694
TI - Working memory consolidation: insights from studies on attention and working
memory.
AB - Working memory, the system that maintains a limited set of representations for
immediate use in cognition, is a central part of human cognition. Three processes
have recently been proposed to govern information storage in working memory:
consolidation, refreshing, and removal. Here, we discuss in detail the
theoretical construct of working memory consolidation, a process critical to the
creation of a stable working memory representation. We present a brief overview
of the research that indicated the need for a construct such as working memory
consolidation and the subsequent research that has helped to define the
parameters of the construct. We then move on to explicitly state the points of
agreement as to what processes are involved in working memory consolidation.
PMID- 29635695
TI - Is the choice of a standard zeroth-order hamiltonian in CASPT2 ansatz optimal in
calculations of excitation energies in protonated and unprotonated schiff bases
of retinal?
AB - To account for systematic error of CASPT2 method empirical modification of the
zeroth-order Hamiltonian with Ionization Potential-Electron Affinity (IPEA) shift
was introduced. The optimized IPEA value (0.25 a.u.), called standard IPEA (S
IPEA), was recommended but due to its unsatisfactory performance in multiple
metallic and organic compounds it has been questioned lately as a general
parameter working properly for all molecules under CASPT2 study. As we are
interested in Schiff bases of retinal, an important question emerging from this
conflict of choice, to use or not to use S-IPEA, is whether the introduction of
the modified zeroth-order Hamiltonian into CASPT2 ansatz does really improve
their energetics. To achieve this goal, we assessed an impact of the IPEA shift
value, in a range of 0-0.35 a.u., on vertical excitation energies to low-lying
singlet states of two protonated (RPSBs) and two unprotonated (RSBs) Schiff bases
of retinal for which experimental data in gas phase are available. In addition,
an effect of geometry, basis set, and active space on computed VEEs is also
reported. We find, that for these systems, the choice of S-IPEA significantly
overestimates both S0 ->S1 and S0 ->S2 energies and the best theoretical
estimate, in reference to the experimental data, is provided with either
unmodified zeroth-order Hamiltonian or small value of the IPEA shift in a range
of 0.05-0.15 a.u., depending on active space and basis set size, equilibrium
geometry, and character of the excited state. (c) 2018 Wiley Periodicals, Inc.
PMID- 29635696
TI - Feasibility of cathodic-anodal left ventricular stimulation for alternative
multisite pacing.
AB - BACKGROUND: Simultaneous cathodic-anodal capture by a bipole of a cardiac
resynchronization therapy (CRT) left-ventricular (LV) catheter may depolarize a
larger LV area than conventional multipoint pacing. We evaluated the feasibility
of cathodic-anodal LV stimulation. METHODS: In 30 patients undergoing CRT with a
quadripolar LV lead, we evaluated the cathodic and anodal capture threshold for
each LV pole and compared QRS on electrocardiogram (ECG) during single-point
cathodic biventricular stimulation (S-BS), multipoint BS (M-BS), and cathodic
anodal BS (CA-BS). RESULTS: Anodal capture was obtained by three poles in 23/30
patients, by two poles in five, and was not feasible in two. The mean single
point anodal threshold was 3.93 V versus single-point cathodic threshold of 1.95
V. On comparing ECGs, M-BS and CA-BS produced similar QRS wavefront activation in
90% of patients. CONCLUSIONS: CA-BS is feasible and may be used in LV pacing to
achieve a different wavefront of electrical activation. Further prospective
studies are needed in order to verify the clinical impact of this kind of
stimulation.
PMID- 29635697
TI - 2,3,5,4'-Tetrahydroxystilbene-2-O-beta-glucoside potentiates self-renewal of
human dental pulp stem cells via the AMPK/ERK/SIRT1 axis.
AB - AIM: To evaluate the effect of 2,3,5,4'-tetrahydroxystilbene-2-O-beta-D-glucoside
(THSG) on cell proliferation and examine the mechanisms of THSG-enhanced
proliferative potential in human dental pulp stem cells (hDPSC). METHODOLOGY:
After treatment with THSG, hDPSC were collected. Cell viability was determined by
MTS assay, while messenger RNA (mRNA) expressions of proliferation and stem cell
markers were analyzed using real-time PCR. Flow cytometry was also conducted to
analysis protein expression of stem cell markers. A colony-forming unit assay of
hDPSC was carried out. Cellular telomerase activity was also identified using
real-time PCR. In addition, proliferation-related proteins involved in the
effects of THSG on hDPSC were analyzed by Western blotting. Data were analyzed
using one-way analysis of variance and two-tailed Student's t-test. RESULTS: Cell
viability, colony-forming rates and telomerase activities of hDPSCs were enhanced
after THSG treatment. mRNA expressions of proliferation markers (including
expressions of NAD+-dependent histone deacetylase sirtuin 1 (SIRT1),
proliferating cell nuclear antigen (PCNA), cyclin D1 and ribonucleotide reductase
subunit M2 (RRM2)) increased significantly after THSG treatment (P < 0.05).
Treatment with THSG for 3 h significantly augmented SIRT1 protein expression (P <
0.05). Furthermore, activities of proliferation-related proteins (including AMP
activated protein kinase (AMPK) and extracellular signal-regulated kinase (ERK)
had also significantly increased at 3 h (P < 0.05). After THSG treatment,
increased gene and protein expressions of pluripotent-like stem cell markers
(including NANOG, OCT4, and SOX2) were observed. CONCLUSIONS: 2,3,5,4'
Tetrahydroxystilbene-2-O-beta-glucoside treatment enhanced the renewal ability
and proliferative potential of hDPSCs via the AMPK/ERK/SIRT1 axis, which may
provide a novel autogenic cell-based therapeutic strategy in regenerative
dentistry.
PMID- 29635698
TI - Detection of genetic variants between different Polish Landrace and Pulawska pigs
by means of RNA-seq analysis.
AB - Variant calling analysis based on RNA sequencing data provides information about
gene variants. RNA-seq is cheaper and faster than is DNA sequencing. However, it
requires individual hard filters during data processing due to post
transcriptional modifications such as splicing and RNA editing. In the present
study, RNA-seq transcriptome data on two Polish pig breeds (Pulawska, PUL, n = 8,
and Polish Landrace, PL, n = 8) were included. The pig breeds are significantly
different with regard to meat qualities such as texture, water exudation, growth
traits and fat content in carcasses. A total of 2451 significant mutations were
identified by a chi square tests, and functional analysis was carried out using
Panther, KEGG and Kobas. Interesting missense gene variants and mutations located
in regulatory regions were found in a few genes related to fatty acid metabolism
and lipid storage such as ACSL5, ALDH3A2, FADS1, SCD, PLA2G12A and ATGL. A
validation of mutational influences on pig traits was performed for ALDH3A2,
ATGL, PLA2G12A and MYOM1 variants using association analysis including 215 pigs
of the PL and PUL breeds. The ALDH3A2ENSSSCT00000019636.2:c.470T>C polymorphism
was found to affect the weight of the ham and loin eye area. In turn, an
ENSSSCT00000004091.2:c.2836G>A MYOM1 mutation, which could be implicated in
myofibrillar network organisation, had an effect on meatiness and loin texture
parameters. The study aimed to estimate the usefulness of RNA-seq results for a
purpose other than differentially expressed gene analysis. The analysis performed
indicated interesting gene variants that could be used in the future as markers
during selection.
PMID- 29635699
TI - Pulsed dye laser treatment of rosacea using a novel 15 mm diameter treatment
beam.
AB - BACKGROUND: The pulsed-dye laser has been used to treat facial redness and
rosacea for decades. Recent advances in dye laser technology enable 50% higher
output energies supporting 50% larger treatment areas, and beam-diameters up to
15 mm with clinically-relevant fluences. In this study, we investigate this novel
pulsed-dye laser using a 15 mm diameter beam for treatment of rosacea. METHODS:
Twenty subjects with erythemato-telangiectatic rosacea were enrolled in the
study. A total of 4 monthly treatments were administered, first treating linear
vessels with a 3 * 10 mm elliptical beam, then diffuse redness with a 15-mm
diameter circular beam. Blinded assessment of digital, cross-polarized
photographs taken 2 months following the last treatment was performed using an 11
point clearance scale. RESULTS: Nineteen subjects completed the study. Blinded
reviewers correctly identified baseline photos in 55 out of the total of 57
images (96.5%). The blinded reviewers scored 17 of the 19 subjects with an
improvement greater than 40%, and 11 of the 19 subjects greater than 50%. The
average improvement was 53.9%. Side effects were limited to mild edema, mild to
moderate erythema, and mild to moderate bruising. CONCLUSION: This study
demonstrates that a newly designed pulsed-dye laser having a novel 15-mm diameter
treatment beam improves the appearance of rosacea with a favorable safety
profile. Lasers Surg. Med. 50:808-812, 2018. (c) 2018 The Authors. Lasers in
Surgery and Medicine Published by Wiley Periodicals, Inc.
PMID- 29635701
TI - Complications after minimally invasive sacrocolpopexy with and without
concomitant incontinence surgery: A National Surgical Quality Improvement Program
(NSQIP) database study.
AB - AIMS: To compare surgical complications for patients having minimally invasive
sacrocolpopexy (MISCP) with concomitant incontinence procedure, to those having
MISCP alone. METHODS: Patients undergoing MISCP with and without a concomitant
incontinence procedure between 2006 and 2015 were identified in the American
College of Surgeons National Surgical Quality Improvement Program database using
Current Procedural Terminology codes. The main outcome of interest was a
composite of surgical site infection, bleeding requiring blood transfusion,
return to the operating room within 30 days, and surgical stay >48 h. Log
binomial regression was used to identify independent risk factors for the outcome
and to generate adjusted effect measures for variables of interest. RESULTS:
Seven thousand ninety-seven women met the inclusion criteria, of which 2433 (34%)
underwent a concomitant incontinence procedure. Patients having incontinence
procedures were slightly older (59 +/- 11 vs 58 +/- 12, P < 0.0001) and had
longer total operating time (225 IQR 170-267 vs 184 IQR 120-232 min, P < 0.0001).
Pre-operative steroid use, wound class III/IV (vs I/II), and longer operative
time were independent predictors of the composite outcome. After adjusting for
baseline patient characteristics and co-morbidities, no association was observed
between concomitant incontinence procedure and the composite outcome (adjusted RR
0.87, 95%CI 0.65-1.18) but there was an increased likelihood of urinary tract
infection (adjusted RR 2.47 95%CI 1.89-3.27). CONCLUSIONS: Despite being
associated with a longer operative time, performing an incontinence procedure at
the time of MSCIP was not associated with an increased risk of clinically
important surgical complications other than urinary tract infection.
PMID- 29635700
TI - Procalcitonin measurement to screen medullary thyroid carcinoma: A prospective
evaluation in a series of 2705 patients with thyroid nodules.
AB - BACKGROUND: To prospectively evaluate the role of procalcitonin (PCT) in
screening of patients with thyroid nodules for medullary thyroid carcinoma (MTC).
MATERIALS AND METHODS: We measured PCT in 2705 patients with thyroid nodules
referred to our centre between January 2011 and December 2017. Those with a
positive PCT were operated after positive confirmatory tests such as fine-needle
aspiration, measurement of calcitonin (CT) in serum and fine-needle aspiration
washouts or CT stimulation testing. Patients with a negative PCT were operated
based on the results of further diagnostics. The diagnostic performance of PCT
was evaluated, and the best cut-off level was selected by ROC curve analysis.
RESULTS: Among 2705 patients, 9 with positive serum PCT (ie, above 0.1 MUg/L) and
370 with negative PCT underwent thyroid surgery. MTC was histologically confirmed
in all patients with positive PCT but not found in patients with negative PCT.
Serum PCT levels were significantly higher in patients with MTC (median 0.64
MUg/L, range 0.16-12.9 MUg/L) than in those without (median 0.075 MUg/L, range
0.075-0.16 MUg/L; P < .0001). ROC curves were plotted to calculate the optimal
PCT value separating patients with MTC from those without. The best cut-off was
0.155 MUg/L with sensitivity, specificity, positive and negative predictive
values as well as accuracy of 100%, 99.7%, 91.7%, 100% and 99.7%, respectively.
Positive and negative likelihood ratios were 329 and zero, respectively.
CONCLUSIONS: Measurement of PCT is a sensitive and accurate method for detecting
MTC in patients with thyroid nodules and can thus be a reliable alternative to CT
measurement.
PMID- 29635703
TI - Fast determination of lactic, succinic, malic, tartaric, shikimic, and citric
acids in red Vranec wines by CZE-ESI-QTOF-MS.
AB - A fast and simple method with CZE coupled to ESI/QTOF-MS was optimized and
validated for quantitative determination of organic acids (lactic acid, succinic
acid, malic acid, tartaric acid, shikimic acid, and citric acid) in red wines.
The BGE was ammonium acetate and the separation of the analytes was performed in
a polybrene-coated capillary in the presence of EOF. The sample preparation
included dilution and filtration of the wine. The method showed satisfactory
performance characteristics: good linearity for each organic acid, with
correlation coefficients ranging from r2 = 0.9902 (shikimic acid) to r2 =
0.9990 (tartaric acid). The limit of quantification was between 0.0034 mM (for
shikimic acid) and 0.107 mM (for citric acid), and the recovery data fell between
95.8% (malic acid) and 102.7% (lactic acid); the total run time was less than 4
min. The RSD values for the interday repeatability and intraday reproducibility
were between 3.44 and 9.50%, and between 1.75 and 8.29%, respectively. Seventeen
Macedonian red Vranec wines were studied demonstrating a wide variation in the
organic acids' concentration, which should be most probably due to the variation
of the climate conditions in the vine areas.
PMID- 29635702
TI - Bowel function, sexual function, and symptoms of pelvic organ prolapse in women
with and without urinary incontinence.
AB - AIMS: Bowel symptoms, pelvic organ prolapse, and sexual dysfunction are common,
but their frequency among women with lower urinary tract symptoms (LUTS) has not
been well described. Our aims were to describe pelvic floor symptoms among women
with and without urinary incontinence (UI) and among subtypes of UI. METHODS:
Women with LUTS seeking care at six U.S. tertiary care centers enrolled in
prospective cohort study were studied. At baseline, participants completed the
Pelvic Floor Distress Inventory (PFDI-20), Pelvic Organ Prolapse/Incontinence
Sexual Questionnaire (PISQ-IR), and PROMIS GI Diarrhea, Constipation, and Fecal
Incontinence Scales. RESULTS: Mean age among the 510 women was 56.4 +/- 14.4
years. Women who reported UI (n = 420) had more diarrhea and constipation
symptoms (mean scores 49.5 vs 46.2 [P = 0.01] and 51.9 vs 48.4 [P < 0.01],
respectively) at baseline. Among sexually active women, mean PISQ-IR subscale
scores were lower among those with UI (condition specific: 89.8 vs 96.7, P <
0.01; condition impact: 79.8 vs 92.5, P < 0.01). Women with mixed urinary
incontinence (MUI) (n = 240) reported more prolapse symptoms, fecal incontinence,
and worse sexual function compared to those with stress urinary incontinence
(SUI) and urgency urinary incontinence (UUI). CONCLUSIONS: Women presenting with
LUTS with UI reported significantly worse constipation, diarrhea, fecal
incontinence, and sexual function compared to women without UI. In women with UI,
sexual function and pelvic organ prolapse (POP) symptoms were worse in those with
MUI compared to SUI and UUI.
PMID- 29635704
TI - A cost-utility analysis of artificial urinary sphincter versus AdVance male sling
in post prostatectomy stress urinary incontinence: A publicly funded health care
perspective.
AB - AIMS: To investigate the long-term cost-utility of the artificial urinary
sphincter (AUS) compared with Transobturator Retroluminal Sling (AdVance) in the
treatment of patients with severe post prostatectomy stress urinary incontinence
(PPSUI) from a Canadian provincial health perspective. METHODS: A Markov model
with Monte Carlo simulation was developed with a cycle length of 1 year and time
horizon up to 10 years to estimate the incremental cost per quality-adjusted life
years (QALYs). Patients were assigned to treatment with either AUS or an AdVance
sling. Transition probabilities, efficacy data, and utility indices were derived
from published literature and expert opinion. Cost data were obtained from
provincial health care system and hospital data in 2016-Canadian dollars. The
primary outcome was cost per quality-adjusted life year. A standard discount rate
of 1.5% was applied annually. Probabilistic and one way deterministic sensitivity
analyses were performed. RESULTS: AUS implantation had a 10-year mean total cost
of $14 228 (SD +/- 3,509) for 7.58 QALYs. AdVance sling had a mean total cost $18
938 (SD +/- 12,435) for 6.43 QALYs. The incremental cost savings of AUS over 10
years was -$ 4710 with an added effectiveness of 1.15 QALYs. At a willingness to
pay threshold of $50 000, AUS remained the most cost-effective option. A
limitation of our analysis is the lack of direct long-term comparisons between
both scenarios along with standard success definition. CONCLUSIONS: AUS
implantation appears to be more economical treatment strategy for severe PPSUI
compared with AdVance sling for a publicly funded health care system over a 5-
and 10-year time horizon.
PMID- 29635705
TI - Multiple perioral homogenous blue macules.
PMID- 29635706
TI - Therapeutic effect of TAC-302, a cyclohexenoic fatty alcohol derivative, on
bladder denervation-related storage and voiding dysfunctions in rats.
AB - AIMS: To evaluate the therapeutic effect of TAC-302, a cyclohexenoic fatty
alcohol derivative, on bladder denervation-related storage and voiding
dysfunctions in rats with bladder outlet obstruction (BOO). METHODS: BOO was
achieved by partial ligature of the proximal urethra in female rats. Two weeks
later, BOO rats were divided into two groups and treated orally with vehicle or
10 mg/kg TAC-302 twice a day for 4 weeks. Urodynamic and immunohistochemical
evaluation of the bladder muscle layer was performed. In another study, the BOO
rats were treated with intravenous tamsulosin at cystometry. The detrusor
contractility in each group was evaluated using the modified Shafer's nomogram.
RESULTS: Two weeks after BOO, the rats showed significant increases in non
voiding contraction (NVCs) and residual urine volume (RUV) compared to the sham
group. Moreover, 6 weeks after BOO, BOO vehicle rats showed significant increases
in NVCs and RUV and decreases in detrusor contractility and in the nerve fiber
density in the urinary bladder compared to the sham group. BOO-induced
denervation of the urinary bladder was partially improved by oral treatment with
TAC-302. Oral treatment with TAC-302 significantly reduced the amplitude and
frequency of NVCs (P < 0.05) and increased detrusor contractility and tended to
reduce RUV compared with the BOO vehicle group. In contrast, the intravenous
administration of tamsulosin significantly reduced the frequency of NVCs, but not
RUV. CONCLUSIONS: TAC-302 improved storage and voiding dysfunctions by improving
bladder denervation and detrusor underactivity even when the treatment was
started after storage and voiding dysfunctions had already occurred.
PMID- 29635707
TI - Impact of heat stress during seed filling on seed quality and seed yield in
lentil (Lens culinaris Medikus) genotypes.
AB - BACKGROUND: Lentil, a cool-season food legume, is highly sensitive to high
temperatures, which drastically reduce biomass and seed yield. The effects of
heat stress on qualitative and quantitative aspects of seeds are not yet known.
RESULTS: In this study, we assessed the effects of high temperatures on
quantitative and qualitative aspects of seeds in a heat-tolerant (HT; FLIP2009)
and heat-sensitive (HS; IG4242) genotypes in a controlled environment. Initially,
the plants were raised in a natural, outdoor environment (22/10 degrees C mean
day/night temperature, 1350 umol m-2 s-1 light intensity, 60-65% relative
humidity) from November to mid-February until 50% flowering (114-115 days after
sowing). After that, one set of plants was maintained in a controlled environment
(28/23 degrees C, as mean day and night temperature, 500 umol m-2 s-1 light
intensity, 60-65% relative humidity;control) and one set was exposed to heat
stress (33/28 degrees C, as mean day and night temperature, 500 umol m-2 s-1
light intensity, 60-65% relative humidity), where they remained until maturity.
Compared to control, heat stress reduced the seed growth rate by 30-44% and the
seed-filling duration by 5.5-8.1 days, which ultimately reduced the seed yield by
38-58% and individual seed weights by 20-39%. Heat stress significantly damaged
cell membranes and reduced chlorophyll concentration and fluorescence, and the
photosynthetic rate, which was associated with a significant reduction in
relative leaf water content. The proximate analysis of seed reserves showed that
heat stress reduced starch (25-43%), protein (26-41%) and fat (39-57%) content,
and increased total sugars (36-68%), relative to the controls. Heat stress also
inhibited the accumulation of storage proteins including albumins, globulins,
prolamins and glutelins (22-42%). Most of the amino acids decreased significantly
under heat stress in comparison to control, whereas some, such as proline,
followed by glycine, alanine, isoleucine, leucine and lysine, increased. Heat
stress reduced Ca (13-28%), Fe (17-52%), P (10-54%), K (12.4-28.3%) and Zn (36
59%) content in seeds, compared to the controls. CONCLUSIONS: High temperatures
during seed filling are detrimental for seed yield and quality components in
lentil genotypes, with severe impacts on heat-sensitive genotypes. (c) 2018
Society of Chemical Industry.
PMID- 29635709
TI - De novo overactive bladder after robot-assisted laparoscopic radical
prostatectomy.
AB - AIMS: To investigate storage symptoms following robot-assisted laparoscopic
radical prostatectomy (RARP), focused on de novo overactive bladder (OAB), and to
evaluate the factors related to de novo OAB occurrence. METHODS: We prospectively
examined 245 patients without OAB who underwent RARP for localized prostate
cancer. Subjective and objective symptoms in the lower urinary tract were
evaluated before and after surgery. At 3 months after RARP, the patients were
divided into two groups: patients with de novo OAB (de novo OAB group) and those
without OAB (OAB-free group). We compared the operative and urodynamic parameters
between both groups and evaluated the factors related to OAB. RESULTS: De novo
OAB was observed in 37.8% (87/230) of patients. Post-operative continence rate
was significantly higher in the OAB-free group (79.7%) than in the de novo OAB
group (8.0%). Although the International Prostate Symptom Score (IPSS) and
Overactive Bladder Symptom Score (OABSS) in the de novo OAB group significantly
deteriorated from 9.7 to 14.1 and from 2.4 to 8.3, respectively, no corresponding
significant changes occurred in the OAB-free group. Additionally, there was a
significant difference in pre-operative IPSS-QOL score, continence rate, pre-and
post-operative maximum urethral closing pressure (MUCP), and post-operative
functional profile length (FPL) between both groups. Multivariable logistic
regression analysis showed pre-operative IPSS-QOL score and post-operative MUCP
were significant predictive factors for de novo OAB. CONCLUSIONS: The incidence
rate of de novo OAB after RARP was about 40%, and seemed unexpectedly high.
Decreased urethral function was significantly related to de novo OAB after
surgery.
PMID- 29635708
TI - Human CD31 on porcine cells suppress xenogeneic neutrophil-mediated cytotoxicity
via the inhibition of NETosis.
AB - BACKGROUND: Xenotransplantation is one of the promising strategies for overcoming
the shortage of organs available for transplant. However, many immunological
obstructions need to be overcome for practical use. Increasing evidence suggests
that neutrophils contribute to xenogeneic cellular rejection. Neutrophils are
regulated by activation and inhibitory signals to induce appropriate immune
reactions and to avoid unnecessary immune reactivity. Therefore, we hypothesized
that the development of neutrophil-targeted therapies may have the potential for
increased graft survival in xenotransplantation. METHODS: A plasmid containing a
cDNA insert encoding the human CD31 gene was transfected into swine endothelial
cells (SEC). HL-60 cells were differentiated into neutrophil-like cells by
culturing them in the presence of 1.3% dimethyl sulfoxide for 48 hours. The
cytotoxicity of the differentiated HL-60 cells (dHL-60) and peripheral blood
derived neutrophils was evaluated by WST-8 assays. To investigate the mechanism
responsible for hCD31-induced immunosuppression, citrullinated histone 3 (cit-H3)
and phosphorylation of SHP-1 were detected by a cit-H3 enzyme-linked
immunosorbent assay (ELISA) and Western blotting, respectively. RESULTS: A
significant decrease in dHL-60 and neutrophil-mediated cytotoxicity in SEC/hCD31
compared with SEC was seen, as evidenced by a cytotoxicity assay. Furthermore,
the suppression of NETosis and the induction of SHP-1 phosphorylation in
neutrophils that had been co-cultured with SEC/CD31 were confirmed by cit-H3
ELISA and Western blotting with an anti-phosphorylated SHP-1. CONCLUSION: These
data suggest that human CD31 suppresses neutrophil-mediated xenogenic
cytotoxicity via the inhibition of NETosis. As CD31 is widely expressed in a
variety of inflammatory cells, human CD31-induced suppression may cover the
entire xenogeneic cellular rejection, thus making the generation of human CD31
transgenic pigs very attractive for use in xenografts.
PMID- 29635710
TI - Antibacterial Activity of Constituents from Mangosteen Garcinia mangostana Fruit
Pericarp against Several Channel Catfish Pathogens.
AB - Bacterial diseases cause major financial damage to the producers of Channel
Catfish Ictalurus punctatus in the southeastern USA. The two most common
bacterial diseases among pond-raised Channel Catfish are enteric septicemia of
catfish, caused by the gram-negative bacterium Edwardsiella ictaluri, and
columnaris disease, caused by the rod-shaped, gram-negative bacterium
Flavobacterium columnare. Streptococcosis is another, less-common bacterial
disease in catfish and is caused by the gram-positive coccus Streptococcus iniae.
Catfish farmers typically rely on commercial antibiotics and other chemicals to
prevent the economic damage from these diseases. Environmentally benign and
efficacious alternatives to the currently used antibiotics and chemicals will
tremendously help the catfish aquaculture industry. As part of our ongoing
efforts in the search for such novel compounds, we investigated ethyl acetate and
methanol extracts of mangosteen Garcinia mangostana fruit pericarp via bioassay
guided fractionation. Gamma-mangostin (gamma-mangostin) was isolated and
identified as the most promising active metabolite against F. columnare. One of
the constituents in the mangosteen fruit pericarp, alpha-mangostin (alpha
mangostin), is the major xanthone; alpha-mangostin was found to be 10-fold less
active than gamma-mangostin when minimum inhibitory concentration values were
compared.
PMID- 29635711
TI - Genetics of gallstone disease.
AB - BACKGROUND: Gallstone disease (GD) belongs to the most frequent disorders in
gastroenterology and causes high costs in our health-care systems. Gallstones are
uncommon in children but frequent in adults, in particular in women, and are
triggered by exogenous risk factors. Here, we summarize the current knowledge
concerning the contribution of inherited predisposition to gallstone risk.
DESIGN: In this review, we present the current data and recent research on the
genetics of gallstone disease. RESULTS: Several GD-predisposing gene variants
have been reported, with most prominent effects being conferred by a common
variant (p.D19H) of the hepatic and intestinal cholesterol transporter ABCG5/G8.
A smaller group of patients might develop gallstones primarily due low
phosphatidylcholine concentrations in bile as a result of loss-of-function
mutations of the ABCB4 transporter (low phospholipid-associated cholelithiasis
syndrome). Regardless of the origin, the risk factors for gallstones lead to the
supersaturation of bile with insoluble compounds, in particular cholesterol. As
result, cholesterol stones develop and present the most frequent type of
gallstones. Laparoscopic cholecystectomy with low morbidity and mortality is
currently the most common and effective method for the therapy of symptomatic
gallbladder stones. CONCLUSIONS: Gallstone disease represents a multifactorial
condition and previous studies have identified the major genetic contributors to
gallstone formation. The increasing knowledge about the pathomechanisms of
hepatobiliary metabolism and GD as well as the identification of additional risk
factors might help to overcome the current invasive therapy by specific lifestyle
intervention and precise molecular treatment.
PMID- 29635712
TI - Anaesthetic efficacy of articaine versus lidocaine in children's dentistry: a
systematic review and meta-analysis.
AB - BACKGROUND: Over the last few years, numerous reviews and studies have awarded
articaine hydrochloride local anaesthetic (LA) a superior reputation, with
outcomes of different studies demonstrating a general tendency for articaine
hydrochloride to outperform lidocaine hydrochloride for dental treatment.
Nevertheless, there seems to be no clear agreement on which LA solution is more
efficacious in dental treatment for children. There is no previous publication
systematically reviewing and summarising the current best evidence with respect
to the success rates of LA solutions in children. AIMS: To evaluate the available
evidence on the efficacy of lidocaine and articaine, used in paediatric
dentistry. DESIGN: A systematic search was conducted on Cochrane CENTRAL Register
of Controlled Trials, MEDLINE (OVID; 1950 to June 2017), Cumulative Index to
Nursing and Allied Health Literature (CINAHL; EBSCOhost; 1982 to June 2017),
EMBASE (OVID; 1980 to June 2017), SCI-EXPANDED (ISI Web of Knowledge; 1900 to
June 2017), key journals, and previous review bibliographies through June 2017.
Original research studies that compared articaine with lidocaine for dental
treatment in children were included. Methodological quality assessment and
assessment of risk of bias were carried out for each of the included studies.
RESULTS: Electronic searching identified 525 publications. Following the primary
and secondary assessment process, six randomised controlled trials (RCT) were
included in the final analysis. There was no difference between patient self
reported pain between articaine and lidocaine during treatment procedures (SMD =
0.06, P-value = 0.614), and no difference in the occurrence of adverse events
between articaine and lidocaine injections following treatment in paediatric
patients (RR = 1.10, P-value = 0.863). Yet, patients reported significantly less
pain post-procedure following articaine injections (SMD = 0.37, P-value = 0.013).
Substantial heterogeneity was noted in the reporting of outcomes among studies,
with the overall quality of majority of studies being at high risk of bias.
CONCLUSIONS: There is low quality evidence suggesting that both articaine as
infiltration and lidocaine IAD nerve blocks presented the same efficacy when used
for routine dental treatments, with no difference between patient self-reported
pain between articaine and lidocaine during treatment procedures. Yet,
significantly less pain post-procedure was reported following articaine
injections. There was no difference in the occurrence of adverse events between
articaine and lidocaine injections following treatment in paediatric patients.
PMID- 29635713
TI - A lifeSPANS approach: Addressing child obesity in Australia.
PMID- 29635714
TI - Pallidal Deep Brain Stimulation in Patients With Chorea-Acanthocytosis.
AB - INTRODUCTION: Chorea-acanthocytosis (ChAc) is an autosomal recessive hereditary
disorder caused by the mutation of gene VPS13A. Deep brain stimulation of ChAc
has made substantial progress in the recent decades. However, the reports were
scattered across centers and performed by different neurosurgeons. Here, we
report a case series consisting of six patients diagnosed with ChAc, receiving
bilateral high-frequency stimulation of globus pallidus internus (GPi) in a
single center. METHODS: We report six consecutive patients diagnosed with ChAc
and present a review of the literature. All patients received neurological
evaluations using the Unified Huntington's Disease Rating Scale (UHDRS) motor
score before surgery and during clinical follow-ups. One patient was observed
over six months, while five patients were seen over 12 months. RESULTS: All
patients underwent high-frequency stimulation ranging from 130 Hz to 175 Hz. In
the follow-up period, a general trend was found toward higher amplitude and
broader pulse widths, with a mean current range of 2.08 mA to 3.06 mA and a mean
pulse width range of 75 MUsec to 98 MUsec. On preoperative evaluation, the mean
UHDRS motor score was 35.7 +/- 16.3 and the chorea subscore was 11.3 +/- 4.7. At
the three-month postoperative follow-up, both UHDRS motor score (13.5 +/- 5.8)
and chorea subscore (3.0 +/- 1.2) reached valley values. Thereafter, the UHDRS
motor score and chorea subscore showed a gradual rise, reaching 19.2 +/- 5.9 and
4.8 +/- 1.7, respectively, at the 12-month follow-up. In addition, adverse events
were also seen. Patient 1 developed dysarthria six months after surgery, whereas
Patient 6 had a generalized tonic-clonic seizure attack one day after surgery
CONCLUSION: High frequency stimulation of the GPi is an effective and safe
modality for the treatment of ChAc, with both rapid symptomatic improvements and
steady chronic outcomes.
PMID- 29635715
TI - In vivo evaluation of garlic (Allium sativum) supplementation to rice straw-based
diet on mitigation of CH4 and CO2 emissions and blood profiles using crossbreed
rams.
AB - BACKGROUND: Two experiments were implemented using three cannulated crossbreed
rams (Corriedale * Suffolk) fed rice straw-based diet. In vivo evaluation of
garlic powder (GP) supplementation to rice straw-based diet on CH4 and CO2
emissions and blood profiles of the rams (body weight = 52 +/- 3 kg) was
performed. The design was a triplicate 3 * 3 Latin square. Groups were the
control (no GP) and two GP groups, which were given supplementation for 0.1
(GP0.1) and 0.5% (GP0.5) of concentrate. The differences between two experiments
were data missing of GP0.1 and uncontrolled environment in Experiment 1. Blood
profiles were added in Experiment 2. RESULTS: In Experiment 1, supplementation of
GP to the rams reduced CH4 production significantly (P < 0.05) by 38%. Dry matter
intake was not different among the groups in both experiments. In Experiment 2,
CH4 was lower in the GP0.5 group than the control group by 7%, whereas CO2 showed
no difference among the groups. Serum glutamic oxaloacetic transaminase was
significantly (P > 0.05) lower in the GP0.5 group than the control group, whereas
glutamic pyruvic transaminase, total protein, urea N, total cholesterol, low
density lipoprotein, calcium, phosphorus, magnesium and non-esterified fatty
acids were not significantly (P > 0.05) different. CONCLUSIONS: GP
supplementation, particularly GP0.5, decreases CH4 emissions in rams fed rice
straw-based diets. (c) 2018 Society of Chemical Industry.
PMID- 29635716
TI - Beta-3 adrenoceptor expression in the uterosacral ligament in the postmenopausal
women with pelvic organ prolapse.
AB - AIMS: beta3-adrenoceptor (beta3-AR) agonist is known to relax the detrusor
muscles. Considering that both pelvic organ prolapse (POP) and overactive-bladder
(OAB) are frequently seen in the elderly population, the effect of beta3-AR
agonist on the pelvic floor tissues should be investigated. As a first step, this
pilot, experimental, and descriptive study was designed to investigate the
presence of beta3-AR expression in the uterosacral-ligaments (USLs) in
postmenopausal (PMP) women with POP. METHODS: After informed consent, PMP women
undergoing hysterectomy for POP were recruited for the intraoperative collection
of distal USL between 5/2016 and 8/2016. H&E analysis for the USLs and
immunohistochemistry (IHC) analysis for beta3-AR and alpha-actin smooth muscle
(SM) were carried out. Tissue composition and percentage of beta3-AR expression
in the USLs were subjectively measured and the staining results were expressed as
descriptive analysis. RESULTS: Total 11 USL specimens were collected and nine
specimens were included for the final analysis (one specimen: used for antibody
concentration adjustment and one specimen: failed proper staining). Under high
power-field, the USLs were composed of SM cells (81.5 +/- 7.47%) and connective
tissues (16.5 +/- 7.9%). On IHC analysis, six out of nine specimens expressed
beta3-AR with a different level of expression in SM cells in the USLs.
CONCLUSIONS: The majority of distal USLs were composed of SM cells. beta3-ARs are
expressed in 67% of the study specimens. Considering that both POP and OAB are
frequently seen in the elderly population, the effect of beta3-AR agonist on the
pelvic floor tissues should be investigated further.
PMID- 29635717
TI - Endoscopy screening effect on stage distributions of esophageal cancer: A cluster
randomized cohort study in China.
AB - Efficacy of endoscopic screening for esophageal cancer is not sufficiently
definitive and lacks randomized controlled trial evidence. The present study
proved short-term screening efficacy through describing and comparing disease
stage distributions of intervention and control populations. Villages from
Linzhou and Cixian were cluster randomly allocated to the intervention or to the
control group and the target population of 52 729 and 43 068 individuals was 40
69 years old, respectively, and the actual enrolled numbers were 18 316 and 21
178, respectively. TNM stage information and study-defined stage information of
esophageal cases from 2012 to 2016 were collected. Stage distributions were
compared between the intervention and control groups in the total target
population, as well as in the subgroup populations in terms of enrolment and
before or after intervention. There were a total of 199 and 141 esophageal cancer
cases in the intervention and control groups, respectively. For the target
population, distributions of TNM stage were borderline significant between the
two groups after intervention (P = .093). However, subgroup analysis of the
enrolled population during the after-intervention period had statistical
significance for both TNM and study-defined stage. Natural TNM stage
distributions were approximately 32%, 41%, 24% and 3% for stages I to IV vs 71%,
19%, 7% and 3% in the intervention population. The natural study-defined stage
distributions from early, middle to advanced stages were approximately 18%, 49%
and 33% vs 59%, 33% and 8%. Early-stage esophageal cancer cases accounted for a
higher proportion after endoscopy screening, and the efficacy in the target
population depends on the intervention compliance.
PMID- 29635718
TI - A Beautiful Life in a Vibrant Yet Vulnerable City.
PMID- 29635719
TI - Response to the letter to the Editor: Comments on marital status is a prognostic
factor in amyotrophic lateral sclerosis. Safiri S et al.
PMID- 29635720
TI - Comments on marital status is a prognostic factor in amyotrophic lateral
sclerosis.
PMID- 29635721
TI - Phenotype and genotype of muscle ryanodine receptor rhabdomyolysis-myalgia
syndrome.
AB - OBJECTIVES: Rhabdomyolysis and myalgia are common conditions, and mutation in the
ryanodine receptor 1 gene (RYR1) is suggested to be a common cause. Due to the
large size of RYR1, however, sequencing has not been widely accessible before the
recent advent of next-generation sequencing technology and limited phenotypic
descriptions are therefore available. MATERIAL & METHODS: We present the medical
history, clinical and ancillary findings of patients with RYR1 mutations and
rhabdomyolysis and myalgia identified in Denmark, France and The Netherlands.
RESULTS: Twenty-two patients with recurrent rhabdomyolysis (CK > 10 000) or
myalgia with hyperCKemia (>1.5 * ULN) and a RYR1 mutation were identified. One
had mild wasting of the quadriceps muscle, but none had fixed weakness. Symptoms
varied from being restricted to intense exercise to limiting ADL function. One
patient developed transient kidney failure during rhabdomyolysis. Two received
immunosuppressants on suspicion of myositis. None had episodes of malignant
hyperthermia. Muscle biopsies were normal, but CT/MRI showed muscle hypertrophy
in most. Delay from first symptom to diagnosis was 12 years on average. Fifteen
different dominantly inherited mutations were identified. Ten were previously
described as pathogenic and 5 were novel, but rare/absent from the background
population, and predicted to be pathogenic by in silico analyses. Ten of the
mutations were reported to give malignant hyperthermia susceptibility.
CONCLUSION: Mutations in RYR1 should be considered as a significant cause of
rhabdomyolysis and myalgia syndrome in patients with the characteristic
combination of rhabdomyolysis, myalgia and cramps, creatine kinase elevation, no
weakness and often muscle hypertrophy.
PMID- 29635722
TI - Short-term swimming exercise attenuates the sensitization of dorsal horn neurons
in rats with NGF-induced low back pain.
AB - BACKGROUND: Physical exercise has been shown to be an effective therapy for non
specific low back pain. The study investigated if swimming exercise is a means to
reduce the spinal sensitization in an animal model of non-specific low back pain.
METHODS: In deeply anesthetized rats, dorsal horn neurons were recorded in spinal
segment L2. To induce sensitization of dorsal horn neurons, two injections of
nerve growth factor were made into the lumbar multifidus muscle at an interval of
5 days. Swimming exercise for 30 min was performed on the 5 days between both NGF
injections. A control group received the NGF injections without exercise
treatment. RESULTS: Swimming exercise caused a significant decrease in the NGF
induced hyperexcitability of dorsal horn neurons. Compared to control, the
proportion of neurons with input from deep somatic tissues and of convergent
neurons with input from at least two types of different tissues decreased
significantly (50% vs. 25% and 37% vs. 15%; both p < 0.05). Swimming exercise
also reduced the NGF-induced increase in neuronal resting activity. Both the
proportion of active neurons and the mean discharge frequency of all neurons
decreased significantly (60%, 76.3 +/- 23.1 imp/min; vs. 25%, 51.7 +/- 35.1
imp/min; both p < 0.01). CONCLUSIONS: In our animal model of low back pain, short
term swimming exercise effectively reduced the latent sensitization of spinal
dorsal horn neurons. Swimming exercise decreased the hyperexcitability of the
neurons to low back input and lowered the resting activity of sensitized neurons.
SIGNIFICANCE: Physical exercise is a common treatment for low back pain. The
possible mechanisms underlying the effects of exercise are probably multifold.
This work shows that swimming exercise prevents sensitization of dorsal horn
neurons, which may be one mechanism for the positive effects of exercise.
PMID- 29635723
TI - Successful treatment of chronic norovirus gastroenteritis with nitazoxanide in a
pediatric kidney transplant recipient.
AB - Uncontrolled studies suggest a benefit of nitazoxanide for the treatment of
norovirus gastroenteritis in immunocompromised individuals. Here, we report the
use of nitazoxanide in a 13-year-old male kidney transplant recipient who
developed intractable norovirus gastroenteritis. Reduction of immunosuppression
was not possible due to refractory TCMR. Administration of oral immunoglobulin
and switching from tacrolimus to sirolimus failed to produce a meaningful
clinical response. Treatment with a 14-day course of nitazoxanide resulted in
prompt resolution of diarrhea as well as clearance of norovirus from the stool
despite intense immunosuppression. Nitazoxanide may be considered as an option
for the treatment of intractable norovirus gastroenteritis in pediatric
transplant patients when reduced immunosuppression is not feasible or other
treatment options have failed. Further studies to evaluate the safety and
effectiveness of nitazoxanide in immunocompromised children are needed.
PMID- 29635724
TI - Cerebellar high-grade astrocytoma with IDH mutations in the elderly: A report of
two cases.
AB - Cerebellar high-grade gliomas are rare, and likely to affect younger patients
compared with those of cerebral origin. Recent genetic analyses have revealed
that isocitrate dehydrogenase (IDH) 1/2 mutations are rare in infratentorial
gliomas. In this paper, we report two elderly cases of IDH-mutated cerebellar
high-grade glioma with unusual histological features and uncommon patient ages.
One case was an 83-year-old man, whose tumor was predominantly composed of
densely packed round-to-polygonal epithelioid cells. The other was a 75-year-old
woman's high-grade astrocytoma characterized by cord-like structures and the
perivascular papillary arrangements with varying amounts of myxoid matrix. The
former harbored IDH1 R132H mutation, whereas the latter had IDH2 R172K mutation.
According to our literature review, eight cases of IDH-mutated infratentorial
gliomas including the present cases have been reported, and four had mutations
other than IDH1 R132H. Moreover, we herein report the first elderly case of IDH2
mutation. Although the number is limited, IDH-mutant infratentorial diffuse
gliomas may have clinical, histological and genetic features different from
supratentorial cases.
PMID- 29635725
TI - Sustainable Synthesis of Co@NC Core Shell Nanostructures from Metal Organic
Frameworks via Mechanochemical Coordination Self-Assembly: An Efficient
Electrocatalyst for Oxygen Reduction Reaction.
AB - Herein, a new type of cobalt encapsulated nitrogen-doped carbon (Co@NC)
nanostructure employing Znx Co1-x (C3 H4 N2 ) metal-organic framework (MOF) as
precursor is developed, by a simple, ecofriendly, solvent-free approach that
utilizes a mechanochemical coordination self-assembly strategy. Possible
evolution of Znx Co1-x (C3 H4 N2 ) MOF structures and their conversion to Co@NC
nanostructures is established from an X-ray diffraction technique and
transmission electron microscopy analysis, which reveal that MOF-derived Co@NC
core-shell nanostructures are well ordered and highly crystalline in nature.
Co@NC-MOF core-shell nanostructures show excellent catalytic activity for the
oxygen reduction reaction (ORR), with onset potential of 0.97 V and half-wave
potential of 0.88 V versus relative hydrogen electrode in alkaline electrolyte,
and excellent durability with zero degradation after 5000 potential cycles;
whereas under similar experimental conditions, the commonly utilized Pt/C
electrocatalyst degrades. The Co@NC-MOF electrocatalyst also shows excellent
tolerance to methanol, unlike the Pt/C electrocatalyst. X-ray photoelectron
spectroscopy (XPS) analysis shows the presence of ORR active pyridinic-N and
graphitic-N species, along with CoNx ?Cy and Co?Nx ORR active (M-N-C) sites.
Enhanced electron transfer kinetics from nitrogen-doped carbon shell to core Co
nanoparticles, the existence of M-N-C active sites, and protective NC shells are
responsible for high ORR activity and durability of the Co@NC-MOF
electrocatalyst.
PMID- 29635726
TI - Synthesis, Structure, and Anion Binding Properties of Electron-Deficient
Tetrahomocorona[4]arenes: Shape Selectivity in Anion-pi Interactions.
AB - Tetrahomocorona[2]arene[2]tetrazines were constructed by means of a fragment
coupling strategy based on nucleophilic aromatic substitution reaction starting
from 3,6-dichlorotetrazine and o-, m-, and p-bis(hydroxymethyl)benzenes. The
unprecedented macrocycles gave rectangular box-like cavities with tunable cavity
sizes and deficient electronic properties depending on the substitution pattern
of phenylene. Due to anion-pi interactions, they formed complexes selectively
with azide and thiocyanate owing to complementary shapes between host and guest.
PMID- 29635727
TI - Reverse Solvatochromism of Imine Dyes Comprised of 5-Nitrofuran-2-yl or 5
Nitrothiophen-2-yl as Electron Acceptor and Phenolate as Electron Donor.
AB - Eight compounds with phenols as electron-donating groups and 5-nitrothiophen-2-yl
or 5-nitrofuran-2-yl acceptor moieties in their molecular structures were
synthesized. The crystalline structures of six compounds were obtained. Their
corresponding phenolate dyes were studied in 29 solvents and the data showed that
in all cases a reverse solvatochromism occurred. The results are explained in
terms of the ability of the medium to stabilize the electronic ground and excited
states of the probes to different extents. The frontier molecular orbitals were
analyzed for the protonated and deprotonated forms of the compounds. The
calculated geometries are in agreement with the X-ray structures determined for
the compounds and it was verified that after their deprotonation an increase in
the electron delocalization occurs. Radial distribution functions were calculated
for the dyes in water and n-hexane to analyze different solvation patterns
resulting from the interaction of the solvents with the dyes. Data obtained by
using the Catalan multiparameter equation revealed that the medium acidity is
responsible for hypsochromic shifts, whereas the solvent basicity,
polarizability, and dipolarity contributed to bathochromic shifts of the
solvatochromic band of these dyes. Two model "hybrid cyanine" dyes were used in
the design of simple experiments to demonstrate that the solvatochromic behavior
of these dyes in solution can be tuned with careful consideration of the
properties of the medium.
PMID- 29635728
TI - Characteristics of deposits and pump exchange in the Berlin Heart EXCOR
ventricular assist device: Experience with 67 cases.
AB - Pump exchanges are frequently required in the Berlin Heart EXCOR VAD. We intended
to describe the characteristics of pump deposits in a larger patient series and
evaluate if changes in our exchange procedure over time have led to increased
complications. We reviewed all EXCOR pump exchanges in our institution from July
2004 to October 2014. We gathered data on size and location of pump deposits and
exchange procedures. EXCOR devices were implanted in 38 children. Support was
LVAD only in 22, BiVAD in 13, and SVAD in 3 cases. Sixty-seven pumps were
exchanged. The incidence of pump exchanges per month was higher for smaller pumps
and for RVADs vs LVADs. Indications were visible pump deposit in 55, stroke
without visible deposit in 5, incorporation of membrane oxygenator in 3, pump
size change in 2, and sepsis in 1 case, respectively. Deposits were located in
the outflow valve in 73%, inflow valve in 22%, pump body in 3%, and outflow
cannula in 3%. EXCOR pumps are predominantly exchanged for deposits, which are
most frequently located in the outflow valves. The procedure is now carried out
without sedation at the bedside. No major complications were observed during
exchanges.
PMID- 29635729
TI - Novel modification of the Reported Edmonton Frail Scale.
AB - OBJECTIVES: The Reported Edmonton Frail Scale (REFS) uses clock drawing as a
measure of cognition. However, many patients in the acute hospital setting
present with an inability to clock-draw. We considered an alternative method for
assessing cognition based on a history of cognitive impairment. METHODS: We
created a modified version of the REFS (mod-REFS), utilising the same domains as
the REFS, in which a screening question of cognition substitutes the clock
drawing task. Data were collected from a prospective cohort study. RESULTS: Of
181 participants, frailty measured with either the REFS or the mod-REFS found
equivalence. A comparison between clock drawing and cognitive question scores
demonstrated a complete agreement for 152 patients (84%), a reduction in
cognition score for 25 (14%) and an increase for 4 (2%). CONCLUSION: We suggest
the mod-REFS will improve the frailty assessment in the acute hospital setting,
overcoming the limitations associated with clock drawing.
PMID- 29635730
TI - Phase-Engineered PtSe2 -Layered Films by a Plasma-Assisted Selenization Process
toward All PtSe2 -Based Field Effect Transistor to Highly Sensitive, Flexible,
and Wide-Spectrum Photoresponse Photodetectors.
AB - The formation of PtSe2 -layered films is reported in a large area by the direct
plasma-assisted selenization of Pt films at a low temperature, where
temperatures, as low as 100 degrees C at the applied plasma power of 400 W can
be achieved. As the thickness of the Pt film exceeds 5 nm, the PtSe2 -layered
film (five monolayers) exhibits a metallic behavior. A clear p-type
semiconducting behavior of the PtSe2 -layered film (~trilayers) is observed with
the average field effective mobility of 0.7 cm2 V-1 s-1 from back-gated
transistor measurements as the thickness of the Pt film reaches below 2.5 nm. A
full PtSe2 field effect transistor is demonstrated where the thinner PtSe2 ,
exhibiting a semiconducting behavior, is used as the channel material, and the
thicker PtSe2 , exhibiting a metallic behavior, is used as an electrode, yielding
an ohmic contact. Furthermore, photodetectors using a few PtSe2 -layered films as
an adsorption layer synthesized at the low temperature on a flexible substrate
exhibit a wide range of absorption and photoresponse with the highest
photocurrent of 9 uA under the laser wavelength of 408 nm. In addition, the
device can maintain a high photoresponse under a large bending stress and 1000
bending cycles.
PMID- 29635731
TI - Chemiluminescent Probes for Activity-Based Sensing of Formaldehyde Released from
Folate Degradation in Living Mice.
AB - Formaldehyde (FA) is a common environmental toxin that is also produced naturally
in the body through a wide range of metabolic and epigenetic processes,
motivating the development of new technologies to monitor this reactive carbonyl
species (RCS) in living systems. Herein, we report a pair of first-generation
chemiluminescent probes for selective formaldehyde detection. Caging phenoxy
dioxetane scaffolds bearing different electron-withdrawing groups with a general
2-aza-Cope reactive formaldehyde trigger provides chemiluminescent formaldehyde
probes 540 and 700 (CFAP540 and CFAP700) for visible and near-IR detection of FA
in living cells and mice, respectively. In particular, CFAP700 is capable of
visualizing FA release derived from endogenous folate metabolism, providing a
starting point for the use of CFAPs and related chemical tools to probe FA
physiology and pathology, as well as for the development of a broader palette of
chemiluminescent activity-based sensing (ABS) probes that can be employed from in
vitro biochemical to cell to animal models.
PMID- 29635732
TI - Breakthrough cancer pain: The importance of the right treatment at the right
time.
AB - BACKGROUND: Confusion remains over the definition of breakthrough cancer pain
(BTcP) potentially leading to delayed diagnosis and treatment. METHODS: An on
line survey was conducted in four EU countries among relevant healthcare
professionals and cancer patients diagnosed with BTcP. The roles of healthcare
professionals (HCPs) were examined and their knowledge and use of available
medications recorded. Patients were questioned on how BTcP affected their lives
and on the medications they had received/were receiving. RESULTS: There was a
'time lag' of 58 and 13 weeks in Germany and Spain respectively between the
initial diagnosis of BTcP and its treatment. Four in ten oncologists across the
four countries considered themselves not fully confident in their choice of the
appropriate therapy. A quarter of patients in Germany, Italy and Spain and four
in ten in France were treated only with increased dosages of the therapy already
prescribed for their background pain - often morphine. Almost another quarter
received morphine in addition to their treatment for background pain. Oncologists
indicated a need for faster-acting treatments revealing a potential lack of
awareness of rapid onset oral opioids and patients expressed a desire for more
effective pain relief and better psychological support. CONCLUSIONS: There is a
need for a universal definition of BTcP to facilitate earlier and more accurate
diagnosis. It is essential that BTcP is treated immediately on diagnosis with
therapies that more closely mirror its temporal characteristics to ensure that
patients' desire for more effective pain relief is fulfilled. SIGNIFICANCE: Many
cancer patients suffered episodes of BTcP needlessly over many months due to
missed diagnosis. Even after diagnosis, many physicians were not fully confident
in their choice of 'rescue' therapy which perhaps is not surprising given the
very low level of awareness of treatment guidelines, both national and
international.
PMID- 29635733
TI - Renal impairment markers in type 2 diabetes patients with different types of
hyperuricemia.
AB - AIMS/INTRODUCTION: Hyperuricemia (HUA) occurs because of decreased excretion of
uric acid, increased synthesis of uric acid or a combination of both mechanisms.
The proportions of these three types of HUA in type 2 diabetes patients are not
known. In the mean time, we assume that different types of HUA might manifest
with different renal damage, even in patients with normal renal filtration
function. MATERIALS AND METHODS: We included 435 inpatients with type 2 diabetes
at the Metabolic Disease Hospital of Tianjin Medical University from 2015 to
2016. Based on the clearance of uric acid, 90 patients with HUA were divided into
three types: synthesis-increased HUA, excretion-decreased HUA and mixed type of
HUA. RESULTS: Patients with the mixed type of HUA had the severest kidney injury
manifested by a high level of 24 h urinary microalbumin, urinary immunoglobulin
G, transferrin, alpha-galactosidase and beta2-microglobulin compared with the
normal uric acid group. Urinary immunoglobulin G, transferrin and alpha
galactosidase were also increased in patients with synthesis-increased HUA
compared with the normal uric acid group. Patients with excretion-decreased HUA
did not have an increased level of renal impairment markers; however, these
patients had an increased body mass index, which might cause dysfunction of
kidney excretion. CONCLUSIONS: Excretion-decreased HUA is a more common type of
HUA in type 2 diabetes patients that might be caused by dysfunction of tubular
excretion instead of structural damage. The mixed type of HUA patients had the
severest kidney glomerular and tubular damage compared with the normal uric acid
group. Clinically, different types of hyperuricemia should be given
individualized treatment according to their own characteristics.
PMID- 29635734
TI - An edge-readout, multilayer detector for positron emission tomography.
AB - PURPOSE: We present a novel gamma-ray-detector design based on total internal
reflection (TIR) of scintillation photons within a crystal that addresses many
limitations of traditional PET detectors. Our approach has appealing features,
including submillimeter lateral resolution, DOI positioning from layer thickness,
and excellent energy resolution. The design places light sensors on the edges of
a stack of scintillator slabs separated by small air gaps and exploits the
phenomenon that more than 80% of scintillation light emitted during a gamma-ray
event reaches the edges of a thin crystal with polished faces due to TIR. Gamma
ray stopping power is achieved by stacking multiple layers, and DOI is determined
by which layer the gamma ray interacts in. METHOD: The concept of edge readouts
of a thin slab was verified by Monte Carlo simulation of scintillation light
transport. An LYSO crystal of dimensions 50.8 mm * 50.8 mm * 3.0 mm was modeled
with five rectangular SiPMs placed along each edge face. The mean-detector
response functions (MDRFs) were calculated by simulating signals from 511 keV
gamma-ray interactions in a grid of locations. Simulations were carried out to
study the influence of choice of scintillator material and dimensions, gamma-ray
photon energies, introduction of laser or mechanically induced optical barriers
(LIOBs, MIOBs), and refractive indices of optical-coupling media and SiPM
windows. We also analyzed timing performance including influence of gamma-ray
interaction position and presence of optical barriers. We also modeled and built
a prototype detector, a 27.4 mm * 27.4 mm * 3.0 mm CsI(Tl) crystal with 4 SiPMs
per edge to experimentally validate the results predicted by the simulations. The
prototype detector used CsI(Tl) crystals from Proteus outfitted with 16 Hamamatsu
model S13360-6050PE MPPCs read out by an AiT-16-channel readout. The MDRFs were
measured by scanning the detector with a collimated beam of 662-keV photons from
a 137 Cs source. The spatial resolution was experimentally determined by imaging
a tungsten slit that created a beam of 0.44 mm (FWHM) width normal to the
detector surface. The energy resolution was evaluated by analyzing list-mode data
from flood illumination by the 137 Cs source. RESULT: We find that in a block
detector-sized LYSO layer read out by five SiPMs per edge, illuminated by 511-keV
photons, the average resolution is 1.49 mm (FWHM). With the introduction of
optical barriers, average spatial resolution improves to 0.56 mm (FWHM). The DOI
resolution is the layer thickness of 3.0 mm. We also find that optical-coupling
media and SiPM-window materials have an impact on spatial resolution. The timing
simulation with LYSO crystal yields a coincidence resolving time (CRT) of 200-400
ps, which is slightly position dependent. And the introduction of optical
barriers has minimum influence. The prototype CsI(Tl) detector, with a smaller
area and fewer SiPMs, was measured to have central-area spatial resolutions of
0.70 and 0.39 mm without and with optical barriers, respectively. These results
match well with our simulations. An energy resolution of 6.4% was achieved at 662
keV. CONCLUSION: A detector design based on a stack of monolithic scintillator
layers that uses edge readouts offers several advantages over current block
detectors for PET. For example, there is no tradeoff between spatial resolution
and detection sensitivity since no reflector material displaces scintillator
crystal, and submillimeter resolution can be achieved. DOI information is readily
available, and excellent timing and energy resolutions are possible.
PMID- 29635735
TI - Descriptive profile of the academic integrity of Australian occupational therapy
students.
AB - BACKGROUND: Academic integrity is the moral code of academia. Students who
demonstrate trustworthiness in an academic setting are more likely to be
dependable in a clinical setting. It is, therefore, important for occupational
therapy academic and fieldwork educators to know the academic integrity profile
of their students and to address any areas of academic dishonesty in curriculum
design and delivery. To date, there has been no baseline description of the
academic honesty profile of Australian occupational therapy students. AIM: To
establish a baseline of academic integrity and academic dishonesty among
occupational therapy undergraduate and graduate-entry masters students in a
cohort of Australian students. METHODS: Seven hundred and one students from five
Australian universities completed a self-report questionnaire comprising
demographic questions and six standardised scales measuring academic integrity.
RESULTS: Overall, occupational therapy students reported high levels of academic
and fieldwork integrity; however, some areas of concerns exist. Students report
copying material without citations at least once during their studies (55%),
obtaining test questions at least once during their studies (42.6%) or padding
out a bibliography (39.5%). CONCLUSION: Occupational therapy education needs to
continue to emphasise the importance of academic and fieldwork integrity.
Students need to be explicitly taught what academic honesty and dishonesty is and
be provided with the resources and time to complete academic work to reduce the
risk of academic dishonesty.
PMID- 29635736
TI - Comments on Effect of Gabapentin on Delayed Discharge from the Postanesthesia
Care Unit.
PMID- 29635738
TI - Genealogy as a Counter-Human Science.
PMID- 29635737
TI - Evolutionary history of HOMEODOMAIN LEUCINE ZIPPER transcription factors during
plant transition to land.
AB - Plant transition to land required several regulatory adaptations. The mechanisms
behind these changes remain unknown. Since the evolution of transcription factors
(TFs) families accompanied this transition, we studied the HOMEODOMAIN LEUCINE
ZIPPER (HDZ) TF family known to control key developmental and environmental
responses. We performed a phylogenetic and bioinformatics analysis of HDZ genes
using transcriptomic and genomic datasets from a wide range of Viridiplantae
species. We found evidence for the existence of HDZ genes in chlorophytes and
early-divergent charophytes identifying several HDZ members belonging to the four
known classes (I-IV). Furthermore, we inferred a progressive incorporation of
auxiliary motifs. Interestingly, most of the structural features were already
present in ancient lineages. Our phylogenetic analysis inferred that the origin
of classes I, III, and IV is monophyletic in land plants in respect to
charophytes. However, class IIHDZ genes have two conserved lineages in
charophytes and mosses that differ in the CPSCE motif. Our results indicate that
the HDZ family was already present in green algae. Later, the HDZ family expanded
accompanying critical plant traits. Once on land, the HDZ family experienced
multiple duplication events that promoted fundamental neo- and
subfunctionalizations for terrestrial life.
PMID- 29635740
TI - Why do children read more? The influence of reading ability on voluntary reading
practices.
AB - BACKGROUND: This study investigates the causal relationships between reading and
print exposure and investigates whether the amount children read outside school
determines how well they read, or vice versa. Previous findings from behavioural
studies suggest that reading predicts print exposure. Here, we use twin-data and
apply the behaviour-genetic approach of direction of causality modelling,
suggested by Heath et al. (), to investigate the causal relationships between
these two traits. METHOD: Partial data were available for a large sample of twin
children (N = 11,559) and 262 siblings, all enrolled in the Netherlands Twin
Register. Children were assessed around 7.5 years of age. Mothers completed
questionnaires reporting children's time spent on reading activities and reading
ability. Additional information on reading ability was available through teacher
ratings and performance on national reading tests. For siblings reading test,
results were available. RESULTS: The reading ability of the twins was comparable
to that of the siblings and national norms, showing that twin findings can be
generalized to the population. A measurement model was specified with two latent
variables, Reading Ability and Print Exposure, which correlated .41. Heritability
analyses showed that Reading Ability was highly heritable, while genetic and
environmental influences were equally important for Print Exposure. We exploited
the fact that the two constructs differ in genetic architecture and fitted
direction of causality models. The results supported a causal relationship
running from Reading Ability to Print Exposure. CONCLUSIONS: How much and how
well children read are moderately correlated. Individual differences in print
exposure are less heritable than individual differences in reading ability.
Importantly, the present results suggest that it is the children's reading
ability that determines how much they choose to read, rather than vice versa.
PMID- 29635739
TI - Smart-Dust-Nanorice for Enhancement of Endogenous Raman Signal, Contrast in
Photoacoustic Imaging, and T2-Shortening in Magnetic Resonance Imaging.
AB - Raman microspectroscopy provides chemo-selective image contrast, sub-micrometer
resolution, and multiplexing capabilities. However, it suffers from weak signals
resulting in image-acquisition times of up to several hours. Surface-enhanced
Raman scattering (SERS) can dramatically enhance signals of molecules in close
vicinity of metallic surfaces and overcome this limitation. Multimodal, SERS
active nanoparticles are usually labeled with Raman marker molecules, limiting
SERS to the coating material. In order to realize multimodal imaging while
acquiring the rich endogenous vibronic information of the specimen, a core-shell
particle based on "Nanorice", where a spindle-shaped iron oxide core is
encapsulated by a closed gold shell, is developed. An ultrathin layer of silica
prevents agglomeration and unwanted chemical interaction with the specimen. This
approach provides Raman signal enhancement due to plasmon resonance effects of
the shell while the optical absorption in the near-infrared spectral region
provides contrast in photoacoustic tomography. Finally, T2-relaxation of a
magnetic resonance imaging (MRI) experiment is altered by taking advantage of the
iron oxide core. The feasibility for Raman imaging is evaluated by nearfield
simulations and experimental studies on the primate cell line COS1. MRI and
photoacoustics are demonstrated in agarose phantoms illustrating the promising
translational nature of this strategy for clinical applications in radiology.
PMID- 29635741
TI - In vivo photoacoustic/ultrasonic dual-modality endoscopy with a miniaturized full
field-of-view catheter.
AB - Endoscopy is an essential clinical tool for the diagnosis of gastrointestinal
(GI) tract cancer. A photoacoustic system that elegantly combines optical and
ultrasound endoscopy advantages by providing high-sensitivity functional
information and large imaging depth is a potentially powerful tool for GI tract
imaging. Recently, several photoacoustic endoscopic imaging systems have been
proposed and developed. However, the relatively large size and rigid length of
the catheter make it difficult to translate them into wide clinical applications;
while the existing system of a relatively small catheter, capable of in vivo
animal imaging, is unable to acquire full (360 degrees ) field-of-view cross
section images. In this study, we developed a photoacoustic/ultrasonic dual
modality endoscopic system and a corresponding miniaturized, encapsulated imaging
catheter, which provides a full 360 degrees field-of-view. The diameter of the
catheter is 2.5 mm, which is compatible with the 2.8-mm instrumental channel of a
conventional clinical optical endoscope. Using this system, we demonstrate in
vivo 3-dimensional endoscopic photoacoustic/ultrasonic imaging of the colorectum
of a healthy Sprague Dawley rat, by depicting vasculature and morphology of the
GI tract. The significantly improved imaging field of view, reduced catheter
size, high-quality imaging results suggest that the developed
photoacoustic/ultrasonic dual-modality endoscopy has a great potential to be
translated into a broad range of clinical applications in gastroenterology.
PMID- 29635742
TI - Morphosyntactic abilities of toddlers with hearing impairment and normal hearing:
evidence from a sentence-repetition task.
AB - BACKGROUND: While considerable research exists on morphosyntax of school-age
children with hearing impairment (HI), little is known about development of
morphosyntax at younger ages. Some studies show that young children with HI have
a delay in language abilities compared with children with normal hearing (NH);
conversely, other studies show evidence that they achieve age-appropriate
language development. AIMS: To investigate whether characteristics of
morphosyntactic development displayed by young children with HI are unique or
whether they are similar to those of NH children. METHODS & PROCEDURES: Fifty
four Hebrew-speaking children (15 with HI and 39 with NH), aged 22-40 months,
completed a novel Hebrew sentence repetition (SRep) task designed to evaluate
morphosyntactic abilities. Accuracy on the total correct structure, repetition of
content and function words, and repetition of specific morphemes were compared
across groups. OUTCOMES & RESULTS: At the earliest stages of combining words to
sentences, toddlers in both groups showed a large variation in morphosyntactic
development, with no significant difference between the two groups. Children with
HI and NH showed similar results for the acquisition of morphemes and various
syntactic structures. In the group of children with HI, hearing capability
accounted for 28% of the variance of the SRep task. CONCLUSIONS & IMPLICATIONS:
The findings suggest typical morphosyntax capacity at the onset of language
development among of children with HI who are diagnosed early and receive
intensive intervention.
PMID- 29635744
TI - Regulated Expression of sgRNAs Tunes CRISPRi in E. coli.
AB - Methods for implementing dynamically-controlled multi-gene programs could expand
capabilities to engineer metabolism for efficiently producing high-value
compounds. This work explores whether CRISPRi repression can be tuned in E. coli
through the regulated expression of the CRISPRi machinery. When dCas9 is not
limiting, variations in sgRNA expression alone can lead to CRISPRi repression
levels ranging from 5- to 300-fold. Titrating sgRNA expression over a 2.5-fold
range results in 16-fold changes in reporter gene expression. Many different
classes of genetic controllers can generate 2.5-fold differences in
transcription, suggesting they may be integrated into dynamically-regulated
CRISPRi circuits. Finally, CRISPRi cannot be reversed for up to 12 hours by
expressing a competing sgRNA later in the growth phase, indicating that CRISPR
Cas:DNA interactions can be persistent in vivo. Collectively, these results
identify genetic architectures for tuning CRISPRi repression through regulated
sgRNA expression and suggest that dynamically-regulated CRISPRi systems targeting
multiple genes may be within reach.
PMID- 29635743
TI - In vitro assessment of quality of citrate-phosphate-dextrose-adenine-1 preserved
feline blood collected by a commercial closed system.
AB - BACKGROUND: Optimal procedure for storage of feline blood is needed. Open
collection systems have been employed in feline medicine, thus limiting the
possibility for storage. OBJECTIVES: To evaluate indicators of quality of feline
blood stored for 35 days at +4 degrees C in a closed-collection system
specifically designed for cats. ANIMALS: Eight healthy adult European domestic
shorthair cats with a weight of 5-6.8 kg. METHODS: This is a case series study. A
bacteriological test, CBC, blood smear, pH, osmotic fragility, 2,3
diphosphoglycerate (2,3-DPG), and adenosine triphosphate (ATP) measurement were
performed weekly on whole blood (WB) units from day 1 to day 35 after donation.
The hemolysis index, lactate and potassium concentrations, prothrombin time (PT),
activated partial thromboplastin time (aPTT), and fibrinogen were measured on
plasma aliquots. RESULTS: One out of eight blood units (BUs) had bacterial growth
(Serratia marcescens) at day 35. No significant differences were found regarding
CBC, morphology, pH, and osmotic fragility. Despite high inter-individual
variability and low starting levels, significant decreases in the mean
concentrations of 2,3-DPG (T0 1.99 mmol/g Hb, SD 0.52, T35 1.25 mmol/g Hb, SD
1.43; P = .003) and ATP (T0 1.45 mmol/g Hb, SD 0.71, T35 0.62 mmol/g Hb, SD 0.51;
P < .001) were detected during the study, as opposed to an increase in hemolysis
(T0 0.11 mmol/L, SD 0.07, T35 0.84 mmol/L, SD 0.19; P < .001), lactate (T0 3.30
mmol/L, SD 0.86, T35 13.36 mmol/L, SD 2.90; P < .001), and potassium (T0 3.10
mmol/L, SD 0.21, T35 4.12 mmol/L, SD 0.35; P < .001) concentrations. CONCLUSIONS
AND CLINICAL IMPORTANCE: The commercial BU kit is appropriate for blood
collection and conservation of WB in cats. The maintenance of WB quality
indicators during storage is essential for future improvements of feline
transfusion medicine.
PMID- 29635746
TI - Isolation of pulmonary veins using a thermoreactive implantable device with
external energy transfer: Evaluation in a porcine model.
AB - BACKGROUND: Pulmonary vein isolation (PVI) is a well-established method for the
treatment of symptomatic paroxysmal atrial fibrillation, but is only partly
successful with a high rate of electrical reconnection. We introduce a novel
technique in which PVI is accomplished by noninvasive heating of a dedicated
thermoresponse implant inserted into the pulmonary veins (PV), demonstrated in a
porcine model. METHODS: A self-expanding nitinol-based implant was positioned in
the common inferior PV of 11 pigs, using a fluoroscopy-guided transatrial
appendage approach. Ablation was performed through contactless energy transfer
from a primary extracorporal coil to a secondary heat ring (HR) embedded in the
proximal part of the implant. Electrophysiological conduction was assessed prior
to and postablation, and at 3 months. Histological samples were obtained acutely
(n = 4) and after 3 months (n = 7). RESULTS: In total, 13 PV implants were
successfully positioned in the inferior PVs of 11 animals. Ablation was performed
without injury of adjacent structures. PVI and bidirectional block was
electrophysiologically confirmed in all cases immediately at the time of
implantation and 3 months later in seven chronic animals in whom testing was
repeated. Marked evidence of ablation around the proximal HR was evident at 3
months postprocedure, with scar tissue formation and only mild neointimal
proliferation. CONCLUSIONS: Successful PVI can be obtained by external
electromagnetic heat transfer to a novel pulmonary vein implant.
PMID- 29635747
TI - "... Casting Our Lot with Some Ways of Life and Not Others": Epistemic
Reflexivity, Diffraction, Epistemic Responsibilities.
PMID- 29635745
TI - The design and rationale of SAVE BC: The Study to Avoid CardioVascular Events in
British Columbia.
AB - Atherosclerotic cardiovascular disease (ASCVD) is highly heritable, particularly
when it occurs at a young age. The screening of individuals with premature ASCVD,
although often recommended, is not routinely performed. Strategies to address
this gap in care are essential. We designed the Study to Avoid CardioVascular
Events in British Columbia (SAVE BC) as a prospective, observational study of
individuals with a new diagnosis of very premature ASCVD (defined as age <= 50
years in males and age <= 55 years in females) and their first-degree relatives
(FDRs) and spouses. FDRs and spouses will undergo screening for cardiovascular
(CV) risk factors and subclinical ASCVD using a structured screening algorithm.
All subjects will be followed longitudinally for >=10 years. The overall goal of
SAVE BC is to evaluate the yield of a structured screening program for
identifying individuals at risk of premature ASCVD. The primary objectives of
SAVE BC are to identify and follow index cases with very premature ASCVD and
their FDRs and to determine the diagnostic yield of a structured screening
program for these individuals. We will collect data on CV risk factors,
medication use, CV events, and healthcare costs in these individuals. SAVE BC
will provide insight regarding approaches to identify individuals at risk for
premature ASCVD with implications for prevention and treatment in this
population.
PMID- 29635748
TI - Neural correlates of enhanced executive functions: is less more?
AB - Musical training has been associated with superior performance in various
executive function tasks. To date, only a few neuroimaging studies have
investigated the neural substrates of the supposed "musician advantage" in
executive functions, precluding definite conclusions about its neural basis.
Here, we provide a selective review of neuroimaging studies on plasticity and
typical maturation of executive functions, with the aim of investigating how
proficient performance in executive function tasks is reflected in brain
activity. Specifically, we examine the evidence for the hypothesis that enhanced
or mature executive functions are manifested as efficient use of neural systems
supporting those functions. We also present preliminary results from a functional
magnetic resonance imaging study suggesting-in line with this hypothesis-that
musically trained adolescents recruit frontoparietal regions less strongly during
executive functions tasks than untrained peers.
PMID- 29635749
TI - Use of cosmetic products for treating certain diseases-Know the science.
AB - BACKGROUND: Psoriasis being an incurable disease, the drug dependency of the
patient in most occasions causes greater health problem than psoriasis. Wherever
there is flare-up, immunosuppressive drugs and other antimitotic medicaments are
necessary. Therefore, a safe cosmetic preparation with drug-like effect on
certain triggering factors of psoriasis may revolutionize the treatment.
OBJECTIVES: Objectives of this study were to determine the effect of the
developed formulation on several key enzymes such as lipoxygenase, collagenase,
elastase, and nullifying the free radicals and glycation. All these enzymes have
cascading effect in triggering the problem to inflammatory level. METHODS:
Biochemical and enzymatic assay was performed to determine the effect of the
cosmetic formulation and its effective dosage. RESULT: The findings clearly show
that the formulation is effective against all the key triggering factors of
psoriasis. CONCLUSION: Findings undoubtedly establish the drug-like effect of
nondrug formulation (cosmetic formulation). The use of this formulation may
minimize the relative dependency of many steroids and other medicament. As the
formulation contains only proven cosmetic ingredients and herbs, long-term use is
unlikely to produce any side effects.
PMID- 29635750
TI - High Intracellular Seed Train BiP Levels Correlate With Poor Production Culture
Performance in CHO Cells.
AB - Consistent cell culture performance is a prerequisite to ensure product quality
consistency and achieve productivity goals for the manufacture of recombinant
protein therapeutics, including monoclonal antibodies. Here a peculiar
observation is reported where high levels of intracellular BiP in seed train
cultures are consistently predictive of poor cell culture performance in the
subsequent inoculum and production cultures for a monoclonal antibody produced in
CHO cells. This investigation suggests that in this cell line the high
intracellular BiP levels in the seed train are triggered by a slightly lower
culture pH, which interferes with proper antibody folding and secretion. While
the seed train culture does not display any obvious signs of the problem at
slightly lower culture pH, inoculum trains, and production cultures sourced from
these low pH seed trains display significantly lower cell growth and cell size.
High intracellular BiP levels may interfere with UPR signaling, thereby hampering
a proper and timely UPR response in the production media. Studies of other
problematic cell lines have shown a similar correlation between intracellular BiP
accumulation and poor production performance. The authors believe intracellular
BiP levels in seed train should hence be low in order to increase the success
rate in production.
PMID- 29635751
TI - Quercetin reversed MDR in breast cancer cells through down-regulating P-gp
expression and eliminating cancer stem cells mediated by YB-1 nuclear
translocation.
AB - Overexpression of P-glycoprotein (P-gp) plays an important role in mediating
multidrug resistance (MDR), resulting in chemotherapy failure of tumor patients
and enhancement of cancer stem cell characteristics. By preparing doxorubicin
(Dox) resistant human breast cancer MCF-7 cells, here, we wanted to evaluate the
effects of quercetin (Que) on MDR reversal activity and investigate its possible
mechanism. MCF-7 and MCF-7/dox cells were respectively treated by Dox, paclitaxel
(Pac), or vincristine (Vcr) with or without Que intervention for 24 hr. Cell
viability, cell apoptosis, cell cycle, intracellular drug accumulation, the
expression of P-gp and Y-box binding protein 1 (YB-1), and breast cancer stem
cells (BCSCs) were then assessed. The results showed that Que significantly
enhanced the antitumor activities of Dox, Pac, and Vcr in breast cancer cells. In
addition, combined treatment of Dox, Pac, or Vcr with Que significantly
downregulated P-gp expression and eliminated BCSCs. Furthermore, combined
treatment of Dox, Pac, or Vcr with Que significantly inhibited nuclear
translocation of YB-1. Thus, we speculated that Que reversed MDR in breast cancer
cells through downregulating P-gp expression and eliminating cancer stem cells
mediated by YB-1 nuclear translocation.
PMID- 29635753
TI - Differences in physiological and biochemical characteristics in response to
single and combined drought and salinity stresses between wheat genotypes
differing in salt tolerance.
AB - The combined drought and salinity stresses pose a serious challenge for crop
production, but the physiological mechanisms behind the stresses responses in
wheat remains poorly understood. Greenhouse pot experiment was performed to study
differences in genotype response to the single and combined (D + S) stresses of
drought (4% soil moisture, D) and salinity (100 mM NaCl, S) using two wheat
genotypes: Jimai22 (salt tolerant) and Yangmai20 (salt-sensitive). Results showed
that salinity, drought and/or D + S severely reduces plant growth, biomass and
net photosynthetic rate, with a greater effect observed in Yangmai20 than
Jimai22. A notable improvement in water use efficiency (WUE) by 239, 77 and 103%
under drought, salinity and D + S, respectively, was observed in Jimai22.
Moreover, Jimai22 recorded higher root K+ concentration in drought and salinity
stressed condition and shoot K+ under salinity alone than that of Yangmai20.
Jimai22 showed lower increase in malondialdehyde (MDA) accumulation, but higher
activities of superoxide dismutase (SOD, EC 1.15.1.1) and guaicol peroxidase
(POD, EC 1.11.1.7), under single and combined stresses, and catalase (CAT, EC
1.11.1.6) and ascorbate peroxidase (APX, EC 1.11.1.11) under single stress. Our
results suggest that high tolerance of Jimai22 in both drought and D + S stresses
is closely associated with larger root length, higher Fv/Fm and less MDA contents
and improved capacity of SOD and POD. Moreover, under drought Jimai22 tolerance
is firmly related to higher root K+ concentration level and low level of Na+ ,
high-net photosynthetic rate and WUE as well as increased CAT and APX activities
to scavenge reactive oxygen species.
PMID- 29635752
TI - Inhibition of carbonic anhydrase IX targets primary tumors, metastases, and
cancer stem cells: Three for the price of one.
AB - Human carbonic anhydrase (CA) IX is a tumor-associated protein, since it is
scarcely present in normal tissues, but highly overexpressed in a large number of
solid tumors, where it actively contributes to survival and metastatic spread of
tumor cells. Due to these features, the characterization of its biochemical,
structural, and functional features for drug design purposes has been extensively
carried out, with consequent development of several highly selective small
molecule inhibitors and monoclonal antibodies to be used for different purposes.
Aim of this review is to provide a comprehensive state-of-the-art of studies
performed on this enzyme, regarding structural, functional, and biomedical
aspects, as well as the development of molecules with diagnostic and therapeutic
applications for cancer treatment. A brief description of additional
pharmacologic applications for CA IX inhibition in other diseases, such as
arthritis and ischemia, is also provided.
PMID- 29635754
TI - The effect of iron chelation therapy on overall survival in sickle cell disease
and beta-thalassemia: A systematic review.
AB - Red blood cell transfusions have become standard of care for the prevention of
life-threatening anemia in patients with beta-thalassemia and sickle cell disease
(SCD). However, frequent transfusions can lead to accumulation of iron that can
result in liver cirrhosis, diabetes mellitus, arthritis, arrhythmias,
cardiomyopathy, heart failure, and hypogonadotropic hypogonadism. Iron chelation
therapy has been shown to reduce serum ferritin levels and liver iron content,
but limitations of trial design have prevented any demonstration of improved
survival. The objective of this systematic review was to investigate the impact
of iron chelation therapy on overall and event-free survival in patients with
beta-thalassemia and SCD. Eighteen articles discussing survival in beta
thalassemia and 3 in SCD were identified. Overall iron chelation therapy resulted
in better overall survival, especially if it is instituted early and compliance
is maintained. Comparative studies did not show any significant differences
between available iron chelation agents, although there is evidence that
deferiprone is better tolerated than deferoxamine and that compliance is more
readily maintained with the newer oral drugs, deferiprone and deferasirox. Iron
chelation therapy, particularly the second-generation oral agents, appears to be
associated with improved overall and event-free survival in transfusion-dependent
patients with beta-thalassemia and patients with SCD.
PMID- 29635755
TI - Exact and exclusive electron localization indices within QTAIM atomic basins.
AB - Novel measures of electron (de)localization within the Quantum Theory of Atoms in
Molecules (QTAIM) atomic basins are presented which, unlike orthodox localization
indices (LIs), are fully exclusive and can be easily visualized. This work shows
that QTAIM-defined LIs describe a portion of interatomic delocalized electrons;
hence, the chemical/physical interpretation of orthodox LIs is misleading. Using
the recently introduced Fragment, Atomic, Localized, Delocalized, and Interatomic
(FALDI) density decomposition technique we derive two novel sets of LIs and
delocalization indices (DIs), by accounting for the overlap between localized and
delocalized density functions. The FALDI-based LIs and DIs perfectly recover
chemically expected core and bonded electron count. Usefulness of new
(de)localization indices and their 3D representations were demonstrated on a
number of examples, including formamide and benzene. We therefore expect that the
scheme reported in this work will provide a valuable stepping stone between
classical conceptual chemistry and quantum chemical topology. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29635756
TI - 3D Aerogel of Graphitic Carbon Nitride Modified with Perylene Imide and Graphene
Oxide for Highly Efficient Nitric Oxide Removal under Visible Light.
AB - 3D materials are considered promising for photocatalytic applications in air
purification because of their large surface areas, controllability, and
recyclability. Here, a series of aerogels consisting of graphitic-carbon nitride
(g-C3 N4 ) modified with a perylene imide (PI) and graphene oxide (GO) are
prepared for nitric oxide (NO) removal under visible-light irradiation. All of
the photocatalysts exhibit excellent activity in NO removal because of the strong
light absorption and good planarity of PI-g-C3 N4 coupled with the favorable
charge transport properties of GO, which slow the recombination of electron-hole
pairs. The aerogel containing thiophene displays the most efficient NO removal of
the aerogel series, with a removal ratio of up to 66%. Density functional theory
calculations are conducted to explain this result and recycling experiments are
carried out to verify the stability and recyclability of these photocatalysts.
PMID- 29635757
TI - Oesophageal causes of dysphagia localised only to the pharynx: Implications for
the suspected head and neck cancer pathway.
AB - OBJECTIVES: Dysphagia is a presenting symptom of both pharyngeal and oesophageal
cancers. The referral pathway choice is determined by whether it is thought to be
oropharyngeal or oesophageal, and this is in turn influenced by whether dysphagia
is perceived to be above or below the suprasternal notch. We studied the
concordance between the presence of pharynx-localised dysphagia (PLD) and the
location of the underlying disease processes. DESIGN: A subset analysis of the
Dysphagia Hotline Cohort, collected between 2004 and 2015, of patients with PLD
and a structural diagnosis. MAIN OUTCOME MEASURES: Information about patient
demography and presenting symptoms were recorded. The incisor-to-pathology
distance, and the nature of the pathology, were recorded. Logistic regression
analysis was used to identify independent predictors of malignancy. RESULTS: The
study included 177 patients. There were 92 males, and mean age at presentation
was 74 years. The commonest benign pathologies were cricopharyngeal dysfunction
with or without pharyngeal pouch (n = 67), peptic stricture (n = 44) and
Schatzki's ring (n = 11). There were 49 cases of cancer, including one
hypopharyngeal cancer, one cervical oesophageal cancer, 28 cancers of the
upper/mid-thoracic oesophagus, 15 cancers of the lower thoracic oesophagus and 4
cardio-oesophageal cancers. In 105 (59%) patients, PLD was caused by oesophageal
disease. Independent predictors of malignancy were weight-change (loss >2.7 kg),
a short history (<12 weeks) and presence of odynophagia. Nineteen (39%) of
oesophageal cancers that presented with dysphagia that was localised only to the
pharynx would have been beyond the reach of rigid oesophagoscopy. CONCLUSIONS:
Pharynx-localised dysphagia is more likely to be a referred symptom of structural
oesophageal disease, including cancer, than a primary symptom of structural
pharyngeal disease. Absence of additional alarm symptoms such as a short history,
weight-loss, and odynophagia, do not adequately exclude the possibility of
oesophageal cancer. When the differential diagnosis of PLD includes malignancy,
cancer should be presumed to be arising from the oesophagus or the cardio
oesophageal region until proven otherwise. This requires direct visualisation of
the mucosal surfaces of the oesophagus and the cardio-oesophageal region, using
either transoral or transnasal flexible endoscopy, irrespective of whether the
initial assessment occurs within head and neck or upper gastrointestinal
suspected cancer pathways.
PMID- 29635758
TI - Hyperpolarization-activated channels shape temporal patterns of ectopic
spontaneous discharge in C-nociceptors after peripheral nerve injury.
AB - BACKGROUND: Neuropathic pain is thought to be mediated by aberrant impulses from
sensitized primary afferents, and the temporal summation of the discharges might
also influence nociceptive processing. Hyperpolarization-activated cyclic
nucleotide-gated (HCN) channels (Ih current) generate rhythmic activity in
neurons within the central nervous system and contribute to nociceptors
excitability in neuropathic pain. METHODS: We searched for single fibres with
ectopic spontaneous discharges from an in vitro preparation in mice containing a
neuroma formed in a peripheral branch of the saphenous nerve together with the
undamaged branches. RESULTS: Both damaged (axotomized) and undamaged fibres
(putative intact) developed ectopic spontaneous activity with different temporal
spike trains: Clock-like, Irregular or Bursts. The Ih current blocker, ZD7288,
significantly suppressed ectopic spontaneous discharges in nociceptive fibres
(3/5 Adelta- and 24/31 C-units and 1 nonclassified) by 64%. Additionally, ZD7288
changed the spike patterns of 5/7 Clock-like and 3/4 Burst units to Irregular.
Exogenous cAMP produced a significant ~65% increase in the ectopic firing in 5
Irregular fibres, which was restored by ZD7288. In six additional fibres (three
Clock-like and three Irregular), exogenous cAMP had no further effect, but co
application with ZD7288 decreased their discharge by half. These units showed
significant higher levels of discharges than the cAMP-sensitive ones.
CONCLUSIONS: Our data suggest that HCN channels modulate ectopic spontaneous
firing in C-nociceptors and shape their temporal patterns of discharge which
will, ultimately, modify the nociceptive message received and processed by second
order neurons. SIGNIFICANCE: We show an involvement of HCN channels in the
modulation of ectopic spontaneous discharges from C-nociceptors. This finding
exposes a mechanism of nociceptive transmission enhancement and highlights the
clinical relevance of peripheral HCN blockade for spontaneous pain relief during
neuropathy.
PMID- 29635759
TI - Response to adenosine during narrow QRS tachycardia and sinus rhythm: What is the
mechanism?
PMID- 29635760
TI - What are the additional signs and symptoms in patients with detrusor
underactivity and coexisting detrusor overactivity?
AB - AIMS: This study aimed to determine what difference the inclusion of patients
with coexisting detrusor overactivity (DO) makes to the signs and symptoms of
patients with detrusor underactivity (DU). METHODS: A total of 250 male and 435
female urodynamic tests were analyzed retrospectively. Signs and symptoms which
showed a statistically significant difference between DU without DO and DU with
DO were identified. RESULTS: Males with DO in addition to DU had higher age and
number of daily micturitions, and were more likely to report urgency with or
without urgency incontinence than males with DU without DO. They also had lower
volumes for first desire to void, volume voided, and post void residual urine,
lower abdominal pressure at Qmax and were less likely to report a history of
retention or reduced bladder filling sensation than males with DU without DO.
Females with DO in addition to DU had higher age and BMI, and were more likely to
report urgency incontinence, higher day and night pad usage, constipation and
have reduced anal tone than females with DU without DO. They also had lower
volumes for first desire to void, volume voided, and post void residual urine,
and lower abdominal pressure at Qmax than females who had DU without DO.
CONCLUSIONS: There are differences in signs and symptoms between patients who
have DU without DO, compared to patients having DU with DO. This understanding
will help future studies investigating treatment options for DU patients.
PMID- 29635761
TI - Water-Dispersible Polydopamine-Coated Nanofibers for Stimulation of Neuronal
Growth and Adhesion.
AB - Hybrid nanomaterials have shown great potential in regenerative medicine due to
the unique opportunities to customize materials properties for effectively
controlling cellular growth. The peptide nanofiber-mediated auto-oxidative
polymerization of dopamine, resulting in stable aqueous dispersions of
polydopamine-coated peptide hybrid nanofibers, is demonstrated. The catechol
residues of the polydopamine coating on the hybrid nanofibers are accessible and
provide a platform for introducing functionalities in a pH-responsive polymer
analogous reaction, which is demonstrated using a boronic acid modified
fluorophore. The resulting hybrid nanofibers exhibit attractive properties in
their cellular interactions: they enhance neuronal cell adhesion, nerve fiber
growth, and growth cone area, thus providing great potential in regenerative
medicine. Furthermore, the facile modification by pH-responsive supramolecular
polymer analog reactions allows tailoring the functional properties of the hybrid
nanofibers in a reversible fashion.
PMID- 29635762
TI - Principal component analysis-based imaging angle determination for 3D motion
monitoring using single-slice on-board imaging.
AB - PURPOSE: Through-plane motion introduces uncertainty in three-dimensional (3D)
motion monitoring when using single-slice on-board imaging (OBI) modalities such
as cine MRI. We propose a principal component analysis (PCA)-based framework to
determine the optimal imaging plane to minimize the through-plane motion for
single-slice imaging-based motion monitoring. METHODS: Four-dimensional computed
tomography (4DCT) images of eight thoracic cancer patients were retrospectively
analyzed. The target volumes were manually delineated at different respiratory
phases of 4DCT. We performed automated image registration to establish the 4D
respiratory target motion trajectories for all patients. PCA was conducted using
the motion information to define the three principal components of the
respiratory motion trajectories. Two imaging planes were determined perpendicular
to the second and third principal component, respectively, to avoid imaging with
the primary principal component of the through-plane motion. Single-slice images
were reconstructed from 4DCT in the PCA-derived orthogonal imaging planes and
were compared against the traditional AP/Lateral image pairs on through-plane
motion, residual error in motion monitoring, absolute motion amplitude error and
the similarity between target segmentations at different phases. We evaluated the
significance of the proposed motion monitoring improvement using paired t test
analysis. RESULTS: The PCA-determined imaging planes had overall less through
plane motion compared against the AP/Lateral image pairs. For all patients, the
average through-plane motion was 3.6 mm (range: 1.6-5.6 mm) for the AP view and
1.7 mm (range: 0.6-2.7 mm) for the Lateral view. With PCA optimization, the
average through-plane motion was 2.5 mm (range: 1.3-3.9 mm) and 0.6 mm (range:
0.2-1.5 mm) for the two imaging planes, respectively. The absolute residual error
of the reconstructed max-exhale-to-inhale motion averaged 0.7 mm (range: 0.4-1.3
mm, 95% CI: 0.4-1.1 mm) using optimized imaging planes, averaged 0.5 mm (range:
0.3-1.0 mm, 95% CI: 0.2-0.8 mm) using an imaging plane perpendicular to the
minimal motion component only and averaged 1.3 mm (range: 0.4-2.8 mm, 95% CI: 0.4
2.3 mm) in AP/Lateral orthogonal image pairs. The root-mean-square error of
reconstructed displacement was 0.8 mm for optimized imaging planes, 0.6 mm for
imaging plane perpendicular to the minimal motion component only, and 1.6 mm for
AP/Lateral orthogonal image pairs. When using the optimized imaging planes for
motion monitoring, there was no significant absolute amplitude error of the
reconstructed motion (P = 0.0988), while AP/Lateral images had significant error
(P = 0.0097) with a paired t test. The average surface distance (ASD) between
overlaid two-dimensional (2D) tumor segmentation at end-of-inhale and end-of
exhale for all eight patients was 0.6 +/- 0.2 mm in optimized imaging planes and
1.4 +/- 0.8 mm in AP/Lateral images. The Dice similarity coefficient (DSC)
between overlaid 2D tumor segmentation at end-of-inhale and end-of-exhale for all
eight patients was 0.96 +/- 0.03 in optimized imaging planes and 0.89 +/- 0.05 in
AP/Lateral images. Both ASD (P = 0.034) and DSC (P = 0.022) were significantly
improved in the optimized imaging planes. CONCLUSIONS: Motion monitoring using
imaging planes determined by the proposed PCA-based framework had significantly
improved performance. Single-slice image-based motion tracking can be used for
clinical implementations such as MR image-guided radiation therapy (MR-IGRT).
PMID- 29635763
TI - Association of support from family and friends with self-leadership for making
long-term lifestyle changes in patients with colorectal cancer.
AB - The purpose of this study was to examine the association of support from family
and friends for adoption of healthy eating habits and performing exercise with
improvements of self-leadership in patients with colorectal cancer (CRC). This
cross-sectional study examined 251 patients with CRC who received primary
curative surgery in South Korea. Demographic and clinical information, receipt of
social support for adoption of healthy eating habits and performing exercise and
self-leadership were collected. Greater participation by family and the use of
rewards for performance of exercise were associated with greater behavioural
awareness and volition, greater task motivation and constructive cognition of
self-leadership in patients. Patients exercising with friends had greater task
motivation in self-leadership. The use of rewards by family was associated with
performing and maintaining exercise programme for more than 6 months, and family
encouragement to adopt healthy eating habits was associated with excellent
quality of diet. Family support for exercising and adopting healthy eating habits
had more wide-ranging benefits in self-leadership than support from friends;
however, support from each group improved self-leadership. Support from family
was valuable for increasing the actual performance of exercise and for helping
patients with cancer to adopt healthy diets.
PMID- 29635764
TI - Postoperative pain management in children: Guidance from the pain committee of
the European Society for Paediatric Anaesthesiology (ESPA Pain Management Ladder
Initiative).
AB - The main remit of the European Society for Paediatric Anaesthesiology (ESPA) Pain
Committee is to improve the quality of pain management in children. The ESPA Pain
Management Ladder is a clinical practice advisory based upon expert consensus to
help to ensure a basic standard of perioperative pain management for all
children. Further steps are suggested to improve pain management once a basic
standard has been achieved. The guidance is grouped by the type of surgical
procedure and layered to suggest basic, intermediate, and advanced pain
management methods. The committee members are aware that there are marked
differences in financial and personal resources in different institutions and
countries and also considerable variations in the availability of analgesic drugs
across Europe. We recommend that the guidance should be used as a framework to
guide best practice.
PMID- 29635766
TI - Complex Coacervate Core Micelles Containing Poly(vinyl alcohol) Inhibit Ice
Recrystallization.
AB - Complex coacervate core micelles (C3Ms) form upon complexation of oppositely
charged copolymers. These co-assembled structures are widely investigated as
promising building blocks for encapsulation, nanoparticle synthesis, multimodal
imaging, and coating technology. Here, the impact on ice growth is investigated
of C3Ms containing poly(vinyl alcohol), PVA, which is well known for its high ice
recrystallization inhibition (IRI) activity. The PVA-based C3Ms are prepared upon
co-assembly of poly(4-vinyl-N-methyl-pyridinium iodide) and poly(vinyl alcohol)
block-poly(acrylic acid). Their formation conditions, size, and performance as
ice recrystallization inhibitors are studied. It is found that the C3Ms exhibit
IRI activity at PVA monomer concentrations as low as 1 * 10-3 m. The IRI efficacy
of PVA-C3Ms is similar to that of linear PVA and PVA graft polymers, underlining
the influence of vinyl alcohol monomer concentration rather than polymer
architecture.
PMID- 29635765
TI - Mice with reduced expression of the telomere-associated protein Ft1 develop p53
sensitive progeroid traits.
AB - Human AKTIP and mouse Ft1 are orthologous ubiquitin E2 variant proteins involved
in telomere maintenance and DNA replication. AKTIP also interacts with A- and B
type lamins. These features suggest that Ft1 may be implicated in aging
regulatory pathways. Here, we show that cells derived from hypomorph Ft1 mutant
(Ft1kof/kof ) mice exhibit telomeric defects and that Ft1kof/kof animals develop
progeroid traits, including impaired growth, skeletal and skin defects, abnormal
heart tissue, and sterility. We also demonstrate a genetic interaction between
Ft1 and p53. The analysis of mice carrying mutations in both Ft1 and p53
(Ft1kof/kof ; p53ko/ko and Ft1kof/kof ; p53+/ko ) showed that reduction in p53
rescues the progeroid traits of Ft1 mutants, suggesting that they are at least in
part caused by a p53-dependent DNA damage response. Conversely, Ft1 reduction
alters lymphomagenesis in p53 mutant mice. These results identify Ft1 as a new
player in the aging process and open the way to the analysis of its interactions
with other progeria genes using the mouse model.
PMID- 29635767
TI - Movement-based seizure detection.
AB - This is a critical review and comment on the use of movement detection in
epileptic seizures. The detection of rhythmic movement components, such as the
clonic part of tonic-clonic seizures, is essential in all seizure detection based
on movement sensors. Of the many available movement sensor types, accelerometric
sensors are used most often. Eleven video-electroencephalographic (EEG) and 1
field study have been carried out. The results of these clinical trials depend on
the population, study design, and seizure evolution. In video-EEG monitoring
units, sensitivity for tonic-clonic seizures varied from 31% to 95%, and positive
predictive value from 4% to 60%. In a field trial in a residential adult
population with intellectual disability, sensitivity was 14% and positive
predictive value was 82%, whereas in patients admitted to an epilepsy clinic, a
bed sensor had a sensitivity of 84% (no positive predictive value was given). The
algorithms using the "rhythmic movement" component at the end of a tonic-clonic
seizure are reliable (few false-positive alarms) but miss less typical seizure
patterns that are mostly present in people with associated brain development
disturbances. Other modalities (heart rate and electromyography) are needed to
increase the detection performance. Advanced accelerometric techniques allow us
to gain greater insight into seizure evolution patterns, possibilities for
neuromodulation, and the influence of antiepileptic drugs on specific seizure
components.
PMID- 29635768
TI - Post-translational cleavage pattern of Lupinus angustifolius gamma-conglutin.
AB - BACKGROUND: Protein post-translational modifications are a key element for the
functional diversity of the proteome. The modifications generally refer to the
addition of functional groups to certain proteins; however, proteolytic cleavage
is also one of the relevant events during protein maturation. gamma-Conglutin is
a unique protein fraction present in lupin seeds that is marked by numerous
unusual properties. This protein fraction undergoes very complex post
translational maturation. Unfortunately, the precise mechanism of gamma-conglutin
post-translational processing is not yet fully understood. RESULTS: Two
independent methods were used to study gamma-conglutin post-translational
cleavage processing. Edman N-terminal sequencing indicates that the signal
peptide is processed at Tyr34, while alpha- and beta-subunit cleavage takes place
between Ser295 and Ser296. High-resolution mass spectrometry revealed a great
diversity of N-terminal sequences of gamma-conglutin alpha-subunit. However, most
abundant peptides also began from Tyr34. Mass spectrometric analyses additionally
confirmed the subunit cleavage position between two serine residues. CONCLUSIONS:
The results indicate that the proteolytic processing of gamma-conglutin signal
peptide is not precise. On the other hand, the post-translational cleavage
between alpha- and beta-subunits of gamma-conglutin is very conserved.
Interestingly, the results also indicate that proteolytic processing leading to
the formation of two subunits of gamma-conglutin is incomplete, leaving a certain
amount of the protein in an uncut form. (c) 2018 Society of Chemical Industry.
PMID- 29635769
TI - Degradation kinetics of aflatoxin B1 and B2 in solid medium by using pulsed light
irradiation.
AB - BACKGROUND: Pulsed light (PL) is a new potential technology to degrade aflatoxin.
The objective of this study was to investigate the degradation characters of
aflatoxin B1 (AFB1 ) and B2 (AFB2 ) treated under PL irradiation. A kinetic
degradation study of AFB1 and AFB2 in solid medium was performed under PL
irradiation at different initial concentrations of AFB1 (229.9, 30.7 and 17.8 MUg
kg-1 ) and AFB2 (248.2, 32.2 and 19.5 MUg kg-1 ) and irradiation intensities
(2.86, 1.60 and 0.93 W cm-2 ) of PL. A second-order reaction model was applied to
describe degradation of AFB1 and AFB2 . RESULTS: The results showed that the
degradation of AFB1 and AFB2 followed the second-order reaction kinetic model
well (R2 > 0.97). The degradation rate was proportional to the intensities of PL
irradiation and the initial concentrations of aflatoxins. CONCLUSION: It is
concluded that the degradation of AFB1 and AFB2 with the use of PL could be
accurately described using the second-order reaction kinetic model. (c) 2018
Society of Chemical Industry.
PMID- 29635770
TI - Chromhidrosis due to exogenous oxidizing heavy metals: Clinical and laboratory
findings.
AB - BACKGROUND/OBJECTIVES: Chromhidrosis is a rare condition of which there are only
a few case reports in the literature. The aim of this study was to evaluate
clinical, laboratory, and possible environmental factors in 13 patients with
chromhidrosis to elucidate causative agents. METHODS: Data were obtained from the
medical records of 13 patients with colored sweating between October 2015 and
November 2016 (7 infants <1 year of age, 5 adults, 1 adolescent). RESULTS:
Physical examination was normal in all patients. Nine of 12 had high calculated
serum free copper (75%). Urine glutamine was measured in 13 patients and was high
in 11 (84.6%). Ten patients drank natural mineral water from Uludag Mountain, and
two were exposed to an intrauterine device containing copper. One patient (8%)
was not exposed to Uludag Mountain natural water or an intrauterine device.
CONCLUSION: We propose that chronic exposure to water or devices that contain
high amounts of heavy metal and ammonium may contribute to CH.
PMID- 29635771
TI - Diagnosing latent bipolar disorder: A clinical dilemma.
PMID- 29635772
TI - Biogenic selenium nanoparticles synthesized by Stenotrophomonas maltophilia
SeITE02 loose antibacterial and antibiofilm efficacy as a result of the
progressive alteration of their organic coating layer.
AB - Increasing emergence of drug-resistant microorganisms poses a great concern to
clinicians; thus, new active products are urgently required to treat a number of
infectious disease cases. Different metallic and metalloid nanoparticles have so
far been reported as possessing antimicrobial properties and proposed as a
possible alternative therapy against resistant pathogenic microorganisms. In this
study, selenium nanoparticles (SeNPs) synthesized by the environmental bacterial
isolate Stenotrophomonas maltophilia SeITE02 were shown to exert a clear
antimicrobial and antibiofilm activity against different pathogenic bacteria,
either reference strains or clinical isolates. Antimicrobial and antibiofilm
capacity seems to be strictly linked to the organic cap surrounding biogenic
nanoparticles, although the actual role played by this coating layer in the
biocidal action remains still undefined. Nevertheless, evidence has been gained
that the progressive loss in protein and carbohydrate content of the organic cap
determines a decrease in nanoparticle stability. This leads to an alteration of
size and electrical properties of SeNPs along with a gradual attenuation of their
antibacterial efficacy. Denaturation of the coating layer was proved even to have
a negative effect on the antibiofilm activity of these nanoparticles. The
pronounced antimicrobial efficacy of biogenic SeNPs compared to the denatured
ones can - in first instance - be associated with their smaller dimensions. This
study showed that the native organic coating layer of biogenic SeNPs functions in
avoiding aggregation and maintaining electrostatic stability of the
nanoparticles, thus allowing them to maintain efficient antimicrobial and
antibiofilm capabilities.
PMID- 29635773
TI - Synthesis and Stereochemical Revision of the C31-C67 Fragment of Amphidinol 3.
AB - Amphidinol 3 (AM3) is a marine natural product produced by the dinoflagellate
Amphidinium klebsii. Although the absolute configuration of AM3 was determined in
1999 by extensive NMR analysis and degradation of the natural product, it was a
daunting task because of the presence of numerous stereogenic centers on the
acyclic carbon chain and the limited availability from natural sources.
Thereafter, revisions of the absolute configurations at C2 and C51 were reported
in 2008 and 2013, respectively. Reported herein is the revised absolute
configuration of AM3: 32S, 33R, 34S, 35S, 36S, and 38S based on the chemical
synthesis of partial structures corresponding to the C31-C67 fragment of AM3 in
combination with degradation of the natural product. The revised structure is
unique in that both antipodal tetrahydropyran counterparts exist on a single
carbon chain. The structural revision of AM3 may affect proposed structures of
congeners related to the amphidinols.
PMID- 29635774
TI - Fermented meat sausages from game and venison: what are the opportunities and
limitations?
AB - An increase in the acceptance, demand and production of game meat and venison has
been experienced globally. Game meat and venison fit into consumers' ideology of
healthy and environmentally friendly meat when compared to domesticated animals.
Opportunities exist to explore the use of these meat sources in developing new
products, particularly as game meat and venison in their fresh state are
sometimes perceived as being tough. Consumers have shown a trend for trying
exciting new products with different organoleptic qualities, and fermented
sausages occupy a special niche in the gastro-economic trade. In this review, the
production potential of game meat and venison and its prospective use in the
development of fermented sausages are discussed. Emphasis is placed on the
importance of meat characteristics in textural and sensorial development as well
as the safety of fermented sausages. Additionally, consumers' perception of
venison and game meat is discussed. Possible areas of research and knowledge gaps
are highlighted, particularly the potential use of meat with high pH and
microbial load. (c) 2018 Society of Chemical Industry.
PMID- 29635776
TI - An automated intravaginal dynamometer: Reliability metrics and the impact of
testing protocol on active and passive forces measured from the pelvic floor
muscles.
AB - AIMS: (1) To determine the reliability of an automated dynamometer designed to
assess pelvic floor muscle (PFM) strength and resistance to passive elongation.
(2) To evaluate the impact of PFM length and rate of tissue elongation on
dynamometric outcomes. METHODS: At each of two sessions, twenty nulliparous women
performed three maximum voluntary contractions (MVC) of their PFMs with the
dynamometer set to two different anteroposterior (AP) diameters (25 mm, 35 mm).
Next, with PFMs relaxed, the arms of the dynamometer opened three times to 40 mm
at two speeds (25 mm/s, 50 mm/s). Outcomes included baseline force, peak force,
relative peak force, rate of force development (RFD), stiffness and stress
relaxation. Repeated-measures ANOVAs were used to test trial, day, and task
effects (alpha = 0.05), and intra-class correlation coefficients (ICCs) were
computed. RESULTS: Forces measured on MVC were higher with the larger AP
diameter, and passive resistance was higher for the faster rate of tissue
elongation. The between-trial reliability of all outcomes was excellent (0.82 <
ICC < 0.98) for all measures except for peak force during the passive elongation
task (0.56 < ICC < 0.93). Between-day reliability was good to excellent for
active and passive RFD (0.75 < ICC < 0.93), stiffness (ICC = 0.77) and relative
peak force (0.71 < ICC < 0.87); absolute force (0.11 < ICC < 0.85) and stress
relaxation responses (0.19 < ICC < 0.98) tended to be less reliable. CONCLUSIONS:
The reliability of the dynamometer is adequate for both clinical and research
applications. Relative forces were more reliable than absolute forces. Dimensions
and rate of tissue elongation should be controlled and reported with all pelvic
floor muscle assessments as these parameters impact outcomes.
PMID- 29635775
TI - Effects of renal impairment on transporter-mediated renal reabsorption of drugs
and renal drug-drug interactions: A simulation-based study.
AB - Renal impairment (RI) significantly impacts the clearance of drugs through
changes in the glomerular filtration rate, protein binding and alterations in the
expression of renal drug transport proteins and hepatic metabolizing enzymes. The
objectives of this study were to evaluate quantitatively the effects of renal
impairment on the pharmacokinetics of drugs undergoing renal transporter-mediated
reabsorption. A previously published semi-mechanistic kidney model incorporating
physiologically relevant fluid reabsorption and transporter-mediated active renal
reabsorption (PMID: 26341876) was utilized in this study. The probe
drug/transporter pair utilized was gamma-hydroxybutyric acid (GHB) and
monocarboxylate transporter 1 (SCL16A1, MCT1). gamma-Hydroxybutyric acid
concentrations in the blood and amount excreted into urine were simulated using
ADAPT 5 for the i.v. dose range of 200-1500 mg/kg in rats and the impact of renal
impairment on CLR and AUC was evaluated. A 90% decrease in GFR resulted in a >
100-fold decrease in GHB CLR . When expression of reabsorptive transporters was
decreased and fu was increased, CLR approached GFR. The effect of renal
impairment on CLR was reduced when the expression of drug metabolizing enzymes
(DME) was increased as a result of increased metabolic clearance; the converse
held true when the DME expression was decreased. In conclusion, this study
quantitatively demonstrated that the effects of renal insufficiency on the
clearance of drugs is modulated by transporter expression, contribution of renal
clearance to overall clearance, expression of drug metabolizing enzymes, fraction
unbound and drug-drug interactions with inhibitors of renal transporters that may
be increased in the presence of renal impairment.
PMID- 29635778
TI - Corrigendum.
PMID- 29635777
TI - Practical experiences of adopting assurance as a quantitative framework to
support decision making in drug development.
AB - All clinical trials are designed for success of their primary objectives. Hence,
evaluating the probability of success (PoS) should be a key focus at the design
stage both to support funding approval from sponsor governance boards and to
inform trial design itself. Use of assurance-that is, expected success
probability averaged over a prior probability distribution for the treatment
effect-to quantify PoS of a planned study has grown across the industry in recent
years, and has now become routine within the authors' company. In this paper, we
illustrate some of the benefits of systematically adopting assurance as a
quantitative framework to support decision making in drug development through
several case-studies where evaluation of assurance has proved impactful in terms
of trial design and in supporting governance-board reviews of project proposals.
In addition, we describe specific features of how the assurance framework has
been implemented within our company, highlighting the critical role that prior
elicitation plays in this process, and illustrating how the overall assurance
calculation may be decomposed into a sequence of conditional PoS estimates which
can provide greater insight into how and when different development options are
able to discharge risk.
PMID- 29635779
TI - A retrospective clinico-pathological study comparing lichen planus pigmentosus
with ashy dermatosis.
AB - BACKGROUND/OBJECTIVES: Controversy persists as to whether lichen planus
pigmentosus and ashy dermatosis are separate clinical entities. This study was
conducted to examine the clinicopathological features and treatment outcome of
the two conditions. METHODS: A retrospective medical chart review of all patients
who were diagnosed with lichen planus pigmentosus or ashy dermatosis was
conducted. The information collected included the participants' age at onset,
site of onset, duration of disease, presence of precipitating factors,
distribution of disease, pigmentation and presence of symptoms. In patients from
whom a biopsy was taken the histopathological reports were included. RESULTS:
Altogether 26 patients with ashy dermatosis and 29 with lichen planus pigmentosus
were included in the study. Compared with ashy dermatosis, lichen planus
pigmentosus had a more localised distribution with a preponderance for facial
involvement, compared with the truncal preponderance in ashy dermatosis. Ashy
dermatosis tended to have a more stable clinical course than lichen planus
pigmentosus, which was more likely to wax and wane. The utility of histopathology
in differentiating between the two conditions is low. CONCLUSION: Ashy dermatosis
and lichen planus pigmentosus, as defined in this study, appear to be two
separate clinical entities with distinguishable clinical features and natural
histories.
PMID- 29635780
TI - In vitro cytotoxicity of galvanically coupled magnesium-titanium particles on
human osteosarcoma SAOS2 cells: A potential cancer therapy.
AB - Osteosarcoma is a malignant bone cancer that occurs mostly in children and young
adults. This study investigated the cytotoxicity of Mg and Mg-Ti microparticles
to human osteosarcoma cells. Osteosarcoma cells were killed in a dosage-dependent
manner when cells, with a cell seeding density of 30,000 cells/cm2 , were
cultured with 0 to 2500 ug/mL of Mg or Mg-Ti in cell culture media for 24-72 h.
Mg-Ti killed cells more effectively, where 1250 ug/mL of Mg-Ti killed cells
completely by 24 h, while 2500 ug/mL of Mg killed nearly all cells, but not all.
Killing due to particle corrosion occurred mostly during the first 24 h, and so
the percent cell viability between 24 and 72 h showed not much variability.
However, the measurement of live and dead cell numbers, over the timeframe of 24
72 h, showed more insight, such as cell recovery. If particle concentrations were
low, the number of live cells increased after 24 h, indicating cell
proliferation. If particle concentrations were high, the number of live cells
either remained steady or decreased, indicating cell quiescence or continued
killing, respectively. Increase in the number of dead cells also indicated
killing, while plateau meant discontinued killing. In addition, repeated killing
of recovered cells exhibited the same dose-dependent killing profile as the
initial experiment, implying little development of cell resistance to treatment.
These results, together, show that osteosarcoma cells are susceptible to killing
by way of exposure to corroding particles, showing highly effective killing using
the galvanic couple of Mg-Ti. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res
Part B: Appl Biomater, 2018.
PMID- 29635781
TI - Interaction among variants in the SLC gene family (SLC6A14, SLC26A9, SLC11A1, and
SLC9A3) and CFTR mutations with clinical markers of cystic fibrosis.
AB - BACKGROUND: Cystic fibrosis (CF) is due to dysfunction of the CFTR channel and
function of this channel is, in turn, affected by modifier genes that can impact
the clinical phenotype. In this context, we analyzed the interaction among
rs3788766*SLC6A14, rs7512462*SLC26A9, rs17235416*SLC11A1, and rs17563161*SLC9A3
variants, CFTR mutations and 40 CF severity markers by the Multifactor
Dimensionality Reduction (MDR) model. METHODS: A total of 164 patients with CF
were included in the study. The variants in the modifier genes were identified by
real-time PCR and the genotype of the CFTR gene in the diagnostic routine.
Analysis of interaction between variants, CFTR mutations groupings and
demographic, clinical and laboratory data were performed by the MDR. RESULTS:
There were interaction between the rs3788766, rs7512462, rs17235416, and
rs17563161 variants, and CFTR mutations with pancreatic insufficiency (PI), onset
of digestive symptoms, and presence of mucoid Pseudomonas aeruginosa. Regarding
PI, the interaction was observed for CFTR*rs17563161 (P-value = 0.015). Also, for
onset of digestive symptoms the interaction was observed for
CFTR*rs3788766*rs7512462*rs17235416*rs17563161 (P-value = 0.036). Considering the
presence of mucoid P. aeruginosa, the interaction occurred for
CFTR*rs3788766*rs7512462*rs17563161 (P-value = 0.035). CONCLUSION: Interaction
between variants in the SLC family genes and the grouping for CFTR mutations were
associated with PI, onset of digestive symptoms and mucoid P. aeruginosa, being
important to determine one of the factors that may cause the diversity among the
patients with CF.
PMID- 29635782
TI - Group 9 Metallacyclopentadienes as Key Intermediates in [2+2+2] Alkyne
Cyclotrimerizations. Insight from Activation Strain Analyses.
AB - The intramolecular oxidative coupling converting a bis-acetylene complex of
formula CpM (C2 H2 )2 (Cp=C5 H5- ; M=Co, Rh, Ir) into a 16-electron metallacycle
is studied in silico. This reaction is paradigmatic in acetylene [2+2+2]
cycloaddition to benzene catalyzed by CpM fragments, being the step with the
highest activation energy, and thus affecting the whole catalysis. Our activation
strain and quantitative molecular orbital (MO) analyses elucidate the mechanistic
details and reveal why cobalt performs better than rhodium and iridium catalysts
outlining general principles for rational design of catalysts to be used in these
processes.
PMID- 29635783
TI - Allergic reaction to 3 tattoo inks containing Pigment Yellow 65.
PMID- 29635784
TI - Activation of T cells by dendritic cells exposed to a reference sensitizer:
Towards a promising model to assess the allergenic potential of chemicals.
AB - BACKGROUND: Low molecular weight chemicals constitute one of the major causes of
occupational allergies. European legislation on chemicals recommends limiting the
use of in vivo models for assessing the sensitizing potential of chemicals, and
encourages the development of integrated alternative methods. An in vitro mouse
model of bone marrow-derived dendritic cells (BMDCs) that showed good accuracy
(75%) and sensitivity (69%) has previously been developed to assess the
sensitizing potential of chemicals. OBJECTIVE: To assess the ability of BMDCs to
activate T cells (TCs) in vitro. METHODS: BMDCs pre-exposed to the reference
sensitizer ammonium hexachloroplatinate (AHCP) were co-cultured with different
subpopulations of TCs. TC activation was assessed by surface marker expression,
proliferation, and cytokine release. RESULTS: The results showed significant
activation of TCs co-cultured with dendritic cells pre-exposed to AHCP as
evaluated by CD124 expression, proliferation, and cytokine secretion. Moreover,
the response of TCs appeared to be Th2-oriented. Naive TCs were shown to be
involved in this response, and the removal of regulatory TCs did not improve the
cell response. CONCLUSIONS: The BMDCs used in this previously developed model
appear to have the ability to activate TCs, confirming that the BMDC model
represents a reliable assay for assessing the sensitizing potential of chemicals.
PMID- 29635785
TI - From Exploration to Instruction: Children Learn From Exploration and Tailor Their
Demonstrations to Observers' Goals and Competence.
AB - This study investigated whether children learn from exploration and act as
effective informants by providing informative demonstrations tailored to
observers' goals and competence. Children (4.0-6.9 years, N = 98) explored a
causally ambiguous toy to discover its causal structure and then demonstrated the
toy to a naive observer. Children provided more costly and informative evidence
when the observer wanted to learn about the toy than observe its effects
(Experiment 1) and when the observer was ordinary than exceptionally intelligent
(Experiment 2). Relative to the evidence they generated during exploration,
children produced fewer, less costly actions when the observer wanted or needed
less evidence. Children understand the difference between acting-to-learn and
acting-to-inform; after learning from exploration, they consider others' goals
and competence to provide "uninstructed instruction".
PMID- 29635786
TI - It's All Been Done Before: Rethinking Objectivity and Values in Public Sociology
Debates.
PMID- 29635787
TI - Effect of centrally acting angiotensin converting enzyme inhibitor on the
exercise-induced increases in muscle sympathetic nerve activity.
AB - KEY POINTS: The arterial baroreflex's operating point pressure is reset upwards
and rightwards from rest in direct relation to the increases in dynamic exercise
intensity. The intraneural pathways and signalling mechanisms that lead to
upwards and rightwards resetting of the operating point pressure, and hence the
increases in central sympathetic outflow during exercise, remain to be
identified. We tested the hypothesis that the central production of angiotensin
II during dynamic exercise mediates the increases in sympathetic outflow and,
therefore, the arterial baroreflex operating point pressure resetting during
acute and prolonged dynamic exercise. The results identify that perindopril, a
centrally acting angiotensin converting enzyme inhibitor, markedly attenuates the
central sympathetic outflow during acute and prolonged dynamic exercise.
ABSTRACT: We tested the hypothesis that the signalling mechanisms associated with
the dynamic exercise intensity related increases in muscle sympathetic nerve
activity (MSNA) and arterial baroreflex resetting during exercise are located
within the central nervous system. Participants performed three randomly ordered
trials of 70 degrees upright back-supported dynamic leg cycling after ingestion
of placebo and two different lipid soluble angiotensin converting enzyme
inhibitors (ACEi): perindopril (high lipid solubility), captopril (low lipid
solubility). Repeated measurements of whole venous blood (n = 8), MSNA (n = 7)
and arterial blood pressures (n = 14) were obtained at rest and during an acute
(SS1) and prolonged (SS2) bout of steady state dynamic exercise. Arterial
baroreflex function curves were modelled at rest and during exercise. Peripheral
venous superoxide concentrations measured by electron spin resonance spectroscopy
were elevated during exercise and were not altered by ACEi at rest (P >= 0.4) or
during exercise (P >= 0.3). Baseline MSNA and mean arterial pressure were
unchanged at rest (P >= 0.1; P >= 0.8, respectively). However, during both SS1
and SS2, the centrally acting ACEi perindopril attenuated MSNA compared to
captopril and the placebo (P < 0.05). Arterial pressures at the operating point
and threshold pressures were decreased with perindopril from baseline to SS1 with
no further changes in the operating point pressure during SS2 under all three
conditions. These data suggest that centrally acting ACEi is significantly more
effective at attenuating the increase in the acute and prolonged exercise-induced
increases in MSNA.
PMID- 29635788
TI - Clinical findings and survival time in dogs with advanced heart failure.
AB - BACKGROUND: Dogs with advanced heart failure are a clinical challenge for
veterinarians but there are no studies reporting clinical features and outcome of
this population. HYPOTHESIS/OBJECTIVES: To describe clinical findings and outcome
of dogs with advanced heart failure caused by degenerative mitral valve disease
(DMVD). ANIMALS: Fifty-four dogs with advanced heart failure because of DMVD.
METHODS: For study purposes, advanced heart failure was defined as recurrence of
congestive heart failure signs despite receiving the initially prescribed dose of
pimobendan, angiotensin-converting-enzyme inhibitor (ACEI), and furosemide >4
mg/kg/day. Data were collected for the time of diagnosis of Stage C heart failure
and time of diagnosis of advanced heart failure. Date of death was recorded.
RESULTS: At the diagnosis of advanced heart failure, doses of pimobendan (n =
30), furosemide (n = 28), ACEI (n = 13), and spironolactone (n = 4) were
increased, with >=1 new medications added in most dogs. After initial diagnosis
of advanced heart failure, 38 (70%) dogs had additional medications adjustments
(median = 2 [range, 0-27]), with the final total medication number ranging from 2
10 (median = 5). Median survival time after diagnosis of advanced heart failure
was 281 days (range, 3-885 days). Dogs receiving a furosemide dose >6.70
mg/kg/day had significantly longer median survival times (402 days [range, 3-885
days] versus 129 days [range 9-853 days]; P = .017). CONCLUSIONS AND CLINICAL
IMPORTANCE: Dogs with advanced heart failure can have relatively long survival
times. Higher furosemide dose and non-hospitalization were associated with longer
survival.
PMID- 29635789
TI - Robust analysis of stepped wedge trials using cluster-level summaries within
periods.
AB - In stepped-wedge trials (SWTs), the intervention is rolled out in a random order
over more than 1 time-period. SWTs are often analysed using mixed-effects models
that require strong assumptions and may be inappropriate when the number of
clusters is small. We propose a non-parametric within-period method to analyse
SWTs. This method estimates the intervention effect by comparing intervention and
control conditions in a given period using cluster-level data corresponding to
exposure. The within-period intervention effects are combined with an inverse
variance-weighted average, and permutation tests are used. We present an example
and, using simulated data, compared the method to (1) a parametric cluster-level
within-period method, (2) the most commonly used mixed-effects model, and (3) a
more flexible mixed-effects model. We simulated scenarios where period effects
were common to all clusters, and when they varied according to a distribution
informed by routinely collected health data. The non-parametric within-period
method provided unbiased intervention effect estimates with correct confidence
interval coverage for all scenarios. The parametric within-period method produced
confidence intervals with low coverage for most scenarios. The mixed-effects
models' confidence intervals had low coverage when period effects varied between
clusters but had greater power than the non-parametric within-period method when
period effects were common to all clusters. The non-parametric within-period
method is a robust method for analysing SWT. The method could be used by trial
statisticians who want to emphasise that the SWT is a randomised trial, in the
common position of being uncertain about whether data will meet the assumptions
necessary for mixed-effect models.
PMID- 29635790
TI - Popolohuanones G - I, Dimeric Sesquiterpene Quinones with IL-6 Inhibitory
Activity from the Marine Sponge Dactylospongia elegans.
AB - Chemical investigation of the marine sponge Dactylospongia elegans, collected
from the South China Sea, afforded three new dimeric sesquiterpene quinones,
popolohuanones G - I (1 - 3), together with two known analogs, popolohuanones B
(4) and C (5). The new structures were determined by HR-ESI-MS and 1D- and 2D-NMR
analyses. All the five compounds showed no cytotoxic activity against five human
cancer cell lines, while popolohuanone H (2) showed potent inhibitory activity
against IL-6, an inflammatory cytokine, at the concentration of 10 MUm.
PMID- 29635791
TI - Fecal bacterial diversity of wild Sichuan snub-nosed monkeys (Rhinopithecus
roxellana).
AB - The gastrointestinal tract of primates harbors a complex microbial community,
playing an essential role in the degradation of otherwise indigestible structural
carbohydrates. The phylogenetic and functional diversity of the bacterial
community in the feces as a surrogate for the gastrointestinal tract of wild
Sichuan snub-nosed monkeys (Rhinopithecus roxellana, N = 6) was characterized
based on sequence analysis of 16S rRNA genes. A sex comparison was conducted,
with a prior hypothesis that the abundances of the bacterial taxa and/or
functional categories associated with energy and nutrient metabolism would be
higher in adult females (N = 3) due to the higher reproductive costs compared to
adult males (N = 3). Ten phyla were identified in all samples, among which
Bacteroidetes and Firmicutes were the predominant. Included in the above two
phyla, the members of Prevotellaceae (Prevotella in particular) and
Ruminococcaceae were highly abundant, which are common bacteria in the
gastrointestinal tract of primates and can degrade various structural
carbohydrates such as cellulose, hemicellulose, and pectin. This functionality
was in line with the high abundances of the metagenomes associated with
carbohydrate metabolism. Consistent with our hypothesis, the abundances of the
metagenomes associated with energy metabolism, folding/sorting and degradation,
glycan biosynthesis and metabolism, and metabolism of amino acids were higher in
adult females relative to adult males. Sex differences were also detected in the
bacterial community structure, although no sex differences in the proportions of
any bacterial taxa were found likely due to the small sample size. These results
suggested that gastrointestinal bacterial communities may aid adult females in
increasing energy and nutrition utilization efficiencies compared to adult males.
Fecal bacterial communities were found to be more similar between individuals in
adult females than in adult males. Our study presented the first examination of
the fecal bacterial diversity of a little-studied, endangered foregut fermenter.
PMID- 29635792
TI - Haste makes waste-Should current guideline recommendations for initiation of
renal replacement therapy for acute kidney injury be changed?
AB - There is broad consensus among guideline organizations that renal replacement
therapy (RRT) should not be delayed in case of life-threatening conditions.
However, in case of severe acute kidney injury (AKI) without these conditions, it
is unclear whether immediate RRT has an advantage over delayed RRT. Two recently
published randomized controlled trials (AKIKI and ELAIN) with seemingly opposite
results have reignited the discussion whether guideline recommendations on
initiation strategies in severe AKI should be adapted. This editorial discusses
RRT initiation strategies in severe AKI, based on recent literature and
highlights the potential advantages and disadvantages of immediate vs delayed
start. Overall, evidence in favor of immediate compared to delayed strategies is
sparse and there is wide heterogeneity across studies making it difficult to draw
firm conclusions. RRT should not be delayed in case of refractory hyperkalemia,
severe metabolic acidosis or pulmonary edema resistant to diuretics. In all other
cases, a delayed strategy seems justified and might enhance renal recovery. RRT
is not a "it doesn't hurt to try" technique and can expose the patient to a
higher risk of bleeding, hemodynamic problems, under-dosing of antibiotics, loss
of nutrients, catheter-related complications and the uncertain effects of blood
membrane interactions. There is no compelling reason to change current guideline
recommendations and research focus should shift toward the development of
algorithms as a decision aid tool for RRT initiation in severe AKI.
PMID- 29635793
TI - Role of alkyl silatranes as plant growth regulators: comparative substitution
effect on root and shoot development of wheat and maize.
AB - BACKGROUND: The present investigation reports the stimulating effects of
different substituted alkyl silatranes (3a-3e) on the early seedling growth of
wheat (Triticum aestivum) and maize (Zea mays). Seeds of these plants were
exposed to six different concentrations (0, 10, 50, 100, 200 and 500 umol L-1 ).
The results revealed that different substitutions (3a-3e) had different effects
on root and shoot elongation. Silatranes (3a-3e) were synthesized employing
microwave irradiation by a solvent-mediated transesterification reaction, thereby
reducing reaction times from several hours under conventional reflux conditions
to 15 min under microwave irradiation. RESULTS: It was of interest that the
effect of these silatranes did not show a dose-dependent relationship but an
optimum concentration, which was 100 umol L-1 for maize and 200 umol L-1 for
wheat. gamma-Aminopropyl silatranes (3b and 3e) gave the best results in maize,
whereas gamma-chloropropyl silatrane (3a) was most efficient for wheat at these
optimum concentrations. CONCLUSION: All the synthesized silatranes were effective
in promoting root and shoot growth of wheat and maize. Furthermore, an efficient
green microwave methodology was successful for the synthesis of silatranes. These
observations pave the way for silatranes as efficient plant growth regulators for
crops. (c) 2018 Society of Chemical Industry.
PMID- 29635794
TI - Integration of nicotinic acid adenine dinucleotide phosphate (NAADP)-dependent
calcium signalling.
AB - Nicotinic acid adenine dinucleotide phosphate (NAADP) is currently the most
potent endogenous Ca2+ mobilizing second messenger. Upon specific extracellular
stimulation, rapid production of NAADP has been observed in different cell types
from sea urchin eggs to mammalian cells. More than 20 years after the discovery
of NAADP, there is still controversy surrounding its metabolism and target
receptors/ion channels and organelles. This article briefly reviews recent
developments in the NAADP field. Besides the metabolism of NAADP, this review
focuses on assumed organelles and putative targets, e.g. ion channels, with
special emphasis on ryanodine receptor type 1 (RyR1) and two-pore channels
(TPCs). The role of NAADP as a Ca2+ trigger is also discussed and the importance
of NAADP in the formation of initial Ca2+ microdomains is highlighted.
PMID- 29635795
TI - Design and characterization of tissue-mimicking gel phantoms for diffusion
kurtosis imaging.
AB - PURPOSE: The aim of this work was to create tissue-mimicking gel phantoms
appropriate for diffusion kurtosis imaging (DKI) for quality assurance, protocol
optimization, and sequence development. METHODS: A range of agar, agarose, and
polyvinyl alcohol phantoms with concentrations ranging from 1.0% to 3.5%, 0.5% to
3.0%, and 10% to 20%, respectively, and up to 3 g of glass microspheres per 100
ml were created. Diffusion coefficients, excess kurtosis values, and relaxation
rates were experimentally determined. RESULTS: The kurtosis values for the plain
gels ranged from 0.05 with 95% confidence interval (CI) of (0.029,0.071) to
0.216(0.185,0.246), well below the kurtosis values reported in the literature for
various tissues. The addition of glass microspheres increased the kurtosis of the
gels with values up to 0.523(0.465,0.581) observed for gels with the highest
concentration of microspheres. Repeat scans of some of the gels after more than 6
months of storage at room temperature indicate changes in the diffusion
parameters of less than 10%. The addition of the glass microspheres reduces the
apparent diffusion coefficients (ADCs) and increases the longitudinal and
transverse relaxation rates, but the values remain comparable to those for plain
gels and tissue, with ADCs observed ranging from 818(585,1053) * 10-6 mm2 /s to
2257(2118,2296) * 10-6 mm2 /s, R1 values ranging from 0.34(0.32,0.35) 1/s to
0.51(0.50,0.52) 1/s, and R2 values ranging from 9.69(9.34,10.04) 1/s to
33.07(27.10, 39.04) 1/s. CONCLUSIONS: Glass microspheres can be used to
effectively modify diffusion properties of gel phantoms and achieve a range of
kurtosis values comparable to those reported for a variety of tissues.
PMID- 29635796
TI - Hierarchical MoS2 @TiO2 Heterojunctions for Enhanced Photocatalytic Performance
and Electrocatalytic Hydrogen Evolution.
AB - Hierarchical MoS2 @TiO2 heterojunctions were synthesized through a one-step
hydrothermal method by using protonic titanate nanosheets as the precursor. The
TiO2 nanosheets prevent the aggregation of MoS2 and promote the carrier transfer
efficiency, and thus enhance the photocatalytic and electrocatalytic activity of
the nanostructured MoS2 . The obtained MoS2 @TiO2 has significantly enhanced
photocatalytic activity in the degradation of rhodamine B (over 5.2 times
compared with pure MoS2 ) and acetone (over 2.8 times compared with pure MoS2 ).
MoS2 @TiO2 is also beneficial for electrocatalytic hydrogen evolution (26 times
compared with pure MoS2 , based on the cathodic current density). This work
offers a promising way to prevent the self-aggregation of MoS2 and provides a new
insight for the design of heterojunctions for materials with lattice mismatches.
PMID- 29635798
TI - International Alcohol Control Study: Analyses from the first wave.
PMID- 29635797
TI - Cardiac papillary fibroelastoma originating from the left ventricular septum.
PMID- 29635799
TI - Social health insurance in Nepal: A health system departure toward the universal
health coverage.
AB - The World Health Organization has identified universal health coverage (UHC) as a
key approach in reducing equity gaps in a country, and the social health
insurance (SHI) has been recommended as an important strategy toward it. This
article aims to analyze the design, expected benefits and challenges of realizing
the goals of UHC through the recently launched SHI in Nepal. On top of the
earlier free health-care policy and several other vertical schemes, the SHI
scheme was implemented in 2016 and has reached population coverage of 5% in the
implemented districts in just within a year of implementation. However, to
achieve UHC in Nepal, in addition to operationalizing the scheme, several other
requirements must be dealt simultaneously such as efficient health-care delivery
system, adequate human resources for health, a strong information system,
improved transparency and accountability, and a balanced mix of the preventive,
health promotion, curative, and rehabilitative services including actions to
address the social determinants of health. The article notes that strong
political commitment and persistent efforts are the key lessons learnt from
countries achieving progressive UHC through SHI.
PMID- 29635800
TI - Spring weather conditions influence breeding phenology and reproductive success
in sympatric bat populations.
AB - Climate is known to influence breeding phenology and reproductive success in
temperate-zone bats, but long-term population level studies and interspecific
comparisons are rare. Investigating the extent to which intrinsic (i.e. age), and
extrinsic (i.e. spring weather conditions), factors influence such key
demographic parameters as the proportion of females becoming pregnant, or
completing lactation, each breeding season, is vital to understanding of bat
population ecology and life-history traits. Using data from 12 breeding seasons
(2006-2017), encompassing the reproductive histories of 623 Myotis daubentonii
and 436 Myotis nattereri adult females, we compare rates of recruitment to the
breeding population and show that these species differ in their relative
sensitivity to environmental conditions and climatic variation, affecting annual
reproductive success at the population level. We demonstrate that (1) spring
weather conditions influence breeding phenology, with warm, dry and calm
conditions leading to earlier parturition dates and advanced juvenile
development, whilst cold, wet and windy weather delays birth timing and juvenile
growth; (2) reproductive rates in first-year females are influenced by spring
weather conditions in that breeding season and in the preceding breeding season
when each cohort was born. Pregnancy and lactation rates were both higher when
favourable spring foraging conditions were more prevalent; (3) reproductive
success increases with age in both species, but at different rates; (4)
reproductive rates were consistently higher, and showed less interannual
variation, in second-year and older M. daubentonii (mean 91.55% +/- 0.05 SD) than
M. nattereri (mean 72.74% +/- 0.15 SD); (5) estimates of reproductive success at
the population level were highly correlated with the size of the juvenile cohort
recorded each breeding season. Improving understanding of the influence of
environmental conditions, especially extreme climatic fluctuations, and the
identification of critical periods (i.e. spring for reproductive female bats in
temperate zones), which have disproportionate and lasting impacts on breeding
phenology and reproductive success at a population level, is critical for
improving predictions of the likely impact of climate change on bat populations.
PMID- 29635801
TI - Drug reaction with eosinophilia and systemic symptoms syndrome induced by
benznidazole.
PMID- 29635804
TI - Do the potential benefits outweigh the risks? An update on the use of ziconotide
in clinical practice.
AB - Ziconotide is a selective and potent blocker of N-type voltage-gated calcium
channels. It was approved by the Food and Drug Administration in 2004 and by the
European Medicines Agency in 2005 for the treatment of severe chronic pain in
patients needing intrathecal analgesia (ITA). The aim of this paper is to provide
a practitioner-oriented, educational, narrative, up-to-date review on the use of
ziconotide in clinical pain medicine. Of special concern regarding safety is the
partial incongruity between dosing statements in the Summary of Product
Characteristics and novel low-dosage, slow uptitration recommendations. Even
though ziconotide has obvious advantages compared to opioids, pain practitioners
pondering the use of ziconotide nonetheless have to balance its proved potential
analgesic effect against its neurological side effects, with special
consideration being given to dosing and neuropsychiatric dangers. Using a seesaw
analogy, the paper discusses what factors pain physicians should weigh in when
considering ziconotide as ITA drug, the non-opioid advantages of ziconotide being
counterbalanced by its potential psychiatric side effects. Ziconotide is an
important part of the armamentarium of modern interventional pain medicine. If
ITA is deemed necessary, ziconotide is a rational alternative, at least in
chronic (neuropathic) non-cancer pain. However, in many European countries,
ziconotide treatment is only available in a few (if any) centres. The safety
profile of ziconotide is not fundamentally more worrying than that of opioids or
cannabinoids; it is just different. This paper provides a concise, up-to-date and
clinically-oriented summary of the use of ziconotide in clinical practice, not
least concerning safety and dosage issues.
PMID- 29635803
TI - Stimulating effect of palmitate and insulin on cell migration and proliferation
in PNT1A and PC3 prostate cells: Counteracting role of metformin.
AB - BACKGROUND: A potential association between obesity and prostate cancer has been
proposed. Metformin, an antidiabetes drug, has antiproliferative effects being
proposed for cancer treatment. However, under intense proliferative stimulation
conditions such as those found in obesity, its efficacy is still uncertain. Thus,
we analyzed the effects of saturated fatty acid and/or insulin under high
concentrations, with or without metformin, on the proliferation and migration of
prostate cells. METHODS: Human prostate epithelial cell lines non-tumor (PNT1A)
and tumor (PC3) were treated with control media (DMEM, C), palmitate (100 uM,
HF), and/or insulin (50 uU, HI) with or without metformin (100 uM) for 24 or 48
h. RESULTS: Both PNT1A and PC3 cells had greater proliferation when treated with
HF, while HI treatment stimulated only PNT1A. Metformin inhibited cell
proliferation caused by HF in both cell lines, but it did not block the
proliferative action of HI in PNT1A cells. PNT1A increased cell migration after
all treatments, while only HF influenced PC3; metformin inhibited the migration
stimulated by all obese microenvironments. Both HF and HI treatments in PNT1A and
HF treatment in PC3 augmented vimentin expression, resulting in a higher
epithelial-mesenchymal transition (which, in turn, could influence cell
migration). Metformin inhibited vimentin expression in both normal and tumor
cells. Although HF treatment had increased AMPK activation, it also increased the
levels of activated ERK1/2, which could be responsible for high cell
proliferation in both cell lines. In contrast, HI decreased AMPK activation in
both cell lines, whereas it increased ERK1/2 levels in PNT1A and decreased them
in PC3 (reflecting greater cell proliferation only in non-tumor cells). Metformin
maintained high activation of AMPK and decreased ERK1/2 levels after HF in both
cell lines and only after HI in PNT1A, which was able to decrease the cell
proliferation triggered by these treatments. CONCLUSIONS: Higher concentrations
of palmitate on PC3 cells and palmitate and insulin on PNT1A cells stimulate
cellular activities that could favor cancer progression. Metformin inhibited most
of these stimuli, showing the efficacy of this drug for cancer adjuvant therapy
in obese patients (a group at increased risk for the development of prostrate
cancer).
PMID- 29635802
TI - Prevalence of contact allergy to metals in the European general population with a
focus on nickel and piercings: The EDEN Fragrance Study.
AB - BACKGROUND: Studies on sensitization to metals in the general population are
scarce. OBJECTIVES: To determine the prevalence of sensitization to metals in the
general population, and factors associated with nickel sensitization. METHODS: In
5 European countries (The Netherlands, Germany, Italy, Portugal and Sweden), a
random sample (N = 3119) from the general population (aged 18-74 years) was patch
tested and interviewed by use of a questionnaire on exposure to metals, piercing,
and jewellery. RESULTS: Overall, the age-standardized prevalences of
sensitization to nickel, cobalt and chromium were, respectively, 14.5%, 2.1%, and
0.8%. The highest prevalence of nickel sensitization was seen in Portugal (18.5%)
and the lowest (8.3%) in Sweden. The prevalence of cobalt sensitization varied
between 3.8% (The Netherlands) and 0.9% (Italy), and the prevalence of chromium
sensitization varied between 1.3% (Portugal) and 0.2% (Sweden). Significant
associations were observed between nickel allergy and female sex (odds ratio [OR]
5.19; 95% confidence interval [95%CI]: 3.99-6.74), past piercing use (OR 3.86;
95%CI: 2.85-5.24), and currently having >=3 piercings (OR 5.58; 95%CI: 4.02
7.76). CONCLUSIONS: The prevalence of sensitization to metals in the European
general population was high, mostly because of nickel. The lowest prevalence of
contact allergy to nickel and chromium observed in Sweden supports the
effectiveness of long-standing regulation.
PMID- 29635805
TI - Ge2 Sb2 Te5 -Based Tunable Perfect Absorber Cavity with Phase Singularity at
Visible Frequencies.
AB - The metal-dielectric stacks-based asymmetric Fabry-Perot (F-P) cavity systems
have recently attracted much interest from the scientific community for realizing
perfect absorption over the spectral bands from visible to infrared since they
possess a lithography-free design that is cost-effective and scalable. This study
experimentally demonstrates an asymmetric F-P cavity system for achieving tunable
wide angle perfect absorption and phase singularity. The proposed system shows
tunable multiband perfect absorption in the visible spectral region by
incorporating an ultrathin layer of phase change material such as Ge2 Sb2 Te5
(GST) in the stack. The system shows multi-narrowband perfect absorption with a
maximum of 99.8% at a specific incident angle and polarization state when the GST
is in amorphous phase; however, the absorption bands blueshift and broaden after
switching to the crystalline phase. More importantly, the proposed scheme shows
tunable phase singularity at the reflection-less point. The obtained tunable
perfect absorption and abrupt phase change are solely due to the presence of a
highly absorbing ultrathin layer of GST in the stack. Experimental results are
validated using an analytical simulation model based on a transfer matrix method.
The proposed scheme could find potential applications in active photonic devices
such as phase-sensitive biosensors and absorption filters.
PMID- 29635806
TI - Has aerobic exercise effect on pain perception in persons with migraine and
coexisting tension-type headache and neck pain? A randomized, controlled,
clinical trial.
AB - BACKGROUND: A large subset of persons with migraine suffers from coexisting
tension-type headache and neck pain which may adversely affect the prognosis of
migraine. Aerobic exercise has been shown to decrease migraine burden in these
persons. Therefore, the aim of this study was to investigate whether the effect
of aerobic exercise in persons with migraine and coexisting tension-type headache
and neck pain can be explained by changes in pain perception. METHOD: Seventy
consecutively recruited persons with migraine and coexisting tension-type
headache and neck pain were randomized into exercise group or control group.
Aerobic exercise consisted of bike/cross-trainer/brisk walking for 45 min, three
times/week for 3 months. Controls continued their usual daily activities.
Pericranial tenderness, pain thresholds, supra-thresholds and temporal summation
were assessed at baseline, after treatment and at follow-up (6 months from
baseline). RESULTS: Fifty-two persons with migraine and coexisting tension-type
headache and neck pain completed the study. Aerobic exercise did not induce
consistent changes in nociceptive pathways measured by pericranial tenderness,
pressure pain thresholds and sensitivity to electrical stimulation. CONCLUSION:
The effect of aerobic exercise cannot be explained by measurable effects on the
pain modulation system. Thus, the positive effect on migraine burden may rather
be explained by positive alteration of avoidance behaviour. Aerobic exercise can
be recommended as a safe and inexpensive migraine treatment strategy.
SIGNIFICANCE: This study adds further knowledge about the positive effect of
aerobic exercise for persons with migraine and coexisting tension-type headache
and neck pain. This effect cannot be measured by changes in pain modulation, but
may rather be explained by positive alteration of avoidance behaviour.
PMID- 29635807
TI - The relationship between masking and short-term consolidation during recall from
visual working memory.
AB - The presentation of a similar but irrelevant stimulus immediately following
presentation of a memory item is called masking. Masking is known to reduce
performance on working memory tests. This is the type of memory used to hold
information in mind for brief periods of time for use in ongoing cognition. Two
approaches to understanding masking effects have been proposed in different
literatures. Working memory researchers often assume that the reduction in
working memory performance after masking is because masking interferes with a
transient sensory representation that is needed to complete consolidation into a
working memory state. Researchers focused on the attentional blink, a finding
that attention cannot be directed to new stimuli during working memory
consolidation, have an alternative theory. Attentional blink researchers assume
that masking slows the short-term consolidation process, thereby extending the
length of the attentional blink. In two experiments, we contrast these two
approaches to explaining masking effects and investigate the validity of both
hypotheses. Some aspects of both approaches are validated, but neither
theoretical perspective alone sufficiently explains the entire pattern of
results.
PMID- 29635808
TI - Cancer drug shortages: Awareness and perspectives from a representative sample of
the US population.
AB - BACKGROUND: Although cancer drug shortages are a persistent problem in oncology,
little is known about the awareness and perspectives of the US population with
respect to shortages. METHODS: In 2016, we administered a 13-item cross-sectional
survey to 420 respondents who were randomly selected from an online, probability
based sample demographically representative of the adult US population with
respect to sex, age, race/ethnicity, education, geography, and income. Analyses
applied poststratification sampling weights to draw national inferences. RESULTS:
Overall, 16% of respondents reported being aware of drug shortages. Those with a
personal history of cancer were more likely to be aware (31% vs 14% [P = .03]).
In the overall cohort, most reported wanting to be informed about a substitution
due to shortage: 87% and 82% for major or minor differences in efficacy, and 87%
and 83% for major or minor differences in side effects. Most also reported they
would transfer care to avoid a substitution: 72% for major differences in
efficacy, and 61% for major differences in side effects. Black respondents, the
uninsured, the unemployed, those with lower income, and the less well-educated
were all less likely to report that they would transfer care to avoid major
differences in efficacy (all P < .05). CONCLUSION: These data suggest that the US
population is largely unaware of cancer drug shortages. Moreover, if being
treated for cancer, most people would want to know about drug substitutions, even
if it were to result in only minor differences in efficacy or side effects. With
more significant differences, many would transfer care. Cancer 2018;124:2205-11.
(c) 2018 American Cancer Society.
PMID- 29635809
TI - Gingival crevicular fluid and salivary resistin and tumor necrosis factor-alpha
levels in obese children with gingivitis.
AB - BACKGROUND: This study aimed to evaluate the levels of resistin and tumor
necrosis factor-alpha (TNF-alpha) in gingival crevicular fluid (GCF) and saliva
of obese children with gingivitis. METHODS: One-hundred and thirty children (65
obese and 65 normal weight; age range 8 to 12 years) were recruited for the
study. The children were classified into four subgroups based on their body mass
and periodontal status; 1) obese children with gingivitis (OG, n = 33); 2) obese
children with healthy periodontium (OH, n = 32); 3) normal weight children with
gingivitis (NWG, n = 32); 4) normal weight children with healthy periodontium
(NWH, n = 33). Body mass index (BMI) percentile, probing pocket depth (PPD),
gingival index (GI), and plaque index (PI) were recorded. Resistin and TNF-alpha
were analyzed in GCF and saliva samples by ELISA. RESULTS: Obese children had
higher BMI percentiles than normal weight children (p < 0.0001). PPD, GI, PI, GCF
volume, GCF, and salivary resistin and TNF-alpha levels were similar between
obese and normal weight children (P > 0.05). OG and NWG subgroups had
significantly higher GI, PI, GCF volume, GCF resistin total amounts, and salivary
resistin concentrations but lower GCF resistin and TNF-alpha concentrations than
OH and NWH (P < 0.0001 for all). GCF resistin total amounts were positively
correlated with GI, PI, and GCF TNF-alpha total amounts (P < 0.05). CONCLUSIONS:
To our knowledge, this is the first study evaluated the levels of resistin in GCF
and saliva of children. Obesity is not associated with GCF and salivary resistin
and TNF-alpha levels in children in the presence of gingival inflammation.
PMID- 29635810
TI - Earlier use of androgen receptor-axis-targeted drugs may improve overall survival
in patients with non-metastatic castration-resistant prostate cancer.
AB - BACKGROUND: To evaluate the role of androgen receptor-axis-targeted drugs (ARAT)
in non-metastatic castration-resistant prostate cancer (nmCRPC) versus mCRPC.
METHODS: Chemotherapy-naive patients (n = 114) with CRPC who had no metastasis at
the time of diagnosis were included in this retrospective study. All patients
were treated with ARAT at Jikei University and its affiliated hospitals from July
2014 to March 2017. The patients were stratified into nmCRPC (n = 81) and mCRPC
(n = 33) groups according to their metastatic status at ARAT induction. The
primary outcome measure was difference in overall survival (OS) between groups
from the time of CRPC diagnosis. The patients were compared for progression-free
survival (PFS) and prostate-specific antigen (PSA) response. The predictors of OS
were explored by a multivariate Cox model. RESULTS: The baseline demographics did
not differ significantly between the groups. The median observation period from
the diagnosis of CRPC was 24.5 months (range: 3-135) and 20 months (range: 1-66)
in nmCRPC and mCRPC groups, respectively. The nmCRPC group demonstrated better OS
from the time of diagnosis of CRPC in Kaplan-Meier analysis than mCRPC group (86
months vs 40 months; P = 0.004), with similar results obtained for PFS (P =
0.048) and PSA response (P = 0.0014). Multivariate analysis demonstrated non
metastatic status, low PSA, and long PSA doubling time (PSADT) at ARAT induction
as the significant predictors of longer OS (P = 0.044, 0.0001, and 0.026,
respectively). CONCLUSIONS: Early use of ARAT may improve OS, PFS, and PSA
response in CRPC. Larger, prospective studies will be required to confirm our
findings.
PMID- 29635811
TI - Group-level competition influences urinary steroid hormones among wild red-tailed
monkeys, indicating energetic costs.
AB - Various theories emphasize that intergroup competition should affect intragroup
cooperation and social relationships, especially if the cost of intergroup
competition outweighs that of intragroup competition. This cost of intergroup
competition may be quantified by changes in physiological status, such as in the
steroid hormones cortisol (C) and testosterone (T), which rise or are depressed
during periods of energetic stress, respectively. Here we tested for changes in
urinary C and T after intergroup encounters (IGEs) among wild red-tailed monkeys
(Cercopithecus ascanius), a species that experiences frequent intergroup feeding
competition, at the Ngogo station in Kibale National Park, Uganda. We assayed 108
urine samples, of which 36 were collected after IGEs, from 23 individuals in four
social groups. Bayesian multilevel models controlling for various confounds
revealed that IGEs increased C and decreased T relative to baseline, consistent
with an energetic cost to IGEs. The C change was more apparent in samples
collected early after IGEs, suggesting an anticipatory increase, whereas the T
change was stronger in later samples, suggesting sustained energetic trade-offs.
Hormone responses were not affected by the IGE outcome. This cost to intergroup
competition, together with little evidence for intragroup competition in redtails
and other guenons, establishes an interesting test case for theories emphasizing
the effect of intergroup competition on intragroup cooperation.
PMID- 29635812
TI - The Multidimensional Symptom Index: A new patient-reported outcome for pain
phenotyping, prognosis and treatment decisions.
AB - BACKGROUND: There are few patient-reported outcomes routinely used that capture
frequency and interference of different pain-related symptoms on a single scale.
The purpose of this study was to describe the development and initial validation
of the new Multidimensional Symptom Index (MSI). METHODS: Items were generated
from patient interviews of the experience of chronic pain. Health valuations were
created from rankings of 82 healthy subjects for each of 120 symptom (*10) *
frequency (*3) * interference (*4) combinations using preference-based health
valuations (0-100). Ranks for each symptom combination were then used in scale
scoring. A sample of 300 patients with acute or chronic pain subsequently
completed the MSI and a battery of other tools. Exploratory (EFA) and
Confirmatory (CFA) factor analyses were triangulated with theory to arrive at the
factor structure. Convergent validity was tested against established measures.
RESULTS: Health rankings resulted in scores of 0-12 for each of the 10 symptom
types. Factor analyses revealed two factors: MSI Somatic Symptoms and MSI Non
Somatic Symptoms. The MSI also quantified number of symptoms experienced (/10),
mean frequency (/3) and mean interference (/4). The indices showed appropriate
associations with the established PROs. CONCLUSIONS: The MSI is a new symptom
focused PRO that allows patient phenotyping and may have value for screening,
prognosis and evaluating change. SIGNIFICANCE: This article presents the
development and psychometric properties of a new measure of pain and related
symptom frequency and interference. This measure could aid clinicians in
establishing clinically relevant pain phenotypes for screening, prognosis and
treatment decisions.
PMID- 29635813
TI - Successful merging of data from the United Network for Organ Sharing and the
Pediatric Health Information System databases.
AB - Data routinely collected through United Network for Organ Sharing (UNOS) lack the
detailed information on medical resource utilization and treatment costs required
to accomplish for center-level comparisons of quality of care and cost for
pediatric heart transplantation. We aimed to overcome this limitation by merging
UNOS with the Pediatric Health Information System (PHIS) database, an
administrative database containing inpatient, emergency department, ambulatory
surgery, and observation unit information from over 40 not-for-profit, tertiary
care pediatric hospitals. Utilizing a probabilistic match based on center, date
of birth, recipient gender, and transplant date within +/-2 days, more than 90%
of eligible UNOS patients (N = 2264) were successfully merged to their
corresponding PHIS records. Thirty-day and 1-year mortality rates observed for
the merged cohort (3.2% and 9.0%, respectively) were compared with those
previously reported for pediatric heart transplants, as were the significant
predictors of increased mortality. These results demonstrate that the established
UNOS-PHIS cohort will provide a valid platform for subsequent research aimed at
identifying center-level differences that could be exploited to optimize quality
of care while minimizing cost across institutions.
PMID- 29635814
TI - An Optically Flat Conductive Outcoupler Using Core/Shell Ag/ZnO Nanochurros.
AB - Transparent conductive electrodes (TCEs) featuring a smooth surface are
indispensable for preserving pristine electrical characteristics in
optoelectronic and transparent electronic devices. For high-efficiency organic
light emitting diodes (OLEDs), a high outcoupling efficiency, which is crucial,
is only achieved by incorporating a wavelength-scale undulating surface into a
TCE layer, but this inevitably degrades device performance. Here, an optically
flat, high-conductivity TCE composed of core/shell Ag/ZnO nanochurros (NCs) is
reported embedded within a resin film on a polyethylene terephthalate substrate,
simultaneously serving as an efficient outcoupler and a flexible substrate. The
ZnO NCs are epitaxially grown on the {100} planes of a pentagonal Ag core and the
length of ZnO shells is precisely controlled by the exposure time of Xe lamp.
Unlike Ag nanowires films, the Ag/ZnO NCs films markedly boost the optical
tunneling of light. Green-emitting OLEDs (2.78 * 3.5 mm2 ) fabricated with the
Ag/ZnO TCE exhibit an 86% higher power efficiency at 1000 cd m-2 than ones with
an Sn-doped indium oxide TCE. A full-vectorial electromagnetic simulation
suggests the suppression of plasmonic absorption losses within their Ag cores.
These results provide a feasibility of multifunctional TCEs with synthetically
controlled core/shell nanomaterials toward the development of high-efficiency LED
and solar cell devices.
PMID- 29635815
TI - Psychosocial impact, perceived stress and learning effect in undergraduate dental
students during transition from pre-clinical to clinical education.
AB - INTRODUCTION: This study aimed to develop a suitable instrument for a
comprehensive quantitative and qualitative assessment of perceived psychosocial
impact, levels of stress and learning effect in undergraduate dental students
during the transition from pre-clinical to clinical education. These findings
might improve curricular structures and didactic organisation during this period.
MATERIALS AND METHODS: At the beginning of their first clinical year,
undergraduate dental students were asked to complete an anonymous forty-item
questionnaire. Two hundred and seventy-six undergraduate dental students were
willing to participate and completed the questionnaire and participated during
the years 2011-2016. RESULTS: The response rate was between 45% and 96%.
Correlational analysis (Spearman-Rho) in the field of psychosocial impact showed
the dental teacher to be the most important multiplier of students' feelings. If
the students feel that their teacher acts cooperatively, positive items increase
and negative items decrease significantly (P < .0001). Also, students who report
high levels of stress are affected significantly in their psychosocial
interaction (P < .0001). Wilcoxon test yielded highest levels of stress in
endodontology during the first weeks (P < .0001). During the same period, the
greatest learning increment was seen for diagnostics and caries excavation.
CONCLUSION: In conclusion, teaching of undergraduate dental students during the
transition period from pre-clinical to clinical education can be positively
influenced by a supportive learning environment and by specific chronological
modifications in the curriculum. Students should start their clinical training
with diagnostics, preventive dentistry and initial periodontal treatment. Due to
high levels of perceived stress, endodontology should be introduced later in the
clinical curriculum.
PMID- 29635817
TI - Dipole moments of molecules with multi-reference character from optimally tuned
range-separated density functional theory.
AB - Dipole moment is the first nonzero moment of the charge density of neutral
systems. If a density functional theory (DFT) method is able to yield accurate
dipole moments, it should first provide an accurate geometry and then predict a
reliable charge distribution for that geometry. In this respect, recent
literatures have revealed that most DFT approximations work considerably better
for single-reference molecules with respect to multi-reference ones, as may be
expected from this fact that DFT utilizes a single configuration state function
as reference function to represent the density. Putting together, it seems that
as compared to the single-reference systems, situation is slightly more involved
in the case of dipole moment calculations of multi-reference molecules. Effort to
address this latter issue constitutes the cornerstone of the present
investigation. To this end, we rely on a different approach where the new
optimally (nonempirically) tuned range-separated hybrid density functionals (OT
RSHs) without invoking any empirical fitting are proposed for predicting the
dipole moments of multi-reference molecules containing both main-group elements
and transition metals. We have scanned the controlling factors of OT-RSHs like
short- and long-range exchange contributions and range-separation parameter with
the aim of deriving the best performing models for the purpose. The obtained
results unveil that, as compared to the standard range-separated density
functionals, our newly developed OT-RSHs not only give an improved description on
the dipole moments of the molecules with multi-reference character but also the
quality of their predictions is better than other conventional and recently
proposed DFT approximations. (c) 2018 Wiley Periodicals, Inc.
PMID- 29635816
TI - Nutritional profiling of Eurasian woodcock meat: chemical composition and
myoglobin characterization.
AB - BACKGROUND: Meat from birds is a rich source of proteins for the human diet. In
this framework, Eurasian woodcock (Scolopax rusticola L.), a medium-small wading
bird hunted as game in many Eurasian countries, is considered one of the best
meats for culinary purposes. Since the nutritional composition of Eurasian
woodcock meat has not yet been reported, we decided to determine the nutritional
profile of S. rusticola meat. RESULTS: Macronutrient components (proteins, lipids
and fatty acids) were determined, as well as free and total amino acids, and
compared with those of the common pheasant. Eurasian woodcock meat contains high
levels of proteins and essential amino acids. The levels of unsaturated fatty
acids represent a great contribution to the total lipid amount. Among
polyunsaturated fatty acids, linoleic acid (C18:2, n-6) is the major essential
fatty acid. Finally, we report the characterization of myoglobin (Mb) from
Eurasian woodcock. CONCLUSION: The data revealed that meat from this bird could
be a good source of quality raw proteins because of its amino acid composition,
and it had a low lipid content. On the other hand, Mb characterization might be
of benefit to the meat industry, by providing useful information for the
determination of species-specific differences in meat from birds. (c) 2018
Society of Chemical Industry.
PMID- 29635818
TI - The microRNA-1908 up-regulation in the peripheral blood cells impairs amyloid
clearance by targeting ApoE.
AB - OBJECTIVE: To give a new insight into the mechanism of ApoE dysregulation and
microRNA-1908 in Alzheimer's disease (AD). METHODS: Plasma ApoE levels were
measured in 20 AD patients and 20 healthy controls. THP-1 was maintained in
RPMI1640 with 10% fetal bovine serum. Quantitative real-time polymerase chain
reaction was performed to detect 13-microRNA and ApoE mRNA in cultured cell
lines. Enzyme-linked immunosorbent assay was used to measure human ApoE in the
plasma or culture medium of cell lines and also used to quantify the human
Abeta42 in the culture medium of cell lines. RESULTS: We found plasma ApoE level
reduced in AD patients (2.28 vs 3.78 MUg/mL, P < .001), and microRNA-1908 was up
regulated in AD patients and was negatively associated with plasma ApoE (r =
0.32, P = .012). In human macrophage cell line THP-1 and astrocytoma cell line
U87, microRNA-1908 could inhibit the mRNA and protein levels of ApoE by targeting
its 3'untranslated region. Consistently, microRNA-1908 inhibits the ApoE-mediated
Abeta clearance. CONCLUSIONS: Our study provides new insight into the mechanism
of ApoE dysregulation in AD patients, and microRNA-1908 might be a therapeutic
target for AD treatment.
PMID- 29635819
TI - British Association of Urological Surgeons (BAUS) consensus document for the
management of male genital emergencies - testicular trauma.
AB - Male genital emergencies relating to the penis and scrotum are rare and require
prompt investigation and surgical intervention. Clinicians are often unfamiliar
with the management of these conditions and may not work in a specialist centre
with on-site expertise in genitourethral surgery. A series of consensus
statements have been developed by an expert consensus comprising British
Association of Urological Surgeons (BAUS) Section of Andrology and Genitourethral
Surgery together with experts from units throughout the UK. Testicular trauma
requires prompt investigation and treatment in order to prevent the development
of subfertility or hypogonadism. This series of consensus statements provide
guidance for UK practice.
PMID- 29635820
TI - Factors influencing nurses' intentions to leave adult critical care settings.
AB - BACKGROUND: The shortage of critical care and specialist nurses has been an
ongoing issue for many decades. Although all areas of nursing are affected,
critical care areas are especially vulnerable to recruitment and retention
problems. High nursing turnover in critical care areas is evident; however,
research into the factors that influence nurses' intentions to leave adult
critical care areas is limited. AIM: To explore factors that may influence
nurses' intentions to leave adult critical care areas. OBJECTIVES: To appraise
existing evidence and highlight gaps in knowledge regarding factors that may
influence nurses' intentions to leave adult critical care areas. METHODS: A
systematic mixed-method literature review was conducted. The search strategy was
developed using the List, Keep and Delete approach, a framework used to identify
search terms for systematic health care reviews. The following key words were
used: intentions to leave, nurses, adult and critical care. The databases
searched were BNI, CINAHL, PubMed, PsycINFO, Embase and Health B Elite from 2005
to 2016. Primary data from non-critical care areas and neonatal and paediatric
critical care areas were excluded. Comprehensive supplementary searching was
performed. Papers were critically appraised using the NICE (2012) checklists.
Data were analysed using the Braun and Clarke (2006) thematic framework. RESULTS:
A total of 15 studies, including 13 cross-sectional studies and 2 qualitative
studies, were reviewed. Three main themes emerged following data analysis. These
themes were quality of the work environment, nature of working relationships and
traumatic/stressful workplace experiences. CONCLUSIONS: The literature review
highlighted the need for further research and greater understanding of how these
themes may impact critical care nurses. Nurse managers and leaders should
consider these findings when developing strategies to improve nurse retention.
RELEVANCE TO PRACTICE: The shortage of critical care nurses is currently a global
issue impacting costs and quality of patient care.
PMID- 29635822
TI - The Ability to Deal With Difference: Turkish-Dutch Professionals as Go-Betweens
in the Education Sector.
AB - Based on sixteen semi-structured interviews, this article examines how second
generation Turkish-Dutch education professionals experience their professional
position in the ethnically homogeneous upper echelons of the Dutch education
sector. The analysis shows that second-generation education professionals, being
newcomers to higher-level positions in the sector, have to engage with diverse
cultural repertoires at work. Instead of being stuck in-between these
repertoires, second-generation education professionals actively "go-between"
repertoires, employing their ability to deal with difference. In the increasingly
super-diverse Dutch classrooms, this "go-between" attitude functions as a second
generation advantage and is conceptually better suited than in-betweenness to
describe the position of second-generation professionals.
PMID- 29635823
TI - Occupational contact dermatitis caused by hydroxychloroquine sulfate.
PMID- 29635824
TI - Psychometric properties of the "parental questionnaire: Enuresis/urinary
incontinence" (PQ-EnU).
AB - AIMS: The "Parental Questionnaire: Enuresis/Urinary Incontinence" (PQ-EnU) is
widely-used in clinical assessment of nocturnal enuresis (NE) and daytime urinary
incontinence (DUI). It includes 18 items regarding daytime (DW) and nighttime
wetting (NW), 24 items concerning toilet habits (TH), and 14 items regarding
behavioral problems (BP). The aim of the study was to evaluate reliability and
validity of the PQ-EnU. METHODS: Parents of 490 children (M = 8.7 years [SD =
2.9], 68.2% male) presented in an outpatient incontinence clinic completed the PQ
EnU and the Child Behavior Checklist (CBCL). Internal consistencies, factorial
structure, and convergent validity regarding incontinence diagnoses and
psychopathology were examined. RESULTS: 84.1% had NE, 38.8% DUI, and 20.8% fecal
incontinence (FI). Internal consistencies were good for TH and BP. A factor
analysis of the TH scale revealed three subscales ("Lower urinary tract symptoms
(LUTS)," "Bowel symptoms," and "Voiding dysfunctions"). The LUTS and "Bowel
symptoms" subscales were significantly correlated to clinical diagnoses of DUI
and FI, respectively. A factor analysis of the BP scale revealed also three
subscales ("attention/school deficits," "impulsive-aggressive behavior" and
"internalizing problems," which were all significantly correlated with the CBCL.
The questionnaire discriminated significantly between children with and without
DUI or FI, and children with and without a clinical CBCL score. CONCLUSIONS: The
PQ-EnU is a valid and reliable parental questionnaire to measure incontinence,
bladder/bowel symptoms, and behavioral problems in children. Due to its
shortness, the PQ-EnU is an efficient measurement, which can be used in clinical
settings and also as a screening tool for psychological symptoms.
PMID- 29635825
TI - Influence of protein properties and protein modification on biodistribution and
tumor uptake of anticancer antibodies, antibody derivatives, and non-Ig
scaffolds.
AB - Newly developed protein drugs that target tumor-associated antigens are often
modified in order to increase their therapeutic effect, tumor exposure, and
safety profile. During the development of protein drugs, molecular imaging is
increasingly used to provide additional information on their in vivo behavior. As
a result, there are increasing numbers of studies that demonstrate the effect of
protein modification on whole body distribution and tumor uptake of protein
drugs. However, much still remains unclear about how to interpret obtained
biodistribution data correctly. Consequently, there is a need for more insight in
the correct way of interpreting preclinical and clinical imaging data.
Summarizing the knowledge gained to date may facilitate this interpretation. This
review therefore provides an overview of specific protein properties and
modifications that can affect biodistribution and tumor uptake of anticancer
antibodies, antibody fragments, and nonimmunoglobulin scaffolds. Protein
properties that are discussed in this review are molecular size, target
interaction, FcRn binding, and charge. Protein modifications that are discussed
are radiolabeling, fluorescent labeling drug conjugation, glycosylation,
humanization, albumin binding, and polyethylene glycolation.
PMID- 29635826
TI - Granulomatous tattoo reactions in permanent makeup of the eyebrows.
PMID- 29635827
TI - Perfectionism and cognitive rigidity in anorexia nervosa: Is there an
association?
AB - Little is known about the relationship between neuropsychology, personality, and
eating psychopathology in anorexia nervosa (AN). We aimed to investigate the
interaction between set shifting and perfectionism in AN and to ascertain the
role of perfectionism as a mediator between set shifting and eating
psychopathology. Eighty-five patients with AN and 71 healthy controls completed
Eating Disorder Inventory-2 (using 8 as a cut-off for generating groups with high
vs. low perfectionism), Beck Depression Inventory, Wisconsin Card Sorting Test,
Trail Making Task, and Hayling Sentence Completion Task. Our findings support
heightened cognitive inflexibility in individuals with AN, particularly in those
with high perfectionism. Perfectionism resulted to be a mediator of the
relationship between a measure of set shifting and drive for thinness, but this
finding did not remain significant when including in the model only those with
AN. Taken together, these data suggest a complex and nonexclusive association
between set shifting, eating psychopathology, and perfectionism.
PMID- 29635828
TI - Maneuverability of Magnetic Nanomotors Inside Living Cells.
AB - Spatiotemporally controlled active manipulation of external micro-/nanoprobes
inside living cells can lead to development of innovative biomedical technologies
and inspire fundamental studies of various biophysical phenomena. Examples
include gene silencing applications, real-time mechanical mapping of the
intracellular environment, studying cellular response to local stress, and many
more. Here, for the first time, cellular internalization and subsequent
intracellular manipulation of a system of helical nanomotors driven by small
rotating magnetic fields with no adverse effect on the cellular viability are
demonstrated. This remote method of fuelling and guidance limits the effect of
mechanical transduction to cells containing external probes, in contrast to
ultrasonically or chemically powered techniques that perturb the entire
experimental volume. The investigation comprises three cell types, containing
both cancerous and noncancerous types, and is aimed toward analyzing and
engineering the motion of helical propellers through the crowded intracellular
space. The studies provide evidence for the strong anisotropy, heterogeneity, and
spatiotemporal variability of the cellular interior, and confirm the suitability
of helical magnetic nanoprobes as a promising tool for future cellular
investigations and applications.
PMID- 29635830
TI - Trust and Society: Suggestions for Further Development of Niklas Luhmann's Theory
of Trust.
AB - This paper addresses an apparent gap in the work of Niklas Luhmann. While the
issue of trust continues to receive widespread attention in the social sciences,
Luhmann's interest in this topic declined following the development of his
systems theory. It is argued that this decline does not reflect any diminished
relevance of trust for systems theory, but rather that the architectural
remodeling of theory cannot easily be applied to the issue of trust. Here, the
issue of trust is reconceptualized as a connection medium. This entails a
reconstruction of Luhmann's early theory of trust, especially with regard to
function and social positioning. In this context, trust can in turn be linked to
the concept of medium in Luhmann's late work. As a connection medium, trust
mediates between the different levels of sociality-interaction, organization, and
society. These theoretical considerations are employed to develop a more applied
framework for empirical research, with a brief case study from southern Italy.
From this perspective, the idea of trust as society's glue is seen to be overly
simplistic. The common ethical understanding that more trust leads to a better
society is also questioned on the grounds that social cooperation can also lead
to social sclerosis. Finally, risk and trust are shown to accommodate the
formation of different cultures of trust. The paper shows how Luhmann's updated
version of trust can inspire current research and enhance our understanding of
how trust operates in contemporary society.
PMID- 29635829
TI - Percutaneous transapical approach and transcatheter closure for ventricular
tachycardia ablation.
PMID- 29635831
TI - Youth participation in 'post-secular' times: young Muslim and Buddhist
practitioners as religious citizens.
AB - Recently there has been renewed interest in the role of religion in the public
sphere in the context of a 'post-secular' age characterized by the resurgence of
religious identities and communities in increasingly diverse, multi-faith
societies. Young people's active political and civic engagement has also emerged
as a core challenge for robust democracies. While an interesting body of current
research suggests that religious commitment may cultivate participation amongst
youth by acting as an incubator of civic and political engagement, such
literature often positions religiosity as outside of, and consequently at odds
with participation in a secular public sphere. We suggest that while religiosity
may indeed act as an incubator for civic and political engagement, we propose
greater attention to an emergence of alternative, entwined conceptualizations of
religious citizenship evident in the practices, performances and dispositions of
young Muslim and Buddhist religious practitioners in Australia, whereby processes
of individuation contribute to greater fluidity within and across the domains of
the religious and the civic.
PMID- 29635832
TI - Coping behavior in multiple sclerosis-complementary and alternative medicine: A
cross-sectional study.
AB - MAIN PROBLEM: Treatment options for multiple sclerosis (MS) have enlarged
tremendously over the last years. Nonetheless, lots of patients look for
alternative treatment options. The use of complementary and alternative medicine
(CAM) is widespread in MS, however, its scientific investigation is limited so
far. The aim of the study is to analyse clinical and demographical differences of
MS patients in dependency of their CAM utilization as coping strategy. METHODS: A
total of 254 patients with a clinically definite MS were examined in a
semistructured interview. Additional standardized questionnaires were used to
measure different aspects of coping with illness. All patients underwent
neurological examination. RESULTS: About 206 of all enrolled patients are CAM
users (81.1%). They have a longer disease duration (8.3 years vs 7.3 years, P =
0.028) and show higher disability (median EDSS 4.0 vs 2.0, P < 0.001) than
nonusers. CAM users differed significantly from nonusers in their coping behavior
(P = 0.035). Users are brooding more heavily over the disease, looking for more
information about MS, and are looking for a sense of their disease in religion
more often than nonusers. CAM users are at a higher risk of depression. Almost
two-thirds of CAM users (57.6%) reported positive effects on the well-being of
their state of health. CONCLUSION: Coping behavior differs significantly between
CAM users and nonusers. CAM utilization is associated with higher disability and
depression. More than 80% of our cohort has used alternative or complementary
methods. CAM utilization may mirror unmet needs in the treatment of MS.
PMID- 29635833
TI - Seasonal variation in diet and nutrition of the northern-most population of
Rhinopithecus roxellana.
AB - There is a great deal of spatial and temporal variation in the availability and
nutritional quality of foods eaten by animals, particularly in temperate regions
where winter brings lengthy periods of leaf and fruit scarcity. We analyzed the
availability, dietary composition, and macronutrients of the foods eaten by the
northern-most golden snub-nosed monkey (Rhinopithecus roxellana) population in
the Qinling Mountains, China to understand food choice in a highly seasonal
environment dominated by deciduous trees. During the warm months between April
and November, leaves are consumed in proportion to their availability, while
during the leaf-scarce months between December and March, bark and leaf/flower
buds comprise most of their diet. When leaves dominated their diet, golden snub
nosed monkeys preferentially selected leaves with higher ratios of crude protein
to acid detergent fiber. While when leaves were less available, bark and
leaf/flower buds that were high in nonstructural carbohydrates and energy, and
low in acid detergent fiber were selected. Southern populations of golden snub
nosed monkey can turn to eating lichen, however, the population studied here in
this lichen-absent area have adapted to their cool deciduous habitat by instead
consuming buds and bark. Carbohydrate and energy rich foods appear to be the
critical resources required for the persistence of this species in temperate
habitat. The dietary flexibility of these monkeys, both among seasons and
populations, likely contributes to their wide distribution over a range of
habitats and environments.
PMID- 29635834
TI - Bladder underactivity after prolonged stimulation of somatic afferent axons in
the tibial nerve in cats.
AB - AIMS: To establish an animal model of bladder underactivity induced by prolonged
and intense stimulation of somatic afferent axons in the tibial nerve. METHODS:
In seven cats under alpha-chloralose anesthesia, tibial nerve stimulation (TNS)
of 30-min duration was repeatedly (3-8 times) applied at 4-6 times threshold (T)
intensity for inducing a toe twitch to produce bladder underactivity determined
by cystometry. Naloxone (1 mg/kg, i.v.) was administered to examine the role of
opioid receptors in TNS-induced bladder underactivity. RESULTS: After prolonged
(1.5-4 h) and intense (4-6T) TNS, a complete suppression of the micturition
reflex occurred in six cats and an increase in bladder capacity to about 150% of
control and a decrease in the micturition contraction amplitude to 50% of control
occurred in one cat. The bladder underactivity was maintained for at least 1-1.5
h. Naloxone reversed the bladder underactivity, but an additional 30-min TNS
removed the naloxone effect. CONCLUSIONS: The results indicate that prolonged and
intense activation of somatic afferent axons in the tibial nerve can suppress the
central reflex mechanisms controlling micturition. This animal model may be
useful for examining the pathophysiology of neurogenic bladder underactivity and
for development of new treatments for underactive bladder symptoms.
PMID- 29635835
TI - Biological signaling pathways and potential mathematical network representations:
biological discovery through optimization.
AB - Establishing the role that different genes play in the development of cancer is a
daunting task. A step toward this end is the detection of genes that are
important in the illness from high-throughput biological experiments.
Furthermore, it is safe to say that it is highly unlikely that these show
expression changes independently, even with a list of potentially important
genes. A biological signaling pathway is a more plausible underlying mechanism as
favored in the literature. This work attempts to build a mathematical network
problem through the analysis of microarray experiments. A preselection of genes
is carried out with a multiple criteria optimization framework previously
published by our research group . Afterward, application of the Traveling
Salesperson Problem and Minimum Spanning Tree network optimization models are
proposed to identify potential signaling pathways via the most correlated path
among the genes of interest. Biological evidencing is provided to assess the
effectiveness of the proposed methods. The capability of our analysis strategy is
also demonstrated through the undertaking of meta-analysis studies. Three
important aspects are novel in this work: (1) our joint analyses of different
groups of lung cancer states reveal new correlations, biologically evidenced, and
previously undocumented; (2) computation of the correlation coefficients from
expression differences leads to an effective use of network optimization methods;
and (3) the methods yield mathematically optimal correlation structures: no other
configuration is better correlated using the available information.
PMID- 29635837
TI - Lethal fibrosing mediastinitis in a child possibly due to Mycobacterium
tuberculosis.
AB - Fibrosing mediastinitis (FM) is a rare benign disease resulting from an excessive
fibro-inflammatory reaction in the mediastinum that can compress and occlude
mediastinal structures. There is a granulomatous and a diffuse non-granulomatous
form of FM. We present a case of granulomatous FM following possible tuberculosis
in a young child who presented with severe compression of the airways, pulmonary
artery and the superior vena cava (SVC), unresponsive to treatment, resulting in
death. Bronchoscopic findings included concentric narrowing and mucosal
hyperaemia of the tracheobronchial airways. This case raises awareness of this
rare complication and the limited treatment options available.
PMID- 29635836
TI - Attitudes and intentions of Greek teachers towards teaching pupils with dyslexia:
An application of the theory of planned behaviour.
AB - The present study aims to examine Greek public primary school teachers' attitudes
and intention towards teaching pupils with dyslexia using a model of the theory
of planned behaviour (TPB). Toward that aim, 304 teachers aged between 22 and 59
years old, with an average overall work experience of 16.6 years completed a TPB
questionnaire which assessed their attitudes, subjective norms and perceived
behavioural control, their intention, as well as other exogenous factors. The
validity and the reliability of the questionnaire were found to be adequate.
Overall, the participating teachers report a wide range of educational
interventions for pupils with dyslexia emphasizing the psychological aspects of
support. They also face a number of barriers, but in general they express neutral
to positive attitudes to dyslexia. Results show that attitudes, subjective norms
and perceived behavioural control significantly predicted the teachers' intention
towards teaching dyslexic pupils, thus confirming the applicability of the
selected TPB model. Recommendations for teachers' training and limitations of the
study are discussed.
PMID- 29635838
TI - Interventions in children with renovascular hypertension: A 27-year retrospective
single-center experience.
AB - BACKGROUND: Renovascular hypertension (RVH) can be caused by renal artery
stenosis (RAS) and/or middle aortic syndrome (MAS). METHODS: Patients who
received surgical or transcatheter treatment for RVH between 1/1991 and 11/2017
were retrospectively reviewed using age = adjusted blood pressure ratio (BPR).
RESULTS: Fifty-three patients diagnosed with RVH at a median age of 4.5 (0-18)
years were included. Vascular involvement ranged from MAS with RAS (20), RAS only
(32), and MAS only (1). The first intervention was transcatheter in 47 patients
(transcatheter group: angioplasty = 41, stenting = 5, and thrombectomy = 1), and
surgical in 6 patients (surgical group), occurring at a median age of 6.2 (0.1
19.6) years. There was a change toward transcatheter interventions as the first
procedure over the study period. First reinterventions in the transcatheter group
(27 lesions in 18 patients) were repeat transcatheter (in 20 lesions) and surgery
(7 lesions) at a median of 92 (2-2555) days; in the surgical group (5 lesions in
4 patients) first reinterventions were transcatheter (4 lesions) and repeat
surgery (1) at a median of 2.2 (1.1-12.0) years. A total of 136 transcatheter and
30 surgical discrete interventions were performed. There was a significant
decline in antihypertensive medications and BPR at 4-6 months after the first
intervention and on last follow-up in patients initially treated by transcatheter
means while the decline was not significant in the surgical group (limited by
small sample size). Complications were significantly more common in the surgical
group (P < .01), 11/27 (41%) vs 10/136 (7.4%). Four patients died (2 from each
group): 2 with congenital renal artery atresia and MAS, 2 with MAS and RAS. The
median follow-up interval was 3.6 (0.1-35.2) years. CONCLUSION: Pediatric
patients with RVH treated with transcatheter means as the first intervention had
significant improvement in BPR, as well as decline in antihypertensive
medications and were less likely to suffer major complications.
PMID- 29635839
TI - Mental load during cognitive performance in complex regional pain syndrome I.
AB - BACKGROUND: Complex regional pain syndrome (CRPS) is associated with deficits in
limb recognition. The purpose of our study was to determine whether mental load
during this task affected performance, sympathetic nervous system activity or
pain in CRPS patients. METHODS: We investigated twenty CRPS-I patients with pain
in the upper extremity and twenty age- and sex-matched healthy controls. Each
participant completed a limb recognition task. To experimentally manipulate
mental load, the presentation time for each picture varied from 2 s (greatest
mental load), 4, 6 to 10 s (least mental load). Before and after each run, pain
intensity was assessed. Skin conductance was recorded continuously. RESULTS:
Patients with CRPS did not differ from controls in terms of limb recognition and
skin conductance reactivity. However, patients with CRPS reported an increase in
pain during the task, particularly during high mental load and during the latter
stages of the task. Interestingly, state anxiety and depressive symptoms were
also associated with increases in pain intensity during high mental load.
CONCLUSIONS: These findings indicate that high mental load intensifies pain in
CRPS. The increase of pain in association with anxiety and depression indicates a
detrimental effect of negative affective states in situations of high stress and
mental load in CRPS. SIGNIFICANCE: The effects of mental load need to be
considered when patients with CRPS-I are investigated for diagnostic or
therapeutic reasons.
PMID- 29635840
TI - Of Babies and Bath Water.
PMID- 29635841
TI - Occupational contact dermatitis caused by polyurethane foam: 6 cases.
PMID- 29635842
TI - A randomised controlled trial of the clinical effectiveness of multi-layer
silicone foam dressings for the prevention of pressure injuries in high-risk aged
care residents: The Border III Trial.
AB - Pressure injuries are prevalent in highly dependent aged care residents. This
study investigated the clinical effectiveness of the application of the Mepilex
Border Sacrum and Mepilex Heel dressings to prevent the development of facility
acquired pressure injuries. A total of 288 recently admitted residents were
enrolled from 40 Australian nursing homes into a randomised controlled trial.
Residents randomised to standard care (n = 150) received pressure injury
prevention as recommended by international guidelines. Residents randomised to
the intervention (n = 138) received standard pressure injury prevention care and
had dressings applied to their sacrum and heels. Participants were comparable on
demographic and physiological parameters. More residents in the control group
developed pressure injuries than in the intervention group (16 vs 3, P = 0.004),
and they developed more pressure injuries in total than residents in the
intervention group. The results represent a relative risk reduction of 80% for
residents treated with the dressings and for every 12 patients that we treated we
prevented one pressure injury. Based on our findings, we conclude that the use of
the Molnlycke Mepilex Border Sacrum and Mepilex Heel dressings confers a
significant additional protective benefit to nursing home residents with a high
risk of developing a facility-acquired pressure injury.
PMID- 29635843
TI - Therapeutic prospects of targeting myeloid-derived suppressor cells and immune
checkpoints in cancer.
AB - Immune evasion is a characteristic of most human malignancies and is induced via
various mechanisms. Immunosuppressive cells, including myeloid-derived suppressor
cells (MDSC) and regulatory T cells (Treg), are key mediators in assisting tumors
to escape immune surveillance. Expansion of MDSC, Treg and elevated levels of
immune checkpoints (IC) are frequently detected in the tumor microenvironment and
periphery of cancer patients. Various therapeutic agents have been shown to
target MDSC and to block IC for inducing anti-tumor immunity and reversal of
tumor immune escape. Importantly, some recent studies have shown that MDSC
targeting improves the efficacy of IC blockade in cancer therapy. However, there
is a pressing need to improve our understanding of the distinct role of these
cells to develop combination therapy that attacks tumor cells from all frontiers
to improve cancer therapeutics. Herein, we discuss the role of MDSC in cancer
progression, interactions with IC in the context of anti-cancer immunity and the
current therapeutic strategies to target MDSC and block IC in cancer.
PMID- 29635844
TI - Children with severe acute asthma admitted to Dutch PICUs: A changing landscape.
AB - : The number of children requiring pediatric intensive care unit (PICU) admission
for severe acute asthma (SAA) around the world has increased. OBJECTIVES: We
investigated whether this trend in SAA PICU admissions is present in the
Netherlands. METHODS: A multicenter retrospective cohort study across all
tertiary care PICUs in the Netherlands. Inclusion criteria were children (2-18
years) hospitalized for SAA between 2003 and 2013. Data included demographic
data, asthma diagnosis, treatment, and mortality. RESULTS: In the 11-year study
period 590 children (660 admissions) were admitted to a PICU with a threefold
increase in the number of admissions per year over time. The severity of SAA
seemed unchanged, based on the first blood gas, length of stay and mortality rate
(0.6%). More children received highflow nasal cannula (P < 0.001) and fewer
children needed invasive ventilation (P < 0.001). In 58% of the patients the
maximal intravenous (IV) salbutamol infusion rate during PICU admission was 1
mcg/kg/min. However, the number of patients treated with IV salbutamol in the
referring hospitals increased significantly over time (P = 0.005). The proportion
of steroid-naive patients increased from 35% to 54% (P = 0.004), with a
significant increase in both age groups (2-4 years [P = 0.026] and 5-17 years [P
= 0.036]). CONCLUSIONS: The number of children requiring PICU admission for SAA
in the Netherlands has increased. We speculate that this threefold increase is
explained by an increasing number of steroid-naive children, in conjunction with
a lowered threshold for PICU admission, possibly caused by earlier use of
salbutamol IV in the referring hospitals.
PMID- 29635845
TI - Chitosan nanoparticles-loaded Citrus aurantium essential oil: a novel delivery
system for preserving the postharvest quality of Agaricus bisporus.
AB - BACKGROUND: One of the main problems in the button mushroom industry is the rapid
deterioration of fruit bodies after harvest. Today, nanotechnology has become a
more reliable technique to improve the quality of products in food packaging. In
the present study, the effectiveness of chitosan nanoparticles containing Citrus
aurantium essential oil on postharvest quality of white button mushroom was
examined and compared to essential oil fumigation and control treatments.
RESULTS: Based on high-resolution transmission electron microscopy and dynamic
light scattering, nanoparticles exhibited a spherical shape of 20-60 nm diameter.
The results revealed that the application of chitosan nanoparticles loaded with
C. aurantium oil significantly decelerated the rate of color change, weight loss
and firmness compared to fumigation with essential oil and control treatments.
Treatment of fruit bodies with chitosan nanoparticles loaded with C. aurantium
oil promoted the accumulation of phenolic compounds and ascorbic acid, and
resulted in increases in catalase and superoxide dismutase and a decrease in
polyphenol oxidase activities, as the highest antioxidant capacity was observed
after 15 days of cold storage. CONCLUSION: This present research demonstrates
that gradual release of C. aurantium essential oil from chitosan nanoparticles
could be an effective and practical method for extending the shelf life of white
button mushroom up to 15 days without significant decrease in antioxidant
capacity. (c) 2018 Society of Chemical Industry.
PMID- 29635846
TI - Primary lymphomatoid granulomatosis in the central nervous system: A report of
three cases.
AB - Lymphomatoid granulomatosis (LYG) is a rare lymphoproliferative disorder
characterized by infiltration of Epstein-Barr virus (EBV)-positive large atypical
B-cells in an angiocentric fashion in a mixed inflammatory background. The
histologic spectrum of LYG ranges from reactive proliferation to diffuse large B
cell lymphoma according to the number of EBV+ B-cells. It is known that virtually
all patients have pulmonary involvement, whereas primary LYG of the other organs
has been rarely reported. Herein, we describe three cases of primary LYG of the
central nervous system (CNS) without pulmonary lesions, and this is the first
collection to be reported in Korea. All of the cases revealed multifocal
enhancing necrotic brain lesions masking as metastatic tumors, infection or
vasculitis. These patients were successfully managed by corticosteroids and
immunomodulating agents without chemotherapy against malignant lymphoma even in
grade 3 LYG. We assume that primary CNS LYG might be less aggressive and more
controllable than pulmonary LYG. The clinicopathologic characteristics of the
cases with a special regard to the differential diagnosis and clinical courses
are discussed in combination with an overview of the literature.
PMID- 29635847
TI - A Q-methodology study among caregivers of people with moderate intellectual
disabilities on their clients' health care: An example in oral health.
AB - BACKGROUND: People with intellectual disabilities have less favourable outcomes
in-among others-oral health variables, compared to their peers without
intellectual disabilities. Before being able to develop target interventions for
caregivers, all their prevailing viewpoints regarding oral hygiene need to be
identified. METHODS: This Q-methodology study-conducted among 40 caregivers of
care-dependent Institutionalized living persons with moderate intellectual
disability-used by-person factor analysis to reveal clusters of caregivers based
on the way their statements were sorted. RESULTS: A 4-factor solution was chosen
based on both the Q-sorting and the interviews. The four factors identified were
responsible and perseverant, motivated but aware of obstacles, social minded and
knowledgeable and concerned and insecure. CONCLUSION: Q-methodology can be used
to determine the different attitudes that caregivers have regarding oral health
care. Developing a tool to determine into which factor caregivers should be
categorized may be the next step in tailoring oral health instruction.
PMID- 29635848
TI - Quorum-dependent transfer of the opine-catabolic plasmid pAoF64/95 is regulated
by a novel mechanism involving inhibition of the TraR antiactivator TraM.
AB - We previously described a plasmid of Agrobacterium spp., pAoF64/95, in which the
quorum-sensing system that controls conjugative transfer is induced by the opine
mannopine. We also showed that the quorum-sensing regulators TraR, TraM, and TraI
function similarly to their counterparts in other repABC plasmids. However, traR,
unlike its counterpart on Ti plasmids, is monocistronic and not located in an
operon that is inducible by the conjugative opine. Here, we report that both traR
and traM are expressed constitutively and not regulated by growth with mannopine.
We report two additional regulatory genes, mrtR and tmsP, that are involved in a
novel mechanism of control of TraR activity. Both genes are located in the
distantly linked region of pAoF64/95 encoding mannopine utilization. MrtR, in the
absence of mannopine, represses the four-gene mocC operon as well as tmsP, which
is the distal gene of the eight-gene motA operon. As judged by a bacterial two
hybrid analysis, TmsP, which shows amino acid sequence relatedness with the TraM
binding domain of TraR, interacts with the antiactivator. We propose a model in
which mannopine, acting through the repressor MrtR, induces expression of TmsP
which then titrates the levels of TraM thereby freeing TraR to activate the tra
regulon.
PMID- 29635849
TI - The impact of weather, road surface, time-of-day, and light conditions on
severity of bicycle-motor vehicle crash injuries.
AB - BACKGROUND: This study examined whether environmental variables including
weather, road surface, time-of-day, and light conditions were associated with the
severity of injuries resulting from bicycle-motor vehicle crashes. METHODS: Using
log-binomial regressions, we analyzed 113 470 police reports collected between
2000 and 2014 in four U.S. states with environmental and injury severity
information. "Severe" injuries included fatal and incapacitating injuries, and
"non-severe" included non-incapacitating, possible or no-injuries. RESULTS: Light
condition was significantly associated with the injury severity to the bicyclist
with more severe injuries at dawn (RR = 1.62 [95%CI 1.35-1.94]) and during
darkness (both lighted and unlighted roads: 1.32 [1.24-1.40], respectively, 1.57
[1.41-1.76]) as compared to daylight. In these conditions of low visibility, risk
was further increased during early morning hours before 7 am (1.61 [1.22-2.13]).
CONCLUSIONS: Crashes in low light conditions and during early morning hours are
more likely to result in higher injury severity.
PMID- 29635850
TI - Phototunable Dielectric Huygens' Metasurfaces.
AB - Conventional dielectric metasurfaces achieve their properties through geometrical
tuning and consequently are static. Although some unique properties are
demonstrated, the usefulness for realistic applications is thus inherently
limited. Here, control of the resonant eigenmodes supported by Huygens'
metasurface (HMS) absorbers through optical excitation is proposed and
demonstrated. An intensity transmission modulation depth of 99.93% is
demonstrated at 1.03 THz, with an associated phase change of greater than pi/2
rad. Coupled mode theory and S-parameter simulations are used to elucidate the
mechanism underlying the dynamics of the metasurface and it is found that the
tuning is primarily governed by modification of the magnetic dipole-like odd
eigenmode, which both lifts the degeneracy, and eliminates critical coupling. The
dynamic HMS demonstrates wide tunability and versatility which is not limited to
the spectral range demonstrated, offering a new path for reconfigurable
metasurface applications.
PMID- 29635851
TI - Brain PET substrate of impulse control disorders in Parkinson's disease: A
metabolic connectivity study.
AB - Impulse control disorders (ICDs) have received increased attention in Parkinson's
disease (PD) because of potentially dramatic consequences. Their physiopathology,
however, remains incompletely understood. An overstimulation of the
mesocorticolimbic system has been reported, while a larger network has recently
been suggested. The aim of this study is to specifically describe the metabolic
PET substrate and related connectivity changes in PD patients with ICDs. Eighteen
PD patients with ICDs and 18 PD patients without ICDs were evaluated using
cerebral 18F-fluorodeoxyglucose positron emission tomography. SPM-T maps
comparisons were performed between groups and metabolic connectivity was
evaluated by interregional correlation analysis (IRCA; p < .005, uncorrected; k >
130) and by graph theory (p < .05). PD patients with ICDs had relative increased
metabolism in the right middle and inferior temporal gyri compared to those
without ICDs. The connectivity of this area was increased mostly with the
mesocorticolimbic system, positively with the orbitofrontal region, and
negatively with both the right parahippocampus and the left caudate (IRCA).
Moreover, the betweenness centrality of this area with the mesocorticolimbic
system was lost in patients with ICDs (graph analysis). ICDs are associated in PD
with the dysfunction of a network exceeding the mesocorticolimbic system, and
especially the caudate, the parahippocampus, and the orbitofrontal cortex,
remotely including the right middle and inferior temporal gyri. This latest area
loses its central place with the mesocorticolimbic system through a connectivity
dysregulation.
PMID- 29635852
TI - Intracranial haemorrhage in children with inherited bleeding disorders in the UK
2003-2015: A national cohort study.
AB - INTRODUCTION: Intracranial haemorrhage in children with inherited bleeding
disorders is a potentially life-threatening complication and presents a
significant therapeutic challenge. AIM: To define the characteristics, management
and outcomes of intracranial haemorrhage presenting in UK children <=16 years of
age with inherited bleeding disorders from 2003 to 2015. METHOD: Retrospective
analysis of children treated at UK haemophilia centres. RESULTS: Of 66 children
presenting with Intracranial haemorrhage (ICH), 82% had haemophilia A or B, 3%
VWD and 15% a rare IBD. The IBD was a severe phenotype in 91%. The rates of ICH
were 6.4 and 4.2 per 1000 patient years for haemophilia A and B, respectively.
Median age at presentation was 4 months (33% neonates; 91% children <2 years of
age). In neonates, delivery was spontaneous vaginal (SV) in 11, instrumental in
6, caesarean in 4 and unknown in 1. In children with haemophilia, the risk of ICH
after instrumental delivery was 10.6 times greater than after SV delivery. Trauma
was more common in children >2 years (67%) than in children 1 month to 2 years
(18%; P = .027). Prior to ICH, only 4.5% of children were on prophylaxis. 6% of
haemophiliacs had an inhibitor. The median duration of initial replacement
therapy was 15 days. Mortality was 13.5%. Neurological sequelae occurred in 39%
of survivors, being more common following intracerebral bleeding. In haemophilia
survivors, 52% subsequently developed a FVIII inhibitor. CONCLUSION: Intracranial
haemorrhage occurs most frequently in children with severe IBDs, during the first
2 years of life and in children not receiving prophylaxis. Intracranial
haemorrhage often occurs without documented trauma.
PMID- 29635853
TI - Allergic contact dermatitis caused by isobornyl acrylate in OmniPod, an
innovative tubeless insulin pump.
AB - BACKGROUND: The frequency of allergic contact dermatitis caused by
(meth)acrylates is increasing worldwide, and isobornyl acrylate (IBOA) has been
identified as a culprit sensitizer in FreeStyle Libre, a medical device used by
diabetic patients. OBJECTIVES: To report on 4 patients sensitized to IBOA
contained in OmniPod, a new, tubeless insulin pump, and to describe the clinical
and chemical analytical work-up. METHOD: Four patients with eczematous skin
eruptions after using OmniPod, including 2 with previous adverse skin reactions
to FreeStyle Libre, were patch tested with a baseline series and additional
(meth)acrylates, including IBOA in several concentrations and/or vehicles. Gas
chromatography-mass spectrometry (GC-MS) was used to identify the presence of
IBOA in OmniPod. RESULTS: All patients were shown to be sensitized to IBOA. GC-MS
identified IBOA in OmniPod, with the highest concentrations being found in the
unit itself and not in the adhesive patch. CONCLUSIONS: The OmniPod tubeless
insulin pump is another source of IBOA, and its use may lead to primary
sensitization to this acrylate, or may provoke elicitation of allergic contact
dermatitis in previously sensitized patients. Our cases highlight the need to
obtain satisfactory cooperation from pharmaceutical companies involved in the
manufacture of these widely used medical devices.
PMID- 29635854
TI - Urea plus nitrate pretreatment of rice and wheat straws enhances degradation and
reduces methane production in in vitro ruminal culture.
AB - BACKGROUND: Urea pretreatment of straw damages fiber structure, while nitrate
supplementation of ruminal diets inhibits enteric methane production. The study
examined the combined effects of these treatments on ruminal substrate
biodegradation and methane production using an in vitro incubation system. Rice
and wheat straws were pretreated with urea (40 g kg-1 straw dry matter, DM) and
urea + ammonium nitrate (34 + 6 g kg-1 dry matter (DM), respectively), and each
straw (control, urea, urea+nitrate) was used in batch culture incubations in
three replications (runs). RESULTS: Urea pretreatment increased (P < 0.05)
neutral-detergent solubles (NDS) content (+17%) and in vitro DM degradation of
rice straw, in comparison with control. Urea+nitrate pretreatment of rice and
wheat straws had higher (P < 0.05) NDS content, in vitro DM degradation and
propionate molar proportion, and lower (P < 0.05) acetate:propionate ratio and
lower methane production with a decline of methanogens, in comparison to control.
CONCLUSIONS: Urea+nitrate pretreatment combines positive effects of urea
pretreatment and nitrate supplementation, and can be a potential strategy to
improve ruminal biodegradation, facilitate propionate production and reduce
methane production from lignified straws. (c) 2018 Society of Chemical Industry.
PMID- 29635855
TI - Identification and description of controlled clinical trials in Spanish language
dental journals.
AB - BACKGROUND: To identify controlled clinical trials (CCTs) published in Spanish
and in Latin American dental journals, and provide access to this body of
evidence in a single source. METHODS: Handsearching, following Cochrane
Collaboration guidelines, of CCTs published in Spanish dental journals from Spain
and Latin America. For each eligible trial, we collected the dental specialty,
the interventions evaluated, whether and how randomisation was achieved, and the
corresponding bibliographic reference. RESULTS: We handsearched 107 journals
published in Spain and Latin America in Spanish. Over 17 051 articles, 244
(1.43%) were CCTs. These studies focused mainly on periodontics (70, 29.0%) and
oral and maxillofacial surgery (66, 27.0%), assessing mostly pharmacological
interventions (112, 46.0%). One hundred fifty-three studies (62.7%) used a random
allocation of participants to study arms. CONCLUSIONS: A significant number of
dental journals published in Spain and Latin America in Spanish language present
original research relevant to inform clinical practice. These journals are not
indexed in the major electronic databases. PRACTICAL IMPLICATIONS: References to
the identified CCTs are now available in CENTRAL, the Cochrane Collaboration
repository for these studies. We call for adherence to the CONSORT statement in
dentistry to improve reporting of CCTs in journals published in Spanish language.
PMID- 29635856
TI - Impact of Medicare Advantage penetration and hospital competition on technical
efficiency of nursing care in US intensive care units.
AB - This study aimed to evaluate technical efficiency of US intensive care units and
determine the effects of environmental factors on technical efficiency in
providing quality of nursing care. Data were obtained from the 2014 National
Database of Nursing Quality Indicators and the Centers for Medicare and Medicaid
Services. Data envelopment analysis was used to estimate technical efficiency for
each intensive care unit. Multilevel modeling was used to determine the effects
of environmental factors on technical efficiency. Overall, Medicare Advantage
penetration and hospital competition in a market did not create pressure for
intensive care units to become more efficient by reducing their inputs. However,
these 2 environmental factors showed positive influences on technical efficiency
in intensive care units with certain levels of technical efficiency. The
implications of the study results for management strategies and health policy may
vary according to the levels of technical efficiency in intensive care units.
Further studies are needed to examine why and how intensive care units with
particular levels of technical efficiency are differently affected by certain
environmental factors.
PMID- 29635857
TI - Effect profile of paracetamol, Delta9-THC and promethazine using an evoked pain
test battery in healthy subjects.
AB - BACKGROUND: A battery of evoked pain tasks (PainCart) was developed to
investigate the pharmacodynamic properties of novel analgesics in early-phase
clinical research. As part of its clinical validation, compounds with different
pharmacological mechanisms of actions are investigated. The aim was to
investigate the analgesic effects of classic and nonclassic analgesics compared
to a sedating negative control in a randomized placebo-controlled crossover study
in 24 healthy volunteers using the PainCart. METHODS: The PainCart consisted of
pain tasks eliciting electrical, pressure, heat, cold and inflammatory pain.
Subjective scales for cognitive functioning and psychotomimetic effects were
included. Subjects were administered each of the following oral treatments:
paracetamol (1000 mg), Delta9-THC (10 mg), promethazine (50 mg) or matching
placebo. Pharmacodynamic measurements were performed at baseline and repeated up
to 10 h postdose. RESULTS: Paracetamol did not show a significant reduction in
pain sensation or subjective cognitive functioning compared to placebo.
Promethazine induced a statistically significant reduction in PTT for cold
pressor and pressure stimulation. Furthermore, reduced subjective alertness was
observed. Delta9-THC showed a statistically significant decrease in PTT for
electrical and pressure stimulation. Delta9-THC also demonstrated subjective
effects, including changes in alertness and calmness, as well as feeling high and
psychotomimetic effects. CONCLUSIONS: This study found a decreased pain tolerance
due to Delta9-THC and promethazine, or lack thereof, using an evoked pain task
battery. Pain thresholds following paracetamol administration remained unchanged,
which may be due to insufficient statistical power. We showed that pain
thresholds determined using this pain test battery are not driven by sedation.
SIGNIFICANCE: The multimodal battery of evoked pain tasks utilized in this study
may play an important role in early-phase clinical drug development. This battery
of pain tasks is not sensitive to the effects of sedation alone, and thus
suitable to investigate the analgesic potential of novel analgesic compounds.
PMID- 29635858
TI - Propagation of Enzyme-Induced Surface Events inside Polymer Nanoassemblies for a
Fast and Tunable Response.
AB - We report a new molecular design strategy that allows for the propagation of
surface enzymatic events inside a supramolecular assembly for accelerated
molecular release. The approach addresses a key shortcoming encountered with many
of the currently available enzyme-induced disassembly strategies, which rely on
the unimer-aggregate equilibria of amphiphilic assemblies. The enzymatic response
of the host to predictably tune the kinetics of guest-molecule release can be
programmed by controlling substrate accessibility through electrostatic
complexation with a complementary polymer. Accelerated guest release in response
to the enzyme is shown to be accomplished by a cooperative mechanism of enzyme
triggered supramolecular host disassembly and host reorganization.
PMID- 29635859
TI - Disturbance from traditional fire management in subalpine heathlands increases
Afro-alpine plant resilience to climate change.
AB - Species are often controlled by biotic factors such as competition at the warm
edge of their distribution range. Disturbances at the treeline, disrupting
competitive dominance, may thus enable alpine species to utilize lower altitudes.
We searched for evidence for range expansion in grazed, fire-managed Ethiopian
subalpine Erica heathlands across a 25-year chronosequence. We examined vascular
plant composition in 48 plots (5 * 5 m) across an altitudinal range of 3,465
3,711 m.a.s.l. and analyzed how community composition changed in relation to
increasing competition over time (using a Shade index based on Erica shrub height
and cover) and altitude. Species' habitats and altitudinal ranges were derived
from literature. Time since fire explained more variation (r2 = .41) in species
composition than altitude did (r2 = .32) in an NMDS analysis. Community-weighted
altitudinal optima for species in a plot decreased strongly with increasing shade
(GLM, Standardized Regression Coefficient SRC = -.41, p = .003), but increased
only weakly with altitude (SRC = .26, p = .054). In other words, young stands
were dominated by species with higher altitudinal optima than old stands. Forest
species richness increased with Log Shade index (SRC = .12, p = .008), but was
unaffected by altitude (SRC = -.07, p = .13). However, richness of alpine and
heathland species was not highest in plots with lowest Shade index, but displayed
a unimodal pattern with an initial increase, followed by a decrease when shading
increased (altitude was not significant). Our results indicate that disturbance
from the traditional patch burning increases the available habitat for less
competitive high-altitude plants and prevents tree line ascent. Therefore,
maintaining, but regulating, the traditional land use increases the Afro-alpine
flora's resilience to global warming. However, this system is threatened by a new
REDD+ program attempting to increase carbon storage via fire suppression. This
study highlights the importance of understanding traditional management regimes
for biodiversity conservation in cultural landscapes in an era of global change.
PMID- 29635860
TI - Social and ecological correlates of space use patterns in Bwindi mountain
gorillas.
AB - Space use patterns determine access to resources necessary for survival and
reproduction. Although it is recognized that the interplay between social and
ecological variables shapes spacing patterns, few studies in group-living animals
have simultaneously assessed their importance in a comprehensive approach using
different spatiotemporal space use measures. In territorial species, such
patterns are strongly determined by between-group competition, but its impact in
non-territorial species is poorly understood. To better understand the role of
social and ecological variables in non-territorial mountain gorillas (Gorilla
beringei beringei), we simultaneously evaluated the impact of between-group
competition (local gorilla population density and frequency of intergroup
encounters) and within-group competition (group size) on space use patterns in 13
gorilla groups in Bwindi Impenetrable National Park, Uganda, while also examining
variation in food availability (herbaceous food availability and level of
frugivory). We found evidence of between-group competition, indicated by a
decline of both monthly home range size and frequency of revisits to each part of
the home range as the local gorilla population density increased and by an
increase in daily travel distance on days when intergroup encounters occurred.
Within-group feeding competition was inferred by a decrease in the frequency of
revisits as group size increased. Lastly, food availability influenced the
gorillas' spacing patterns, as indicated by a decline in monthly home range size
as herbaceous food availability increased and by an increase in daily travel
distance as the degree of frugivory increased. In sum, our results suggest that
Bwindi gorillas adapted their space use according to the constraints of
intraspecific competition and the availability of food resources. We provide some
of the first evidence of between-group competition influencing spacing patterns
in a non-territorial species. This study suggests a gradient between
territoriality and non-territoriality, along which the level of between-group
competition varies.
PMID- 29635861
TI - Identification of novel antilipogenic agents targeting fatty acid biosynthesis
through structure-based virtual screening.
AB - An Asinex Gold Platinium chemical library subset of 12 055 compounds was screened
employing docking simulations in the active site of the human FAS KS domain.
Among them, 13 compounds were further evaluated for their ability to inhibit
fatty acid biosynthesis. Four compounds were found to be active in particular
ASN05064661 and ASN05374526 with IC50 values of 6.6 and 10.5 MUm, respectively. A
binding mode study was further conducted with these two compounds structurally
related to benzene sulfonamide and aromatic polyamide. This study showed that
they fit tightly with the active site with several interactions, notably with the
key residues Cys161, His293, and His331.
PMID- 29635862
TI - A functional haplotype of NFKB1 influence susceptibility to oral cancer: a
population-based and in vitro study.
AB - Genetic variations of NF-kappaB and its inhibitor IkappaB genes and their
biological mechanism in oral cancer were not well recognized. The purpose of this
study was to evaluate the associations of polymorphisms in NFKB1 and NFKBIA with
oral cancer susceptibility, and further explore their potential mechanism in
vitro. First, the polymorphisms of NFKB1 and NFKBIA were genotyped through iPLEX
Sequenom MassARRAY platform in a case-control study with 425 oral cancer patients
and 485 healthy controls. Then, the function was explored by a luciferase
reporter assay and an electrophoretic mobility shift assay (EMSA) in human tongue
squamous cell carcinoma cell lines. The results indicated that NFKB1 rs28362491
Del/Del and rs72696119 G/G genotypes were associated with the risk of oral
cancer, with a strong linkage disequilibrium (D' = 0.991, r2 = 0.971). Moreover,
DG haplotype of NFKB1 also showed a significant increased risk (OR = 1.25, 95%
CI: 1.02-1.53, P = 0.030). Dual-luciferase reporter assays further revealed that
the plasmids with DG or IG or DC haplotype transfected with Tca-8113 cells or CAL
27 cells had a lower luciferase expression than that with IC haplotype. EMSA
demonstrated that 4-bp ATTG deletion in the promoter of NFKB1 abolished the
binding site of transcription factor. Our preliminary findings suggest that the
haplotype of rs28362491 and rs72696119 in NFKB1 could act as a novel genetic
marker to predict oral cancer risk in the southeast of China, but much more
extensive researches still need to be conducted.
PMID- 29635864
TI - Using efficacy cues in persuasive health communication is more effective than
employing threats - An experimental study of a vaccination intervention against
Ebola.
AB - OBJECTIVES: Although much effort has been made to study fear appeals in
persuasive health communication, there is still mixed support for the
effectiveness of this approach. Therefore, this research investigated the effect
of invoked fear via health communication messages on crucial components of the
Extended Parallel Process Model (EPPM) by focusing on the rarely examined
interaction between perceptions of threat and efficacy and their effects on fear
control and danger control processes as well as health-relevant outcomes. DESIGN:
We recruited 447 participants (Mage = 32.00 years; 64% female) for a 2 * 2
between-subjects experimental study via quota sampling. While completing an
online questionnaire, subjects were randomly assigned to view one of four
versions of a mock website containing information about vaccinations against
Ebola virus disease (EVD), which varied in threat and efficacy. METHODS: After
seeing the stimulus, participants completed assessments of their perceptions of
threat and efficacy, evoked fear, adaptive and defensive responses to the
presented message, attitudes, and intentions. Structure equation modelling (SEM)
was used to analyse the relationships within the model (EPPM). RESULTS: Promoting
efficacy with respect to EVD was more effective than emphasizing threat,
resulting in danger control rather than fear control processes. Although threat
may be effective in attracting peoples' attention, there is a comparatively small
effect of evoked fear on attitudes and intentions. CONCLUSIONS: The data support
the assumption that there is an important association between threat and coping
appraisals facilitating behaviour change. Moreover, the widely held notion that
people have to be scared or threatened to encourage attitude and behaviour
changes should be treated with caution. Communication should instead focus on
efficacy to foster adaptive responses. Statement of contribution What is already
known on this subject? There is mixed support for the effectiveness of fear
appeals in persuasive health communication, especially regarding the interaction
of threat perceptions and coping appraisals for fear and danger control
processes. The Extended Parallel Process Model - being a current and often
applied model to investigate the effects of fear appeals - suggests a
multiplicative relationship between threat perceptions and coping perceptions
Most studies applying conventional analysis strategies (e.g., [M] ANOVAs)
indicate that perceptions and appraisals of threat and efficacy are not directly
related to each other. What does this study add? It demonstrates the parallelism
and interaction between threat appraisal and coping appraisal processes and
demonstrates the usefulness of SEM in testing associations within the EPPM. It
confirms the assumption of an important multiplicative association between threat
and coping appraisals within the EPPM, while related cognitive processes still
seem to operate independently. Adaptive responses to persuasive messages are
mainly triggered using efficacy cues, not threat, which could also be triggered
by promoting positive emotional experiences (e.g., gain-framing or entertainment
education).
PMID- 29635863
TI - Concurrent Drug Unplugging and Permeabilization of Polyprodrug-Gated Crosslinked
Vesicles for Cancer Combination Chemotherapy.
AB - Combination chemotherapy with both hydrophobic and hydrophilic therapeutic drugs
is clinically vital toward the treatment of persistent cancers. Though
conventional liposomes and polymeric vesicles possessing hydrophobic bilayers and
aqueous interiors can serve as codelivery nanocarriers, it remains a considerable
challenge to achieve synchronized release of both types of drugs due to distinct
encapsulation mechanisms; premature release of water-soluble cargos from unstable
liposomes and ruptured vesicles is also a major concern. Herein, the fabrication
of physiologically stable polyprodrug-gated crosslinked vesicles (GCVs) via the
self-assembly of camptothecin (CPT) polyprodrug amphiphiles and in situ bilayer
crosslinking through traceless sol-gel reaction is reported. Polyprodrug-GCVs
possess high CPT loading (>30 wt%) and minimized leakage of encapsulated
hydrophilic doxorubicin (DOX) hydrochloride due to the suppressed permeability of
crosslinked membrane, exhibiting extended blood circulation (t 1/2 > 13 h) with
caged cytotoxicity in physiological circulation. Upon cellular uptake by cancer
cells, cytosolic reductive milieu-triggered CPT unplugging from vesicle bilayers
is demonstrated to generate hydrophilic mesh channels and make the membrane
highly permeable. Concurrently, it will promote DOX corelease from hydrophilic
lumen (~36-fold increase). The reduction-activated combination chemotherapeutic
potency based on polyprodrug-GCVs is confirmed by both in vitro and in vivo
explorations.
PMID- 29635865
TI - Eye care in young children: a parent survey exploring access and barriers.
AB - BACKGROUND: A questionnaire was designed to investigate the attitudes of parents
toward eye care for their young children (4-6-year-olds) and possible barriers to
accessing eye care for this age group. An exploration was undertaken to determine
whether these beliefs and barriers are influenced by certain demographic factors
such as ethnicity, level of parental income, level of parental education,
confidence with speaking English and a reported family history of eye problems.
METHODS: A total of 1,317 questionnaires (hard copies) were distributed to
parents of children in primary school reception and year one classes (ages four
to six) from 14 schools across five London boroughs. Ninety online surveys were
sent to parents at two further London schools. All questionnaires were anonymous.
RESULTS: A total of 384 completed questionnaires were analysed (27 per cent
response rate). Three hundred and thirty-eight parents (24 per cent) completed
the 'parental knowledge' section of the questionnaire. Of all responses, 65 per
cent (n = 249) were from parents whose children attended a school where a program
of school entry vision screening took place. Of these, 15 per cent (n = 36) of
parents reported that they were aware of the screening program. Barriers to
accessing eye care for their children were reported by 38 per cent (n = 153) of
parents/carers who responded. Twelve per cent (n = 47) reported not knowing how
to access an eye test for their child and 12 per cent (n = 47) reported that they
were concerned their child would be given glasses that were not needed. When
compared to parents from White ethnic groups, parents from African/Afro-Caribbean
ethnic groups were more likely to report not knowing how to access an age
appropriate eye test for their child (p = 0.001). Parents of African/Afro
Caribbean ethnic origins were statistically more likely to report barriers to eye
care (p = 0.001). CONCLUSION: The study provides evidence of some parental
misconceptions around eye care for young children and some barriers to access.
Possible solutions to this are discussed.
PMID- 29635866
TI - Prevalence of fecal viruses and bacteriophage in Canadian farmed mink (Neovison
vison).
AB - Recent viral metagenomic studies have demonstrated the diversity of eukaryotic
viruses and bacteriophage shed in the feces of domestic species. Although enteric
disease is a major concern in the commercial mink farming industry, few etiologic
agents have been well characterized. This study aimed to identify viruses shed in
the fecal matter of clinically healthy commercial mink from 40 southern Ontario
farms. Viral RNA was extracted from 67 pooled fecal samples (30 adult female mink
and 37 kit) and amplified for Illumina sequencing on the NextSeq platform, and
the resulting contigs were trimmed and assembled using Trimmomatic 0.36.0 and
Spades 3.8.0 in iVirus (CyVerse, AZ, USA) and SeqMan NGen 12 (DNAStar, WI, USA).
Identification of assembled sequences >100 bp (Geneious 10.1.3) showed an
abundance of bacteriophage sequences, mainly from families Siphoviridae (53%),
Podoviridae (22%), Myoviridae (20%), Inoviridae (1%), Leviviridae (0.04%),
Tectiviridae (0.01%), and Microviridae (0.01%). A diverse range of vertebrate
viruses were detected, of which posavirus 3, mink bocavirus, gyroviruses, and
avian-associated viruses were most abundant. Additionally, sequences from
nonvertebrate viruses with water and soil-associated amebal and algal hosts were
also highly prevalent. The results of this study show that viruses shed in the
fecal matter of healthy commercial mink are highly diverse and could be closely
associated with diet, and that more research is necessary to determine how the
detected viruses may impact mink health.
PMID- 29635867
TI - Is refreshing in working memory impaired in older age? Evidence from the retro
cue paradigm.
AB - Impairments in refreshing have been suggested as one source of working memory
(WM) deficits in older age. Retro-cues provide an important method of
investigating this question: a retro-cue guides attention to one WM item, thereby
arguably refreshing it and increasing its accessibility compared with a no-cue
baseline. In contrast to the refreshing deficit hypothesis, intact retro-cue
benefits have been found in older adults. Refreshing, however, is assumed to
boost not one but several WM representations when sequentially applied to them.
Hence, intact refreshing requires the flexible switching of attention among WM
items. So far, it remains an open question whether older adults show this
flexibility. Here, we investigated whether older adults can use multiple cues to
sequentially refresh WM representations. Younger and older adults completed a
continuous-color delayed-estimation task, in which the number of retro-cues (0,
1, or 2) presented during the retention interval was manipulated. The results
showed a similar retro-cue benefit for younger and older adults, even in the two
cue condition in which participants had to switch attention between items to
refresh representations in WM. These findings suggest that the capacity to use
cues to refresh information in visual WM may be preserved with age.
PMID- 29635869
TI - The epidemiology of Helicobacter pylori infection in Europe and the impact of
lifestyle on its natural evolution toward stomach cancer after infection: A
systematic review.
AB - BACKGROUND: Helicobacter pylori is a recognized cause of stomach cancer, but only
a fraction of infected subjects develop cancer. This systematic review 1,
summarizes the prevalence of infection with this bacterium in Europe; and 2,
reviews the possible impact of particular lifestyles in progression from
infection to stomach cancer. MATERIALS AND METHODS: A systematic literature
search was conducted in two databases by two independent investigators. Studies
describing prevalence of infection among European healthy adult populations and
worldwide studies analyzing the impact of lifestyle factors in association with
H. pylori on stomach cancer risk were included. RESULTS: Variable H. pylori
infection prevalence was observed depending on region and study period. The
lowest infection prevalences were found in Northern Europe, while the highest
were in Eastern and Southern Europe, up to 84% in Portugal and Poland. Studies on
smoking, salt, and meat consumption demonstrated increased risks of developing
stomach cancer among H. pylori-infected individuals, while studies relating the
intake of fruit, vegetables, and vitamins demonstrated decreased risks, but the
levels of significance differed importantly between studies. No significant
interaction could be found for alcohol consumption or physical activity.
CONCLUSIONS: Recent data showed remaining high H. pylori infection rates in
several European regions. This systematic review suggests that a number of
correctable lifestyle factors could impact the disease progression toward H.
pylori-associated stomach cancer. However, additional research is required to
determine the potential role of targeted interventions in reducing stomach cancer
development after H. pylori infection.
PMID- 29635868
TI - Mesenchymal Stem Cells (MSC) Derived from Induced Pluripotent Stem Cells (iPSC)
Equivalent to Adipose-Derived MSC in Promoting Intestinal Healing and Microbiome
Normalization in Mouse Inflammatory Bowel Disease Model.
AB - Cellular therapy with allogeneic or autologous mesenchymal stem cells (MSC) has
emerged as a promising new therapeutic strategy for managing inflammatory bowel
disease (IBD). However, MSC therapy ideally requires a convenient and relatively
homogenous cell source (typically bone marrow or adipose tissues) and the ability
to generate cells with stable phenotype and function. An alternative means of
generating allogeneic MSC is to derive them from induced pluripotent stem cells
(iPSC), which could in theory provide an indefinite supply of MSC with well
defined phenotype and function. Therefore, we compared the effectiveness of iPSC
derived MSC (iMSC) and adipose-derived MSC (adMSC) in a mouse model of IBD
(dextran sodium sulfate-induced colitis), and investigated mechanisms of
intestinal protection. We found that iMSC were equivalent to adMSC in terms of
significantly improving clinical abnormalities in treated mice and reducing
lesion scores and inflammation in the gut. Administration of iMSC also stimulated
significant intestinal epithelial cell proliferation, increased in the numbers of
Lgr5+ intestinal stem cells, and increased intestinal angiogenesis. In addition,
the microbiome alterations present in mice with colitis were partially restored
to resemble those of healthy mice following treatment with iMSC or adMSC. Thus,
iMSC administration improved overall intestinal health and healing with
equivalent potency to treatment with adMSC. This therefore is the first report of
the effectiveness of iMSC in the treatment of IBD, along with a description of
unique mechanisms of action with respect to intestinal healing and microbiome
restoration. Stem Cells Translational Medicine 2018;7:456-467.
PMID- 29635870
TI - Efficient Hydrogen Production on a 3D Flexible Heterojunction Material.
AB - A novel heterojunction material, with electron-rich graphdiyne as the host and
molybdenum disulfide as the catalytic center (eGDY/MDS), to produce
ultraefficient hydrogen-evolution reaction (HER) at all pH values is described.
It is a surprise that the metallic conductor combined from two semiconductor
materials, eGDY and MDS, leads to optimal free energy (DeltaGH ) and enhancement
in the intrinsic HER catalytic performances. The calculated and experimental
results indicate that eGDY/MDS shows greatly enhanced catalytic activities and
high stabilities in both acidic and alkaline conditions; these approach the
outstanding performances of the state-of-the-art noble-metal-based catalysts. The
eGDY/MDS shows better activity than Pt/C in alkaline media and remarkable
enhancement in photocurrent density. The high catalytic activity of eGDY/MDS
originates from facilitated electronic transfer kinetics, high conductivity, more
exposed catalytic active sites, and excellent mass transport.
PMID- 29635871
TI - Active Sites Engineering toward Superior Carbon-Based Oxygen Reduction Catalysts
via Confinement Pyrolysis.
AB - Developing efficient and low-cost defective carbon-based catalysts for the oxygen
reduction reaction (ORR) is essential to metal-air batteries and fuel cells.
Active sites engineering toward these catalysts is highly desirable but
challenging to realize boosted catalytic performance. Herein, a sandwich-like
confinement route to achieve the controllable regulation of active sites for
carbon-based catalysts is reported. In particular, three distinct catalysts
including metal-free N-doped carbon (NC), single Co atoms dispersed NC (Co-N-C),
and Co nanoparticles-contained Co-N-C (Co/Co-N-C) are controllably realized and
clearly identified by synchrotron radiation-based X-ray spectroscopy.
Electrochemical measurements suggest that the Co/Co-N-C catalyst delivers
optimized ORR performance due to the rich Co-Nx active sites and their
synergistic effect with metallic Co nanoparticles. This work provides deep
insight for rationally designing efficient ORR catalyst based on active sites
engineering.
PMID- 29635873
TI - Once daily oral extended-release hydrocodone as analgesia following tibial
plateau leveling osteotomy in dogs.
AB - OBJECTIVE: To determine the efficacy of oral extended-release hydrocodone
compared with oral firocoxib for analgesia following tibial plateau leveling
osteotomy surgery in dogs in a hospital setting. STUDY DESIGN: Prospective,
randomized, blinded, clinical trial. ANIMALS: Thirty-six client-owned dogs
weighing 25-43 kg with unilateral hindlimb lameness and cranial cruciate ligament
rupture. METHODS: Dogs were assigned to 1 of 2 groups (n = 18/group). Group 1
received hydrocodone 3 mg/kg orally every 24 hours, and group 2 received
firocoxib 5 mg/kg orally every 24 hours. Both hydrocodone and firocoxib
(according to group assignment) were provided as preemptive analgesia 10 hours
before induction to anesthesia and then every 24 hours for the remainder of the
study period The level of analgesia was compared between treatments on the basis
of a modified Glasgow Composite Pain Score (mGCPS) in each dog, the number of
dogs requiring rescue analgesia (hydromorphone 0.05 mg/kg subcutaneously),
pressure platform stance data, and number of adverse events. RESULTS: Nine of 18
dogs that received hydrocodone and 2/18 dogs that received firocoxib had an mGCPS
>=6 (P = .02). Two dogs had an mGCPS >=6 three times, and 1 had an mGCPS >=6 two
times; all 3 of these dogs were in the hydrocodone group. Average postoperative
peak pressure placed on the affected limb was lower in dogs that received
hydrocodone (P = .01). Regurgitation and decreased appetite were more common in
the dogs that received hydrocodone. CONCLUSION: Dogs that were treated with
hydrocodone exhibited higher pain scores and lower limb function than dogs
treated with firocoxib under the conditions of our study. CLINICAL SIGNIFICANCE:
Our results do not provide evidence to justify the administration of extended
release hydrocodone at 3 mg/kg orally every 24 hours rather than firocoxib at 5
mg/kg orally every 24 hours in dogs undergoing tibial plateau leveling osteotomy.
PMID- 29635874
TI - Prediction of taper performance using quasi static FE models: The influence of
loading, taper clearance and trunnion length.
AB - The head-neck taper junction has been widely reported to corrode leading to
adverse tissue reactions. Taper corrosion is a poorly understood phenomenon but
has been associated with oxide layer damage and ingress of corrosive
physiological fluids. Micromotion may damage the oxide layer; although little is
understood about the prevailing stresses which cause this. The ingress of fluid
around the joint space into the taper will depend on the taper contact position
and the separation of the interfaces during loading. The current work reports on
the effect of taper clearances and trunnion length on the taper surface stresses
and the taper gap opening. These were determined for CoCr/Ti taper interfaces
using FE under loading conditions including walking and stair climb as well as
hip simulator load profiles. Shorter trunnions and stair climb loading were shown
to generate the greatest taper gaps (82 um) and also the largest surface stresses
(1200 MPa) on the head taper. The largest taper gaps were associated with smaller
taper contact areas. Clearances within +/-0.1 degrees had no effect on the taper
gaps generated, as the tapers engaged over comparable lengths; the taper gap
opening was dependent upon the taper engagement length rather than location
(proximal or distal) of contact. The walking profile or variants applied by hip
simulators, was insufficient to differentiate between taper designs and evaluate
differences in the magnitudes of taper gaps. The use of more demanding activity
such as stair climb during in vitro evaluations could provide better predictions
of taper performance in vivo. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res
Part B: Appl Biomater, 2018.
PMID- 29635872
TI - A randomized, blinded, prospective clinical trial of postoperative rehabilitation
in dogs after surgical decompression of acute thoracolumbar intervertebral disc
herniation.
AB - BACKGROUND: Experimental evidence shows benefit of rehabilitation after spinal
cord injury (SCI) but there are limited objective data on the effect of
rehabilitation on recovery of dogs after surgery for acute thoracolumbar
intervertebral disc herniations (TL-IVDH). OBJECTIVE: Compare the effect of basic
and intensive post-operative rehabilitation programs on recovery of locomotion in
dogs with acute TL-IVDH in a randomized, blinded, prospective clinical trial.
ANIMALS: Thirty non-ambulatory paraparetic or paraplegic (with pain perception)
dogs after decompressive surgery for TL-IVDH. METHODS: Blinded, prospective
clinical trial. Dogs were randomized (1:1) to a basic or intensive 14-day in
house rehabilitation protocol. Fourteen-day open field gait score (OFS) and
coordination (regulatory index, RI) were primary outcomes. Secondary measures of
gait, post-operative pain, and weight were compared at 14 and 42 days. RESULTS:
Of 50 dogs assessed, 32 met inclusion criteria and 30 completed the protocol.
There were no adverse events associated with rehabilitation. Median time to
walking was 7.5 (2 - 37) days. Mean change in OFS by day 14 was 6.13 (confidence
intervals: 4.88, 7.39, basic) versus 5.73 (4.94, 6.53, intensive) representing a
treatment effect of -0.4 (-1.82, 1.02) which was not significant, P=.57. RI on
day 14 was 55.13 (36.88, 73.38, basic) versus 51.65 (30.98, 72.33, intensive), a
non-significant treatment effect of -3.47 (-29.81, 22.87), P = .79. There were no
differences in secondary outcomes between groups. CONCLUSIONS: Early
postoperative rehabilitation after surgery for TL-IVDH is safe but doesn't
improve rate or level of recovery in dogs with incomplete SCI.
PMID- 29635875
TI - Recurrent seascape units identify key ecological processes along the western
Antarctic Peninsula.
AB - The western Antarctic Peninsula (WAP) is a bellwether of global climate change
and natural laboratory for identifying interactions between climate and
ecosystems. The Palmer Long-Term Ecological Research (LTER) project has collected
data on key ecological and environmental processes along the WAP since 1993. To
better understand how key ecological parameters are changing across space and
time, we developed a novel seascape classification approach based on in situ
temperature, salinity, chlorophyll a, nitrate + nitrite, phosphate, and silicate.
We anticipate that this approach will be broadly applicable to other geographical
areas. Through the application of self-organizing maps (SOMs), we identified
eight recurrent seascape units (SUs) in these data. These SUs have strong
fidelity to known regional water masses but with an additional layer of
biogeochemical detail, allowing us to identify multiple distinct nutrient
profiles in several water masses. To identify the temporal and spatial
distribution of these SUs, we mapped them across the Palmer LTER sampling grid
via objective mapping of the original parameters. Analysis of the abundance and
distribution of SUs since 1993 suggests two year types characterized by the
partitioning of chlorophyll a into SUs with different spatial characteristics. By
developing generalized linear models for correlated, time-lagged external
drivers, we conclude that early spring sea ice conditions exert a strong
influence on the distribution of chlorophyll a and nutrients along the WAP, but
not necessarily the total chlorophyll a inventory. Because the distribution and
density of phytoplankton biomass can have an impact on biomass transfer to the
upper trophic levels, these results highlight anticipated links between the WAP
marine ecosystem and climate.
PMID- 29635877
TI - Are tree squirrels involved in the circulation of flaviviruses in Italy?
AB - West Nile virus (WNV), Usutu virus (USUV) and tick-borne encephalitis virus
(TBEV) are emerging zoonotic flaviviruses (family Flaviviridae), which have
circulated in Europe in the past decade. A cross-sectional study was conducted to
assess exposure to these antigenically related flaviviruses in eastern grey
squirrels (Sciurus carolinensis) in Italy. Seventeen out of 158 (10.8%; CI95% :
5.9-15.6) squirrels' sera tested through bELISA had antibodies against
flaviviruses. Specific neutralizing antibodies to WNV, USUV and TBEV were
detected by virus neutralization tests. Our results indicate that tree squirrels
are exposed to Culex and tick-borne zoonotic flaviviruses in Italy. Moreover,
this study shows for the first time USUV and TBEV exposure in grey squirrels,
broadening the host range reported for these viruses. Even though further studies
are needed to define the real role of tree squirrels in the epidemiology of
flaviviruses in Europe, this study highlights that serology could be an effective
approach for future investigations aimed at broadening our knowledge about the
species exposed to these zoonotic infections.
PMID- 29635876
TI - Intravenous immunoglobulin for maintenance treatment of multifocal motor
neuropathy: A multi-center, open-label, 52-week phase 3 trial.
AB - Intravenous immunoglobulin (IVIg) therapy is currently the only established
treatment in patients with multifocal motor neuropathy (MMN), and many patients
have an IVIg-dependent fluctuation. We aimed to investigate the efficacy and
safety of every 3 week IVIg (1.0 g/kg) for 52 weeks. This study was an open-label
phase 3 clinical trial, enrolling 13 MMN patients. After an induction IVIg
therapy (0.4 g/kg/d for 5 consecutive days), maintenance dose (1.0 g/kg) was
given every 3 weeks for 52 weeks. The major outcome measures were the Medical
Research Council (MRC) sum score and hand-grip strength at week 52. This trial is
registered with ClinicalTrials.gov, number NCT01827072. At week 52, 11 of the 13
patients completed the study, and all 11 had a sustained improvement. The mean
(SD) MRC sum score was 85.6 (8.7) at the baseline, and 90.6 (12.8) at week 52.
The mean grip strength was 39.2 (30.0) kPa at the baseline and 45.2 (32.8) kPa at
week 52. Two patients dropped out because of adverse event (dysphagia) and
decision of an investigator, respectively. Three patients developed coronary
spasm, dysphagia, or inguinal herniation, reported as the serious adverse events,
but considered not related with the study drug. The other adverse effects were
mild and resolved by the end of the study period. Our results show that
maintenance treatment with 1.0 g/kg IVIg every 3 week is safe and efficacious for
MMN patients up to 52 weeks. Further studies are required to investigate optimal
dose and duration of maintenance IVIg for MMN.
PMID- 29635878
TI - In Praise of Value-Interrogating Sociology.
PMID- 29635879
TI - Baseline symptom severity and therapeutic success in a large prospective trial of
sacral neuromodulation therapy for overactive bladder patients.
AB - INTRODUCTION: The InSite trial is a prospective, multicenter post-approval study
of subjects receiving sacral neuromodulation (SNM) therapy with the InterStim(r)
System. Enrolled subjects had bothersome symptoms of overactive bladder (OAB).
The purpose of this analysis was to determine if severity of baseline symptoms
had an impact on clinical outcomes. METHODS: For device implant, therapeutic
success was defined as a >=50% improvement in average leaks/day, or in voids/day
or a return to normal voiding frequency. Groups were dichotomized into less
versus more severe based on median number of leaks and voids. Subjects were
grouped as less severe <2 leaks/day for UI; <11 voids/day for UF and more severe
>=2 leaks/day for UI; >=11 voids/day for UF. Therapeutic success at 12 and 24
months were compared between groups. RESULTS: Three hundred and forty subjects
completed test stimulation and 272 (80%) subjects received a full system implant.
On average UI subjects had 1.3 leaks/day in the less severe group and 4.5
leaks/day in the more severe group. UI success rates were not statistically
different between severity groups at 12 months or 24 months). At baseline, on
average UF subjects had 9.4 voids/day for the less severe group and 15.1
voids/day for the more severe group. UF success rates were not statistically
different between severity groups at 12 months or 24 months. CONCLUSION: Data
evaluating efficacy based on symptom severity demonstrates that SNM is effective
in treating both less severe and more severely affected groups for both UI and UF
at 12 and 24 months.
PMID- 29635880
TI - Avoiding or enduring painful sex? A prospective study of coping and psychosexual
function in vulvovaginal pain.
AB - BACKGROUND: Recurring vulvovaginal pain is common, with evident effects on
affected women's lives. Little is known about how affected women cope with
painful sexual activities and how coping relates to pain intensity and
psychosexual functioning over time. This prospective study explored the impact of
avoidance and endurance on sexual function over time. Additionally, patterns of
coping were studied on an individual level to increase knowledge about coping and
its relation to psychosexual functioning. METHODS: One hundred and seventeen
women, 18-35 years old, with recurring vulvovaginal pain answered questionnaires
at two measurement points, five months apart, assessing avoidance and endurance
coping, pain intensity and psychosexual functioning. A multiple regression model
explored the predictive value of avoidance and endurance on sexual function over
time. Cluster analyses investigated patterns of coping and stability within the
clusters. These subgroups were compared on psychosexual outcomes. RESULTS:
Avoidance at baseline was the only significant predictor of sexual function five
months later. Distinct and stable subgroups with different patterns of coping
were identified, where avoidance and endurance coping were used both separately
and combined. Women who both avoided and endured had the most unfavourable
outcomes in terms of psychosexual functioning. CONCLUSIONS: Avoidance of sexual
activities was related to reduced sexual function over time, which calls for
attention and clinical interventions targeting avoidance. Additionally, women who
both avoid and endure sexual activities despite pain possibly need tailored
interventions, as women with this coping pattern reported the lowest levels of
psychosexual functioning. SIGNIFICANCE: In this prospective study, avoidance of
sexual activities predicted sexual function over time, when controlling for pain
intensity. Subgroups of women using distinct patterns of coping were identified.
Those who both avoided and endured had the lowest levels of psychosexual
functioning.
PMID- 29635881
TI - I will take my heart failure 'lactate-free' please.
PMID- 29635882
TI - Identification of genes related to chlamydospore formation in Clonostachys rosea
67-1.
AB - Chlamydospores are specific structures that are of great significance to the
commercialization of fungal biopesticides. To explore the genes associated with
chlamydospore formation, a biocontrol fungus Clonostachys rosea 67-1 that is
capable of producing resistant spores under particular conditions was
investigated by transcriptome sequencing and analysis. A total of 549,661,174
clean reads were obtained, and a series of differentially expressed genes
potentially involved in fungal chlamydospore formation were identified. At 36 hr,
67 and 117 genes were up- and downregulated in C. rosea during chlamydospore
production, compared with the control for conidiation, and 53 and 24 genes were
up- and downregulated at 72 hr. GO classification suggested that the
differentially expressed genes were related to cellular component, biological
process, and molecular function categories. A total of 188 metabolism pathways
were linked to chlamydospore production by KEGG analysis. Sixteen differentially
expressed genes were verified by reverse transcription quantitative PCR, and the
expression profiles were consistent with the transcriptome data. To the best of
our knowledge, it is the first report on the genes associated with chlamydospore
formation in C. rosea. The results provide insight into the molecular mechanisms
underlying C. rosea sporulation, which will assist the development of fungal
biocontrol agents.
PMID- 29635883
TI - A person-centred approach to family carer needs assessment and support in
dementia community care in Western Australia.
AB - This feasibility study aimed to identify and address the support needs of family
carers (FCs) of people living with dementia and to assess whether the use of the
Carer Support Needs Assessment Tool (CSNAT) intervention in home-based care was
acceptable and relevant to FCs. The CSNAT intervention comprised two FC support
needs assessment visits, 7 weeks apart, plus associated actions addressing
prioritised needs by the Client Care Advisors of a community care service in
Western Australia in 2015. Telephone interviews were conducted with FCs on their
experience using the CSNAT at the end of the intervention. Twenty-one FCs were
involved in the intervention and 15 of them completed the feedback interviews.
Care recipients had moderate to severe dementia. The top five support needs
reported by FCs were: having time to yourself in the day; knowing what to expect
in the future; practical help in the home; looking after your own health and
dealing with your feelings and worries. Three themes summarised their experience:
a straightforward structured approach; awareness, acknowledgement and acceptance
of their situation; and provision of support. FCs appreciated the opportunity to
be heard and acknowledged, to have their practical and psychosocial support needs
facilitated, to identify what is important to them and to receive a response in a
timely manner. The CSNAT approach offered a structured carer-led, person-centred,
supportive intervention that facilitated discussion between the family carer and
the service provider about support needs and strategies to address them.
PMID- 29635884
TI - Deposition of inhaled nanoparticles is reduced in subjects with COPD and
correlates with the extent of emphysema: proof of concept for a novel diagnostic
technique.
AB - BACKGROUND: The diagnosis of chronic obstructive pulmonary disease (COPD) is
often based on spirometry, which is not sensitive to early emphysema. We have
recently described a method for assessing distal airspace dimensions by measuring
recovery of nanoparticles in exhaled air after a single-breath inhalation
followed by breath-hold. Recovery refers to the non-deposited particle fraction.
The aim of this study was to explore differences in the recovery of exhaled
nanoparticles in subjects with COPD and never-smoking controls. A secondary aim
was to determine whether recovery correlates with the extent of emphysema.
METHOD: A total of 19 patients with COPD and 19 controls underwent three repeats
of single-breath nanoparticle inhalation followed by breath-hold. Particle
concentrations in the inhaled aerosol, and in an alveolar sample exhaled after
breath-hold, were measured to obtain recovery. FINDINGS: The patients with COPD
had a significantly higher mean recovery than controls, 0.128 +/- 0.063 versus
0.074 +/- 0.058; P = 0.010. Also, recovery correlated significantly with computed
tomography (CT) densitometry variables (P<0.01) and diffusing capacity for carbon
monoxide (DL,CO ; P = 0.002). INTERPRETATION: Higher recovery for emphysema
patients, relative to controls, is explained by larger diffusion distances in
enlarged distal airspaces. The nanoparticle inhalation method shows potential to
be developed towards a tool to diagnose emphysema.
PMID- 29635885
TI - Fused Isoindigo Ribbons with Absorption Bands Reaching Near-Infrared.
AB - Through fusing isoindigo (IID) units at 6,7;6',7'-positions, a series of new near
infrared (NIR) absorbing and stable ribbon-like conjugated molecules, namely
nIIDs in which n represents the number of IID units, have been synthesized. The
optical band gaps of the molecules are lowered from 2.03 eV of 1IID to 1.12 eV of
6IID with the increase of the conjugation length. 3IID, 4IID, and 6IID have
strong absorption in the NIR region and exhibit photothermal conversion
efficiencies of greater than 50 % under laser irradiation at lambda=808 nm.
PMID- 29635886
TI - Evaluation of the association between strong ion acid-base disturbances and
mortality in dogs: a retrospective study.
AB - Acid-base abnormalities are frequently encountered in veterinary emergency and
critical care, but information regarding the prognostic value of these findings
is limited. Several systems for analysing acid-base disturbances have been
reported, but the prognostic abilities of these systems have not been compared in
dogs. The objectives of this retrospective study were to determine if the
commonly used acid-base interpretation methods (Henderson-Hasselbalch, Stewart
and semi-quantitative) have prognostic value, and to compare the performance of
the three methods. Electronic medical records were searched to create a database
containing point-of-care blood-gas, electrolyte and serum chemistry values for
1024 dogs assessed at a university teaching hospital. Dogs with contemporaneous
blood-gas analysis, blood lactate and serum biochemistry samples were eligible
for study, and only the first recorded analyses for each patient visit were
included. Components of the Henderson-Hasselbalch, Stewart and semi-quantitative
methods were calculated. To assess prognostic ability and to compare analysis
system performance, receiver-operating characteristic (ROC) curves for survival
to hospital discharge were created. Of the 1024 dogs identified, case fatality
rate was 23.8%. Area under the ROC curve did not exceed 0.63 for any calculated
variable. Performance of all three analysis systems was similar. While some acid
base abnormalities identified were associated with mortality, no individual
abnormality or system output yielded sensitive and specific cut-off values for
mortality prediction, and no interpretation method outperformed the others. This
study suggests that initial acid-base abnormalities have limited prognostic
utility and that various analysis systems can be used to assess acid-base
disturbances in critically ill dogs.
PMID- 29635887
TI - Associations between maternal mental health and early child wheezing in a South
African birth cohort.
AB - BACKGROUND: Wheezing in early childhood is common and has been identified in high
income countries (HIC) as associated with maternal antenatal or postnatal
psychosocial risk factors. However, the association between maternal mental
health and childhood wheezing has not been well studied in low and middle-income
countries (LMIC), such as South Africa. METHODS: A total of 1137 pregnant women
over 18 year old, between 20 and 28 weeks' gestation, and attending either of two
catchment area clinics were enrolled in a South African parent study, the
Drakenstein Child Health Study (DCHS). Psychosocial risk factors including
maternal depression, psychological distress, early adversity, and intimate
partner violence (IPV), were measured antenatally and postnatally by validated
questionnaires. Two outcomes were evaluated: Presence of wheeze (at least one
episode of child wheeze during the first 2 years of life); and recurrent wheeze
(two or more episodes of wheezing in a 12-month period). Logistic regression was
used to investigate the association between antenatal or postnatal psychosocial
risk factors and child wheeze, adjusting for clinical and socio-demographic
covariates. RESULTS: Postnatal psychological distress and IPV were associated
with both presence of wheeze (adjusted OR = 2.09, 95%CI: 1.16-3.77 and 1.63,
95%CI: 1.13-2.34, respectively), and recurrent child wheeze (adjusted OR = 2.26,
95%CI: 1.06-4.81 and 2.20, 95%CI: 1.35-3.61, respectively). CONCLUSION: Maternal
postnatal psychological distress and IPV were associated with wheezing in early
childhood. Thus, screening and treatment programs to address maternal
psychosocial risk factors may be potential strategies to reduce the burden of
childhood wheeze in LMICs.
PMID- 29635888
TI - Repetitive and compulsive-like behaviors lead to cognitive dysfunction in
Disc1Delta2-3/Delta2-3 mice.
AB - Disrupted-in-schizophrenia 1 (Disc1) is a key molecular driver for the biology of
mental diseases. In order to investigate its role in brain function, we
previously generated mice lacking exons 2 and 3 of Disc1 on a C57BL/6J genetic
background (Disc1Delta2-3/Delta2-3 mice), which have a deficiency of the full
length Disc1 protein. In the present study, we examined the role of Disc1 in
cognitive function using a touchscreen-based visual discrimination (VD) task in
which mice had to discriminate 1 of 2 stimuli simultaneously displayed on the
screen and received a liquid reward. Disc1Delta2-3/Delta2-3 mice showed impaired
performance in the VD task, and this was mainly attributed to the perseverative
response being significantly stronger than that in wild-type (WT) mice.
Furthermore, the numbers of marbles buried in the marble burying test and
nestlets shredded in the nestlet shredding test by Disc1Delta2-3/Delta2-3 mice
were significantly higher than those by WT mice, suggesting
perseverative/compulsive behaviors by Disc1Delta2-3/Delta2-3 mice. A treatment
with clozapine ameliorated behavioral deficits in the VD and marble burying
tasks. c-Fos expression was significantly stronger in the dorsomedial striatum
(DMS), but not the dorsolateral striatum (DLS) after the first VD session in
Disc1Delta2-3/Delta2-3 mice than in WT mice. The treatment of mice that had
previously expressed hM3Dq in the DMS with clozapine-N-oxide (CNO) impaired
performance in the VD task. These results suggest that cognitive impairments
accompanied by perseverative/compulsive behaviors in Disc1Delta2-3/Delta2-3 mice
are associated with hyperactivity of the DMS.
PMID- 29635889
TI - Neural architecture in lymphoid organs: Hard-wired antigen presenting cells and
neurite networks in antigen entrance areas.
AB - INTRODUCTION: Recently, we found abundant innervation of antigen presenting cells
that were reached and enclosed by single neurites. These neurally hard-wired
antigen presenting cells (wAPC) could be observed in the T-cell zone of
superficial cervical lymph nodes of rats and other mammalians, including humans.
METHODS: As a consequence, we investigated lymph nodes at many different
anatomical positions as well as all primary and secondary lymphoid organs (SLO)
in rodents for a similar morphology of innervation regarding antigen presenting
cells known in those tissues. RESULTS: As a result, we confirmed wAPC in lymph
nodes independent from their draining areas and anatomical positions but also in
all other T-cell zones of lymphoid organs, like Peyer's patches, NALT and BALT,
as well as in the thymic medulla. Other cells were innervated in a similar
fashion but with seemingly missing antigen presenting capacity. Both types of
innervated immune cells were observed as being also present in the dermis of the
skin. Only in the spleen wAPC could not be detected. Beyond this systematic
finding, we also found another regular phenomenon: a dense network of neurites
that stained for neurofilament always in antigen entrance areas of lymphoid
organs (subsinoidal layer of lymph nodes, subepithelial dome of Peyer's patches,
subsinoidal layer of the splenic white pulp, margins of NALT and BALT). Lastly,
also thymic epithelial cells (TEC) restricted to the corticomedullary junction of
the thymus showed similar neurofilament staining. CONCLUSIONS: Therefore, we
propose much more hard-wired and probably afferent connections between lymphoid
organs and the central nervous system than is hitherto known.
PMID- 29635890
TI - MiR-21 attenuates apoptosis-triggered by amyloid-beta via modulating PDCD4/
PI3K/AKT/GSK-3beta pathway in SH-SY5Y cells.
AB - Alzheimer's disease (AD) remains the most common neurodegenerative disease with
amyloid beta (Abeta) formatted and accumulated. Recently, microRNAs have been
identified as significant regulators in neurogenesis of the central nervous
system (CNS). However, the biological role of miR-21 in AD remains unclear. The
purpose of our study was to investigate the mechanism of miR-21 in AD. AD model
was established using 20 MUM Abeta1-42 in SH-SY5Y cells. Abeta1-42 can induce
cell apoptosis via increasing Bax and decreasing Bcl-2 protein levels. Meanwhile,
we observed that miR-21 was remarkably elevated by indicated Abeta1-42 in vitro.
Subsequently, miR-21 mimics were transfected into SH-SY5Y cells and it was found
that miR-21 can inhibit cell apoptosis induced by Abeta1-42. Programmed cell
death protein 4 (PDCD4), an important tumor suppressor in various cancers has
been reported to prevent AKT activation. The phosphatidylinositol 3-kinase
(PI3K)/AKT/GSK-3beta pathway can release a survival signal to protect from
multiple injuries. Interestingly, it was found that PDCD4 was involved in miR-21
repressed cell apoptosis in AD models. miR-21 mimics can increase the PI3K, AKT
and GSK-3beta activity while PDCD4 ovexexpression inhibited their activity
respectively. Moreover, knockdown of PDCD4 can rescue PI3K/AKT/GSK-3beta pathway
in SH-SY5Y cells. Taken these together, it was suggested by our data that miR-21
can exert protective roles in AD, which might be dependent on PDCD4/PI3K/AKT/GSK
3beta signaling pathway in vitro.
PMID- 29635891
TI - Phloretin either alone or in combination with duloxetine alleviates the STZ
induced diabetic neuropathy in rats.
AB - Diabetic neuropathy (DN) is one of most disabling disorder complicating diabetes
mellites (DM), which affects more than 50% of the all diabetic patients during
the disease course. Duloxetine (DX) is one of the first-line medication that
approved by FDA for management of DN, nevertheless, it is too costly and has many
adverse effects. Recently, phloretin (PH) exhibited powerful euglycemic,
antihyperlipidemic, antioxidant, and anti-inflammatory activities. Therefore, we
investigated the in vivo possible antineuropathic activity of phloretin, besides,
its modulating effects on duloxetine potency, in a rat model of DN. Twelve-week
old male Wistar rats received a single intraperitoneal injection of 55 mg/kg STZ
to induce DM. Either DX (30 or 15 mg/kg dissolved in distilled water), PH (50 0r
25 mg/kg dissolved in 0.5% DMSO) or a combination of 15 mg/kg DX and 25 mg/kg PH,
used daily orally for 4 weeks to treat DN, starting from the end of the 4th week
of DM development, when DN confirmed. Our finding showed that both DX and PH dose
dependently improved behavioral parameters (with the superiority of DX), sciatic
nerve tissue antioxidant state, and suppressed tissue inflammatory cytokine,
besides, they abrogated the tissue histopathological changes (with the
superiority of PH). Moreover, DX augmented the DM metabolic disturbance and
hepatic dysfunction, however, PH effectively amended these disorders.
Furthermore, the low-dose combination of both, had the merits of both
medications, with the alleviation of their disadvantages. Therefore, phloretin
could be a promising agent in the management of DN either alone or in combination
with duloxetine.
PMID- 29635892
TI - Animal models and natural products to investigate in vivo and in vitro
antidiabetic activity.
AB - Diabetes mellitus is a chronic disease which has high prevalence. The deficiency
in insulin production or impaired insulin function is the underlying cause of
this disease. Utilization of plant sources as a cure of diabetes has rich
evidence in the history. Recently, the traditional medicinal plants have been
investigated scientifically to understand the underlying mechanism behind
antidiabetic potential. In this regard, a substantial number of in vivo and in
vitro models have been introduced for investigating the bottom-line mechanism of
the antidiabetic effect. A good number of methods have been reported to be used
successfully to determine antidiabetic effects of plant extracts or isolated
compounds. This review encompasses all the possible methods with a list of
medicinal plants which may contribute to discovering a novel drug to treat
diabetes more efficaciously with the minimum or no side effects.
PMID- 29635893
TI - MicroRNA-30b promotes lipopolysaccharide-induced inflammatory injury and
alleviates autophagy through JNK and NF-kappaB pathways in HK-2 cells.
AB - BACKGROUND: Acute kidney injury (AKI) is an abrupt loss of kidney function.
MicroRNA-30b (miR-30b) has been reported to be involved in the inflammatory
reaction of a variety of diseases. However, the role of miR-30b in AKI remains
unknown. In this research, we aimed to investigate the role of miR-30b in
lipopolysaccharide (LPS)-induced kindey inflammatory injury in vitro and in vivo.
METHODS: In vitro, after miR-30b mimic/inhibitor transfection and/or LPS
treatment, the viability, apoptosis, autophagy and inflammatory cytokines
releases, as well as activation of c-Jun-N-terminal kinase (JNK) and nuclear
factor-kappa B (NF-kappaB) pathways were detected by cell counting kit-8 (CCK-8)
assay, flow cytometry, qRT-PCR, enzyme-linked immunosorbent assay (ELISA) and
western blot, respectively. In vivo, after LPS treatment and/or anti-miR-30b
administration, the levels of creatinine, the activities of alanine
aminotransferase (ALT) and histologic scores, as well as concentrations of
inflammatory cytokines were assessed by creatinine assay kit, ALT assay kit and
ELISA, respectively. RESULTS: LPS inhibited HK-2 cell viability and induced HK-2
cell apoptosis, autophagy and the releases of inflammatory cytokines.
Overexpression of miR-30b promoted LPS-induced HK-2 cell viability inhibition,
cell inflammatory cytokines releases, cell apoptosis induction and activation of
JNK and NF-kappaB signaling pathways, but inhibited LPS-induced HK-2 cell
autophagy. Suppression of miR-30b had opposite effects. Moreover, suppression of
miR-30b alleviated the LPS-induced kidney injury in mice model by decreasing
creatinine level, ALT activity and histologic scores, as well as concentrations
of inflammatory cytokines. CONCLUSION: miR-30b participated in the LPS-induced
kindey inflammatory injury in vitro and in vivo.
PMID- 29635894
TI - Pterostilbene inhibits MTA1/HDAC1 complex leading to PTEN acetylation in
hepatocellular carcinoma.
AB - PURPOSE: The aim of this study is to investigate the inhibition of cancer growth
by pterostilbene through Metastasis-Associated Protein 1 (MTA1) and the histone
deacetylase 1 (HDAC1) complex in hepatocellular carcinoma (HCC). METHODS: We
investigate the antitumor effects of pterostilbene (PTER) in HCC. The SMMC-7721
hepatoma cell line was cultured and treated with PTER for different time
depending on the experiment. After treatment, we tested the cellular expression
of proteins by Western blot and the expression of MTA1 mRNA by real-time PCR. And
the immunoprecipitation was performed to confirm the acetylation in PTEN. Animal
models have been established to confirm the anti-cancer effects of PTER. RESULTS:
PTER treatment could downregulate the expression of MTA1, and HDAC1 and elevates
the Ac-PTEN ratio in tumors. The results suggest that PTER can decrease the
expression of MTA1 and destabilize the MTA1/HDAC1 complex allowing
acetylation/activation of PTEN on Lys402 site. The expression of MTA1 may be
linked to cell apoptosis and invasion in HCC. CONCLUSION: We demonstrated that
PTER suppressed the growth, and invasion of HCC and was effective in regulating
the levels of the MTA1/HDAC1/NuRD complex, promoting PTEN acetylation and
apoptosis in HCC. Our findings suggest that the novel epigenetic nature of PTER
anticancer activity opens up new avenues for primary chemoprevention, as well as
anticancer and antimetastatic treatment.
PMID- 29635895
TI - Flavonoids as acetylcholinesterase inhibitors: Current therapeutic standing and
future prospects.
AB - BACKGROUND: Acetylcholinesterase (AChE), a serine hydrolase, is primarily
responsible for the termination of signal transmission in the cholinergic system,
owing to its outstanding hydrolyzing potential. Its substrate acetylcholine
(ACh), is a neurotransmitter of the cholinergic system, with a predominant effect
on motor neurons involved in memory formation. So, by decreasing the activity of
this enzyme by employment of specific inhibitors, a number of motor neuron
disorders such as myasthenia gravis, glaucoma, Lewy body dementia, and
Alzheimer's disease, among others, can be treated. However, the current-available
AChE inhibitors have several limitations in terms of efficacy, therapeutic range,
and safety. SCOPE AND APPROACH: Primarily due to the non-compliance of current
therapies, new, effective and safe inhibitors are being searched for, especially
those which act through multiple receptor sites, but do not elicit undesirable
effects. In this regard, the evaluation of phytochemicals such as flavonoids, can
be a rational approach. The therapeutic potential of flavonoids has already been
recognized agaisnt several ailments. This review deals with various plant-derived
flavonoids, their preclinical potential as AChE inhibitors, in established
assays, possible mechanisms of action, and structural activity relationship
(SAR). RESULTS AND CONCLUSIONS: Subsequently, a number of plant-derived
flavonoids with outstanding efficacy and potency as AChE inhibitors, the
mechanistic, their safety profiles, and pharmacokinetic attributes have been
discussed. Through derivatization of these reported flavonoids, some limitation
in efficacy or pharmacokinetic parameters can be addressed. The selected
flavonoids ought to be tested in clinical studies to discover new neuro
therapeutic candidates.
PMID- 29635896
TI - Helix aspersa maxima mucus exhibits antimelanogenic and antitumoral effects
against melanoma cells.
AB - Snail secretion is currently revolutionizing the world of cosmetics and human
skin care. The efficacy of snail secretion in wounds healing has been proven both
in vitro and by clinical studies. However, the potential anti-tumor effect of
snail secretion was poorly investigated. In this report, our in vitro study
showed that Helix aspersa maxima species snail slime (SS) could not only treat
melanogenesis but also endowed with anti-tumoral activity against human melanoma
cells. Indeed, SS reduced melanin content and tyrosinase activity on B16F10 cells
with IC50 values of 288 MUg/mL and 286 MUg/mL, respectively, without altering
cell viability. This effect was also observed, at a lesser extent, on human
melanoma IGR-39 and SK-MEL-28 cell lines. On another hand, SS specifically
inhibited the viability of IGR-39 and SK-MEL-28 cells associated to an apoptotic
effect highlighted by PARP cleavage. It is worth to note that SS did not affect
the viability of B16F10 cells and non tumorigenic HaCaT cells. Interestingly,
this extract was found to inhibit migration and invasion of both human melanoma
cells through reducing the expression of Matrix metalloproteinase MMP2. Snail
slime also exerted a high inhibitory effect on IGR-39 cell adhesion through
blocking the function of alpha2beta1 (45%), alphavbeta3 (38%) integrins and by
reducing the expression levels of alphav and beta1 integrins. The presented
results shed light on the potential anti-melanoma effect of SS and support its
use against skin diseases.
PMID- 29635897
TI - Immunomodulatory activity of polysaccharide from Helicteres angustifolia L. on
4T1 tumor-bearing mice.
AB - To evaluate the in vivo immunomodulatory activity of the crude polysaccharide
from Helicteres angustifolia L. (HACP), a 4T1 breast tumor model in BALB/c mice
was used in this study. After tumor incubation for 6 days, mice were orally
administered with 100, 200, and 300 mg/kg of HACP for 15 days. The results show
that HACP administration resulted in a remarkable immunomodulatory effect
attributable to the increased spleen and thymus indices, unregulated CD4+/CD8+
ratios in spleen lymphocytes, and the augmentation of IL-1beta, IFN-gamma, and
TNF-alpha productions in the serum of tumor-bearing mice. The increased immunity
resulted in a significant reduction in the tumor weight in 100, 200, and 300
mg/kg of HACP treatment groups, achieving inhibition rates of 34.58 +/- 10.20%,
57.80 +/- 8.65% and 67.71 +/- 5.80%, respectively. In addition, a reduced lung
metastasis was also detected in the HACP treatment groups. These findings, for
the first time, provide scientific evidence that HACP can improve the immune
response in 4T1 tumor-bearing mice, which plays a major role in the antitumor
effect. Thus, HACP is prospectively valuable to be developed as new products with
immunomodulatory activity and used for the treatment of breast cancer.
PMID- 29635898
TI - Heme oxygenase byproducts variably influences myocardial and autonomic
dysfunctions induced by the cyclosporine/diclofenac regimen in female rats.
AB - We recently reported that exposure to cyclosporine (CSA) plus diclofenac causes
hypertension and impairs left ventricular (LV) and cardiac autonomic functions in
female rats. Here, we tested the hypothesis that these effects could be mitigated
by facilitated heme oxygenase (HO) signaling. Experiments were performed in
female rats to assess the effects of 10-day treatment with CSA (25
mg/kg/day)/diclofenac (1 mg/kg/day) regimen on cardiovascular functions in
absence and presence of maneuvers that upregulate HO or its enzymatic products.
The CSA/diclofenac-induced hypertension and impairment in cardiac sympathovagal
balance (i.e. reduced low-frequency/high-frequency spectral ratio) were blunted
upon concurrent treatment with hemin (HO-1 inducer), tricarbonyldichlororuthenium
(II) dimer (CORM-2, carbon monoxide-releasing molecule), or bilirubin. While none
of the latter treatments affected the CSA/diclofenac-evoked decrease in
isovolumic relaxation constant (Tau, a measure of diastolic function), the
increased LV contractility (dP/dtmax) and attenuated reflex bradycardia in
CSA/diclofenac-treated rats was abolished by bilirubin only. Paradoxically, the
CSA/diclofenac-evoked attenuation in reflex tachycardia was improved in presence
of hemin or CORM-2, but not bilirubin. The favorable hemin effects were abrogated
after inhibition of HO (ZnPP) or nitric oxide synthase (NOS, l-NAME). These
finding highlights NOS-dependent modulatory roles for HO and its enzymatic
products in improving the worsened cardiovascular profile in CSA/diclofenac
treated female rats.
PMID- 29635899
TI - The effects of Ciji-Hua'ai-Baosheng on immune function of mice with H22
hepatocellular carcinoma receiving chemotherapy.
AB - BACKGROUND: Ciji-Hua'ai-Baosheng Decoction (CHBD) is a traditional Chinese
formula that may attenuate the toxicity and side-effects of chemotherapy. The
formula may also prolong the life of cancer patients. Whether CHBD should be
employed as adjunctive therapy for cancer patients receiving chemotherapy has yet
to be determined as does the mechanism whereby CHBD exerts its beneficial
effects. AIM OF THE STUDY: To document the potential effects of CHBD on tumor
growth and immune function in a murine model of hepatocellular carcinoma (HCC)
receiving chemotherapy. MATERIALS AND METHODS: Sixty Kunming mice were injected
subcutaneously with H22 hepatoma cells in the right anterior armpit. After seven
days, the mice with formed tumors were injected with Cytoxan (CTX) (200 mg/kg) to
establish the chemotherapy model. These mice were randomly divided into 5 groups:
model (untreated controls), control (CTX,33.33 mg/kg), and high CHBD (H) (117
g/kg), moderate CHBD (M) (58.5 g/kg) and low CHBD (L) (29.25 g/kg) treated
groups. Tumor weights and inhibitory ratio (decrease in tumor dimensions),
histology of tumor, colon, spleen and liver, and biochemical tests of liver and
kidney function were documented after 10 days. Serum and tumor IL-2, IFN-gamma,
IL-6, and TNF-alpha levels were determined by enzyme-linked immunosorbent assay
(ELISA) and Western blot respectively. The potential bioactive compounds in CHBD
were characterized by UHPLC-MS. RESULTS: Although tumor weights were decreased in
CTX alone and CHBD (H) and CHBD (M) groups (-66%, -41% and -25% respectively),
tumor cell density was reduced to the greatest extent in the CHBD (H) group. CHBD
had no evident effects on liver and kidney function. CTX-induced colon
inflammation and decrease in spleen lymphocytes were attenuated with CHBD
treatment. CHBD increased serum IL-2, IFN-gamma and TNF-alpha, but decreased IL-6
levels in serum and tumor tissue. UHPLC-MS analysis of CHBD revealed the presence
of 11 bioactive compounds. CONCLUSIONS: In this murine model of HCC receiving
chemotherapy, CHBD inhibited tumor growth, improved immune function and pro
inflammatory cytokine responses while attenuating CTX-associated side effects.
PMID- 29635900
TI - Pharmacological inhibition of TRPV4 channel suppresses malignant biological
behavior of hepatocellular carcinoma via modulation of ERK signaling pathway.
AB - TRPV4 (transient receptor potential vanilloid 4), a member of the TRP
superfamily, has been reported to correlate with several different forms of
cancers. However, the role of TRPV4 in human hepatocellular carcinoma (HCC)
remains unclear. The present study demonstrated that elevated expression of TRPV4
was shown in HCC tumor tissues when compared with paired non-tumoral livers both
in protein and mRNA levels. Furthermore, the enhanced expression of TRPV4 was
highly associated with histological grade (P = 0.036) and the number of tumors (P
= 0.045). Pharmacological inhibition of TRPV4 channels in HCC cells with the
specific antagonist HC-067047 suppressed cell proliferation, induced apoptosis
and decreased the migration capability by attenuating the epithelial-mesenchymal
transition (EMT) process in vitro. The p-ERK expression was apparently repressed
after treatment with the TRPV4 antagonist, further blockade of the ERK pathway
with U0126 could significantly aggravate HCC cells apoptosis. In NOD-SCID mouse
xenograft models, intraperitoneal injection of HC-067047 could obviously suppress
tumor growth and induce apoptosis in vivo. Together, our studies showed that the
antitumor effects caused by TRPV4 channel inhibition in HCC cell lines might be
attributed to the suppression of EMT process and inactivation of p-ERK which
induced subsequent cell apoptosis. Thus, pharmacological inhibition of TRPV4
channel may be an option for HCC treatment.
PMID- 29635901
TI - Subacute ghrelin administration inhibits apoptosis and improves ultrastructural
abnormalities in remote myocardium post-myocardial infarction.
AB - This study investigated the effect of ghrelin on cardiomyocytes function,
apoptosis and ultra-structural alterations of remote myocardium of the left
ventricle (LV) of rats, 21 days post myocardial infarction (MI). Rats were
divided into 4 groups as a control, a sham-operated rats, a sham
operated+ghrelin, an MI + vehicle and an MI + ghrelin-treated rats. MI was
induced by LAD ligation and then rats were recievd a concomitant doe of either
normal saline as a vehicle or treated with ghrelin (100 MUg/kg S.C., 2x/day) for
21 consecutive days. Ghrelin enhanced myocardial contractility in control rats
and reversed the decreases in myocardial contractility and the increases in the
serum levels of CK-MB and LDH in MI-induced rats. Additionally, it inhibited the
increases in levels of Bax and cleaved caspase 3 and increased those for Bcl-2 in
the remote myocardium of rat's LV, post-MI. At ultra-structural level, while
ghrelin has no adverse effects on LV myocardium obtained from control or sham
treated rats, ghrelin post-administration to MI-induced rats reduced vascular
formation, restored normal microfilaments appearance and organization, preserved
mitochondria structure, and prevented mitochondrial swelling, collagen deposition
and number of ghost bodies in the remote areas of their LV. Concomitantly, in
remote myocardium of MI-induced rats, ghrelin enhanced endoplasmic reticulum
intracellular organelles count, decreased number of atrophied nuclei and
phagocytes, diminished the irregularity in the nuclear membranes and inhibited
chromatin condensation. In conclusion, in addition to the physiological,
biochemical and molecular evidence provided, this is the first study that
confirms the anti-apoptotic effect of ghrelin in the remote myocardium of the LV
during late MI at the level of ultra-structural changes.
PMID- 29635902
TI - New therapeutics from Nature: The odd case of the bacterial cytotoxic necrotizing
factor 1.
AB - Natural products may represent a rich source of new drugs. The enthusiasm toward
this topic has recently been fueled by the 2015 Nobel Prize in Physiology or
Medicine, awarded for the discovery of avermectin and artemisinin, natural
products from Bacteria and Plantae, respectively, which have targeted one of the
major global health issues, the parasitic diseases. Specifically, bacteria either
living in the environment or colonizing our body may produce compounds of
unexpected biomedical value with the potentiality to be employed as therapeutic
drugs. In this review, the fascinating history of CNF1, a protein toxin produced
by pathogenic strains of Escherichia coli, is divulged. Even if produced by
bacteria responsible for a variety of diseases, CNF1 can behave as a promising
benefactor to mankind. By modulating the Rho GTPases, this bacterial product
plays a key role in organizing the actin cytoskeleton, enhancing synaptic
plasticity and brain energy level, rescuing cognitive deficits, reducing glioma
growth in experimental animals. These abilities strongly suggest the need to
proceed with the studies on this odd drug in order to pave the way toward
clinical trials.
PMID- 29635903
TI - The dialyzable leukocyte extract TransferonTM inhibits tumor growth and brain
metastasis in a murine model of prostate cancer.
AB - Prostate cancer (PCa) is the second most frequently diagnosed cancer in men
worldwide. Dialyzed Leukocyte Extracts (DLEs) are heterogeneous mixtures of low
molecular-weight peptides that improve clinical responses in various diseases.
Here, we analyzed the effects of TransferonTM, a commercial DLE with
characterized active pharmaceutical ingredient and proven batch-to-batch
reproducibility, in preclinical models of PCa. We employed v-Src-transformed
murine prostate epithelial (PEC-Src) cells, which recapitulate the
transcriptional profiles in human PCa, can be grown in immunocompetent mice, and
consistently form bone and brain metastases. In vitro, TransferonTM did not
induce cytotoxicity nor alterations in migration /invasion of PEC-Src cells. In
vivo, TransferonTM reduced metastatic dissemination after intracardiac injection
of PEC-Src and inhibited tumor growth of subcutaneous isotransplants. The
antineoplastic effect of TransferonTM correlated with changes in tumor
infiltration, increased serum concentrations of IL-12 and CXCL1, and reduced
levels of VEGF. Our results suggest that the antineoplastic effect produced by
TransferonTM is due to its immunomodulatory activity and not by a direct effect
on cancer cells, and indicate that TransferonTM could be beneficial as adjuvant
therapy in PCa patients.
PMID- 29635904
TI - GDPD5, a target of miR-195-5p, is associated with metastasis and chemoresistance
in colorectal cancer.
AB - PURPOSE: Successful treatment of colorectal cancer (CRC) is greatly impeded by
metastasis and chemoresistance, particularly to 5-fluoruracil (5-Fu), one of the
staples of clinical intervention in advanced CRC. The purpose of this study is to
determine whether the gene glycerophosphodiester phosphodiesterase domain
containing 5 (GDPD5) contributes to CRC cell metastasis and 5-Fu resistance.
Ultimately, we evaluated the ability of microRNA 195-5p (miR-195-5p) to reduce
GDPD5 expression and thus to enhance CRC cell susceptibility to chemotherapy.
METHODS: We obtained human primary CRC and adjacent normal tissues from 15
patients who underwent CRC resection. Quantitative real-time polymerase chain
reactions (qRT-PCR), western blot, and immunohistochemistry (IHC) were used to
determine GDPD5 expression levels in the human CRC tissues, four CRC cell lines,
and two 5-Fu resistant CRC cell lines. To measure the impact of GDPD5 on CRC cell
chemoresistance, we silenced GDPD5 using GDPD5 siRNA and measured cell survival
and apoptosis using MTT assays and TUNEL staining, respectively. Transwell
Matrigel invasion assays were performed to explore whether GDPD5 affects the
invasion capabilities of 5-Fu resistant CRC cells. Finally, to assess the effects
of miR-195-5p on GDPD5 levels and CRC cell chemoresistance, we generated
luciferase reporter plasmids with either the wild-type 3'UTR miR-195-5p potential
binding sites or mutant binding sites of GDPD5. RESULTS: We first determined that
GDPD5 mRNA and protein are overexpressed in human primary CRC tissues as compared
to adjacent normal tissues. GDPD5 overexpression was also evident in four CRC
cell lines (Caco-2, HCT8, HCT116 and SW480), as well as in the two 5-Fu resistant
CRC cell lines that we generated (HCT116/5-Fu and SW480/5-Fu). Using MTT assays
and TUNEL staining of HCT116/5-Fu and SW480/5-Fu cells, we found that GDPD5
silencing sensitizes 5-Fu resistant CRC cells to 5-Fu. Furthermore, GDPD5
silencing in 5-Fu resistant CRC cells reduced the epithelial-to-mesenchymal
transition (EMT) and cell invasion, both of which are crucial for CRC metastasis.
We then used the bioinformatics algorithm TargetScan to identify the miRNA miR
195-5p, which targets two regions of GDPD5 3'UTR. By generating luciferase
reporter plasmids with the 3'UTR miR-195-5p binding sites, we ultimately
determined that miR-195-5p increases chemosensitivity and cell apoptosis in 5-Fu
resistant CRC cells. CONCLUSION: This study identifies the gene GDPD5 as an
effector of chemoresistance and metastasis in CRC. Furthermore, our results
demonstrate that miR-195-5p is a potent suppressor of GDPD5 and that, as such, it
significantly increases chemosensitivity and apoptosis in chemoresistant CRC
cells. This study thus not only identifies potential prognostic biomarkers of
CRC, but it also opens the possibility for incorporating miR-195-5p into current
therapeutic regimens to overcome barriers to successful CRC treatment.
PMID- 29635905
TI - Protective roles and mechanisms of polysaccharides from Dendrobium officinal on
natural aging-induced premature ovarian failure.
AB - This study was designed to investigate the pharmacological effects and mechanisms
of polysaccharides from Dendrobium officinal (DOP) on premature ovarian failure
(POF) in natural aging mice. Fifteen months old female mice (n = 28) and young
adult female mice (n = 14, 6 weeks) were used. DOP (70 mg/kg) was administrated
to mice by oral gavage for 10 weeks and the protection effects of DOP on ovaries
were investigated in vivo. The results showed that DOP reduced body weight, ovary
and uterus/body weight parameters to normal level and alleviated ovarian
pathological damage. Moreover, DOP could reduce pro-inflammatory cytokines (TNF
alpha, IL-6) and MDA levels and improve estradiol, SOD, GSH-Px, T-AOC and IL-10
levels in serum. These results suggested that DOP may alleviate the damage caused
by aging through the inhibition of the nuclear factor -kappaB (NF-kappaB) and
p53/Bcl-2-mediate signaling pathways. Moreover, we found that DOP can increase
the numbers of mitochondria and endoplasmic reticulum. Moreover, DOP increased
the numbers of different stages of follicular cells and improved mitochondrial
membrane potential in ovaries. These results indicated that DOP may relieve
ovarian damage through the protection of mitochondria in the ovaries. These
findings suggest that DOP may be a promising drug for treating POF caused by
natural aging in females.
PMID- 29635906
TI - Diterpenoid trigonoreidon B isolated from Trigonostemon reidioides alleviates
inflammation in models of LPS-stimulated murine macrophages and inflammatory
liver injury in mice.
AB - The roots of Trigonostemon reidioides, Thai medicinal plant, have long been used
as an antidote, laxative, and antiasthmatic, and also used as folk remedy for
relieving inflammatory symptoms from poisonous insect and snake bites as well as
abscesses and sprains. Here, we studied anti-inflammatory effects of a major
diterpenoid named trigonoreidon B (TR-B) isolated from T. reidioides roots in
lipopolysaccharide (LPS)-activated RAW264.7 macrophages and D-galactosamine (D
GalN)/LPS-induced inflammatory liver injury in mice. RAW264.7 cells were treated
with TR-B or other available minor diterpenoids, and cell viability was
determined by AlamarBlue. The levels of inflammatory mediators were determined by
nitrite assay, ELISA, and luminescence. NF-kappaB nuclear translocation was
investigated by indirect immunofluorescence. Expression levels were determined by
real-time PCR and Western blotting. Transaminases and caspase activities were
determined by using assay kits. Our results showed that TR-B was able to suppress
PI3K/Akt activation and inflammatory induction in LPS-activated macrophages.
These events were concomitant with TR-B's ability to hamper activated generation
of reactive oxygen species, nitric oxide, prostaglandin E2, and cytokines as well
as NF-kappaB p65 nuclear translocation. In an in vivo model of inflammatory liver
injury, an administration of TR-B protected mice from D-GalN/LPS-induced liver
injury by suppressing the elevation of serum TNF-alpha, transaminase activities,
and hepatocyte apoptosis as well as an improvement of liver histopathology.
During protection against liver damage, TR-B also prevented the loss of Akt
phosphorylation. Collectively, the results of this present study suggested that
TR-B exerted an anti-inflammatory effect via attenuating macrophage-mediated
inflammation and inflammatory liver injury in vivo. TR-B may represent a
promising lead compound for anti-inflammatory drug development.
PMID- 29635907
TI - Ameliorative effect of ursolic acid on renal fibrosis in adenine-induced chronic
kidney disease in rats.
AB - Ursolic acid (UA), an ursane-type pentacyclic triterpenoid commonly found in
apple peels and holy basil has been shown to possess many beneficial effects.
Renal fibrosis is a complication of kidney injury and associated with increased
risk of morbidity and mortality. In our previous investigation, a lupane-type
pentacyclic triterpenoid, betulinic acid (BA) was found to have protective effect
on chronic kidney disease (CKD) and renal fibrosis. This prompted us to explore
the therapeutic value of UA, a chemically related compound to BA in CKD. CKD was
induced by feeding adenine with the feed at a concentration of 0.75% for 28 days.
UA at the dose rate of 30 mg/kg in 0.5% carboxy methyl cellulose (CMC) was
administered by oral route, simultaneously with adenine feeding for 28 days.
Adenine feeding increased the kidney weight to body weight index, decreased the
kidney function due to injury as indicated by increased markers like serum urea,
uric acid, creatinine, cystatin C and neutrophil gelatinase-associated lipocalin
(NGAL) and initiated the fibrotic response in kidney by increasing the
profibrotic proteins viz. transforming growth factor-beta (TGF-beta), connective
tissue growth factor (CTGF), fibronectin and collagen. However, treatment with UA
reversed the damage induced by adenine as shown by reduced kidney injury and
fibrosis markers which was further clearly evident in histological picture
indicating the suitability of UA for use in CKD.
PMID- 29635908
TI - Possible mechanisms of prednisolone-induced osteoporosis in zebrafish larva.
AB - Glucocorticoid-induced osteoporosis (GIOP) is a serious clinical bone disease
that results from the long-term consumption of glucocorticoids or glucocorticoid
like drugs. Although many studies have attempted to determine the mechanisms of
GIOP, they are still unclear. In this study, we established a zebrafish model of
glucocorticoid-like drug-induced osteoporosis by treating larvae with
prednisolone. We then quantified the expression of a selection of extracellular
matrix (ECM)-, osteoblast-, and osteoclast-related genes. Our results showed that
at 15 days post fertilization, zebrafish larvae treated with 25 MUM prednisolone
are a suitable model for GIOP, not only owing to the decrease in robust bone mass
but also because of significant alterations in gene expression. The
quantification of the expression of ECM-, osteoblast-, and osteoclast- related
genes revealed that mmp9 and mmp13 were significantly upregulated and entpd5a,
acp5a, and sost were significantly downregulated. These genes may be a target for
future research into GIOP. Our study thus provides new insights into GIOP.
PMID- 29635909
TI - Porphyromonas gingivalis lipopolysaccharide-induced macrophages modulate
proliferation and invasion of head and neck cancer cell lines.
AB - AIM: The aim of this study was to investigate the effect of Porphyromonas
gingivalis lipopolysaccharide (LPS)-induced macrophages on head and neck squamous
cell carcinoma (HNSCC) cell line proliferation and invasion. MAIN METHODS: THP-1
monocytes were differentiated toward macrophages using 12.5 ng/ml phorbol 12
myristate 13-acetate treatment for 48 h. The expression of interleukin-6 (IL-6)
mRNA and cytokine by monocytes and macrophages was determined using real time PCR
and ELISA, respectively. The cells were analyzed for CD14 expression using
immunofluorescent labeling. The macrophages were induced using 1 MUg/ml P.
gingivalis LPS for 24 h, and the conditioned medium (CM) was collected. The
monocyte, macrophage, and LPS-induced macrophage CM were evaluated for IL-6 and
tumor necrosis factor-alpha (TNF-alpha), and nitric oxide (NO) content using
ELISA and the Griess Reagent System, respectively. Human primary (HN18, HN30, and
HN4) and metastatic (HN17, HN31, and HN12) HNSCC cell lines were treated with the
monocyte, macrophage, and LPS-induced macrophage CM. The proliferation and
invasion of the HNSCC cell lines were evaluated using MTT and modified Boyden
chamber assays, respectively. KEY FINDINGS: Macrophages demonstrated increased IL
6 and CD14 expression. The P. gingivalis LPS significantly induced macrophage NO
secretion, however, that of TNF-alpha decreased. The LPS-induced macrophages CM
inhibited HN4 proliferation. Interestingly, the LPS-induced macrophage CM
promoted invasion of all HNSCC cell lines. SIGNIFICANCE: Our data demonstrate
that P. gingivalis LPS-induced macrophages increased NO secretion. The activated
macrophage CM inhibited HN4 cell proliferation and promoted invasion of all HNSCC
cell lines.
PMID- 29635910
TI - Process evaluation and in vitro selectivity analysis of aptamer-drug polymeric
formulation for targeted pharmaceutical delivery.
AB - Targeted drug delivery is a promising strategy to promote effective delivery of
conventional and emerging pharmaceuticals. The emergence of aptamers as superior
targeting ligands to direct active drug molecules specifically to desired
malignant cells has created new opportunities to enhance disease therapies. The
application of biodegradable polymers as delivery carriers to develop aptamer
navigated drug delivery system is a promising approach to effectively deliver
desired drug dosages to target cells. This study reports the development of a
layer-by-layer aptamer-mediated drug delivery system (DPAP) via a w/o/w double
emulsion technique homogenized by ultrasonication or magnetic stirring.
Experimental results showed no significant differences in the biophysical
characteristics of DPAP nanoparticles generated using the two homogenization
techniques. The DPAP formulation demonstrated a strong targeting performance and
selectivity towards its target receptor molecules in the presence of non-targets.
The DPAP formulation demonstrated a controlled and sustained drug release profile
under the conditions of pH 7 and temperature 37 degrees C. Also, the drug
release rate of DPAP formulation was successfully accelerated under an endosomal
acidic condition of ~pH 5.5, indicating the potential to enhance drug delivery
within the endosomal micro-environment. The findings from this work are useful to
understanding polymer-aptamer-drug relationship and their impact on developing
effective targeted delivery systems.
PMID- 29635912
TI - Directional Building Blocks Determine Linear and Cyclic Silicon Architectures.
AB - Silicon nanomaterials combine earth abundance and biodegradability with
exceptional electronic properties. Strategic synthesis promises access to novel
architectures with well-defined surface structure, size, and shape. Herein, we
describe a five-step synthesis of functional macrocyclic polysilanes. Comparison
of the materials isolated from isomeric building blocks provides evidence that
building block directionality controls the shape of the resulting nanomaterial.
Infrared (IR) and 1H and 29Si NMR spectroscopies, coupled to computational data,
provide evidence of a well-defined Si-H and Si-Me terminated structure. The
intrinsic porosity and the polarization arising from the hydridic character of
the Si-H bond suggest applications in lithium-ion batteries, which are supported
by quantum chemical calculations.
PMID- 29635911
TI - Neural Stem Cell Differentiation Using Microfluidic Device-Generated Growth
Factor Gradient.
AB - Neural stem cells (NSCs) have the ability to self-renew and differentiate into
multiple nervous system cell types. During embryonic development, the
concentrations of soluble biological molecules have a critical role in
controlling cell proliferation, migration, differentiation and apoptosis. In an
effort to find optimal culture conditions for the generation of desired cell
types in vitro, we used a microfluidic chip-generated growth factor gradient
system. In the current study, NSCs in the microfluidic device remained healthy
during the entire period of cell culture, and proliferated and differentiated in
response to the concentration gradient of growth factors (epithermal growth
factor and basic fibroblast growth factor). We also showed that overexpression of
ASCL1 in NSCs increased neuronal differentiation depending on the concentration
gradient of growth factors generated in the microfluidic gradient chip. The
microfluidic system allowed us to study concentration-dependent effects of growth
factors within a single device, while a traditional system requires multiple
independent cultures using fixed growth factor concentrations. Our study suggests
that the microfluidic gradient-generating chip is a powerful tool for determining
the optimal culture conditions.
PMID- 29635913
TI - Bioluminescence Imaging of Carbon Monoxide in Living Cells and Nude Mice Based on
Pd0-Mediated Tsuji-Trost Reaction.
AB - Carbon monoxide (CO) is highly toxic and lethal to humans and animals because of
its strong affinity for hemoglobin, while this "silent killer" is constantly
generated in the body as a cell-signaling molecule of the gasotransmitter family
in various pathological and physiological conditions. Up to now, designing
fluorescent probes for real-time imaging of CO in living species is a continuous
challenge due to background interference, light scattering, and
photoactivation/photobleaching. Herein, a novel type of bioluminescence probe
(allyl-luciferin) was synthesized and exploited to realize CO imaging with high
signal-to-noise ratios. Based on Pd0-mediated Tsuji-Trost reaction, allyl
luciferin specifically reacted with CO to yield D-luciferin and thus generate a
turn-on bioluminescence response, exhibiting high selectivity against bioactive
small molecules such as reactive nitrogen, oxygen, and sulfur species.
Furthermore, the new probe can be easily employed to detect exogenous CO in Huh7
cells and MDA-MB-231 cells, and CO production was enhanced greatly in these
living cells after pretreatment with [Ru(CO)3Cl-(glycinate)] (CORM-3). Through
the use of PdCl2-containing liposomes to improve poor membrane permeability of
PdCl2, endogenous CO stimulated by heme was also seen clearly. In addition, the
probe was successfully used to monitor exogenous and endogenous CO in nude mice.
Overall, our data proved that the allyl-luciferin is a promising tool for
exogenous and endogenous CO detection and imaging within living species. This is
the first demonstration of bioluminescence imaging obtained by a probe for CO. We
anticipate that the good imaging properties of allyl-luciferin presented in this
study will provide a potentially powerful approach for illuminating CO functions
in the future.
PMID- 29635914
TI - Electrical Transport Signature of the Magnetic Fluctuation-Structure Relation in
alpha-RuCl3 Nanoflakes.
AB - The small gap semiconductor alpha-RuCl3 has emerged as a promising candidate for
quantum spin liquid materials. Thus far, Raman spectroscopy, neutron scattering,
and magnetization measurements have provided valuable hints for collective spin
behavior in alpha-RuCl3 bulk crystals. However, the goal of implementing alpha
RuCl3 into spintronic devices would strongly benefit from the possibility of
electrically probing these phenomena. To address this, we first investigated
nanoflakes of alpha-RuCl3 by Raman spectroscopy and observed similar behavior as
in the case of the bulk material, including the signatures of possible
fractionalized excitations. In complementary experiments, we investigated the
electrical charge transport properties of individual alpha-RuCl3 nanoflakes in
the temperature range between 120 and 290 K. The observed temperature-dependent
electrical resistivity is consistent with variable range hopping behavior and
exhibits a transition at about 180 K, close to the onset temperature observed in
our Raman measurements. In conjunction with the established relation between
structure and magnetism in the bulk, we interpret this transition to coincide
with the emergence of fractionalized excitations due to the Kitaev interactions
in the nanoflakes. Compared to the bulk samples, the transition temperature of
the underlying structural change is larger in the nanoflakes. This difference is
tentatively attributed to the dimensionality of the nanoflakes as well as the
formation of stacking faults during mechanical exfoliation. The demonstrated
devices open up novel perspectives toward manipulating the Kitaev-phase in alpha
RuCl3 via electrical means.
PMID- 29635915
TI - Three-Dimensional Hierarchical Framework Assembled by Cobblestone-Like CoSe2@C
Nanospheres for Ultrastable Sodium-Ion Storage.
AB - Sodium-ion batteries (SIBs), as the promising commercial energy system, are
restricted by their sluggish kinetics and low sodium-ion storage. Metal selenide
possesses good conductivity and capacity but still suffers from the stacked
problem and volume expansion. Significantly, CoSe2/C is successfully prepared
with the assistance of citric acid as both a chelating agent and carbon
precursor, displaying that cobblestone-like nanospheres with the radii (<25 nm)
distribute uniformly in the carbon matrix. It is expected that the established Co
O-C bonds enhance the stability of the structure with faster ion shuttling. With
the available electrolyte (NaCF3SO3/diethylene glycol dimethyl ether) in a
potential window range from 0.5 to 3.0 V, the as-obtained sample shows the
ultralong lifespan at 4.5 A g-1, retaining a capacity of 345 mA h g-1 after 10
000 cycles. From the detailed kinetic analysis, it is clear that the surface
controlled electrochemical behavior mainly contributes to the excellent large
current cycling stability and Na storage capacity. The ex situ results support
that the crystal and morphological structure remains stable. This work is
anticipated to enhance the in-depth understanding of the CoSe2/C anode and supply
a facile manner to obtain electrode materials for SIBs.
PMID- 29635916
TI - Proteome-Wide Evaluation of Two Common Protein Quantification Methods.
AB - Proteomics experiments commonly aim to estimate and detect differential abundance
across all expressed proteins. Within this experimental design, some of the most
challenging measurements are small fold changes for lower abundance proteins.
While bottom-up proteomics methods are approaching comprehensive coverage of even
complex eukaryotic proteomes, failing to reliably quantify lower abundance
proteins can limit the precision and reach of experiments to much less than the
identified-let alone total-proteome. Here we test the ability of two common
methods, a tandem mass tagging (TMT) method and a label-free quantitation method
(LFQ), to achieve comprehensive quantitative coverage by benchmarking their
capacity to measure 3 different levels of change (3-, 2-, and 1.5-fold) across an
entire data set. Both methods achieved comparably accurate estimates for all 3
fold-changes. However, the TMT method detected changes that reached statistical
significance three times more often due to higher precision and fewer missing
values. These findings highlight the importance of refining proteome quantitation
methods to bring the number of usefully quantified proteins into closer agreement
with the number of total quantified proteins.
PMID- 29635919
TI - Natural Product Total Synthesis: As Exciting as Ever and Here To Stay.
PMID- 29635917
TI - Development of a PEGylated-Based Platform for Efficient Delivery of Dietary
Antioxidants Across the Blood-Brain Barrier.
AB - The uptake and transport of dietary antioxidants remains the most important
setback for their application in therapy. To overcome the limitations, a
PEGylated-based platform was developed to improve the delivery properties of two
dietary hydroxycinnamic (HCA) antioxidants-caffeic and ferulic acids. The
antioxidant properties of the new polymer-antioxidant conjugates (PEGAntiOxs),
prepared by linking poly(ethylene glycol) (PEG) to the cinnamic acids by a one
step Knovenagel condensation reaction, were evaluated. PEGAntiOxs present a
higher lipophilicity than the parent compounds (caffeic and ferulic acids) and
similar, or higher, antioxidant properties. PEGAntiOxs were not cytotoxic at the
tested concentrations in SH-SY5Y, Caco-2, and hCMEC/D3 cells. By contrast,
cytotoxic effects in hCMEC/D3 and SH-SY5Y cells were observed, at 50 and 100 MUM,
for caffeic and ferulic acids. PEGAntiOxs operate as antioxidants against several
oxidative stress-cellular inducers in a neuronal cell-based model, and were able
to inhibit glycoprotein-P in Caco-2 cells. PEGAntiOxs can cross hCMEC/D3
monolayer cells, a model of the blood-brain barrier (BBB) endothelial membrane.
In summary, PEGAntiOxs are valid antioxidant prototypes that can uphold the
antioxidant properties of HCAs, reduce their cytotoxicity, and improve their BBB
permeability. PEGAntiOxs can be used in the near future as drug candidates to
prevent or slow oxidative stress associated with neurodegenerative diseases.
PMID- 29635920
TI - Additive Manufacturing of Nano- and Microarchitected Materials.
PMID- 29635921
TI - Negative Correlation of Serum Adiponectin Levels With Carotid-Femoral Pulse Wave
Velocity in Patients Treated With Hemodialysis.
AB - PURPOSE: The aim of this study was to evaluate the relationship between serum
adiponectin levels and carotid-femoral pulse wave velocity (cfPWV) in
hemodialysis (HD) patients. METHOD: Blood samples were obtained from 120 HD
patients. cfPWV was measured with a validated tonometry system (SphygmoCor; AtCor
Medical, West Ryde, Australia). Serum adiponectin levels were measured using a
commercially available enzyme-linked immunosorbent assay kit. RESULTS: By
univariate linear analysis of cfPWV in HD patients, we found that diabetes ( r =
.281, p = .002), pre-HD body weight ( r = .194, p = .033), post-HD body weight (
r = .192, p = .036), waist circumference ( r =.210, p = .022), and body fat mass
( r = .194, p = .034) were positively correlated, whereas adiponectin level ( r =
-.254, p = .005) was negatively correlated with cfPWV in HD patients.
Multivariate forward stepwise linear regression analysis showed that diabetes
(beta = .274, p = .006) and adiponectin level (beta = -.215, p = .016) were
independent predictors of cfPWV in HD patients. Moreover, post-HD body weight
(beta = -.274, p = .041), waist circumference (beta = -.311, p < .001),
logarithmically transformed triglyceride level (log-TG; beta = -.186, p = .031),
and log-glucose (beta = -.225, p = .008) were negatively associated with
adiponectin levels in HD patients after multivariable forward stepwise linear
regression analysis. CONCLUSIONS: Among HD patients, serum adiponectin level was
inversely associated with cfPWV level, and post-HD body weight, waist
circumference, log-TG, and log-glucose were negatively associated with
adiponectin level.
PMID- 29635922
TI - Driving with Parkinson's disease: Cut points for clinical predictors of on-road
outcomes: La conduite automobile et la maladie de Parkinson : Points de decoupage
pour les predicteurs clinique des resultats des epreuves sur route.
AB - BACKGROUND: Parkinson's disease (PD) is a common neurodegenerative disorder that
impacts a person's fitness to drive. Practitioners require a sensitive and
predictive battery of clinical tests to identify at-risk drivers. PURPOSE: This
study aimed to identify clinical predictors and their optimal cut points,
sensitivity, specificity, and predictive values of on-road outcomes in drivers
with PD. METHOD: Participants ( N = 101) underwent a comprehensive driving
evaluation. We identified predictors of pass/fail outcomes through logistic
regression and computed optimal cut points through receiver operating
characteristic curves and corresponding Youden indexes. FINDINGS: The Trail
Making Test Part B (Trails B; sensitivity = .89, specificity = .74; positive
predictive value [PPV] = .71; negative predictive value [NPV] = .91) and contrast
sensitivity (sensitivity = .82, specificity = .63; PPV = .61; NPV = .84) emerged
as significant predictors. The optimal cut point for the Trails B was 108 s (area
under the curve = .86). IMPLICATIONS: Occupational therapists can benefit from
implementing Trails B and contrast sensitivity screening as part of in-office
screening of potentially at-risk drivers with PD.
PMID- 29635924
TI - Corrigendum.
PMID- 29635923
TI - Postfilter ionized calcium measurements in citrate anticoagulation for continuous
renal replacement therapy: How often should Ca2+-membrane in blood gas analyser
ABL800 be replaced?
PMID- 29635925
TI - Effects of bismuth breast shielding on iodine quantification in dual-energy
computed tomography: an experimental phantom study.
AB - BACKGROUND: Although the bismuth breast shield can reduce radiation exposure to
the breast during dual-energy computed tomography (DECT), it can potentially
affect material quantification on DECT due to artifacts. PURPOSE: To evaluate the
effects of bismuth breast shielding on iodine quantification and radiation
exposure in DECT. MATERIAL AND METHODS: Small balloons were made with 0.2%, 0.6%
and 1.0% blended iodinated contrast (370 mg/mL of iodine) with water. The
balloons were located at both anterior and posterior lungs in an adult
anthropomorphic chest phantom. DECT was performed with and without breast
shielding. Afterwards, iodine concentration values were measured for each balloon
on the iodine maps. Absorbed radiation doses in the breast were measured with the
optically stimulated luminescence dosimeter. RESULTS: After shielding, we
obtained significantly decreased iodine quantification for all three
concentrations with 0.78 +/- 0.13 to 0.46 +/- 0.13 mg/mL, 2.31 +/- 0.17 to 1.68
+/- 0.19 mg/mL, and 3.82 +/- 0.10 to 2.84 +/- 0.20 mg/mL at the anterior
location, and 0.72 +/- 0.11 to 0.48 +/- 0.09 mg/mL, 2.24 +/- 0.13 to 1.87 +/-
0.21 mg/mL, and 3.75 +/- 0.16 to 3.15 +/- 0.14 mg/mL at the posterior location
for the 0.2%, 0.6%, and 1.0% balloons, respectively ( P = 0.001 for all). After
shielding, absorbed radiation doses to the breast significantly decreased by
14.8% (4.32 +/- 0.33 to 3.68 +/- 0.30 mGy; P = 0.005). CONCLUSION: Although using
the bismuth breast shield may decrease radiation exposure to the breast on DECT,
it may also significantly affect iodine quantification.
PMID- 29635926
TI - Bacillus spp. as direct-fed microbial antibiotic alternatives to enhance growth,
immunity, and gut health in poultry.
AB - The increasing occurrence of antibiotic-resistant bacteria combined with
regulatory pressure and consumer demands for foods produced without antibiotics
has caused the agricultural industry to restrict its practice of using antibiotic
growth promoters (AGP) in food animals. The poultry industry is not immune to
this trend, and has been actively seeking natural alternatives to AGP that will
improve the health and growth performance of commercial poultry flocks. Bacillus
probiotics have been gaining in popularity in recent years as an AGP alternative
because of their health-promoting benefits and ability to survive the harsh
manufacturing conditions of chicken feed production. This review provides an
overview of several modes of action of some Bacillus direct-fed microbials as
probiotics. Among the benefits of these direct-fed microbials are their
production of naturally synthesized antimicrobial peptides, gut flora modulation
to promote beneficial microbiota along the gastrointestinal tract, and various
immunological and gut morphological alterations. The modes of action for
increased performance are not well defined, and growth promotion is not equal
across all Bacillus species or within strains. Appropriate screening and
characterization of Bacillus isolates prior to commercialization are necessary to
maximize poultry growth to meet the ultimate goal of eliminating AGP usage in
animal husbandry.
PMID- 29635927
TI - Potential and limitations of health policy to improve coronary heart disease
prevention and to reduce the burden of disease: A Russian experience.
AB - Background Mortality from cardiovascular diseases is particularly high in Russia
compared with the European average. The National Priority Project 'Health',
launched in 2005, aimed to promote prevention of non-communicable diseases,
particularly cardiovascular diseases, in primary care and to increase
availability of state-of-art cardiovascular disease management. Methods This is a
multiregional population based study with analysis of indicators for
cardiovascular health and coronary heart disease in Moscow, St Petersburg, the
Moscow region and across Russia, including a total population of 143.7 million
inhabitants between 2005 and 2013. Data were collected using conventional
methodology and originate from open statistical sources. Results The overall age
standardized coronary heart disease mortality decreased in 2005-2013 by 24.7%
from 383.6 to 289.0 per 100000 population, but with substantial interregional
differences: it declined from 306.1 to 196.9 per 100,000 in Moscow (-35.7%), from
362.1 to 258.9 per 100,000 in St Petersburg (-28.5%) and from 433.8 to 374.3 per
100,000 in the Moscow region (-13.7%). Income in Moscow exceeded the national
average 2-3-fold, and Moscow had the highest availability of modern treatments
and interventions. Although vegetables, fruits and fish consumption increased
overall in Russia, this trend was most prominent in Moscow. Indicators for
psychosocial well-being also were best in Moscow. Life expectancy in Moscow is
almost six years higher than the Russian average. Conclusion Health policy
interventions turned out to be successful but with substantial interregional
differences. Lower coronary heart disease mortality and higher life expectancy in
Moscow may be due to a more favourable socioeconomic and psychological
environment, more healthy eating and greater availability of medical care.
PMID- 29635928
TI - The mystery further deepens: How much exercise is harmful for atrial
fibrillation?
PMID- 29635929
TI - "For Their Own Good": A Response to Popular Arguments Against Permitting Medical
Assistance in Dying (MAID) where Mental Illness Is the Sole Underlying Condition.
AB - Canada is approaching its federal government's review of whether patients should
be eligible for medical assistance in dying (MAID) where mental illness is the
sole underlying medical condition, and when "natural death" is not "reasonably
foreseeable". For those opposed, arguments involve the following themes:
capacity, value of life, vulnerability, stigma, irremediability, and the role of
physicians. It has also been suggested that those who are able-bodied should have
to kill themselves, even though suicide may be painful, lonely, and violent.
Opponents of MAID for severe, refractory suffering due to mental illness imply
that it is acceptable to remove agency from such patients on paternalistic
grounds. After years of efforts to destigmatise mental illness, these kinds of
arguments effectively declare all patients with mental illness, regardless of
capacity, unable to make considered choices for themselves. The current paper
argues that decisions about capacity must be made on an individual-patient basis.
Given the rightful importance granted to respect for patient autonomy in liberal
democracies, the wholesale removal of agency advocated by opponents of a
permissive MAID regime is difficult to reconcile with Canadian constitutional
values.
PMID- 29635930
TI - Protein Promiscuity in H2O2 Signaling.
AB - SIGNIFICANCE: Decrypting the cellular response to oxidative stress relies on a
comprehensive understanding of the redox signaling pathways stimulated under
oxidizing conditions. Redox signaling events can be divided into upstream sensing
of oxidants, midstream redox signaling of protein function, and downstream
transcriptional redox regulation. Recent Advances: A more and more accepted
theory of hydrogen peroxide (H2O2) signaling is that of a thiol peroxidase redox
relay, whereby protein thiols with low reactivity toward H2O2 are instead
oxidized through an oxidative relay with thiol peroxidases. CRITICAL ISSUES:
These ultrareactive thiol peroxidases are the upstream redox sensors, which form
the first cellular port of call for H2O2. Not all redox-regulated interactions
between thiol peroxidases and cellular proteins involve a transfer of oxidative
equivalents, and the nature of redox signaling is further complicated through
promiscuous functions of redox-regulated "moonlighting" proteins, of which the
precise cellular role under oxidative stress can frequently be obscured by
"polygamous" interactions. An ultimate goal of redox signaling is to initiate a
rapid response, and in contrast to prokaryotic oxidant-responsive transcription
factors, mammalian systems have developed redox signaling pathways, which
intersect both with kinase-dependent activation of transcription factors, as well
as direct oxidative regulation of transcription factors through peroxiredoxin
(Prx) redox relays. FUTURE DIRECTIONS: We highlight that both transcriptional
regulation and cell fate can be modulated either through oxidative regulation of
kinase pathways, or through distinct redox-dependent associations involving
either Prxs or redox-responsive moonlighting proteins with functional
promiscuity. These protein associations form systems of crossregulatory networks
with multiple nodes of potential oxidative regulation for H2O2-mediated
signaling. Antioxid. Redox Signal. 00, 000-000.
PMID- 29635932
TI - 2017 Diabetic Foot Conference Abstracts.
PMID- 29635931
TI - Health Sensors, Smart Home Devices, and the Internet of Medical Things: An
Opportunity for Dramatic Improvement in Care for the Lower Extremity
Complications of Diabetes.
AB - OBJECTIVE: The prevalent and long-neglected diabetic foot ulcer (DFU) and its
related complications rank among the most debilitating and costly sequelae of
diabetes. With the rise of the Internet of medical things (IoMT), along with
smart devices, the med-tech industry is on the cusp of a home-care revolution,
which could also create opportunity for developing effective solutions with
significant potential to reduce DFU-associated costs and saving limbs. This
article discusses potential applications of IoMT to the DFU patient population
and beyond. METHODS: To better understand potential opportunities and challenges
associated with implementing IoMT for management of DFU, the authors reviewed
recent relevant literatures and included their own expert opinions from a
multidisciplinary point of view including podiatry, engineering, and data
security. RESULTS: The IoMT has opened digital transformation of home-based
diabetic foot care, as it enables promoting patient engagement, personalized care
and smart management of chronic and noncommunicable diseases through individual
data-driven treatment regimens, telecommunication, data mining, and comprehensive
feedback tailored to individual requirements. In particular, with recent advances
in voice-activated commands technology and its integration as a part of IoMT, new
opportunities have emerged to improve the patient's central role and
responsibility in enabling an optimized health care ecosystem. CONCLUSIONS: The
IoMT has opened new opportunities in health care from remote monitoring to smart
sensors and medical device integration. While it is at its early stage of
development, ultimately we envisage a connected home that, using voice-controlled
technology and Bluetooth-radio-connected add-ons, may augment much of what home
health does today.
PMID- 29635933
TI - Multiple domains of social support are associated with diabetes self-management
among Veterans.
AB - Objectives To examine, among Veterans, relationships of general social support
and diabetes-specific social support for physical activity and healthy eating
with diabetes self-management behaviors. Methods Patients from VA Puget Sound,
Seattle completed a cross-sectional survey in 2012-2013 ( N = 717). We measured
(a) general social support and (b) diabetes-specific social support for healthy
eating and physical activity with domains reflecting support person
participation, encouragement, and sharing ideas. Among 189 self-reporting
diabetes patients, we fit linear and modified Poisson regression models
estimating associations of social support with diabetes self-management
behaviors: adherence to general and diabetes-specific diets and blood glucose
monitoring (days/week); physical activity (< vs. >=150 min/week); and smoking
status (smoker/non-smoker). Results General social support was not associated
with diabetes self-management. For diabetes-specific social support, higher
healthy eating support scores across all domains were associated with better
adherence to general and diabetes-specific diets. Higher physical activity
support scores were positively associated with >=150 min/week of physical
activity only for the participation domain. Discussion Diabetes-specific social
support was a stronger and more consistent correlate of improved self-management
than general social support, particularly for lifestyle behaviors. Incorporating
family/friends into Veterans' diabetes self-management routines may lead to
better self-management and improvements in disease control and outcomes.
PMID- 29635934
TI - Levetiracetam Use in Peritoneal Dialysis.
PMID- 29635935
TI - Factors associated to chronic migraine with medication overuse: A cross-sectional
study.
AB - BACKGROUND AND AIM: Factors implicated in the evolution of episodic migraine into
chronic migraine are largely elusive. Medication overuse is considered to be one
of the main determinants, but other possible clinical and psychological factors
can play a role. The aim of this study is to identify factors that are associated
with chronic migraine with medication overuse. METHOD: We enrolled consecutive
migraine patients, subdividing them in two groups: Subjects with a long history
of episodic migraine and subjects with chronic migraine and medication overuse.
We then compared their clinical and psychological variables in a cross-sectional
study. RESULTS: Three hundred and eighteen patients were enrolled, of which 156
were episodic migraine and 162 were chronic migraine and medication overuse
patients. The mean age was 42.1 +/- 10.3, 80.8% were female. The duration of
migraine was 24.6 years in episodic migraine and 24.0 years in chronic migraine
and medication overuse ( p = 0.57). After the multivariate analysis, the factors
associated to chronic migraine and medication overuse were: Marital status
(married vs. unmarried, OR 3.65, 95% CI 1.63-8.19, p = 0.002;
separated/divorced/widowed vs. unmarried, OR 4.19, 95% CI 1.13-15.47, p = 0.031),
physical activity (OR 0.42, 95% CI 0.19-0.91, p = 0.029), age at onset of
migraine (OR 0.94, 95% CI 0.89-0.98, p = 0.016), use of at least one migraine
preventive medication (OR 2.36, 95% CI 1.18-4.71, p = 0.014), history of
depression (OR 2.91, 95% CI 1.25-6.73, p = 0.012), insomnia associated with the
use of hypnotics (OR 5.59, 95% CI 1.65-18.93, p = 0.006), traumatic head injuries
(OR 3.54, 95% CI 1.57-7.99, p = 0.002), snoring (OR 2.24, 95% CI 1.05-4.79, p =
0.036), previous and/or actual use of combined oral contraceptives (OR 3.38, 95%
CI 1.10-10.3, p = 0.031) and higher scores in the Childhood Trauma questionnaire
(OR 1.48, 95% CI 1.09-2.02, p = 0.012). CONCLUSION: We considered several aspects
that may be involved in the development of chronic migraine and medication
overuse. A multivariate analysis identified 10 factors belonging to five
different areas, to suggest that chronic migraine and medication overuse onset is
likely influenced by a complex mixture of factors. This information is useful
when planning strategies to prevent and manage chronic migraine and medication
overuse.
PMID- 29635936
TI - Chronorisk in cluster headache: A tool for individualised therapy?
AB - BACKGROUND: The mechanisms behind the severe pain of cluster headache remain
enigmatic. A distinguishing feature of the attacks is the striking rhythms with
which they occur. We investigated whether statistical modelling can be used to
describe 24-hour attack distributions and identify differences between subgroups.
METHODS: Common hours of attacks for 351 cluster headache patients were
collected. Probability distributions of attacks throughout the day (chronorisk)
was calculated. These 24-hour distributions were analysed with a multimodal
Gaussian fit identifying periods of elevated attack risk and a spectral analysis
identifying oscillations in risk. RESULTS: The Gaussian model fit for the
chronorisk distribution for all patients reporting diurnal rhythmicity (n = 286)
had a goodness of fit R2 value of 0.97 and identified three times of increased
risk peaking at 21:41, 02:02 and 06:23 hours. In subgroups, three to five modes
of increased risk were found and goodness of fit values ranged from 0.85-0.99.
Spectral analysis revealed multiple distinct oscillation frequencies in
chronorisk in subgroups including a dominant circadian oscillation in episodic
patients and an ultradian in chronic. CONCLUSIONS: Chronorisk in cluster headache
can be characterised as a sum of individual, timed events of increased risk, each
having a Gaussian distribution. In episodic cluster headache, attacks follow a
circadian rhythmicity whereas, in the chronic variant, ultradian oscillations are
dominant reflecting a loss of association with sleep and perhaps explaining
observed differences in the effects of specific treatments. The results
demonstrate the ability to accurately model chronobiological patterns in a
primary headache.
PMID- 29635937
TI - A pilot investigation of a mobile phone application and progressive reminder
system to improve adherence to daily prevention treatment in adolescents and
young adults with migraine.
AB - BACKGROUND: Effective management of migraine requires adherence to treatment
recommendations; however, adolescents with migraine take their daily medications
only 75% of the time. Low-cost adherence-focused interventions using technology
may improve adherence, but have not been investigated. METHODS: Thirty-five
adolescents and young adults (13-21 years) with migraine participated in an AB
design pilot study to assess the use of a mobile phone adherence-promotion
application ("app") and progressive reminder system. Adherence was calculated
using electronic monitoring during the baseline period and medication adherence
intervention. RESULTS: Relative to baseline, adherence significantly improved
during the first month of the intervention. Specifically, improvements existed
for older participants with lower baseline adherence. Self-reported app-based
adherence rates were significantly lower than electronically monitored adherence
rates. Participants rated the intervention as acceptable and easy to use.
CONCLUSIONS: "Apps" have the potential to improve medication adherence and are a
promising intervention for adolescents and young adults with low adherence.
Involving parents in the intervention is also helpful. Providers should assess
barriers to adherence and use of technology-based interventions, encourage
parents to incorporate behavioral incentives, and provide referrals for more
intensive interventions to improve long-term outcomes. Further, tracking
adherence in an app may result in an underestimation of adherence. Future full
scale studies should be conducted to examine adherence promotion app
interventions.
PMID- 29635938
TI - Presence of vestibular symptoms and related disability in migraine with and
without aura and chronic migraine.
AB - Objective To assess the presence and handicap due to vestibular symptoms in three
subgroups of patients with migraine and controls. Methods Women between 18-55
years old were diagnosed by headache specialists and stratified as migraine with
aura (n = 60), migraine without aura (n = 60), chronic migraine (n = 60) and
controls (n = 60). Information regarding demographics, headache and vestibular
symptoms were collected in this cross-sectional study. The self-perceived
handicap related to vestibular symptoms was assessed through the Dizziness
Handicap Inventory questionnaire. Results A total of 85% of women with migraine
with aura and chronic migraine had vestibular symptoms contrasted to 70% of the
migraine without aura group ( p < 0.05), and 12% of the control group reported
symptoms ( p < 0.0001). Patients with migraine exhibited greater Dizziness
Handicap Inventory scores than controls ( p < 0.001); and migraine with aura and
chronic migraine groups reached greater scores than migraine without aura ( p <
0.01). Presence of migraine is associated with a greater risk of vestibular
symptoms (migraine without aura: 5.20, migraine with aura: 6.60, chronic
migraine:6.20, p < 0.0003) and with a greater risk of moderate-to-severe handicap
(migraine without aura: 20.0, migraine with aura: 40.0, chronic migraine: 40.0, p
< 0.0003). The presence of aura and greater migraine frequency adds to the risk
of any handicap (migraine with aura: 1.9, chronic migraine: 1.7, p < 0.04) and to
the risk of moderate-to-severe handicap (migraine with aura: 2.0, chronic
migraine: 2.0, p < 0.0003). Migraine aura, intensity and frequency predict 36% of
the dizziness handicap. Conclusion The prevalence of vestibular symptoms is
increased in migraine during and between headache attacks, particularly in
migraine with aura and chronic migraine along with an increased handicap due to
those symptoms. Vestibular symptoms among subgroups of migraine should be
considered when evaluating the functional impact of migraine.
PMID- 29635939
TI - Concept mapping to improve team work, team learning and care of the person with
dementia and behavioural and psychological symptoms.
AB - The incidence of behavioural and psychological symptoms of dementia in
residential aged care facilities is high. Effective team work and knowledgeable
staff are cited as important facilitators of appropriate care responses to
clients with these symptoms, but to achieve this within a resource-poor workplace
can be challenging. In the study reported in this paper, concept mapping was
trialled to enhance multifocal person-centred assessment and care planning as
well as team learning. The outcomes of team concept mapping were evaluated using
a quasi-experimental design with pre- and post-testing in 11 selected Australian
residential aged care facilities , including two control residential aged care
facilities , over a nine-month period. It was demonstrated that use of concept
mapping improved team function, measured as effectiveness of care planning, as
well as enhancing learning, with increased knowledge of dementia care even
amongst staff who were not directly involved with the process. It is suggested
that these results may be generalizable to other countries and care settings.
PMID- 29635940
TI - Primer in Genetics and Genomics Series: Final Remarks.
PMID- 29635941
TI - The prevalence and predictors of elevated C-reactive protein after a coronary
heart disease event.
AB - Objective An interleukin-beta antagonist reduces the risk of subsequent
cardiovascular events in coronary patients with high-sensitivity C-reactive
protein (hs-CRP) >=2 mg/L. It remains to be defined how large the coronary
population at inflammatory risk is, and what the predictors of elevated risk are.
Methods A cross-sectional study investigated the proportion of patients with
elevated hs-CRP (i.e. >=2 mg/L) and the respective demographic and clinical
predictors in 971 patients without concomitant inflammatory diseases who had been
hospitalized with myocardial infarction (80%) and/or a revascularization
procedure. Data were collected from hospital records, a self-report questionnaire
and a clinical examination with blood samples. Results After 2-36 month follow
up, 39% ( n = 378) had hs-CRP >= 2 mg/L, among whom 64% ( n = 243) had low
density lipoprotein cholesterol (LDL-C) >=1.8 mmol/L and 47% ( n = 176) used a
low-intensity statin regime. Only 24% had both LDL and hs-CRP at target range,
27% had elevation of both, whereas 12% had hs-CRP >= 2 mg/L and LDL-C < 1.8
mmol/L. Somatic comorbidity (odds ratio (OR) 1.3/1.0 point on the Charlson
score), >=1 previous coronary event (OR 2.4), smoking (OR 2.2), higher body mass
index (OR 1.2/1.0 kg/m2), high LDL-C (OR 1.4/1.0 mmol/L) and higher anxiety
scores (OR 1.1/1.0 point increase on the Hospital Anxiety and Depression Scale
Anxiety subscale score) were significantly associated with hs-CRP >=2 mg/L in
adjusted analyses. Conclusions Elevated hs-CRP was frequently observed after a
coronary event and associated with unfavourable LDL-C and unhealthy lifestyles
and psychosocial distress. Intensified statin therapy and strategies to target
these modifiable factors are the encouraged first steps to reduce inflammation
and improve LDL-C in these patients.
PMID- 29635942
TI - Erratum.
AB - The affiliations of the authors were incorrectly published in this article. SAGE
regrets this error. The correct affiliations are listed below.
PMID- 29635944
TI - Erratum.
PMID- 29635945
TI - LC-MS-based lipidomics to examine acute rat pulmonary responses after nano- and
fine-sized ZnO particle inhalation exposure.
AB - Zinc oxide (ZnO) nano- and fine-sized particles are associated with respiratory
toxicity in humans, but the underlying molecular mechanisms remain unclear. Our
previous nuclear magnetic resonance-based metabolomic study demonstrated that
changes in phosphorylcholine-containing lipids (PC-CLs) in the respiratory system
were associated with ZnO particle-induced respiratory toxicity. However, the
details of the lipid species associated with adverse effects and possible
biomarker signatures have not been identified. Thus, a liquid chromatography-mass
spectrometry (LC-MS)-based lipidomics platform was applied to examine the
alterations of PC-CL species in the lungs of rats treated with a series of
concentrations of nano-sized (35 nm) or fine-sized (250 nm) ZnO particles via
inhalation. Principal component analysis (PCA), partial least squares
discriminant analysis (PLS-DA), and the Mann-Whitney U (MWU) test with false
discovery rate (FDR) control were conducted to explore the perturbed lipid
species and to discriminate a potential pulmonary biomarker signature after ZnO
particle exposure. The PCA and PLS-DA models revealed that the fine-sized ZnO
particle-treated groups and the high-concentration nano-sized group were
separated from the control groups as well as from the low and moderate nano-sized
groups. The results from the MWU test further suggested that after FDR
adjustment, numerous PC-CL species were altered in the high-concentration and
moderate-concentration fine-sized groups. Furthermore, our results suggested that
lipids involved in anti-oxidation, membrane conformation, and cellular signal
transduction were altered in response to ZnO-induced oxidative stress and
inflammation. One lipid, PC(18:0/18:1), exhibited good performance (AUC > 0.8) of
discriminative ability in distinguishing ZnO particle exposure from the control.
These findings not only provide a foundation for the exploration of possible ZnO
particle-mediated mechanisms but also suggest a lipid biomarker for ZnO particle
exposure.
PMID- 29635946
TI - Neonatal acute kidney injury: recording rate, course, and outcome: one center
experience.
AB - BACKGROUND: Neonates, and particularly preterm newborns, are at increased risk
for acute kidney injury (AKI) due to immature kidney function. While specific
criteria have been defined for AKI in this particular population, this diagnosis
is frequently overlooked, and consequently, is often not recorded in patients'
medical files. AKI-associated short- and long-term morbidity and mortality
underline the importance of this diagnosis Objective: To assess the recording
rate of AKI in the neonatal intensive care unit (NICU), and to identify clinical
features that may promote awareness to this condition. STUDY DESIGN: The database
of one medical center was searched for serum creatinine values above 1 mg% among
all the newborns (more than 48 hours old) who were hospitalized in the neonatal
intensive care unit (NICU) during the years 2010-2015, and who underwent at least
two blood tests during their hospitalization. The files of patients who met acute
kidney injury (AKI) diagnostic criteria were searched for AKI diagnosis,
maternal, fetal, and postnatal course and outcome. RESULTS: Of 59 newborns who
met AKI criteria, 51 (86%) were preterm and 8 term newborns. The respective mean
gestational weeks at birth were: 28 +/- 3 and 38.5 +/- 1, and mean birth weights:
1002 +/- 57 and 3157 +/- 375 grams. Mortality rates were 14/51 (27%) versus 1/8
(12.5%). Of the 44 survivors, AKI was recorded in the medical files of 9/37 (24%)
preterm versus 5/7 (71%) term-newborns. AKI associated with twin pregnancy in
preterm neonates: 22 (43%) versus 1 (12.5%) in term-newborn. Unexpected high
frequencies of maternal obstetrical problems and cesarean section delivery: 62.5
and 78%, respectively, along with persistently depressed 5-min Apgar 6.6 +/- 3.5
were found in term newborns with AKI. Congenital anomalies of the urinary tract
(CAKUT) were suspected prenatally on fetal ultrasound in 3 (6%) and 1 (12.5%) of
the respective groups, a 10-fold higher rate than that observed in the general
population. AKI recurred in 18 (35%) of the preterm and none of the term
neonates. Mild AKI episodes (Stage 1-2) occurred in 30/37 (81%) by contrast to
severe events (Stage 3) in 4/7 (57%) preterm and term survivors, respectively.
Ventilation duration associated significantly with AKI recurrence, and sepsis
with mortality: OR 1.25 (95%CI = 1.09-1.43) (p < .001) and OR = 4.65 (95%CI =
1.26-17.2) (p = .014), respectively. CONCLUSIONS: We demonstrated underreporting
of AKI, particularly among preterm newborns, a population at high risk of
developing recurrent episodes. Our data suggest different clinical profiles of
AKI among preterm and term neonates: with later onset, milder but recurrent
episodes in the former. Increased alertness for AKI diagnosis is needed for
neonates with prolonged respiratory support, treated with diuretics and after
sepsis. Newborns suspected of CAKUT (Congenital Anomalies of Kidneys and Urinary
Tract) as per fetal ultrasound might need closer observation for AKI occurrence.
PMID- 29635947
TI - An update on the clinical pharmacokinetics of fexofenadine enantiomers.
AB - INTRODUCTION: Fexofenadine is administered as a racemic mixture of (R)- and (S)
enantiomers. The plasma concentrations of (R)-fexofenadine in humans are about
1.5-fold higher than those of the (S)-enantiomer. Such differences in the
pharmacokinetics between fexofenadine enantiomers are likely to be dependent on
stereoselectivity for affinity to drug-transporters. Areas covered: This review
focuses on elucidation of differences in clinical pharmacokinetics between
fexofenadine enantiomers. Expert opinion: Differences in pharmacokinetics between
fexofenadine enantiomers were caused by organic anion transporting polypeptide
(OATP) 2B1, with a minor contribution from P-glycoprotein (P-gp). In vitro
studies using OATP2B1 cRNA showed that (R)-fexofenadine uptake into oocytes is
greater than (S)-enantiomer uptake. P-gp inducers, carbamazepine, and inhibitors
such as itraconazole and verapamil show greater effects on the pharmacokinetics
of (S)-fexofenadine. Apple juice and grape fruit juice, OATP2B1 inhibitors,
significantly decrease the exposure of both fexofenadine enantiomers,
particularly the (S)-enantiomer, but do not change the t1/2. Rifampicin
significantly increases plasma concentrations of both enantiomers through
inhibition of OATP1B3, whereas enantioselectivity of fexofenadine uptake by
OATP1B3-expressing cells has not been observed. Combinations of multiple
transporters such as OATP2B1 and P-gp facilitate enantioselective disposition of
fexofenadine. Drug-transporters appear to be capable of chiral discrimination for
transport of drugs with an asymmetric center.
PMID- 29635948
TI - Prenatal diagnosis of Wolf-Hirschhorn syndrome at the first trimester using
chromosomal microarray analysis.
PMID- 29635949
TI - Multigroup Propensity Score Approach to Evaluating an Effectiveness Trial of the
New Beginnings Program.
AB - We used a multigroup propensity score approach to evaluate a randomized
effectiveness trial of the New Beginnings Program (NBP), an intervention
targeting divorced or separated families. Two features of effectiveness trials,
high nonattendance rates and inclusion of an active control, make program effects
harder to detect. To estimate program effects based on actual intervention
participation, we created a synthetic inactive control comprised of nonattenders
and assessed the impact of attending the NBP or active control relative to no
intervention (inactive control). We estimated propensity scores using generalized
boosted models and applied inverse probability of treatment weighting for the
comparisons. Relative to the inactive control, NBP strengthened parenting quality
as well as reduced child exposure to interparental conflict, parent psychological
distress, and child internalizing problems. Some effects were moderated by parent
gender, parent ethnicity, or child age. On the other hand, the effects of active
versus inactive control were minimal for parenting and in the unexpected
direction for child internalizing problems. Findings from the propensity score
approach complement and enhance the interpretation of findings from the intention
to-treat approach.
PMID- 29635950
TI - What Is Lean Management in Health Care? Development of an Operational Definition
for a Cochrane Systematic Review.
AB - Industrial improvement approaches such as Lean management are increasingly being
adopted in health care. Synthesis is necessary to ensure these approaches are
evidence based and requires operationalization of concepts to ensure all relevant
studies are included. This article outlines the process utilized to develop an
operational definition of Lean in health care. The literature search, screening,
data extraction, and data synthesis processes followed the recommendations
outlined by the Cochrane Collaboration. Development of the operational definition
utilized the methods prescribed by Kinsman et al. and Wieland et al. This
involved extracting characteristics of Lean, synthesizing similar components to
establish an operational definition, applying this definition, and updating the
definition to address shortcomings. We identified two defining characteristics of
Lean health-care management: (1) Lean philosophy, consisting of Lean principles
and continuous improvement, and (2) Lean activities, which include Lean
assessment activities and Lean improvement activities. The resulting operational
definition requires that an organization or subunit of an organization had
integrated Lean philosophy into the organization's mandate, guidelines, or
policies and utilized at least one Lean assessment activity or Lean improvement
activity. This operational definition of Lean management in health care will act
as an objective screening criterion for our systematic review. To our knowledge,
this is the first evidence-based operational definition of Lean management in
health care.
PMID- 29635951
TI - The role of prophylactic internal iliac artery ligation in abnormally invasive
placenta undergoing caesarean hysterectomy: a randomized control trial.
AB - OBJECTIVE: To identify the role of bilateral internal iliac artery (IIA) ligation
on reducing blood loss in abnormally invasive placenta (AIP) undergoing caesarean
hysterectomy. METHODS: In this parallel-randomized control trial, 57 pregnant
females with ultrasound features suggestive of AIP were enrolled. They were
randomized into two groups; IIA group (n = 29 cases) performed bilateral IIA
ligation followed by caesarean hysterectomies, while Control group (n = 28 cases)
underwent caesarean hysterectomy only. The main outcome was the difference in the
estimated intraoperative blood loss between the two groups. RESULTS: There was no
significant difference between the two groups regarding the intraoperative
estimated blood loss (1632 +/- 804 versus 1698 +/- 1251, p value .83). The
operative procedure duration (minutes) (223 +/- 66 versus 171 +/- 41.4, p value
.001) varied significantly between the two groups. CONCLUSIONS: Bilateral
internal iliac artery ligation, in cases of AIP undergoing caesarean
hysterectomy, is not recommended for routine practice to minimize blood loss
intraoperatively.
PMID- 29635953
TI - Noninvasive, continuous, real-time glucose measurements compared to reference
laboratory venous plasma glucose values.
AB - PURPOSE: Current modalities for glucose monitoring are invasive and inconvenient.
The search for a noninvasive technique is still ongoing, without a clinically
viable product. The aim of our study was to evaluate the safety and accuracy of a
novel non-invasive continuous glucometer - the WizmiTM device. METHODS:
Prospective, observational, controlled clinical trial. We included healthy
pregnant women designated to undergo a 3-hour oral glucose tolerance test. Each
participant underwent synchronous and simultaneous glucose measurement by venous
sampling of plasma glucose and non-invasive glucose by Wizmi device. Primary
outcome was the accuracy of the Wizmi device as assessed by comparing between
paired measurements, i.e. non-invasive glucose measurements by Wizmi versus
standard plasma glucose levels, which were taken at the exact same time. RESULTS:
Thirty-two women underwent oral glucose tolerance test (OGTT), contributing 224
paired glucose measurements. Of the 224 paired measurements, all were within the
clinically appropriate zones of the Clarke error grid analysis zones -208 (93%)
in Zone A and 16 (7%) in zone B. Mean absolute relative difference of the Wizmi
non-invasive glucose versus plasma glucose laboratory reference was 7.23% or 9.66
mg/dl. Overall, for all 224 paired measurements, across all Wizmi glucose ranges,
the agreement was 86.6, 92.0, 97.8 and 99.5% for deviations within +/-15, 20, 30,
40% (if glucose >80 mg/dl) or mg/dl (if glucose <=80 mg/dl). CONCLUSIONS: Wizmi
device is novel non-invasive continuous glucose monitor, safe to use, with
overall high accuracy compared to a gold standard reference of plasma glucose.
PMID- 29635952
TI - Nuchal cord: impact of umbilical artery Doppler indices on intrapartum and
neonatal outcomes: a prospective cohort study.
AB - BACKGROUND/OBJECTIVE: The purpose of this study is to investigate the use of a
more biologic parameter for evaluation of the effect of nuchal cord tightness;
the study of blood flow in the umbilical arteries of nuchal cord using Doppler
ultrasonography. METHODS: This prospective cohort study was conducted at Ain
Shams University Maternity Hospital, Cairo, Egypt in the period between August
2015 and August 2017. Hundred primigravidas were recruited with nuchal cord
diagnosed by Doppler ultrasonography; whereas the rest of the study population
was included in the "Control group". Doppler velocimetry study was then performed
on a free-floating loop of the umbilical cord and Doppler indices were
calculated. Both groups were followed up during labor: intrapartum events, mode
of delivery, and neonatal outcome were recorded. RESULTS: Intrapartum fetal heart
rate abnormalities were significantly more common in the nuchal cord group
compared to the control group. The overall cardiotocography category was
significantly more commonly reflecting abnormal fetal heart rate patterns in the
nuchal cord group compared to the control group with 46.74% of the nuchal group
patients falling within the "suspicious - pathological - need urgent
intervention" categories. Intervention rate was significantly higher in the
nuchal cord group than the control group (33.69 versus 21.84%). Moreover,
incidence of intrapartum fetal heart rate abnormalities and intervention rate
were significantly higher in the nuchal cord with abnormal Doppler subgroup
compared to both nuchal cord with normal Doppler subgroup and the control group;
with a calculated number needed to harm of 2.11. CONCLUSIONS: In view of these
results, it might be concluded that umbilical cord tightness affecting fetal
hemodynamics (expressed by changes in umbilical artery Doppler) might be a
determinate factor affecting the intrapartum course.
PMID- 29635954
TI - Pelvic floor muscle function and EMG in nulliparous women of different ages: a
cross-sectional study.
AB - BACKGROUND: Although aging is considered a risk factor for pelvic floor muscle
dysfunction (PFMD), the effect of aging on the function of the pelvic floor
muscles (PFM) remains unclear. OBJECTIVE: To compare PFM function and activity in
nulliparous women in different age groups. METHODS: This was a cross-sectional
study in which 70 women with ages between 18 and 69 years were divided into five
age groups for evaluation. Initially, medical histories were taken, and then the
PFM function was assessed using digital palpation (Modified Oxford Scale),
manometry and surface electromyography (sEMG). The Kruskal-Wallis test was
applied to check the differences between the groups. The Spearman correlation
coefficient was used to verify the correlations between age and PFM function,
manometry values and sEMG. A significance level of 5% was adopted. RESULTS: No
significant differences were observed between the different age groups in PFM
digital palpation, manometry values and sEMG. There was a low negative
correlation between age root mean square and peak of sEMG signals. CONCLUSION:
This study found no difference in PFM function and activity between nulliparous
women in the five different age groups. Future long-term large prospective
studies are required to confirm these findings.
PMID- 29635956
TI - Osteoarthritis progression of the shoulder: A long-term follow-up after mini-open
rotator cuff repair.
AB - OBJECTIVE: This study aimed to investigate whether (1) the primary repair of
rotator cuff tears can prevent the progression of osteoarthritis (OA) and (2) the
quality of postoperative cuff integrity affects the incidence of osteoarthritic
changes. METHODS: A total of 86 patients treated with mini-open repair for
rotator cuff tears over a minimum of 10 years of follow-up (mean +/- standard
deviation 11.1 +/- 1.0 years) were retrospectively analyzed. Preoperative and
postoperative radiographs of the affected and unaffected sides were compared, and
the degree of OA was evaluated using the Samilson and Prieto classification.
Magnetic resonance imaging was used to evaluate cuff integrity, classify patients
into good and poor cuff integrity groups, and compare the degree of OA between
the two groups. RESULTS: OA deteriorated either significantly or to a similar
degree on both sides postoperatively. However, OA progressed in significantly
more cases on the affected side. A comparison between the aforementioned cuff
integrity groups showed that the postoperative OA of the poor cuff integrity
group was significantly worse than that of the good cuff integrity group on the
affected side. CONCLUSION: Our study showed that even if rotator cuff tears are
repaired, the progression of osteoarthritic changes cannot be halted. The
progression of OA was affected by cuff integrity. Rotator cuff dysfunction due to
poor cuff integrity was a risk factor for shoulder arthritis.
PMID- 29635957
TI - Analysis of glenohumeral morphological factors for anterior shoulder instability
and rotator cuff tear by magnetic resonance imaging.
AB - OBJECTIVE: The aim of this study was to investigate whether there are
glenohumeral morphological differences between normal population, glenohumeral
instability, and rotator cuff pathology. METHOD: In this study, shoulder magnetic
resonance (MR) images of 150 patients were evaluated. Patients included in the
study were studied in three groups of 50 individuals: patients with anterior
shoulder instability in group 1, patients with rotator cuff tear in group 2, and
control subjects without shoulder pathology in group 3. RESULTS: There were
statistically significant differences between groups in evaluations for glenoid
version, glenoid coronal height, glenoid coronal diameter, humeral axial and
coronal diameters, and coracohumeral interval distances. Significant differences
were observed between groups 2 and 3 in glenoid axial diameter, glenoid coronal
height, glenoid depth, humeral coronal diameter, and coracohumeral distances.
CONCLUSION: The results obtained in this study suggest that glenoid version,
glenoid coronal height and diameter, humeral diameter, and coracohumeral interval
parameters in glenohumeral morphology-related parameters in patients with
anterior instability are different from those of normal population and patients
with rotator cuff pathology. In cases where there is a clinically difficult
diagnosis, these radiological measurements will be helpful to clinicians in
diagnosis and treatment planning, especially in cases of treatment-resistant
cases.
PMID- 29635958
TI - Hydrogen peroxide promotes the activation of preeclampsia peripheral T cells.
AB - Preeclampsia (PE) is a pregnancy disorder with a high mortality rate. Patients
with PE exhibit systemic high oxidative stress status and inflammatory immune
activation. This study aims to define the role of H2O2 in the activation of
neutrophils and T lymphocytes in PE patients. CD3+/HLA-DR+ cells in blood from PE
patients are remarkably increased compared with those of normal non-pregnancies
or normal pregnancies, while the percentage of CD3+/CD62L+ cells is significantly
reduced in PE patients compared to normal pregnancies. Furthermore, CD62L levels
in granulocytes of periphery blood of PE patients are significantly higher than
non-pregnancies, but significantly lower than normal pregnancies. To characterize
the effects of intracellular reactive oxygen species (ROS) on T lymphocyte
activation in PE patients, PBMCs from normal pregnancies were challenged with
H2O2, and intracellular ROS levels in neutrophil granulocytes, as well as T cell
surface marker levels, have been determined. We confirm that H2O2 exposure
increases intracellular ROS levels in neutrophil granulocytes, and increases the
proportion of CD3+/HLA-DR+ cells, but does not alter the percentage of
CD3+/CD62L+ cells in PBMCs. Our study has confirmed dysregulated CD3+/HLA-DR+ and
CD3+/CD62L+ T lymphocytes in PE patient peripheral blood, and the dysregulative
effects of H2O2 on T lymphocyte activation, suggesting a novel mechanism of
immune activation in PE.
PMID- 29635959
TI - Exploring the diet and lifestyle changes contributing to weight gain among
Australian West African women following migration: A qualitative study.
AB - AIMS AND OBJECTIVES: This paper reports on women's experiences of weight gain and
obesity as they became acculturated to the Australian diet and lifestyle.
BACKGROUND: Migrants from sub-Saharan Africa have a much higher risk of obesity
than the native population when settling in industrialised countries. METHOD:
Qualitative. RESULTS: Women in this study reported weight gain post-migration.
This was attributed to increased access to a wide variety of food including
takeaway food and more sedentary lifestyles. CONCLUSIONS: Obesity has long-term
consequences for health and well-being. Further research is needed to support a
healthy transition to life in Australia. RELEVANCE TO CLINICAL PRACTICE: Gaining
insight into the underlying reasons that West African immigrants to Australia
become obese could contribute to assisting health professionals design culturally
appropriate interventions and health education programmes to support new
arrivals.
PMID- 29635960
TI - Abdominal organ protection strategy for aortic arch aneurysm surgery.
AB - INTRODUCTION: Body temperature maintained during open distal anastomosis in
patients who undergo aortic surgery has been showing an upward trend; however, a
higher temperature may increase visceral organ and spinal cord injury. Distal
perfusion may reduce abdominal organ injury, especially acute kidney injury
(AKI). METHODS: From 2009 to 2016, 56 patients who underwent ascending aortic
and/or aortic arch surgery were enrolled. Open distal anastomosis was performed
using one of three protection strategies: 1) systemic temperature of 25 degrees C
followed by selective cerebral perfusion (SCP) with lower body circulatory arrest
(Group CA25, n=27); 2) systemic temperature of 28 degrees C followed by SCP with
lower body circulatory arrest (Group CA28, n=4); and 3) systemic temperature of
28 degrees C followed by SCP with distal aortic perfusion (Group DP, n=25).
RESULTS: During the postoperative course, levels of blood urea nitrogen,
creatinine, liver enzymes, lactate dehydrogenase and lactate in Groups CA28 and
CA25 were significantly higher than those in Group DP. AKI defined by the AKI
Network occurred in 28 cases (50%) and 3 cases required permanent hemodialysis.
AKI was significantly higher in Groups CA25 and CA28 than in Group DP (p=0.026).
Mid-term follow-up showed that patients who developed postoperative AKI were more
likely to suffer from cardiovascular events. CONCLUSIONS: Distal perfusion during
open distal anastomosis reduced kidney and liver injury after thoracic aortic
surgery despite an increased body temperature of up to 28 degrees C. This
strategy may be useful to prevent AKI, liver dysfunction, the need for
hemodialysis and multiple organ failure and could improve mid-term results.
PMID- 29635961
TI - Extended negative binomial hurdle models.
AB - Poisson models are widely used for statistical inference on count data. However,
zero-inflation or zero-deflation with either overdispersion or underdispersion
could occur. Currently, there is no available model for count data, that allows
excessive occurrence of zeros along with underdispersion in non-zero counts, even
though there have been reported necessity of such models. Furthermore, given an
excessive zero rate, we need a model that allows a larger degree of
overdispersion than existing models. In this paper, we use a random-effect model
to produce a general statistical model for accommodating such phenomenon
occurring in real data analyses.
PMID- 29635962
TI - An omnibus test for the global null hypothesis.
AB - Global hypothesis tests are a useful tool in the context of clinical trials,
genetic studies, or meta-analyses, when researchers are not interested in testing
individual hypotheses, but in testing whether none of the hypotheses is false.
There are several possibilities how to test the global null hypothesis when the
individual null hypotheses are independent. If it is assumed that many of the
individual null hypotheses are false, combination tests have been recommended to
maximize power. If, however, it is assumed that only one or a few null hypotheses
are false, global tests based on individual test statistics are more powerful
(e.g. Bonferroni or Simes test). However, usually there is no a priori knowledge
on the number of false individual null hypotheses. We therefore propose an
omnibus test based on cumulative sums of the transformed p-values. We show that
this test yields an impressive overall performance. The proposed method is
implemented in an R-package called omnibus.
PMID- 29635963
TI - Tau-independent Phase Analysis: A Novel Method for Accurately Determining Phase
Shifts.
AB - Estimations of period and phase are essential in circadian biology. While many
techniques exist for estimating period, comparatively few methods are available
for estimating phase. Current approaches to analyzing phase often vary between
studies and are sensitive to coincident changes in period and the stage of the
circadian cycle at which the stimulus occurs. Here we propose a new technique,
tau-independent phase analysis (TIPA), for quantifying phase shifts in multiple
types of circadian time-course data. Through comprehensive simulations, we show
that TIPA is both more accurate and more precise than the standard actogram
approach. TIPA is computationally simple and therefore will enable accurate and
reproducible quantification of phase shifts across multiple subfields of
chronobiology.
PMID- 29635964
TI - Validation of the Reading the Mind in the Eyes Test in a healthy Spanish sample
and women with anorexia nervosa.
AB - INTRODUCTION: The aim of this study was to build a Spanish version of the Reading
the Mind in the Eyes Test (RMET) including limited time of response and an
integrated glossary, and to test its validity. METHODS: A total of 433 university
students (121 men and 350 women) and 38 anorexic women completed the RMET and
other related measures of empathy and alexithymia. The results of the Parallel
Analysis suggested a unidimensional structure for 19 items, which was verified
through a Confirmatory Factor Analysis. RESULTS: Similarly to other research,
this factor had a low reliability (alpha = .56, rho = .59); however, regarding
validity, the total score of the instrument showed positive correlations with
empathy and negatives with alexithymia. Furthermore, healthy females were
superior to males in RMET, and to anorexic women; but no significant differences
appeared between healthy men and the anorexic group. CONCLUSION: This study
confirms the validity of the test and permits a relatively short and inexpensive
means of administration in large samples of adults. Besides, it suggests the
necessity of assessing and treating the theory of mind in anorexic women.
PMID- 29635965
TI - Diagnosis and endovascular treatment of iliac venous compression syndrome.
AB - Objectives To report *The first two authors contributed equally to this work. our
clinical experience on diagnostic criteria and endovascular management in
patients with iliac venous compression syndrome. Method Between July 2013 and May
2015, 85 consecutive patients with suspected iliac venous compression syndrome
were evaluated by transfemoral venography and intravascular ultrasonography.
Venographic evidence of iliac venous occlusion, stenosis, or pelvic collateral
vessels, and the degree of stenosis as examined with intravascular
ultrasonography were recorded. The endovascular procedure, complications,
clinical outcome, and the Venous Clinical Severity Score were evaluated before
and after the intervention. Results Of the 85 limbs, 66 cases of iliac venous
compression syndrome were confirmed and 19 cases were excluded. In all of the 66
patients, we successfully performed endovascular intervention (22 balloon
dilations, 44 balloon dilations + stenting). Two patients with stent implantation
developed acute lower extremity deep vein thrombosis, resulted in successful
lysis of the thrombus with catheter-directed thrombolysis. Conclusions The
presence of intraluminal spurs and pelvic collateral vessels represents not only
pathological and anatomical changes by long-term mechanical compression, but also
indicators of the severity of iliac venous compression syndrome. The degree of
stenosis cannot accurately represent the severity and treatment of iliac venous
compression syndrome, especially in the right iliac vein. Endovascular
intervention is a safe and effective treatment that reduces lower extremity
symptoms. Full and intentional dilation of the intraluminal spurs is an important
technical aspect, which is often ignored.
PMID- 29635966
TI - A survey of mobile phone use in the provision of palliative care services in the
African region and priorities for future development.
AB - Introduction Palliative care (PC) services in the African region need to adapt to
manage rising numbers of patients with cancer or other life-limiting conditions.
Mobile phone use in healthcare delivery (mHealth) is at an early stage of
development for PC, but may provide new approaches to supporting patients
regionally, particularly those with non-communicable diseases. Methods We
conducted an online survey of 51 PC providers across 21 countries in the African
region to identify: (i) current mHealth use in PC service delivery; (ii)
potential barriers to mHealth use; and (iii) provider priorities for research
development. Results mHealth approaches were reported across 71.4% of services in
which respondents were based. Barriers to mHealth research include patients not
having access to phones, mobile network access, and limited access to expertise
and hardware required for mHealth. Research priorities were identified which
included exploring ways of incorporating mHealth into patient care and ensuring
access and relevance of mHealth for patients and health professionals. Discussion
mHealth approaches are present across PC services in the African region, but so
too are barriers to their use. Further work is required to explore how existing
mHealth activities might be further developed and aligned with priority areas for
PC development. Crucially, user engagement that seeks to understand the
preferences and priorities of patients with PC needs, their caregivers, and those
involved in the provision of PC should remain central to these efforts.
PMID- 29635967
TI - Anabolic-androgenic steroid use and correlates in Norwegian adolescents.
AB - This paper surveys the prevalence and correlates of anabolic androgenic steroids
(AAS) use among Norwegian adolescents, and examines the degree to which sports
participation is a mediating or moderating factor to well-known correlations
between AAS use and problem behaviour. The data come from the "Ungdata" study, a
cross-national youth survey system offered to all municipalities in Norway
(response rate: 74%, N = 77,572). The study demonstrates a lifetime prevalence of
AAS use of 1.27% and a higher prevalence among boys (1.81%) than girls (0.76%).
The analyses show that AAS use is clearly related to problem behaviour such as
violence and other substance use. When controlling for problem behaviour, there
are no correlations between AAS use and exercising in a sports club or on one's
own, whilst there is a weak positive correlation between AAS use and exercising
in a gym or engaging in other forms of physical exercise such as dancing or
martial arts. These patterns are more or less the same for boys and for girls. We
conclude that adolescent AAS use is a low-prevalence phenomenon that primarily
takes place in smaller subgroups of individuals who engage in other forms of
problem behaviour as well.
PMID- 29635968
TI - Kallikreins Stepwise Scoring Reveals Three Subtypes of Papillary Thyroid Cancer
with Prognostic Implications.
AB - BACKGROUND: Papillary thyroid cancer (PTC) is the most common type of thyroid
cancer. Unlike most cancers, its incidence has dramatically increased in the last
decades mainly due to increased diagnosis of indolent PTCs. Adequate risk
stratification is crucial to avoid the over-treatment of low-risk patients, as
well as the under-treatment of high-risk patients, but the currently available
markers are still insufficient. Kallikreins (KLKs) are emergent biomarkers in
cancer, but their involvement in PTC is unknown. METHODS: This study analyzed DNA
methylation (HumanMethylation arrays) and gene expression (RNA-Seq) of KLKs, BRAF
and RAS mutations, and clinical data from four published thyroid cancer data sets
including normal and tumor tissues (n = 73, n = 475, n = 20, and n = 82) as
discovery, training, and validation series. The C4.5 classification algorithm was
used to generate a decision tree. Disease-free survival was estimated using
Kaplan-Meier and Cox approaches. Specific analyses were performed using real-time
polymerase chain reaction and immunohistochemistry. RESULTS: The entire KLK
family was deregulated in PTC, displaying a specific epigenetic and
transcriptional profile strongly associated with BRAFV600E or RAS mutations.
Thus, a decision-tree algorithm was developed based on three KLKs with >80%
sensitivity and >95% specificity, identifying BRAF- and RAS-mutated tumors.
Notably, tumors lacking these mutations were classified as BRAF- or RAS-like.
Most importantly, the KLK algorithm uncovered a novel PTC subtype showing
favorable prognostic features. CONCLUSIONS: The KLK algorithm could lead to a new
clinically applicable strategy with important implications for the risk
stratification of PTC and the management of patients.
PMID- 29635969
TI - Investigating the use of pre-training measures of autonomic regulation for
assessing functional overreaching in endurance athletes.
AB - The use of heart rate variability (HRV) to inform daily training prescription is
becoming common in endurance sport. Few studies, however, have investigated the
use of pre-training HRV to predict decreased performance or altered exercising
autonomic response, typical of functional overreaching (FOR). Further, a new
cardiac vagal tone (ProCVT) technology purports to eliminate some of the noise
associated with daily HRV, and therefore may be better at predicting same-day
performance. The purpose of this investigation was to examine if changes to
resting HRV and ProCVT were associated with alterations in performance, maximal
heart rate (HRmax), or heart rate recovery (HRrec) in FOR athletes. Twenty-eight
recreational cyclists and triathletes were assigned to experimental/control
conditions and underwent: 1 week of reduced training, 3 weeks of overload (OL) or
regular training (CON), and 1 week of recovery. Testing occurred following the
reduced training week (T1), post-3 weeks of training (T2), and following the
recovery week (T3). Measures of resting HRV/ProCVT were collected each testing
session, followed by maximal incremental exercise tests with HRrec taken 60 s
post-exercise. Performance decreased from T1 to T2 in the OL group vs. CON (Delta
9 +/- 12 vs. Delta9 +/- 11 W, P < .001), as did HRmax (Delta-8 +/- 4 vs. Delta-2
+/- 4 bpm, P < .001). HRrec increased from T1 to T2 in the OL group vs. CON
(Delta10 +/- 9 vs. Delta2 +/- 5 beats/min, P < .01). HRV and ProCVT did not
change in either group. Same-day resting autonomic measures are insufficient in
predicting alterations to performance or exercising HR measures following
overload training.
PMID- 29635970
TI - Bone mineral density changes in the graft after acetabular impaction bone
grafting in primary and revision hip surgery.
AB - Background and purpose - Impaction bone grafting (IBG) is an established method
in hip revision surgery to reconstruct loss of bone stock. There is limited
knowledge concerning the actual bone remodelling process within the allograft. We
investigated with repeated bone mineral density (BMD) measurements the biological
process of bone remodelling in the allograft in vivo. We hypothesized that an
initial decrease in BMD would be followed by an increase towards baseline values.
Patients and methods - Dual-energy X-ray absorptiometry (DXA) was used to measure
BMD values in 3 regions of interest (ROI) in 20 patients (average age at surgery
70 years, 11 males) after an acetabular reconstruction with IBG and a cemented
cup. A postoperative DXA was used as baseline and DXA was repeated at 3 and 6
months and at 1 and 2 years. The Oxford Hip Score (OHS), the 12-Item Short Form
Health Survey (SF12), and a 0 to 100 mm visual analogue scale (VAS) for pain and
satisfaction were obtained simultaneously. Results - The overall mean BMD in the
IBG regions increased significantly by 9% (95% CI 2-15) at 2 years' follow-up. In
the cranial ROI BMD increased 14% (CI 6-22), whereas the BMD in the medial and
caudal ROI showed an increase of 10% (CI 1-18) and 4% (CI -6-16), respectively.
The OHS, SF12-mental, and VAS for pain all improved statistically significantly 2
years after surgery, with a mean VAS for satisfaction of 77 (CI 63-90) out of 100
points. The SF12-physical showed non-significant improvement. Interpretation -
The BMD in the allograft gradually increased after IBG for acetabular
reconstruction arthroplasties, particularly in the cranial ROI. An initial
decrease in the BMD was not encountered. These BMD changes, as proxy measurements
for bone remodeling, may indicate progressive apposition of vital new host bone
in the grafted area.
PMID- 29635971
TI - Osteochondral lesions of the talus.
AB - Background and purpose - The frequency of progression of osteoarthritis and
persistence of symptoms in untreated osteochondral lesion of the talus (OCL) is
not well known. We report the outcome of a nonoperative treatment for symptomatic
OCL. Patients and methods - This study included 142 patients with OCLs from 2003
to 2013. The patients did not undergo immobilization and had no restrictions of
physical activities. The mean follow-up time was 6 (3-10) years. Initial MRI and
CT confirmed OCL and showed lesion size, location, and stage of the lesion.
Progression of osteoarthritis was evaluated by standing radiographs. In 83
patients, CT was performed at the final follow-up for analyses of the lesion
size. We surveyed patients for limitations of sports activity, and Visual
Analogue Scales (VAS), AOFAS, and SF-36 were assessed. Results - No patients had
progression of osteoarthritis. The lesion size as determined by CT did not change
in 69/83 patients, decreased in 5, and increased in 9. The mean VAS score of the
142 patients decreased from 3.8 to 0.9 (p < 0.001), the mean AOFAS ankle-hindfoot
score increased from 86 to 93 (p < 0.001), and the mean SF-36 score increased
from 52 to 72 (p < 0.001). Only 9 patients reported limitations of sports
activity. The size and location of the lesion did not correlate with any of the
outcome scores. Interpretation - Nonoperative treatment can be considered a good
option for patients with OCL.
PMID- 29635972
TI - Introduction to human factors and ergonomics.
PMID- 29635974
TI - A Bayesian two-stage spatially dependent variable selection model for space-time
health data.
AB - In space-time epidemiological modeling, most studies have considered the overall
variations in relative risk to better estimate the effects of risk factors on
health outcomes. However, the associations between risk factors and health
outcomes may vary across space and time. Especially, the temporal patterns of the
covariate effects may depend on space. Thus, we propose a Bayesian two-stage
spatially dependent variable selection approach for space-time health data to
determine the spatially varying subsets of regression coefficients with common
temporal dependence. The two-stage structure allows reduction of the spatial
confounding bias in the estimates of the regression coefficients. A simulation
study is conducted to examine the performance of the proposed two-stage model. We
apply the proposed model to the number of inpatients with lung cancer in 159
counties of Georgia, USA.
PMID- 29635975
TI - Bootstrap-based testing approaches for the assessment of the diagnostic accuracy
of biomarkers subject to a limit of detection.
AB - Assessment of the diagnostic accuracy of biomarkers through receiver operating
characteristic curve analysis frequently involves a limit of detection imposed by
the laboratory analytical system precision. As a consequence, measurements below
a certain level are undetectable and ignoring these is known to lead to
negatively biased estimates of the area under the receiver operating
characteristic curve. In this article, we introduce two receiver operating
characteristic curve-based parametric approaches that tackle the issue of correct
assessment of diagnostic markers in the presence of a limit of detection.
Proposed approaches are simulation-based utilising bootstrap methodology. Non
parametric alternatives that are naively used in the literature do not solve the
inherent problem of limit of detection values which are treated as censored
observations. However, the latter seems to perform adequately well in our
simulation study. Nonparametric bootstrap was consistently used throughout, while
other bootstrap alternatives performed similarly in our pilot simulation study.
The simulation study involves the comparison of parametric and non-parametric
options described here versus alternative strategies that are routinely used in
the literature. We apply all methods to a study-setting resembling a chemical
quasi-standard situation, where compound tumour biomarkers were searched within a
multi-variable set of measurements to discriminate between two groups, namely
colorectal cancer and controls. We focus in the assessment of glutamine and
methionine.
PMID- 29635976
TI - Disability and health outcomes - from a cohort of people on long-term anti
retroviral therapy.
AB - Human-immunodeficiency virus (HIV)/Acquired immunodeficiency Syndrome (AIDS)
remains a major health problem in South Africa - even after two decades since the
introduction of antiretroviral therapy (ART). Long-term survival with HIV is
associated with new health-related issues and a risk of functional
limitation/disability. The aim of this study was to assess functional limitation
associated with HIV/AIDS among people living with HIV (PLHIV) in South Africa.
This study is a cross-sectional survey using a cohort in an urban area in Gauteng
province, South Africa. Data were collected using questionnaires through an
interview process. The information collected included aspects such as
demographics, livelihood, the state of mental and physical health, adherence and
disability. A total of 1044 participants with an average age of 42 +/- 12 years
were included in the study, with 51.9% of the participants reporting functional
limitations (WHODAS >= 2). These were reported mainly in the domains of
participation (40.2%) and mobility (38.7%). In addition, adherence to ART,
symptoms of poor physical health and depression were strongly associated with
their functional limitations/disability. HIV as a chronic disease is associated
with functional limitations that are not adequately addressed and pose a risk of
long-term disability and negative adherence outcomes. Therefore, wellness for
PLHIV/AIDS needs to include interventions that can prevent and manage disability.
PMID- 29635977
TI - The Sharing of Research Data in the Cell & Tissue Engineering Area: Is It a
Common Practice?
AB - The availability of research data sets is an important milestone because it can
enhance the dynamics of research. This study aims to analyze the PubMed Central
repository to determine the availability and type of raw data sets in Cell &
Tissue Engineering journals indexed in Journal Citation Reports. The number and
types of files were registered. The main finding of this study is that, beyond
the mandatory deposit of data in specific repositories that some journals
require, the exchange of data as supplementary material in the Cell & Tissue
Engineering journals is not a common practice since researchers are still
reticent to do so.
PMID- 29635978
TI - Brn2 Alone Is Sufficient to Convert Astrocytes into Neural Progenitors and
Neurons.
AB - Generating neurons or neural progenitor cells (NPCs) from astrocytes is a
potential strategy for neurological repair by reprogramming. Previous study has
showed that Brn2, by cooperating with other factors, participates in neurogenesis
and neuronal reprogramming. However, it is still unclear whether the Brn2 alone
can convert astrocytes into neurons or NPCs. Here, we explored the effect of Brn2
on reprogramming of astrocytes, and found that a single transcription factor Brn2
can convert mouse astrocytes into functional neurons. Furthermore, the Brn2
infected astrocytes can be induced into NPCs after changing culture condition. In
addition, our study found that the reprogramming of astrocytes and the fate of
transdifferentiated cells are closely associated with cell microenvironmental
factors, such as the brain regions where the astrocytes come from, the
proliferation ability of astrocytes, and culture condition of infected
astrocytes. To sum up, for the first time, our results demonstrated that Brn2
alone is sufficient to convert astrocytes into neural progenitors and neurons,
and the conversion is associated with cell microenvironments. This new conversion
method will be a potential therapeutic approach to restore the injured diseased
brain in regenerative medicine.
PMID- 29635980
TI - Professor Krystyna Kotelko and her contribution to the study of Proteus
endotoxin.
AB - Professor Krystyna Kotelko was working as a microbiologist at the University of
Lodz (Poland). Her main object of study was the LPS (endotoxin) of opportunistic
urinary pathogens from the genus Proteus. She demonstrated, for the first time,
the presence of uronic acids and amino acids, as well as two heptoses (L- glycero
D- manno-heptose and D- glycero-D- manno-heptose) and hexosamines in Proteus LPS,
and developed a classification scheme of the Proteus LPS into chemotypes. Prof
Kotelko also initiated studies on the chemical structure of Proteus O-specific
polysaccharide and investigations on the serological specificity of this part of
LPS, as well its core region. She also analysed the virulence factors of these
bacteria, such as haemolysin and invasiveness.
PMID- 29635981
TI - Plasma microRNA-21, microRNA-146a and IL-13 expression in asthmatic children.
AB - Childhood asthma represents a worldwide problem, involving genetic, immune
defense and environmental components. MicroRNAs (miRs) are non-coding, single
stranded RNAs involved in immune regulation. The aim was to evaluate clinical
potential of plasma miR-21 and miR-146a involved in T helper differentiation in
childhood asthma and non-asthmatic controls. Group 1 consisted of 27 asthmatic
children receiving inhaled corticosteroids (ICSs), which was compared to group 2
with 21 healthy control children. All patients were assessed by pulmonary
function tests. miR-21 and miR-146a expression levels were determined by real
time quantitative PCR, and IL-13 was measured using ELISA. Group 1 showed
significant up-regulation of plasma miR-21 and miR-146a levels with mean values
42.6-fold and 4.7-fold higher than average expression, respectively, in group 2.
miR-21 levels positively correlated with IL-13 levels and eosinophil percentage,
while miR-146a only correlated to eosinophil percentage. There was a linear
association between each of miR-21 and miR-146a expression and FEV1 (forced
expiratory volume in the first second), miR-21 and miR-146a are up-regulated in
asthmatic children. miR-21 served as a better asthma biomarker. Association
between both markers and FEV1 points to their role in determining asthma outcome
following ICS treatment. miR-21 and miR-146a play a role in eosinophilic
endotypic classification of asthma.
PMID- 29635984
TI - 'Marginal voices' - a quest to improve mental health diagnosis among the deaf
community in the UK.
PMID- 29635986
TI - Cultural postpartum practices of 'doing the month' in China.
PMID- 29635987
TI - The power of the senses in public health.
PMID- 29635988
TI - From high street to main street: revising and utilizing the Richter Scale for
Health in an urban center in the US.
PMID- 29635989
TI - Reducing tobacco smoke exposure for vulnerable groups: hospital settings and
teachable moments.
PMID- 29635991
TI - Medical students are well placed to promote health.
PMID- 29635992
TI - Erratum.
AB - Pasolini MP, Pagano TB, Costagliola A, et al. Inflammatory Myopathy in Horses
With Chronic Piroplasmosis. Vet Path. 2017; 55(1):133-143. (Original DOI:
10.1177/0300985817716262) In volume 55, issue 1, page 133, the tenth author
Vincenzo Veneziano was incorrectly listed as Veneziano Veneziano. This has been
corrected online.
PMID- 29635993
TI - Promoting Global Health Through Pathology: Initiation of the Global Health
Pathology Network.
PMID- 29635994
TI - Multifaceted Roles of Autophagy in Health and Disease.
PMID- 29635995
TI - Reconsidering Forced Labels: Outcomes of Sexual Assault Survivors Versus Victims
(and Those Who Choose Neither).
AB - The goal of the current study was to assess the effects of self-labeling on
attitudes related to victim- and self-blaming and self-compassion outcomes in 85
participants (75 women, 10 men) who have experienced sexual assault. Participants
classified themselves as either a survivor, victim, or neither survivor nor
victim of sexual assault. Regardless of self-classification, groups did not
differ in victim-blaming (rape myth acceptance), self-blaming, or levels of self
compassion. Implications for language and forced labels of those who have
experienced sexual assault are discussed.
PMID- 29635996
TI - Increased flexibility, pain reduction and unaltered levels of IL-10 and CD11b +
lymphocytes in patients with systemic lupus erythematosus were associated with
kinesiotherapy.
AB - The effect of physical activity on the immune system is still poorly understood
in cases of systemic lupus erythematosus (SLE). Therefore, our aim was to
investigate differences in the serum levels of cytokines (IL-2, IL-5, IL-6, IL-8,
IL-10 and TNF-alpha) and the numbers of CD11b + and CXCR2 + neutrophils and
lymphocytes in women with SLE undergoing drug treatment, without ( n = 9) or with
( n = 5) 4 months of kinesiotherapy. Parameters related to functional capacity
were also analyzed. In the case of the patients who were not submitted to
kinesiotherapy, there were reductions in the levels of IL-5, IL-6 and IL-10, and
an increase in the number of CD11b + leukocytes, in addition to an increase in
abdominal circumference after the monitoring time. Patients submitted to
kinesiotherapy did not present changes in serum cytokines or in the numbers of
CD11b + and CXCR2 + neutrophils and lymphocytes, but there were increases of
flexibility and strength, as well as a reduction in pain sensation after the
monitoring time. In conclusion, kinesiotherapy was able to increase flexibility
and reduce pain in SLE patients without influencing immune parameters.
PMID- 29635997
TI - Natural history of longitudinally extensive transverse myelitis in 35 Hispanic
patients with systemic lupus erythematosus: good short-term functional outcome
and paradoxical increase in long-term mortality.
AB - Background and objective Acute transverse myelitis (TM) is an infrequent
neurological complication of systemic lupus erythematosus (SLE). Short-term
outcome varies widely between cohorts. Little is known about the epidemiology and
long-term functional outcome of TM associated to SLE. Methods Patients with SLE
and acute TM were identified during hospital admission, visits to the Emergency
Room or the Neurology Outpatient Clinic. We evaluated ambispectively those
patients with SLE presenting with clinical myelopathy and corroborated with
spinal MRI. Cases were divided as partial (non-paralyzing) or complete
(paralyzing). We determined long-term functional outcome as well as mortality in
those patients with follow-up periods of at least five years. Results We
identified 35 patients (partial, n = 15; complete, n = 20) in which complete
clinical and imaging data were available (26 with follow-up >= 5 years). Patients
with complete TM were significantly older than those with partial forms. Positive
antiphospholipid antibodies were observed in 80% of patients, suggesting a
possible mechanistical role. Surprisingly, functional recovery at one year was in
general good; however, we observed a five-year mortality of 31% because of sepsis
(in 10 cases) or pulmonary embolism (in one case). Conclusions Short-term outcome
of SLE-related TM is generally good, and recurrence rate is low. However, we
observed a long-term fatality rate of 31% for reasons unrelated to TM, suggesting
that TM is a manifestation of severe immune dysregulation and a predictor of
severity and mortality in patients with SLE.
PMID- 29635998
TI - Combined mepacrine-hydroxychloroquine treatment in patients with systemic lupus
erythematosus and refractory cutaneous and articular activity.
AB - Aim The aim of this study was to evaluate the clinical response to combined
therapy with hydroxychloroquine and mepacrine in patients with systemic lupus
erythematosus and refractory joint and/or skin disease. Methods Mepacrine was
added to 46 systemic lupus erythematosus patients unresponsive to treatment with
the following drug combinations: hydroxychloroquine + prednisone +
immunosuppressive drugs ( n = 24), hydroxychloroquine + prednisone ( n = 16),
hydroxychloroquine + prednisone + retinoids ( n = 2), hydroxychloroquine alone (
n = 1), hydroxychloroquine + one immunosuppressive drug ( n = 1),
hydroxychloroquine + prednisone + one immunosuppressive drug + belimumab ( n = 1)
or hydroxychloroquine + prednisone + belimumab ( n = 1). The outcome variable was
the clinical response, either complete or partial, based on clinical judgement.
The Cutaneous Lupus Erythematosus Disease Area and Severity Index (CLASI) and the
Systemic Lupus Erythematosus Disease Activity Index (SLEDAI) score were
additionally used. Results A total of 91% patients showed complete/partial
response, with similar rates among those with joint or skin disease. In patients
with cutaneous activity, a statistically significant decrease in the CLASI was
seen. There also was a statistically significant decrease in the SLEDAI. The mean
daily dose of prednisone decreased from 5.8 to 3.4 mg/d ( p = 0.001). Prednisone
could be discontinued in 20% of patients. No serious adverse events were seen.
Smoking was the only predictor of complete response. Conclusion In the setting of
refractory skin and/or joint disease, the addition of mepacrine to previous
therapy including hydroxychloroquine was safe and effective in reducing disease
activity and decreasing prednisone doses. The fact that smokers responded better
opens the door to further studying the combination of mepacrine
hydroxychloroquine as a first-line therapy in such patients.
PMID- 29635999
TI - Microthrombotic renal involvement in an SLE patient with concomitant catastrophic
antiphospholipid syndrome: the beneficial effect of rituximab treatment.
AB - Antiphospholipid syndrome is characterized by multiple arterial and/or venous
thrombotic events, recurrent fetal losses in the presence of antiphospholipid
antibodies (aPL). Catastrophic antiphospholipid syndrome is a life-threatening,
rare subset of antiphospholipid syndrome when the thrombotic events affect at
least three organs, and clinical manifestations develop simultaneously or within
a week. Diagnostically, small vessel occlusions can be detected by histopathology
in the presence of aPL. Our case report describes an 18-year-old man who has been
treated for antiphospholipid syndrome associated with systemic lupus
erythematosus (SLE) since 2011. The clinical findings were dominated by recurrent
deep vein thrombosis, and severe proteinuria caused by lupus nephritis,
accompanied by mild serological and laboratory findings. The patient was
hospitalized in March 2014 because of severe thrombocytopenia and infective
diarrhoea. At this time the renal functions deteriorated rapidly. Simultaneously,
left upper extremity paresis was observed; computed tomography showed ischaemic
lesions in the territory of the middle cerebral artery. Abdominal discomfort and
pain occurred. On computed tomography scan ischaemic lesions were seen in the
spleen, the right kidney and the coeliac trunk. Laboratory and serological
findings verified the presence of aPL and anti-DNA antibodies, anaemia and
thrombocytopenia. Based on the above-mentioned clinical and laboratory findings,
the diagnosis of catastrophic antiphospholipid syndrome was established.
Anticoagulation, corticosteroids and plasma exchange treatment, as well as
haemodiafiltration were initiated. Although the thrombotic cascade decelerated
following these interventions, we could not see an improvement in the renal
function. Rituximab treatment was started, leading to a significant improvement
in renal function. After 5 weeks of treatment the patient was discharged from
hospital.
PMID- 29636000
TI - Disjunct distribution and distinct intraspecific diversification of Eothenomys
melanogaster in South China.
AB - BACKGROUND: South China encompasses complex and diverse landforms, giving rise to
high biological diversity and endemism from the Hengduan Mountains to Taiwan
Island. Many species are widely distributed across South China with similar
disjunct distribution patterns. To explore the causes of these disjunct
distribution patterns and their genetic consequences, we investigated the endemic
species Pere David's Chinese Vole (Eothenomys melanogaster) by integrating
geological and ecological factors. We analysed the genetic structure and
divergence time of E. melanogaster based on fast-evolving mitochondrial and
nuclear markers using Bayesian trees and coalescent species tree approaches.
Historical scenarios of distribution range and demography were reconstructed
based on spatial interpolations of genetic diversity and distance, extended
Bayesian skyline plots, phylogeographic diffusion analysis, and ecological niche
modelling (ENM) during different periods. We also assessed the relationships
between geographical distance/ecological vicariance and genetic distance
(isolation by distance, IBD; isolation by environment, IBE). RESULTS: The genetic
analysis revealed three deeply divergent clades-Southeast, Southwest and Central
clades, centred on the Wuyi Mountains, the Yunnan-Guizhou Plateau (YGP) and the
mountains around the Sichuan Basin, respectively-that have mostly developed since
the Pleistocene. IBD played an important role in early divergence, and geological
events (sedimentation of plains and linking of palaeo-rivers) and IBE further
reinforced genetic differentiation. ENM shows the importance of suitable habitats
and elevations. CONCLUSIONS: Our results suggest that the primary cause of the
disjunct distribution in E. melanogaster is the high dependence on middle-high
altitude habitat in the current period. Mountains in the occurence range have
served as "sky islands" for E. melanogaster and hindered gene flow. Pleistocene
climatic cycles facilitated genetic admixture in cold periods and genetic
diversification in warm periods for inland clades. During cold periods, these
cycles led to multiple colonization events between the mainland and Taiwan and
erased genetic differentiation.
PMID- 29636001
TI - Genome-wide (ChIP-seq) identification of target genes regulated by BdbZIP10
during paraquat-induced oxidative stress.
AB - BACKGROUND: bZIP transcription factors play a significant role in many aspects of
plant growth and development and also play critical regulatory roles during plant
responses to various stresses. Overexpression of the Brachypodium bZIP10
(Bradi1g30140) transcription factor conferred enhanced oxidative stress tolerance
and increased viability when plants or cells were exposed to the herbicide
paraquat. To gain a better understanding of genes involved in bZIP10 conferred
oxidative stress tolerance, chromatin immunoprecipitation followed by high
throughput sequencing (ChIP-Seq) was performed on BdbZIP10 overexpressing plants
in the presence of oxidative stress. RESULTS: We identified a transcription
factor binding motif, TGDCGACA, different from most known bZIP TF motifs but with
strong homology to the Arabidopsis zinc deficiency response element. Analysis of
the immunoprecipitated sequences revealed an enrichment of gene ontology groups
with metal ion transmembrane transporter, transferase, catalytic and binding
activities. Functional categories including kinases and phosphotransferases,
cation/ion transmembrane transporters, transferases (phosphorus-containing and
glycosyl groups), and some nucleoside/nucleotide binding activities were also
enriched. CONCLUSIONS: Brachypodium bZIP10 is involved in zinc homeostasis, as it
relates to oxidative stress.
PMID- 29636002
TI - Analyses of starch biosynthetic protein complexes and starch properties from
developing mutant rice seeds with minimal starch synthase activities.
AB - BACKGROUND: Starch is the major component of cereal grains and is composed of
essentially linear amylose and highly branched amylopectin. The properties and
composition of starch determine the use and value of grains and their products.
Starch synthase (SS) I, SSIIa, and SSIIIa play central roles in amylopectin
biosynthesis. These three SS isozymes also affect seed development, as complete
loss of both SSI and SSIIIa under reduced SSIIa activity in rice lead to
sterility, whereas presence of minimal SSI or SSIIIa activity is sufficient for
generating fertile seeds. SSs, branching enzymes, and/or debranching enzymes form
protein complexes in cereal. However, the relationship between starch properties
and the formation of protein complexes remain largely unknown. To better
understand this phenomenon, properties of starch and protein complex formation
were analyzed using developing mutant rice seeds (ss1 L /ss2a L /ss3a) in which
all three major SS activities were reduced. RESULTS: The SS activity of ss1 L
/ss2a L /ss3a was 25%-30% that of the wild-type. However, the grain weight of ss1
L /ss2a L /ss3a was 89% of the wild-type, 55% of which was starch, showing
considerable starch synthesis. The reduction of soluble SS activity in ss1 L
/ss2a L /ss3a resulted in increased levels of ADP-glucose pyrophosphorylase and
granule-bound starch synthase I, which are responsible for substrate synthesis
and amylose synthesis, respectively. Together, these features led to an increase
in apparent amylose content (34%) in ss1 L /ss2a L /ss3a compared with wild-type
(20%). Gel filtration chromatography of the soluble proteins in ss1 L /ss2a L
/ss3a showed that the majority of the starch biosynthetic enzymes maintained the
similar elution patterns as wild-type, except that the amounts of high-molecular
weight SSI (> 300 kDa) were reduced and SSIIa of approximately 200-300 kDa were
present instead of those > 440 kDa, which predominate in wild-type. Immuno
precipitation analyses suggested that the interaction between the starch
biosynthetic enzymes maybe reduced or weaker than in wild-type. CONCLUSIONS:
Although major SS isozymes were simultaneously reduced in ss1 L /ss2a L /ss3a
rice, active protein complexes were formed with a slightly altered pattern,
suggesting that the assembly of protein complexes may be complemented among the
SS isozymes. In addition, ss1 L /ss2a L /ss3a maintained the ability to
synthesize starch and accumulated less amylopectin and more amylose in starch.
PMID- 29636004
TI - Dose selection of central or peripheral administration of sufentanil affect
opioid induced cough?: a prospective, randomized, controlled trial.
AB - BACKGROUND: Opioid-induced cough (OIC) is one of the most common complications of
opioids during anesthesia induction. This study was designed to assess the
incidence of OIC mediated by different intravenous route. METHODS: A total of
102(ASA I-II) scheduled for elective surgery under general anesthesia were
randomly allocated into two groups: central vein group (group CV, n = 51) and
peripheral vein group (group PV, n = 51). The incidence, onset time and severity
of OIC were evaluated within 1 min just after sufentanil injection during
induction. Meanwhile, heart rate (HR) and blood pressure (BP) were also recorded
to assess the hemodynamic changes. RESULTS: The incidence of OIC was 10/51
(20.4%) in group CV and 16/51 (32%) in group PV, patients received central venous
administration of sufentanil experienced less OIC compared with those injected by
peripheral venous route (P < 0.05), as well as a significantly lower incidence of
severe OIC (P < 0.05). Nevertheless, the onset of OIC and hemodynamic data were
comparable between two groups (P > 0.05). CONCLUSION: Our study indicates that
sufentanil administration by central venous route reduces the incidence and
severity of OIC, but without significant changes in hemodynamic status. TRIAL
REGISTRATION: Chinese Clinical Trial Registry with registration number ChiCTR-IOR
15006075 . Registered 28 February 2015.
PMID- 29636003
TI - Malaria parasites of long-tailed macaques in Sarawak, Malaysian Borneo: a novel
species and demographic and evolutionary histories.
AB - BACKGROUND: Non-human primates have long been identified to harbour different
species of Plasmodium. Long-tailed macaques (Macaca fascicularis), in particular,
are reservoirs for P. knowlesi, P. inui, P. cynomolgi, P. coatneyi and P. fieldi.
A previous study conducted in Sarawak, Malaysian Borneo, however revealed that
long-tailed macaques could potentially harbour novel species of Plasmodium based
on sequences of small subunit ribosomal RNA and circumsporozoite genes. To
further validate this finding, the mitochondrial genome and the apicoplast
caseinolytic protease M genes of Plasmodium spp. were sequenced from 43 long
tailed macaque blood samples. RESULTS: Apart from several named species of
malaria parasites, long-tailed macaques were found to be potentially infected
with novel species of Plasmodium, namely one we refer to as "P. inui-like." This
group of parasites bifurcated into two monophyletic clades indicating the
presence of two distinct sub-populations. Further analyses, which relied on the
assumption of strict co-phylogeny between hosts and parasites, estimated a
population expansion event of between 150,000 to 250,000 years before present of
one of these sub-populations that preceded that of the expansion of P. knowlesi.
Furthermore, both sub-populations were found to have diverged from a common
ancestor of P. inui approximately 1.5 million years ago. In addition, the
phylogenetic analyses also demonstrated that long-tailed macaques are new hosts
for P. simiovale. CONCLUSIONS: Malaria infections of long-tailed macaques of
Sarawak, Malaysian Borneo are complex and include a novel species of Plasmodium
that is phylogenetically distinct from P. inui. These macaques are new natural
hosts of P. simiovale, a species previously described only in toque monkeys
(Macaca sinica) in Sri Lanka. The results suggest that ecological factors could
affect the evolution of malaria parasites.
PMID- 29636005
TI - Nuancing the role of social skills- a longitudinal study of early maternal
psychological distress and adolescent depressive symptoms.
AB - BACKGROUND: Social skills might play an important role for the relationship
between maternal psychological distress and subsequent development of depressive
symptoms. The majority perspective is that social skills is adaptive and
protective, but there is a need to also highlight the potential maladaptive
effect of social skills in some settings or for some sub groups. The current
study examined the longitudinal interplay between maternal-reported psychological
distress in early childhood (age 1.5), and offspring reports on social skills and
depressive symptoms in early (age 12.5) and middle adolescence (age 14.5).
METHODS: We used data from the Tracking Opportunities and Problems Study (TOPP),
a community-based longitudinal study following Norwegian families to examine
direct links and interactions between early maternal distress (measured with the
Hopkins Symptom Checklist) and early adolescent offspring social skills (measured
with the Social Skills Rating System) and middle adolescent depressive symptoms
(measured with the Moods and Feelings Questionnaire) in 370 families (in total
740 mothers and adolescents). RESULTS: Exposure to childhood maternal distress
predicted offspring depressive symptoms in middle adolescence. Higher social
skills in early adolescence predicted lower levels of depressive symptoms for
girls, but not for boys, in middle adolescence. An interaction effect was found
in which adolescents exposed to early maternal distress who reported high social
skills in early adolescence had the highest level of depressive symptoms in
middle adolescence. CONCLUSIONS: The findings highlight the nuances in the role
of social skills for adolescent depressive symptoms - having the potential to be
both adaptive as well as maladaptive for some subgroups (those experiencing
maternal psychological distress). This has important implications for social
skill programs.
PMID- 29636007
TI - DNA methylation profiles correlated to striped bass sperm fertility.
AB - BACKGROUND: Striped bass (Morone saxatilis) spermatozoa are used to fertilize in
vitro the eggs of white bass (M. chrysops) to produce the preferred hybrid for
the striped bass aquaculture industry. Currently, only one source of domestic
striped bass juveniles is available to growers that is not obtained from wild
caught parents and is thus devoid of any genetic improvement in phenotypic traits
of importance to aquaculture. Sperm epigenetic modification has been predicted to
be associated with fertility, which could switch genes on and off without
changing the DNA sequence itself. DNA methylation is one of the most common
epigenetic modification types and changes in sperm epigenetics can be correlated
to sub-fertility or infertility in male striped bass. The objective of this study
was to find the differentially methylated regions (DMRs) between high-fertility
and sub-fertility male striped bass, which could potentially regulate the
fertility performance. RESULTS: In our present study, we performed DNA
methylation analysis of high-fertility and sub-fertility striped bass spermatozoa
through MBD-Seq methods. A total of 171 DMRs were discovered in striped bass
sperm correlated to fertility. Based on the annotation of these DMRs, we
conducted a functional classification analysis and two important groups of genes
including the WDR3/UTP12 and GPCR families, were discovered to be related to
fertility performance of striped bass. Proteins from the WDR3/UTP12 family are
involved in forming the sperm flagella apparatus in vertebrates and GPCRs are
involved in hormonal signaling and regulation of tissue development,
proliferation and differentiation. CONCLUSIONS: Our results contribute insights
into understanding the mechanism of fertility in striped bass, which will provide
powerful tools to maximize reproductive efficiencies and to identify those males
with superior gametes for this important aquaculture species.
PMID- 29636006
TI - Genomic architecture of haddock (Melanogrammus aeglefinus) shows expansions of
innate immune genes and short tandem repeats.
AB - BACKGROUND: Increased availability of genome assemblies for non-model organisms
has resulted in invaluable biological and genomic insight into numerous
vertebrates, including teleosts. Sequencing of the Atlantic cod (Gadus morhua)
genome and the genomes of many of its relatives (Gadiformes) demonstrated a
shared loss of the major histocompatibility complex (MHC) II genes 100 million
years ago. An improved version of the Atlantic cod genome assembly shows an
extreme density of tandem repeats compared to other vertebrate genome assemblies.
Highly contiguous assemblies are therefore needed to further investigate the
unusual immune system of the Gadiformes, and whether the high density of tandem
repeats found in Atlantic cod is a shared trait in this group. RESULTS: Here, we
have sequenced and assembled the genome of haddock (Melanogrammus aeglefinus) - a
relative of Atlantic cod - using a combination of PacBio and Illumina reads.
Comparative analyses reveal that the haddock genome contains an even higher
density of tandem repeats outside and within protein coding sequences than
Atlantic cod. Further, both species show an elevated number of tandem repeats in
genes mainly involved in signal transduction compared to other teleosts. A
characterization of the immune gene repertoire demonstrates a substantial
expansion of MCHI in Atlantic cod compared to haddock. In contrast, the Toll-like
receptors show a similar pattern of gene losses and expansions. For the NOD-like
receptors (NLRs), another gene family associated with the innate immune system,
we find a large expansion common to all teleosts, with possible lineage-specific
expansions in zebrafish, stickleback and the codfishes. CONCLUSIONS: The
generation of a highly contiguous genome assembly of haddock revealed that the
high density of short tandem repeats as well as expanded immune gene families is
not unique to Atlantic cod - but possibly a feature common to all, or most,
codfishes. A shared expansion of NLR genes in teleosts suggests that the NLRs
have a more substantial role in the innate immunity of teleosts than other
vertebrates. Moreover, we find that high copy number genes combined with variable
genome assembly qualities may impede complete characterization of these genes,
i.e. the number of NLRs in different teleost species might be underestimates.
PMID- 29636008
TI - Community health education improves child health care in Rural Western China.
AB - BACKGROUND: Rural infant growth failure has been highlighted as a priority for
action in China's national nutrition and child development policies. The aim of
this paper was to evaluate the effect of community-based intervention project on
child feeding, child health care and child growth. METHODS: From 2001 to 2005,
UNICEF and China's Ministry of Health worked together to develop holistic
strategies for child health care. All the interventions were implemented through
the three-tier (county-township-village) rural health care network.In this study,
34 counties were included in both surveys in 2001 and 2005. Among these 34
counties, nine were subjected to the intervention and 25 counties were used as
controls. In nine intervention counties, leaflets containing information of
supplemental feeding of infants and young children were printed and distributed
to women during hospital delivery or visit to newborn by village doctors. Two
cross-sectional surveys were both conducted from July to early September in 2001
and 2005. We calculated Z-scores of height-for-age (HAZ), weight-for-age (WAZ)
and weight-for-height (WHZ), with the new WHO growth standard. HAZ < - 2 was
defined as stunting, WAZ < - 2 was defined as underweight, and WHZ < - 2 was
defined as wasting. RESULTS: Following the four-year study period, the parents in
the intervention group showed significantly better infant and young child feeding
practices and behaviors of child care than did their control group counterparts.
In addition, all three anthropometric indicators in 2005 in the intervention
group were better than in the control, with stunting 4.9% lower (p < 0.001),
underweight 2.2% lower (p < 0.001), and wasting 1.0% lower (p < 0.05).
CONCLUSIONS: We concluded that the health care education intervention embed in
government had the potential to be successfully promoted in rural western China.
PMID- 29636009
TI - Genome and transcriptome of the natural isopropanol producer Clostridium
beijerinckii DSM6423.
AB - BACKGROUND: There is a worldwide interest for sustainable and environmentally
friendly ways to produce fuels and chemicals from renewable resources. Among
them, the production of acetone, butanol and ethanol (ABE) or Isopropanol,
Butanol and Ethanol (IBE) by anaerobic fermentation has already a long industrial
history. Isopropanol has recently received a specific interest and the best
studied natural isopropanol producer is C. beijerinckii DSM 6423 (NRRL B-593).
This strain metabolizes sugars into a mix of IBE with only low concentrations of
ethanol produced (< 1 g/L). However, despite its relative ancient discovery, few
genomic details have been described for this strain. Research efforts including
omics and genetic engineering approaches are therefore needed to enable the use
of C. beijerinckii as a microbial cell factory for production of isopropanol.
RESULTS: The complete genome sequence and a first transcriptome analysis of C.
beijerinckii DSM 6423 are described in this manuscript. The combination of MiSeq
and de novo PacBio sequencing revealed a 6.38 Mbp chromosome containing 6254
genomic objects. Three Mobile Genetic Elements (MGE) were also detected: a linear
double stranded DNA bacteriophage (phi6423) and two plasmids (pNF1 and pNF2)
highlighting the genomic complexity of this strain. A first RNA-seq
transcriptomic study was then performed on 3 independent glucose fermentations.
Clustering analysis allowed us to detect some key gene clusters involved in the
main life cycle steps (acidogenesis, solvantogenesis and sporulation) and
differentially regulated among the fermentation. These putative clusters included
some putative metabolic operons comparable to those found in other reference
strains such as C. beijerinckii NCIMB 8052 or C. acetobutylicum ATCC 824.
Interestingly, only one gene was encoding for an alcohol dehydrogenase converting
acetone into isopropanol, suggesting a single genomic event occurred on this
strain to produce isopropanol. CONCLUSIONS: We present the full genome sequence
of Clostridium beijerinckii DSM 6423, providing a complete genetic background of
this strain. This offer a great opportunity for the development of dedicated
genetic tools currently lacking for this strain. Moreover, a first RNA-seq
analysis allow us to better understand the global metabolism of this natural
isopropanol producer, opening the door to future targeted engineering approaches.
PMID- 29636010
TI - Metformin restores electrophysiology of small conductance calcium-activated
potassium channels in the atrium of GK diabetic rats.
AB - BACKGROUND: Small conductance calcium-activated potassium channels (SK channels)
play a critical role in action potential repolarization in cardiomyocytes.
Recently, the potential anti-arrhythmic effect of metformin in diabetic patients
has been recognized, yet the underlying mechanism remains elusive. METHODS:
Diabetic Goto-Kakizaki (GK) rats were untreated or treated with metformin (300
mg/kg/day) for 12 weeks, and age-matched Wistar rats were used as control (n = 6
per group). Electrocardiography, Hematoxylin-eosin staining and Masson's trichome
staining were performed to assess cardiac function, histology and fibrosis. The
expression levels of the SK channels in the myocardium were determined by real
time PCR and Western blotting. The electrophysiology of the SK channels in the
cardiomyocytes isolated from the three groups of rats was examined by patch clamp
assay, with specific blockade of the SK channels with apamin. RESULTS: Metformin
treatment significantly reduced cardiac fibrosis and alleviated arrhythmia in the
diabetic rats. In the atrial myocytes from control, GK and metformin-treated GK
rats, the expression of KCa2.2 (SK2 channel) was down-regulated and the
expression of KCa2.3 (SK3 channel) was up-regulated in the atrium of GK rats as
compared with that of control rats, and metformin reversed diabetes-induced
alterations in atrial SK channel expression. Moreover, patch clamp assay revealed
that the SK current was markedly reduced and the action potential duration was
prolonged in GK atrial myocytes, and the SK channel function was partially
restored in the atrial myocytes from metformin-treated GK rats. CONCLUSIONS: Our
data suggests an involvement of the SK channels in the development of arrhythmia
under diabetic conditions, and supports a potential beneficial effect of
metformin on atrial electrophysiology.
PMID- 29636011
TI - Prospective investigation of intravenous patient-controlled analgesia with
hydromorphone or sufentanil: impact on mood, opioid adverse effects, and
recovery.
AB - BACKGROUND: Radical surgery for colorectal cancer, associated with moderate to
severe postoperative pain, needs multimodal analgesia with opioid for analgesia.
Despite considerable advancements, the psychological implications and other side
effects with opioid remain substantially unresolved. This study aimed to
investigate the impact on mood, side effects relative to opioid, and recovery of
the patients with hydromorphone or sufentanil intravenous patient-controlled
analgesia (IV-PCA) in a multimodal perioperative analgesia regimen undergoing
radical surgery for colorectal cancer. METHODS: Eighty patients undergoing
elective laparoscopic or open radical surgery for colorectal cancer under general
anesthesia were randomized to receive postoperative IV-PCA with either sufentanil
(group S) or hydromorphone (group H). All patients received additionally
flurbiprofen axetil 50 mg 30 min before the end of surgery and wound infiltration
with 10 ml of 0.75% ropivacaine at the end of surgery. The primary endpoint was
mood changes at 48 and 96 h after surgery. The secondary endpoints were the
incidence of opioid-related adverse effects, recovery results and patient
satisfaction after surgery. RESULTS: Seventy-two patients completed the study
finally. There were no significant differences between the two groups with
respect to preoperative parameters, surgical and anesthetic characteristics (P >
0.05). No obvious significant differences were observed in VAS score (at rest and
during mobilization) and rescue analgesics use (P > 0.05). Compared with group S,
the anger scores in the group H at 48 h and 96 h after surgery were significantly
lower (P = 0.012 and 0.005; respectively), but the incidences of pruritus and
nausea were higher (P = 0.028 and 0.008; respectively). There were no significant
differences in the incidences of vomiting, respiratory depression, dizziness,
Ramsay score, and hemodynamic changes between the two groups (P > 0.05).
Moreover, there were no significant differences in the time to gastrointestinal
recovery, time to drainage tube removal, time to walk, hospital stay after
surgery and patient satisfaction between the two groups (P > 0.05). CONCLUSIONS:
Under the similar analgesia effect with different opoiods postoperatively,
hydromorphone IV-PCA resulted in an improved mood, however, a higher occurrence
of pruritus and nausea while compared to sufentanil IV-PCA in a multimodal
perioperative analgesia regimen. Both regimens of opioid with IV-PCA may serve as
promising candidates for good postoperative pain management, and provide with
similar postoperative recovery for the patients undergoing radical surgery for
colorectal cancer. TRIAL REGISTRATION: This study was registered with the Chinese
Clinical Trial Registry on September 20, 2015 (URL: http://www.chictr.org.cn .
Registry number: ChiCTR-IPR-15007112).
PMID- 29636012
TI - Trends in the contemporary incidence of colorectal cancer and patient
characteristics in the United Kingdom: a population-based cohort study using The
Health Improvement Network.
AB - BACKGROUND: Cancer registry data show that survival of colorectal cancer (CRC) in
the United Kingdom is poor compared with other European countries and the United
States, yet these data sources lack information on patient comorbidities and
medication use, which could help explain these differences. METHODS: Among
individuals aged 40-89 years in The Health Improvement Network (2000-2014), we
identified first ever cases of CRC and calculated incidence rates with 95%
confidence intervals (CIs). For CRC cases and non-cases in two separate calendar
years (2002 and 2014), we evaluated patient demographics, lifestyle factors,
comorbidities and medication use and bowel screening. RESULTS: The incidence of
CRC remained relatively constant across the study period; incidence rates per
10,000 person-years (95% CIs) were 9.27 (8.59-1.01) in 2000, 10.65 (10.15-11.18)
in 2007 and 8.37 (7.93-8.83) in 2014. Incidence rates per 10,000 person-years
were higher in men than women at 11.44 (95% CI: 10.35-12.66) vs. 7.40 (95% CI:
6.59-8.32) in 2000, and 9.39 (95% CI: 8.74-10.10) vs. 7.38 (95% CI: 6.81-8.00) in
2014. An increase was seen in the proportion of CRC cases diagnosed at age < 60
years. In 2002, 3.5% of CRC cases were diagnosed at age 40-49 compared with 5.1%
in 2014 (p = 0.064). Similarly, in 2002, 12.5% were diagnosed at age 50-59 years
compared with 16.2% in 2014 (p = 0.002). Between 2002 and 2014, previous bowel
screening increased in both CRC cases (+ 10.6%) and non-cases (+ 9.7%)(p < 0.001
for both groups). Greater rises in the following were seen among CRC cases
compared with non-cases: diabetes (+ 9.3% vs. + 3.3%; p < 0.001 for both),
obesity (+ 14.5% vs. + 10.1%; p < 0.001 for both), hypertension (+ 8.3% vs. +
3.6%; p < 0.001 for both), atrial fibrillation (+ 2.6% [p < 0.01] vs. + 0.3% [p <
0.001]), and use of proton pump inhibitors (+ 11.5% vs. + 9.0%), anti
hypertensives (+ 9.9% vs. + 1.4%) and warfarin (+ 3.2% vs. + 0.4%); p < 0.001 for
CRC cases and non-cases with respect to each medication. CONCLUSIONS: CRC
incidence has remained relatively stable in the UK over the last decade. The
increased prevalence of some comorbidities and medications among CRC cases should
be considered when evaluating patterns in CRC survival.
PMID- 29636013
TI - Education and micronutrient deficiencies: an ecological study exploring
interactions between women's schooling and children's micronutrient status.
AB - BACKGROUND: Formal education can be a nutrition-sensitive intervention that
supports the scale-up and impact of nutrition-specific actions. Maternal
education has long been linked to child survival, growth, and development while
adult earnings and nutrition are tied to years in school as a child. However,
less is known about the relationship between maternal education and the
micronutrient status of children, women and the general population. METHODS:
Using country-level data and an ecological study design, we explored the global
associations between women's educational attainment and: a) anemia and vitamin A
deficiency (VAD) in children aged 6-59 months; b) anemia in non-pregnant women;
and c) zinc deficiency, urinary iodine excretion (UIE), and the proportion of
infants protected against iodine deficiency in the general population Cross
sectional relationships (2005-2013) were assessed using linear regression models.
RESULTS: Percentage of women without schooling was negatively associated with all
outcomes. Number of years of schooling among women was positively associated with
all outcomes except for UIE and the proportion of infants protected against
iodine deficiency. Income level was a significant effect modifier of the effect
of years of women's schooling on child anemia as well as of the proportion of
women without formal education on zinc deficiency in the population. The
relationship was strongest in low-income countries for child anemia, and was not
significant in upper middle-income countries. For zinc deficiency, the
relationship was not significant in low or lower middle income countries, which
may suggest that a minimum threshold of resources needs to be reached before
education can influence zinc status. CONCLUSIONS: While relationships between
maternal schooling and micronutrient outcomes vary around the globe, more
schooling is generally linked to lower rates of deficiency. These findings draw
policy-relevant connections between formal education and anemia and micronutrient
status globally. It is necessary to examine the mechanisms through which this
relationship may be working at both household and country level.
PMID- 29636014
TI - Genetic loci for resistance to podocyte injury caused by the tensin2 gene
deficiency in mice.
AB - BACKGROUND: Tensin2 is a focal adhesion-localized multidomain protein expressed
in various tissues, and its dysfunction leads to alterations in podocytes.
However, these podocyte-related manifestations are dependent on murine strain.
Tensin2 dysfunction results in susceptible strains developing podocyte foot
process effacement and massive albuminuria, whereas podocytes in resistant
strains remain almost intact. In our previous studies, quantitative trait loci
analysis and congenic analysis using resistant C57BL/6J and susceptible ICGN mice
identified a modifier locus associated with podocyte injury caused by tensin2
dysfunction on chromosome 2. However, the effect of this modifier locus on
chromosome 2 is insufficient to explain the resistance of C57BL/6J mice to
tensin2 dysfunction, indicating the existence of other modifier genes. RESULTS:
Whereas previous studies focused on the severity of chronic kidney disease, the
present study focused on podocyte injury. We performed a genome-wide linkage
analysis of backcrosses between two tensin2-deficient mouse strains, B6.ICGN-Tns2
nph and FVB.ICGN-Tns2 nph , and detected a novel major modifier locus on
chromosome 10. The combined effect of the C57BL/6J alleles of the two loci on
chromosomes 2 and 10 reduced the urinary albumin excretion caused by tensin2
dysfunction to a level comparable to that of C57BL/6J mice. CONCLUSIONS: These
data indicate that the resistance to podocyte injury caused by tensin2
dysfunction is mainly produced by the effects of the modifier genes on the two
loci. The identification of these modifier genes is expected to help elucidate
the mechanism underlying podocyte injury.
PMID- 29636015
TI - MicroRNA expression profiles of bovine monocyte-derived macrophages infected in
vitro with two strains of Streptococcus agalactiae.
AB - BACKGROUND: MicroRNAs (miRNAs) are short, non-coding RNAs that regulate gene
expression at the post-transcriptional level and play a key role in the control
of innate and adaptive immune responses. For a subclinical infection such as
bovine streptococcal mastitis, early detection is a great challenge, and miRNA
profiling could potentially assist in the diagnosis and contribute to the
understanding of the pathogenicity and defense mechanisms. We have examined the
miRNA repertoire and the transcript level of six key immune genes [tumor necrosis
factor alpha (TNFalpha), interleukin-1 beta (IL-1beta), interleukin-6 (IL-6),
interleukin-8 (IL-8), interleukin-10 (IL-10) and transforming growth factor beta
1 (TGFbeta1)] during the early phase response of bovine immature macrophages to
in vitro infection with live Streptococcus agalactiae. Next generation sequencing
of small RNA libraries from 20 cultures of blood monocyte-derived macrophages
exposed to either one of two sequence types of S. agalactiae (ST103 or ST12) for
6 h in vitro and unchallenged controls was performed. RESULTS: Analyzes of over
356 million high quality sequence reads, revealed differential expression of 17
and 44 miRNAs (P < 0.05) in macrophages infected with ST103 and ST12,
respectively, versus unchallenged control cultures. We also identified the
expression of 31 potentially novel bovine miRNAs. Pathway analysis of the
differentially regulated miRNAs and their predicted target genes in the
macrophages infected with ST12 revealed significant enrichment for inflammatory
response and apoptosis, while significant enrichment for integrin and GABA
signaling were found in ST103 infected macrophages. Furthermore, both bacterial
strains regulated miRNAs involved in the alternative activation of macrophages.
The transcript levels of TNF-alpha, IL-1beta, IL-6, IL-8 and IL-10 were
significantly up-regulated by both bacterial strains, however the expression of
TGFbeta1 was significantly down-regulated only by ST12. CONCLUSIONS: Our study
identified pathogen-induced differential regulation of miRNAs controlling
inflammation and polarization in bovine macrophages. This implies that miRNAs
have potential to serve as biomarkers for early detection of bacterial infection.
PMID- 29636016
TI - Machine learning classification of first-episode schizophrenia spectrum disorders
and controls using whole brain white matter fractional anisotropy.
AB - BACKGROUND: Early diagnosis of schizophrenia could improve the outcome of the
illness. Unlike classical between-group comparisons, machine learning can
identify subtle disease patterns on a single subject level, which could help
realize the potential of MRI in establishing a psychiatric diagnosis. Machine
learning has previously been predominantly tested on gray-matter structural or
functional MRI data. In this paper we used a machine learning classifier to
differentiate patients with a first episode of schizophrenia-spectrum disorder
(FES) from healthy controls using diffusion tensor imaging. METHODS: We applied
linear support-vector machine (SVM) and traditional tract based spatial
statistics between group analyses to brain fractional anisotropy (FA) data from
77 FES and 77 age and sex matched healthy controls. We also evaluated the effects
of medication and symptoms on the SVM classification. RESULTS: The SVM
distinguished between patients and controls with significant accuracy of 62.34%
(p = 0.005). Participants with FES showed widespread FA reductions relative to
controls in a large cluster (N = 56,647 voxels, corrected p = 0.002). The white
matter regions, which contributed to the correct identification of participants
with FES, overlapped with the regions, which showed lower FA in patients relative
to controls. There was no association between the classification performance and
medication or symptoms. CONCLUSIONS: Our results provide a proof of concept that
SVM might help differentiate FES patients early in the course of illness from
healthy controls using white-matter fractional anisotropy. As there was no effect
of medications or symptoms, the SVM classification seemed to be based on trait
rather than state markers and appeared to capture the lower FA in FES
participants relative to controls.
PMID- 29636017
TI - Dissection of MAPK signaling specificity through protein engineering in a
developmental context.
AB - BACKGROUND: Mitogen-activated protein kinases (MAPK) signaling affects many
processes, some of which have different outcomes in the same cell. In
Arabidopsis, activation of a MAPK cascade consisting of YODA, MKK4/5 and MPK3/6
inhibits early stages of stomatal developmental, but the ability to halt stomatal
progression is lost at the later stage when guard mother cells (GMCs) transition
to guard cells (GCs). Rather than downregulating cascade components, stomatal
precursors must have a mechanism to prevent late stage inhibition because the
same MKKs and MPKs mediate other physiological responses. RESULTS: We
artificially activated the MAPK cascade using MKK7, another MKK that can modulate
stomatal development, and found that inhibition of stomatal development is still
possible in GMCs. This suggests that MKK4/5, but not MKK7, are specifically
prevented from inhibiting stomatal development. To identify regions of MKKs
responsible for cell-type specific regulation, we used a domain swap approach
with MKK7 and a battery of in vitro and in vivo kinase assays. We found that N
terminal regions of MKK5 and MKK7 establish specific signal-to-output connections
like they do in other organisms, but they do so in combination with previously
undescribed modules in the C-terminus. One of these modules encoding the GMC
specific regulation of MKK5, when swapped with sequences from the equivalent
region of MKK7, allows MKK5 to mediate robust inhibition of late stomatal
development. CONCLUSIONS: Because MKK structure is conserved across species, the
identification of new MKK specificity modules and signaling rules furthers our
understanding of how eukaryotes create specificity in complex biological systems.
PMID- 29636019
TI - Survival analysis of patients with invasive extramammary Paget disease:
implications of anatomic sites.
AB - BACKGROUND: Extramammary Paget disease (EMPD) is a rare malignant dermatosis with
poorly defined outcomes. We investigated clinical characteristics of invasive
EMPD at different anatomic sites and by subject demographics to determine
prognostic factors for overall survival (OS). METHODS: All patient data were
collected from the Surveillance, Epidemiology, and End Results (SEER) program,
1973-2013, of the U.S. National Cancer Institute. Patients with invasive EMPD of
skin, vulva/labia, vagina, scrotum/penis, or other sites were included. After
excluding patients with unknown radiation status, data of 2001 patients were
analyzed. Primary endpoint was EMPD mortality by anatomic sites. Independent
variables included patients' demographic data, concurrent malignancy (ie, non
EMPD related cancers), tumor size, distant metastasis, and surgery and/or
radiation or not. RESULTS: Multivariate regression analysis showed that mortality
was significantly higher in patients with vaginal EMPD than in patients with
vulvar/labial EMPD (adjusted hazard ratio [aHR] = 3.26, p < 0.001). Patients with
distant metastasis had higher mortality than those without (aHR = 3.36, p <
0.001). Patients who received surgery had significantly lower mortality than
those who did not receive surgery (aHR = 0.77, p = 0.030), and those treated with
radiation had significantly higher mortality than those who did not receive
radiation (aHR = 1.60, p = 0.002). Older age was associated with significantly
increased mortality (aHR = 1.09, p < 0.001), and mortality was significantly
higher in males than in females (aHR = 1.42, p = 0.008). CONCLUSIONS: In
conclusion, among EMPD patients, mortality is higher in patients with vaginal
EMPD than in those with vulvar/labial EMPD and higher in those who are older,
those with concurrent malignancy or distant metastasis. Mortality is also higher
in males than in females. Surgery is a protective factor and radiation is a risk
factor for OS. Greater understanding of EMPD clinical characteristics, and
considering EMPD in differential diagnosis of chronic genital and perianal
dermatoses may provide support for early EMPD diagnosis and definitive surgical
treatment.
PMID- 29636018
TI - Lactobacillus paracasei feeding improves the control of secondary experimental
meningococcal infection in flu-infected mice.
AB - BACKGROUND: The use of probiotics to improve anti-microbial defence, such as for
influenza infections, is increasingly recommended. However, no data are available
on the effect of probiotics on flu-associated secondary bacterial infections.
There is strong evidence of a spatiotemporal association between influenza virus
infection and invasive Neisseria meningitidis. We thus investigated the effect of
feeding mice Lactobacillus paracasei CNCM I-1518 in a mouse model of sequential
influenza-meningococcal infection. METHODS: We intranasally infected BALB/c mice
with a strain of influenza A virus (IAV) H3N2 that was first adapted to mice.
Seven days later, a secondary bacterial infection was induced by intranasal
administration of bioluminescent N. meningitidis. During the experiment, mice
orally received either L. paracasei CNCM I-1518 or PBS as a control. The effect
of L. paracasei administration on secondary bacterial infection by N.
meningitidis was evaluated. RESULTS: Oral consumption of L. paracasei CNCM I-1518
reduced the weight loss of infected mice and lowered the bioluminescent signal of
infecting meningococci. This improvement was associated with higher recruitment
of inflammatory myeloid cells, such as interstitial monocytes and dendritic
cells, to the lungs. CONCLUSIONS: Our data highlight the role of the gut-lung
axis. L. paracasei CNCM I-1518 may boost the defence against IAV infection and
secondary bacterial infection, which should be further studied and validated in
clinical trials.
PMID- 29636020
TI - Sarcomatoid renal cell carcinoma: a case report and literature review.
AB - BACKGROUND: The poorly differentiated renal cell carcinoma (RCC) with
rhabdomyosarcomatous sarcomatoid differentiation shows a severely aggressive
biological behavior characterized by rapid disease progression. Preoperative
identification of the subtype with the prognostic factors and imaging features of
sarcomatoid renal cell carcinoma (SRCC) would be of great clinical significance.
CASE PRESENTATION: A 45-year-old male patient presented a nine day history of
gross hematuria without any other symptoms. A computed tomography (CT) and a full
body fluorine-18 fluoro-2-deoxyglucose (FDG) positron emission tomography (PET) -
computed tomography (CT) scan urogram were performed. An initial diagnosis
identified a space-occupying lesion of the right kidney, retroperitoneal and
right renal hulum lymph node metastases, as well as a space-occupying lesion of
the third thoracic vertebra (T3). A right radical nephrectomy was performed.
Pathologic analysis revealed poorly differentiated RCC with rhabdomyosarcomatous
sarcomatoid differentiation that extends into the renal sinus and the ureteral
(T3N1M1). Five days later, the Magnetic Resonance imaging (MRI) evidenced a
diffused osseous metastatic disease in the thoracic and lumbar vertebra and
multiple retroperitoneal lymph node metastases. The disease progressed quickly to
multiple organ dysfunction syndrome (MODS) in half a month and the patient died
of respiratory failure two days later. The patient refused any chemoradiotherapy
in the hospital. CONCLUSIONS: Our case presents a SRCC with severe, aggressive,
and rapid disease progression. Classifying SRCC imaging features by CT, MRI as
well as PET-CT techniques could potentially be helpful for preoperative
identification of the subtype. The prognostic factors of SRCC would be of great
clinical interest.
PMID- 29636021
TI - Perceptions, careseeking, and experiences pertaining to non-cephalic births in
rural Sarlahi District, Nepal: a qualitative study.
AB - BACKGROUND: In low-resource settings, a significant proportion of fetal,
neonatal, and maternal deaths can be attributed to intrapartum-related
complications. Certain risk factors, such as non-cephalic presentation, have a
particularly high risk of complications. This qualitative study describes
experiences around non-cephalic births and highlights existing perceptions and
care-seeking behavior specific to non-cephalic presentation in rural Sarlahi
District, Nepal. METHODS: We conducted in-depth interviews with 34 individuals,
including women who recently gave birth to a non-cephalic infant and female
decision-makers in their households. We also conducted two focus groups with
mothers (have two or more children, with at least one child under age five) and
two focus groups with grandmothers in the community. RESULTS: Several women
described scenes of obstructed labor and practices like provision of unspecified
injections early in labor to assist with the delivery. There were reports of
arduous care-seeking processes from primary health centers to tertiary
facilities, and mixed quality of care among home birth attendants and facility
based health workers respectively. Very few women were aware of the fetal
presentation prior to delivery, and we identified no consistent understanding
among participants of the risks of and care strategies for non-cephalic births.
Risk perception around non-cephalic presentation varied widely. Some participants
were acutely aware of potential dangers, while others had not heard of non
cephalic birth. Many interviewees said that the position in which a pregnant
woman sleeps could impact the fetal position. Several participants had either
taken or heard of medication intended to rotate the fetus into the correct
position. CONCLUSIONS: Our findings suggest the mixed quality of and access to
care associated with non-cephalic birth and a lack of consistent understanding of
the risk of and care for non-cephalic births in rural Nepal. The high risk of the
condition and the recommended tertiary care present a dilemma in low-resource
settings; the logistical difficulties and the mixed quality of care make care
seeking and referral decisions complex. While public health stakeholders strive
to improve the quality of and access to the formal health system, those players
must also be sensitive to the potential negative implications of promoting
institutional care-seeking.
PMID- 29636022
TI - Genomic heritability estimates in sweet cherry reveal non-additive genetic
variance is relevant for industry-prioritized traits.
AB - BACKGROUND: Sweet cherry is consumed widely across the world and provides
substantial economic benefits in regions where it is grown. While cherry breeding
has been conducted in the Pacific Northwest for over half a century, little is
known about the genetic architecture of important traits. We used a genome
enabled mixed model to predict the genetic performance of 505 individuals for 32
phenological, disease response and fruit quality traits evaluated in the RosBREED
sweet cherry crop data set. Genome-wide predictions were estimated using a
repeated measures model for phenotypic data across 3 years, incorporating
additive, dominance and epistatic variance components. Genomic relationship
matrices were constructed with high-density SNP data and were used to estimate
relatedness and account for incomplete replication across years. RESULTS: High
broad-sense heritabilities of 0.83, 0.77, and 0.76 were observed for days to
maturity, firmness, and fruit weight, respectively. Epistatic variance exceeded
40% of the total genetic variance for maturing timing, firmness and powdery
mildew response. Dominance variance was the largest for fruit weight and fruit
size at 34% and 27%, respectively. Omission of non-additive sources of genetic
variance from the genetic model resulted in inflation of narrow-sense
heritability but minimally influenced prediction accuracy of genetic values in
validation. Predicted genetic rankings of individuals from single-year models
were inconsistent across years, likely due to incomplete sampling of the
population genetic variance. CONCLUSIONS: Predicted breeding values and genetic
values revealed many high-performing individuals for use as parents and the most
promising selections to advance for cultivar release consideration, respectively.
This study highlights the importance of using the appropriate genetic model for
calculating breeding values to avoid inflation of expected parental contribution
to genetic gain. The genomic predictions obtained will enable breeders to
efficiently leverage the genetic potential of North American sweet cherry
germplasm by identifying high quality individuals more rapidly than with
phenotypic data alone.
PMID- 29636023
TI - Mortality and treatment response amongst HIV-infected patients 50 years and older
accessing antiretroviral services in South Africa.
AB - BACKGROUND: Little is known about the clinical presentation and outcomes amongst
older HIV infected populations accessing ART in sub-Saharan Africa. We compared
mortality amongst HIV infected patients accessing ART that were < 50 years to
those >=50 years in Kwa-Zulu Natal, South Africa. METHODS: We undertook a
retrospective review of medical records of patients that accessed HIV services at
the CAPRISA AIDS Treatment program (CAT) between June 2004 to December 2012 (N =
4003). HIV infected patients, 14 years or older were enrolled. All-cause
mortality and treatment response to ART in those < 50 years to those >=50 years
were compared. A Kaplan-Meier curve and log-rank test were used to compare the
cumulative probability of death between the two age groups with the primary
endpoint being mortality. Statistical analysis was done using SAS (version 9.4.;
SAS Institute Inc., Cary, NC, USA). RESULTS: Of 4003 individuals, 262 (6.5%) were
>= 50 years (older group). The median age in those >=50 years and < 50 year was
54.5 and 32.0 years, respectively. The younger group was mainly female (64.7%).
There was no difference in mortality rate, between the older (6.9/100 person
years (py), 95% confidence interval (CI): 4.7-9.6) and younger group (5.3/100 py,
95% CI: 4.7-5.8) at 60 months (p = 0.137). In the multivariable model older
patients had a significantly higher risk of death compared to younger patients.
(hazard ratio (HR) 1.60, 95% CI: 1.08-2.39, p = 0.019).The rate of CD4+ cell
count increase was higher in those < 50 years (beta = 0.34, 95% CI: 0.19-0.50, p
< 0.001) with no difference in viral suppression. The older group showed
significantly higher prevalence of diabetes (6.3%) and hypertension (21.5%), p <
0.001. CONCLUSION: ART initiation in older HIV infected patients was associated
with a higher mortality compared to those younger than 50 years. ART
immunological response was less robust in older individuals. The increase in
hypertension and diabetes among older patients suggests the need to restructure
and integrate primary and specialized health care services into ART services.
PMID- 29636024
TI - An ontological approach to identifying cases of chronic kidney disease from
routine primary care data: a cross-sectional study.
AB - BACKGROUND: Accurately identifying cases of chronic kidney disease (CKD) from
primary care data facilitates the management of patients, and is vital for
surveillance and research purposes. Ontologies provide a systematic and
transparent basis for clinical case definition and can be used to identify
clinical codes relevant to all aspects of CKD care and its diagnosis. METHODS: We
used routinely collected primary care data from the Royal College of General
Practitioners Research and Surveillance Centre. A domain ontology was created and
presented in Ontology Web Language (OWL). The identification and staging of CKD
was then carried out using two parallel approaches: (1) clinical coding
consistent with a diagnosis of CKD; (2) laboratory-confirmed CKD, based on
estimated glomerular filtration rate (eGFR) or the presence of proteinuria.
RESULTS: The study cohort comprised of 1.2 million individuals aged 18 years and
over. 78,153 (6.4%) of the population had CKD on the basis of an eGFR of < 60
mL/min/1.73m2, and a further 7366 (0.6%) individuals were identified as having
CKD due to proteinuria. 19,504 (1.6%) individuals without laboratory-confirmed
CKD had a clinical code consistent with the diagnosis. In addition, a subset of
codes allowed for 1348 (0.1%) individuals receiving renal replacement therapy to
be identified. CONCLUSIONS: Finding cases of CKD from primary care data using an
ontological approach may have greater sensitivity than less comprehensive
methods, particularly for identifying those receiving renal replacement therapy
or with CKD stages 1 or 2. However, the possibility of inaccurate coding may
limit the specificity of this method.
PMID- 29636025
TI - Correlates of screen time among 8-19-year-old students in China.
AB - BACKGROUND: Previous studies have shown that prolonged time spent on screen-based
sedentary behavior was significantly associated with lower health status in
children, independent of physical activity levels. The study aimed to explore the
individual and environmental correlates of screen time (ST) among 8-19-year-old
students in China. METHODS: The study surveyed ST using a self-administered
questionnaire in Chinese students aged 8-19 years; 1063 participants were
included in the final analysis. Individual and environmental correlates of ST
were assessed using a mixed-effects model (for continuous outcome variables) and
multiple logistic regression model (for binary outcome variables). RESULTS:
Prolonged ST was observed in 14.7% of boys and 8.9% of girls. Of the ST, weekend
and mobile phone/tablet use represented 80% and 40%, respectively. A positive
relationship was observed between media accessibility and ST in both boys and
girls (p < 0.05), whereas the presence of parents/others while using screens was
a negative factor for longer ST (p < 0.05). Among the assessed correlates, access
to a television (TV) in students' bedrooms was associated with prolonged total
and weekend ST (p < 0.05 and p < 0.001, respectively). However, spending time on
a mobile phone/tablet or a computer rather than viewing a TV, along with
increased media accessibility, increased ST. CONCLUSIONS: These results indicate
that greater media accessibility was positively associated and the presence of
parents/others was negatively associated with prolonged ST in both Chinese boys
and girls. Development of new and effective strategies against prolonged ST are
required, especially for small screen device-based ST on weekends.
PMID- 29636026
TI - Association of IL10 and TGFB single nucleotide polymorphisms with intervertebral
disc degeneration in Iranian population: a case control study.
AB - BACKGROUND: Considered as one of the major causes of low back pain,
Intervertebral disc degeneration (IVDD) is caused by several genetic and
environmental factors. As inflammation plays an important role in disc
degeneration, the genetic changes in both inflammatory and anti-inflammatory
genes may play causative roles in IVDD as well. Therefore, the interactions
between inflammatory and anti-inflammatory cytokines and also other components of
disc matrix would determine the degree of tissue destruction in disc
degeneration. However, there is still controversy regarding the exact role of
inflammation and disc homeostasis imbalance in pathophysiology of IVDD.
Therefore, current study was conducted to investigate the role of IL-10 and TGF
beta single nucleotide polymorphisms (SNP) in Iranian IVDD patients. METHODS:
Seventy-six IVDD patients and 140 healthy controls were enrolled in this study.
Genomic DNA from peripheral leukocytes was tested for 3 SNPs in IL10 (L-10
1082G/A (rs1800896), IL-10 -819C/T (rs1800871), IL-10 -592A/C (rs1800872)) and 2
SNPs in TGF-beta (TGF-beta Codon 10 C/T (rs1982037), and TGF-beta Codon 25 C/T
(rs1800471) genes through PCR-SSP method. The extracted genomic DNA was genotyped
for the aforementioned SNPs of interest using specific primers, which were coated
in the cytokines KITs and based on the PCR-SSP method for sequencing. RESULTS:
The 'T' allele of IL-10 -819C/T and the 'C' allele of IL-10 -592A/C were more
prevalent among patients, whereas the 'C' and 'A' alleles of respective SNPs were
significantly more frequent in controls. The genotypes including 'CT' of IL-10
819C/T, 'CA' of IL-10 -592A/C, and 'GA' of IL-10 -1082A/G were more common among
patients, while the 'CC' genotype of both IL-10 -819C/T and IL-10 -592A/C SNPs
were more frequent in controls. In addition, the IL-10 haplotypes including
'ACC', 'ATA', and 'ACA' were significantly associated with disease. Meanwhile,
the 'TC' haplotype of TGF-beta was more common among patients as well.
CONCLUSIONS: The IL-10 SNPs were significantly associated with IVDD in Iranian
population; which proposes that genomic alterations of anti-inflammatory
cytokines could lead to homeostasis imbalance in intervertebral discs and
degenerative changes.
PMID- 29636027
TI - Contesting restrictive mobility norms among female mentors implementing a sport
based programme for young girls in a Mumbai slum.
AB - BACKGROUND: Harmful gender norms are known structural barriers to many public
health and development interventions involving adolescent girls. In India,
restrictions on girls' liberty to move freely in public spaces contribute to
school dropout and early marriage, and negatively affect girls' health and
wellbeing, from adolescence into adulthood. We report on mechanisms of change
among female mentors 18 to 24 years old who contested discriminatory norms while
implementing a sports-based programme for adolescent girls in a Mumbai slum.
METHODS: We adopted a prospective qualitative research design. Our analysis is
based on case studies derived from two rounds of face to face, in -depth
interviews with 10 young women recruited to serve as mentors for the project's
young female athletes. We combined both thematic and narrative analysis. RESULTS:
The programme created opportunities for collective action, increasing mentors'
ability to think and relate in a collectivized manner, and challenged the
traditional female identity constructed for young women, which centres on
domestic duties. The mentors themselves negotiated freedoms both in and outside
their homes, which required careful and strategic bargaining. They changed the
nature of key day-to-day social interactions with parents and brothers, as well
as with neighbours, parents of their groups of athletes and men on the streets.
They formed a new reference group for each other in terms of what was possible
and acceptable. Demonstrating greater negotiation skills within the family helped
win parents' trust in the mentor's ability to be safe in public spaces. Parents
became active supporters by not giving into social sanctions of neighbours and
relatives thus co-producing a new identity for their daughters as respectable
young women doing 'good work'. They effectively side stepped reputational risk
with their presence in public spaces becoming de-sexualised. CONCLUSIONS: Mentors
contested mobility restrictions by taking risks as a group first, with collective
agency an important step towards greater individual agency. This research
provides important insights into addressing embedded social norms that perpetuate
gender discriminatory practices and the social patterning of health inequalities.
PMID- 29636028
TI - Comprehensive analysis of blood cells and plasma identifies tissue-specific
miRNAs as potential novel circulating biomarkers in cattle.
AB - BACKGROUND: The potential of circulating miRNAs as biomarkers of tissue function,
both in health and disease, has been extensively demonstrated in humans. In
addition, circulating miRNA biomarkers offer significant potential towards
improving the productivity of livestock species, however, such potential has been
hampered by the absence of information on the nature and source of circulating
miRNA populations in these species. In addition, many miRNAs originally proposed
as robust biomarkers of a particular tissue or disease in humans have been later
shown not to be tissue specific and thus to actually have limited biomarker
utility. In this study, we comprehensively analysed miRNA profiles in plasma and
cell fractions of blood from cattle with the aim to identify tissue-derived
miRNAs which may be useful as biomarkers of tissue function in this important
food animal species. RESULTS: Using small RNA sequencing, we identified 92 miRNAs
with significantly higher expression in plasma compared to paired blood cell
samples (n = 4 cows). Differences in miRNA levels between plasma and cell
fractions were validated for eight out of 10 miRNAs using RT-qPCR (n = 10 cows).
Among miRNAs found to be enriched in plasma, we confirmed miR-122 (liver), miR
133a (muscle) and miR-215 (intestine) to be tissue-enriched, as reported for
other species. Profiling of additional miRNAs across different tissues identified
the human homologue, miR-802, as highly enriched specifically in liver.
CONCLUSIONS: These results provide novel information on the source of bovine
circulating miRNAs and could significantly facilitate the identification of
production-relevant tissue biomarkers in livestock. In particular, miR-802, a
circulating miRNA not previously identified in cattle, can reportedly regulate
insulin sensitivity and lipid metabolism, and thus could potentially provide a
specific biomarker of liver function, a key parameter in the context of post
partum negative energy balance in dairy cows.
PMID- 29636029
TI - Efficacy of levetiracetam for neonatal seizures in preterm infants.
AB - BACKGROUND: Neonatal seizures remain a significant clinical problem, and
therapeutic options are still not diverse with limited efficacy. Levetiracetam
(LEV) is a relatively new and wide spectrum anti-seizure medication with
favorable pharmacokinetics and safety profile. In the recent decades, LEV has
been increasingly used for the treatment of neonatal seizures. The aim of this
study was to describe the experience of using LEV as the first line anti-seizure
medication for preterm infants. METHODS: A retrospective analysis of 37 preterm
infants who were treated with LEV as the first-line anti-seizure medication was
performed. RESULTS: Mean gestational age of the 37 preterm infants was 31.5 +/-
1.9 weeks (range, 26 to 36+ 6 weeks). Twenty-one infants (57%) were seizure-free
while given LEV at the end of the first week, and no additional anti-seizure
medication was required. Loading doses of LEV ranged from 40 to 60 mg/kg (mean 56
mg/kg) and the maintenance dose ranged from 20 to 30 mg/kg (mean 23 mg/kg). No
adverse effect was observed. CONCLUSIONS: Levetiracetam can be a good and safe
choice for treatment of neonatal seizures in preterm infants. Prospective double
blind controlled studies are needed in the future.
PMID- 29636031
TI - Shared facial emotion processing functional network findings in medication-naive
major depressive disorder and healthy individuals: detection by sICA.
AB - BACKGROUND: The fundamental mechanism underlying emotional processing in major
depressive disorder (MDD) remains unclear. To better understand the neural
correlates of emotional processing in MDD, we investigated the role of multiple
functional networks (FNs) during emotional stimuli processing. METHODS: Thirty
two medication-naive subjects with MDD and 36 healthy controls (HCs) underwent an
emotional faces fMRI task that included neutral, happy and fearful expressions.
Spatial independent component analysis (sICA) and general linear model (GLM) were
conducted to examine the main effect of task condition and group, and two-way
interactions of group and task conditions. RESULTS: In sICA analysis, MDD
patients and HCs together showed significant differences in task-related
modulations in five FNs across task conditions. One FN mainly involving the
ventral medial prefrontal cortex showed lower activation during fearful relative
to happy condition. Two FNs mainly involving the bilateral inferior frontal gyrus
and temporal cortex, showed opposing modulation relative to the ventral medial
prefrontal cortex FN, i.e., greater activation during fearful relative to happy
condition. Two remaining FNs involving the fronto-parietal and occipital
cortices, showed reduced activation during both fearful and happy conditions
relative to the neutral condition. However, MDD and HCs did not show significant
differences in expression-related modulations in any FNs in this sample.
CONCLUSIONS: SICA revealed differing functional activation patterns than typical
GLM-based analyses. The sICA findings demonstrated unique FNs involved in
processing happy and fearful facial expressions. Potential differences between
MDD and HCs in expression-related FN modulation should be investigated further.
PMID- 29636032
TI - Effect of Moringa oleifera consumption on diabetic rats.
AB - BACKGROUND: Therapeutic use of leaves of M. oleifera has been evaluated in
diabetes because of its possible capacity to decrease blood glucose and lipids
concentration after ingestion, as result of the polyphenols content and others
compounds. Nevertheless most results have been obtain from leaf extract,
therefore this study would use leaf powder as the regular way of consumption of
population to know effects over toxicity glucose, triglycerides, cholesterol,
corporal weight, and predominant groups of microbiota. METHODS: Powdered leaf was
administrated in different doses to know toxicity and genotoxicity using LD50 and
micronuclei assay. Hyperglycemia was induced by alloxan on Sprague Dawley rats.
Glucose and body weight were measured once a week meanwhile cholesterol and
triglycerides were analyzed at the end of the study by commercial kits. Different
organs were examined by hematoxylin-eosin technique. Lactic acid bacteria and
Enterobacteriaceae were enumerated from stool samples. RESULTS: The tested doses
revealed no lethal dose and no significant differences in genotoxicity parameter.
The consumption of the leaves showed a hypoglycemic effect (< 250 mg/dL in
diabetic M. oleifera treated group), however in corporal weight showed an
increased (> 30 g over no M. oleifera treated groups). There was no change in
enumeration of lactic acid bacteria (8.4 CFU/g) but there were differences in the
predominance of type of lactobacillus and enterobacteria enumeration.
CONCLUSIONS: These results help to increase information over the most popular use
of M. oleifera and its safety. However there are needed more studies over the
hypoglycemic mechanisms and effects over intestinal microbiota.
PMID- 29636033
TI - Skepticism towards the Swedish vision zero for suicide: interviews with 12
psychiatrists.
AB - BACKGROUND: The main causes of suicide and how suicide could and should be
prevented are ongoing controversies in the scientific literature as well as in
public media. In the bill on public health from 2008 (Prop 2007/08:110), the
Swedish Parliament adopted an overarching "Vision Zero for Suicide" (VZ) and nine
strategies for suicide prevention. However, how the VZ should be interpreted in
healthcare is unclear. The VZ has been criticized both from a philosophical
perspective and against the background of clinical experience and alleged
empirical claims regarding the consequences of regulating suicide prevention.
This study is part of a larger research project in medical ethics with the
overarching aim to explore whether the VZ is ethically justifiable. The aim is to
enrich the normative discussion by investigating empirically how the VZ is
perceived in healthcare. METHODS: Interviews based on a semi-structured interview
guide were performed with 12 Swedish psychiatrists. The interviews were analysed
with descriptive qualitative content analysis aiming for identifying perceptions
of the Vision Zero for Suicide as well as arguments for and against it. RESULTS:
Though most of the participants mentioned at least some potential benefit of the
Vision Zero for Suicide, the overall impression was a predominant skepticism.
Some participants focused on why they consider the VZ to be unachievable, while
others focused more on its potential consequences and normative implications.
CONCLUSIONS: The VZ was perceived to be impossible to realize, nonconstructive or
potentially counterproductive, and undesirable because of potential conflicts
with other values and interests of patients as well as the general public. There
were also important notions of the VZ having negative consequences for the
working conditions of psychiatrists in Sweden, in increasing their work-related
anxiety and thwarting the patient-physician relationship.
PMID- 29636030
TI - Pressure ulcer-related pelvic osteomyelitis: evaluation of a two-stage surgical
strategy (debridement, negative pressure therapy and flap coverage) with
prolonged antimicrobial therapy.
AB - BACKGROUND: A two-stage surgical strategy (debridement-negative pressure therapy
(NPT) and flap coverage) with prolonged antimicrobial therapy is usually proposed
in pressure ulcer-related pelvic osteomyelitis but has not been widely evaluated.
METHODS: Adult patients with pressure ulcer-related pelvic osteomyelitis treated
by a two-stage surgical strategy were included in a retrospective cohort study.
Determinants of superinfection (i.e., additional microbiological findings at
reconstruction) and treatment failure were assessed using binary logistic
regression and Kaplan-Meier curve analysis. RESULTS: Sixty-four pressure ulcer
related pelvic osteomyelitis in 61 patients (age, 47 (IQR, 36-63)) were included.
Osteomyelitis was mostly polymicrobial (73%), with a predominance of S. aureus
(47%), Enterobacteriaceae spp. (44%) and anaerobes (44%). Flap coverage was
performed after 7 (IQR, 5-10) weeks of NPT, with 43 (68%) positive bone samples
among which 39 (91%) were superinfections, associated with a high ASA score (OR,
5.8; p = 0.022). An increased prevalence of coagulase negative staphylococci (p =
0.017) and Candida spp. (p = 0.003) was observed at time of flap coverage. An
ESBL Enterobacteriaceae spp. was found in 5 (12%) patients, associated with
fluoroquinolone consumption (OR, 32.4; p = 0.005). Treatment duration was as 20
(IQR, 14-27) weeks, including 11 (IQR, 8-15) after reconstruction. After a follow
up of 54 (IQR, 27-102) weeks, 15 (23%) failures were observed, associated with
previous pressure ulcer (OR, 5.7; p = 0.025) and Actinomyces spp. infection (OR,
9.5; p = 0.027). CONCLUSIONS: Pressure ulcer-related pelvic osteomyelitis is a
difficult-to-treat clinical condition, generating an important consumption of
broad-spectrum antibiotics. The lack of correlation between outcome and the
debridement-to-reconstruction interval argue for a short sequence to limit the
total duration of treatment.
PMID- 29636034
TI - Procedural and documentation variations in intravenous infusion administration: a
mixed methods study of policy and practice across 16 hospital trusts in England.
AB - BACKGROUND: Procedural and documentation deviations relating to intravenous (IV)
infusion administration can have important safety consequences. However, research
on such deviations is limited. To address this we investigated the prevalence of
procedural and documentation deviations in IV infusion administration and
explored variability in policy and practice across different hospital trusts.
METHODS: We conducted a mixed methods study. This involved observations of
deviations from local policy including quantitative and qualitative data, and
focus groups with clinical staff to explore the causes and contexts of
deviations. The observations were conducted across five clinical areas (general
medicine, general surgery, critical care, paediatrics and oncology day care) in
16 National Health Service (NHS) trusts in England. All infusions being
administered at the time of data collection were included. Deviation rates for
procedural and documentation requirements were compared between trusts. Local
data collectors and other relevant stakeholders attended focus groups at each
trust. Policy details and reasons for deviations were discussed. RESULTS: At
least one procedural or documentation deviation was observed in 961 of 2008 IV
infusions (deviation rate 47.9%; 95% confidence interval 45.5-49.8%). Deviation
rates at individual trusts ranged from 9.9 to 100% of infusions, with
considerable variation in the prevalence of different types of deviation. Focus
groups revealed lack of policy awareness, ambiguous policies, safety and
practicality concerns, different organisational priorities, and wide variation in
policies and practice relating to prescribing and administration of IV flushes
and double-checking. CONCLUSIONS: Deviation rates and procedural and
documentation requirements varied considerably between hospital trusts. Our
findings reveal areas where local policy and practice do not align. Some policies
may be impractical and lack utility. We suggest clearer evidence-based
standardisation and local procedures that are contextually practical to address
these issues.
PMID- 29636035
TI - Motivational profiles, accelerometer-derived physical activity, and acute
diabetes-related symptoms in adults with type 2 diabetes.
AB - BACKGROUND: Using self-determination theory, the objective of this study was to
examine, over a one-month period, how physical activity (PA) motivation would
influence accelerometer-derived PA behavior, and ultimately, acute diabetes
related symptoms burden among adults with type 2 diabetes (T2D adults). Using
both a person and variable-centered approach, this objective was attained by
means of: 1) investigating the indirect effect of PA participation on the
relationship between PA motivation and acute diabetes-related symptom burden and
2) examining whether participants who met PA recommendations (i.e., 150 min of
moderate-to-vigorous PA per week) would experience less acute diabetes-related
symptom burden over a one-month period. METHODS: A two-wave prospective
longitudinal design was used. At time 1, participants completed a questionnaire
assessing their PA motivation and were asked to wear an ActiGraph GT3x
accelerometer for four consecutive weeks. At time 2, they completed a short
questionnaire assessing their acute diabetes-related symptoms (i.e., symptoms
related to fatigue, cognitive distress, hyperglycemia, and hypoglycemia). The
final sample includes 165 adults (89 or 53.61% women) aged from 26 to 75 years (M
= 62.05, SD = 8.75) with T2D, which provided at least 21 valid days of
accelerometer-derived data. RESULTS: First, results of a path analysis
demonstrated that over a one-month period, the average number of minutes spent
practicing moderate to vigorous PA per week mediated the relationship between
intrinsic and external PA motivation and the level of burden associated with the
following diabetes-related symptoms: fatigue, cognitive distress, and
hyperglycemia. In addition, results of covariance analyses showed that
participants meeting PA recommendations also reported significantly less burden
associated with these three symptoms over a month period. Then, the existence of
four motivational profiles (Self-Determined, High Introjected, Low Motivation,
and Non-Self-Determined) was confirmed using a k-means analysis. Results of
covariance and chi-square analyses further showed, respectively, that compared to
other motivational profiles, the Self-Determined profile was associated with a
higher score on weekly PA participation and meeting PA recommendations.
CONCLUSIONS: The results highlight the importance of promoting autonomous motives
for PA participation among T2D adults. They also suggest that T2D adults meeting
PA recommendations experience less acute diabetes-related symptoms burden, which
further support the importance of their PA motivation.
PMID- 29636037
TI - Correction to: Development and application of an indirect enzyme-linked
immunosorbent assay based on recombinant capsid protein for the detection of mink
circovirus infection.
AB - The original article [1] contained an error whereby Fig. 2 had processed
incorrectly. The correct version of Fig. 2 is now displayed in the original
article as well as ahead.
PMID- 29636036
TI - Leaving the emergency department without complete care: disparities in American
Indian children.
AB - BACKGROUND: Children who leave the emergency department (ED) without complete
evaluation or care (LWCET) have poorer outcomes in general. Previous studies have
found that American Indian (AI) children have higher rates of LWCET than other
racial or ethnic groups. Therefore, this study aims to examine LWCET in AI
children by exploring differences by ED location and utilization patterns.
METHODS: This is a retrospective cohort study of five EDs in the upper Midwest
between June 2011 and May 2012. We included all visits by children aged 0-17 who
identified as African American (AA), AI or White. Logistic regression was used to
determine differences in LWCET by race and ED location controlling for other
possible confounding factors including sex, age, insurance type, triage level,
distance from ED, timing of visit, and ED activity level. RESULTS: LWCET occurred
in 1.73% of 68,461 visits made by 47,228 children. The multivariate model
revealed that AIs were more likely to LWCET compared to White children (Odds
Ratio (OR) = 1.62, 95% Confidence Interval (CI) = 1.30-2.03). There was no
significant difference in LWCET between AA and White children. Other factors
significantly associated with LWCET included triage level, distance from the ED,
timing of visit, and ED activity level. CONCLUSION: Our results show that AI
children have higher rates of LWCET compared to White children; this association
is different from other racial minority groups. There are likely complex factors
affecting LWCET in AI children throughout the upper Midwest, which necessitates
further exploration.
PMID- 29636038
TI - Severity and susceptibility: measuring the perceived effectiveness and
believability of tobacco health warnings.
AB - BACKGROUND: Pictorial tobacco health warning labels (HWLs) have been shown to be
more effective than text-only HWLs in changing smoking attitudes and intentions.
However, there is contradictory evidence regarding how the severity of the
content of HWLs influences responses to them. METHODS: We examined the perceived
believability and effectiveness of HWLs in an online study using a convenience
sample of non-smokers (N = 437) and smokers (N = 436). HWLs were in one of three
presentation formats: (text-only, a moderately severe image or highly severe
image) and focussed on three disease outcomes (lung cancer, blindness or tooth
and gum disease). Participants rated the effectiveness and believability of each
HWL and also rated their perceived susceptibility to each disease. RESULTS: A 2
(smoking status) * 3 (presentation format) * 3 (disease outcome) ANOVA was run
for both believability and effectiveness ratings. The most severe pictorial HWLs
received the highest believability and effectiveness ratings and as expected, the
text-only HWLs received the lowest. Lung cancer HWLs were rated most believable
and effective, with the blindness HWLs receiving the lowest scores. A 2 (smoking
status) * 3 (disease outcome) ANOVA was conducted on the ratings of perceived
susceptibility to the three diseases. Smokers considered themselves to be more
susceptible to all three diseases, and among smokers, perceived susceptibility to
the diseases was positively correlated with effectiveness and believability
ratings of the HWLs. CONCLUSION: Our findings support previous evidence that
pictorial HWLs are rated as more effective and believable than text-only
warnings, and provide some support for the use of severe or 'grotesque' HWLs on
tobacco products. Our data also suggest that HWLs should aim to increase
perceived susceptibility to disease, as this was positively related to perceived
message effectiveness and believability.
PMID- 29636039
TI - Meta-analysis on the effectiveness of team-based learning on medical education in
China.
AB - BACKGROUND: Team-based learning (TBL) has been adopted as a new medical
pedagogical approach in China. However, there are no studies or reviews
summarizing the effectiveness of TBL on medical education. This study aims to
obtain an overall estimation of the effectiveness of TBL on outcomes of
theoretical teaching of medical education in China. METHODS: We retrieved the
studies from inception through December, 2015. Chinese National Knowledge
Infrastructure, Chinese Biomedical Literature Database, Chinese Wanfang Database,
Chinese Scientific Journal Database, PubMed, EMBASE and Cochrane Database were
searched. The quality of included studies was assessed by the Newcastle-Ottawa
scale. Standardized mean difference (SMD) was applied for the estimation of the
pooled effects. Heterogeneity assumption was detected by I2 statistics, and was
further explored by meta-regression analysis. RESULTS: A total of 13 articles
including 1545 participants eventually entered into the meta-analysis. The
quality scores of these studies ranged from 6 to 10. Altogether, TBL
significantly increased students' theoretical examination scores when compared
with lecture-based learning (LBL) (SMD = 2.46, 95% CI: 1.53-3.40). Additionally,
TBL significantly increased students' learning attitude (SMD = 3.23, 95% CI: 2.27
4.20), and learning skill (SMD = 2.70, 95% CI: 1.33-4.07). The meta-regression
results showed that randomization, education classification and gender diversity
were the factors that caused heterogeneity. CONCLUSIONS: TBL in theoretical
teaching of medical education seems to be more effective than LBL in improving
the knowledge, attitude and skill of students in China, providing evidence for
the implement of TBL in medical education in China. The medical schools should
implement TBL with the consideration on the practical teaching situations such as
students' education level.
PMID- 29636040
TI - Telemedical monitoring of patients with chronic heart failure has a positive
effect on total health costs.
AB - BACKGROUND: Telemedical programs for patients with chronic heart failure have
shown inconsistent effects on survival and hospitalization. Few studies analyzed
effects of telemedical interventions on health costs, although this outcome may
determine whether or not a successful program will be adopted by health insurance
providers. We evaluated a large sized telemedicine program provided by a German
statutory health insurance, consisting of regular telephone contacts and, for a
subgroup of the participants, provision of an electronic scale in a routine care
setting. We examined the effects of the program on the total healthcare costs
after one year compared to a matched control group. METHODS: The evaluation was
based on reimbursement data of the statutory health insurance. Participants of
the program were matched to appropriate controls using a combination of exact
(e.g. 5-year age group, gender, NYHA class) and propensity score (e.g.
medication, psychiatric comorbidity) matching. The total health costs after one
year were calculated on the basis of regression analyses in an intention-to-treat
approach. In a sensitivity analysis, the subgroup of patients with a documented
beginning of the intervention was examined. RESULTS: Two thousand six hundred
twenty two patients with chronic heart failure (55% male, mean age: 73.7 years)
were included in the intervention program. 1943 participants (74%) could be
matched with appropriate control patients. The telemedicine monitoring program
for patients with chronic heart failure reduced total health costs after 12
months of the intervention: - 276? per quarter year in rural regions and - 18? in
urban regions compared to the control group. CONCLUSIONS: The telemedicine
program could reduce total health costs, especially in rural regions in Germany.
PMID- 29636041
TI - Voices from the frontline: barriers and strategies to improve tuberculosis
infection control in primary health care facilities in South Africa.
AB - BACKGROUND: Tuberculosis (TB) infection control at primary healthcare (PHC) level
remains problematic, especially in South Africa. Improvements are significantly
dependent on healthcare workers' (HCWs) behaviours, underwriting an urgent need
for behaviour change. This study sought to 1) identify factors influencing TB
infection control behaviour at PHC level within a high TB burden district and 2)
in a participatory manner elicit recommendations from HCWs for improved TB
infection control. METHOD: A qualitative case study was employed. TB nurses and
facility managers in the Mangaung Metropolitan District, South Africa,
participated in five focus group and nominal group discussions. Data was
thematically analysed. RESULTS: Utilising the Information Motivation and
Behaviour (IMB) Model, major barriers to TB infection control information
included poor training and conflicting policy guidelines. Low levels of
motivation were observed among participants, linked to feelings of powerlessness,
negative attitudes of HCWs, poor district health support, and general health
system challenges. With a few exceptions, most behaviours necessary to achieve TB
risk-reduction, were generally regarded as easy to accomplish. CONCLUSIONS:
Strategies for improved TB infection control included: training for comprehensive
TB infection control for all HCWs; clarity on TB infection control policy
guidelines; improved patient education and awareness of TB infection control
measures; emphasis on the active role HCWs can play in infection control as
change agents; improved social support; practical, hands-on training or role
playing to improve behavioural skills; and the destigmatisation of TB/HIV among
HCWs and patients.
PMID- 29636042
TI - Gender differences in the evaluation of care for patients with type 2 diabetes: a
cross-sectional study (ZODIAC-52).
AB - BACKGROUND: Little is known about the association between patient-related factors
and patients' evaluation of care. Aim was to investigate which patient-related
factors are associated with patients' evaluation of care in men and women with
type 2 diabetes (T2D) in primary care. METHODS: This cross-sectional study
included 1102 patients with T2D from 52 general practices. We measured patients'
evaluation with the EUROPEP questionnaire and collected demographic, clinical and
psychological data from questionnaires and health records. Stepwise linear
regression analyses were used. RESULTS: The location where the questionnaire was
completed (at home or at the general practice) was associated with all outcomes
in men and women. Next to this, in men, explanatory factors for the care provider
EUROPEP subscale were use of insulin, having some problems with T2D self-care and
coffee consumption (R2 8.4%); coffee consumption was associated with the general
practice subscale (R2 4.0%). In women, well-being, quality of life, following a
general diet, and use of oral glucose-lowering drugs were associated with the
care provider subscale (R2 16.8%). For the general practice subscale, well-being
and age were explanatory factors (R2 9.4%). CONCLUSIONS: Only a few factors were
found to be associated with patients' evaluation of care for men and women with
T2D. Taken together, these factors explained only a small part of the variance of
the EUROPEP scores. This explained variance was largely attributable to the
location where the questionnaire was completed. We therefore advise to be aware
of the possible consequences of filing-out questionnaires about patients'
evaluation of care at the general practice. TRIAL REGISTRATION: NCT01570140
(Clinicaltrials.gov). Registered 29 March 2012.
PMID- 29636043
TI - Experiences of young smokers in quitting smoking in twin cities of Pakistan: a
phenomenological study.
AB - BACKGROUND: Smoking is highly prevalent in Pakistan claiming the lives of over
100,000 individuals every year. A significant proportion of smokers (24.7%) make
an attempt to quit each year but 97.4% fail to quit successfully. Little is known
about the reasons for, and experiences of, failed quit attempts. This study was
carried out to explore the experiences of young male smokers in quitting smoking
in the twin cities of Pakistan METHOD: A qualitative study was carried out using
a phenomenological approach in Rawalpindi and Islamabad. A total of 11
participants were interviewed. All study participants were male and had made at
least one quit attempt. Study participants were a mix of smokers who failed to
quit smoking, intermittent smokers and successful quitters. Streubert's (1991)
method of phenomenology was followed during data analysis. RESULTS: The
experiences of smokers while smoking "the smoking phase" have major effects on
their journey towards quitting smoking. The smoking phase consists of three major
stages: contact with initial smoking stimuli, the journey from first puff to
enjoying smoking and then finally smoking becoming part of life. However, the
journey towards quitting smoking is not as simple as the journey towards becoming
a smoker. Instead, smokers get trapped in three overlapping cycles of smoking and
quit attempts: smoking & forced quitting, smoking & intentional quitting, and
smoking & intermittent smoking before successful quitting. Breaking the cycle is
not easy in the presence of trapping factors (addiction, high availability, easy
affordability, conducive social setup and low perceived risks of smoking). Three
factors play a major role in breaking these cycles which are strong will power,
continuous peer support and avoidance of smokers' company. CONCLUSION: A young
smoker, during his experience of quitting smoking gets entrapped in several
overlapping cycles of smoking & quit attempts before successful quitting. There
are known entrapping factors as well as factors which help in breaking these
cycles. Targeted interventions are needed to facilitate smoking cessation among
young smokers in Pakistan.
PMID- 29636044
TI - Serum and salivary ferritin and Hepcidin levels in patients with chronic
periodontitis and type 2 diabetes mellitus.
AB - BACKGROUND: Iron disorder and abnormal expression of hepcidin play important
roles in many diseases, but it is still unclear in chronic periodontitis (CP) and
type 2 diabetes mellitus (T2DM). We aimed to assess ferritin and hepcidin levels
in serum and saliva of CP patients with or without T2DM. METHODS: Serum and
unstimulated whole saliva samples were collected from 88 participants, who were
categorized into 4 groups based on the presence or absence of CP or T2DM.
Demographics and general health parameters were recorded. Full-mouth clinical
periodontal parameters including probing pocket depth, clinical attachment loss,
bleeding index, and plaque index were recorded. Chemiluminescence microparticle
immunoassay and enzyme-linked immunosorbent assay were used to detect ferritin
and hepcidin concentrations, respectively, in serum and saliva. RESULTS: Serum
ferritin and hepcidin levels in the CP and CP with T2DM groups were higher than
in the control group (P < 0.05). Serum hepcidin and serum ferritin are linear
correlated (P < 0.001). Serum hepcidin/ferritin values in the CP with T2DM group
were significantly lower than those in the T2DM and control groups. Moreover,
salivary ferritin levels in the CP and T2DM groups were higher than those in the
control group (P < 0.05). There was positively correlation between salivary
ferritin and serum ferritin (P = 0.017). Hepcidin concentrations were relatively
low in saliva. CONCLUSIONS: These results suggest that iron overload and hepcidin
inadequacy existed in CP with T2DM patients. Salivary ferritin might provide a
reference for body iron load. TRIAL REGISTRATION: ChiCTR-ROC-17012780.
PMID- 29636045
TI - Sarcopenic obesity assessed using dual energy X-ray absorptiometry (DXA) can
predict cardiovascular disease in patients with type 2 diabetes: a retrospective
observational study.
AB - BACKGROUND: Sarcopenic obesity, defined as reduced skeletal muscle mass and power
with increased adiposity, was reported to be associated with cardiovascular
disease risks in previous cross-sectional studies. Whole body dual-energy X-ray
absorptiometry (DXA) can simultaneously evaluate both fat and muscle mass,
therefore, whole body DXA may be suitable for the diagnosis of sarcopenic
obesity. However, little is known regarding whether sarcopenic obesity determined
using whole body DXA could predict incident cardiovascular disease (CVD). The aim
of this study was to investigate the impact of sarcopenic obesity on incident CVD
in patients with type 2 diabetes. METHODS: A total of 716 Japanese patients (mean
age 65 +/- 13 years; 47.0% female) were enrolled. Android fat mass (kg), gynoid
fat mass (kg), and skeletal muscle index (SMI) calculated as appendicular non-fat
mass (kg) divided by height squared (m2), were measured using whole body DXA.
Sarcopenic obesity was defined as the coexistence of low SMI and obesity
determined by four patterns of obesity as follows: android to gynoid ratio (A/G
ratio), android fat mass or percentage of body fat (%BF) was higher than the sex
specific median, or body mass index (BMI) was equal to or greater than 25 kg/m2.
The study endpoint was the first occurrence or recurrence of CVD. RESULTS: Over a
median follow up of 2.6 years (IQR 2.1-3.2 years), 53 patients reached the
endpoint. Sarcopenic obesity was significantly associated with incident CVD even
after adjustment for the confounding variables, when using A/G ratio [hazard
ratio (HR) 2.63, 95% CI 1.10-6.28, p = 0.030] and android fat mass (HR 2.57, 95%
CI 1.01-6.54, p = 0.048) to define obesity, but not %BF (HR 1.67, 95% CI 0.69
4.02, p = 0.252), and BMI (HR 1.55, 95% CI 0.44-5.49, p = 0.496). CONCLUSIONS:
The present data suggest that the whole body DXA is valuable in the diagnosis of
sarcopenic obesity (high A/G ratio or android fat mass with low SMI) to determine
the risk of CVD events in patients with type 2 diabetes. Meanwhile, sarcopenic
obesity classified with low SMI, and high %BF or BMI was not associated with
incident CVD.
PMID- 29636046
TI - Health economic evaluations based on routine data in Germany: a systematic
review.
AB - BACKGROUND: Improved data access and funding for health services research have
promoted the application of routine data to measure costs and effects of
interventions within the German health care system. Following the trend towards
real world evidence, this review aims to evaluate the status and quality of
health economic evaluations based on routine data in Germany. METHODS: To
identify relevant economic evaluations, a systematic literature search in the
databases PubMed and EMBASE was complemented by a manual search. The included
studies had to be full economic evaluations using German routine data to measure
either costs, effects, or both. Study characteristics were assessed with a
structured template. Additionally, the Consolidated Health Economic Evaluation
Reporting Standards (CHEERS) were used to measure quality of reporting. RESULTS:
In total, 912 records were identified and 35 studies were included in the further
analysis. The majority of these studies was published in the past 5 years (n =
27, 77.1%) and used insurance claims data as a source of routine data (n = 30,
85.7%). The most common method used for handling selection bias was propensity
score matching. With regard to the reporting quality, 42.9% (n = 15) of the
studies satisfied at least 80% of the criteria on the CHEERS checklist.
CONCLUSIONS: This review confirms that routine data has become an increasingly
common data source for health economic evaluations in Germany. While most studies
addressed the application of routine data, this analysis reveals deficits in
considering methodological particularities and in reporting quality of economic
evaluations based on routine data. Nevertheless, this review demonstrates the
overall potential of routine data for economic evaluations.
PMID- 29636047
TI - Liraglutide suppresses non-esterified free fatty acids and soluble vascular cell
adhesion molecule-1 compared with metformin in patients with recent-onset type 2
diabetes.
AB - BACKGROUND: It has been suggested that liraglutide could have an impact on
glucose and lipid metabolism disorder and adhesion molecule activation, which may
play important roles in the vascular damage of diabetes. In this study, we
examined the effects of liraglutide versus metformin on non-esterified free fatty
acids, beta-cell insulin secretion, and adhesion molecule levels in patients with
recent-onset type 2 diabetes mellitus. METHODS: In this study, 60 patients newly
diagnosed with type 2 diabetes mellitus (mean age 33.97 +/- 5.67 years) were
randomly assigned to receive once-daily subcutaneous liraglutide or oral
metformin. Before the study and after the 8-week treatment period, a 75 g oral
glucose tolerance test was performed. Plasma glucose, lipids and lipoprotein,
plasma insulin, glycaemic and insulin responses, non-esterified free fatty acids
(NEFA), and soluble vascular cell adhesion molecule-1 (sVCAM-1) levels were
evaluated. RESULTS: After 8 weeks, 120 min of NEFA (155 +/- 125 vs 99 +/- 73
umol/L, P = 0.026) and the levels of sVCAM-1 (465 +/- 136 vs 382 +/- 131 ng/ml, P
= 0.013) significantly decreased, while the early phase insulin secretion index
(24.94 [7.78, 38.89] vs. 31.13 [17.67, 59.09], P = 0.031), fasting plasma insulin
(104 [51, 123] vs 113 [54, 171] mIU/L, P = 0.015), 60 min plasma insulin (326
[165, 441] vs 471 [334, 717] mIU/L, P = 0.005), 120 min plasma insulin (401 [193,
560] vs 500 [367, 960] mIU/L, P = 0.047), and insulin area under the curve
(AUCins) (648 [321, 742] vs 738 [451, 1118] mIU/L, P = 0.005) remarkably
increased for patients in the liraglutide treatment group. The levels of sVCAM-1
dramatically decreased after 8 weeks of liraglutide treatment (503 +/- 182 vs 382
+/- 131 ng/ml, P = 0.046) compared to that of the metformin treatment group. At
the same time, the differences before and after liraglutide treatment in 120 min
of NEFA (- 32 [- 96, - 5] vs 5 [- 35, 38] umol/L, P = 0.033) and AUCins (738
[451, 1118] vs 594 [357, 1216] mIU/L, P = 0.014) were remarkably enhanced
compared to that of the metformin therapy. Nevertheless, there were no
significant differences in fasting NEFA after liraglutide or metformin treatment.
The reduction of 120 min NEFA (DeltaNEFA) was positively correlated with the
decrease of sVCAM-1 (DeltasVCAM-1) after 8 weeks of liraglutide treatment (r =
0.523, P = 0.003). CONCLUSIONS: Our results demonstrate that liraglutide
administration is more effective than metformin in reducing 120 min NEFA and
suppressing sVCAM-1 levels for recent-onset type 2 diabetes mellitus. We suggest
that this outcome may be because liraglutide is associated with potentiating
insulin secretion capacity, inhibiting vascular inflammatory cytokines, and
antagonizing atherosclerosis.
PMID- 29636048
TI - Surgical management of urolithiasis - a systematic analysis of available
guidelines.
AB - BACKGROUND: Several societies around the world issue guidelines incorporating the
latest evidence. However, even the most commonly cited guidelines of the European
Association of Urology (EAU) and the American Urological Association (AUA) leave
the clinician with several treatment options and differ on specific points. We
aimed to identify discrepancies and areas of consensus between guidelines to give
novel insights into areas where low consensus between the guideline panels
exists, and therefore where more evidence might increase consensus. METHODS: The
webpages of the 61 members of the Societe Internationale d'Urologie were analysed
to identify all listed or linked guidelines. Decision trees for the surgical
management of urolithiasis were derived, and a comparative analysis was performed
to determine consensus and discrepancies. RESULTS: Five national and one
international guideline (EAU) on surgical stone treatment were available for
analysis. While 7 national urological societies refer to the AUA guidelines and
11 to the EAU guidelines, 43 neither publish their own guidelines nor refer to
others. Comparative analysis revealed a high degree of consensus for most renal
and ureteral stone scenarios. Nevertheless, we also identified a variety of
discrepancies between the different guidelines, the largest being the approach to
the treatment of proximal ureteral calculi and larger renal calculi. CONCLUSIONS:
Six guidelines with recommendations for the surgical treatment of urolithiasis to
support urologists in decision-making were available for inclusion in our
analysis. While there is a high grade of consensus for most stone scenarios, we
also detected some discrepancies between different guidelines. These are,
however, controversial situations where adequate evidence to assist with decision
making has yet to be elicited by further research.
PMID- 29636049
TI - Associations of the plasma lipidome with mortality in the acute respiratory
distress syndrome: a longitudinal cohort study.
AB - BACKGROUND: It is unknown if the plasma lipidome is a useful tool for improving
our understanding of the acute respiratory distress syndrome (ARDS). Therefore,
we measured the plasma lipidome of individuals with ARDS at two time-points to
determine if changes in the plasma lipidome distinguished survivors from non
survivors. We hypothesized that both the absolute concentration and change in
concentration over time of plasma lipids are associated with 28-day mortality in
this population. METHODS: Samples for this longitudinal observational cohort
study were collected at multiple tertiary-care academic medical centers as part
of a previous multicenter clinical trial. A mass spectrometry shot-gun lipidomic
assay was used to quantify the lipidome in plasma samples from 30 individuals.
Samples from two different days were analyzed for each subject. After removing
lipids with a coefficient of variation > 30%, differences between cohorts were
identified using repeated measures analysis of variance. The false discovery rate
was used to adjust for multiple comparisons. Relationships between significant
compounds were explored using hierarchical clustering of the Pearson correlation
coefficients and the magnitude of these relationships was described using
receiver operating characteristic curves. RESULTS: The mass spectrometry assay
reliably measured 359 lipids. After adjusting for multiple comparisons, 90
compounds differed between survivors and non-survivors. Survivors had higher
levels for each of these lipids except for five membrane lipids. Glycerolipids,
particularly those containing polyunsaturated fatty acid side-chains, represented
many of the lipids with higher concentrations in survivors. The change in lipid
concentration over time did not differ between survivors and non-survivors.
CONCLUSIONS: The concentration of multiple plasma lipids is associated with
mortality in this group of critically ill patients with ARDS. Absolute lipid
levels provided more information than the change in concentration over time.
These findings support future research aimed at integrating lipidomics into
critical care medicine.
PMID- 29636050
TI - Pectoralis muscle area and mortality in smokers without airflow obstruction.
AB - BACKGROUND: Low muscle mass is associated with increased mortality in the general
population but its prognostic value in at-risk smokers, those without expiratory
airflow obstruction, is unknown. We aimed to test the hypothesis that reduced
muscle mass is associated with increased mortality in at-risk smokers. METHODS:
Measures of both pectoralis and paravertebral erector spinae muscle cross
sectional area (PMA and PVMA, respectively) as well as emphysema on chest
computed tomography (CT) scans were performed in 3705 current and former at-risk
smokers (>=10 pack-years) aged 45-80 years enrolled into the COPDGene Study
between 2008 and 2013. Vital status was ascertained through death certificate.
The association between low muscle mass and mortality was assessed using Cox
regression analysis. RESULTS: During a median of 6.5 years of follow-up, 212
(5.7%) at-risk smokers died. At-risk smokers in the lowest (vs. highest) sex
specific quartile of PMA but not PVMA had 84% higher risk of death in adjusted
models for demographics, smoking, dyspnea, comorbidities, exercise capacity, lung
function, emphysema on CT, and coronary artery calcium content (hazard ratio [HR]
1.85 95% Confidence interval [1.14-3.00] P = 0.01). Results were consistent when
the PMA index (PMA/height2) was used instead of quartiles. The association
between PMA and death was modified by smoking status (P = 0.04). Current smokers
had a significantly increased risk of death (lowest vs. highest PMA quartile, HR
2.25 [1.25-4.03] P = 0.007) while former smokers did not. CONCLUSIONS: Low muscle
mass as measured on chest CT scans is associated with increased mortality in
current smokers without airflow obstruction. TRIAL REGISTRATION: NCT00608764.
PMID- 29636051
TI - Scaling up malaria intervention "packages" in Senegal: using cost effectiveness
data for improving allocative efficiency and programmatic decision-making.
AB - BACKGROUND: Senegal's National Malaria Control Programme (NMCP) implements
control interventions in the form of targeted packages: (1) scale-up for impact
(SUFI), which includes bed nets, intermittent preventive treatment in pregnancy,
rapid diagnostic tests, and artemisinin combination therapy; (2) SUFI + reactive
case investigation (focal test and treat); (3) SUFI + indoor residual spraying
(IRS); (4) SUFI + seasonal malaria chemoprophylaxis (SMC); and, (5) SUFI + SMC +
IRS. This study estimates the cost effectiveness of each of these packages to
provide the NMCP with data for improving allocative efficiency and programmatic
decision-making. METHODS: This study is a retrospective analysis for the period
2013-2014 covering all 76 Senegal districts. The yearly implementation cost for
each intervention was estimated and the information was aggregated into a package
cost for all covered districts. The change in the burden of malaria associated
with each package was estimated using the number of disability adjusted life
years (DALYs) averted. The cost effectiveness (cost per DALY averted) was then
calculated for each package. RESULTS: The cost per DALY averted ranged from $76
to $1591 across packages. Using World Health Organization standards, 4 of the 5
packages were "very cost effective" (less than Senegal's GDP per capita).
Relative to the 2 other packages implemented in malaria control districts, the
SUFI + SMC package was the most cost-effective package at $76 per DALY averted.
SMC seems to make IRS more cost effective: $582 per DALY averted for SUFI + IRS
compared with $272 for the SUFI + IRS + SMC package. The SUFI + focal test and
treat, implemented in malaria elimination districts, had a cost per DALY averted
of $1591 and was only "cost-effective" (less than three times Senegal's per
capita GDP). CONCLUSION: Senegal's choice of deploying malaria interventions by
packages seems to be effectively targeting high burden areas with a wide range of
interventions. However, not all districts showed the same level of performance,
indicating that efficiency gains are still possible.
PMID- 29636052
TI - Experiences of primary care physicians and staff following lean workflow
redesign.
AB - BACKGROUND: In response to growing pressures on primary care, leaders have
introduced a wide range of workforce and practice innovations, including team
redesigns that delegate some physician tasks to nonphysicians. One important
question is how such innovations affect care team members, particularly in view
of growing dissatisfaction and burnout among healthcare professionals. We examine
the work experiences of primary care physicians and staff after implementing Lean
based workflow redesigns. This included co-locating physician and medical
assistant dyads, delegating significant responsibilities to nonphysician staff,
and mandating greater coordination and communication among all care team members.
METHODS: The redesigns were implemented and scaled in three phases across 46
primary care departments in a large ambulatory care delivery system. We fielded
1164 baseline and 1333 follow-up surveys to physicians and other nonphysician
staff (average 73% response rate) to assess workforce engagement (e.g., job
satisfaction, motivation), perceptions of the work environment, and job-related
burnout. We conducted multivariate regressions to detect changes in experiences
after the redesign, adjusting for respondent characteristics and clustering of
within-clinic responses. RESULTS: We found that both physicians and nonphysician
staff reported higher levels of engagement and teamwork after implementing
redesigns. However, they also experienced higher levels of burnout and
perceptions of the workplace as stressful. Trends were the same for both
occupational groups, but the increased reports of stress were greater among
physicians. Additionally, members of all clinics, except for the pilot site that
developed the new workflows, reported higher burnout, while perceptions of
workplace stress increased in all clinics after the redesign. CONCLUSIONS: Our
findings partially align with expectations of work redesign as a route to
improving physician and staff experiences in delivering care. Although teamwork
and engagement increased, the redesigns in our study were not enough to moderate
long-standing challenges facing primary care. Yet higher levels of empowerment
and engagement, as observed in the pilot clinic, may be particularly effective in
facilitating improvements while combating fatigue. To help practices cope with
increasing burdens, interventions must directly benefit healthcare professionals
without overtaxing an already overstretched workforce.
PMID- 29636053
TI - What should we expect from Switzerland's compulsory dental insurance reform?
AB - BACKGROUND: A vast and heated debate is arising in Switzerland as a result of
some recent citizens' initiatives aimed at introducing compulsory dental health
care insurance. The Grand Conseils of the Vaud, Geneva, and Neuchatel cantons
recently approved three public initiatives and their citizens are expected to
vote on the proposal in 2018. The process of collecting signatures has begun in
several other cantons and the discussion has now moved to a national level.
DISCUSSION: At present, there is no scientific research that can help policy
makers and citizens to understand the main economic implications of such reform.
We attempt to fill this gap by analysing three critical issues: the level and
determinants of unmet needs for dental care in Switzerland; the protection of
vulnerable individuals; and the economic sustainability of reform. RESULTS AND
SHORT CONCLUSIONS: The results show that income is not a unique determinant of
barriers to access to dental care but rather, cultural and socio-demographic
factors impact the perceived level of unmet dental care needs. The reform might
only partially, if at all, improve the equity of the current system. In addition,
the results show that the 1% wage-based contribution that the reform promoters
suggest should finance the insurance is inadequate to provide full and free
dental care to Swiss residents, but is merely sufficient to guarantee basic
preventive care, whereas this could be provided by dental hygienists for less.
PMID- 29636055
TI - Evaluation of the antioxidant and endothelial protective effects of Lysimachia
christinae Hance (Jin Qian Cao) extract fractions.
AB - BACKGROUND: Lysimachia christinae Hance is a traditional Chinese medicine with
diuretic, detumescent, and detoxifying effects. Our aimed to optimize the
extraction protocol to maximize the yield of flavonoids from Lysimachia
christinae Hance, and evaluate the pharmacological activities of four fractions,
namely, petroleum ether (PE), ethyl acetate (EA), n-butanol (NB), and aqueous
(AQ) fractions, of the ethanolic extract of Lysimachia christinae Hance. METHODS:
The flavonoid monomers in the crude extract were characterized via high
performance liquid chromatography (HPLC), were used as markers for extract
quality control and standardization. The total flavonoid, total phenolic, and
total polysaccharide contents of each fraction were determined by
spectrophotometry. Further, the in vitro free radical (diphenylpicrylhydrazyl,
2,2'-azino-bis(3-ethylbenzothiazoline-6-sulphonic acid), superoxide, and hydroxyl
radicals) scavenging activities, and antioxidant capacity in endothelial cells
were evaluated for each fraction. RESULTS: After optimizing the extraction
protocol to maximize the total flavonoid yield from L. christinae Hance, the NB
fractions had the highest total flavonoid (39.4 +/- 4.55 mg RE/g), total phenolic
(41.1 +/- 3.07 mg GAE/g) and total polysaccharide (168.1 +/- 7.07 mg GE/g); In
addition, the NB fraction of the ethanolic extract of L. christinae Hance reveal
the strongest radical-scavenging activity, antioxidant activity and protective
effects against H2O2-induced injury in HUVECs. CONCLUSIONS: Among the four
fractions of L. christinae Hance, the NB fraction showed the most potent
antioxidant and endothelial protective effects, which may be attributed to its
high flavonoid, phenolic contents and optimal portfolio of different active
ingredients of NB fractions of the ethanolic extract of L. christinae Hance. This
study might improve our understanding of the pharmacological activities of L.
christinae Hance, thereby facilitating its use in disease prevention and
treatment.
PMID- 29636054
TI - Policy implications of regional variations in eye disease detection and treatment
on Prince Edward Island: a repeated cross-sectional analysis, 2010-2012.
AB - BACKGROUND: In Canada, government insurance covers eye care services provided by
ophthalmologists and other physicians. However, government coverage for services
provided by optometrists, non-medical school trained primary eye care providers,
varies regionally. Little is known about the impact of a funding model in which
ophthalmologist services are government-insured but services provided by
optometrists are not, on eye care utilization and eye disease detection and
treatment. We aimed to address this question by examining geographic variations
in eye care service utilization on Prince Edward Island (PEI). METHODS: PEI
physician-billing data from 2010 to 2012 was analyzed across five distinct
geographic regions (Charlottetown, Summerside, Prince, Queens & Kings and
Stratford). The residential location of patients and practice locations of eye
care providers were identified using the first three digits of their respective
postal code. Age-standardized rates were computed for comparisons across
different regions. RESULTS: There were six ophthalmologists practicing on PEI,
five with offices in Charlottetown. Twenty optometrists practiced on the island
with offices across the province. Stratford is closest and Prince farthest from
Charlottetown. Age-standardized utilization rates of ophthalmologists per 100
populations were 10.44 in Charlottetown and 10.90 in Stratford, which was
significantly higher than in other regions (7.74-8.92; p < 0.05). The disparities
were most pronounced amongst the elderly. The prevalence of glaucoma visits was
higher in Charlottetown (6.10%) and Stratford (6.38%) and lower in other regions.
A similar pattern was observed for the prevalence of cataract visits. While the
prevalence of diabetes visits was higher in Prince and Summerside, the
utilization of ophthalmologists by people with diabetes was almost twice as high
in Charlottetown (6.49%) than in Prince (3.88%). CONCLUSIONS: The observed
discrepancies in vision care utilization across geographic regions were likely
attributed to barriers in accessing government-insured, geographically
concentrated ophthalmologists, as opposed to a reflection of the true differences
in eye disease occurrence. The lower prevalence of glaucoma visits in regions
farther away from ophthalmologist offices may result in delayed detection and
blindness in this population. Encouraging ophthalmologists to work in other areas
of the province and/or to publicly fund services provided by optometrists may
mitigate the observed disparities. TRIAL REGISTRATION: Not applicable.
PMID- 29636057
TI - A case of complete splenic infarction after laparoscopic spleen-preserving distal
pancreatectomy.
AB - BACKGROUND: Laparoscopic spleen-preserving distal pancreatectomy (LSPDP), a newly
developed operative procedure, is indicated for benign and low-grade malignant
disease of the pancreas. However, few studies have reported on postoperative
splenic infarction after LSPDP. CASE PRESENTATION: We report a case of complete
splenic infarction and obliteration of the splenic artery and vein after LSPDP.
The patient was a 69-year-old woman with a 35-mm cystic tumor of the pancreatic
body who underwent LSPDP. Although the operation was completed with preservation
of the splenic artery and vein, postoperative splenic infarction was revealed
with left back pain and fluid collection around the stump of the pancreas on
postoperative day 9. Fortunately, clinical symptoms disappeared within days and
additional splenectomy was not needed. Splenic infarction was attributed to
scattered micro-embolizations within the spleen after drawing strongly on the
tape encircling the splenic vessels. CONCLUSION: Preserving splenic vessels in
LSPDP is a demanding procedure. To prevent splenic infarction in LSPDP, we should
carefully isolate the pancreatic parenchyma from the splenic vessels, and must
avoid drawing tightly on the vessel loop encircling splenic vessels.
PMID- 29636056
TI - From top to bottom: Cell polarity in Hedgehog and Wnt trafficking.
AB - Spatial organization of membrane domains within cells and cells within tissues is
key to the development of organisms and the maintenance of adult tissue. Cell
polarization is crucial for correct cell-cell signalling, which, in turn,
promotes cell differentiation and tissue patterning. However, the mechanisms
linking internal cell polarity to intercellular signalling are just beginning to
be unravelled. The Hedgehog (Hh) and Wnt pathways are major directors of
development and their malfunction can cause severe disorders like cancer. Here we
discuss parallel advances into understanding the mechanism of Hedgehog and Wnt
signal dissemination and reception. We hypothesize that cell polarization of the
signal-sending and signal-receiving cells is crucial for proper signal spreading
and activation of the pathway and, thus, fundamental for development of
multicellular organisms.
PMID- 29636058
TI - Effect of continuous positive airway pressure on long-term cardiovascular
outcomes in patients with coronary artery disease and obstructive sleep apnea: a
systematic review and meta-analysis.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is highly prevalent in patients with
coronary artery disease (CAD) and is associated with recurrent cardiovascular
risk. However, whether treatment with continuous positive airway pressure (CPAP)
reduces this risk remains unclear. We performed a systematic review and meta
analysis to assess the effect of CPAP on long-term cardiovascular outcomes in
patients with concomitant CAD and OSA. METHODS: We searched the PubMed, EMBASE,
and Cochrane library from their inceptions to October 7, 2017. We included
observational studies and randomized controlled trials (RCTs) that described the
association of CPAP treatment with cardiovascular events in patients with CAD and
OSA. The primary outcome of interest was major adverse cardiovascular event
(MACE), including all-cause or cardiovascular death, myocardial infarction,
stroke, repeat revascularization, or hospitalization for heart failure. Outcomes
data were pooled using random effects models and heterogeneity assessed with the
I2 statistic. RESULTS: We identified 9 studies (2 RCTs and 7 observational
studies) with 1430 participants. The median follow-up duration was from 36 to
86.5 months. Treatment with CPAP was associated with a significantly lower risk
of MACE in 6 observational studies (RR 0.61, 95% CI: 0.39-0.94, P = 0.02), but
this was not reproduced in 2 RCTs (RR 0.57, 95% CI: 0.32-1.02, P = 0.06).
Similarly, CPAP significantly reduced the risk of all-cause death (4
observational studies) and cardiovascular death (3 observational studies), which
were also not confirmed in RCTs. CONCLUSIONS: The use of CPAP in patients with
CAD and OSA might prevent subsequent cardiovascular events, which was only
demonstrated in observational studies, but not in RCTs. The value of CPAP therapy
as second prevention for CAD needs further investigation.
PMID- 29636059
TI - beta-arrestin2 functions as a key regulator in the sympathetic-triggered
immunodepression after stroke.
AB - BACKGROUND: Stroke-induced immunodeficiency syndrome (SIDS) is regarded as a
protective mechanism for secondary inflammatory injury as well as a contributor
to infection complications. Although stroke-induced hyperactivation of the
sympathetic system is proved to facilitate SIDS, the involved endogenous factors
and pathways are largely elusive. In this study, we aim to investigate the
function of beta-arrestin-2 (ARRB2) in the sympathetic-mediated SIDS. METHODS:
Splenic ARRB2 expression and the sympathetic system activity were detected after
establishing transient models of middle cerebral artery occlusion (MCAO). In
addition, a correlation between ARRB2 expression and the sympathetic system
activity was analyzed using a linear correlation analysis. Any SIDS reflected in
monocyte dysfunction was investigated by measuring inflammatory cytokine
secretion and neurological deficit scores and infarct volume were tested to
assess neurological outcome. Further, ARRB2 expression in the monocytes was
knocked down in vitro by siRNAs. Following the stimulation of noradrenaline and
lipopolysaccharide, cytokine secretion and the nuclear factor-kappaB (NF-kappaB)
pathway were evaluated to gain insight into the mechanisms related to the
contribution of ARRB2 to adrenergic-induced monocyte dysfunction. RESULTS:
Splenic ARRB2 expression was significantly increased after stroke and also showed
a significant positive correlation with the sympathetic system activity. Stroke
induced monocyte dysfunction resulted in an increase of the interleukin-10 (IL
10) level as well as a decrease of the interleukin-6 (IL-6), tumor necrosis
factor-alpha (TNF-alpha) and interleukin-1beta (IL-1beta) levels. Also, blockade
of adrenergic-activity significantly reversed these cytokine levels, and blockade
of adrenergic-activity improved stroke-induced neurological results. However, the
improved neurological results had no significant correlation with ARRB2
expression. Furthermore, the in vitro results showed that the deficiency of ARRB2
dramatically repealed adrenergic-induced monocyte dysfunction and the inhibition
of NF-kappaB signaling phosphorylation activity. CONCLUSIONS: ARRB2 is implicated
in the sympathetic-triggered SIDS, in particular, monocyte dysfunction after
stroke. Accordingly, ARRB2 may be a promising therapeutic target for the
immunological management of stroke in a clinic.
PMID- 29636060
TI - Effects of apoC1 genotypes on the hormonal levels, metabolic profile and PAF-AH
activity in Chinese women with polycystic ovary syndrome.
AB - BACKGROUND: Elevated serum levels of apolipoprotein (apo) C1 may be an early
protein marker of metabolic abnormality in women with polycystic ovary syndrome
(PCOS). It is not clear, however, whether there are any relationships between the
apoC1 rs4420638A/G and -317deletion (H1)/insertion (H2) polymorphisms and PCOS.
We investigated the relationship between these two variants and the risk of PCOS,
evaluated the genotypic effects on clinical, hormonal and metabolic indexes and
plasma platelet-activating factor acetylhydrolase (PAF-AH) activity, and defined
the association of apoC1 gene variants with apoE epsilon2/epsilon3/epsilon4
polymorphisms. METHODS: This is a cross-sectional study of 877 women with PCOS
and 761 controls. The apoC1 rs4420638A/G genotype was determined by a Taqman real
time PCR allelic discrimination assay. The apoC1-317H1/H2 and apoE
epsilon2/epsilon3/epsilon4 genotypes were measured using PCR and restriction
fragment length polymorphism analysis. The clinical, hormonal and metabolic
parameters and PAF-AH activity were measured. RESULTS: The frequencies of apoC1
rs4420638A/G and -317H1/H2 genotypes and alleles were similar between PCOS and
control groups (P > 0.05). However, the rs4420638 G allele was related to
increased serum luteinizing hormone, cholesterol and apoB levels, and the ratio
of apoB to apoA1 (P < 0.05), and the -317H1H1 genotype was associated with a
higher acne grade score and a higher ratio of apoB-PAF-AH to H-PAF-AH activity (P
< 0.05) in patients with PCOS. We also demonstrated that the apoC1 rs4420638A/G
and -317H1/H2 gene variants existed in moderate to reasonably high linkage
disequilibrium with apoE epsilon2/epsilon3/epsilon4 polymorphisms in Chinese
women. CONCLUSION: The apoC1 rs4420638A/G and -317H1/H2 gene variants might be
involved in endocrine abnormalities of reproductive axis, metabolic abnormalities
and chronic inflammation in PCOS, although no association was observed between
the apoC1 genetic variants and the risk of PCOS in Chinese women.
PMID- 29636061
TI - SIRT1 overexpression is an independent prognosticator for patients with
esophageal squamous cell carcinoma.
AB - BACKGROUND: Sirtuin 1 (SIRT1) regulates DNA repair and metabolism by
deacetylating target proteins. SIRT1 may be oncogenic because its overexpression
has been detected in many cancers. The aim of the present study was to clarify
the prognostic role of SIRT1 in patients with esophageal squamous cell carcinoma
(ESCC) and evaluate the effect of SIRT1 inhibitor in vitro. METHODS: The
expression of SIRT1 was evaluated immunohistochemically in 155 surgically
resected ESCC and the staining results were evaluated semiquantitatively by the
Immunoreactive Scoring System. The clinical features and treatment outcome were
analyzed. The effect of SIRT1 inhibitor, SIRT 1 inhibitor IV, (S)-35, was
investigated in vitro on ESCC cell lines. RESULTS: The expression of SIRT1 on
ESCC did not correlate with age, gender, tumor location, stage, T classification,
N classification, surgical margin or histology. Univariate analysis showed that
SIRT1 overexpression was associated with inferior overall survival (P = 0.004)
and disease-free survival (P = 0.004). In multivariate comparison, SIRT1
overexpression remained independently associated with worse overall survival (P =
0.009, hazard ratio = 1.776) and disease-free survival (P = 0.017, hazard ratio =
1.642). In cell lines, SIRT1 inhibitor inhibited ESCC growth. CONCLUSIONS: Our
study suggests that SIRT1 overexpression is an independent prognosticator for
patients with ESCC and the SIRT1 inhibitor suppressed cell proliferation of ESCC
cell lines. Our findings suggest that inhibition of SIRT1 signaling may be a
promising novel target for ESCC.
PMID- 29636062
TI - Intraoperative assessment of reduction and implant placement in acetabular
fractures-limitations of 3D-imaging compared to computed tomography.
AB - BACKGROUND: In acetabular fractures, the assessment of reduction and implant
placement has limitations in conventional 2D intraoperative imaging. 3D imaging
offers the opportunity to acquire CT-like images and thus to improve the results.
However, clinical experience shows that even 3D imaging has limitations,
especially regarding artifacts when implants are placed. The purpose of this
study was to assess the difference between intraoperative 3D imaging and
postoperative CT regarding reduction and implant placement. METHODS: Twenty
consecutive cases of acetabular fractures were selected with a complete set of
intraoperative 3D imaging and postoperative CT data. The largest detectable step
and the largest detectable gap were measured in all three standard planes. These
values were compared between the 3D data sets and CT data sets. Additionally,
possible correlations between the possible confounders age and BMI and the
difference between 3D and CT values were tested. RESULTS: The mean difference of
largest visible step between the 3D imaging and CT scan was 2.0 +/- 1.8 mm (0.0
5.8, p = 0.02) in the axial, 1.3 +/- 1.4 mm (0.0-3.7, p = 0.15) in the sagittal
and 1.9 +/- 2.4 mm (0.0-7.4, p = 0.22) in the coronal views. The mean difference
of largest visible gap between the 3D imaging and CT scan was 3.1 +/- 3.6 mm (0.0
14.1, p = 0.03) in the axial, 4.6 +/- 2.7 mm (1.2-8.7, p = 0.001) in the sagittal
and 3.5 +/- 4.0 mm (0.0-15.4, p = 0.06) in the coronal views. A positive
correlation between the age and the difference in gap measurements in the
sagittal view was shown (rho = 0.556, p = 0.011). CONCLUSIONS: Intraoperative 3D
imaging is a valuable adjunct in assessing reduction and implant placement in
acetabular fractures but has limitations due to artifacts caused by implant
material. This can lead to missed malreduction and impairment of clinical
outcome, so postoperative CT should be considered in these cases.
PMID- 29636063
TI - Neuregulin-1 attenuates experimental cerebral malaria (ECM) pathogenesis by
regulating ErbB4/AKT/STAT3 signaling.
AB - BACKGROUND: Human cerebral malaria (HCM) is a severe form of malaria
characterized by sequestration of infected erythrocytes (IRBCs) in brain
microvessels, increased levels of circulating free heme and pro-inflammatory
cytokines and chemokines, brain swelling, vascular dysfunction, coma, and
increased mortality. Neuregulin-1beta (NRG-1) encoded by the gene NRG1, is a
member of a family of polypeptide growth factors required for normal development
of the nervous system and the heart. Utilizing an experimental cerebral malaria
(ECM) model (Plasmodium berghei ANKA in C57BL/6), we reported that NRG-1 played a
cytoprotective role in ECM and that circulating levels were inversely correlated
with ECM severity. Intravenous infusion of NRG-1 reduced ECM mortality in mice by
promoting a robust anti-inflammatory response coupled with reduction in
accumulation of IRBCs in microvessels and reduced tissue damage. METHODS: In the
current study, we examined how NRG-1 treatment attenuates pathogenesis and
mortality associated with ECM. We examined whether NRG-1 protects against CXCL10-
and heme-induced apoptosis using human brain microvascular endothelial (hCMEC/D3)
cells and M059K neuroglial cells. hCMEC/D3 cells grown in a monolayer and a co
culture system with 30 MUM heme and NRG-1 (100 ng/ml) were used to examine the
role of NRG-1 on blood brain barrier (BBB) integrity. Using the in vivo ECM
model, we examined whether the reduction of mortality was associated with the
activation of ErbB4 and AKT and inactivation of STAT3 signaling pathways. For
data analysis, unpaired t test or one-way ANOVA with Dunnett's or Bonferroni's
post test was applied. RESULTS: We determined that NRG-1 protects against cell
death/apoptosis of human brain microvascular endothelial cells and neroglial
cells, the two major components of BBB. NRG-1 treatment improved heme-induced
disruption of the in vitro BBB model consisting of hCMEC/D3 and human M059K
cells. In the ECM murine model, NRG-1 treatment stimulated ErbB4 phosphorylation
(pErbB4) followed by activation of AKT and inactivation of STAT3, which
attenuated ECM mortality. CONCLUSIONS: Our results indicate a potential pathway
by which NRG-1 treatment maintains BBB integrity in vitro, attenuates ECM-induced
tissue injury, and reduces mortality. Furthermore, we postulate that augmenting
NRG-1 during ECM therapy may be an effective adjunctive therapy to reduce CNS
tissue injury and potentially increase the effectiveness of current anti-malaria
therapy against human cerebral malaria (HCM).
PMID- 29636064
TI - Traditional Chinese and western medicine for the prevention of deep venous
thrombosis after lower extremity orthopedic surgery: a meta-analysis of
randomized controlled trials.
AB - BACKGROUND: Chinese herbal medicine has traditionally been considered to promote
blood circulation to remove obstruction in the channels and clear pathogenic heat
to drain dampness effects. We conducted this meta-analysis to evaluate its
benefits for the prevention of deep venous thrombosis (DVT) after lower extremity
orthopedic surgery. METHODS: Relevant, published studies were identified using
the following keywords: lower extremity orthopedic surgery, arthroplasty, joint
replacement, fracture, traditional Chinese and western medicine, Chinese herbal
medicine, deep venous thrombosis (DVT), and Venous thromboembolism (VTE). The
following databases were used to identify the literature consisting of RCTs with
a date of search of 31 May 2017: PubMed, Cochrane Library, Web of knowledge, the
Chinese National Knowledge Infrastructure Database, the Chongqing VIP Database,
the Chinese Biomedical Database, and the Wanfang Database (including three
English and four Chinese databases). All relevant data were collected from
studies meeting the inclusion criteria. The outcome variables were the incidence
rate of DVT, activated partial thromboplastin time (APTT), prothrombin time (PT),
and D-dimer; subcutaneous hematoma; and other reported outcomes. RevMan5.2.
software was adopted for the meta-analysis. RESULTS: A total of 20 published
studies (1862 cases) met the inclusion criteria. The experimental group, 910
patients (48.87%), received the Chinese herbal medicine or traditional Chinese
and western medicine for prevention of DVT; the control group, 952 patients
(51.13%), received the standard western treatment. The meta-analysis showed that
traditional Chinese and western medicine therapy reduced the incidence rates of
DVT significantly when compared with controls (risk ratio [RR] = 0.40; 95% CI,
0.30 to 0.54; P < 0.00001), and the D-dimer was lower in the experimental group
(P = 0.01). Besides, the incidence rate of subcutaneous hematoma was lower in the
experimental group (P < 0.0001). However, no significant difference was found in
the PT (P = 0.98) and APTT (P = 0.75) in two groups. No serious adverse events
were reported. CONCLUSION: Traditional Chinese and western medicine therapy may
be a safe, effective prevention modality for DVT after lower extremity orthopedic
surgery. Further rigorously designed, randomized trials are warranted.
PMID- 29636065
TI - Urinary miR-196a predicts disease progression in patients with chronic kidney
disease.
AB - BACKGROUND: Urinary miRNAs may potentially serve as noninvasive biomarkers in
various kidney diseases to reflect disease activity, severity and progression,
especially those correlated with the pathogenesis of kidney diseases. This study
demonstrates that urinary miR-196a, a kidney-enriched miRNA, can predict
progression of chronic kidney disease (CKD). METHODS: Focal segmental
glomerulosclerosis (FSGS) cohorts were used as the representative example of CKD.
First, correlation of miR-196a with disease activity was analyzed using paired
urine and plasma samples from FSGS patients with nephrotic-range proteinuria
(FSGS-A), complete remission (FSGS-CR) and normal controls (NCs). Then, the value
of urinary miR-196a in predicting disease progression was validated using another
cohort of 231 FSGS patients who were followed-up until over 36 months or reaching
end-stage renal disease (ESRD). MiR-196a levels were analyzed by quantitative
reverse transcription-polymerase chain reaction. RESULTS: The results showed that
urinary miR-196a significantly increased in FSGS-A compared with FSGS-CR and NCs,
clearly distinguishing FSGS-A from FSGS-CR and NCs, whereas plasma miR-196a
showed no difference among these groups. Moreover, urinary miR-196a, which was
associated with proteinuria, estimated glomerular filtration rate (eGFR),
interstitial fibrosis and tubular atrophy, significantly increased in patients
progressed to ESRD compared to those not. Furthermore, patients with higher
urinary miR-196a displayed poorer renal survival than those with lower urinary
miR-196a. Multivariate Cox analysis confirmed urinary miR-196a as an independent
risk factor for FSGS progression after adjusting for age, sex, proteinuria and
eGFR. Prediction accuracy of ESRD was significantly improved by combining urinary
miR-196a with other indicators including eGFR and proteinuria. CONCLUSION:
Urinary miR-196a may serve as a biomarker for predicting CKD progression.
PMID- 29636066
TI - Giant thymoma successfully resected via median sternotomy and anterolateral
thoracotomy: a case report.
AB - BACKGROUND: Some patients with thymoma present with a very large mass in the
thoracic cavity. Although the most effective treatment for thymoma is surgical
resection, it is difficult to perform because of the size of the tumor and the
infiltration of tumor into the surrounding organs and vessels. We report a
patient with a giant thymoma that was completely resected via a median sternotomy
and left anterolateral thoracotomy. CASE PRESENTATION: A 63-year-old woman
presented with a mass in the left thoracic cavity that was incidentally found on
a chest X-ray. Chest computed tomography revealed a giant mass (16 * 10 cm)
touching the chest wall and diaphragm and pressed against the heart and left
upper pulmonary lobe. Complete resection was performed via a median sternotomy
and left anterolateral thoracotomy. The tumor was histologically diagnosed as a
WHO type B2 thymoma, Masaoka stage II. CONCLUSIONS: Giant thymomas tend to grow
expansively without invasion into surrounding organs and vessels. Surgical
resection that employs an adequate approach must be considered, regardless of the
size of the tumor.
PMID- 29636067
TI - A novel neuregulin - jagged1 paracrine loop in breast cancer transendothelial
migration.
AB - BACKGROUND: The interaction of breast cancer cells with other cells in the tumor
microenvironment plays an important role in metastasis. Invasion and
intravasation, two critical steps in the metastatic process, are influenced by
these interactions. Macrophages are of particular interest when it comes to
studying tumor cell invasiveness. Previous studies have shown that there is
paracrine loop signaling between breast cancer cells and macrophages involving
colony stimulating factor 1 (CSF-1) produced by tumor cells and epidermal growth
factor (EGF) production by macrophages. In this paper, we identify a novel
paracrine loop between tumor cells and macrophages involving neuregulin (NRG1)
and notch signaling. METHODS: The aim of this study was to determine the role of
NRG1, a ligand of the ErbB3 receptor, in macrophage stimulation of tumor cell
transendothelial migration and intravasation. We used fluorescence-activated cell
sorting (FACS) and western blot to determine ErbB3 and NRG1 expression,
respectively. An in vitro transendothelial migration (iTEM) assay was used to
examine the effects of short hairpin (sh)RNA targeting NRG1 in tumor cells and
clustered regularly interspaced short palindromic repeats (CRISPR) knockout of
jagged 1 (JAG1) in macrophages. Orthotopic xenograft injections in mice were used
to confirm results in vivo. RESULTS: In our system, macrophages were the primary
cells showing expression of ErbB3, and a blocking antibody against ErbB3 resulted
in a significant decrease in macrophage-induced transendothelial migration of
breast cancer cells. Stimulation of macrophages with NRG1 upregulated mRNA and
protein expression of JAG1, a ligand of the Notch receptor, and JAG1 production
by macrophages was important for transendothelial migration of tumor cells.
CONCLUSIONS: This study demonstrates that stimulation of macrophages by tumor
cell NRG1 can enhance transendothelial migration and intravasation. We also
demonstrate that this effect is due to induction of macrophage JAG1, an important
ligand of the Notch signaling pathway.
PMID- 29636068
TI - Iron-dependent cell death as executioner of cancer stem cells.
AB - This commentary highlights the findings by Mai, et al. that ironomycin,
derivatives of salinomycin, exhibited more potent and selective therapeutic
activity against breast cancer stem cells by accumulating and sequestering iron
in lysosome, followed by an iron-mediated lysosomal production of reactive oxygen
species and an iron-dependent cell death. These unprecedented findings identified
iron homeostasis and iron-mediated processes as potentially druggable in the
context of cancer stem cells.
PMID- 29636070
TI - Neural correlates of interference resolution in the multi-source interference
task: a meta-analysis of functional neuroimaging studies.
AB - BACKGROUND: Interference resolution refers to cognitive control processes
enabling one to focus on task-related information while filtering out unrelated
information. But the exact neural areas, which underlie a specific cognitive task
on interference resolution, are still equivocal. The multi-source interference
task (MSIT), as a particular cognitive task, is a well-established experimental
paradigm used to evaluate interference resolution. Studies combining the MSIT
with functional magnetic resonance imaging (fMRI) have shown that the MSIT evokes
the dorsal anterior cingulate cortex (dACC) and cingulate-frontal-parietal
cognitive-attentional networks. However, these brain areas have not been
evaluated quantitatively and these findings have not been replicated. METHODS: In
the current study, we firstly report a voxel-based meta-analysis of functional
brain activation associated with the MSIT so as to identify the localization of
interference resolution in such a specific cognitive task. Articles on MSIT
related fMRI published between 2003 and July 2017 were eligible. The electronic
databases searched included PubMed, Web of Knowledge, and Google Scholar.
Differential BOLD activation patterns between the incongruent and congruent
condition were meta-analyzed in anisotropic effect-size signed differential
mapping software. RESULTS: Robustness meta-analysis indicated that two
significant activation clusters were shown to have reliable functional activity
in comparisons between incongruent and congruent conditions. The first reliable
activation cluster, which included the dACC, medial prefrontal cortex,
supplementary motor area, replicated the previous MSIT-related fMRI study
results. Furthermore, we found another reliable activation cluster comprising
areas of the right insula, right inferior frontal gyrus, and right lenticular
nucleus-putamen, which were not typically discussed in previous MSIT-related fMRI
studies. CONCLUSIONS: The current meta-analysis study presents the reliable brain
activation patterns on MSIT. These findings suggest that the cingulate-frontal
striatum network and right insula may allow control demands to resolve
interference on MSIT. These results provide new insights into the neural
mechanisms underlying interference resolution.
PMID- 29636071
TI - A better start for health equity? Qualitative content analysis of implementation
of extended postnatal home visiting in a disadvantaged area in Sweden.
AB - BACKGROUND: Health inequities among children in Sweden persist despite the
country's well-developed welfare system and near universal access to the national
child health care programme. A multisectoral extended home visiting intervention,
based on the principles of proportionate universalism, has been carried out in a
disadvantaged area since 2013. The present study investigates the content of the
meetings between families and professionals during the home visits to gain a
deeper understanding of how it relates to a health equity perspective on early
childhood development. METHODS: Three child health care nurses documented 501
visits to the families of 98 children between 2013 and 2016. A qualitative data
driven conventional content analysis was performed on all data from the cycle of
six visits per child, and a general content model was developed. Additional
content analysis was carried out on the data from visits to families who
experienced adverse situations or greater needs. RESULTS: The analysis revealed
that the home visits covered three main categories of content related to the
health, care and development of the child; the strengthening of roles and
relations within the new family unit; and the influence and support located in
the broader external context around the family. The model of categories and sub
categories proved stable over all six visits. Families with extra needs received
continuous attention to their additional issues during the visits, as well as the
standard content described in the content model. CONCLUSIONS: This study on home
visiting implementation indicates that the participating families received
programme content which covered all the domains of nurturing care as recommended
by the WHO Commission on Social Determinants of Health and recent research. The
content of the home visits can be understood to create enabling conditions for
health equity effects. The intervention can be seen to represent a practical
example of proportionate universalism.
PMID- 29636069
TI - New World feline APOBEC3 potently controls inter-genus lentiviral transmission.
AB - BACKGROUND: The apolipoprotein B mRNA-editing enzyme catalytic polypeptide-like 3
(APOBEC3; A3) gene family appears only in mammalian genomes. Some A3 proteins can
be incorporated into progeny virions and inhibit lentiviral replication. In turn,
the lentiviral viral infectivity factor (Vif) counteracts the A3-mediated
antiviral effect by degrading A3 proteins. Recent investigations have suggested
that lentiviral vif genes evolved to combat mammalian APOBEC3 proteins, and have
further proposed that the Vif-A3 interaction may help determine the co
evolutionary history of cross-species lentiviral transmission in mammals.
RESULTS: Here we address the co-evolutionary relationship between two New World
felids, the puma (Puma concolor) and the bobcat (Lynx rufus), and their
lentiviruses, which are designated puma lentiviruses (PLVs). We demonstrate that
PLV-A Vif counteracts the antiviral action of APOBEC3Z3 (A3Z3) of both puma and
bobcat, whereas PLV-B Vif counteracts only puma A3Z3. The species specificity of
PLV-B Vif is irrespective of the phylogenic relationships of feline species in
the genera Puma, Lynx and Acinonyx. We reveal that the amino acid at position 178
in the puma and bobcat A3Z3 is exposed on the protein surface and determines the
sensitivity to PLV-B Vif-mediated degradation. Moreover, although both the puma
and bobcat A3Z3 genes are polymorphic, their sensitivity/resistance to PLV Vif
mediated degradation is conserved. CONCLUSIONS: To the best of our knowledge,
this is the first study suggesting that the host A3 protein potently controls
inter-genus lentiviral transmission. Our findings provide the first evidence
suggesting that the co-evolutionary arms race between lentiviruses and mammals
has occurred in the New World.
PMID- 29636072
TI - Deciphering viral presences: two novel partial giant viruses detected in marine
metagenome and in a mine drainage metagenome.
AB - Nucleo-cytoplasmic large DNA viruses are doubled stranded DNA viruses capable of
infecting eukaryotic cells. Since the discovery of Mimivirus and Pandoravirus,
there has been no doubt about their extraordinary features compared to "classic"
viruses. Recently, we reported the expansion of the proposed family Pithoviridae,
with the description of Cedratvirus and Orpheovirus, two new viruses related to
Pithoviruses. Studying the major capsid protein of Orpheovirus, we detected a
homologous sequence in a mine drainage metagenome. The in-depth exploration of
this metagenome, using the MG-Digger program, enabled us to retrieve up to 10
contigs with clear evidence of viral sequences. Moreover, phylogenetic analyses
further extended our screening with the discovery in another marine metagenome of
a second virus closely related to Orpheovirus IHUMI-LCC2. This virus is a
misidentified virus confused with and annotated as a Rickettsiales bacterium. It
presents a partial genome size of about 170 kbp.
PMID- 29636073
TI - Vast diversity of prokaryotic virus genomes encoding double jelly-roll major
capsid proteins uncovered by genomic and metagenomic sequence analysis.
AB - BACKGROUND: Analysis of metagenomic sequences has become the principal approach
for the study of the diversity of viruses. Many recent, extensive metagenomic
studies on several classes of viruses have dramatically expanded the visible part
of the virosphere, showing that previously undetected viruses, or those that have
been considered rare, actually are important components of the global virome.
RESULTS: We investigated the provenance of viruses related to tail-less
bacteriophages of the family Tectiviridae by searching genomic and metagenomics
sequence databases for distant homologs of the tectivirus-like Double Jelly-Roll
major capsid proteins (DJR MCP). These searches resulted in the identification of
numerous genomes of virus-like elements that are similar in size to tectiviruses
(10-15 kilobases) and have diverse gene compositions. By comparison of the gene
repertoires, the DJR MCP-encoding genomes were classified into 6 distinct groups
that can be predicted to differ in reproduction strategies and host ranges. Only
the DJR MCP gene that is present by design is shared by all these genomes, and
most also encode a predicted DNA-packaging ATPase; the rest of the genes are
present only in subgroups of this unexpectedly diverse collection of DJR MCP
encoding genomes. Only a minority encode a DNA polymerase which is a hallmark of
the family Tectiviridae and the putative family "Autolykiviridae". Notably, one
of the identified putative DJR MCP viruses encodes a homolog of Cas1
endonuclease, the integrase involved in CRISPR-Cas adaptation and integration of
transposon-like elements called casposons. This is the first detected occurrence
of Cas1 in a virus. Many of the identified elements are individual contigs
flanked by inverted or direct repeats and appear to represent complete,
extrachromosomal viral genomes, whereas others are flanked by bacterial genes and
thus can be considered as proviruses. These contigs come from metagenomes of
widely different environments, some dominated by archaea and others by bacteria,
suggesting that collectively, the DJR MCP-encoding elements have a broad host
range among prokaryotes. CONCLUSIONS: The findings reported here greatly expand
the known host range of (putative) viruses of bacteria and archaea that encode a
DJR MCP. They also demonstrate the extreme diversity of genome architectures in
these viruses that encode no universal proteins other than the capsid protein
that was used as the marker for their identification. From a supposedly minor
group of bacterial and archaeal viruses, these viruses are emerging as a
substantial component of the prokaryotic virome.
PMID- 29636074
TI - LncRNA H19 contributes to hippocampal glial cell activation via JAK/STAT
signaling in a rat model of temporal lobe epilepsy.
AB - BACKGROUND: Astrocyte and microglia activation are well-known features of
temporal lobe epilepsy that may contribute to epileptogenesis. However, the
mechanisms underlying glia activation are not well understood. Long non-coding
RNA (lncRNA) H19 has diverse functions depending on physiological or pathological
state, and its role in epilepsy is unknown. We previously demonstrated that H19
was significantly upregulated in the latent period of epilepsy and may be
associated with cell proliferation and immune and inflammatory responses. We
therefore speculated that H19 is involved in the hippocampal glial cell
activation during epileptogenesis. METHODS: H19 was overexpressed or knocked down
using an adeno-associated viral vector delivery system. A rat status epilepticus
model was induced by intra-amygdala kainic acid injection. Astrocyte and
microglia activation were assessed by immunofluorescence and western blot
analyses. Expression of proinflammatory cytokines and components of the Janus
kinase (JAK)/signal transducer and activator of transcription (STAT) signaling
pathways were evaluated with western blotting. RESULTS: H19 overexpression
induced the activation of astrocytes and microglia and the release of
proinflammatory cytokines (interleukin-1beta and interleukin-6 and tumor necrosis
factor-alpha) in the hippocampus, whereas H19 knockdown inhibited status
epilepticus-induced glial cell activation. Moreover, H19 activated JAK/STAT
signaling by promoting the expression of Stat3 and c-Myc, which is thought to be
involved in astrocyte activation. CONCLUSIONS: LncRNA H19 contributes to
hippocampal glial cell activation via modulation of the JAK/STAT pathway and
could be a therapeutic tool to prevent the development of epilepsy.
PMID- 29636075
TI - Identification of lncRNA expression profiles and ceRNA analysis in the spinal
cord of morphine-tolerant rats.
AB - Morphine tolerance is a challenging clinical problem that limits the use of
morphine in pain treatment, but the mechanisms of morphine tolerance remain
unclear. Recent research indicates that long noncoding RNAs (lncRNAs) might be a
novel and promising target in the pathogeneses of diseases. Therefore, we
hypothesized that lncRNAs might play a role in the development of morphine
tolerance. Male Sprague-Dawley rats were intrathecally injected with 10 MUg
morphine twice daily for 7 consecutive days. The animals were then sacrificed for
lncRNA microarray tests, and the results were validated by RT-qPCR. Next,
functional predictions for the differentially expressed mRNAs (DEmRNAs) were made
with the Gene Ontology/Kyoto Encyclopedia of Genes and Genomes (GO/KEGG), and
predictions for the differentially expressed lncRNAs (DElncRNAs) were made based
on competitive endogenous RNA (ceRNA) analyses. The rats successfully developed
morphine tolerance. LncRNA microarray analysis revealed that, according to the
criteria of a log2 (fold change) > 1.5 and a P-value < 0.05, 136 lncRNAs and 278
mRNAs were differentially expressed in the morphine tolerance group (MT) compared
with the normal saline group (NS). The functions of the DEmRNAs likely involve in
the processes of the ion channel transport, pain transmission and immune
response. The ceRNA analysis indicated that several possible interacting networks
existed, including (MRAK150340, MRAK161211)/miR-219b/Tollip.Further annotations
of the potential target mRNAs of the miRNAs according to the gene database
suggested that the possible functions of these mRNAs primarily involved the
regulation of ubiquitylation, G protein-linked receptors, and Toll-like
receptors, which play roles in the development of morphine tolerance. Our
findings revealed the profiles of differentially expressed lncRNAs in morphine
tolerance conditions, and among these lncRNAs, some DElncRNAs might be new
therapeutic targets for morphine tolerance.
PMID- 29636076
TI - GAD65 autoantibody characteristics in patients with co-occurring type 1 diabetes
and epilepsy may help identify underlying epilepsy etiologies.
AB - BACKGROUND: Autoantibodies against the smaller isoform of glutamate decarboxylase
(GAD65Ab) reflect autoimmune etiologies in Type 1 diabetes (T1D) and several
neurological disorders, including Stiff Person Syndrome (SPS). GAD65Ab are also
reported in cases of epilepsy, indicating an autoimmune component. GAD65Ab in
patients with co-occurring T1D, epilepsy or SPS may be part of either autoimmune
pathogenesis. To dissect the etiologies associated with GAD65Ab, we analyzed
GAD65Ab titer, epitope specificity and enzyme inhibition in GAD65Ab-positive
patients diagnosed with epilepsy (n = 28), patients with epilepsy and T1D (n =
10), patients with SPS (n = 20), and patients with T1D (n = 42). RESULTS: GAD65Ab
epitope pattern in epilepsy differed from T1D and SPS patients. Four of 10
patients with co-occurring T1D and epilepsy showed GAD65Ab profiles similar to
T1D patients, while lacking GAD65Ab characteristics found in GAD65Ab-positive
epilepsy patients. One of these patients responded well to anti-epileptic drugs
(AEDs), while another patient did not require medication for seizure control. The
third patient was refractory due to a diagnosis of meningioma. The response of
the remaining patient to AEDs was unknown. GAD65Ab in the remaining six patients
with T1D and epilepsy showed profiles similar to those in epilepsy patients.
CONCLUSIONS: Different autoimmune responses associated with T1D, epilepsy and SPS
are reflected by disease-specific GAD65Ab patterns. Moreover, the epileptic
etiology in patients diagnosed with both T1D and epilepsy may present two
different etiologies regarding their epileptic condition. In one group T1D co
occurs with non-autoimmune epilepsy. In the other group GAD65Ab are part of an
autoimmune epileptic condition.
PMID- 29636078
TI - Bat lung epithelial cells show greater host species-specific innate resistance
than MDCK cells to human and avian influenza viruses.
AB - BACKGROUND: With the recent discovery of novel H17N10 and H18N11 influenza viral
RNA in bats and report on high frequency of avian H9 seroconversion in a species
of free ranging bats, an important issue to address is the extent bats are
susceptible to conventional avian and human influenza A viruses. METHOD: To this
end, three bat species (Eidolon helvum, Carollia perspicillata and Tadarida
brasiliensis) of lung epithelial cells were separately infected with two avian
and two human influenza viruses to determine their relative host innate immune
resistance to infection. RESULTS: All three species of bat cells were more
resistant than positive control Madin-Darby canine kidney (MDCK) cells to all
four influenza viruses. TB1-Lu cells lacked sialic acid alpha2,6-Gal receptors
and were most resistant among the three bat species. Interestingly, avian viruses
were relatively more replication permissive in all three bat species of cells
than with the use of human viruses which suggest that bats could potentially play
a role in the ecology of avian influenza viruses. Chemical inhibition of the JAK
STAT pathway in bat cells had no effect on virus production suggesting that type
I interferon signalling is not a major factor in resisting influenza virus
infection. CONCLUSION: Although all three species of bat cells are relatively
more resistant to influenza virus infection than control MDCK cells, they are
more permissive to avian than human viruses which suggest that bats could have a
contributory role in the ecology of avian influenza viruses.
PMID- 29636077
TI - Clinical value of miR-182-5p in lung squamous cell carcinoma: a study combining
data from TCGA, GEO, and RT-qPCR validation.
AB - BACKGROUND: MiR-182-5p, as a member of miRNA family, can be detected in lung
cancer and plays an important role in lung cancer. To explore the clinical value
of miR-182-5p in lung squamous cell carcinoma (LUSC) and to unveil the molecular
mechanism of LUSC. METHODS: The clinical value of miR-182-5p in LUSC was
investigated by collecting and calculating data from The Cancer Genome Atlas
(TCGA) database, the Gene Expression Omnibus (GEO) database, and real-time
quantitative polymerase chain reaction (RT-qPCR). Twelve prediction platforms
were used to predict the target genes of miR-182-5p. Protein-protein interaction
(PPI) networks and gene ontology (GO), and Kyoto Encyclopedia of Genes and
Genomes (KEGG) analyses were used to explore the molecular mechanism of LUSC.
RESULTS: The expression of miR-182-5p was significantly over-expressed in LUSC
than in non-cancerous tissues, as evidenced by various approaches, including the
TCGA database, GEO microarrays, RT-qPCR, and a comprehensive meta-analysis of 501
LUSC cases and 148 non-cancerous cases. Furthermore, a total of 81 potential
target genes were chosen from the union of predicted genes and the TCGA database.
GO and KEGG analyses demonstrated that the target genes are involved in pathways
related to biological processes. PPIs revealed the relationships between these
genes, with EPAS1, PRKCE, NR3C1, and RHOB being located in the center of the PPI
network. CONCLUSIONS: MiR-182-5p upregulation greatly contributes to LUSC and may
serve as a biomarker in LUSC.
PMID- 29636079
TI - DACH1 antagonizes CXCL8 to repress tumorigenesis of lung adenocarcinoma and
improve prognosis.
AB - BACKGROUND: C-X-C motif ligand 8 (CXCL8), known as a proinflammatory chemokine,
exerts multiple effects on the proliferation, invasion, and migration of tumor
cells via the autocrine or paracrine manner. Conversely, the human Dachshund
homologue 1 (DACH1) is recognized as a tumor suppressor which retards the
progression of various cancers. In prostate cancer, it has been demonstrated that
DACH1 was negatively correlated with the expression of CXCL8 and able to
antagonize the effects of CXCL8 on cellular migration. Herein, we explored the
mechanisms by which DACH1 regulated the CXCL8 in non-small cell lung cancer
(NSCLC). METHODS: Public microarray and Kaplan-Meier plotter datasets were
analyzed. Blood serum samples from lung adenocarcinoma (ADC) patients were
collected for enzyme-linked immunosorbent assay (ELISA) analysis.
Immunohistochemical staining was conducted on tissue microarray. Cell lines with
stable expression of DACH1 were established, and relative gene expression was
measured by Western blot, ELISA, real-time PCR, and human cytokine array.
Correspondingly, cell lines transfected with shDACH1 were established, and
relative gene expression was measured by real-time PCR and immunofluorescence
array. Functional studies were performed by transwell and xenograft mice models.
Luciferase reporter gene assay was applied to measure the regulation of DACH1 on
CXCL8. RESULTS: Our study indicated that CXCL8 both at the mRNA and protein level
was associated with the high tumor burden of ADC. Correlational analyses in ADC
cell lines and ADC tissues showed that DACH1 was inversely correlated with CXCL8.
Meanwhile, patients with high DACH1 expression and low CXCL8 expression had
prolonged time to death and recurrence. Moreover, we verified the inhibitory
effects of DACH1 on CXCL8 both in vitro and in vivo. Mechanism studies proved
that DACH1 transcriptionally repressed CXCL8 promoter activity through activator
protein-1 (AP-1) and nuclear transcription factor-kappa B (NF-kappaB) sites.
CONCLUSIONS: Our study proved that CXCL8 acted as an unfavorable factor promoting
to tumor progression and poor prognosis of ADC, while DACH1 antagonized CXCL8 to
provide a favorable survival of ADC patients. Double detection of DACH1 and CXCL8
may provide a precise information for further evaluating the prognosis of ADC
patients.
PMID- 29636080
TI - Transparency in ovarian cancer clinical trial results: ClinicalTrials.gov versus
PubMed, Embase and Google scholar.
AB - BACKGROUND: In recent years the question of the lack of transparency in clinical
research has been debated by clinicians, researchers, citizens and their
representatives, authors and publishers. This is particularly important for
infrequent cancers such as ovarian cancer, where treatment still gives
disappointing results in the majority of cases. Our aim was to assess the
availability to the public of results in ClinicalTrials.gov, and the frequency of
non-publication of results in ClinicalTrials.gov and in PubMed, Embase and Google
Scholar. We collected all trials on ovarian cancer identified as "completed
status" in the ClinicalTrials.gov registry on 17 January 2017. We checked the
availability of the results in ClinicalTrials.gov and systematically identified
published manuscripts on results. RESULTS: Out of 2725 trials on ovarian cancer
identified, 752 were classified as "completed status". In those closed between
2008 and 2015, excluding phase I, the frequency of results in ClinicalTrials.gov
was 35%. Of the 752 completed studies the frequency of published results in
PubMed, Embase or Google Scholar ranged from 57.9% to 69.7% in the last years.
CONCLUSIONS: These findings show a lack of transparency and credibility of
research. Citizens or patients' representatives, with the medical community,
should continuously support initiatives to improve the publication and
dissemination of clinical study results.
PMID- 29636081
TI - Pregnancy outcomes of PCOS overweight/obese patients after controlled ovarian
stimulation with the GnRH antagonist protocol and frozen embryo transfer.
AB - BACKGROUND: Overweight/obese women with polycystic ovary syndrome (PCOS) are at
increased risk of subfertility and complications of pregnancy, compared with
normal-weight women. To implement controlled ovarian hyperstimulation (COH), the
improved efficacy of the gonadotrophin-releasing hormone antagonist (GnRH-ant)
protocol has been demonstrated, as well as frozen embryo transfer (FET).
OBJECTIVE: This retrospective study evaluated the pregnancy outcomes after
combined GnRH-ant protocol and FET in overweight/obese women with PCOS, with
reference to that of normal-weight women with PCOS. METHODS: Women with PCOS (n =
398) who underwent the GnRH-ant protocol for COH followed by FET, were stratified
as normal-weight (BMI < 24 kg/m2) or overweight/obese (BMI >=24 kg/m2). The
outcomes of pregnancy were compared. RESULTS: The overweight/obese patients had
significantly lower rates of embryo implantation (47.7%), live birth (47.8%), and
live births of twins (10.9%) compared with the normal-weight group (58.4%, 60.8%,
and 30.0%, respectively; P = 0.006, 0.015, and 0.000), while the rate of late
abortion was significantly higher (11.0% cf. 3.8%, P = 0.030). BMI was the only
significant factor affecting the probability of live birth. CONCLUSION: The
pregnancy outcomes of overweight/obese women with PCOS after COH via the GnRH-ant
protocol and FET remained at a significant deficit compared with that of normal
weight women with PCOS.
PMID- 29636082
TI - Identification of novel biomarker as citrullinated inter-alpha-trypsin inhibitor
heavy chain 4, specifically increased in sera with experimental and rheumatoid
arthritis.
AB - BACKGROUND: Anticitrullinated protein antibodies (ACPA) and citrullinated
proteins play key roles in the pathogenesis of rheumatoid arthritis (RA). Many
candidate citrullinated antigens have been identified in joints, but
citrullinated proteins in sera are mostly uncertain in patients with RA. We
explored the expression of citrullinated proteins in joints and sera of
experimental arthritis, and we further investigated their specific expression
correlated with the disease activity in patients with RA. METHODS: Citrullinated
protein expression in tissues was examined by IHC in peptide glucose-6-phosphate
isomerase-induced arthritis (pGIA). Serum citrullinated proteins from pGIA were
examined by Western blotting, and the sequence was identified by MS. With the
same methods, serum citrullinated proteins were analyzed in patients with RA,
primary Sjogren's syndrome, systemic lupus erythematosus, and osteoarthritis as
well as in healthy subjects, by Western blotting and MS. In patients with RA, the
relationship between the expression of the identified protein (inter-alpha
trypsin inhibitor heavy chain 4 [ITIH4]) and clinical features was evaluated, and
the levels of citrullinated ITIH4 were compared before and after biological
treatment. The antibody response against citrullinated ITIH4 peptide was measured
by enzyme-linked immunosorbent assay. RESULTS: Citrullinated proteins were
detected specifically in arthritic joints and sera from pGIA relative to
controls. In sera, a common band of citrullinated protein at 120 kDa was
revealed, and it fluctuated in parallel with arthritis score of pGIA by Western
blotting. Interestingly, in 82% of RA patient sera, similar bands of
citrullinated protein were specifically detected. These proteins were identified
as citrullinated ITIH4, and especially the R438 site was commonly citrullinated
between mice and humans. Citrullinated ITIH4 levels were associated with clinical
parameters such as C-reactive protein (CRP), rheumatoid factor, and Disease
Activity Score in 28 joints as measured by CRP in patients with RA. Its levels
were decreased in correlation with the reduction of disease activity score after
effective treatment in patients with RA. Moreover, antibody response to
citrullinated epitope in ITIH4 was specifically observed in patients with RA.
CONCLUSIONS: Our results suggest that serum citrullinated ITIH4 was specifically
increased in patients with RA and could be a novel biomarker for assessing
disease activity in patients with RA.
PMID- 29636083
TI - In-feed bambermycin medication induces anti-inflammatory effects and prevents
parietal cell loss without influencing Helicobacter suis colonization in the
stomach of mice.
AB - The minimum inhibitory concentration of bambermycin on three porcine Helicobacter
suis strains was shown to be 8 MUg/mL. The effect of in-feed medication with this
antibiotic on the course of a gastric infection with one of these strains, the
host response and the gastric microbiota was determined in mice, as all of these
parameters may be involved in gastric pathology. In H. suis infected mice which
were not treated with bambermycin, an increased number of infiltrating B-cells, T
cells and macrophages in combination with a Th2 response was demonstrated, as
well as a decreased parietal cell mass. Compared to this non-treated, infected
group, in H. suis infected mice medicated with bambermycin, gastric H. suis
colonization was not altered, but a decreased number of infiltrating T-cells, B
cells and macrophages as well as downregulated expressions of IL-1beta, IL-8M, IL
10 and IFN-gamma were demonstrated and the parietal cell mass was not affected.
In bambermycin treated mice that were not infected with H. suis, the number of
infiltrating T-cells and expression of IL-1beta were lower than in non-infected
mice that did not receive bambermycin. Gastric microbiota analysis indicated that
the relative abundance of bacteria that might exert unfavorable effects on the
host was decreased during bambermycin supplementation. In conclusion, bambermycin
did not affect H. suis colonization, but decreased gastric inflammation and
inhibited the effects of a H. suis infection on parietal cell loss. Not only
direct interaction of H. suis with parietal cells, but also inflammation may play
a role in death of these gastric acid producing cells.
PMID- 29636085
TI - Population aging: opportunity for business expansion, an invitational paper
presented at the Asia-Pacific Economic Cooperation (APEC) International Workshop
on Adaptation to Population Aging Issues, July 17, 2017, Ha Noi, Viet Nam.
AB - A longer life brings opportunities for older adults and their families as well as
for their communities. Commercial businesses can be successful in innovating on
these opportunities and achieving business expansion when they better understand
the market dynamics and spectrum of older adults as consumers and view them more
as assets rather than as burdens to society. While there is no "typical" older
adult consumer, some traits, characteristics, and physical realities may be more
common, including those related to family and community, the shopping experience,
brand marketing and packaging, food and nutrition, and health. The opportunities
of longer life are impacted by health and underscore the importance of positive,
healthy aging-related behaviors like good nutrition and active lifestyles.
Healthy aging also requires a sustained commitment and action from country
leaders to formulate evidence-based polices--like systematic nutrition screening
and intervention-and healthcare workforce training and education that can
strengthen and support an active aging population. In addition, governments
should consider engaging commercial businesses to help set sustainable policies
that can advance products for older adults. Finally, governments should set
national and local goals to incentivize commercial business development and
investment in public-private partnerships to improve quality of care, promote
healthy aging, and impact outcomes for noncommunicable diseases, ultimately
benefitting population health for Asia-Pacific Economic Cooperation (APEC)
countries.
PMID- 29636084
TI - Does the presence of magnetic resonance imaging-detected osteitis at diagnosis
with rheumatoid arthritis lower the risk for achieving disease-modifying
antirheumatic drug-free sustained remission: results of a longitudinal study.
AB - BACKGROUND: Although infrequent, some rheumatoid arthritis (RA) patients achieve
disease-modifying antirheumatic drug (DMARD)-free sustained remission. The
absence of RA-specific autoantibodies, such as anticitrullinated protein
antibodies (ACPA), is known to be associated with this outcome but further
mechanisms underlying the chronic nature of RA are largely unknown. Magnetic
resonance imaging (MRI)-detected bone marrow edema (BME), or osteitis, strongly
predicts erosive progression and is associated with ACPA positivity. Therefore,
we hypothesized that the presence of MRI-detected osteitis is also predictive of
not achieving DMARD-free sustained remission and that the presence of osteitis
mediates the association between ACPA and DMARD-free sustained remission.
METHODS: A 1.5 T unilateral hand and foot MRI was performed at disease
presentation in 238 RA patients, evaluating BME, synovitis, and tenosynovitis
(summed as MRI inflammation score). DMARD-free sustained remission, defined as
the absence of clinical synovitis after DMARD cessation that persisted during the
total follow-up, was assessed (median follow-up 3.8 years). Associations between
the different MRI-detected inflammatory features and this outcome were studied. A
mediation analysis was performed to study whether the presence of BME mediated
the association between ACPA and DMARD-free sustained remission. Finally,
patterns of MRI-detected inflammation with regard to DMARD-free sustained
remission were studied using partial least squares (PLS) regression. RESULTS:
Forty-six (19.3%) patients achieved DMARD-free sustained remission. ACPA
positivity associated independently with remission (hazard ratio (HR) 0.16, 95%
confidence interval (CI) 0.06-0.39). In contrast, no associations were observed
between MRI-detected BME (HR 0.99, 95% CI 0.94-1.03), or other MRI inflammatory
features, and achieving DMARD-free sustained remission. Thus, the presence of BME
did not mediate the association between ACPA and DMARD-free sustained remission.
Furthermore, a PLS analysis revealed that patients who did or did not achieve
remission could not be distinguished by patterns of MRI-detected inflammation.
CONCLUSIONS: At disease presentation, osteitis, as well as other MRI-detected
inflammatory features, was not associated with achieving DMARD-free sustained
remission over time. Thus, imaging predictors for joint damage and disease
persistence differ. The processes mediating RA chronicity remain largely
unsolved.
PMID- 29636086
TI - Investigation into the role of the germline epigenome in the transmission of
glucocorticoid-programmed effects across generations.
AB - BACKGROUND: Early life exposure to adverse environments affects cardiovascular
and metabolic systems in the offspring. These programmed effects are
transmissible to a second generation through both male and female lines,
suggesting germline transmission. We have previously shown that prenatal
overexposure to the synthetic glucocorticoid dexamethasone (Dex) in rats reduces
birth weight in the first generation (F1), a phenotype which is transmitted to a
second generation (F2), particularly through the male line. We hypothesize that
Dex exposure affects developing germ cells, resulting in transmissible
alterations in DNA methylation, histone marks and/or small RNA in the male
germline. RESULTS: We profile epigenetic marks in sperm from F1 Sprague Dawley
rats expressing a germ cell-specific GFP transgene following Dex or vehicle
treatment of the mothers, using methylated DNA immunoprecipitation sequencing,
small RNA sequencing and chromatin immunoprecipitation sequencing for H3K4me3,
H3K4me1, H3K27me3 and H3K9me3. Although effects on birth weight are transmitted
to the F2 generation through the male line, no differences in DNA methylation,
histone modifications or small RNA were detected between germ cells and sperm
from Dex-exposed animals and controls. CONCLUSIONS: Although the phenotype is
transmitted to a second generation, we are unable to detect specific changes in
DNA methylation, common histone modifications or small RNA profiles in sperm. Dex
exposure is associated with more variable 5mC levels, particularly at non
promoter loci. Although this could be one mechanism contributing to the observed
phenotype, other germline epigenetic modifications or non-epigenetic mechanisms
may be responsible for the transmission of programmed effects across generations
in this model.
PMID- 29636087
TI - Intra cavernous aneurysm of internal carotid artery masquerading as a pituitary
adenoma: a case report.
AB - BACKGROUND: Pituitary dysfunction in adults are often associated with tumors of
the gland and manifests with mass effects and hypopituitarism. MRI of pituitary
region often provides confirmation of the diagnosis and assists in planning
neurosurgery. CASE PRESENTATION: A 69 years old female evaluated for chronic
headache was found to have a supra-sellar mass lesion that mimicked a pituitary
tumor, with biochemical evidence of hypopituitarism. Cerebral angiogram confirmed
the diagnosis of an aneurysm of the intracavernous internal carotid artery. She
was successfully treated with coil embolization of the aneurysm and achieved
resolution of symptoms and return of biochemistries to normal. CONCLUSION:
Carotid aneurysm can mimc pituitary tumours clinically and radiologically on MRI
scan. This rare possibility should be considered in evaluating supra-sellar
masses to avoid catastrophic consequences.
PMID- 29636088
TI - Prevalence of depression and anxiety among undergraduate university students in
low- and middle-income countries: a systematic review protocol.
AB - BACKGROUND: Depression and anxiety symptoms are reported to be common among
university students in many regions of the world and impact on quality of life
and academic attainment. The extent of the problem of depression and anxiety
among students in low- and middle-income countries (LMICs) is largely unknown.
This paper details methods for a systematic review that will be conducted to
explore the prevalence, antecedents, consequences, and treatments for depression
and anxiety among undergraduate university students in LMICs. METHODS: Studies
reporting primary data on common mental disorders among students in universities
and colleges within LMICs will be included. Quality assessment of retrieved
articles will be conducted using four Joanna Briggs critical appraisal checklists
for prevalence, randomized control/pseudo-randomized trials, descriptive case
series, and comparable cohort/case control. Meta-analysis of the prevalence of
depression and anxiety will be conducted using a random effects model which will
generate pooled prevalence with their respective 95% confidence intervals.
DISCUSSION: The results from this systematic review will help in informing and
guiding healthcare practitioners, planners, and policymakers on the burden of
common mental disorders in university students in LMICs and of appropriate and
feasible interventions aimed at reducing the burden of psychological morbidity
among them. The results will also point to gaps in research and help set
priorities for future enquiries. SYSTEMATIC REVIEW REGISTRATION: PROSPERO
CRD42017064148.
PMID- 29636090
TI - Phenome-wide association study identifies marked increased in burden of
comorbidities in African Americans with systemic lupus erythematosus.
AB - BACKGROUND: African Americans with systemic lupus erythematosus (SLE) have
increased renal disease compared to Caucasians, but differences in other
comorbidities have not been well-described. We used an electronic health record
(EHR) technique to test for differences in comorbidities in African Americans
compared to Caucasians with SLE. METHODS: We used a de-identified EHR with 2.8
million subjects to identify SLE cases using a validated algorithm. We performed
phenome-wide association studies (PheWAS) comparing African American to Caucasian
SLE cases and African American SLE cases to matched non-SLE controls. Controls
were age, sex, and race matched to SLE cases. For multiple testing, a false
discovery rate (FDR) p value of 0.05 was used. RESULTS: We identified 270 African
Americans and 715 Caucasians with SLE and 1425 matched African American controls.
Compared to Caucasians with SLE adjusting for age and sex, African Americans with
SLE had more comorbidities in every organ system. The most striking included
hypertension odds ratio (OR) = 4.25, FDR p = 5.49 * 10- 15; renal dialysis OR =
10.90, FDR p = 8.75 * 10- 14; and pneumonia OR = 3.57, FDR p = 2.32 * 10- 8.
Compared to the African American matched controls without SLE, African Americans
with SLE were more likely to have comorbidities in every organ system. The most
significant codes were renal and cardiac, and included renal failure (OR = 9.55,
FDR p = 2.26 * 10- 40) and hypertensive heart and renal disease (OR = 8.08, FDR p
= 1.78 * 10- 22). Adjusting for race, age, and sex in a model including both
African American and Caucasian SLE cases and controls, SLE was independently
associated with renal, cardiovascular, and infectious diseases (all p < 0.01).
CONCLUSIONS: African Americans with SLE have an increased comorbidity burden
compared to Caucasians with SLE and matched controls. This increase in
comorbidities in African Americans with SLE highlights the need to monitor for
cardiovascular and infectious complications.
PMID- 29636089
TI - Comparison of the impact of Tripterygium wilfordii Hook F and Methotrexate
treatment on radiological progression in active rheumatoid arthritis: 2-year
follow up of a randomized, non-blinded, controlled study.
AB - BACKGROUND: Tripterygium wilfordii Hook F (TwHF) alone or in combination with
methotrexate (MTX) has been shown to be more effective than MTX monotherapy in
controlling the manifestations in subjects with disease-modifying antirheumatic
drug (DMARD)-naive active rheumatoid arthritis (RA) over a 6-month period. The
long-term impact of these therapies on disease activity and radiographic
progression in RA has not been examined. METHODS: Patients with DMARD-naive RA
enrolled in the "Comparison of Tripterygium wilfordii Hook F with methotrexate in
the Treatment of Active Rheumatoid Arthritis" (TRIFRA) study were randomly
allocated into three arms with TwHF or MTX or the two in combination. Clinical
indexes and radiographic data at baseline and year 2 was collected and compared
using an intent-to-treat (ITT) and a per-protocol (PP) analysis. Two radiologists
blinded to the treatment scored the images independently. RESULTS: Of 207
subjects 109 completed the 2-year follow up. The number of subjects withdrawing
from the study and the number adhering to the initial regimens were similar among
the three groups (p > = 0.05). In the ITT analysis, proportions of patients
reaching American College of Rheumatology 50% (ACR50) response criteria were
46.4%, 58.0% and 50.7% in the MTX, TwHF and MTX + TwHF groups (TwHF vs MTX
monotherapy, p = 0.004). Similar patterns were found in ACR20, ACR70, Clinical
Disease Activity Index good responses, European League Against Rheumatism good
response, remission rate and low disease activity rate at year 2. The results of
the PP analysis agreed with those in the ITT analysis. The changes in total Sharp
scores and joint erosion and joint space narrowing during the 2 years were
associated with changes in disease activity measured by the 28-joint count
Disease Activity Score and were comparable among the three groups (p > 0.05).
Adverse events were similar in the three treatment groups. CONCLUSIONS: During
the 2-year therapy period, TwHF monotherapy was not inferior to MTX monotherapy
in controlling disease activity and retarding radiological progression in
patients with active RA. TRIAL REGISTRATION: This is a follow-up study. Original
trial registration: ClinicalTrials.gov , NCT01613079 . Registered on 4 June 2012.
PMID- 29636091
TI - Dysregulated heme oxygenase-1low M2-like macrophages augment lupus nephritis via
Bach1 induced by type I interferons.
AB - BACKGROUND: Innate immunity including macrophages (Mphi) in lupus nephritis (LN)
has been gaining attention, but roles of Mphi in LN remain uncertain. METHODS:
Immunohistochemical staining was performed to determine CD68, CD163, heme
oxygenase (HO)-1 (a stress-inducible heme-degrading enzyme with anti-inflammatory
property), pSTAT1, and CMAF-expressing Mphi in the glomeruli of patients with LN.
Effects of type I interferons on the expression levels of CD163, HO-1, BTB and
CNC homology 1 (Bach1; a transcriptional HO-1 repressor), interleukin (IL)-6, and
IL-10 by human M2-like Mphi, which were differentiated in vitro from peripheral
monocytes with macrophage colony-stimulating factor, were assessed by RT-PCR and
immunocytostaining. Clinical manifestations, anti-double-stranded DNA (anti
dsDNA), and local HO-1 expression were compared in Bach1-deficient and wild-type
MRL/lpr mice. RESULTS: The number of glomerular M2-like Mphi correlated with the
amounts of proteinuria in patients with LN. Unlike monocyte-derived M2-like Mphi,
HO-1 expression was defective in the majority of glomerular M2-like Mphi of
patients with LN. Stimulation of human M2-like Mphi with type I interferons led
to reduced HO-1 expression and increased Bach1 and IL-6 expression. Bach1
deficient MRL/lpr mice exhibited increased HO-1 expression in kidneys, prolonged
survival, reduced urine proteins, and serum blood urea nitrogen levels, but serum
anti-dsDNA antibody levels were comparable. Increased expression of CD163 and HO
1 was found in peritoneal Mphi from Bach1-deficient MRL/lpr mice. CONCLUSIONS:
Our data suggest that dysregulated M2-like Mphi play a proinflammatory role in
LN. Bach1 is a potential therapeutic target that could restore the anti
inflammatory property of M2 Mphi.
PMID- 29636092
TI - Self-medication practice and associated factors among adult household members in
Meket district, Northeast Ethiopia, 2017.
AB - BACKGROUND: Self-medication practice (SMP) is the use of medication without the
prescription of health care professionals. The major problems associated with
self-medication practice have been drug resistance, drug side effects, wastage of
resources, and serious health hazards including death. Thus, the main purpose of
this study was to assess the prevalence of self-medication practice and its
associated factors among adult household members in Meket District, Northeast
Ethiopia. METHODS: A community based cross-sectional study was conducted among
722 adult household members in Meket District, from April 5 to May 5, 2017. The
systematic random sampling method was used to select study participants. A pre
tested, structured questionnaire was used for data collection using an
interviewer-administered technique. Epi-info version and SPSS version 22 were
utilized for data entry and analysis, respectively. Univariate and multivariate
logistic regression was used to identify association factors. RESULTS: The
overall prevalence of self-medication was found to be 35.9%. Unmarried status
(AOR = 2.17, 95% CI = 1.18, 4.01), previous experience of self-medication (AOR =
1.78, 95% CI = 1.22, 2.61), accessibility of pharmacies (AOR = 3.71, 95% CI =
1.31, 10.51), peer/family pressure (AOR = 2.88, 95% CI = 1.98, 4.18) and presence
of medication at home (AOR = 1.80, 95% CI = 1.11, 2.92) were factors associated
with self-medication practices. CONCLUSION: More than one-third of the study
participants practiced self-medication. Thus, strengthening communities awareness
on drug side effects and integrated efforts of individuals, communities, health
facilities, and regulatory bodies are highly necessary.
PMID- 29636093
TI - Counting the dead to determine the source and transmission of the marine
herpesvirus OsHV-1 in Crassostrea gigas.
AB - Marine herpesviruses are responsible for epizootics in economically, ecologically
and culturally significant taxa. The recent emergence of microvariants of Ostreid
herpesvirus 1 (OsHV-1) in Pacific oysters Crassostrea gigas has resulted in
socioeconomic losses in Europe, New Zealand and Australia however, there is no
information on their origin or mode of transmission. These factors need to be
understood because they influence the way the disease may be prevented and
controlled. Mortality data obtained from experimental populations of C. gigas
during natural epizootics of OsHV-1 disease in Australia were analysed
qualitatively. In addition we compared actual mortality data with those from a
Reed-Frost model of direct transmission and analysed incubation periods using
Sartwell's method to test for the type of epizootic, point source or propagating.
We concluded that outbreaks were initiated from an unknown environmental source
which is unlikely to be farmed oysters in the same estuary. While direct oyster
to-oyster transmission may occur in larger oysters if they are in close proximity
(< 40 cm), it did not explain the observed epizootics, point source exposure and
indirect transmission being more common and important. A conceptual model is
proposed for OsHV-1 index case source and transmission, leading to endemicity
with recurrent seasonal outbreaks. The findings suggest that prevention and
control of OsHV-1 in C. gigas will require multiple interventions. OsHV-1 in C.
gigas, which is a sedentary animal once beyond the larval stage, is an
informative model when considering marine host-herpesvirus relationships.
PMID- 29636094
TI - Fast acquisition of a polysaccharide fermenting gut microbiome by juvenile green
turtles Chelonia mydas after settlement in coastal habitats.
AB - BACKGROUND: Tetrapods do not express hydrolases for cellulose and hemicellulose
assimilation, and hence, the independent acquisition of herbivory required the
establishment of new endosymbiotic relationships between tetrapods and microbes.
Green turtles (Chelonia mydas) are one of the three groups of marine tetrapods
with an herbivorous diet and which acquire it after several years consuming
pelagic animals. We characterized the microbiota present in the feces and rectum
of 24 young wild and captive green turtles from the coastal waters of Brazil,
with curved carapace length ranging from 31.1 to 64.7 cm, to test the hypotheses
that (1) the ontogenetic dietary shift after settlement is followed by a gradual
change in the composition and diversity of the gut microbiome, (2) differences
exist between the composition and diversity of the gut microbiome of green
turtles from tropical and subtropical regions, and (3) the consumption of
omnivorous diets modifies the gut microbiota of green turtles. RESULTS: A genomic
library of 2,186,596 valid bacterial 16S rRNA reads was obtained and these
sequences were grouped into 6321 different operational taxonomic units (at 97%
sequence homology cutoff). The results indicated that most of the juvenile green
turtles less than 45 cm of curved carapace length exhibited a fecal microbiota co
dominated by representatives of the phyla Bacteroidetes and Firmicutes and high
levels of Clostridiaceae, Prophyromonas, Ruminococaceae, and Lachnospiraceae
within the latter phylum. Furthermore, this was the only microbiota profile found
in wild green turtles > 45 cm CCL and in most of the captive green turtles of any
size feeding on a macroalgae/fish mixed diet. Nevertheless, microbial diversity
increased with turtle size and was higher in turtles from tropical than from
subtropical regions. CONCLUSIONS: These results indicate that juvenile green
turtles from the coastal waters of Brazil had the same general microbiota,
regardless of body size and origin, and suggest a fast acquisition of a
polysaccharide fermenting gut microbiota by juvenile green turtles after
settlement into coastal habitats.
PMID- 29636095
TI - Osteoclasts degrade bone and cartilage knee joint compartments through different
resorption processes.
AB - BACKGROUND: Osteoclasts have been strongly implicated in osteoarthritic cartilage
degradation, at least indirectly via bone resorption, and have been shown to
degrade cartilage in vitro. The osteoclast resorption processes required to
degrade subchondral bone and cartilage-the remodeling of which is important in
the osteoarthritic disease process-have not been previously described, although
cathepsin K has been indicated to participate. In this study we profile
osteoclast-mediated degradation of bovine knee joint compartments in a novel in
vitro model using biomarkers of extracellular matrix (ECM) degradation to assess
the potential of osteoclast-derived resorption processes to degrade different
knee joint compartments. METHODS: Mature human osteoclasts were cultured on ECMs
isolated from bovine knees-articular cartilage, cortical bone, and osteochondral
junction ECM (a subchondral bone-calcified cartilage mixture)-in the presence of
inhibitors: the cystein protease inhibitor E-64, the matrix metalloproteinase
(MMP) inhibitor GM6001, or the vacuolar-type H+-ATPase (V-ATPase) inhibitor
diphyllin. Biomarkers of bone (calcium and C-terminal type I collagen (CTX-I))
and cartilage (C2M) degradation were measured in the culture supernatants.
Cultures without osteoclasts were used as background samples. Background
subtracted biomarker levels were normalized to the vehicle condition and were
analyzed using analysis of variance with Tukey or Dunnett's T3 post hoc test, as
applicable. RESULTS: Osteochondral CTX-I release was inhibited by E-64 (19% of
vehicle, p = 0.0008), GM6001 (51% of vehicle, p = 0.013), and E-64/GM6001
combined (4% of vehicle, p = 0.0007)-similarly to bone CTX-I release. Diphyllin
also inhibited osteochondral CTX-I release (48% of vehicle, p = 0.014), albeit
less than on bone (4% of vehicle, p < 0.0001). Osteochondral C2M release was only
inhibited by E-64 (49% of vehicle, p = 0.07) and GM6001 (14% of vehicle, p =
0.006), with complete abrogation when combined (0% of vehicle, p = 0.004).
Cartilage C2M release was non-significantly inhibited by E-64 (69% of vehicle, p
= 0.98) and was completely abrogated by GM6001 (0% of vehicle, p = 0.16).
CONCLUSIONS: Our study supports that osteoclasts can resorb non-calcified and
calcified cartilage independently of acidification. We demonstrated both MMP
mediated and cysteine protease-mediated resorption of calcified cartilage.
Osteoclast functionality was highly dependent on the resorbed substrate, as
different ECMs required different osteoclast processes for degradation. Our novel
culture system has potential to facilitate drug and biomarker development aimed
at rheumatic diseases, e.g. osteoarthritis, where pathological osteoclast
processes in specific joint compartments may contribute to the disease process.
PMID- 29636096
TI - The development of large-scale de-identified biomedical databases in the age of
genomics-principles and challenges.
AB - Contemporary biomedical databases include a wide range of information types from
various observational and instrumental sources. Among the most important features
that unite biomedical databases across the field are high volume of information
and high potential to cause damage through data corruption, loss of performance,
and loss of patient privacy. Thus, issues of data governance and privacy
protection are essential for the construction of data depositories for biomedical
research and healthcare. In this paper, we discuss various challenges of data
governance in the context of population genome projects. The various challenges
along with best practices and current research efforts are discussed through the
steps of data collection, storage, sharing, analysis, and knowledge
dissemination.
PMID- 29636098
TI - Recent advances in plant and animal genomics are taking agriculture to new
heights.
AB - A report on the International Plant and Animal Genomes (PAG) conference held in
San Diego, USA, 13-17 January 2018.
PMID- 29636097
TI - Detection and genotyping of Trypanosoma cruzi from acai products commercialized
in Rio de Janeiro and Para, Brazil.
AB - BACKGROUND: Several cases of food-borne acute Chagas disease (ACD) have been
reported in the Brazilian Amazon so far. Up to 2004, the occurrence of ACD by
oral transmission, associated with food consumption, was rare. Recent cases of
ACD in Brazil have been attributed to the consumption of juice from the acai palm
containing reservoir animals or insect vectors waste, infected with Trypanosoma
cruzi. This study aimed to determine the T. cruzi contamination rate and to
genotype the parasite in food samples prepared from acai, which are
commercialized in Rio de Janeiro and the Para States in Brazil. METHODS: The
amplificability of DNA extracted from acai samples, and T. cruzi and Triatominae
detection were performed by conventional PCR. Molecular characterization was done
by multilocus PCR analysis, to determine the parasite discrete type units (DTUs)
based on the size of PCR products in agarose gels, using the intergenic region of
the spliced leader (SL), 24 Salpha rDNA and nuclear fragment A10 as targets.
RESULTS: From the 140 samples of acai-based products analyzed, T. cruzi DNA was
detected in 14 samples (10%); triatomine DNA was detected in one of these 14
samples. The parasite genotyping demonstrated that food samples containing acai
showed a mixture of T. cruzi DTUs with TcIII, TcV and TcI prevailing.
CONCLUSIONS: In this study, the molecular detection and identification of T.
cruzi from acai-based manufactured food samples, was performed for the first
time. Although parasite DNA is a marker of possible contamination during food
manufacturing, our findings do not indicate that acai is a source of Chagas
disease via oral transmission per se, as live parasites were not investigated.
Nevertheless, a molecular approach could be a powerful tool in the
epidemiological investigation of outbreaks, supporting previous evidence that
acai-based food can be contaminated with T. cruzi. Furthermore, both food quality
control and assessment of good manufacturing practices involving acai-based
products can be improved, assuring the safety of acai products.
PMID- 29636099
TI - Correction to: Dual RNA-seq reveals viral infections in asthmatic children
without respiratory illness which are associated with changes in the airway
transcriptome.
AB - In our recent article [1], it has come to our attention that the sample labels
are not consistent between Table 1, the data labels deposited in the Sequence
Read Archive, and Additional file 1: Table S2. We are therefore providing an
updated Additional file 1: Table S2 so identical samples now have the same label.
PMID- 29636100
TI - Tuberculous tenosynovitis of the flexor tendons of the wrist: a case report.
AB - BACKGROUND: Tuberculous tenosynovitis poses a significant public health
challenge, especially in developing countries. It usually affects the flexor
tendons of the wrist. CASE PRESENTATION: We present a case of a 65-year-old
Ghanaian female. She presented a progressively enlarging mass over the volar
aspect of the right wrist and palm. She did not have a previous history of
tuberculosis. However, her erythrocyte sedimentation rate was high and Mantoux
(purified protein derivative) test was strongly positive (more than 15 mm).
Radiograph of ulna, radius, and wrist showed osteopenic changes around the distal
radius. Excision biopsy of the mass was done and samples sent for histopathology
comment. The findings were an inflamed, thickened synovia with rice bodies:
suggestive of tuberculous tenosynovitis. Anti-tuberculous chemotherapy was
commenced on the second postoperative day. CONCLUSION: Tuberculous tenosynovitis
of the wrist is uncommon. However, in developing countries like Ghana where
tuberculosis is prevalent, it should be part of the differential diagnosis of
compound palmar ganglion in order to prevent delayed diagnosis and treatment.
PMID- 29636101
TI - Arteriovenous malformation in the sigmoid colon of a patient with Cowden disease
treated with laparoscopy: a case report.
AB - BACKGROUND: Cowden disease is a genetic disorder associated with a mutation of
the PTEN gene and is known to be easily complicated by generalized vascular
malformations and malignant tumors. However, only a few reports have investigated
the relationship between Cowden disease and vascular malformations. We present a
case of Cowden disease along with a review of the literature. CASE PRESENTATION:
The patient was a 48-year-old man who visited our hospital complaining of fresh
blood in his stools and shortness of breath. Hematological tests showed the
patient had severe anemia. On physical examination, white papules-several
millimeters in size-were observed between the patient's eyebrows. White papules
were also observed on the left corner of his mouth and buccal mucosa. An upper
gastrointestinal endoscopy showed densely-packed, white, flat protrusions in the
esophagus. While lower gastrointestinal endoscopy revealed a mass accompanied by
arterial pulsation in the sigmoid colon. A diagnosis of Cowden disease was
confirmed and a laparoscopic sigmoidectomy was performed to address the
arteriovenous malformations in the sigmoid colon. Post-surgery, the patient had
an unremarkable recovery and was discharged 7 days later. CONCLUSIONS: We present
a very rare case of Cowden disease with arteriovenous malformations occurring in
the colon. Surgical resection is believed to be the first choice for treating
congenital arteriovenous malformations of the intestines. However, the
arteriovenous malformations in the colon in our patient were treated under
laparoscopic guidance, making ours the first report describing laparoscopic
treatment of colonic arteriovenous malformations occurring in the inferior
mesenteric artery. Thus we demonstrate that laparoscopic treatment of
arteriovenous malformations in the intestines is a minimally invasive and can be
successfully applied in such cases.
PMID- 29636102
TI - Forensic psychiatry, one subspecialty with two ethics? A systematic review.
AB - BACKGROUND: Forensic psychiatry is a particular subspecialty within psychiatry,
dedicated in applying psychiatric knowledge and psychiatric training for
particular legal purposes. Given that within the scope of forensic psychiatry, a
third party usually intervenes in the patient-doctor relationship, an amendment
of the traditional ethical principles seems justified. RESULTS: Thus, 47
articles, two book chapters and the guidelines produced by the World Psychiatric
Association, the American Association of Psychiatry and the Law, as well as by
the Royal Australian and New Zealand College of psychiatrists, were analyzed. The
review revealed that the ethics of correctional forensic psychiatry and those of
legal forensic psychiatry do not markedly differ from each other, but they are
incongruent in terms of implementation. METHODS: In an effort to better
understand which ethical principles apply to forensic psychiatry, a chronological
review of the literature published from 1950 to 2015 was carried out. CONCLUSION:
The ethics of correctional forensic psychiatry are primarily deontological. The
principle of justice translates into the principle of health care equivalence,
the principle of beneficence into providing the best possible care to patients,
and the principle of respect of autonomy into ensuring confidentiality and
informed consent. The ethics of legal forensic psychiatry are rather
consequentialist. In this latter setting, the principle of justice is mainly
characterized by professionalism, the principle of beneficence by objectivity and
impartiality, and the principle of respect of autonomy by informed consent.
However, these two distinct fields of forensic psychiatry share in common the
principle of non maleficence, defined as the non collaboration of the
psychiatrist in any activity leading to inhuman and degrading treatment or to the
death penalty.
PMID- 29636103
TI - Role of lymph node dissection in the management of upper tract urothelial
carcinomas: a meta-analysis.
AB - BACKGROUND: Lymph node dissection (LND) is not routinely performed during radical
nephroureterectomy (RNU) in upper tract urothelial carcinomas (UTUC) and the role
of LND has been controversial. We aim to investigate whether patients with LND
had improved survival in UTUC patients. METHODS: We performed a systematic
literature search of PubMed, Embase, and Cochrane library for citations published
prior to January 2016, describing LND performed among UTUC patients and conducted
a standard meta-analysis of survival outcomes. RESULTS: Eleven eligible studies
containing 7516 patients satisfied the inclusion criteria. Pooled HRs for cancer
specific survival (CSS) and recurrence-free survival (RFS) were 1.17 (P = 0.18)
and 1.33 (P = 0.19) respectively. However, the patients in the LND group had more
advanced tumour stages and grades (P < 0.001). Further subgroup analysis showed
that among muscle-invasive UTUC patients, the pooled HR for CSS and RFS were 1.10
(P = 0.42) and 0.92 (P = 0.72) respectively. Besides, no difference was found in
CSS and RFS between pN0 and pNx individuals in overall populations and in
patients with muscle-invasive UTUC, while pN+ patients had significantly worse
prognosis when compared to pN0 patients. CONCLUSIONS: LND during RNU allows more
accurate staging and prediction of survival, but it remains uncertain whether LND
independently improves survival in patients with UTUC. However, standard use of
LND should be further investigated in a multi-center, prospective evaluation to
obtain a definitive statement regarding this matter.
PMID- 29636104
TI - Antidiabetic treatment patterns and specialty care utilization among patients
with type 2 diabetes and cardiovascular disease.
AB - BACKGROUND: To evaluate real-world patient characteristics, medication use, and
health care utilization patterns in patients with type 2 diabetes with
established cardiovascular disease (CVD). METHODS: Cross-sectional analysis of
patients with type 2 diabetes seen at Cleveland Clinic from 2005 to 2016, divided
into two cohorts: with-CVD and without-CVD. Patient demographics and antidiabetic
medications were recorded in December 2016; department encounters included all
visits from 1/1/2016 to 12/31/2016. Comorbidity burden was assessed by the
diabetes complications severity index (DCSI) score. RESULTS: Of 95,569 patients
with type 2 diabetes, 40,910 (42.8%) were identified as having established CVD.
Patients with CVD vs. those without were older (median age 69.1 vs. 58.2 years),
predominantly male (53.8% vs. 42.6%), and more likely to have Medicare insurance
(69.4% vs. 35.3%). The with-CVD cohort had a higher proportion of patients with a
DCSI score >= 3 than the without-CVD cohort (65.0% vs. 10.3%). Utilization rates
of glucagon-like peptide-1 receptor agonists and sodium-glucose co-transporter-2
inhibitors were low in both with-CVD (4.1 and 2.5%) and without-CVD cohorts (5.4
and 4.1%), respectively. The majority of patient visits (75%) were seen by a
primary care provider. During the 1-year observation period, 81.9 and 62.0% of
patients with type 2 diabetes and CVD were not seen by endocrinology or
cardiology, respectively. CONCLUSIONS: These data indicated underutilization of
specialists and antidiabetic medications reported to confer CV benefit in
patients with type 2 diabetes and CVD. The impact of recently updated guidelines
and cardiovascular outcome trial results on management patterns in such patients
remains to be seen.
PMID- 29636105
TI - The feasibility and positive effects of a customised videogame rehabilitation
programme for freezing of gait and falls in Parkinson's disease patients: a pilot
study.
AB - BACKGROUND: Freezing of gait and falls represent a major burden in patients with
advanced forms of Parkinson's disease (PD). These axial motor signs are not fully
alleviated by drug treatment or deep-brain stimulation. Recently, virtual reality
has emerged as a rehabilitation option for these patients. In this pilot study,
we aim to determine the feasibility and acceptability of rehabilitation with a
customised videogame to treat gait and balance disorders in PD patients, and
assess its effects on these disabling motor signs. METHODS: We developed a
customised videogame displayed on a screen using the Kinect system. To play, the
patient had to perform large amplitude and fast movements of all four limbs,
pelvis and trunk, in response to visual and auditory cueing, to displace an
avatar to collect coins and avoid obstacles to gain points. We tested ten
patients with advanced forms of PD (median disease duration = 16.5 years)
suffering from freezing of gait and/or falls (Hoehn&Yahr score >= 3) resistant to
antiparkinsonian treatment and deep brain stimulation. Patients performed 18
training sessions during a 6-9 week period. We measured the feasibility and
acceptability of our rehabilitation programme and its effects on parkinsonian
disability, gait and balance disorders (with clinical scales and kinematics
recordings), positive and negative affects, and quality of life, after the 9th
and 18th training sessions and 3 months later. RESULTS: All patients completed
the 18 training sessions with high feasibility, acceptability and satisfaction
scores. After training, the freezing-of-gait questionnaire, gait-and-balance
scale and axial score significantly decreased by 39, 38 and 41%, respectively,
and the activity-balance confidence scale increased by 35%. Kinematic gait
parameters also significantly improved with increased step length and gait
velocity and decreased double-stance time. Three months after the final session,
no significant change persisted except decreased axial score and increased step
length and velocity. CONCLUSIONS: This study suggests that rehabilitation with a
customised videogame to treat gait and balance disorders is feasible, well
accepted, and effective in parkinsonian patients. These data serve as preliminary
evidence for further larger and controlled studies to propose this customised
videogame rehabilitation programme at home. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02469350 .
PMID- 29636106
TI - Predictors of switch to and early outcomes on third-line antiretroviral therapy
at a large public-sector clinic in Johannesburg, South Africa.
AB - BACKGROUND: While efficacy data exist, there are limited data on the outcomes of
patients on third-line antiretroviral therapy (ART) in sub-Saharan Africa in
actual practice. Being able to identify predictors of switch to third-line ART
will be essential for planning for future need. We identify predictors of switch
to third-line ART among patients with significant viraemia on a protease
inhibitor (PI)-based second-line ART regimen. Additionally, we describe
characteristics of all patients on third-line at a large public sector HIV clinic
and present their early outcomes. METHODS: Retrospective analysis of adults (>=
18 years) on a PI-based second-line ART regimen at Themba Lethu Clinic,
Johannesburg, South Africa as of 01 August 2012, when third-line treatment became
available in South Africa, with significant viraemia on second-line ART (defined
as at least one viral load >= 1000 copies/mL on second-line ART after 01 August
2012) to identify predictors of switch to third-line (determined by genotype
resistance testing). Third-line ART was defined as a regimen containing
etravirine, raltegravir or ritonavir boosted darunavir, between August 2012 and
January 2016. To assess predictors of switch to third-line ART we used Cox
proportional hazards regression among those with significant viraemia on second
line ART after 01 August 2012. Then among all patients on third-line ART we
describe viral load suppression, defined as a viral load < 400 copies/mL, after
starting third-line ART. RESULTS: Among 719 patients in care and on second-line
ART as of August 2012 (with at least one viral load >= 1000 copies/mL after 01
August 2012), 36 (5.0% over a median time of 54 months) switched to third-line.
Time on second-line therapy (>= 96 vs. < 96 weeks) (adjusted Hazard Ratio (aHR):
2.53 95% CI 1.03-6.22) and never reaching virologic suppression while on second
line ART (aHR: 3.37 95% CI 1.47-7.73) were identified as predictors of switch. In
a separate cohort of patients on third-line ART, 78.3% (47/60) and 83.3% (35/42)
of those in care and with a viral load suppressed their viral load at 6 and 12
months, respectively. CONCLUSIONS: Our results show that the need for third-line
is low (5%), but that patients' who switch to third-line ART have good early
treatment outcomes and are able to suppress their viral load. Adherence
counselling and resistance testing should be prioritized for patients that are at
risk of failure, in particular those who never suppress on second-line and those
who have been on PI-based regimen for extended periods.
PMID- 29636108
TI - GDF15 promotes the proliferation of cervical cancer cells by phosphorylating AKT1
and Erk1/2 through the receptor ErbB2.
AB - BACKGROUND: Growth differentiation factor 15 (GDF15) is a member of the TGF-beta
superfamily, and evidence suggests that a substantial amount of GDF15 is secreted
in various human cancers, such as ovarian cancer, prostate cancer, and breast
cancer, among others. However, the function of GDF15 in cervical cancer has not
yet been reported. METHODS: Immunohistochemistry was used to detect GDF15
expression in normal cervix and in different cervical cancer lesions. Cell growth
curves, MTT, tumor formation assays and flow cytometry were utilized to observe
the effects of ectopic GDF15 expression on the proliferation and cell cycle of
cervical cancer cells. Real-time PCR, western blotting and immunoprecipitation
assays were conducted to measure the expression of genes related to the cell
cycle and the PI3K/AKT and MAPK/ERK signaling pathways. A chromatin
immunoprecipitation assay was performed to confirm whether C-myc bound to a
specific region of the GDF15 promoter. Inhibitor treatment and
immunoprecipitation assays were employed to identify the association between
GDF15 and ErbB2. RESULTS: GDF15 expression gradually increased during the
progression of cervical carcinogenesis. GDF15 promoted cervical cancer cell
proliferation via exogenous rhGDF15 treatment or the use of gene editing
technology in vitro and in vivo and significantly accelerated the cell cycle
transition from G0/G1 to S phase. The expression of p-ErbB2, p-AKT1, p-Erk1/2,
CyclinD1 and CyclinE1 was up-regulated and the expression of p21 was down
regulated in GDF15-overexpressing and rhGDF15-treated cervical cancer cells. C
myc trans-activated GDF15 expression by binding to the E-box motifs in the
promoter of GDF15 and contributed to the positive feedback of GDF15/C-myc/GDF15.
Furthermore, GDF15 bound to ErbB2 in a protein complex in cervical cancer cells.
CONCLUSIONS: Our data demonstrated that GDF15 promoted the proliferation of
cervical cancer cells via the up-regulation of CyclinD1 and CyclinE1 and the down
regulation of p21 through both the PI3K/AKT and MAPK/ERK signaling pathways in a
complex with ErbB2.
PMID- 29636107
TI - Epidermal necrolysis French national diagnosis and care protocol (PNDS; protocole
national de diagnostic et de soins).
AB - Epidermal necrolysis (EN) encompasses Stevens-Johnson syndrome (SJS, < 10% of the
skin affected), Lyell syndrome (toxic epidermal necrolysis, TEN, with >=30% of
the skin affected) and an overlap syndrome (10 to 29% of the skin affected).
These rare diseases are caused, in 85% of cases, by pharmacological treatments,
with symptoms occurring 4 to 28 days after treatment initiation. Mortality is 20
to 25% during the acute phase, and almost all patients display disabling sequelae
(mostly ocular impairment and psychological distress).The objective of this
French national diagnosis and care protocol (protocole national de diagnostic et
de soins; PNDS), based on a critical literature review and on a multidisciplinary
expert consensus, is to provide health professionals with an explanation of the
optimal management and care of patients with EN. This PNDS, written by the French
National Reference Center for Toxic Bullous Dermatoses was updated in 2017 (
https://www.has-sante.fr/portail/jcms/c_1012735/fr/necrolyse-epidermique
syndromes-de-stevens-johnson-et-de-lyell ). The cornerstone of the management of
these patients during the acute phase is an immediate withdrawal of the
responsible drug, patient management in a dermatology department, intensive care
or burn units used to dealing with this disease, supportive care and close
monitoring, the prevention and treatment of infections, and a multidisciplinary
approach to sequelae. Based on published data, it is not currently possible to
recommend any specific immunomodulatory treatment. Only the culprit drug and
chemically similar molecules must be lifelong contraindicated.
PMID- 29636109
TI - Failure of remission induction by glucocorticoids alone or in combination with
immunosuppressive agents in IgG4-related disease: a prospective study of 215
patients.
AB - BACKGROUND: The aim of this study was to assess the outcomes of remission
induction in patients with IgG4-related disease (IgG4-RD) in our cohort, and to
investigate the characteristics, prognosis, and risk factors in the patients
failed of remission induction. METHODS: We prospectively enrolled 215 newly
diagnosed patients with IgG4-RD, who were initially treated with glucocorticoid
(GC) alone or in combination with immunosuppressive agents (IM), and had at least
6 months of follow up. The therapeutic goals of remission induction were defined
as fulfilling each of the following after the 6-month remission induction stage:
(1) >= 50% decline in the IgG4-RD responder index (RI); (2) GC tapered to
maintenance dose; and (3) no relapse during GC tapering. The patients not
achieving the therapeutic goals were considered to have failed of remission
induction. RESULTS: There were 26 patients in our cohort who failed of remission
induction, including 16 (20.8%) on GC monotherapy, and 10 (7.2%) on combination
therapy comprising GC and IM. The lacrimal gland and lung were most common sites
of remission induction failure. Among the patients who relapsed during remission
induction stage, 52.9% had secondary relapse during follow-up. Eosinophilia,
higher baseline RI, more than five organs involved and dacryoadenitis were risk
factors for remission induction failure with GC monotherapy, and the incidence of
remission induction failure was 71.4% in the patients with more than three risk
factors. After 6-month treatment, the patients who failed of remission induction
had significantly higher erythrocyte sedimentation rate (ESR), C-reactive protein
(CRP) and IgG4. CONCLUSION: In our cohort, 20.8% of patients failed of remission
induction with GC monotherapy, while 7.2% of patients failed of remission
induction with combination therapy comprising GC and IM.
PMID- 29636110
TI - Matrix metalloproteinase-9 (MMP9) is involved in the TNF-alpha-induced fusion of
human M13SV1-Cre breast epithelial cells and human MDA-MB-435-pFDR1 cancer cells.
AB - BACKGROUND: In addition to physiological events such as fertilisation,
placentation, osteoclastogenesis, or tissue regeneration/wound healing, cell
fusion is involved in pathophysiological conditions such as cancer. Cell fusion,
which applies to both the proteins and conditions that induce the merging of two
or more cells, is not a fully understood process. Inflammation/pro-inflammatory
cytokines might be a positive trigger for cell fusion. Using a Cre-LoxP-based
cell fusion assay we demonstrated that the fusion between human M13SV1-Cre breast
epithelial cells and human MDA-MB-435-pFDR1 cancer cells was induced by the pro
inflammatory cytokine tumour necrosis factor-alpha (TNF-alpha). METHODS: The gene
expression profile of the cells in the presence of TNF-alpha and under normoxic
and hypoxic conditions was analysed by cDNA microarray analysis. cDNA microarray
data were verified by qPCR, PCR, Western blot and zymography. Quantification of
cell fusion events was determined by flow cytometry. Proteins of interest were
either blocked or knocked-down using a specific inhibitor, siRNA or a blocking
antibody. RESULTS: The data showed an up-regulation of various genes, including
claudin-1 (CLDN1), ICAM1, CCL2 and MMP9 in M13SV1-Cre and/or MDA-MB-435-pFDR1
cells. Inhibition of these proteins using a blocking ICAM1 antibody, CLDN1 siRNA
or an MMP9 inhibitor showed that only the blockage of MMP9 was correlated with a
decreased fusion rate of the cells. Likewise, the tetracycline-based antibiotic
minocycline, which exhibits anti-inflammatory properties, was also effective in
both inhibiting the TNF-alpha-induced MMP9 expression in M13SV1-Cre cells and
blocking the TNF-alpha-induced fusion frequency of human M13SV1-Cre breast
epithelial cells and human MDA-MB-435-pFDR1 cancer cells. CONCLUSIONS: The matrix
metalloproteinase-9 (MMP9) is most likely involved in the TNF-alpha-mediated
fusion of human M13SV1-Cre breast epithelial cells and human MDA-MB-435-pFDR1
cancer cells. Likewise, our data indicate that the tetracycline-based antibiotic
minocycline might exhibit anti-fusogenic properties because it inhibits a cell
fusion-related mechanism.
PMID- 29636111
TI - Anti-CCP antibodies and bone.
PMID- 29636112
TI - A simple management option for chronically impacted sharp tracheobronchial
foreign bodies in children.
AB - Distally impacted chronic tracheobronchial sharp foreign bodies in children are a
management challenge that presents with clinical subtlety and extreme
variability. The use of image guided techniques, imaginative instrumentation,
tracheotomy, thoracotomy, and even extracorporeal membrane oxygneation have been
reported. Endoscopy is made difficult by the distal location, inflammatory
reaction with granulation tissue formation, and bleeding obscuring the foreign
body. Our aim is to describe our experience with two children who had removal of
aspirated impacted sharp metallic foreign bodies from the distal airway using
rigid bronchoscopy, preceded by maximal medical therapy.
PMID- 29636113
TI - Seasonal abundance, blood meal sources and insecticide susceptibility in major
anopheline malaria vectors from southern Mauritania.
PMID- 29636115
TI - A unique epigenomic landscape defines the characteristics and differentiation
potentials of glioma stem cells.
AB - A new study reveals comprehensive and unique epigenetic properties of glioma stem
cells, leading to novel molecular insights and therapeutic potentials toward
glioblastoma multiforme treatment.
PMID- 29636116
TI - Use of vaccines and factors associated with their uptake variability in dogs,
cats and rabbits attending a large sentinel network of veterinary practices
across Great Britain.
AB - Vaccination remains a mainstay of companion animal population health. However,
how vaccine use at a population level complies with existing guidelines is
unknown. Here we use electronic health records to describe vaccination in dogs,
cats and rabbits attending a large sentinel network of UK veterinary practices.
In total, 77.9% (95% CI: 77.6-78.1) of animals had recorded vaccinations. The
percentage of animals with recorded vaccinations was higher in dogs, neutered
animals, in insured dogs and cats and in purebred dogs. Vaccination rates varied
in different regions of Great Britain in all species. Dogs and cats belonging to
owners living in less deprived areas of England and Scotland were more likely to
be recorded as vaccinated. In the vaccinated population, cats received more core
vaccines per year of life (0.86) than dogs (0.75), with feline leukaemia vaccines
almost as frequent as core vaccines. In dogs, leptospira vaccines were more
frequent than core vaccines. This descriptive study suggests a substantial
proportion of animals are not benefiting from vaccine protection. For the first
time, we identify potential factors associated with variations in recorded
vaccination frequency, providing a critical baseline against which to monitor
future changes in companion animal vaccination and evidence to inform future
targeted health interventions.
PMID- 29636114
TI - Allopregnanolone alters follicular and luteal dynamics during the estrous cycle.
AB - BACKGROUND: Allopregnanolone is a neurosteroid synthesized in the central nervous
system independently of steroidogenic glands; it influences sexual behavior and
anxiety. The aim of this work is to evaluate the indirect effect of a single
pharmacological dose of allopregnanolone on important processes related to normal
ovarian function, such as folliculogenesis, angiogenesis and luteolysis, and to
study the corresponding changes in endocrine profile and enzymatic activity over
4 days of the rat estrous cycle. We test the hypothesis that allopregnanolone may
trigger hypothalamus - hypophysis - ovarian axis dysregulation and cause ovarian
failure which affects the next estrous cycle stages. METHODS: Allopregnanolone
was injected during the proestrous morning and then, the animals were sacrificed
at each stage of the estrous cycle. Ovarian sections were processed to determine
the number and diameter of different ovarian structures. Cleaved caspase 3,
proliferating cell nuclear antigen, alpha-actin and Von Willebrand factor
expressions were evaluated by immunohistochemistry. Luteinizing hormone,
prolactin, estrogen and progesterone serum levels were measured by
radioimmunoassay. The enzymatic activities of 3beta-hydroxysteroid dehydrogenase,
3alpha-hydroxysteroid oxidoreductase and 20alpha-hydroxysteroid dehydrogenase
were determined by spectrophotometric assays. Two-way ANOVA followed by
Bonferroni was performed to determine statistical differences between control and
treated groups along the four stages of the cycle. RESULTS: The results indicate
that allopregnanolone allopregnanolone decreased the number of developing
follicles, while atretic follicles and cysts increased with no effects on normal
cyclicity. Some cysts in treated ovaries showed morphological characteristics
similar to luteinized unruptured follicles. The apoptosis/proliferation balance
increased in follicles from treated rats. The endocrine profile was altered at
different stages of the estrous cycle of treated rats. The angiogenic markers
expression increased in treated ovaries. As regards corpora lutea, the
apoptosis/proliferation balance and 20alpha-hydroxysteroid dehydrogenase
enzymatic activity decreased significantly. Progesterone levels and 3beta
hydroxysteroid dehydrogenase enzymatic activity increased in treated rats. These
data suggest that allopregnanolone interferes with steroidogenesis and
folliculogenesis at different stages of the cycle. CONCLUSION: Allopregnanolone
interferes with corpora lutea regression, which might indicate that this
neurosteroid exerts a protective role over the luteal cells and prevents them
from luteolysis. Allopregnanolone plays an important role in the ovarian
pathophysiology.
PMID- 29636117
TI - Personalised treatments for traumatic brain injury: cognitive, emotional and
motivational targets.
PMID- 29636118
TI - Replacement of saturated and trans-fatty acids in the diet v. CVD risk in the
light of the most recent studies.
AB - OBJECTIVE: To present and discuss results of the most recent studies pertaining
to the effects of consumption of different types of fatty acids on the risk of
CVD. The aim was also an attempt to answer the question of whether a revision of
the current recommendations is necessary. DESIGN: A review of prospective cohort
studies, systematic reviews and meta-analyses published in 2014-2017 on the
effects of SFA and trans-fatty acid (TFA) intakes as well as various models of
their replacement in the diet on CVD risk. RESULTS: Results of the new large
prospective cohort studies pertaining to the effect of SFA consumption on CVD
risk are contradictory. Similarly, the recent meta-analyses of clinical trials
related to the effects of SFA substitution on CVD risk provided extremely
different results, which is related to the application of different inclusion and
exclusion criteria. Differences in results of randomised controlled trials may be
caused by different methodologies of dietary parameter changes, varying duration
of studies, as well as the time at which they were carried out. CONCLUSIONS: It
is extremely difficult to extrapolate results of recent studies to contemporary
recommendations. It seems that there is a need for properly randomised studies on
large groups, with good control of dietary and non-dietary parameters, which
account for not only the sum of SFA and TFA, but also their source. Only such
studies will allow for full evaluation of an effect of substituting SFA and TFA
on cardiovascular risk.
PMID- 29636119
TI - HIV and viral hepatitis coinfection analysis using surveillance data from 15 US
states and two cities.
AB - Coinfection with human immunodeficiency virus (HIV) and viral hepatitis is
associated with high morbidity and mortality in the absence of clinical
management, making identification of these cases crucial. We examined
characteristics of HIV and viral hepatitis coinfections by using surveillance
data from 15 US states and two cities. Each jurisdiction used an automated
deterministic matching method to link surveillance data for persons with reported
acute and chronic hepatitis B virus (HBV) or hepatitis C virus (HCV) infections,
to persons reported with HIV infection. Of the 504 398 persons living with
diagnosed HIV infection at the end of 2014, 2.0% were coinfected with HBV and
6.7% were coinfected with HCV. Of the 269 884 persons ever reported with HBV,
5.2% were reported with HIV. Of the 1 093 050 persons ever reported with HCV,
4.3% were reported with HIV. A greater proportion of persons coinfected with HIV
and HBV were males and blacks/African Americans, compared with those with HIV
monoinfection. Persons who inject drugs represented a greater proportion of those
coinfected with HIV and HCV, compared with those with HIV monoinfection. Matching
HIV and viral hepatitis surveillance data highlights epidemiological
characteristics of persons coinfected and can be used to routinely monitor health
status and guide state and national public health interventions.
PMID- 29636120
TI - Long noncoding RNA: multiple players in gene expression.
AB - Previously considered as a component of transcriptional noise, long noncoding
RNAs (lncRNAs) were neglected as a therapeutic target, however, recently
increasing evidence has shown that lncRNAs can participate in numerous biological
processes involved in genetic regulation including epigenetic, transcriptional,
and post-transcriptional regulation. In this review, we discuss the fundamental
functions of lncRNAs at different regulatory levels and their roles in metabolic
balance. Typical examples are introduced to illustrate their diverse molecular
mechanisms. The comprehensive investigation and identification of key lncRNAs
will not only contribute to insights into diseases, such as breast cancer and
type II diabetes, but also provide promising therapeutic targets for related
diseases. [BMB Reports 2018; 51(6): 280-289].
PMID- 29636121
TI - Understanding of the functional role(s) of the Activating Transcription Factor
4(ATF4) in HIV regulation and production.
AB - The activating transcription factor (ATF) 4 belongs to the ATF/CREB (cAMP
Response Element Binding bZIP [Basic Leucine Zipper]) transcription factor
family, and plays a central role in the UPR (Unfolded Protein Response) process
in cells. The induction of ATF4 expression has previously been shown to increase
the replication of HIV-1. However, the detailed mechanism underlying this effect
and the factors involved in the regulation of ATF4 function are still unknown.
Here, we demonstrate first that knocking out ATF4 using siRNA shows a strong
negative effect on HIV-1 production, indicating that ATF4 is a functional
positive cellular factor in HIV-1 production. To determine the mechanism by which
ATF4 regulates the HIV-1 life cycle, we assessed the effect of the overexpression
of wild type ATF4 and its various derivatives on HIV-1 LTR-mediated
transcriptional activation and the production of HIV-1 particles. This effect was
studied through co-transfection experiments with either reporter vectors or
proviral DNA. We found that the N-terminal domains of ATF4 are involved in HIV-1
LTR-mediated transcriptional activation, and thus in HIV-1 production. [BMB
Reports 2018; 51(8): 388-393].
PMID- 29636123
TI - Welcome.
PMID- 29636122
TI - The role of necroptosis in the treatment of diseases.
AB - Necroptosis is an emerging form of programmed cell death occurring via active and
well-regulated necrosis, distinct from apoptosis morphologically, and
biochemically. Necroptosis is mainly unmasked when apoptosis is compromised in
response to tumor necrosis factor alpha. Unlike apoptotic cells, which are
cleared by macrophages or neighboring cells, necrotic cells release danger
signals, triggering inflammation, and exacerbating tissue damage. Evidence
increasingly suggests that programmed necrosis is not only associated with
pathophysiology of disease, but also induces innate immune response to viral
infection. Therefore, necroptotic cell death plays both physiological and
pathological roles. Physiologically, necroptosis induce an innate immune response
as well as premature assembly of viral particles in cells infected with virus
that abrogates host apoptotic machinery. On the other hand, necroptosis per se is
detrimental, causing various diseases such as sepsis, neurodegenerative diseases
and ischemic reperfusion injury. This review discusses the signaling pathways
leading to necroptosis, associated necroptotic proteins with target-specific
inhibitors and diseases involved. Several studies currently focus on protective
approaches to inhibiting necroptotic cell death. In cancer biology, however,
anticancer drug resistance severely hampers the efficacy of chemotherapy based on
apoptosis. Pharmacological switch of cell death finds therapeutic application in
drug- resistant cancers. Therefore, the possible clinical role of necroptosis in
cancer control will be discussed in brief. [BMB Reports 2018; 51(5): 219-224].
PMID- 29636124
TI - In situ formation of injectable chitosan-gelatin hydrogels through double
crosslinking for sustained intraocular drug delivery.
AB - Rapid clearance and low ocular bioavailability are drawbacks of conventional
ophthalmic eye drops. To increase the ocular drug resistance time and improve
efficacy, an in situ forming and thermosensitive chitosan-gelatin hydrogel was
developed. The feasibility of using this hydrogel as a topical eye drop
formulation for sustained release of timolol maleate was evaluated. The flexible
hydrogel that was co-crosslinked with beta-glycerophosphate disodium salt hydrate
(beta-GD) and genipin showed a fast gel formation at 37 degrees C. The swelling
properties and in vitro biodegradation characteristics showed a strong
relationship with the initial genipin concentration. In vitro release profiles
demonstrated that crosslinking with genipin reduced the release rate of entrapped
model drugs and timolol maleate. In vitro cytotoxicity tests showed that the
hydrogel was non-toxic to Chinese hamster fibroblast V79 cells. The hydrogel was
further applied as eye drop formulations for sustained release of timolol maleate
to reduce intraocular pressure (IOP). A fast gel formation was observed after
instilling the chitosan-gelatin solution into the lower conjunctival sac of the
rabbit eyes, and the in situ formed hydrogels protected the drugs from clearance
by tears, and released the drugs in a sustained manner. Furthermore,
administration of timolol maleate containing chitosan-gelatin hydrogels showed a
long-lasting and effective IOP lowering efficacy for up to 24 h compared with the
conventional eye drops. These results suggested that beta-GD and genipin co
crosslinked chitosan-gelatin hydrogels could be a useful ocular drug delivery
platform with enhanced therapeutic effects and reduced side effects.
PMID- 29636125
TI - Elucidating molecular events underlying topography mediated cardiomyogenesis of
stem cells on 3D nanofibrous scaffolds.
AB - Toward engineering a cardiac patch, the objective of this work was to assess stem
cell response to a three-dimensional (3D) nanofibrous scaffold and probe the
underlying molecular mechanisms including both cell signaling and epigenetic
changes. Cardiomyogenesis of human mesenchymal stem cells (hMSCs) in 3D
poly(epsilon-caprolactone) (PCL) nanofibers and macroporous scaffolds was
compared with two-dimensional (2D) PCL films. In addition, nanofiber mats of PCL
and its blend with gelatin (PCL-Gel) were prepared with fibers of random or
unidirectional alignment to assess the roles of topography (fibrous architecture
and its alignment) and biochemical cue (cell-adhesive sites) in directing cell
functions. Cells on 3D random nanofibers, exhibited elevated expression of known
cardiac markers such as cardiac actinin, cardiac troponin and beta-myocardial
heavy chain compared to cells on 2D films suggesting enhanced differentiation
that was further accentuated on the aligned fibers. 3D macroporous scaffolds did
not enhance the cardiomyogenic differentiation. However, minimal differences were
noted between cells on PCL and PCL-Gel fibers, irrespective of alignment. Co
culture with neonatal rat cardiomyocytes induced beating in the differentiated
cells. The use of small molecule inhibitors revealed that cytoskeletal elements F
actin, microtubules and downstream ROCK protein are essential for the
cardiomyogenesis of hMSCs on the nanofibers. The activation of ERK, AKT and mTOR
was observed during cardiomyogenesis. Interestingly, enhanced differentiation on
the aligned nanofibers was associated with increased level of the histone
deacytelase SIRT6 and decreased level of the acetylated histone H3K9 suggesting a
role for epigenetic regulation. This study demonstrates that aligned nanofibrous
scaffolds augment cardiomyogenic differentiation wherein topography plays a
critical role in driving stem cell function. In addition, this study offers
insight into molecular pathways driving the cellular response.
PMID- 29636126
TI - Zinc and nitrogen ornamented bluish white luminescent carbon dots for engrossing
bacteriostatic activity and Fenton based bio-sensor.
AB - Carbon dots with heteroatom co-doping associated with consummate luminescence
features are of acute interest in diverse applications such as biomolecule
markers, chemical sensing, photovoltaic, and trace element detection. Herein, we
demonstrate a straightforward, highly efficient hydrothermal dehydration
technique to synthesize zinc and nitrogen co-doped multifunctional carbon dots
(N, Zn-CDs) with superior quantum yield (50.8%). The luminescence property of the
carbon dots can be tuned by regulating precursor ratio and surface oxidation
states in the carbon dots. A unique attribution of the as-prepared carbon dots is
the high monodispersity and robust excitation-independent emission behavior that
is stable in enormously reactive environment and over a wide range of pH. These
N, Zn-CDs unveils captivating bacteriostatic activity against gram-negative
bacteria Escherichia coli. Furthermore, the excellent luminescence properties of
these carbon dots were applied as a platform of sensitive biosensor for the
detection of hydrogen peroxide. Under optimized conditions, these N, Zn-CDs
reveals high sensitivity over a broad range of concentrations with an ultra-low
limit of detection (LOD) indicating their pronounced prospective as a fluorescent
probe for chemical sensing. Overall, the experimental outcomes propose that these
zero-dimensional nano-dots could be developed as bacteriostatic agents to control
and prevent the persistence and spreading of bacterial infections and as a
fluorescent probe for hydrogen peroxide detection.
PMID- 29636127
TI - Antioxidant and antibacterial hydroxyapatite-based biocomposite for orthopedic
applications.
AB - Post-implantation, vicinity acquired oxidative stress and bacterial infections
lead to apoptosis with eventual bone-resorption and implant failure,
respectively. Thus, in order to combat aforementioned complications, present
research aims in utilizing antioxidant ceria (CeO2) and antibacterial silver (Ag)
reinforced hydroxyapatite (HA) composite with enhanced mechanical and
cytocompatible properties. Highly dense (>90%) spark plasma sintered HA-based
composites elicits enhanced elastic modulus (121-133 GPa) in comparison to that
of HA. The antioxidant activity is quantified using ceria alone, wherein HA-ceria
and HA-ceria-Ag pellets exhibits ~36 and 30% antioxidant activity, respectively,
accrediting ceria as a scavenger of reactive oxygen species, which was
corroborated with the % Ce3+ change quantified by X-ray photoelectron
spectroscopy. The HA-Ag pellet shows antibacterial efficacy of ~61% for E. coli
and ~53% for S. aureus, while a reduction of ~59% for E. coli and ~50% for S.
aureus is observed for HA-ceria-2.5Ag pellet, affirming Ag reinforcement as an
established bactericidal agent. The enhanced hydrophobicity on all the HA-based
composites affords a high protein adsorption (24 h incubation). Further, elevated
hFOB cell count (~6.7 times for HA-ceria-Ag on day 7) with filopodial extensions
(60-150 MUm) and matrix-like deposition reflect cell-substrate intimacy. Thus,
synergistic antioxidant ceria and antibacterial Ag reinforcement with enhanced
mechanical integrity can potentially serve as cytocompatible porous bone
scaffolds or bioactive coatings on femoral stems.
PMID- 29636128
TI - Novel biocatalytic systems for maintaining the nucleotide balance based on
adenylate kinase immobilized on carbon nanostructures.
AB - In this study graphene oxide (GO), carbon quantum dots (CQD) and carbon
nanoonions (CNO) have been characterized and applied for the first time as a
matrix for recombinant adenylate kinase (AK, EC 2.7.4.3) immobilization. AK is an
enzyme fulfilling a key role in metabolic processes. This phosphotransferase
catalyzes the interconversion of adenine nucleotides (ATP, ADP and AMP) and
thereby participates in nucleotide homeostasis, monitors a cellular energy charge
as well as acts as a component of purinergic signaling system. The AK activity in
all obtained biocatalytic systems was higher as compared to the free enzyme. We
have found that the immobilization on carbon nanostructures increased both
activity and stability of AK. Moreover, the biocatalytic systems consisting of AK
immobilized on carbon nanostructures can be easily and efficiently lyophilized
without risk of desorption or decrease in the catalytic activity of the
investigated enzyme. The positive action of AK-GO biocatalytic system in
maintaining the nucleotide balance in in vitro cell culture was proved.
PMID- 29636129
TI - Macroporous click-elastin-like hydrogels for tissue engineering applications.
AB - Elastin is a key extracellular matrix (ECM) protein that imparts functional
elasticity to tissues and therefore an attractive candidate for bioengineering
materials. Genetically engineered elastin-like recombinamers (ELRs) maintain
inherent properties of the natural elastin (e.g. elastic behavior, bioactivity,
low thrombogenicity, inverse temperature transition) while featuring precisely
controlled composition, the possibility for biofunctionalization and non-animal
origin. Recently the chemical modification of ELRs to enable their crosslinking
via a catalyst-free click chemistry reaction, has further widened their
applicability for tissue engineering. Despite these outstanding properties, the
generation of macroporous click-ELR scaffolds with controlled, interconnected
porosity has remained elusive so far. This significantly limits the potential of
these materials as the porosity has a crucial role on cell infiltration,
proliferation and ECM formation. In this study we propose a strategy to overcome
this issue by adapting the salt leaching/gas foaming technique to click-ELRs. As
result, macroporous hydrogels with tuned pore size and mechanical properties in
the range of many native tissues were reproducibly obtained as demonstrated by
rheological measurements and quantitative analysis of fluorescence, scanning
electron and two-photon microscopy images. Additionally, the appropriate size and
interconnectivity of the pores enabled smooth muscle cells to migrate into the
click-ELR scaffolds and deposit extracellular matrix. The macroporous structure
together with the elastic performance and bioactive character of ELRs, the
specificity and non-toxic character of the catalyst-free click-chemistry
reaction, make these scaffolds promising candidates for applications in tissue
regeneration. This work expands the potential use of ELRs and click chemistry
systems in general in different biomedical fields.
PMID- 29636130
TI - Dispersion of multi-walled carbon nanotubes in [BMIM]PF6 for electrochemical
sensing of acetaminophen.
AB - The influence of functionalized multi-walled carbon nanotubes (fMWCNT) in the
presence of 1-butyl-3-methylimidazolium hexafluorophosphate ([BMIM]PF6) in
different ratios was investigated on the acetaminophen (ACOP) electrochemical
determination. The electrochemical behavior of the ACOP exhibited a pair of well
defined redox peaks, suggesting that the reversibility of ACOP was significantly
improved in comparison to irreversible oxidation peak on bare GCE. The redox
process was controlled by adsorption, involves two electrons and the value of
apparent rate constant (ks) was equal to 14.7 s-1 +/- 3.6 s-1. The analytical
curves were obtained for concentrations of ACOP ranging from 0.3 to 3.0 MUmol L
1. The values of the detection limit were calculated from SWV and found to be
6.73 * 10-8 mol L-1. The proposed electrochemical sensor exhibited good stability
and reproducibility and was applied for ACOP determination in tablets (Tylenol(r)
and Tylenol(r)DC) with satisfactory results.
PMID- 29636131
TI - 2-Thiophene ethylamine modified hyaluronic acid with its application on
hepatocytes culture.
AB - Hyaluronic acid (HA) is a component of extracellular matrix, which is important
for cell functions and tissue integrity. Biosynthesized HA, as well as its
derivatives, is widely used in cosmetics industry, biochemical medicine and
medical surgery. In this research, we report a new hyaluronic acid derivative
synthesized by amidation of hyaluronic acid with 2-thiophene ethylamine (2TEA). 2
chloro-dimethoxy-1,3,5-triazine (CDMT) served as the activating agent of the
carboxylic groups. Primary mouse hepatocytes cultured with this derivative HA
2TEA maintained their epithelial morphology and showed better hepatic functions.
This result was confirmed by the higher expression levels of hepatic functional
genes in primary hepatocyte cultured with HA-2TEA derivative. Moreover, the
protein levels of several hepatic genes were further confirmed by
immunofluorescence staining. Thus HA-2TEA(2-thiopheneethylamine) derivative
demonstrated good capacity on hepatocytes culture, and maintained hepatocyte
functions in vitro.
PMID- 29636133
TI - Selected developments and medical applications of organic-inorganic hybrid
biomaterials based on functionalized spherosilicates.
AB - Well-defined and tailor-made spherosilicates and POSS-based (POSS = Polyhedral
Oligomeric Silsesquioxanes) (nano)composites with interesting chemical and
mechanical properties have applications in the widely-regarded field of
innovative biomaterials. They can serve as delivery systems, three-dimensional
scaffolds for specific tissue engineering, biomaterials for orthopedic,
cardiovascular, and reconstructive surgery, etc. Such organic-inorganic hybrids
are much more effective biomaterials than pure polymers, bioglasses, metals,
alloys, and ceramics currently used in medical applications and are considered as
next-generation systems in innovative medical approaches. This range of
applications creates a strong impetus for novel, cheap, and easy-to-scale-up
methods for their synthesis. In this review (highlights since 2006), selected
biomaterials consisting of various polymeric derivatives such as
polymethacrylates, polylactides, polycaprolactones, polyurethanes, etc., which
serve as organic side-arms of POSS and can create polymer platforms for precisely
localized spherosilicates among organic matrices, are discussed as a new
generation of silicon-based biosystems using spherosilicates, promising
biomaterials with a particular use in soft- and hard-tissue engineering.
PMID- 29636132
TI - Effects of polycaprolactone on alendronate drug release from Mg-doped
hydroxyapatite coating on titanium.
AB - The scientific objective of this study was to understand the influence of PCL
coating on alendronate drug release kinetics in vitro. Our hypothesis was PCL
coating would minimize burst release of alendronate from plasma sprayed Mg-doped
hydroxyapatite (HA) coated commercially pure titanium (CpTi) samples. In the US
alone, over 44 million women and men aged 50 and older are affected by
osteoporosis which can lead to replacement and/or revision surgeries. Alendronate
is a widely-used drug for treating osteoporosis and would be an ideal drug to be
loaded and released from these replacement systems. Initial burst release is a
common phenomenon for the most drug loaded devices. To modulate the release
kinetics, a biodegradable polymer, polycaprolactone (PCL), coating with slow
degradable kinetics was employed. Samples with 2 and 4 wt% PCL showed about 34%
and 26% release of alendronate within the first 24 h, respectively, compared to
75% burst release without any PCL coating. With the addition of a PCL coating, a
controlled release kinetics of alendronate was achieved from HA coated titanium
implants, which can potentially impact millions of patients worldwide having
compromised bone due to osteoporosis.
PMID- 29636134
TI - Understanding and augmenting the stability of therapeutic nanotubes on anodized
titanium implants.
AB - Titanium is an ideal material choice for orthopaedic and dental implants, and
hence a significant amount of research has been focused towards augmenting the
therapeutic efficacy of titanium surfaces. More recently the focus has shifted to
nano-engineered implants fabricated via anodization to generate self-ordered
nanotubular structures composed of titania (TiO2). These structures (titania
nanotubes/TNTs) enable local drug delivery and tailorable cellular modulation
towards achieving desirable effects like enhanced osseointegration and
antibacterial action. However, the mechanical stability of such modifications is
often ignored and remains underexplored, and any delamination or breakage in the
TNTs modification can initiate toxicity and lead to severe immuno-inflammatory
reactions. This review details and critically evaluates the progress made in
relation to this aspect of TNT based implants, with a focus on understanding the
interface between TNTs and the implant surface, treatments aimed at augmenting
mechanical stability and strategies for advanced mechanical testing within the
bone micro-environment ex vivo and in vivo. This review article extends the
existing knowledge in this domain of TNTs implant technology and will enable
improved understanding of the underlying parameters that contribute towards
mechanically robust nano-engineered implants that can withstand the forces
associated with implant surgical placement and the load bearing experienced at
the bone/implant interface.
PMID- 29636135
TI - BisGMA analogues as monomers and diluents for dental restorative composite
materials.
AB - Current commercially available dental composite materials have certain
limitations for their use, including high monomer viscosity and high
polymerization shrinkage, resulting in residual stresses and interfacial gaps.
This study focused on the chemical modification of resin monomer bisphenol A
glycidyl methacrylate (bisGMA), so as to reduce the viscosity and polymerization
shrinkage. In this design, the hydroxyl groups of bisGMA were transformed into
ester groups with various alkyl chain length and branching. The modified monomers
showed promising properties including reduced viscosity, reduced polymerization
shrinkage, increased hydrophobicity, increased degree of double bond conversion,
and improved mechanical properties of the resulting dental resin composites. The
structure/property relationships of the new monomers were investigated, and
optimal monomer structures were identified for dental composites with improved
properties.
PMID- 29636136
TI - Enhanced cellular uptake of LHRH-conjugated PEG-coated magnetite nanoparticles
for specific targeting of triple negative breast cancer cells.
AB - Targeted therapy is an emerging technique in cancer detection and treatment. This
paper presents the results of a combined experimental and theoretical study of
the specific targeting and entry of luteinizing hormone releasing hormone (LHRH)
conjugated PEG-coated magnetite nanoparticles into triple negative breast cancer
(TNBC) cells and normal breast cells. The conjugated nanoparticles structures,
cellular uptake of PEG-coated magnetite nanoparticles (MNPs) and LHRH-conjugated
PEG-coated magnetite nanoparticles (LHRH-MNPs) into breast cancer cells and
normal breast cells were investigated using a combination of transmission
electron microscope, optical and confocal fluorescence microscopy techniques. The
results show that the presence of LHRH enhances the uptake of LHRH-MNPs into TNBC
cells. Nanoparticle entry into breast cancer cells is also studied using a
combination of thermodynamics and kinetics models. The trends in the predicted
nanoparticle entry times (into TNBC cells) and the size ranges of the engulfed
nanoparticles (within the TNBC cells) are shown to be consistent with
experimental observations. The implications of the results are then discussed for
the specific targeting of TNBCs with LHRH-conjugated PEG-coated magnetite
nanoparticles for the early detection and treatment of TNBC.
PMID- 29636137
TI - Composite scaffold of micronized porcine cartilage/poly(lactic-co-glycolic acid)
enhances anti-inflammatory effect.
AB - The main disadvantage of using poly(lactic-co-glycolic acid) (PLGA), a typical
synthetic polymer, as a biomaterial is that it induces inflammation. To overcome
this disadvantage, we determined the ability of micronized porcine cartilage
(MPC) for alleviating the inflammatory effects of a PLGA scaffold. MPC was
analyzed by sodium dodecyl sulfate-polyacrylamide gel electrophoresis and Fourier
transform-infrared spectroscopy, and typical collagen components were confirmed.
The MPC/PLGA scaffolds were fabricated using various concentrations of MPC and
the compressive strength was evaluated to characterize its physical properties.
Although the compressive strength decreased with increasing amounts of MPC, the
roughness of the surface, assessed by scanning election microscopy, was
considered to be suitable for facilitating cell attachment. Notably, in vitro
experiments showed that the cell adhesion and proliferation rates increased as
the MPC content increased. MPC further reduced gene expression levels of
inflammatory cytokines and cellular reactive oxygen species, as determined by
real time-polymerase chain reaction and fluorescence-activated cell sorting,
respectively. In addition, in vivo experiments confirmed the interaction between
tissues and the scaffolds. Overall, these results confirmed that the MPC/PLGA
scaffold is superior to the PLGA scaffold in many respects and might be a
suitable candidate for resolving the disadvantages of PLGA in tissue engineering
applications.
PMID- 29636138
TI - Assessment of calcium sulfate hemihydrate-Tricalcium silicate composite for bone
healing in a rabbit femoral condyle model.
AB - Calcium sulfate or plaster of Paris (POP) is considered as a bone cement with a
fast degradation rate, which frequently makes it resorb before the bone defect
area is completely filled by new bone. The incorporation of tricalcium silicate
(C3S) into POP cement has been proven as a feasible approach to reduce the in
vitro degradation rate and improve the in vitro bioactivity of the material.
However, the in vivo performance of the POP/C3S composite cement is still
unclear. Therefore, the aim of the present study is to assess the
biodegradability and osteogenesis of POP/C3S composite cement in comparison with
those of POP bone cement. To carry out the in vivo evaluation, POP and POP/C3S
cements were implanted into a femoral condyle defect model in rabbits (5 mm
diameter * 10 mm length) for 4, 8, and 12 weeks duration. The area of the
remaining cement and new bone regeneration in bone defect were investigated and
quantitatively measured using radiography, micro-computed tomography, and
histological staining. For both cements, no sign of inflammation was observed.
POP cement was completely degraded at the 8th week of post-implantation. By
contrast, only approximately 50% by volume of POP/C3S composite cement degraded
at the 12th week, which allowed a long-term framework for new bone formation. The
osteogenic ability of POP/C3S composite cement was significantly superior to that
of POP as indicated by the higher mineralization rate and maturity of the newly
formed bone around the composite cement. In summary, our findings demonstrated
that the in vivo degradation behaviors and osteogenic ability of POP cement could
be improved by incorporating C3S in vivo, suggesting that POP/C3S composite
cement has potential as a biodegradable cement for bone repair.
PMID- 29636139
TI - Novel bifurcation stents coated with bioabsorbable nanofibers with extended and
controlled release of rosuvastatin and paclitaxel.
AB - A novel bifurcation stent coated with bioabsorbable nanofibers that deliver the
extended and controlled release of rosuvastatin and paclitaxel was developed.
Bioabsorbable bifurcation stents, consisting of a double-slit tubular main body
and two spiral branches, were manufactured. Bi-layered poly (lactic-co-glycolic
acid) nanofibers that contained rosuvastatin and paclitaxel were used for
treating the stents. Various properties of the fabricated stents, including
compression strengths, collapse pressure, water contact angle and flow properties
within a circulation model, were quantified. In vitro nanofibrous elution
chromatography assays from the drug-loading bifurcation stents were carried out
for the release patterns of pharmaceuticals. The effectiveness of eluted
rosuvastatin and paclitaxel in inhibiting the adhesion of platelets as well as
the proliferation of smooth muscle cells (SMCs) were studied, respectively. The
experimental results suggest that bioabsorbable nanofibrous bifurcation stents
released high concentrations of rosuvastatin and paclitaxel for 27 and 70 days,
respectively. The eluted drugs of rosuvastatin and paclitaxel effectively reduced
adherent platelets and the proliferation of SMCs. The developed bioabsorbable
nanofibrous bifurcation stents herein may provide a promising means of treating
cardiovascular bifurcation lesions.
PMID- 29636140
TI - One-step fabrication of functionalized poly(etheretherketone) surfaces with
enhanced biocompatibility and osteogenic activity.
AB - Polyetheretherketone (PEEK) has an elastic modulus similar to that of the bone;
however, its use as a material for bone repair is limited by bio-inert surface
chemistry and poor osteogenesis-inducing capacity. To address this issue, the
PEEK surface was activated by ultraviolet radiation-induced grafting of
methacrylated hyaluronic acid (MeHA) and titanium dioxide (TiO2) nanofibers via a
one-step process. The modified PEEK surface was characterized by X-ray
photoelectron and Fourier-transform infrared spectroscopy, and the extent of
surface modification was evaluated by measuring static contact angles. Atomic
force microscopy revealed that the PEEK surface grafted with electrospun TiO2 had
abundant nanofibers and a roughness that was comparable to that of human cortical
bone. In vitro experiment, rat bone mesenchymal stem cells showed increased
adhesion, proliferation, and osteogenic differentiation capacity on TiO2-modified
as compared to unmodified PEEK. Thus, PEEK that is surface-modified with
electrospun TiO2 and MeHA has enhanced biocompatibility and can be an effective
material for use in orthopedic implants and medical devices.
PMID- 29636141
TI - Repair of full-thickness articular cartilage defect using stem cell-encapsulated
thermogel.
AB - Cartilage defect repair by hydrogel-based tissue engineering is becoming one of
the most potential treatment strategies. In this work, a thermogel of triblock
copolymer poly(lactide-co-glycolide)-block-poly(ethylene glycol)-block
poly(lactide-co-glycolide) (PLGA-PEG-PLGA) was prepared as scaffold of bone
marrow mesenchymal stem cells (BMMSCs) for repair of full-thickness articular
cartilage defect. At first, the copolymer solution showed a reversible sol-gel
transition at physiological temperature range, and the mechanical properties of
such thermogel were high enough to support the repair of cartilage. Additionally,
excellent biodegradability and biocompatibility of the thermogel were
demonstrated. By implanting the BMMSC-encapsulated thermogel into the full
thickness articular cartilage defect (5.0 mm in diameter and 4.0 mm in depth) in
the rabbit, it was found that the regenerated cartilage integrated well with the
surrounding normal cartilage and subchondral bone at 12 weeks post-surgery. The
upregulated expression of glycosaminoglycan and type II collagen in the repaired
cartilage, and the comparable biomechanical properties with normal cartilage
suggested that the cell-encapsulated PLGA-PEG-PLGA thermogel had great potential
in serving as the promising scaffold for cartilage regeneration.
PMID- 29636142
TI - Novel antibacterial biodegradable Fe-Mn-Ag alloys produced by mechanical
alloying.
AB - Various compositions and synthesis methods of biodegradable iron-based alloys
have been studied aiming for the use of temporary medical implants. However, none
is focused on nano-structured alloy and on adding antibacterial property to the
alloy. In this study, new Fe-30Mn-(1-3)Ag alloys were synthesized by means of
mechanical alloying and assessed for their microstructure, mechanical properties,
corrosion rate, antibacterial activity and cytotoxicity. Results showed that the
alloy with 3 wt% Ag content displayed the highest relative density, shear
strength, micro hardness and corrosion rate. However, optimum cytotoxicity and
the antibacterial activity were reached by the alloy with 1 wt% Ag content. The
compositional and processing effects of the alloys' properties are further
discussed in this work.
PMID- 29636144
TI - Facial Plastic Surgery Controversies: Keloids.
AB - There are more than 11 million people in the world affected with keloids.
Nevertheless, there is a lack of agreement in keloid management. Moreover, keloid
research has left gaps in the understanding of its pathogenesis. Six questions
are answered by 3 clinical scientists in an attempt to address common keloid
controversies.
PMID- 29636143
TI - Open porous dealloying-based biomaterials as a novel biomaterial platform.
AB - The close match of stiffness between implant material and bone is critically
important to avoid stress-shielding effect and ensure a fast healing of injured
tissues. Here, we introduce liquid metal dealloying method for synthesis of
robust open porous biomaterials possessing low Young's modulus. The remarkable
advantage of the liquid metal dealloying method is a large flexibility in
selecting chemical composition of a desired porous biomaterial together with
unique tunable microstructure. To demonstrate the versatility of the method, a
number of open porous TixZr100-x alloys with different chemical compositions and
microstructural characteristics was developed by dealloying precursor (TixZr100
x)yCu100-y alloys in liquid magnesium. The effects of the processing conditions
and the precursors' chemical composition on the microstructure of the porous
TixZr100-x as well as their mechanical behavior were discussed in detail. In
particular, the porous TixZr100-x distinguish themselves due to a low and tunable
stiffness ranging from 3.2 to 15.1 GPa and a rather high strength reaching up to
480 MPa. This unique combination of mechanical properties of the new open porous
TixZr100-x alloys becomes even more interesting in view of preliminary biological
tests highlighting their excellent cytocompatibility. Overall, the liquid metal
dealloying provides an opportunity for designing a new biomaterials platform with
flexible tunable functionality.
PMID- 29636145
TI - Contemporary Laser and Light-Based Rejuvenation Techniques.
AB - Laser and light skin rejuvenation have changed dramatically in the last 10 years.
CO2 and erbium:YAG remain the main wavelengths, but fractional, nonablative, and
combination devices have been added. For those patients with lighter skin types
and extensive photodamage and rhytids, full-field ablative laser resurfacing
remains the procedure of choice. For those seeking less downtime and risks,
fractional devices offer an excellent and growing alternative, although multiple
treatments may be required for optimal results. A new generation of hybrid and
nonablative devices offers many advantages, yet many of these results may be
duplicated with well-proven intense pulsed light.
PMID- 29636146
TI - Radiofrequency Technology in Face and Neck Rejuvenation.
AB - Three doctors discuss the current issues and controversies involving the use of
radiofrequency and energy-based devices for skin tightening, facial contouring,
and other indications. The use of transcutaneous monopolar-, bipolar-, multipolar
, subcutaneous-, and microneedle-based delivery methods are discussed. The
controversies involved in the various devices are discussed.
PMID- 29636147
TI - The Superficial Musculoaponeurotic System and Other Considerations in
Rejuvenation of the Lower Face and Neck.
AB - This article addresses several facelift challenges involving anatomic conditions,
including platysma banding, endomorphic facial habitus, and midface hypoplasia.
In addition, patient counseling and conveying realistic expectations about
limitations of facelift alone, with and without adjunctive procedures, are
presented. In addition, a few technical modifications of the facelift procedure
contributing to more uniform success and longevity are discussed.
PMID- 29636148
TI - Facial Paralysis Discussion and Debate.
AB - This article examines 6 questions about facial paralysis answered by 3 experts in
their field of facial plastic surgery. The topics covered include routine
assessment, neuromuscular training, nonsurgical management, and the future of
this field. All the authors answered these questions in a "How I do it" manner to
provide the reader with a true understanding of their thoughts and techniques.
This article provides a practical resource to all physicians and practitioners
treating patients with facial paralysis on some of the most common questions and
issues.
PMID- 29636149
TI - Management of the Prominent Ear.
AB - This article incorporates the opinions and preferred surgical options in managing
patients of 3 prominent facial plastic surgeons who have large otoplasty
practices. Six different questions covering the management of prominent ears are
answered by the 3 practitioners. Nonsurgical options for the treatment of
prominent ears are discussed. The role of cartilage-cutting and cartilage-sparing
techniques as well as individual preferred otoplasty techniques are thoroughly
covered. Postoperative management of these patients is presented by the
individual surgeons.
PMID- 29636150
TI - Lip Augmentation.
AB - This article examines 6 questions about lip augmentation answered by 3 experts in
their field of facial plastic surgery. The topics covered include high-yield
areas such as injection, surgical enhancement, rhytid resurfacing, implants,
complications, and technique changes over the years. All the authors answered
these questions in a "How I do it" manner to provide the reader with a true
understanding of their thoughts and techniques. This article provides a practical
resource to all physicians and practitioners performing lip augmentation on some
of the most common questions and issues.
PMID- 29636151
TI - Grafting Techniques in Primary and Revision Rhinoplasty.
AB - With the adoption of open structure techniques, rhinoplasty has become more
reliant on the use of structural grafts to resist change that occurs over time
owing to both gravity and the aging process. As surgical procedures have become
more technically complex, the type of grafts use for both primary and secondary
rhinoplasty have undergone significant evolution. This article provides a case
approach focused on the use of structural grafting in rhinoplasty.
PMID- 29636152
TI - Injectable Fillers: Panel Discussion, Controversies, and Techniques.
AB - Injectable products are now being designed to treat specific areas of the face,
including the lower lid/cheek region, the midface, and circumoral rhytids. Expert
injectors from 3 core disciplines (facial plastic surgery, oculoplastic surgery,
and dermatology) were asked to discuss their approaches to the midface, lower
lid, and cheek region and their opinions about using cannulas versus needles. The
authors describe their techniques for avoiding and managing filler complications.
They give insight into how their techniques have changed over the past few years
and their use of new products that have been developed.
PMID- 29636153
TI - Orbital Fractures.
AB - Anatomic, rather than volumetric, reconstruction leads to improved outcomes in
orbital reconstruction. Endoscopic visualization improves lighting and
magnification of the surgical site and allows the entire operative team to
understand and participate in the procedure. Mirror-image overlay display with
navigation-guided surgery allows in situ fine adjustment of the implant contours
to match the contralateral uninjured orbit. Precise exophthalmometry is important
before, during, and after surgery to provide optimal surgical results.
PMID- 29636154
TI - Evaluating New Technology.
AB - There are multiple complex issues to consider when evaluating any new technology.
First evaluate the efficacy of the device. Then considering your patient
population decide whether this technology brings an added benefit to your
patients. If it meets these 2 criteria, then proceed to the financial analysis of
acquiring this technology. The complete financial analysis has several important
components that include but are not limited to cost, value, alternatives, return
on investment, and associated marketing expense.
PMID- 29636155
TI - Controversies in Facial Plastic Surgery.
PMID- 29636156
TI - Implementation of safety management systems in Hong Kong construction industry -
A safety practitioner's perspective.
AB - INTRODUCTION: In the 1980s, the safety management system (SMS) was introduced in
the construction industry to mitigate against workplaces hazards, reduce the risk
of injuries, and minimize property damage. Also, the Factories and Industrial
Undertakings (Safety Management) Regulation was introduced on 24 November 1999 in
Hong Kong to empower the mandatory implementation of a SMS in certain industries
including building construction. Therefore, it is essential to evaluate the
effectiveness of the SMS in improving construction safety and identify the
factors that influence its implementation in Hong Kong. METHOD: A review of the
current state-of-the-practice helped to establish the critical success factors
(CSFs), benefits, and difficulties of implementing the SMS in the construction
industry, while structured interviews were used to establish the key factors of
the SMS implementation. RESULTS: Results of the state-of-the-practice review and
structured interviews indicated that visible senior commitment, in terms of
manpower and cost allocation, and competency of safety manager as key drivers for
the SMS implementation. More so, reduced accident rates and accident costs,
improved organization framework, and increased safety audit ratings were
identified as core benefits of implementing the SMS. Meanwhile, factors such as
insufficient resources, tight working schedule, and high labor turnover rate were
the key challenges to the effective SMS implementation in Hong Kong. PRACTICAL
APPLICATIONS: The findings of the study were consistent and indicative of the
future development of safety management practice and the sustainable safety
improvement of Hong Kong construction industry in the long run.
PMID- 29636157
TI - Evaluating an intervention to improve belt fit for adult occupants: Promoting
positive beliefs.
AB - INTRODUCTION: Seat belt use provides significant public health benefit, however,
most public awareness campaigns have generally focused on seat belt use rather
than encouraging adults to improve seat belt fit with belt placement. This study
provides an evaluation of a video-based intervention to improve adult belt fit
assessing whether a video-based intervention can target beliefs and knowledge of
seat belt placement and be perceived as relevant by the target audience. METHOD:
An intervention group of 29 adults (15 women and 14 men) and a comparison group
of 99 adults (41 women and 47 men) participated. RESULTS: The intervention group
had significantly more favorable beliefs around belt fit than the comparison
group related to Health Belief Model constructs of higher self-efficacy, greater
benefits, and fewer barriers. The intervention group was also significantly
better at accurately drawing belt fit than the comparison group. The video
intervention was described as relevant, interesting, and the intervention group
favored the provision of a diverse sample of models in the intervention.
CONCLUSIONS: Overall, the study provides insight into relevant target beliefs for
an intervention focused on belt fit and suggests that a brief video-based
intervention in the style of a public service announcement may be effective in
promoting positive beliefs and knowledge around belt fit. Future efforts should
confirm these findings with a larger sample size spanning multiple geographic and
demographic areas. PRACTICAL APPLICATIONS: These findings can help better inform
intervention initiatives to improve occupant belt fit.
PMID- 29636158
TI - The effect of fatigue driving on injury severity considering the endogeneity.
AB - INTRODUCTION: Fatigue driving is one of the most risky driving-related behaviors
and represented a significant social and economic cost to the community. Several
studies have already examined the relationship between fatigue driving behavior
and traffic injury severity from different aspects. However, fatigue driving and
injury severity in traffic crash may share some common influential factors.
Ignoring the impact of these common factors will lead to endogeneity problem and
result in biased parameter estimation. METHOD: Based on 38,564 crash records
during 2006-2011 in Guangdong province, China, we apply a bivariate endogenous
binary-ordered probit model to examine the relationship between fatigue driving
and injury severity considering endogeneity of fatigue driving. We also explore
the difference of influential factors between commercial and non-commercial
vehicle drivers. RESULTS: This study identifies several common observed
influential factors of fatigue driving propensity and fatal injury propensity and
reveals a substantial and significant negative correlation of unobserved factors
between them. CONCLUSIONS: The influence of fatigue driving on injury severity is
significantly underestimated if the endogeneity of fatigue driving on fatal
injury propensity is ignored. Factors such as vehicle insurance and road types
not only affect fatal injury propensity, but also fatigue driving propensity.
PRACTICAL APPLICATIONS: The findings in this study can help better understand how
those factors affect fatigue driving and injury severity, and contributes to more
efficient policy for preventing the harmfulness of fatigue-related crashes.
PMID- 29636159
TI - Passenger use of and attitudes toward rear seat belts.
AB - OBJECTIVES: This study sought to identify attitudes toward belt use in the rear
seat and to gain insight into the experiences of rear-seat passengers. METHOD: A
telephone survey conducted between June and August 2016 targeted adult passengers
who had recently ridden in the rear and who did not always wear their seat belt
when doing so. Respondents were questioned regarding their reasons for not
buckling up and possible conditions under which they would be more likely to
buckle up during rear-seat travel. RESULTS: Of 1163 recent rear-seat passengers,
72% reported always using their seat belt in the rear. Full-time belt use was
lower among passengers who primarily travel in the rear of hired vehicles
compared with personal vehicles. The most common explanation for not buckling up
was that the back seat is safer than the front. Four out of five agreed they do
not buckle up because of type of trip; two-thirds forget or do not see the need;
and two-thirds agreed with reasons related to design, comfort, or usability
issues. Nearly 40% agreed that they sometimes do not buckle up in the rear
because there is no law requiring it. CONCLUSION: Many reasons for not using
belts in the rear are similar to reasons in the front, such as forgetfulness,
inconvenience, or discomfort. One difference is that many rear-seat passengers
perceive using the belt is unnecessary because the back seat is safer than the
front. More than half of part-time belt users and nonusers reported interventions
such as rear seat belt reminders, stronger belt-use laws, and more comfortable
belts would make them more likely to use their seat belt in the rear seat.
Practical applications: This study identifies barriers to rear seat belt use that
point to the need for a multi-faceted approach to increase belt use.
PMID- 29636160
TI - Estimating safety effects of adaptive signal control technology using the
Empirical Bayes method.
AB - INTRODUCTION: Adaptive signal control technology (ASCT) has long been
investigated for its operational benefits, but the safety impacts of this
technology are still unclear. The main purpose of this study was to determine the
safety effect of ASCT at urban/suburban intersections by assessing two different
systems. METHOD: Crash data for 41 intersections from the Pennsylvania Department
of Transportation (PennDOT), along with crash frequencies computed through Safety
Performance Functions (SPFs), were used to perform the Empirical Bayes (E-B)
method to develop crash modification factors (CMF) for ASCT. Moreover, a crash
type analysis was conducted to examine the safety impact of ASCT on a regional
scale and the variation of safety among type of crashes observed. RESULTS: The
results from this study indicated the potential of ASCT to reduce crashes since
the Crash Modification Factor (CMF) values for both ASCT systems (SURTRAC and
InSync) showed significant reductions in crashes. Average CMF values of 0.87 and
0.64 were observed for total and fatal and injury crash categories at a 95%
confidence level, and results were consistent between systems. While a reduction
in the proportion of rear end crashes was observed, the change was not determined
to be statistically significant. The overall distribution of crash types did not
change significantly when ASCT was deployed. CONCLUSION AND PRACTICAL
APPLICATION: The results indicate that safety benefits of ASCT were generally
consistent across systems, which should aid agencies in making future deployment
decisions on ASCT.
PMID- 29636161
TI - Workplace bullying in risk and safety professionals.
AB - INTRODUCTION: Previous research demonstrates that workplace bullying impacts the
welfare of victimized employees, with further consequences for the organization
and profession. There is, however, a paucity of information relating to the
bullying directed at risk and safety professionals. The present study was
conducted to address this issue. METHOD: Risk and safety professionals (N=420)
completed the Negative Acts Questionnaire - Revised and Brief Cope, and reported
the extent to which they had been pressured to make or amend a risk or safety
based decision. RESULTS: Those experiencing workplace bullying were more likely
to engage in a range of coping behaviors, with exposure to work-related and
personal bullying particularly influential. Workplace bullying also predicted
pressure to make or change a risk or safety based decision. Work related and
physically intimidating bullying were particularly important for this aspect of
professional practice. CONCLUSIONS: Findings are discussed with regard to current
practice and the support available to risk and safety professionals. PRACTICAL
APPLICATIONS: Risk and safety professionals require additional support in
relation to workplace bullying and specifically guidance to resist pressure to
make or change a risk or safety based decision.
PMID- 29636162
TI - Built environment effects on bike crash frequency and risk in Beijing.
AB - INTRODUCTION: Building a safe biking environment is crucial to encouraging
bicycle use. In developed areas with higher density and more mixed land use, the
built environment factors that pose a crash risk may vary. This study
investigates the connection between biking risk factors and the compact built
environment, using data for Beijing. METHOD: In the context of China, this paper
seeks to answer two research questions. First, what types of built environment
factors are correlated with bike-automobile crash frequency and risk? Second, how
do risk factors vary across different types of bikes? Poisson lognormal random
effects models are employed to examine how land use and roadway design factors
are associated with the bike-automobile crashes. RESULTS: The main findings are:
(1) bike-automobile crashes are more likely to occur in densely developed areas,
which is characterized by higher population density, more mixed land use, denser
roads and junctions, and more parking lots; (2) areas with greater ground transit
are correlated with more bike-automobile crashes and higher risks of involving in
collisions; (3) the percentages of wider streets show negative associations with
bike crash frequency; (4) built environment factors cannot help explain factors
contributing to motorcycle-automobile crashes. PRACTICAL APPLICATIONS: In China's
dense urban context, important policy implications for bicycle safety improvement
drawn from this study include: prioritizing safety programs in urban centers,
applying safety improvements to areas with more ground transit, placing bike
automobile crash countermeasures at road junctions, and improving bicycle safety
on narrower streets.
PMID- 29636163
TI - Pedestrian crossing behaviors at uncontrolled multi-lane mid-block crosswalks in
developing world.
AB - INTRODUCTION: The gap acceptance theory was primarily used to study pedestrian
crossing behaviors, in accordance to static gaps that are calculated in the light
of the cross section of crosswalk. However, pedestrians will face a series of
dynamic gaps (especially at any uncontrolled multi-lane crosswalk) when they
decide to cross the street, thus, pedestrians' decisions are made based on the
dynamic gaps of each lane. METHOD: Pedestrians' crossing behaviors at
uncontrolled multi-lane mid-block crosswalk were investigated in this study. The
lane-based gap (LGAP) was defined and five mid-block crosswalks were selected for
observation in Wuhan, China. Pedestrians' behaviors and the corresponding traffic
statuses were videoed as collected data, whose statistical analysis indicates
that most pedestrians choose the rolling gap crossing strategy, which is
different from existing research. Moreover, a logistic regression model was
established to evaluate various influencing parameters (such as gender, age,
waiting time and traffic volume) on the pedestrians' crossing strategy, whose
accuracy is not satisfying. Therefore, the pedestrian dynamic gap acceptance
(PDGA) model was put forward to describe pedestrians' crossing behaviors at any
multi-lane crosswalk based on detailed analysis of the pedestrians' decision
procedure. RESULTS: The corresponding results show that its accuracy may be up to
88.6% to well describe pedestrians' crossing behaviors. CONCLUSIONS: The PDGA
model is appropriate to analyze pedestrians' dynamic decision procedures at multi
lane mid-block crosswalks. PRACTICAL APPLICATION: The findings of this study can
be used for safety and performance evaluation of crosswalks at mid-block
locations in developing countries like China and India.
PMID- 29636164
TI - Understanding factors associated with misclassification of fatigue-related
accidents in police record.
AB - INTRODUCTION: Fatigue is one of the riskiest causes of traffic accidents
threatening road safety. Due to lack of proper criteria, the identification of
fatigue-related accidents by police officers largely depends on inferential
evidence and their own experience. As a result, many fatigue-related accidents
are misclassified and the harmfulness of fatigue on road safety is misestimated.
METHOD: In this paper, a joint model framework is introduced to analyze factors
contributing to misclassification of a fatigue-related accident in police
reports. Association rule data mining technique is employed to identify the
potential interactions of factors, and logistic regression models are applied to
analyze factors that hinder police officers' identification of fatigue-related
accidents. Using the fatigue-related crash records from Guangdong Province during
2005-2014, factors contributing to the false positive and false negative
detection of the fatigue-related accident have been identified and compared.
RESULTS: Some variables and interactions were identified to have significant
impacts on fatigue-related accident detection. CONCLUSIONS: Based on the results,
it can be inferred that the stereotype of certain groups of drivers, crash types,
and roadway conditions affects police officers' judgment on fatigue-related
accidents. PRACTICAL APPLICATIONS: This finding can provide useful information
for training police officers and build better criteria for fatigue
identification.
PMID- 29636166
TI - Examining teen driver crashes and the prevalence of distraction: Recent trends,
2007-2015.
AB - INTRODUCTION: Teen drivers crash at a much higher rate than adult drivers, with
distractions found as a factor in nearly 6 out of 10 moderate-to-severe teen
crashes. As the driving environment continues to rapidly evolve, it is important
to examine the effect these changes may be having on our youngest and most
vulnerable drivers. METHOD: The purpose of this study was to identify types of
vehicle crashes teens are most frequently involved in, as well as the distracting
activities being engaged in leading up to these crashes, with a focus on
identifying changes or trends over time. We examined 2,229 naturalistic driving
videos involving drivers ages 16-19. These videos captured crashes occurring
between 2007 and 2015. The data of interest for this study included crash type,
behaviors drivers engaged in leading up to the collision, total duration of time
the driver's eyes were off the forward roadway, and duration of the longest
glance away from forward. RESULTS: Rear-end crashes increased significantly
(annual % change=3.23 [2.40-4.05]), corresponding with national data trends.
Among cell phone related crashes, a significant shift occurred, from
talking/listening to operating/looking (annual % change=4.22 [1.15-7.29]). Among
rear-end crashes, there was an increase in the time drivers' eyes were off the
road (beta=0.1527, P=0.0004) and durations of longest glances away (beta=0.1020,
P=0.0014). CONCLUSIONS: Findings suggest that shifts in the way cell phones are
being used, from talking/listening to operating/looking, may be a cause of the
increasing number of rear-end crashes for teen drivers. PRACTICAL APPLICATIONS:
Understanding the role that cell phone use plays in teen driver crashes is
extremely important. Knowing how and when teens are engaging in this behavior is
the only way effective technologies can be developed for mitigating these
crashes.
PMID- 29636165
TI - Concussion attitudes, behaviors, and education among youth ages 12-17: Results
from the 2014 YouthStyles survey.
AB - INTRODUCTION: This study assessed young athletes' (ages 12 to 17) concussion
attitudes and behaviors, particularly their self-reported experience learning
about concussion and intentions to report a concussion and disparities in these
experiences. METHODS: We used data from Porter Novelli's 2014 YouthStyles survey
that is conducted each year to gather insights about American consumers. RESULTS:
Of the 1,005 respondents, 57% reported sports participation. Fourteen percent
reported they may have had a previous concussion, and among them 41% reported
having a concussion more than once while playing sports. Males (17.7%) were
significantly more likely to report having a concussion than females (10.0%; chi2
(1)=7.01, p=0.008). Fifty-five percent of respondents reported having learned
about what to do if they think they may have a concussion, and 92% reported that
they would tell their coach if they thought they sustained a concussion while
playing youth or high school sports. Youth from higher income families ($75,000
$124,999) were significantly more likely than youth from lower income families
(less than $35,000) to report that they learned about what do if they suspected
that they had a concussion. CONCLUSION: Age of athlete, parental income level,
athlete's sex, and living in a metro versus non-metro area led to disparities in
athletes' concussion education. There is a need for increased access to
concussion education and an emphasis on customizing concussion education efforts
to meet the needs of different groups. PRACTICAL APPLICATION: We identified
athletes' self-reported previously sustained concussions and predictors of
education related to concussion. Further research is needed to explore the age,
gender and income gaps in concussion education among athletes.
PMID- 29636167
TI - Safety climate and mindful safety practices in the oil and gas industry.
AB - INTRODUCTION: The existence of a positive association between safety climate and
the safety behavior of sharp-end workers in high-risk organizations is supported
by a considerable body of research. Previous research has primarily analyzed two
components of safety behavior, namely safety compliance and safety participation.
The present study extends previous research by looking into the relationship
between safety climate and another component of safety behavior, namely mindful
safety practices. Mindful safety practices are defined as the ability to be aware
of critical factors in the environment and to act appropriately when dangers
arise. METHOD: Regression analysis was used to examine whether mindful safety
practices are, like compliance and participation, promoted by a positive safety
climate, in a questionnaire-based study of 5712 sharp-end workers in the oil and
gas industry. RESULTS: The analysis revealed that a positive safety climate
promotes mindful safety practices. CONCLUSIONS: The regression model accounted
for roughly 31% of the variance in mindful safety practices. The most important
safety climate factor was safety leadership. PRACTICAL APPLICATIONS: The findings
clearly demonstrate that mindful safety practices are highly context-dependent,
hence, manageable and susceptible to change. In order to improve safety climate
in a direction which is favorable for mindful safety practices, the results
demonstrate that it is important to give the fundamental features of safety
climate high priority and in particular that of safety leadership.
PMID- 29636168
TI - Analysis of pedestrian-to-ground impact injury risk in vehicle-to-pedestrian
collisions based on rotation angles.
AB - INTRODUCTION: Due to the diversity of pedestrian-to-ground impact (secondary
impact) mechanisms, secondary impacts always result in more unpredictable
injuries as compared to the vehicle-to-pedestrian collisions (primary impact).
The purpose of this study is to investigate the effects of vehicle frontal
structure, vehicle impact velocity, and pedestrian size and gait on pedestrian-to
ground impact injury risk. METHOD: A total of 600 simulations were performed
using the MADYMO multi-body system and four different sizes of pedestrians and
six types initial gait were considered and impacted by five vehicle types at five
impact velocities, respectively. The pedestrian rotation angle ranges (PRARs) (a,
b, c, d) were defined to identify and classify the pedestrian rotation angles
during the ground impact. RESULTS: The PRARs a, b, and c were the ranges
primarily observed during the pedestrian landing. The PRAR has a significant
influence on pedestrian-to-ground impact injuries. However, there was no
correlation between the vehicle velocity and head injury criterion (HIC) caused
by the secondary impact. In low velocity collisions (20, 30km/h), the severity of
pedestrian head injury risk caused by the secondary impact was higher than that
resulting from the primary impact. CONCLUSIONS: The PRARs defined in this study
are highly correlated with the pedestrian-to-ground impact mechanism, and can be
used to further analyze the pedestrian secondary impact and to predict the head
injury risk. PRACTICAL APPLICATIONS: To reduce the pedestrian secondary impact
injury risk, passive and active safety countermeasures should be considered
together to prevent the pedestrian's head-to-ground impacts, particularly in the
low-velocity collisions.
PMID- 29636169
TI - Potential effects of lowering the BAC limit on injuries, fatalities, and costs.
AB - INTRODUCTION: Potential health and cost impacts of lowering the BAC limit for
U.S. drivers below .08% were explored through analyses of reductions in crash
incidence, injury severity, and costs based on five scenarios with varying
assumptions about how the change to a .05% BAC limit might affect alcohol
impaired driving. METHODS: Distribution of crashes by injury level and highest
driver or non-occupant BAC levels for 2010, together with unit crash costs
provided a base for comparison. Scenario 1 assumed all alcohol-impaired driving
ceased; scenario 2 assumed all drivers obeyed the law, and scenario 3 assumed
decreases in driver BAC levels would be limited to those who had been driving
near the legal limit before the change. Scenario 4 was based on changes in driver
BAC levels associated with a 08% to .05% BAC limit change in Australia, and
scenario 5 was based on changes in alcohol-related crashes associated with the
change to the .08% BAC limit in the United States. The number of casualties
prevented in each scenario was estimated using relative risks of crash
involvement, and changes in societal costs were estimated using the unit costs.
RESULTS: Reductions ranging from 71% to 99% in fatalities, injuries, and costs
related to alcohol-impaired driving were estimated in scenarios 1 and 2.
Scenarios 3-5 produced smaller reductions ranging from 4% to 16% for alcohol
impaired fatalities, injuries, and costs. CONCLUSION: The wide difference between
the outcomes of the two sets of scenarios reflects the sensitivity of BAC policy
benefits to driver compliance behavior. PRACTICAL APPLICATION: The quantification
of the reduction in the number and costs of traffic crash casualties in the set
of behavioral scenarios explored in this research can inform policymakers about
the extent and limits of benefits achievable by lowering the BAC limits as they
consider strategies to reduce alcohol-impaired driving.
PMID- 29636170
TI - A systematic review of socioeconomic status measurement in 13 years of U.S.
injury research.
AB - OBJECTIVE: The purpose of this review was to assess the impact of socioeconomic
status (SES) on injury and to evaluate how U.S. injury researchers have measured
SES over the past 13years in observational research studies. DESIGN & METHODS:
This systematic review included 119 US injury studies indexed in PubMed between
January 1, 2002 and August 31, 2015 that used one or more individual and/or area
level measures of SES as independent variables. Study findings were compared to
the results of a previous review published in 2002. RESULTS: Findings indicate
SES remains an important predictor of injury. SES was inversely related to injury
in 78 (66%) of the studies; inverse relationships were more consistently found in
studies of fatal injury (77.4%) than in studies of non-fatal injury (58%).
Approximately two-thirds of the studies (n=73, 61%) measured SES along a gradient
and 59% used more than one measure of SES (n=70). Studies that used a gradient
measure of SES and/or more than one measure of SES identified significant
relationships more often. These findings were essentially equivalent to those of
a similar 2002 review (Cubbin & Smith, 2002). CONCLUSIONS: There remains a need
to improve measurement of SES in injury research. Public health training programs
should include best practices for measurement of SES, which include: measuring
SES along a gradient, selecting SES indicators based on the injury mechanism,
using the smallest geographic region possible for area-level measures, using
multiple indicators when possible, and using both individual and area-level
measures as both contribute independently to injury risk. Area-level indicators
of SES are not accurate estimates of individual-level SES. PRACTICAL
APPLICATIONS: Injury researchers should measure SES along a gradient and
incorporate individual and area-level SES measures that are appropriate to the
injury outcome under study.
PMID- 29636171
TI - Does company size matter? Validation of an integrative model of safety behavior
across small and large construction companies.
AB - INTRODUCTION: Previous safety climate studies primarily focused on either large
construction companies or the construction industry as a whole, while little is
known about whether company size has significant effects on workers'
understanding of safety climate measures and relationships between safety climate
factors and safety behavior. Thus, this study aims to: (a) test the measurement
equivalence (ME) of a safety climate measure across workers from small and large
companies; (b) investigate if company size alters the causal structure of the
integrative model developed by Guo, Yiu, and Gonzalez (2016). METHOD: Data were
collected from 253 construction workers in New Zealand using a safety climate
measure. This study used multi-group confirmatory factor analyses (MCFA) to test
the measurement equivalence of the safety climate measure and structure
invariance of the integrative model. RESULTS: Results indicate that workers from
small and large companies understood the safety climate measure in a similar
manner. In addition, it was suggested that company size does not change the
causal structure and mediational processes of the integrative model. CONCLUSIONS:
Both measurement equivalence of the safety climate measure and structural
invariance of the integrative model were supported by this study. Practical
applications: Findings of this study provided strong support for a meaningful use
of the safety climate measure across construction companies in different sizes.
Safety behavior promotion strategies designed based on the integrative model may
be well suited for both large and small companies.
PMID- 29636172
TI - Characterization of fall patients: Does age matter?
AB - INTRODUCTION: Evaluating age-specific fall characteristics is important for
prevention programs. The aim was to characterize fallers who presented to our
trauma center. We hypothesized that fall characteristics and outcomes would vary
with age. METHODS: Data were retrospectively collected from the trauma registry
and electronic medical records during January 1st, 2014-December 31st, 2015. Data
were analyzed by Chi-square test with Yates' continuity correction and one-way
ANOVA with Bonferroni's multiple comparisons test. RESULTS: There were 1541
fallers, 814 (52.8%) were male. Ages ranged from 11 months to 100years. The
admission rate was high at 86%, with an average hospital stay of 5.7days.
Patients in the 0-18 and 19-45age groups spent significantly less time in the
hospital (p<0.0001). Elderly patients had the highest average injury severity
score (p<0.0001). However, the youngest patients required surgery more often
(p=0.0004). The overall mortality rate was 3.6% and 52.8% were male. The
mortality rate increased with age, from 0% for the 0-18 age group to 6.9% for
patients >=65years of age. Remarkably, fallers in the 19-45 and 46-64age groups
predominantly died from ground level falls even though the average fall height in
these groups was the highest (p<0.0001). More fallers in the 19-45 and 46-64age
groups tested positive for alcohol/drug use (p<0.0001). Middle-aged and elderly
patients were more likely to be discharged to a skilled nursing or rehabilitation
facility compared to younger patients who were discharged home. CONCLUSIONS AND
PRACTICAL APPLICATIONS: Fall characteristics and outcomes varied with age. Data
on age-specific characteristics, outcomes, and risk factors of falls will help in
developing targeted interventions and may lead to better approaches to treat
patients.
PMID- 29636173
TI - Evaluating an intervention to improve belt fit for adult occupants.
AB - INTRODUCTION: Previous laboratory studies have demonstrated that some drivers
position their seat belts suboptimally. Specifically, the lap portion of the belt
may be higher and farther forward relative to the pelvis than best practice, and
the shoulder portion of the belt may be outboard or inboard of mid-shoulder. This
study evaluated the performance of a video-based intervention for improving the
belt fit obtained by drivers. METHOD: Twenty-nine adult drivers participated in
this study. Belt fit was measured before and after the intervention in
participants' vehicles and in a laboratory mockup. RESULTS: Data from both the in
vehicle and laboratory belt measures found that 95% of participants sampled
improved some aspect of lap belt fit. For the in-vehicle test conditions,
participants who lowered the lap belt location (Z) after the intervention showed
an improvement of 26 mm on average. Among those participants who shifted the
horizontal lap belt location rearward (closer to the pelvis), an average
improvement of 36 mm was observed. No significant differences were observed
between baseline and post-intervention shoulder belt fit. CONCLUSIONS: The
results provide preliminary evidence that an intervention improves driver belt
fit. More research is needed to establish what aspects of this intervention
affected behavior and how effective such an intervention is in the context of
public health. PRACTICAL APPLICATIONS: These findings can help better inform
intervention initiatives to improve occupant belt fit.
PMID- 29636174
TI - Erratum to "Restricted Diversity of Antigen Binding Residues of Antibodies
Revealed by Computational Alanine Scanning of 227 Antibody-Antigen Complexes" [J.
Mol. Biol., 426, 2014, 3729-3743].
PMID- 29636176
TI - Do relevant shear forces appear in isokinetic shoulder testing to be implemented
in biomechanical models?
AB - Isokinetic dynamometers measure joint torques about a single fixed rotational
axis. Previous studies yet suggested that muscles produce both tangential and
radial forces during a movement, so that the contact forces exerted to perform
this movement are multidirectional. Then, isokinetic dynamometers might neglect
the torque components about the two other Euclidean space axes. Our objective was
to experimentally quantify the shear forces impact on the overall shoulder
torque, by comparing the dynamometer torque to the torque computed from the
contact forces at the hand and elbow. Ten healthy women performed isokinetic
maximal internal/external concentric/eccentric shoulder rotation movements. The
hand and elbow contact forces were measured using two six-axis force sensors. The
main finding is that the contact forces at the hand were not purely tangential to
the direction of the movement (effectiveness indexes from 0.26 +/- 0.25 to 0.54
+/- 0.20), such that the resulting shoulder torque computed from the two force
sensors was three-dimensional. Therefore, the flexion and abduction components of
the shoulder torque measured by the isokinetic dynamometer were significantly
underestimated (up to 94.9%). These findings suggest that musculoskeletal models
parameters should not be estimated without accounting for the torques about the
three space axes.
PMID- 29636177
TI - [Effect of video-based education on anxiety and satisfaction of patients
undergoing spinal anesthesia].
AB - BACKGROUND: Providing sufficient information during a preanesthetic interview may
help improve patient understanding and decrease anxiety related to spinal
anesthesia. We investigated the effect of video-based education on anxiety and
satisfaction in patients about to undergo spinal anesthesia. METHODS: A total of
198 patients scheduled for minor elective surgery under spinal anesthesia were
prospectively enrolled. The State-Trait Anxiety Inventory (State-Trait Anxiety
Inventory/State and State-Trait Anxiety Inventory/Trait) questionnaires and
visual analog scale were used to measure anxiety levels before the standard
anesthesia evaluation was initiated. Then, 100 patients in Group 1 received
written, verbal, and video-based education, whereas 98 patients in Group 2
received only written and verbal instructions regarding spinal anesthesia. Then
all participants completed the State-Trait Anxiety Inventory/State and visual
analog scale to evaluate anxiety. Finally, a 5-point Likert scale was used to
measure satisfaction during postoperative period. RESULTS: No differences were
found in the State-Trait Anxiety Inventory/State, State-Trait Anxiety
Inventory/Trait, or visual analog scale scores between the two groups before the
information period. The State-Trait Anxiety Inventory/State scores evaluating
anxiety during the post-information period were differed in both groups and they
found as 36.5+/-10.0 in Group 1 and 39.6+/-8.6 in Group 2 (p=0.033). The 5-point
Likert scale scores to measure satisfaction were stated as 4.5+/-0.6 in Group 1
and 3.5+/-1.2 in Group 2 (p<0.001). CONCLUSIONS: Providing video-based
information during the preanesthetic interview alleviated anxiety and increased
satisfaction in patients undergoing spinal anesthesia.
PMID- 29636178
TI - Improved separation with the intermittently pressed tubing of multilayer coil in
type-I counter-current chromatography.
AB - The intermittently pressed tubing was introduced in type-I counter-current
chromatographic system as the separation column to improve the separation
performance in the present study. The separations were performed with two
different solvent systems composed of 1-butanol-acetic acid-water (4:1:5, v/v)
(BAW) and hexane-ethyl acetate-methanol-0.1 M HCl (1:1:1:1, v/v) (HEMW) using
dipeptides and DNP-amino acids as test samples, respectively. The chromatographic
performance was evaluated in terms of retention of the stationary phase (Sf),
theoretical plate (N) and peak resolution (Rs). In general, the type-I planetary
motion with the multilayer coil of non-modified standard tubing can yield the
best separation at a low revolution speed of 200 rpm with lower flow rate. The
present results with intermittently pressed tubing indicated that the performance
was also optimal at the revolution speed of 200 rpm where the lower flow rate was
more beneficial to retention of stationary phase and resolution. In the
moderately hydrophobic two-phase solvent system composed of hexane-ethyl acetate
metanol-0.1 M hydrochloric acid (1:1:1:1, v/v), DNP-amino acids were separated
with Rs at 1.67 and 1.47, respectively, with 12.66% of stationary phase retention
at a flow rate of 0.25 ml/min. In the polar solvent system composed of 1-butanol
acetic acid-water (4:1:5, v/v), dipeptide samples were resolved with Rs at 2.18
and 18.75% of stationary phase retention at a flow rate of 0.25 ml/min. These
results indicate that the present system substantially improves the separation
efficiency of type-I counter-current chromatographic system.
PMID- 29636179
TI - A biomechanical model for fibril recruitment: Evaluation in tendons and arteries.
AB - Simulations of soft tissue mechanobiological behaviour are increasingly important
for clinical prediction of aneurysm, tendinopathy and other disorders. Mechanical
behaviour at low stretches is governed by fibril straightening, transitioning
into load-bearing at recruitment stretch, resulting in a tissue stiffening
effect. Previous investigations have suggested theoretical relationships between
stress-stretch measurements and recruitment probability density function (PDF)
but not derived these rigorously nor evaluated these experimentally. Other work
has proposed image-based methods for measurement of recruitment but made use of
arbitrary fibril critical straightness parameters. The aim of this work was to
provide a sound theoretical basis for estimating recruitment PDF from stress
stretch measurements and to evaluate this relationship using image-based methods,
clearly motivating the choice of fibril critical straightness parameter in rat
tail tendon and porcine artery. Rigorous derivation showed that the recruitment
PDF may be estimated from the second stretch derivative of the first Piola
Kirchoff tissue stress. Image-based fibril recruitment identified the fibril
straightness parameter that maximised Pearson correlation coefficients (PCC) with
estimated PDFs. Using these critical straightness parameters the new method for
estimating recruitment PDF showed a PCC with image-based measures of 0.915 and
0.933 for tendons and arteries respectively. This method may be used for accurate
estimation of fibril recruitment PDF in mechanobiological simulation where fibril
level mechanical parameters are important for predicting cell behaviour.
PMID- 29636180
TI - Caffeine: A Not So Stiff Drink.
PMID- 29636183
TI - Hydrophobic and superhydrophobic surfaces fabricated using atmospheric pressure
cold plasma technology: A review.
AB - Hydrophobic surfaces are often used to reduce wetting of surfaces by water. In
particular, superhydrophobic surfaces are highly desired for several applications
due to their exceptional properties such as self-cleaning, anti-icing, anti
friction and others. Such surfaces can be prepared via numerous methods including
plasma technology, a dry technique with low environmental impact. Atmospheric
pressure plasma (APP) has recently attracted significant attention as lower-cost
alternative to low-pressure plasmas, and as a candidate for continuous rather
than batch processing. Although there are many reviews on water-repellent
surfaces, and a few reviews on APP technology, there are hardly any review works
on APP processing for hydrophobic and superhydrohobic surface fabrication, a
topic of high importance in nanotechnology and interface science. Herein, we
critically review the advances on hydrophobic and superhydrophobic surface
fabrication using APP technology, trying also to give some perspectives in the
field. After a short introduction to superhydrophobicity of nanostructured
surfaces and to APPs we focus this review on three different aspects: (1) The
atmospheric plasma reactor technology used for fabrication of (super)hydrophobic
surfaces. (2) The APP process for hydrophobic surface preparation. The
hydrophobic surface preparation processes are categorized methodologically as: a)
activation, b) grafting, c) polymerization, d) roughening and hydrophobization.
Each category includes subcategories related to different precursors used. (3)
One of the most important sections of this review concerns superhydrophobic
surfaces fabricated using APP. These are methodologically characterized as
follows: a) single step processes where micro-nano textured topography and low
surface energy coating are created at the same time, or b) multiple step
processes, where these steps occur sequentially in or out of the plasma. We end
the review with some perspectives in the field. We aspire to address scientists,
who will get involved in the fields of (super)hydrophobicity and/or in
atmospheric pressure plasma processing.
PMID- 29636182
TI - Soybean-derived recombinant human epidermal growth factor protects against
experimental necrotizing enterocolitis.
AB - BACKGROUND: Epidermal Growth Factor (EGF) reduces necrotizing enterocolitis
(NEC). However, its high cost virtually prohibits clinical use. To reduce cost,
soybean expressing human EGF was developed. Here we report effectiveness of
soybean-derived EGF in experimental NEC. METHODS: Newborn rats were subjected to
the NEC-inducing regimen of formula feeding and hypoxia. Formula was supplemented
with extract from EGF-expressing or empty soybeans. NEC pathology was determined
microscopically. Localization of tight junction proteins JAM-A and ZO-1 was
examined by immunofluorescence and levels of mucosal COX-2 and iNOS mRNAs by real
time PCR. RESULTS: Soybean extract amounts corresponding to 150MUg/kg/day EGF
caused considerable mortality, whereas those corresponding to 75MUg/kg/day EGF
were well tolerated. There was no significant difference in NEC scores between
animals fed plain formula and formula supplemented with empty soybean extract.
Soybean-EGF-supplemented formula at 75MUg/kg/day EGF significantly decreased NEC,
attenuated dissociation of JAM-A and ZO-1 proteins from tight junctions, and
reduced intestinal expression of COX-2 and iNOS mRNAs. CONCLUSION:
Supplementation with soybean-expressed EGF significantly decreased NEC in the rat
model. Soybean-expressed EGF may provide an economical solution for EGF
administration and prophylaxis of clinical NEC.
PMID- 29636181
TI - Antigen processing and presentation in HIV infection.
AB - The presentation of virus-derived peptides by MHC molecules constitutes the
earliest signals for immune recognition by T cells. In HIV infection, immune
responses elicited during infection do not enable to clear infection and
correlates of immune protection are not well defined. Here we review features of
antigen processing and presentation specific to HIV, analyze how HIV has adapted
to the antigen processing machinery and discuss how advances in biochemical and
computational protein degradation analyses and in immunopeptidome definition may
help identify targets for efficient immune clearance and vaccine immunogen
design.
PMID- 29636184
TI - Pre-injury depression and anxiety in patients with orthopedic trauma and their
treatment.
AB - PURPOSE: Depressive symptoms have a known negative impact on outcomes following
musculoskeletal injury. This study determined the pre-injury prevalence of
psychiatric diagnoses of depression and anxiety, medication lapses and
psychiatric consult services among patients admitted for orthopaedic trauma.
METHODS: This is a retrospective study of data from our Level-1 trauma center.
Patients admitted to the orthopaedic trauma service during 2010-2015 were
included (N = 4053). Demographics, Injury Severity Scores (ISS), mental health
diagnoses, psychotropic medications, medication type and delay, psychiatric
consultation use, intensive care unit (ICU) stay and total hospital length of
stay (LOS) were abstracted from medical records and the institutional trauma
registry. RESULTS: The 12-month prevalence of a major depressive episode is 6.6%
8.6% in adults in the United States. In our database, only 152/4053 (3.8%) of the
patients had documented medical history of depression (80%) or anxiety (30%), and
these patients had a 32% longer LOS (p < 0.016). Nearly two-thirds of patients
who used psychotropic medications prior to injury experienced a delay in
receiving these medications in the hospital (median = 1.0 day, range 0-14 days).
Sixteen percent of patients also received a new psychotropic medication while
hospitalized: an antipsychotic (8/16 patients, to treat delirium), an anxiolytic
(3/16 patients for acute anxiety), or an antidepressant (1/16). Among patients
with depression or anxiety, 16.7% received a psychiatric consult. Patients with
psychiatric consults had higher ISS, were more likely to have longer ICU LOS and
had longer hospital LOS than those without consults (all p < 0.05). CONCLUSION:
The prevalence of depression and anxiety is grossly under-reported in our
registry compared to national prevalence data. Patients with pre-existing disease
had longer LOS and a higher rate of extended ICU care. Further studies are needed
to characterize the true prevalence of disease in this patient population and its
effect on patient outcomes after traumatic orthopaedic injury.
PMID- 29636185
TI - Choosing an optimal implant fixation for basicervical femoral neck fractures.
PMID- 29636186
TI - Status of faecal pollution in ports: A basin-wide investigation in the Adriatic
Sea.
AB - Ports are subject to a variety of anthropogenic impacts, and there is mounting
evidence of faecal contamination through several routes. Yet, little is known
about pollution in ports by faecal indicator bacteria (FIB). FIB spatio-temporal
dynamics were assessed in 12 ports of the Adriatic Sea, a semi-enclosed basin
under strong anthropogenic pressure, and their relationships with environmental
variables were explored to gain insight into pollution sources. FIB were abundant
in ports, often more so than in adjacent areas; their abundance patterns were
related to salinity, oxygen, and nutrient levels. In addition, a molecular
method, quantitative (q)PCR, was used to quantify FIB. qPCR enabled faster FIB
determination and water quality monitoring that culture-based methods. These data
provide robust baseline evidence of faecal contamination in ports and can be used
to improve the management of routine port activities (dredging and ballast water
exchange), having potential to spread pathogens in the sea.
PMID- 29636187
TI - Reply to: "A measure of alcohol consumption in late adolescence associated with
liver disease after 39 years of follow-up is insufficient to guide alcohol safe
limits".
PMID- 29636188
TI - Staging nodal metastases in nasopharyngeal carcinoma: which method should be used
to measure nodal dimension on MRI?
AB - AIM: To investigate four methods to measure the maximum dimension (MD) of
metastatic neck nodes and correlate with clinical outcome in nasopharyngeal
carcinoma (NPC). MATERIALS AND METHODS: Magnetic resonance imaging (MRI)
examinations of 712 NPC patients were analysed. MD measurements using methods 1,
2, 3, and 4 were obtained from a single node in the axial plane; a single node in
the axial/coronal plane; a single and/or confluent nodes in the axial/coronal
plane; and a single and/or confluent and/or contiguous nodes in the axial/coronal
plane, respectively. MDs obtained from the four methods were correlated with
nodal volume (NV) using Pearson's correlation test. MDs obtained from the four
methods, T and N stages, age, gender, and treatment were correlated with overall
survival (OS), disease-specific survival (DSS), distant metastases free survival
(DMFS), and regional relapse-free survival (RRFS) using cox regression. RESULTS:
Method 4 (R: 0.84) had the strongest correlation with NV followed by method 3 (R:
0.77), method 2 (R: 0.70) and method 1(R: 0.69). Method 4 was the only
independent nodal measurement of OS, DSS, and DMFS (p-values = 0.008, <0.001 and
<0.001, respectively). None of the MD methods was an independent measurement of
RRFS. CONCLUSIONS: The best method to obtain the MD for staging incorporates not
only single and confluent, but also contiguous metastatic nodes measured in the
plane with the MD.
PMID- 29636189
TI - Reflections of a Biomedical Scientist on Four Continents in Interdisciplinary
Research.
PMID- 29636190
TI - A heart valve is no stronger than its weakest link: The need to improve
durability of pericardial leaflets.
PMID- 29636191
TI - Energized lung resections.
PMID- 29636192
TI - Re: Letter to the editor of public health in response to 'Access to treatment
with controlled medicines rationale and recommendations for neutral, respectful,
and precise language'.
PMID- 29636193
TI - Acute coronary syndrome in a young woman: The search of systemic arterial
involvement.
PMID- 29636194
TI - Bites after a trip to Brazil.
PMID- 29636195
TI - Dermatomyositis-like Eruption in a Woman Treated With Hydroxyurea.
PMID- 29636196
TI - RF-Topical Rapamycin as an Adjuvant to Laser Treatment in Capillary
Malformations.
PMID- 29636197
TI - Angio-OCT findings in juvenile X-linked retinoschisis.
PMID- 29636198
TI - Determination of risk factors for burn mortality based on a regional population
study in Taiwan.
AB - BACKGROUND: Burns are not only major personal catastrophic events but also
constitute a national health problem due to its associated morbidity,
rehabilitation, mortality and high cost medical services. Advances in care and
treatment have increased survival from major burn injury. However, information on
the epidemiology and risk factors of burn mortality in Taiwan is limited. The
study aim was to determine the nationwide epidemiological characteristics,
trends, and mortality risk factors of burn inpatients in Taiwan. METHODS: This
nationwide population-based study evaluated data retrieved from the Taiwan
National Health Insurance database. Patients hospitalized for burns (ICD-9-CM
codes 940-949) between 2003 and 2013 were identified from hospitalization
records. RESULTS: A total of 73,774 patients were included. The data showed
increases in age, revised Baux score, and Charlson Comorbidity Index during the
study period, but it was also accompanied by a continuing decrease in burn
incidence and a significant shortening of the length of hospital stay. The
average in-hospital mortality was 17.5/1000 in 2003 and 12.2/1000 in 2013 but did
not showed significant change. Male gender, older age, higher Charlson
Comorbidity Index, presence of inhalation injury, large total burn surface area
(TBSA), and higher revised Baux score were significant predictors of mortality.
CONCLUSION: Population-based burn epidemiology data demonstrated ongoing
improvement in hospital care during the past decade. Male gender, older age,
higher Charlson Comorbidity Index, presence of inhalation injury, large TBSA, and
higher revised Baux score were significant predictors of mortality.
PMID- 29636199
TI - Estimation of sex and stature using anthropometry of the upper extremity in an
Australian population.
AB - Stature and a further 8 anthropometric dimensions were recorded from the arms and
hands of a sample of 96 staff and students from the Australian National
University and The University of Newcastle, Australia. These dimensions were used
to create simple and multiple logistic regression models for sex estimation and
simple and multiple linear regression equations for stature estimation of a
contemporary Australian population. Overall sex classification accuracies using
the models created were comparable to similar studies. The stature estimation
models achieved standard errors of estimates (SEE) which were comparable to and
in many cases lower than those achieved in similar research. Generic, non sex
specific models achieved similar SEEs and R2 values to the sex-specific models
indicating stature may be accurately estimated when sex is unknown.
PMID- 29636200
TI - GPR and ERT detection and characterization of a mass burial, Spanish Civil War,
Northern Spain.
AB - Around 27,000 people were killed in the province of Asturias during the Spanish
Civil War, with several thousands killed after the war ended. There are currently
over 2,000 known mass burial locations throughout Spain, but many more are
unknown. Geophysics is a useful tool employed to help in the active attempts to
document and improve knowledge about victims from this conflict. This paper
details a non-invasive study of the Cementerio de El Salvador, in the city of
Oviedo, Northern Spain. Part of the cemetery contains a known mass burial with
approximately 1,300 individuals from the Spanish Civil War and post-war
repression eras. Multi-frequency near-surface geophysical techniques were
undertaken, after permission, to enhance knowledge about which, if any,
techniques should be used to detect, delineate and analyse such mass graves.
Multi-frequency (250MHz and 500MHz) ground-penetrating radar surveys were
acquired together with 2D and 3D Electrical Resistivity Tomography datasets. The
results have established the limits of the mass grave and improve the knowledge
of the internal mass grave structure. The paper also shows the importance of
considering the climatic conditions during data acquisition. This has important
implications for the successful detection of recent historical mass burials using
near-surface geophysics.
PMID- 29636201
TI - Therapeutic adherence: The elephant in the room.
PMID- 29636202
TI - Drug-induced life-threatening arrhythmias and sudden cardiac death: A clinical
perspective of long QT, short QT and Brugada syndromes.
AB - Sudden cardiac death is a major public health challenge, which can be caused by
genetic or acquired structural or electrophysiological abnormalities. These
abnormalities include hereditary channelopathies: long QT, short QT and Brugada
syndromes. These syndromes are a notable concern, particularly in young people,
due to their high propensity for severe ventricular arrhythmias and sudden
cardiac death. Current evidence suggests the involvement of an increasing number
of drugs in acquired forms of long QT and Brugada syndromes. However, drug
induced short QT syndrome is still a rarely reported condition. Therefore, there
has been speculation on its clinical significance, since few fatal arrhythmias
and sudden cardiac death cases have been described so far. Drug-induced
proarrhythmia is a growing challenge for physicians, regulatory agencies and the
pharmaceutical industry. Physicians should weigh the risks of potentially fatal
outcomes against the therapeutic benefits, when making decisions about drug
prescriptions. Growing concerns about its safety and the need for more accurate
predictive models for drug-induced fatal outcomes justify further research in
these fields. The aim of this article is to comprehensively and critically review
the recently published evidence with regard to drug-induced life-threatening
arrhythmias and sudden cardiac death. This article will take into account the
provision of data to physicians that are useful in the identification of the
culprit drugs, and thus, contribute to the prompt recognition and management of
these serious clinical conditions.
PMID- 29636203
TI - Acquired fistula from left anterior descending artery to coronary sinus: An
unusual finding during percutaneous coronary intervention.
PMID- 29636204
TI - Surgical outcomes in the treatment of temporal bone cerebrospinal fluid leak: A
systematic review.
PMID- 29636205
TI - Comparison of functional outcomes after total thyroidectomy and completion
thyroidectomy: Hypoparathyroidism and postoperative complications.
AB - OBJECTIVE: This study aimed to investigate differences in functional outcomes of
postoperative complications and hypoparathyroidism between patients who underwent
completion thyroidectomy (CT) after thyroid lobectomy or total thyroidectomy (TT)
as an initial treatment. MATERIALS AND METHODS: We retrospectively analyzed the
differences of functional outcomes after completion thyroidectomy and total
thyroidectomy without lymph node dissection. We reviewed the medical records of
396 patients who underwent CT or TT for thyroid disease at Korea University Guro
Hospital from March 2002 to August 2016. RESULTS: Of the 396 patients, 32
underwent CT and 364 underwent TT. There were 72 male patients and 324 female
patients. Transient hypoparathyroidism was observed in 4 (9.4%) of the CT
patients and 97 (26.6%) of the TT patients, with a statistically significant
difference (p=0.031). Permanent hypoparathyroidism was observed in 1 patient
(3.1%) in the CT group and in 13 patients (3.6%) in the TT group, which was not
significantly different. There were no significant differences in the
postoperative complication of temporary recurrent laryngeal nerve injury, wound
infection, and hematoma between two patients group. CONCLUSION: The incidence of
transient hypoparathyroidism in CT patients was significantly lower than in TT
patients. These safety and functional superiority of CT should be considered when
determining the scope and extent of operation in patients requiring surgery for
thyroid disease.
PMID- 29636206
TI - Continuous improvement in hand therapy: Lean and the improvement Kata mindset.
PMID- 29636207
TI - Long-term home non-invasive positive pressure ventilation in children: Results
from a single center in Japan.
AB - BACKGROUND: Non-invasive positive pressure ventilation (NPPV) in children has
recently increased worldwide and is used not only for neuromuscular diseases but
for various other diseases. However, there have been few observational studies on
long-term NPPV in children in Japan. METHODS: Based on medical records, we
retrospectively evaluated patients aged <=20 years who were initiated long-term
NPPV at our hospital from January 2001 to December 2015. RESULTS: A total of 53
patients on long-term NPPV were identified; 38 (72%) had severe motor and
intellectual disabilities (SMID). Compared to those with non-neuromuscular
diseases, those with neuromuscular diseases had significantly more planned
initiations and less frequent use of oxygen. Regarding patient outcome, 34
patients continued NPPV (64%), and there were three discontinues (6%), seven
tracheostomies (13%), and nine deaths (17%). The continuation rate was high among
those with neuromuscular disorders (15/19 cases, 79%) and that of tracheotomy was
high in those with metabolic/degenerative diseases (3/9 cases, 33%). Ten patients
transitioned to adult care, accounting for 29% of the 34 continuing patients.
CONCLUSION: This is the first observational study on long-term NPPV use in
children in Japan that examined outcomes in patients with a range of disorders.
The initiation situation, management, and outcomes differed between patients with
neuromuscular and non-neuronal muscular diseases. Long-term use of NPPV is
possible in many cases, including children with SMID, but can be challenging to
continue in patients with progressive diseases such as metabolic/degenerative
diseases. Careful discussions regarding the management of each patient are
necessary.
PMID- 29636208
TI - Contribution of collagen and connective tissue to cooked meat toughness; some
paradigms reviewed.
AB - Variations in the quantity and thermal stability of collagen in intramuscular
connective tissue (IMCT) play a role in variations in cooked meat tenderness.
This review is focussed on sources of variability, especially in the perimysial
IMCT, and challenges some of the accepted ideas about its denaturation behaviour,
its contribution to cooking shrinkage at high temperatures and the concept of
IMCT as an immutable "background toughness". IMCT dominates the shear strength of
raw and lightly cooked muscle, but at cooking temperatures of 70-80 degrees its
contribution is smaller than the myofibrillar component. The thermal denaturation
temperature of IMCT collagen given by differential scanning calorimetry at fast
heating rates is usually in the range of 62-67 degrees C, but collagen
denaturation is a multistep, non-equilibrium process that is highly heating-rate
dependent and can occur at 55-60 degrees C in slow heating regimes, such as slow
roasting or sous-vide cooking. Although it is commonly assumed that collagen
shrinkage drives shrinkage of meat and greater cooking losses above 65 degrees
C, an examination of currently available information suggested that there is no
evidence to support this idea. The amount and thermal stability of IMCT collagen
can be varied by factors manipulating growth rate, and a recent model of these
effects is discussed. The characteristics of the thermally-stable fraction of
IMCT collagen as the most important component influencing the connective tissue
contribution to cooked meat toughness and the need for future work investigating
mechanisms to degrade or turnover this specific fraction is suggested.
PMID- 29636209
TI - Sensitivity of spermatogonia to irradiation varies with age in pre-pubertal ram
lambs.
AB - Although germ cells from donor rams transplanted into irradiated recipient testes
have produced donor derived offspring, efficiency is low. Further optimization of
recipient irradiation protocols will add precision to the depletion of recipient
spermatogonia prior to germ cell transplant. Three irradiation doses (9,12,15 Gy)
were administered to ram lambs aged 14 weeks (Group 1) and 20 weeks (Group 2),
then testicular biopsies were collected 1, 2 and 3 months after irradiation. At 1
month after irradiation of Group 1, only the largest dose (15 Gy) reduced
spermatogonia numbers below 10% of non-irradiated controls, whereas in Group 2
lambs, each irradiation dose reduced spermatogonia below 10% of controls. In both
Groups, fewer differentiated germ cells were present in seminiferous tubules
compared to controls. At 2 months after irradiation, spermatogonia numbers in
both Groups increased more than sixfold to be similar to controls, whereas fewer
differentiated germ cells were present in the tubules of both Groups. At 3 months
in Group 1, each irradiation dose reduced spermatogonia numbers to <30% of
controls and fewer tubules contained differentiated germ cells. Lesser expression
of spermatogonial genes, VASA and UCHL-1, was observed in the 15 Gy group. In
Group 2, only 12 Gy treated tubules contained fewer spermatogonia. Knowledge of
these subtle differences between age groups in the effect of irradiation doses on
spermatogonia or differentiated germ cell numbers and the duration of recovery of
spermatogonia numbers after irradiation will aid the timing of germ cell
transplants into prepubertal recipient lambs.
PMID- 29636210
TI - Uteroplacental secretion of progesterone and estradiol-17beta in an ovine model
of intrauterine growth restriction.
AB - Using a mid to late gestation model of intrauterine growth restriction,
uteroplacental secretion of progesterone and estradiol-17beta were examined. From
day 50 to 130 of gestation, 31 ewe lambs were allocated to receive 100% (ADQ) or
60% (RES) of nutrient requirements. At day 130, umbilical and uterine artery
blood flows were determined and blood samples were collected from maternal
saphenous artery, gravid uterine vein, umbilical vein, and umbilical artery.
Uteroplacental secretion of progesterone was increased in RES compared to ADQ fed
dams. There was a net secretion and net metabolism of estradiol-17beta in RES,
and ADQ fed dams, respectively. In relation to steroid synthesis, cotyledonary
abundance of steroidogenic acute regulatory protein was greater in RES compared
with ADQ fed dams, while abundance of aromatase was not different between dietary
treatments. Caruncular aldo-keto reductase 1C abundance was less in RES compared
to ADQ fed dams. The increase in progesterone secretion, therefore, is due in
part to an increase in synthesis and a decrease in placental catabolism.
Caruncular cytochrome P450 3A, which catalyzes the conversion of estrogens to
catechol-estrogens, was in lesser abundance in RES compared to ADQ fed dams.
Opposite responses in estradiol-17beta uteroplacental secretion compared with
metabolism may be mediated through placental estrogen metabolism via cytochrome
P450 enzymes.
PMID- 29636211
TI - Primary mesothelial cyst of the spleen as an uncommon cause of dyspepsia: A case
report.
PMID- 29636213
TI - Conformation Polymorphism of Polyglutamine Proteins.
AB - Expanded polyglutamine (polyQ) stretches within endogenous proteins cause at
least nine human diseases. The structural basis of polyQ pathogenesis is the key
to understanding fundamental mechanisms of these diseases, but it remains unclear
and controversial due to a lack of polyQ protein structures at the single-atom
level. Various hypotheses have been proposed to explain the structure
cytotoxicity relationship of pathogenic proteins with polyQ expansion, largely
based on indirect evidence. Here we review these hypotheses and their supporting
evidence, along with additional insights from recent structural biology and
chemical biology studies, with a focus on Huntingtin (HTT), the most extensively
studied polyQ disease protein. Lastly, we propose potential novel strategies that
may further clarify the conformation-cytotoxicity relationship of polyQ proteins.
PMID- 29636212
TI - Structural Basis of Arrestin-Dependent Signal Transduction.
AB - Arrestins are a small family of proteins with four isoforms in humans.
Remarkably, two arrestins regulate signaling from >800 G protein-coupled
receptors (GPCRs) or nonreceptor activators by simultaneously binding an
activator and one out of hundreds of other signaling proteins. When arrestins are
bound to GPCRs or other activators, the affinity for these signaling partners
changes. Thus, it is proposed that an activator alters arrestin's ability to
transduce a signal. The comparison of all available arrestin structures
identifies several common conformational rearrangements associated with
activation. In particular, it identifies elements that are directly involved in
binding to GPCRs or other activators, elements that likely engage distinct
downstream effectors, and elements that likely link the activator-binding sites
with the effector-binding sites.
PMID- 29636214
TI - Patho-physiological evaluation of Duranta erecta for the treatment of
urolithiasis.
AB - BACKGROUND: Urolithiasis is the third common disorder of the urinary system
affecting 10-15% of the general population. In recent years, search for new
antilithiatic drugs from natural sources has assumed greater importance.
OBJECTIVES: This study was performed to investigate the anti-urolithiatic
activity of methanolic extract of Duranta erecta leaves by in vitro and in vivo
analysis. MATERIALS AND METHODS: The study was designed to determine presence of
phytochemicals in D. erecta, its yield in percentage, antioxidant activity
against 2, 2-diphenyl-1-picrylhydrazyl (DPPH) and anti-microbial property against
few bacteria. In vitro analysis was carried out study anti-urolithiatic property
of D. erecta by nucleation assay and synthetic urine assay for inhibition of
calcium oxalate and calcium oxalate monohydrate crystals formation. An in vivo
experiment was performed on Wistar rats for confirmation of anti-urolithiatic
property of D. erecta in animal model. RESULTS: D. erecta has the presence of
primary and secondary metabolites like glycoside, saponins, sterols, flavonoids,
phenols, tannins, alkaloids, carbohydrates and proteins. Methanolic extract of D.
erecta gave a very good yield (60%). D. erecta proved its antioxidant potential
by 93.51% inhibition of DPPH radical at a concentration of 1000 MUg/mL where
ascorbic showed 94.71% of DPPH radical at the same concentration. In vitro tests
like nucleation assay and synthetic urine assay showed that D. erecta inhibits
formation of calcium oxalate and calcium oxalate monohydrate crystals. It also
showed the anti-microbial property by formation of zone of inhibition against few
bacteria. An in vivo experiment on Wistar rat animal model confirmed the anti
urolithiatic property of D. erecta L. leaves extract. CONCLUSIONS: Based on the
results, we reported that D. erecta may treat calcium oxalate crystal deposition
in the kidney by preventing hyperoxaluria-induced peroxidative damage to the
renal tubular membrane surface (lipid peroxidation). It has anti-microbial
potential so it may also inhibit the secondary bacterial infection in kidney.
Based on the data, it can be concluded that this herb can be used as a potential
anti-urolithiasis agent for kidney stone removal.
PMID- 29636215
TI - Small intestinal bacterial overgrowth in Parkinson's disease: Tribulations of a
trial.
PMID- 29636216
TI - Conversion of sulfur compounds and microbial community in anaerobic treatment of
fish and pork waste.
AB - Volatile sulfur compounds (VSCs) are not only the main source of malodor in
anaerobic treatment of organic waste, but also pose a threat to human health. In
this study, VSCs production and microbial community was investigated during the
anaerobic degradation of fish and pork waste. The results showed that after the
operation of 245 days, 94.5% and 76.2% of sulfur compounds in the fish and pork
waste was converted into VSCs. Among the detected VSCs including H2S, carbon
disulfide, methanethiol, ethanethiol, dimethyl sulfide, dimethyl disulfide and
dimethyl trisulfide, methanethiol was the major component with the maximum
concentration of 4.54% and 3.28% in the fish and pork waste, respectively. The
conversion of sulfur compounds including total sulfur, SO42--S, S2-, methionine
and cysteine followed the first-order kinetics. Miseq sequencing analysis showed
that Acinetobacter, Clostridium, Proteus, Thiobacillus, Hyphomicrobium and
Pseudomonas were the main known sulfur-metabolizing microorganisms in the fish
and pork waste. The C/N value had most significant influence on the microbial
community in the fish and pork waste. A main conversion of sulfur compounds with
CH3SH as the key intermediate was firstly hypothesized during the anaerobic
degradation of fish and pork waste. These findings are helpful to understand the
conversion of sulfur compounds and to develop techniques to control ordor
pollution in the anaerobic treatment of organic waste.
PMID- 29636217
TI - Methanosarcina plays a main role during methanogenesis of high-solids food waste
and cardboard.
AB - Anaerobic digestion of food waste is a complex process often hindered by high
concentrations of volatile fatty acids and ammonia. Methanogenic archaea are more
sensitive to these inhibitors than bacteria and thus the structure of their
community is critical to avoid reactor acidification. In this study, the
performances of three different inocula were compared using batch digestion tests
of food waste and cardboard mixtures. Particular attention was paid to the
archaeal communities in the inocula and after digestion. While the tests started
with inocula rich in Methanosarcina led to efficient methane production, VFAs
accumulated in the reactors where inocula initially were poor in this archaea and
no methane was produced. In addition, higher substrate loads were tolerated when
greater proportions of Methanosarcina were initially present in the inoculum.
Independently of the inoculum origin, Methanosarcina were the dominant
methanogens in the digestates from the experiments that efficiently produced
methane. These results suggest that the initial archaeal composition of the
inoculum is crucial during reactor start-up to achieve stable anaerobic digestion
at high concentrations of ammonia and organic acids.
PMID- 29636218
TI - Discovery of {4-[4,9-bis(ethyloxy)-1-oxo-1,3-dihydro-2H-benzo[f]isoindol-2-yl]-2
fluorophenyl}acetic acid (GSK726701A), a novel EP4 receptor partial agonist for
the treatment of pain.
AB - A novel series of EP4 agonists and antagonists have been identified, and then
used to validate their potential in the treatment of inflammatory pain. This
paper describes these novel ligands and their activity within a number of pre
clinical models of pain, ultimately leading to the identification of the EP4
partial agonist GSK726701A.
PMID- 29636219
TI - Divergent synthesis of thapsigargin analogs.
AB - Thapsigargin (3) is a potent inhibitor of the SERCA-pump protein, with potential
for application in a variety of medicinal areas. The efficient and scalable
syntheses of thapsigargin (3) and nortrilobolide (2) have been disclosed
previously. To demonstrate the modularity of the previous routes, three natural
products (compounds 6, 13, 15) and four analogs (compounds 17-20) have been
divergently prepared from a common building block featuring varied acyl chains at
the C2, C3, and C8 positions. Biological tests revealed that all of the compounds
prepared displayed promising activity profiles.
PMID- 29636221
TI - Early predictors of clinical and mental outcome in tuberous sclerosis complex: A
prospective study.
AB - AIM: We aimed to identify early predictors of intractable epilepsy, intellectual
disability (ID) and autism spectrum disorders (ASD) in the cohort of TSC patients
initially diagnosed with cardiac rhabdomyomas (CR). METHOD: Over the period of
twelve years we prospectively obtained clinical, neuropsychological,
electrophysiological and neuroimaging data in a group of 22 TSC patients (9
females, 13 males) with the pre/perinatal diagnosis of CR, included to the study
at the time of diagnosis. Afterwards, we statistically determined variables
associated with ID, ASD and intractable epilepsy. RESULTS: Development of ID was
predicted by severe epilepsy (a higher number of anti-epileptic drugs used), a
higher number of dysplastic lesions on MRI, and abnormal background activity on
EEG (p < 0.05). Predictors of ASD included early developmental delay, abnormal
background activity on EEG at the end of follow-up and a higher number of areas
with dysplastic features on MRI (p < 0.05). Intractable epilepsy was associated
with a higher number of areas with dysplastic features on MRI, ID and with TSC2
genotype. CONCLUSION: Adverse mental and clinical outcome was associated with
intractable epilepsy and the severe anatomical brain involvement; therefore, our
centre developed a tailored protocol for early identification of TSC patients at
a higher risk of developing intractable epilepsy with its deleterious effect on
cognitive outcome.
PMID- 29636222
TI - [Short-term resolution of B-lines in thoracic ultrasound in acute pulmonary
oedema after treatment].
PMID- 29636220
TI - Investigating small molecules to inhibit germinal center kinase-like kinase
(GLK/MAP4K3) upstream of PKCtheta phosphorylation: Potential therapy to modulate
T cell dependent immunity.
AB - Germinal center kinase-like kinase (GLK, also known as MAP4K3) has been
hypothesized to have an effect on key cellular activities, including inflammatory
responses. GLK is required for activation of protein kinase C-theta (PKCtheta) in
T cells. Controlling the activity of T helper cell responses could be valuable
for the treatment of autoimmune diseases. This approach circumvents previous
unsuccessful approaches to target PKCtheta directly. The use of structure based
drug design, aided by the first crystal structure of GLK, led to the discovery of
several inhibitors that demonstrate potent inhibition of GLK biochemically and in
relevant cell lines.
PMID- 29636223
TI - 2-Aminoquinazolin-4(3H)-one based plasmepsin inhibitors with improved
hydrophilicity and selectivity.
AB - 2-Aminoquinazolin-4(3H)-ones were previously discovered as perspective leads for
antimalarial drug development targeting the plasmepsins. Here we report the lead
optimization studies with the aim to reduce inhibitor lipophilicity and increase
selectivity versus the human aspartic protease Cathepsin D. Exploiting the
solvent exposed area of the enzyme provides an option to install polar groups
(R1) the 5-position of 2-aminoquinazolin-4(3H)-one to inhibitors such as
carboxylic acid without scarifying enzymatic potency. Moreover, introduction of
R1 substituents increased selectivity factors of compounds in this series up to
100-fold for Plm II, IV vs CatD inhibition. The introduction of flap pocket
substituent (R2) at 7-postion of 2-aminoquinazolin-4(3H)-one allows to remove Ph
group from THF ring without notably impairing Plm inhibitory potency. Based on
these findings, inhibitors were developed, which show Plm II and IV inhibitory
potency in low nanomolar range and remarkable selectivity against Cathepsin D
along with decreased lipophilicity and increased solubility.
PMID- 29636224
TI - Prohibition, regulation or laissez faire: The policy trade-offs of cannabis
policy.
AB - Trade-offs are central to the cannabis policy debate. Prohibition and strict
regulation may help reduce the physical, mental and social harms of cannabis
consumption, but at the cost of increasing the harms from illegal markets and
reducing consumption benefits. An economic model clarifies how these costs and
benefits relate to policy and connects them to observable prices and tax-levels
given the assumptions of the analysis. These model- based arguments are related
to the ongoing academic policy debate. While some arguments from this literature
modify the interpretation of the model (e.g., due to dependence, cognitive biases
and market structure), the literature often fails to appropriately account for
the magnitude of the policy costs and benefits identified. Taking various caveats
into account, the framework indicates that a strict regulation would likely be
preferable to prohibition given current estimates of excess harms (externalities
and internalities) from cannabis use. While cannabis prohibition appears
difficult to justify within an economic regulatory framework, risks from industry
influence, policy ratchet effects, and human "decision-making flaws" speak to the
need for caution and strong regulation when implementing legal regimes.
PMID- 29636225
TI - NDUFA4 (Renamed COXFA4) Is a Cytochrome-c Oxidase Subunit.
AB - Groundbreaking work by Kadenbach and colleagues in the 1980s revealed the
presence of 13 subunits in the mammalian mitochondrial cytochrome-c oxidase (COX;
Complex IV). This observation stood the test of time until 2012 when it was
demonstrated that NDUFA4, a polypeptide previously attributed to mitochondrial
Complex I, was a 14th subunit of COX. In his recent opinion article, Kadenbach
argued that NDUFA4 is not a subunit of COX. However, based on the findings that
NDUFA4 deficiency results in a severe loss of COX activity and that NDUFA4
represents a stoichiometric component of the individual COX complex, we reason
that NDUFA4 is a bona fide COX subunit and propose renaming it as COX subunit FA4
(COXFA4).
PMID- 29636226
TI - Analysis of results of revision surgery of soft tissue sarcoma margins.
PMID- 29636227
TI - Lumbar arthrodesis infection by multi-resistant Klebsiella pneumoniae,
successfully treated with implant retention and ceftazidime/avibactam.
AB - There are increasingly more patients with prosthetic implants (orthopaedic
prostheses, lumbar instruments, osteosynthesis material). In the last decade,
infections caused by carbapenem resistant Enterobacteriaceae have increased
(bacteriaemia, abscesses, urinary tract infections...) with great difficulty in
treatment and important associated comorbidity. We present the first case of
infection of a lumbar instrumentation by Klebsiella pneumoniae producing
carbapenemase D, OXA-48 type, and successfully treated.
PMID- 29636228
TI - Clinical factors associated with lithium treatment response in bipolar disorder
patients from India.
AB - BACKGROUND: Bipolar Disorder (BD) is a chronic and disabling psychiatric illness
with waxing and waning course. Lithium is the mainstay of treatment for Bipolar
disorder (BD). There is limited literature on the clinical markers of Lithium
treatment response from south Asia. METHODS: Two hundred and ten individuals with
BD I and a history of at least 6 months of treatment with Lithium were recruited
from the outpatient services of the National Institute of Mental Health and
Neurosciences (NIMHANS) after obtaining informed consent. A diagnosis of BD I was
made according to the DSM-IV criteria. The characterization of response to
lithium prophylaxis was done using NIMH Retrospective Life Chart and
"Retrospective Criteria of Long Term Treatment Response in Research Subjects with
Bipolar Disorder" scale. RESULTS: There were 132 (62.86%) good responders and 78
(37.14%) non-responders. Good responders were noted to have less number of
hospitalizations and more onset episode of depression than non-responders. Using
continuous phenotype, Lithium response was inversely correlated with total number
of episodes, number of episodes of mania/ depression, number of hospitalisations
and presence of suicide attempt. Multivariate analysis only revealed number of
episodes and hospitalization to be associated with Lithium response. CONCLUSION:
Our Lithium response rates were higher than what have been reported in the few
previous studies. Illness severity was the only factor associated with Lithium
response. There is a need to examine this question in larger prospective samples
and to focus on biological/ molecular markers of treatment response.
PMID- 29636229
TI - [Influence of a programme of therapeutic exercise on different clinical
indicators related to dyslipidaemia in adult subjects aged between 26 to 73 years
with a cardiovascular risk factor].
AB - INTRODUCTION: A sedentary lifestyle is indicated in the international literature
as one of the main causes for the onset of some cardiovascular risk factors.
OBJECTIVE: To assess the effect of a therapeutic physical exercise programme on
different clinical indicators related to dyslipidaemia (total cholesterol, HDL
and LDL) in sedentary subjects with a cardiovascular risk factor. METHOD:
Intervention study with before-and-after evaluation of a sample of 340 patients
(132 males and 208 females) referred from the 2 primary care centres of the
municipality of Molina de Segura (Murcia), and who participated in a 30-week
programme of physical exercise combining muscle-conditioning work circuits with
other cardio-respiratory resistance workouts. Regarding the clinical indicators,
the health professionals collected in the medical history the health indicators
corresponding to the biological evolution of the process for which the subjects
studied had started the physical exercise programme. RESULTS: The statistical
analyses showed a significant improvement (p<.005) in the LDL indicator and a non
significant improvement in total and HDL cholesterol indicators after a 3-month
exercise programme of 3 weekly sessions. CONCLUSIONS: The prescription of
physical exercise in dyslipidaemic subjects from primary care centre should be
evaluated as a resource for improving the clinical indicators specific to their
pathology.
PMID- 29636230
TI - Anti-apoptotic effect of Suxiao Jiuxin Pills against hypoxia-induced injury
through PI3K/Akt/GSK3beta pathway in HL-1 cardiomyocytes.
AB - BACKGROUND: Suxiao Jiuxin Pill (SX), Chinese traditional medicine primarily
consisting of tetramethylpyrazine and borneol, has been shown to protect against
ischemic heart diseases. Nevertheless, the involved mechanism still remains
unclear. The following study aimed to investigate the potential protective effect
and molecular mechanisms of SX on apoptosis in HL-1 cardiomyocytes. METHODS:
Simulated hypoxia was established by culturing HL-1 cardiomyocytes in DMEM with
no glucose or serum in a hypoxic chamber with 95% N2 and 5% CO2 for 24 h. HL-1
cardiomyocytes were divided into 5 groups: control, hypoxic injury, hypoxic
injury + insulin (PI3K agonist, 10 MUM), hypoxic injury + SX (100 MUg/mL), and
hypoxic injury + SX + LY294002 (PI3K inhibitor, 10 MUM) (n = 3 wells/group). The
anti-apoptotic effect of SX was evaluated by Annexin V/PI analysis. Mitochondrial
membrane potential (DeltaPsim) was detected by JC-1 assay. The protein expression
of PI3K, phosphorylated PI3K (p-PI3K), Akt, phosphorylated Akt (p-Akt), GSK3beta
and phosphorylated GSK3beta (p-GSK3beta) were detected by western blot. RESULTS:
SX exhibited anti-apoptotic effect in HL-1 cardiomyocytes; nonetheless, the
effect was blocked by PI3K inhibitor LY294002. Also, the anti-apoptotic effect of
SX was mediated by increased mitochondrial membrane potential (DeltaPsim).
Furthermore, p-PI3K, p-Akt, and p-GSK3beta expressions were significantly
increased after SX treatment, while they were all reduced after administration of
LY294002. CONCLUSION: SX protects HL-1 cardiomyocytes from apoptosis induced by
hypoxia, partly through enhancing the phosphorylation of PI3K/Akt/GSK3beta
signaling pathway.
PMID- 29636231
TI - The clinical impact of the novel tumor marker DR-70 in unresectable gastric
cancer patients.
AB - BACKGROUND: Gastric cancer tumor markers, such as carcinoembryonic antigen (CEA)
and cancer antigen 19-9 (CA 19-9), have been applied in clinical practice to
screen or monitor treatment responses. However, their sensitivity and specificity
are unsatisfactory. Therefore, we assessed the novel tumor marker DR-70 and
evaluated its performance in screening and response monitoring. METHODS: The
study included newly diagnosed patients with advanced gastric cancer from March
2012 to October 2015. We measured the DR-70, CEA, and CA 19-9 levels at the time
of enrollment. The patients subsequently underwent chemotherapy. We followed-up
the patients every 3 months; DR-70 levels and abdominal computed tomography scans
were re-evaluated and repeated, respectively, at each follow-up. The correlation
between treatment response and DR-70 level after chemotherapy was analyzed. The
overall survival and progression-free survival rates were also evaluated.
RESULTS: A total of 51 patients with gastric cancer were enrolled. Most (82.4%)
had metastatic disease. At enrollment, the sensitivity of DR-70 in our study
group was 78.4%, compared with 52.9% and 43.1% for CEA and CA 19-9, respectively.
When we used the three tumor markers together, the sensitivity increased to
80.4%. We observed a correlation between treatment response and DR-70 level after
chemotherapy. No difference in either overall survival or progression-free
survival was observed between the DR-70 positive and negative groups. However, a
trend toward poorer overall survival was observed for the high DR-70 group,
although this was not statistically significant. CONCLUSION: DR-70 is a powerful
tool not only for screening unresectable gastric cancer but also for treatment
response evaluation.
PMID- 29636232
TI - Ethmoidal and extranodal Burkitt lymphoma in a child with bilateral kidney
Burkitt lymphoma lesions incidentally detected by 18F-FDG PET/CT.
PMID- 29636233
TI - Superior vena cava obstruction by metastatic mediastinal testicular seminoma.
Imaging of collateral pathways during gastrointestinal bleeding scintigraphy with
99mTc labeled red blood cells.
PMID- 29636234
TI - The role of 68Ga-DOTATOC PET/CT in the detection of relapsed malignant solitary
fibrous tumor of the pleura.
PMID- 29636235
TI - Usefulness of 99mTc labelled heat-denatured red blood cell scintigraphy in the
diagnosis of intramuscular splenosis.
PMID- 29636237
TI - Health related quality of life in children with spina bifida in Uganda.
AB - BACKGROUND: Studies on health related quality of life (HRQOL) of children with
disabilities in low income countries are limited. OBJECTIVE: To inform
interventions for children with spina bifida in low income countries, HRQOL of
children with spina bifida and siblings, predictors, relationships between HRQOL
and parental stress in Uganda were examined. METHODS: Demographic, impairment,
daily, social functioning data, and HRQOL using the KIDSCREEN-10 were collected
from 39 children, 33 siblings, and 39 parents from a cohort of families of
children with spina bifida. T-tests, correlations, analysis of variance and
regression analysis were used to compare means between children with spina bifida
and their siblings, understand relationships between variables, and identify
predictors of HRQOL. RESULTS: Children with spina bifida (N = 39) had lower HRQOL
compared to their siblings (N = 33) (t = -3.868, p < .001 parental; t = -3.248, p
= .002 child ratings). Parents (N = 39) indicated higher parental stress for
their child with spina bifida (t = 2.143, p = 0.036). HRQOL child outcomes were
predicted by the presence of hydrocephalus (beta = -.295, p = 0.013) for children
with spina bifida, and daily functioning levels (beta = .336, p = 0.038), and
parental support (beta = .357, p = 0.041) for siblings specifically. Parent rated
HRQOL outcomes were predicted by parental distress (beta = -.337, p = 0.008),
incontinence (beta = .423, p = 0.002), and daily functioning levels (beta = .325,
p = 0.016) for children with spina bifida. CONCLUSIONS: To improve HRQOL
investment in neurosurgical care, community based rehabilitation, incontinence
management, and parental support are required. A combination of child friendly
semi-structured and creative research methods are recommended to study HRQOL.
PMID- 29636236
TI - Molecular detection of spotted fever group rickettsiae in ticks from Cameroon.
AB - In western and eastern Africa, rickettsioses are one cause of fever in humans.
Little is known regarding the presence of Rickettsia sp. in northern Cameroon.
The present work was conducted in order to identify potential tick-borne spotted
fever group Rickettsia in the Adamawa region of northern Cameroon, which may
contribute filling some of the knowledge gaps of these pathogens. Ticks were
collected from cattle in the municipal slaughterhouse of Ngaoundere in the
Adamawa region of northern Cameroon. After morphological identification of tick
species, extracted DNA was analyzed by PCR targeting the rickettsial ompB gene
and the intergenic spacers dksA-xerC, mppA-purC and rpmE-tRNAfMet. Of the 316
adult ticks collected, 149 (47.1%) were Amblyomma variegatum, 92 (29%)
Rhipicephalus spp. and 75 (23.7%) Hyalomma spp. Through the use of conventional
PCR assays for the rickettsial ompB gene, rickettsial DNA was detected in 104
(32.9%) samples (85 Amblyomma sp., 14 Hyalomma spp. and 5 Rhipicephalus spp.).
The ompB gene and the three intergenic were sequenced for 10 ticks in order to
determine the rickettsial species. Rickettsia africae was detected in Amblyomma
variegatum, Rickettsia aeschlimannii in Hyalomma rufipes and Hyalomma truncatum,
Rickettsia sibirica in H. truncatum, Rickettsia massiliae in Rhipicephalus
lunulatus and Candidatus Rickettsia barbariae in R. lunulatus. To the best of the
author's knowledge, this report represents the first molecular evidence of
rickettsial infection in ticks in the Adamawa region of northern Cameroon, which
suggests a possible exposure of the human population in this region.
PMID- 29636238
TI - In-vitro and in-vivo design and validation of an injectable polysaccharide
hydroxyapatite composite material for sinus floor augmentation.
AB - OBJECTIVE: Polysaccharide-based composite matrices consisting of natural
polysaccharides, pullulan and dextran supplemented with hydroxyapatite (Matrix
HA) have recently been developed. The principal objective of this study was to
evaluate the capacities of this composite material to promote new bone formation
in a sinus lift model in the sheep. Secondary objectives were to evaluate in
vitro properties of the material regarding cell adhesion and proliferation.
METHODS: In this report, once such composite matrix was prepared as injectable
beads after dispersion in a physiological buffer, and evaluated using a large
animal model (sheep) for a sinus lift procedure. RESULTS: In vitro studies
revealed that these microbeads (250-550MUm in diameter) allow vascular cell
adhesion and proliferation of Endothelial Cells (EC) after 1 and 7 days of
culture. In vivo studies were performed in 12 adult sheep, and newly formed
tissue was analyzed by Cone Beam Computed Tomography (CBCT scanning electron
microscopy (SEM) and by histology 3 and 6 months post-implantation. CBCT analyses
at the implantation time revealed the radiolucent properties of these matrices.
Quantitative analysis showed an increase of a dense mineralized tissue in the
Matrix-HA group up to 3 months of implantation. The mineralized volume over total
volume after 6 months reached comparable values to those obtained for Bio-Oss(r)
used as positive control. Histological examination confirmed that the Matrix-HA
did not induce any long term inflammatory events, and promoted direct contact
between the osteoid tissue and lamellar bone structures and beads. After 6
months, we observed a dense network of osteocytes surrounding both biomaterials
as well as a newly vascularized formed tissue in close contact to the
biomaterials. SIGNIFICANCE: In conclusion, the absence of animal components in
Matrix-HA, the osteoconductive property of Matrix-HA in sheep, resulting in a
dense bone and vascularized tissue, and the initial radiolucent property to
follow graft integration offer great promises of this composite material for
clinical use.
PMID- 29636239
TI - Clinical evaluation of the bulk fill composite QuiXfil in molar class I and II
cavities: 10-year results of a RCT.
AB - OBJECTIVE: The objective of this RCT was to compare the 10-year clinical
performance of QuiXfil with that of Tetric Ceram in posterior single- or multi
surface cavities. METHODS: 46 QuiXfil (Xeno III) and 50 Tetric Ceram (Syntac
classic) composite restorations were placed in 14 stress bearing class I and 82
class II cavities in first or second molars. Clinical evaluation was performed at
baseline and after up to 10 years by using modified US Public Health Service
criteria. At the last recall period, 26 QuiXfil and 30 Tetric Ceram restorations
in 11 stress bearing class I and 45 class II cavities, were assessed. RESULTS:
Ten failed restorations were observed during the follow-up period, four Tetric
Ceram restorations failed due to secondary caries (2), tooth fracture (1) and
bulk fracture combined with secondary caries (1) whereas six QuiXfil restorations
failed due to secondary caries (1), tooth fracture (2), secondary caries combined
with restoration fracture (1), restoration fracture (1) and postoperative
sensitivity (1). Fisher's exact test yielded no significant difference between
both materials (p=0.487). SIGNIFICANCE: Both materials, bulk fill QuiXfil
restorations and Tetric Ceram restorations, showed highly clinical effectiveness
during the 10-year follow-up.
PMID- 29636240
TI - When and how should we perform a biopsy for HCC in patients with liver cirrhosis
in 2018? A review.
AB - The role of liver biopsy in the diagnosis of hepatocellular carcinoma (HCC) has
changed over time. The diagnostic algorithm for this tumor is nowadays mainly
based on radiological imaging, relegating histology to controversial cases, in
which imaging techniques cannot establish a clear-cut diagnosis. This most
commonly happens in small lesions, where biopsies frequently become mandatory, or
in larger hypovascularized lesions. In this case however, the histological
examination may not be reliable enough to grade the lesion, as different cell
clones, deriving from sequential mutations, can originate heterogeneous cell
populations. The risk of complications of liver biopsy, such as tumor seeding and
intra-abdominal bleeding, needs to be reconsidered in light of new scientific
evidence and of the technical improvements that have been introduced.
Furthermore, increasing knowledge of the immunohistochemical and molecular
characteristics of hepatocellular carcinoma opens a new scenario in which biopsy
may play a decisive role in defining prognosis, and even treatment, by
identifying the patient populations who could most benefit from target-driven
hepatocellular carcinoma treatments, and therefore improving the success rate of
experimental therapies. All the above reasons suggest that, overall, the role of
liver biopsy in the management of HCC needs a reappraisal.
PMID- 29636241
TI - A hairy polypoid lesion of the colon.
PMID- 29636242
TI - Influence of additional reinforcement of fixed long-term temporary restorations
on fracture load.
AB - PURPOSE: In implant dentistry, temporary restorations (TR) might often be
required for up to one year. The aim of this in vitro study was to evaluate the
long-time performance of four-unit TRs in the posterior region based on different
materials and reinforcement methods. METHODS: One hundred and forty four TRs were
manufactured on 16 models simulating an oral situation of two missing posterior
teeth. With a computer-aided-design/computer-aided-manufacturing (CAD/CAM)
workflow, a TR was fabricated (CAD; Telio CAD), which served as a template for
other subgroups. With a vacuum-formed template, unreinforced and reinforced TRs
[glass fibres (g; EverStick); polyethylenefibres (p; Ribbond original) and TRs
with increased connector area (c; 27.5-35mm2)] were manufactured. Two different
composite materials were used (C1: Luxatemp, C2: Protemp). Altogether, 16
subgroups with 8 specimens each were tested. After temporary luting (Temp Bond
NE) and artificial-aging [1600 thermo-cycles (5-55 degrees C), 240,000 chewing
cycles (50N)], all specimens were tested until fracture in a universal testing
machine. RESULTS: After artificial aging, mean fracture loads (N) were:
(C1)201.2+/-109.7, (C1c)1033.0+/-173.1, (C1p)90.0+/-40.0, (C1g)75.9+/-25.9,
(C2)108.6+/-58.6, (C2c)1363.3+/-148.6, (C2p)104.7+/-54.7, (C2g)50.0+/-0.0 and
(CAD)232.5+/-19.1. The one-factor ANOVA analysis showed significant differences
for the factors temporary material (p<0.047), reinforcement (p<0.0001) and
artificial-aging (p<0.0001). CONCLUSIONS: The study indicated that both CAD/CAM
TRs and TRs with increased connector areas are suitable for long-term use of one
year. No enhancement of fracture load was observed for fibre-reinforced TRs
except for the fact that fractured TRs were not totally separated.
PMID- 29636244
TI - Spontaneous hemoperitoneum during pregnancy. Is there any place for a wait and
see approach in the absence of maternal hypovolemic shock or fetal distress?
PMID- 29636243
TI - Surface morphology of silicone soft relining material after mechanical and
chemical cleaning.
AB - PURPOSE: The objective was to investigate the influence of chemical and
mechanical cleaning on the surface morphology of a silicone soft relining
material. METHODS: Three plate-shaped specimens were prepared for each group
(Control, Hard and Soft) by laminating a 1.5-mm-thick silicone soft relining
material. The Control group specimens were stored in water, and the Hard and Soft
group specimens were cleaned with hard and soft bristle denture brushes,
respectively. Abrasion testing with a toothbrush and immersion testing with an
enzyme-containing peroxide denture cleanser were performed, simulating a period
of approximately 4 months. The arithmetic mean roughness (Sa) and maximum height
of the cross-section (Sz) were measured before and after abrasion and immersion
testing. RESULTS: Sa was 4.9+/-0.9, 22.1+/-4.2 and 44.2+/-4.0MUm in the Control,
Soft and Hard groups, respectively. Sz was 257.5+/-31.7, 392.0+/-23.8 and 452.2+/
41.9MUm in the Control, Soft and Hard groups, respectively. After abrasion
testing, Sa and Sz differed significantly between the Soft and Control groups and
between the Hard and Control groups. Sa was 2.2+/-1.2MUm before and after
immersion, and Sz was 142.1+/-81.4MUm before and after immersion. No significant
difference was noted in either Sa or Sz in the Control specimens before or after
immersion. CONCLUSIONS: Surfaces cleaned using a soft bristle brush were less
likely to roughen than those cleaned with a hard bristle brush under the
conditions of this study. Additionally, chemical cleaning using the
enzyme+neutral peroxide denture cleanser did not roughen the surface of the
silicone soft relining material.
PMID- 29636245
TI - Vascular complication after embolization of uterine arteries for postpartum
hemorrhage, a case of external iliac artery injury.
PMID- 29636246
TI - Evaluation of a two-stage testing algorithm for the diagnosis of respiratory
viral infections.
AB - New on-demand multiplex molecular respiratory viral diagnostics offer superior
performance although can be expensive and some platforms cannot process multiple
specimens simultaneously. We performed a retrospective study reviewing results of
patients tested for respiratory viruses following introduction of a two-stage
testing algorithm incorporating an initial screen with Sofia(r) immunoassay then
secondary Biofire Filmarray(r), and compared to a period when only Filmarray(r)
was used. Of 2976 testing episodes, 1814 underwent initial Sofia(r) then follow
up FilmArray(r). A diagnosis of influenza was made by Sofia(r) in 282 patients,
and by FilmArray(r) in an additional 163 (median time to result 1.12hours versus
3.46hours, P<0.001). Significantly more patients received their diagnosis within
90minutes in winter despite testing more samples (11.1% versus 3.4%, P<0.001),
and approximately $36,000 was saved. An algorithmic approach to respiratory viral
diagnosis can combine the advantages of accuracy and speed and be cost saving.
PMID- 29636247
TI - No changes on viral load and CD4+ T-cell counts following immunization with 7
valent pneumococcal conjugate vaccine among HIV-infected adults in Malawi.
AB - Vaccination has been associated with a transient increase in viremia in HIV
infected individuals, although contradicting evidence persist in the literature.
As part of a randomized placebo-controlled efficacy trial of the PCV7 in Malawi,
we collected viral load and CD4+ T-cell counts from 237 adults who received two
doses of vaccine or placebo, administered 4 weeks apart. Analyses were conducted
separately for cART and non-cART users. Our analysis show no difference in viral
loads between vaccine and placebo groups, regardless of cART use. Viremia
decreased from 4.1 to 2.9 log10 copies/mL (p < 0.0001) among those using cART,
consistent vaccine and placebo groups, but no changes were seen among the non
cART cohort. CD4+ T-cell counts remained unchanged regardless of cART use, or
allocation to vaccine or placebo. We concluded that there was no evidence of
detrimental effects of PCV7 administration on viral load or CD4+ T-cell counts
six months after vaccination with PCV7.
PMID- 29636248
TI - The Impact of an Acute, Traumatic Wound Dehiscence on Clinical Outcomes Following
Primary Knee Arthroplasty.
AB - BACKGROUND: Early wound healing complications and persistent drainage are
associated with an increased risk of infection following knee arthroplasty.
However, the scenario in which a patient sustains an acute, traumatic wound
dehiscence has not been investigated. The purpose of this study is to determine
the outcomes of an acute traumatic wound dehiscence following arthroplasty
treated with an urgent irrigation and debridement and primary wound closure.
METHODS: Using a single institution's arthroplasty registry, patients sustaining
an acute, traumatic wound dehiscence within 30 days of undergoing a primary knee
arthroplasty were identified. Patients experiencing chronic wound drainage
without injury or a history of prior infection were excluded. Patients were
followed for the occurrence of complications and clinical outcomes using the Knee
Society Score. RESULTS: From 2006 to 2016, 14 of 25,819 eligible patients (0.05%)
were identified as having a traumatic wound dehiscence. The mean time from
arthroplasty to wound dehiscence was 9.3 days. All but one patient was treated
operatively within 24 hours of dehiscence. Postoperative antibiotics were
administered for a mean of 21 days. At a mean of 6.5 years, 6 patients were
considered failures (43%) including 2 deep infections, 3 revisions for
instability, and 1 patient with a Knee Society Score <60 points. CONCLUSION:
Despite emergent incision and drainage and wound closure, patients experiencing
an acute traumatic wound dehiscence following knee arthroplasty subsequently
exhibit high rates of reoperation for instability, periprosthetic infection, and
clinical failure. Further work is required to better understand the optimal modes
of treatment for this complication.
PMID- 29636249
TI - Periprosthetic Joint Infection With Fungal Pathogens.
AB - BACKGROUND: Although there is abundant information about bacterial periprosthetic
joint infections (PJIs), there is a notable paucity of information about fungal
PJIs. The goals of this study are to describe the patient demographics,
diagnostic findings, and treatment results of fungal PJIs after total joint
arthroplasty. METHODS: We identified 31 fungal PJIs (13 total hip arthroplasties
and 18 total knee arthroplasties) in 31 patients treated between 1996 and 2014.
This represented 0.9% of the 3525 PJIs treated at our institution during this
time period. Candida species accounted for 81% of infections. The mean patient
age at diagnosis of fungal PJI was 68 years. Mean follow-up after initiation of
treatment was 4 years. RESULTS: In the total hip arthroplasty cohort,
survivorship free from all-cause revision or implant removal was 44% at 2 years.
Survivorship free from reinfection was 38% at 2 years. Mean Harris hip score was
27 at final follow-up.In the total knee arthroplasty cohort, survivorship free
from all-cause revision was 70% at 2 years. Survivorship free from reinfection
was 76% at 2 years. Mean Knee Society scores were 36 at final follow-up.
CONCLUSION: Fungal PJIs are rare (0.9% of diagnosed PJIs). Survivorship free of
all-cause revision or implant removal was very low in the hip group (44% at 2
years), but slightly better in the knee group (70% at 2 years). Moreover,
clinical outcomes were poor with high perioperative complication rates. Improved
treatment regimens are needed for this unsolved clinical problem.
PMID- 29636251
TI - Re "Calcification of Thoracic and Abdominal Aneurysms is Associated with
Mortality and Morbidity". Abdominal Aortic Aneurysm Calcification: Are
Biochemical Markers a Missing Piece of the Puzzle?
PMID- 29636250
TI - Elective Repair of Abdominal Aortic Aneurysm and the Risk of Colonic Ischaemia:
Systematic Review and Meta-Analysis.
AB - INTRODUCTION: Colon ischaemia (CI) is a significant complication of open (OR) and
endovascular (EVAR) repair of abdominal aortic aneurysm (AAA). With a rapid
increase in EVAR uptake, contemporary data demonstrating the differing rates and
outcomes of CI between EVAR and OR, particularly in the elective setting, are
lacking. The aim was to characterise the risk and consequences of CI in elective
AAA repair comparing EVAR with OR. METHODS: A systematic review and meta-analysis
of the literature was performed using the Cochrane collaboration protocol and
reported according to the PRISMA guidelines. PubMed, MedLine, and EMBASE were
searched for studies reporting CI rates after elective AAA repair. Ruptured AAAs
were excluded from analysis. RESULTS: Thirteen studies reporting specific
outcomes of CI after elective AAA repair, containing 162,750 evaluable patients
(78,151 EVAR and 84,599 OR) were included. All studies found a higher risk of CI
with OR than with EVAR. Three studies performed confounder adjustment with CI
rates of 0.5-1% versus 2.1-3.6% (EVAR vs. OR) and combined odds ratio of 2.7 (2.0
3.5) for the development of CI with OR versus EVAR. The majority of cases of CI
occurred within 30 days and were associated with variable mortality (0-73%) and
re-intervention rates (27-54%). GRADE assessment of evidence strength was very
low for all outcomes. There was a high degree of heterogeneity between studies
both methodologically and in terms of CI rates, re-intervention, mortality, and
time to development of CI. CONCLUSIONS: EVAR is associated with a reduced
incidence of CI compared with OR.
PMID- 29636252
TI - Clinical Effect and Cost-Effectiveness of Screening for Asymptomatic Carotid
Stenosis: A Markov Model.
AB - OBJECTIVE/BACKGROUND: The cost-effectiveness of screening depends on the cost of
screening, prevalence of asymptomatic carotid artery stenosis (ACAS), and the
potential effect of medical intervention in reducing the risk of stroke. The aim
of the study was to determine the threshold values for these parameters in order
for screening for ACAS to be cost-effective. METHODS: The clinical effect and
cost-effectiveness of ultrasound screening for ACAS with subsequent initiation of
preventive therapy versus not screening was assessed in a Markov model with a
lifetime perspective. Key parameters, including stroke risk, all cause mortality,
and costs, were based on contemporary published data, population statistics, and
data from an ongoing screening program in Uppsala county (population 300,000),
Sweden. Prevalence of ACAS (2%) and the rate of best medical treatment (BMT; 40%)
were based on data from a male Swedish population recently screened for ACAS. The
required stroke risk reduction from BMT, incremental cost-efficiency ratio
(ICER), absolute risk reduction for stroke (ARR), and number needed to screen
(NNS) were calculated. RESULTS: Screening was cost-effective at an ICER of ?5744
per incremental quality adjusted life year (QALY) gained. ARR was 135 per 100,000
screened, NNS was 741, and QALYs gained were 6700 per 100,000 invited. At a
willingness to pay (WTP) threshold of ?50,000 per QALY the minimum required
stroke risk reduction from BMT was 22%. The assumed degree of stroke risk
reduction was the most important determinant of cost-efficiency. CONCLUSION: A
moderate (22%) reduction in the risk of stroke was required for an ACAS screening
strategy to be cost-effective at a WTP of ?50,000/QALY. Targeting populations
with a higher prevalence of ACAS could further improve cost-efficiency.
PMID- 29636253
TI - Combined Impact of Chronic Kidney Disease and Contrast Induced Acute Kidney
Injury on Long-term Outcomes in Patients with Acute Lower Limb Ischaemia.
AB - INTRODUCTION: Acute lower limb ischaemia (ALI) is the sudden onset of decreased
arterial perfusion with imminent threat to limb viability. Contrast induced acute
kidney injury (CI-AKI) is one of the complications that increases mortality in
patients who undergo contrast imaging in coronary procedures. The goal of this
study is to evaluate the impact of chronic kidney disease (CKD) and CI-AKI on
long-term clinical outcomes in patients with ALI undergoing lower limb
revascularisation. METHODS: A total 1017 consecutive patients with acute lower
limb ischaemia who were admitted between July 1, 2006, and January 1, 2017, were
retrospectively reviewed. Patients who had end stage renal disease, those who had
end stage heart and malignant disease and died within 7 days of limb
revascularisation, and those who did not undergo angiography were excluded. Thus
546 patients were included in the final analysis. Patients were classified as
with or without CKD and were then subdivided according to the presence or absence
of the development of CI-AKI, defined as an increase in serum creatinine of >=0.5
mg/dL or by >=25% from the baseline value within the first 72 h after contrast
exposure. The primary end point was all cause mortality and secondary major
adverse limb event (MALE). RESULTS: Both CKD and CI-AKI were associated with the
highest rate of all cause mortality (chi square = 55.77, d.f. = 1, p < .01, log
rank test) and MALE (chi square = 79.07, d.f. = 1, p < .01, log rank test). The
presence of CKD and CI-AKI were significant risk factors associated with long
term all cause mortality (HR = 2.61, p < .01) and MALE (HR = 2.87, p < .01).
CONCLUSION: In patients with ALI undergoing lower limb revascularisation, both
CKD and CI-AKI were significantly associated with poor long-term outcomes
compared with either CKD or CI-AKI alone. Further studies are required to assess
this association and to confirm the combined effect of CKD and CI-AKI on long
term clinical outcomes.
PMID- 29636255
TI - Sacral Neuromodulation and OnabotulinumtoxinA for Refractory Urge Urinary
Incontinence Offer Similar Success During 2-Year Follow-up.
PMID- 29636256
TI - Re: Value of an Immediate Intravesical Instillation of Mitomycin C in Patients
with Non-muscle-invasive Bladder Cancer: A Prospective Multicentre Randomised
Study in 2243 patients.
PMID- 29636254
TI - Expression of Saccharomyces cerevisiae cDNAs to enhance the growth of non-ethanol
producing S. cerevisiae strains lacking pyruvate decarboxylases.
AB - Metabolic engineering of Saccharomyces cerevisiae often requires a restriction on
the ethanol biosynthesis pathway. The non-ethanol-producing strains, however, are
slow growers. In this study, a cDNA library constructed from S. cerevisiae was
used to improve the slow growth of non-ethanol-producing S. cerevisiae strains
lacking all pyruvate decarboxylase enzymes (Pdc-, YSM021). Among the obtained 120
constructs expressing cDNAs, 34 transformants showed a stable phenotype with
quicker growth. Sequence analysis showed that the open reading frames of PDC1,
DUG1 (Cys-Gly metallo-di-peptidase in the glutathione degradation pathway), and
TEF1 (translational elongation factor EF-1 alpha) genes were inserted into the
plasmids of 32, 1, and 1 engineered strains, respectively. DUG1 function was
confirmed by the construction of YSM021 pGK416-DUG1 strain because the specific
growth rate of YSM021 pGK416-DUG1 (0.032 +/- 0.0005 h-1) was significantly higher
than that of the control strains (0.029 +/- 0.0008 h-1). This suggested that
cysteine supplied from glutathione was probably used for cell growth and for
construction of Fe-S clusters. The results showed that the overexpression of
cDNAs is a promising approach to engineer S. cerevisiae metabolism.
PMID- 29636257
TI - The collapse of the Venezuelan health system.
PMID- 29636258
TI - Health-care system transition in China.
PMID- 29636259
TI - Privatising versus prioritising veterans' health.
PMID- 29636260
TI - Clinical Pictures in The Lancet: a good eye for detail.
PMID- 29636261
TI - Measuring Humanity: hip-hop as evidence for health inequalities.
PMID- 29636262
TI - Offline: UHC-one promise and two misunderstandings.
PMID- 29636263
TI - Violence rife in Mexico, affecting medical community.
PMID- 29636264
TI - Progress in influenza surveillance in Africa.
PMID- 29636265
TI - Getting back in touch.
PMID- 29636266
TI - WHOse health agenda? 70 years of struggle over WHO's mandate.
PMID- 29636267
TI - Polio transition: overlooked challenges.
PMID- 29636268
TI - On the misuses of medical history.
PMID- 29636269
TI - C1 esterase inhibitor concentrates and attenuated androgens.
PMID- 29636270
TI - C1 esterase inhibitor concentrates and attenuated androgens - Authors' reply.
PMID- 29636271
TI - Department of Error.
PMID- 29636272
TI - Efficacy of cabazitaxel rechallenge in heavily treated patients with metastatic
castration-resistant prostate cancer.
AB - BACKGROUND: Treatment option in patients with metastatic castration-resistant
prostate cancer (mCRPC) previously treated with docetaxel (DOC), cabazitaxel
(CABA) and new hormone therapy (NHT) is limited. Rechallenge with DOC is limited
because of cumulative toxicities. This study investigated the activity and safety
of CABA rechallenge in mCRPC. PATIENTS AND METHODS: Clinical data were collected
retrospectively in 17 centres in Europe. Eligible patients had undergone
rechallenge with cabazitaxel after three previous lines of treatment (DOC, NHT
and CABA, in any order). Overall survival (OS) and progression-free survival
(PFS) were estimated by the Kaplan-Meier method. Data on toxicities were
collected. RESULTS: A total of 69 of 562 patients (Eastern Cooperative Oncology
Group performance status 0-1 69%) were rechallenged with CABA (25 mg/m2 q3w, 58%;
20 mg/m2 q3w, 27.5%; other, 14.5%) for 1-10 (median 6) cycles; 76.8% received
prophylactic granulocyte colony-stimulating factor. Median radiological or
clinical PFS with CABA rechallenge was 7.8 months and 11.9 months with initial
CABA therapy. OS was 13.7 months (95% confidence interval [CI]: 9.3-15.7) from
the first CABA rechallenge cycle, 59.9 months (47.8-67.1) from the first life
extending therapy in mCRPC and 78.3 months (66.4-90.7) from mCRPC diagnosis. Best
clinical benefit was improved (34.3%) or stable (47.8%). Lack of response to
rechallenge occurred in 17.9% of patients (3.1% with initial CABA). The level of
prostate-specific antigen decreased by >= 50% in 24% of patients at rechallenge
(71% with initial CABA). There was no grade >=III peripheral neuropathy or nail
disorders. CONCLUSIONS: CABA rechallenge may be a treatment option without
cumulative toxicity in heavily pretreated patients with mCRPC who are still fit
and had a progression >3 months after the last CABA injections.
PMID- 29636273
TI - High body mass index, healthy metabolic profile and low visceral adipose tissue:
The paradox is to call it obesity again.
PMID- 29636274
TI - The NET-effect of combining rituximab with belimumab in severe systemic lupus
erythematosus.
AB - OBJECTIVE: In systemic lupus erythematosus (SLE) patients, excessive formation of
neutrophil extracellular traps (NETs) is observed and their degradation is
impaired. In vitro, immune complexes (ICx) trigger NET formation while NET
derived DNA is a postulated autoantigen for anti-nuclear autoantibodies (ANAs),
found in SLE. Based on these self-perpetuating mechanisms in SLE, this study
investigates whether interfering with ICx formation using a combination of
rituximab (RTX) and belimumab (BLM) could decrease NET formation and ameliorate
disease. METHODS: A phase 2A, open-label, single arm proof-of-concept study was
performed wherein 16 SLE patients with severe, refractory disease were treated
with a combination of CD20-mediated B-cell depletion with rituximab and sustained
inhibition of B-cell activating factor BlyS with belimumab. Besides safety, the
study's endpoints were chosen to address the concept of autoantibodies in
relation to excessive NET formation. RESULTS: We demonstrated a surge of BlyS
levels upon RTX-mediated B-cell depletion which was abrogated by subsequent BLM
treatment. As such, therapeutic intervention with RTX + BLM led to specific
reductions in ANAs and regression of excessive NET formation. RTX + BLM appeared
to be safe and achieved clinically significant responses: low lupus disease
activity state was achieved in 10 patients, renal responses in 11 patients and
concomitant immunosuppressive medication was tapered in 14 out of the 16
patients. CONCLUSIONS: This study provides novel insights into clinical
beneficence of reducing excessive NET formation in SLE by therapeutic targeting
ANA production with RTX + BLM. Altogether putting forward a new treatment concept
that specifically ameliorates underlying SLE pathophysiology. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02284984.
PMID- 29636275
TI - [Radiation protection measures: Implications on the design of neurosurgery
operating rooms].
AB - OBJECTIVE: To describe pros and cons of some radiation protection measures and
the implications on the design of a neurosurgery operating room. MATERIAL AND
METHODS: Concurring with the acquisition and use of an O-arm device, a structural
remodeling of our neurosurgery operating room was carried out. The theater was
enlarged, the shielding was reinforced and a foldable leaded screen was installed
inside the operating room. Radiation doses were measured in front of and behind
the screen. RESULTS: The screen provides whole-body radiation protection for all
the personnel inside the theater (effective dose <5MUSv at 2,5 m from the gantry
per O-arm exploration; 0,0MUSv received behind the screen per O-arm exploration;
and undetectable cumulative annual radiation dose behind the screen), obviates
the need for leaded aprons and personal dosimeters, and minimizes the circulation
of personnel. Enlarging the size of the operating room allows storing the
equipment inside and minimizes the risk of collision and contamination.
Rectangular rooms provide greater distance from the source of radiation.
CONCLUSION: Floor, ceiling and walls shielding, a rectangular-shaped and large
enough theater, the presence of a foldable leaded screen, and the security
systems precluding an unexpected irruption into the operating room during
irradiation are relevant issues to consider when designing a neurosurgery
operating theater.
PMID- 29636276
TI - Modified Le Fort III osteotomy: A simple solution to severe midfacial hypoplasia.
AB - PURPOSE: There are multiple conditions that may affect the development of the
middle third of the face and with varying degrees of severity. The surgical
treatment alternatives for major midfacial sagittal deficiencies consist in Le
Fort I, II, or III with conventional osteotomies or with distraction osteogenesis
(DO). Both techniques have advantages and disadvantages that should be evaluated
specifically in each case. The aim of this report is to present a group of
patients with severe hypoplasia of the middle third of the face, with different
origins, and their treatment with a Modified Le Fort III osteotomy and
distraction osteogenesis, using a minimally invasive surgical approach. MATERIALS
AND METHODS: The surgical technique was performed in a group of patients with
severe hypoplasia of the middle third of the face, through a transconjunctival
approach with lateral canthotomy and a trans-oral approach. The osteotomy
consisted of a Le Fort III without the nasofrontal component. A rigid external
distractor (RED) type II or internal distractor was installed. The amount of
distraction, surgical time, blood loss, and complications were evaluated.
RESULTS: A total of 7 patients underwent operation, 5 men and 2 women with an
average age of 20.8 (range 11-41) years; 3 patients with Crouzon syndrome, 2 with
Pfeiffer syndrome, 1 patient with cleft lip and palate sequel, and 1 with a
severe non-syndromic class III. The average follow-up was 3.14 years. All
patients achieved stable occlusion without postoperative changes, positive
overbite and overjet, without relapse in the skeletal position. The average
advancement was 14.7 (+/-4.07) mm, in 1.1 incisors, and 15.2 (+/-3.19) in point
A. The average time of surgery was 2.78 (+/-0.64) hours, with an average blood
loss of 240 (+/-48.6) ml. Four patients required a rhinoplasty in a secondary
surgery. CONCLUSION: This technique shows a surgical approach with low morbidity,
short surgery time, and low blood loss. It allows optimal resolution of severe
hypoplasia of the middle third of the face with long-term stability. It avoids
the use of grafts and osteosynthesis material. By not including the nasal pyramid
in the osteotomy design, the size, position, and nasofrontal angle in patients
with adequate facial balance is maintained. If nasal correction is necessary, a
second surgery may be done. In cases of asymmetrical hypoplasia of the middle
third, this osteotomy shows great versatility and can be done unilaterally and/or
simultaneously combined with other distractions.
PMID- 29636277
TI - Biocatalytic strategies for the production of high fructose syrup from inulin.
AB - The consumption of natural and low calorie sugars has increased enormously from
the past few decades. To fulfil the demands, the production of healthy sweeteners
as an alternative to sucrose has recently received considerable interest.
Fructose is the most health beneficial and safest sugar amongst them. It is
generally recognised as safe (GRAS) and has become an important food ingredient
due its sweetening and various health promising functional properties.
Commercially, high fructose syrup is prepared from starch by multienzymatic
process. Single-step enzymatic hydrolysis of inulin using inulinase has emerged
as an alternate to the conventional approach to reduce complexity, time and cost.
The present review, outlines the enzymatic strategies used for the preparation of
high fructose syrup from inulin/inulin-rich plant materials in batch and
continuous systems, and its conclusions.
PMID- 29636278
TI - Characterization of hemangioma by nuclear medicine techniques.
PMID- 29636279
TI - Perspectiva actual hospitalization in inflammatory bowel disease: Current
perspective.
PMID- 29636280
TI - Surgical management of a patent ductus arteriosus: Is this still an option?
AB - The evolution of neonatal intensive care over the past decade has seen the role
of surgical patent ductus arteriosus (PDA) ligation in preterm infants both
decrease in scope and become laden with uncertainty. Associations of ligation
with adverse neonatal and neurodevelopmental outcomes have rendered the ligation
decision more challenging for clinicians and have been associated with a decline
in surgical treatment, but these findings may be due to bias from confounding by
indication in observational studies rather than a causal detrimental effect of
ligation. Accordingly, ligation may still be indicated for infants with large
ductal shunts and moderate-severe respiratory insufficiency in whom the prospect
of timely spontaneous closure appears low. Ultimately a randomized trial of
surgical ligation versus conservative management is necessary to assess the
efficacy of this invasive intervention in a population of extremely preterm
infants with large ductal shunts. Simultaneously, the transcatheter approach to
ductal closure in the very immature infant represents an exciting therapeutic
alternative but which is still in its infancy. Insights into the pathophysiology
of postoperative cardiorespiratory deterioration, including the importance of
left ventricular afterload, may help clinicians avoid instability and mitigate a
potentially injurious aspect of surgical treatment. This review examines the
evidence regarding the benefits and risks of PDA surgery in preterm neonates and
provides a pathophysiology-based management paradigm to guide perioperative care
in high-risk infants.
PMID- 29636281
TI - IgA nephropathy: What patients are at risk of progression to end-stage renal
disease and how should they be treated?
PMID- 29636282
TI - Pazopanib in the Treatment of Bilateral Multifocal Renal Oncocytomas: A Case
Report.
PMID- 29636283
TI - Current, future and potential use of mobile and wearable technologies and social
media data in the ABCD study to increase understanding of contributors to child
health.
AB - Mobile and wearable technologies and novel methods of data collection are
innovating health-related research. These technologies and methods allow for
multi-system level capture of data across environmental, physiological,
behavioral, and psychological domains. In the Adolescent Brain Cognitive
Development (ABCD) Study, there is great potential for harnessing the
acceptability, accessibility, and functionality of mobile and social technologies
for in-vivo data capture to precisely measure factors, and interactions between
factors, that contribute to childhood and adolescent neurodevelopment and
psychosocial and health outcomes. Here we discuss advances in mobile and wearable
technologies and methods of analysis of geospatial, ecologic, social network and
behavioral data. Incorporating these technologies into the ABCD study will allow
for interdisciplinary research on the effects of place, social interactions,
environment, and substance use on health and developmental outcomes in children
and adolescents.
PMID- 29636284
TI - Assessing bottlenecks in Emergency Department flow of patients with abdominal
pain.
AB - INTRODUCTION: Abdominal pain has a wide range of possible causes, which may lead
to difficulties in diagnosing and lengthy Emergency Department (ED) stays. In
this study, bottlenecks in ED processes of patients with abdominal pain were
identified. METHODS: Time-points of patients who presented to a Dutch ED with
abdominal pain were observed and documented. The institutional review board
approved the study. RESULTS: In total, 3015 min of patient time were observed in
54 patients. Median length of stay (LOS) was 218 min for admitted patients, and
168 min for discharged patients. For 65 patients (27.4%), LOS exceeded 4 h.
Delays were found during the diagnostic process, when multiple physicians were
needed in order to make a decision, and during departure. CONCLUSIONS: Our study
concerning individual patients' time-points provides important insight into
delays in the patient journey of patients with abdominal pain. Flow improvement
can be achieved by focusing on these bottlenecks, for example by minimizing
diagnostic delays and by simultaneous specialists' consultations for patients who
need more than one physician. The optimization of ED flow for patients with
abdominal pain depends on coordinated efforts between ED staff, medical
specialists, radiology and laboratory staff, staff from inpatient units, and
hospital supporting services.
PMID- 29636285
TI - Identification of a new variant of Chlamydia trachomatis in Mexico.
AB - INTRODUCTION: Chlamydia trachomatis is one of the main etiological agents of
sexually transmitted infections worldwide. In 2006, a Swedish variant of C.
trachomatis (Swedish-nvCT), which has a deletion of 377bp in the plasmid, was
reported. In Latin America, Swedish-nvCT infections have not been reported. We
investigated the presence of Swedish-nvCT in women with infertility in Mexico.
METHODS: Swedish-nvCT was searched in 69C. trachomatis positive samples from 2339
endocervical specimens. We designed PCR primers to identify the deletion in the
plasmid in the ORF1, and the presence of a repeated 44bp in the ORF3. The sample
with the deletion was genotyped with the genes of the major outer membrane
protein A (ompA) and the polymorphic membrane protein (pmpH). RESULTS: The
deletion was detected in one of the 69 samples positive C. trachomatis of 2339
endocervical exudates. The nucleotide sequence analysis of the ompA shows a high
degree of similarity with the Swedish nvCT (98%), however the variant found
belongs to serovar D. The nucleotide sequence of the pmpH gene associates to the
variant found in the genitourinary pathotype of the Swedish-nvCT but in different
clusters. CONCLUSIONS: Our results revealed the presence of a new variant of C.
trachomatis in Mexican patients. This variant found in Mexico belongs to serovar
D based on the in silico analysis of the ompA and pmpH genes and differs to the
Swedish-nvCT (serovars E). For these variants of C. trachomatis that have been
found it is necessary to carry out a more detailed analysis, although the role of
this mutation has not been demonstrated in the pathogenesis.
PMID- 29636286
TI - Corrigendum to "Morphometric analysis of the cerebral expression of ATP-binding
cassette transporter protein ABCB1 in chronic schizophrenia: Circumscribed
deficits in the habenula" [Schizophr. Res. 2016 Nov;177(1-3):52-58].
PMID- 29636287
TI - Letter to the Editor: Left-handedness and rTMS for auditory verbal
hallucinations: Should left-handers be treated the same as right-handers?
PMID- 29636288
TI - Biomarkers of Alzheimer's disease in mild cognitive impairment: Experience in a
memory clinic from Latin America.
AB - OBJECTIVE: This study aimed to investigate the role and prognosis of Alzheimer
disease biomarkers in patients with mild cognitive impairment (MCI) at a memory
clinic in Latin America. METHODS: We studied 89 patients with MCI, 43 with
Alzheimer-type dementia, and 18 healthy controls (matched for age, sex, and
educational level) at our memory clinic (Instituto FLENI) in Buenos Aires,
Argentina. Patients and controls underwent an extensive demographic,
neurological, and neuropsychological assessment. All subjects underwent a brain
MRI scan; FDG-PET scan; amyloid PET scan; apolipoprotein E genotyping; and
cerebrospinal fluid concentrations of Abeta1-42, tau, and phosphorylated tau.
Patients were categorised as positive or negative for the presence of amyloid
pathology and neurodegeneration. RESULTS: Amyloid pathology was observed in
cerebrospinal fluid results in 18% of controls, 64% of patients with MCI, and 92%
of patients with Alzheimer-type dementia. Suspected non-Alzheimer disease
pathophysiology was found in 11% of controls, 6% of patients with MCI, and 8% of
patients with Alzheimer-type dementia. At 30 months of follow-up, 45% of amyloid
positive patients with MCI and 20% of amyloid-negative patients with MCI showed
progression to dementia. CONCLUSIONS: This study demonstrates biomarker-based MCI
prognosis and supports its role in clinical decision-making in daily practice.
PMID- 29636289
TI - Folic acid protects against experimental prenatal nicotine-induced cardiac injury
by decreasing inflammatory changes, serum TNF and COX-2 expression.
AB - Nicotine administration has been shown to increase the risk for cardiovascular
diseases and death. The present study was designed to investigate the impact of
nicotine administration on serum level tumor necrosis factor and cycloxygenase -2
(COX-2) expression mediated cardiac injury in rat off springs, and the possible
protective effect of folic acid. Eighteen pregnant female rats were randomly
divided into three groups, six animals each. Control group received the vehicle,
nicotine group received a dose of nicotine 0.1 mg/kg body weight, daily with
subcutaneous injection from day 3 of gestation until weaning on postnatal day 21.
Nicotine treated group received daily oral supplementation with folic acid 200
mg/kg body weight by intragastric tube prior to injection of nicotine. In serum
of the pups, levels of tumor necrosis factor (TNF), nitric oxide (NO), total
antioxidant capacity (TAC) and malondialdehyde (MDA) were measured.
Histopathological studies of cardiac tissues using hematoxylin-eosin (H&E) were
carried out. The expression of COX-2 was evaluated using immunohistochemistry.
Serum TNF and MDA were significantly increased, while serum NO and TAC were
significantly decreased in nicotine group. Moreover, nicotine-exposed rats showed
complete lysis of cardiac myocytes, marked cytoplasmic vacuolation of myocytes,
muscle fibers show loss of striation and increased COX-2 expression. Concomitant
folic acid administration resulted in a significant alleviation of biochemical
and structural alteration-induced by nicotine. In conclusion, folic acid has a
protective role against nicotine induced cardiac injury by reduction of COX-2
expression, decreasing TNF production and lipid peroxidation mediated cell
injury.
PMID- 29636290
TI - [Family violence prevalence in patients from the Gerontology Module of a Family
Medicine clinic].
PMID- 29636291
TI - [Identification of single nucleotide polymorphisms related to frailty].
AB - INTRODUCTION: The search for biomarkers that can lead to the early diagnosis and
thus, early treatment of frailty, has become one of the main challenges facing
the geriatric scientific community. The aim of the present study was to identify
single nucleotide polymorphisms (SNPs) related to frailty. MATERIAL AND METHODS:
The study was conducted on 152 subjects from the Toledo Study for Healthy Aging
(65 to 95 years of age), and classified as frail (n=78), and non-frail (n=74),
according to Fried's criteria. After blood collection, DNA was isolated and
amplified for the analysis of SNPs using AxiomTM Genotyping technology
(Affymetrix). Statistical analyses were performed using the Plink program and
library SNPassoc. RESULTS: The results of the study showed 15 SNPs with a P<.001.
Those SNPs involved in processes related to frailty, such as energy metabolism,
regulation of biological processes, cell motility and integrity, and cognition
are highlighted. CONCLUSIONS: These results suggest that the genetic variations
identified in frail individuals that are involved in biological processes related
to frailty may be considered as biomarkers for the early detection of frailty.
PMID- 29636292
TI - [Association between dementia and pemphigoid bullous as a paradigm of a
multidimensional and interdisciplinary attention"].
PMID- 29636293
TI - Diagnostic value of QRS and S wave variation in patients with suspicion of acute
pulmonary embolism.
AB - BACKGROUND: This study aimed to investigate the diagnostic value of QRS and S
wave variation in patients admitted to the emergency department with suspicion of
acute pulmonary embolism (APE). METHOD: Computerized tomographic pulmonary
angiography (CTPA) was performed in 118 consecutive patients to evaluate patients
with suspected APE, and 106 subjects with appropriate electrocardiogram and CT
images constituted the study population. RESULTS: Using CTPA, APE was diagnosed
in 48.1% (n:51) of the study population. The comparison of patients with APE and
those without APE revealed that increased heart rate, right axis deviation of QRS
axis, complete or incomplete right bundle branch block, prominent S wave in lead
D1, increased QRS duration, percentage of QRS (9,8[4,8-19,0] vs 3,8[2,7-71];
p<0,001), S wave variation (22,3[9,6-31,9] vs 4,8 [2-8]; p<0,001) and DeltaS wave
amplitude (1.1[0.5-1.5] vs 0.2[0.1-0.5]; p<0.001) were significantly associated
with APE, but no relationship was detected with respect to the presence of atrial
arrhythmias, clockwise rotation of the horizontal axis, fragmentation, ST segment
deviation, T wave inversion, and S1Q3T3 and S1S2S3 patterns. The percentage of S
wave variation (OR: 1072 per 1% increase, 95% CI:1011-1137) was found to be an
independent predictor of APE. DeltaS wave amplitude>0.5mm predicted APE with a
sensitivity of 72.6% and a specificity of 74.6% (AUC:0.805, 95% CI: 0.717-0.876;
p<0.001). CONCLUSION: The present study demonstrated that QRS and S wave
variation could be useful electrocardiographic signs for the diagnosis of APE.
PMID- 29636294
TI - Contrast-induced encephalopathy presenting as acute subarachnoid hemorrhage.
AB - Contrast media induced encephalopathy is a rare complication of contrast
administration characterized by non-specific neurological signs and symptoms that
may present both clinically and radiolographically as subarachnoid hemorrhage.
While there are few reported presentations in the neurology literature after
inpatient contrast administration, similar emergency department presentations
have not been documented. We describe a patient who presented with encephalopathy
and expressive aphasia after outpatient evaluation of an intrathecal analgesia
pump who had radiographic imaging consistent with acute subarachnoid hemorrhage.
The hyperdensities seen on computed tomography scanning were subsequently
identified as residual gadolinium contrast media resulting in allergic
encephalopathy in this patient.
PMID- 29636295
TI - Concussion diagnoses among adults presenting to three Canadian emergency
departments: Missed opportunities.
AB - OBJECTIVES: Patients with concussion commonly present to the emergency department
(ED) for assessment. Misdiagnosis of concussion has been documented in children
and likely impacts treatment and discharge instructions. This study aimed to
examine diagnosis of concussion in a general adult population. METHODS: Patients
>17years old presenting meeting the World Health Organization's definition of
concussion were recruited in one academic (Hospital 1) and two community
(Hospitals 2 and 3) EDs in a Canadian city. A physician questionnaire and patient
interviews documented recommendations given by emergency physicians. Bi-variable
comparisons are reported using chi-square tests, t-tests or Mann-Whitney tests,
as appropriate. Multivariate analyses were performed using logistic regression
methods. RESULTS: Overall, the study enrolled 250 patients. The median age was 35
(IQR: 23 to 49) and 52% were female. A variety of concussion causes were
documented. Forty-one (16%) patients were not diagnosed with a concussion despite
meeting criteria. Concussion diagnosis was less likely with a longer ED length of
stay (OR=0.71; 95% CI: 0.60 to 0.83), presenting to the non-academic centers
(Hospital 2: OR=0.21, 95% CI: 0.08 to 0.58; Hospital 3: OR=0.07, 95% CI: 0.02 to
0.24), or involvement in a motor vehicle collision (OR=0.11; 95% CI: 0.03 to
0.46). CONCLUSION: One in six patients with concussion signs and symptoms were
misdiagnosed in the ED. Misdiagnosis was related to injury mechanism, length of
stay, and enrolment site. Closer examination of institutional factors is needed
to identify effective strategies to promote accurate diagnosis of concussion.
PMID- 29636296
TI - Prevalence of current oral HPV infection among 100 betel nut chewers or cigarette
smokers in Northern Taiwan.
AB - BACKGROUND: The incidence of HPV positive oropharyngeal cancer is increasing in
Taiwan. Given this, it is critical to understand the prevalence of oral HPV
infection since this cancer is potentially preventable. A community-based study
was conducted to evaluate the prevalence of oral HPV infection and sexual
behavior changes. METHODS: Between January and December 2016, 100 subjects
between 20 and 70 years-old with current/ever betel nut chewing or current
cigarette smoking visited the Department of Health, New Taipei City. Subjects
with cancer history or known HIV/AIDS were excluded. Sexual behavior information
was collected through a questionnaire. Oral rinse samples and oropharyngeal swabs
were obtained for HPV genotyping using the EasyChip HPV genotyping array (King
Car, Taiwan). RESULTS: 92 men and 8 women were recruited. The prevalence of oral
HPV infection was 3%, present between 60 and 70 (11%) and between 30 and 40 years
old (4%). The prevalence of the first sexual contact at younger than 20 years old
were 71.4%, 53.6%, 15.4% and 44% in <40, 40-49, 50-59 and 60+ years old,
respectively (p for trend = 0.0036). The prevalence of 3 or more lifetime sexual
partners were 60.7%, 57.1%, 23.1% and 16.7%, respectively for <40, 40-49, 50-59
and 60+ years old (p for trend = 0.0005). CONCLUSIONS: The prevalence of oral HPV
infection is 3%, in current/ever betel nut chewers or current cigarette smokers
in Northern Taiwan. Younger generation have more lifetime sexual partners and
younger first sexual contact. This could explain the rising incidence of HPV
positive oropharyngeal cancer in Taiwan.
PMID- 29636297
TI - Is donor age 6 years or less related to increased risk of surgical complications
in pediatric kidney transplantation?
AB - INTRODUCTION: Despite the widespread organ shortage dilemma, there is hesitancy
regarding utilization of young donors (aged <=6 years) because previous reports
have suggested that this is associated with an increased risk of surgical
complications and graft loss. OBJECTIVE: The aim of this study was to determine
if donor age <=6 years is related to increased risk of surgical complications or
allograft loss in pediatric kidney transplantation (KT). STUDY DESIGN: A
retrospective study of pediatric kidney transplants (KT) undertaken between
January 2000 and July 2015. The incidence of surgical and urological
complications, and allograft loss were analyzed and compared between donors aged
<=6 years (Group 1) and donors aged >6 years (Group 2). RESULTS: A total of 171
pediatric KTs were performed at the current center during the study period.
Twenty-eight patients were excluded; as a result, the study comprised 143
patients: 60 (Group 1) and 83 (Group 2). Mean recipient weight was 17 kg (SD 9.7;
range 3.2-47) in Group 1 and 38.2 kg (SD 15.3; range 7.8-73) in Group 2. Despite
a significantly higher proportion of risk factors in Group 1, no significant
between-group differences were observed in terms of: surgical complications (OR
0.4; range 0.1-1.2), early urological complications (OR 2.2; range 0.4-11), late
urological complications (OR 0.3; range 0.8-1.4), lymphoceles (OR 6.2; range 0.7
51.7) and allograft loss (OR 1.5; range 0.7-3.1, summary Table). Graft survival
at 1 and 5 years was: 81% and 70% (Group 1) and 92% and 79% (Group 2),
respectively (P = 0.093). Mean follow-up was 90.13 +/- 49.7 months. DISCUSSION:
The main finding of this retrospective study was that pediatric donor kidneys
from donors aged <=6 years could safely be used in pediatric recipients without
an increased risk of surgical and urological complications or graft loss.
Nevertheless, KT with small donor kidneys is challenging and should be performed
at experienced pediatric centers. CONCLUSION: In line with these results, the
outcomes of KT using donors aged <=6 years were encouraging and similar to those
obtained with older donors. Thus, this study supported using kidney grafts from
young donors, given the organ shortage and potential high mortality risk while
awaiting KT.
PMID- 29636298
TI - Role of surgery in localized initially unresectable neuroblastoma.
AB - PURPOSE: Evaluating the role of surgery and the extent of tumor resection on the
outcome of patients with localized initially unresectable neuroblastoma (NB).
PATIENTS AND METHODS: This was a retrospective case review study including
patients with localized initially unresectable NB. The primary tumor was
considered unresectable according to imaging defined risk factors (IDRFs).
Surgical resection was attempted after four to six courses of chemotherapy. The
extent of resection was classified as follows: >=90% resection, incomplete
resection (50-90%) and cases with <50% resection or just a biopsy. Survival
analysis was performed using an intention-to-treat approach. RESULTS: A total of
202 patients with NB were included. Surgical resection was done in 106 patients.
It was >=90% in 89 patients (83.9%). Surgical resection was not performed in 96
patients (47.5%). Fifty-five (57.2%) were in good response after primary
chemotherapy and 41 patients (42.7%) had persisting IDRFs, nine of them had
biopsy only, and a follow-up strategy was considered in the other 32 patients.
The overall 5-year event-free survival (EFS) and overall survival (OS) were 89.1
+/- 2.4% and 94.9 +/- 1.7%, respectively, with significantly better OS and EFS
for patients who had resection versus no resection (p = 0.003 and 0.04,
respectively). There was no impact of extent of resection on EFS and OS in the
whole group (p = 0.91, p = 0.9) and in subgroup analysis stratified by site,
histology, and age of the patients. CONCLUSION: In children with localized
initially unresectable NB, surgical resection was the only significant risk
factor associated with better survival. The extent of tumor resection had no
impact on EFS and OS. The concept of accepting incomplete resection to avoid
serious complications was successful.
PMID- 29636299
TI - Outstanding impact of soil tillage on the abundance of soil hydrolases revealed
by a metagenomic approach.
AB - The soil represents the main source of novel biocatalysts and biomolecules of
industrial relevance. We searched for hydrolases in silico in four shotgun
metagenomes (4,079,223 sequences) obtained in a 13-year field trial carried out
in southern Brazil, under the no-tillage (NT), or conventional tillage (CT)
managements, with crop succession (CS, soybean/wheat), or crop rotation (CR,
soybean/maize/wheat/lupine/oat). We identified 42,631 hydrolases belonging to
five classes by comparing with the KEGG database, and 44,928 sequences by
comparing with the NCBI-NR database. The abundance followed the order:
lipases>laccases>cellulases>proteases>amylases>pectinases. Statistically
significant differences were attributed to the tillage system, with the NT
showing about five times more hydrolases than the CT system. The outstanding
differences can be attributed to the management of crop residues, left on the
soil surface in the NT, and mechanically broken and incorporated into the soil in
the CT. Differences between the CS and the CR were slighter, 10% higher for the
CS, but not statistically different. Most of the sequences belonged to fungi
(Verticillium, and Colletotrichum for lipases and laccases, and Aspergillus for
proteases), and to the archaea Sulfolobus acidocaldarius for amylases. Our
results indicate that agricultural soils under conservative managements may
represent a hotspot for bioprospection of hydrolases.
PMID- 29636301
TI - Transseptal transcatheter mitral valve-in-valve for treatment of severe mitral
regurgitation in failed bioprosthesis complicated with cardiogenic shock: Case
report and review of the literature.
AB - Patients in cardiogenic shock due to severe failure of a mitral bioprosthesis
have extremely high risk for repeat standard cardiac surgery. This patient
population may benefit the most form the least invasive intervention. We report a
successful transseptal mitral valve-in-valve implant performed in a patient in
cardiogenic shock due to a flail mitral bioprosthesis requiring bridging
intravenous inotropic and intra-aortic balloon pump support.
PMID- 29636300
TI - Prognostic Value of ACVRL1 Expression in Metastatic Colorectal Cancer Patients
Receiving First-line Chemotherapy With Bevacizumab: Results From the Triplet Plus
Bevacizumab (TRIBE) Study.
AB - BACKGROUND: No biomarkers exist to predict benefit from antiangiogenic therapy in
metastatic colorectal cancer patients. ACVRL1 (activin receptor like-protein 1)
encodes for ALK1, a member of the transforming growth factor-beta receptor
family, which directs pathologic angiogenesis. We examined the intratumoral
expression of ACVRL1 and other angiogenesis pathway-related genes to identify
molecular markers in the TRIBE study. MATERIALS AND METHODS: Of 503 randomized
patients, 228 had sufficient tissue for analysis. Formalin-fixed paraffin
embedded specimens were examined for expression of VEGF-A, VEGF-B, VEGF-C,
VEGFR1, VEGFR2, ACVRL1, EphB4, and EGFL7 using reverse transcription polymerase
chain reaction. A maximal chi2 approach was used to determine the messenger RNA
levels associated with progression-free survival (PFS), overall survival (OS),
response rate, early tumor shrinkage, and depth of response. Recursive
partitioning trees were constructed to identify composite prognostic biomarker
profiles. External validation was conducted in silico using the Oncomine
database. RESULTS: High ACVRL1 expression was associated with superior OS in both
treatment arms (FOLFOXIRI [5-fluorouracil, leucovorin, oxaliplatin, irinotecan]
bevacizumab, 32.7 vs. 13.5 months, hazard ratio [HR], 0.38, P = .023; FOLFIRI [5
fluorouracil, leucovorin, irinotecan]-bevacizumab, 35.1 vs. 22.0 months, HR,
0.36, P = .006) and prolonged PFS (11.7 vs. 5.9 months, multivariate HR, 0.17; P
= .001) for patients receiving FOLFOXIRI-bevacizumab on univariate and
multivariate analyses. In recursive partitioning analysis, ACVRL1 was the
strongest discriminator of the response rate, PFS, and OS in patients receiving
FOLFOXIRI-bevacizumab and of OS in patients receiving FOLFIRI-bevacizumab. In
silico validation revealed significant associations between ACVRL1 expression,
disease recurrence, and 1-year survival (P < .05) among all colorectal cancer
stages. CONCLUSION: ACVRL1 expression could serve as a prognostic biomarker in
metastatic colorectal cancer patients receiving chemotherapy and bevacizumab and
warrants further evaluation in prospective studies.
PMID- 29636302
TI - Assessment of clinical outcomes of advanced hilar cholangiocarcinoma.
AB - BACKGROUND: Low resectability and poor survival outcome are common for hilar
cholangiocarcinoma (HCCA), especially in advanced stages. The present study was
to assess the clinical outcome of advanced HCCA, focusing on therapeutic
modalities, survival analysis and prognostic assessment. METHODS: Clinical data
of 176 advanced HCCA patients who had been treated in our hospital between
January 2013 and December 2015 were analyzed retrospectively. Prognostic effects
of clinicopathological factors were explored by univariate and multivariate
analysis. Survival predictors were evaluated by the receiver operating
characteristic (ROC) curve. RESULTS: The 3-year overall survival rate was 13% for
patients with advanced HCCA. Preoperative total bilirubin (P = 0.009), hepatic
artery invasion (P = 0.014) and treatment modalities (P = 0.020) were independent
prognostic factors on overall survival. A model combining these independent
prognostic factors (area under ROC curve: 0.748; 95% CI: 0.678-0.811;
sensitivity: 82.3%, specificity: 53.5%) was highly predictive of tumor death.
After R0 resection, the 3-year overall survival was up to 38%. Preoperative total
bilirubin was still an independent negative factor, but not for hepatic artery
invasion. CONCLUSIONS: Surgery is still the best treatment for advanced HCCA.
Preoperative biliary drainage should be performed in highly-jaundiced patients to
improve survival. Prediction of survival is improved significantly by a model
that incorporates preoperative total bilirubin, hepatic artery invasion and
treatment modalities.
PMID- 29636303
TI - Effect of flexibility deficit on scapular asymmetry in individuals with and
without shoulder pain.
AB - BACKGROUND: Many studies have investigated the relationship between soft tissue
tightness and shoulder kinematics. However, there is a lack of information on the
dynamic properties responsible for side-to-side differences such as scapular
asymmetry. OBJECTIVE: To determine the relationship between a deficit in soft
tissue flexibility and scapular asymmetry. METHODS: A total of 58 individuals (29
patients with shoulder pain and 29 asymptomatic participants) were enrolled.
Bilateral shortening of the pectoralis minor muscle and posterior shoulder
tightness were assessed. Additionally, side-to-side flexibility deficit was
calculated. Scapular kinematics were measured with an electromagnetic tracking
device while individuals were standing in a resting position and during arm
elevation. The symmetry angle was calculated to quantify scapular asymmetry.
RESULTS: The pectoralis minor and the posterior capsule flexibility deficit
showed a significant positive relationship with the symmetry angle in the resting
position separately for both asymptomatic (r=0.47, r=0.37 relatively) and
symptomatic groups (r=0.58, r=0.38 relatively), indicating that the increased
deficit in the pectoralis minor and posterior capsule flexibility were associated
with increased scapular asymmetry. However, no significant relationship was found
between flexibility deficit and scapular asymmetry during arm elevation and
lowering for both asymptomatic and symptomatic groups. CONCLUSION: The findings
of the study provided information on the relationship of a flexibility deficit on
the scapular position and orientation in asymptomatic and symptomatic
populations.
PMID- 29636304
TI - Prevalence of knee osteoarthritis in former athletes: a systematic review with
meta-analysis.
AB - BACKGROUND: Prevalence of knee osteoarthritis in former athletes is still
unclear. OBJECTIVE: To investigate prevalence of knee osteoarthritis in former
athletes. Moreover, the secondary aim was to investigate whether poor
methodological quality of included studies, different diagnosis criteria for knee
osteoarthritis, different sports modality or sex impact on overall estimated
prevalence of knee osteoarthritis in former athletes. METHODS: Searches on
MEDLINE, EMBASE, AMED, SPORTDiscus and CINAHL from the earliest record to
February 2018 and hand-searching identified studies investigating prevalence of
knee osteoarthritis in former athletes. Meta-analysis was conducted and the GRADE
system summarized strength of the current recommendations. Sensitivity analyses
investigated whether methodological quality, diagnostic criteria, type of sports
or sex impacted on the overall estimated prevalence in former athletes. This
review was registered at PROSPERO (CRD42016050903). RESULTS: Fifteen studies were
included and pooling of 3100 participants estimated overall prevalence of knee
osteoarthritis in former athletes of 30.0% (95% CI: 20.0 to 40.0%). The strength
of the current recommendations was low-quality. Sensitivity analyses suggested
that different diagnostic criteria for knee osteoarthritis and type of sports may
impact on the overall estimated prevalence. CONCLUSIONS: Prevalence of knee
osteoarthritis in former athletes was 30.0%. Researchers, clinicians and
policymakers should be careful about potential prevalence differences among type
of sports and diagnostic criteria. Current low-quality evidence shows that future
high-quality studies are likely to impact on the estimated prevalence.
PMID- 29636305
TI - Effects of inspiratory muscle training in children with cerebral palsy: a
randomized controlled trial.
AB - BACKGROUND: Respiratory muscle weakness and its relation to other impairments in
children with cerebral palsy (CP) have been shown in the latest studies. The
effects of inspiratory muscle training (IMT) in this population have not been
comprehensively investigated so far. OBJECTIVES: To investigate the effects of
IMT on trunk control, pulmonary functions, respiratory muscle strength, daily
living activities, exercise capacity and quality of life in children with CP.
METHODS: This was a prospective-randomized controlled trial. Twenty-five children
with CP were randomly assigned to the treatment (n=13) or the control group
(n=12). The treatment group received IMT at 30% of maximal inspiratory pressure
(MIP) and the control group received sham therapy (5% of MIP) for 6 weeks. Also,
both groups received routine conventional physical therapy (stretching,
strengthening, and functional exercises, etc.) for 6 weeks. The primary outcome
measure was trunk control. Secondary outcome measures were pulmonary function,
respiratory muscle strength, daily living activities, functional exercise
capacity and quality of life. RESULTS: The treatment group had better outcome for
trunk control (3.87, 95% CI 3.72-4.02). Also, respiratory muscle strength, daily
living activities, functional exercise capacity and quality of life were
significantly improved in the treatment group compared with controls. No
improvements were observed in the pulmonary function test scores between the
groups. CONCLUSION: Inspiratory muscle training improves trunk control,
respiratory muscle strength, daily living activities, functional exercise
capacity and quality of life in children with CP and it can be included in the
physiotherapy and rehabilitation programs.
PMID- 29636307
TI - Three-dimensional evaluation of mandibular asymmetry: a new classification and
three-dimensional cephalometric analysis.
AB - Mandibular asymmetry is common among orthognathic patients and exhibits great
variation. The aim of this study was to propose a new classification of
mandibular asymmetry by anatomical regions; namely R (ramus), B (mandibular body)
and C (chin), in conjunction with a corresponding 'RBC' three-dimensional (3D)
cephalometric analysis. The cone beam computed tomography data of 65 patients
with mandibular asymmetry was retrieved to perform the RBC 3D cephalometric
analysis and to investigate the characteristics of mandibular asymmetry. It was
found that the more posteriorly in mandible, the more pronounced was the vertical
asymmetry. Significant transverse asymmetry was only noted in mandibular body.
Both mandibular body and chin were significantly asymmetric in length. Seven
significant morphologic predictors of menton deviation were identified, namely
lower dental midline shift, difference in ramus height, difference in chin
length, difference in body length, body height on contralateral side, coronoid
height on deviated side and body width on contralateral side, confirming the
complex nature of mandibular asymmetry. This simple and concise classification
allows comprehensive assessment of mandible morphology by anatomical regions
which also facilitates diagnosis, treatment planning and communication in both
clinical and research settings.
PMID- 29636306
TI - Dual-task as a predictor of falls in older people with mild cognitive impairment
and mild Alzheimer's disease: a prospective cohort study.
AB - BACKGROUND: A dual-task tool with a challenging and daily secondary task, which
involves executive functions, could facilitate the screening for risk of falls in
older people with mild cognitive impairment or mild Alzheimer's disease.
OBJECTIVE: To verify if a motor-cognitive dual-task test could predict falls in
older people with mild cognitive impairment or mild Alzheimer's disease, and to
establish cutoff scores for the tool for both groups. METHODS: A prospective
study was conducted with community-dwelling older adults, including 40 with mild
cognitive impairment and 38 with mild Alzheimer's disease. The dual-task test
consisted of the Timed up and Go Test associated with a motor-cognitive task
using a phone to call. Falls were recorded during six months by calendar and
monthly telephone calls and the participants were categorized as fallers or non
fallers. RESULTS: In the Mild cognitive impairment Group, fallers presented
higher values in time (35.2s), number of steps (33.7 steps) and motor task cost
(116%) on dual-task compared to non-fallers. Time, number of steps and motor task
cost were significantly associated with falls in people with mild cognitive
impairment. Multivariate analysis identified higher number of steps spent on the
test to be independently associated with falls. A time greater than 23.88s
(sensitivity=80%; specificity=61%) and a number of steps over 29.50
(sensitivity=65%; specificity=83%) indicated prediction of risk of falls in the
Mild cognitive impairment Group. Among people with Alzheimer's disease, no
differences in dual-task between fallers and non-fallers were found and no
variable of the tool was able to predict falls. CONCLUSION: The dual-task
predicts falls only in older people with mild cognitive impairment.
PMID- 29636308
TI - A novel digital workflow to manufacture personalized three-dimensional-printed
hollow surgical obturators after maxillectomy.
AB - Partial or complete resection of the maxilla during tumour surgery causes
oronasal defects, leading to oral-maxillofacial dysfunction, for which the
surgical obturator (SO) is an important treatment option. Traditional
manufacturing of SOs is complex, time-consuming, and often results in inadequate
fit and function. This technical note describes a novel digital workflow to
design and manufacture a three-dimensional (3D)-printed hollow SO. Registered
computed tomography and magnetic resonance imaging images are used for gross
tumour delineation. The produced RTStruct set is exported as a stereolitography
(STL) file and merged with a 3D model of the dental status. Based on these merged
files, a personalized and hollow digital SO design is created, and 3D printed.
Due to the proper fit of the prefabricated SO, a soft silicone lining material
can be used during surgery to adapt the prosthesis to the oronasal defect,
instead of putty materials that are not suitable for this purpose. An STL file of
this final SO is created during surgery, based on a scan of the relined SO. The
digital workflow results in a SO weight reduction, an increased fit, an up-to
date digital SO copy, and overall easier clinical handling.
PMID- 29636310
TI - L-Cysteine Partially Protects Against Acrylamide-Induced Testicular Toxicity.
AB - BACKGROUND: Acrylamide is a widespread substance having many areas of
utilization. Besides, it also forms a part of high-temperature processed starchy
foods. To date, numerous in vivo and in vitro studies have documented that
acrylamide has toxic effects on various organ systems. AIMS: To determine the
potential protective effect of L-cysteine on acrylamide-induced testicular
toxicity. STUDY DESIGN: Animal experimentation. METHODS: We randomly divided 28
rats into four groups as control (0.9% saline), L-cysteine (150 mg/kg),
acrylamide (40 mg/kg) and acrylamide + L-cysteine. After a 10-day intraperitoneal
injection period, we euthanized the animals, recorded their body and testis
weights, collected blood samples for serum testosterone measurement, and excised
testes for histopathological and morphometric evaluation. Besides,
immunohistochemical scoring of proliferating cell nuclear antigen and bax
proteins was performed. RESULTS: Acrylamide reduced body (p<0.01) and testis
weights (p<0.05), seminiferous tubule diameter (p<0.001) and proliferating cell
nuclear antigen expression (p<0.05), while it increased bax protein expression
(p<0.01) and the percentage of seminiferous tubules that contain multinucleated
giant cells (p<0.001), but did not significantly change serum testosterone levels
when compared to control. L-cysteine administered with acrylamide decreased
multinucleated giant cell number (p<0.001) and reversed the reduced proliferating
cell nuclear antigen positivity (p<0.001), but did not restore other parameters
compared with the acrylamide alone-treated group. CONCLUSION: Taking into account
the dose and duration employed, the present study concluded that L-cysteine
partially protects testis against acrylamide-induced toxic effects.
PMID- 29636309
TI - Topical vapocoolant spray reduces nociceptive response to ear notching in
neonatal piglets.
AB - OBJECTIVE: To evaluate the efficacy of a vapocoolant spray (VS) to provide local
anaesthesia for piglets during ear notching. STUDY DESIGN: Randomized study.
ANIMALS: Eleven 10 day old and forty 3-5 day old Large White piglets. METHODS:
Temperature validation studies using thermocouples and a temperature data logger
were conducted on dead and live tissue to determine optimal spray distance and
duration to reduce tissue temperature to below 10 degrees C. A behavioural trial
was conducted to assess efficacy for ear notching. Piglets were randomly assigned
to one of four treatment groups: positive control (POS; n = 10), VS (n = 10),
lignocaine (LIG; n = 10) and negative control (NEG; n = 10). Spray was
administered to the margin of each ear, from a distance of 10 cm, and for a
duration of 2 seconds immediately prior to ear notching. Behavioural observation
was used to assess movement and vocal response, with responses categorized as no
response (0) and response (1). RESULTS: Temperature and tissue validation studies
indicated that a 2 second spray from 10 cm reduced tissue temperature to below 10
degrees C, and reduced response to stimulation of the skin with an 18 gauge
needle (p < 0.001). There was a significant effect of treatment on response to
ear notching (p < 0.001). The probability of a piglet to respond to ear notching
was 98.7% for NEG piglets, compared with those treated with VS (5.3%), LIG (1.1%)
and sham-notched piglets (0.9%). CONCLUSIONS AND CLINICAL RELEVANCE: This study
contributes new data on the pain of ear notching in piglets. The application of a
topical VS prior to ear notching reduced the antinociceptive response of piglets
to the procedure, similar to that of a local anaesthetic. Cryoanaesthesia
presents a simple and effective option for reducing the pain response to this
simple husbandry procedure.
PMID- 29636311
TI - Polypropylene Suture Guided Microdochectomy for Pathologic Nipple Discharge
PMID- 29636312
TI - The Role of Follicular Fluid Thiol/Disulphide Homeostasis in Polycystic Ovary
Syndrome
AB - BACKGROUND: Oxidative stress has been proposed as a potential trigger in the
etiopathogenesis of polycystic ovary syndrome-related infertility.
Thiol/disulphide homeostasis, a recently identified oxidative stress marker, is
one of the antioxidant mechanism in humans with critical roles in
folliculogenesis and ovulation. AIMS: To investigate follicular fluid
thiol/disulphide homeostasis in the etiopathogenesis of polycystic ovary syndrome
and to determine its association with in vitro fertilization outcome. The study
procedures were approved by the local ethics committee. STUDY DESIGN: Cross
sectional study. Methods: Follicular fluid from 22 women with polycystic ovary
syndrome and 20 ovulatory controls undergoing in vitro fertilization treatment
was sampled. Thiol/disulphide homeostasis was analyzed via a novel
spectrophotometric method. Results: Follicular native thiol levels, as well as
the native thiol/total thiol ratio, were lower in the polycystic ovary syndrome
group than in the non-polycystic ovary syndrome group (p=0.041 and p<0.0001,
respectively). Disulphide levels, disulphide/native thiol, and disulphide/total
thiol ratios were increased in the polycystic ovary syndrome group (p<0.0001). A
positive correlation between the fertilization rate and native thiol (p=0.01,
r=0.53) and total thiol (p=0.01, r=0.052) among polycystic ovary syndrome
patients was found. A positive predictive effect of native thiol level on the
fertilization rate in the polycystic ovary syndrome group was also found (p=0.03,
beta=0.45, 95% CI= 0.031-0.643). Conclusion: Deterioration of thiol/disulphide
homeostasis, especially elevated disulphide levels, could be one of the
etiopathogenetic mechanisms in polycystic ovary syndrome. Increased native thiol
levels are related to the fertilization rate among polycystic ovary syndrome
patients and are positive predictors of the fertilization rate among polycystic
ovary syndrome patients. Improvement of thiol/disulphide homeostasis could be
important in the treatment of polycystic ovary syndrome to increase in vitro
fertilization success.
PMID- 29636313
TI - Outcome after prenatal diagnosis of fetal urinary tract abnormalities: A tertiary
center experience
AB - Objective: With the widespread use of ultrasonography for fetal screening, the
detection and management of congenital urinary tract abnormalities has become
crucial. In this study, we aimed to describe the clinical approaches in patients
with prenatally detected urinary tract abnormalities. Material and Methods: This
study is a retrospective, single-center study performed at a perinatology unit of
a university hospital, between 2010 and 2016. The outcomes of 124 patients who
were prenatally diagnosed as having urinary tract abnormalities are reported.
Variables included in the analysis were fetal sex, birth week and weight,
persistency, and necessity surgery after birth for renal pelvic dilatation. Low
risk renal pelvic dilatation was determined as an anterior-posterior (AP)
diameter of 4-7 mm at 16-28 weeks, 7-10 mm after 28 weeks, whereas high-risk
dilatation was defined as AP measurements of >=7 mm at 16-28 weeks, >=10 mm after
28 weeks, respectively. Results: The majority of patients consisted of male
fetuses with bilateral pelviectasis (62.9%, 20.2%, respectively). The mean age
was 28.8+/-6.4 years. The mean gestational age at birth was 34.2+/-7.8 weeks. The
mean birth weight was 2593+/-1253.3 g. The need for surgery was greater in high
risk patients than in low-risk patients (58.3% vs. 8.7%) (p<0.002). Conclusion:
Patients with high-risk antenatal renal pelvic dilatation require surgical
treatment after delivery. Close prenatal and postnatal follow-up is mandatory in
specialized centers. Perinatologists, neonatologists, pediatricians and pediatric
nephrologists, and radiologists should treat these children with a
multidisciplinary approach.
PMID- 29636314
TI - Congenital Spigelian Hernia Combined with Bilateral Inguinal Hernias
PMID- 29636315
TI - Multidisciplinary Collaboration in the Treatment of Patients With Type 2 Diabetes
in Primary Care: Analysis Using Process Mining.
AB - BACKGROUND: Public health in several countries is characterized by a shortage of
professionals and a lack of economic resources. Monitoring and redesigning
processes can foster the success of health care institutions, enabling them to
provide a quality service while simultaneously reducing costs. Process mining, a
discipline that extracts knowledge from information system data to analyze
operational processes, affords an opportunity to understand health care
processes. OBJECTIVE: Health care processes are highly flexible and
multidisciplinary, and health care professionals are able to coordinate in a
variety of different ways to treat a diagnosis. The aim of this work was to
understand whether the ways in which professionals coordinate their work affect
the clinical outcome of patients. METHODS: This paper proposes a method based on
the use of process mining to identify patterns of collaboration between
physician, nurse, and dietitian in the treatment of patients with type 2 diabetes
mellitus and to compare these patterns with the clinical evolution of the
patients within the context of primary care. Clustering is used as part of the
preprocessing of data to manage the variability, and then process mining is used
to identify patterns that may arise. RESULTS: The method is applied in three
primary health care centers in Santiago, Chile. A total of seven collaboration
patterns were identified, which differed primarily in terms of the number of
disciplines present, the participation intensity of each discipline, and the
referrals between disciplines. The pattern in which the three disciplines
participated in the most equitable and comprehensive manner had a lower
proportion of highly decompensated patients compared with those patterns in which
the three disciplines participated in an unbalanced manner. CONCLUSIONS: By
discovering which collaboration patterns lead to improved outcomes, health care
centers can promote the most successful patterns among their professionals so as
to improve the treatment of patients. Process mining techniques are useful for
discovering those collaborations patterns in flexible and unstructured health
care processes.
PMID- 29636316
TI - Harnessing Reddit to Understand the Written-Communication Challenges Experienced
by Individuals With Mental Health Disorders: Analysis of Texts From Mental Health
Communities.
AB - BACKGROUND: Mental disorders such as depression, bipolar disorder, and
schizophrenia are common, incapacitating, and have the potential to be fatal.
Despite the prevalence and gravity of mental disorders, our knowledge concerning
everyday challenges associated with them is relatively limited. One of the most
studied deficits related to everyday challenges is language impairment, yet we do
not know how mental disorders can impact common forms of written communication,
for example, social media. OBJECTIVE: The aims of this study were to investigate
written communication challenges manifest in online mental health communities
focusing on depression, bipolar disorder, and schizophrenia, as well as the
impact of participating in these online mental health communities on written
communication. As the control, we selected three online health communities
focusing on positive emotion, exercising, and weight management. METHODS: We
examined lexical diversity and readability, both important features for measuring
the quality of writing. We used four well-established readability metrics that
consider word frequencies and syntactic complexity to measure writers' written
communication ability. We then measured the lexical diversity by calculating the
percentage of unique words in posts. To compare lexical diversity and readability
among communities, we first applied pairwise independent sample t tests, followed
by P value adjustments using the prespecified Hommel procedure to adjust for
multiple comparison. To measure the changes, we applied linear least squares
regression to the readability and lexical diversity scores against the
interaction sequence for each member, followed by pairwise independent sample t
tests and P value adjustments. Given the large sample of members, we also report
effect sizes and 95% CIs for the pairwise comparisons. RESULTS: On average,
members of depression, bipolar disorder, and schizophrenia communities showed
indications of difficulty expressing their ideas compared with three other online
health communities. Our results also suggest that participating in these
platforms has the potential to improve members' written communication. For
example, members of all three mental health communities showed statistically
significant improvement in both lexical diversity and readability compared with
members of the OHC focusing on positive emotion. CONCLUSIONS: We provide new
insights into the written communication challenges faced by individuals suffering
from depression, bipolar disorder, and schizophrenia. A comparison with three
other online health communities suggests that written communication in mental
health communities is significantly more difficult to read, while also consisting
of a significantly less diverse lexicon. We contribute practical suggestions for
utilizing our findings in Web-based communication settings to enhance members'
communicative experience. We consider these findings to be an important step
toward understanding and addressing everyday written communication challenges
among individuals suffering from mental disorders.
PMID- 29636317
TI - Women's Perceptions of Using Mobile Phones for Maternal and Child Health Support
in Afghanistan: Cross-Sectional Survey.
AB - BACKGROUND: Growing rates of global mobile subscriptions pave the way for
implementation of mobile health (mHealth) initiatives, especially among hard-to
reach populations. OBJECTIVE: This study aimed to determine the perceptions of
Afghan women regarding the use of mobile phones for maternal and child health
services. METHODS: A cross-sectional survey was conducted in both rural and urban
districts of Nangarhar Province, Afghanistan. The interviewer-administered
questionnaire was used to assess participants' demographic profile, mobile phone
usage, and perception of respondents toward different aspects of health care
delivery via mobile phones. RESULTS: Of the 240 participants, 142 (59.2%) owned
mobile phones and 220 (91.7%) routinely used mobile phones. Approximately 209
(87.1%) of participants were willing to receive health messages via a mobile
phone. Automated voice call was the most preferred method for sending health
messages. More than 90% of the women reported that they would like to receive
reminders for their children's vaccinations and antenatal care visits.
CONCLUSIONS: Users' perception was associated with mobile phone ownership,
literacy level, and experience using mobile phones. In the study area, where the
literacy rate is low, mHealth was well perceived.
PMID- 29636318
TI - Predicting Sexual Behaviors Among Homeless Young Adults: Ecological Momentary
Assessment Study.
AB - BACKGROUND: Homeless youth continue to be disproportionately affected by HIV
compared with their housed peers, with prevalence rates as high as 13%. Yet, HIV
prevention in this high-risk population has been only marginally effective.
OBJECTIVE: The aim of this study was to use ecological momentary assessments to
examine real-time factors to determine the predictors of sexual activity among
homeless youth. METHODS: Youth experiencing homelessness aged between 18 and 24
years were recruited from a drop-in center in Houston, Texas, between August 2015
and May 2016. All the participants received a study-issued mobile phone that
prompted brief ecological momentary assessments (EMAs) 5 times a day for 21 days.
EMA items assessed near real-time sexual behaviors, cognitions, stress, affect,
environmental factors, and environmental circumstances. RESULTS: Participants
(N=66) were predominantly male (41/66, 64%) and black (43/66, 66%) with a median
age of 20 years. The mean number of EMAs completed by each participant was 45 out
of 105 possible observations. During the study, 70% (46/66) of participants were
sexually active and reported condomless sex in 102 of the 137 cases of sexual
intercourse (74.5%). In total, 82% (38/46) of the youth who reported having sex
during the 3 weeks of data collection also reported engaging in high-risk sexual
activities, including having condomless sex (24/46, 53%), having multiple sexual
partners on the same day (12/46, 26%), trading sex (7/46, 16%), and sharing
needles while injecting drugs (1/46, 3%). Of those, 71% (27/38) were engaged in
multiple sexual risk behaviors. The predictive model was based on observations
from 66 subjects who reported 137 cases of sexual intercourse over 811 days;
sexual orientation, race, mental health, drug use, and sexual urge were included
as predictors in the parsimonious generalized linear mixed model selected on the
basis of the Akaike information criterion. The estimated odds ratios (ORs) were
notable for same-day drug use (OR 2.17, 95% CI 4.48-17.31; P<.001) and sexual
urge (OR 1.44, 95% CI 1.60-11.28; P=.004). The performance of the risk estimator
was satisfactory, as indicated by the value of 0.834 for the area under the
receiver operating characteristic curve. CONCLUSIONS: Real-time EMA data can be
used to predict sexual intercourse among a sample of high-risk, predominately
unsheltered homeless youth. Sexual urge and drug use accounts for increased odds
of engaging in sexual activity on any given day. Interventions targeting sexual
urge and drug use may help predict sexual activity among a population at high
risk of HIV.
PMID- 29636319
TI - Mobile Phone Support for Diabetes Self-Care Among Diverse Adults: Protocol for a
Three-Arm Randomized Controlled Trial.
AB - BACKGROUND: Nonadherence to self-care is common among patients with type 2
diabetes (T2D) and often leads to severe complications. Moreover, patients with
T2D who have low socioeconomic status and are racial/ethnic minorities
disproportionately experience barriers to adherence and poor outcomes. Basic
phone technology (text messages and phone calls) provides a practical medium for
delivering content to address patients' barriers to adherence; however, trials
are needed to explore long-term and sustainable effects of mobile phone
interventions among diverse patients. OBJECTIVE: The aim of this study is to
evaluate the effects of mobile phone-based diabetes support interventions on self
care and hemoglobin A1c (HbA1c) among adults with T2D using a 3-arm, 15-month
randomized controlled trial with a Type 1 hybrid effectiveness-implementation
approach. The intervention arms are (1) Rapid Encouragement/Education And
Communications for Health (REACH) and (2) REACH + Family-focused Add-on for
Motivating Self-care (FAMS). METHODS: We recruited primary care patients with T2D
(N=512) from Federally Qualified Health Centers and an academic medical center,
prioritizing recruitment of publicly insured and minority patients from the
latter. Eligible patients were prescribed daily diabetes medication and owned a
cell phone with text messaging capability. We excluded patients whose most recent
HbA1c result within 12 months was <6.8% to support detection of intervention
effects on HbA1c. Participants were randomly assigned to REACH only, REACH +
FAMS, or the control condition. REACH provides text messages tailored to address
patient-specific barriers to medication adherence based on the Information
Motivation-Behavioral skills model, whereas FAMS provides monthly phone coaching
with related text message content focused on family and friend barriers to diet
and exercise adherence. We collect HbA1c and self-reported survey data at
baseline and at 3, 6, and 12 months, and again at 15 months to assess sustained
changes. We will use generalized estimating equation models to test the effects
of REACH (either intervention arm) on HbA1c relative to the control group, the
potential additive effects of FAMS, and effects of either intervention on
adherence to self-care behaviors and diabetes self-efficacy. RESULTS: The trial
is ongoing; recruitment closed December 2017. We plan to perform analyses on 6
month outcomes for FAMS in July 2018, and project to have 15-month data for REACH
analyses in April 2019. CONCLUSIONS: Our study will be one of the first to
evaluate a long-term, theory-based text messaging intervention to promote self
care adherence among racially/ethnically and socioeconomically diverse adults
with T2D. Moreover, our study will assess the feasibility of a family-focused
intervention delivered via mobile phones and compare the effects of text
messaging alone versus text messaging plus phone coaching. Findings will advance
our understanding of how interventions delivered by phone can benefit diverse
patients with chronic conditions. TRIAL REGISTRATION: ClinicalTrials.gov
NCT02409329; https://clinicaltrials.gov/ct2/show/NCT02409329 (Archived by WebCite
at http://www.webcitation.org/6yHkg9SSl); NCT02481596;
https://clinicaltrials.gov/ct2/show/NCT02481596 (Archived by WebCite at
http://www.webcitation.org/6yHkj9XD4).
PMID- 29636321
TI - Evaluating the Effectiveness of Text Messaging and Phone Call Reminders to
Minimize No Show at Pediatric Outpatient Clinics in Pakistan: Protocol for a
Mixed-Methods Study.
AB - BACKGROUND: Missing health care appointments without canceling in advance results
in a no show, a vacant appointment slot that cannot be offered to others. No show
can be reduced by reminding patients about their appointment in advance. In this
regard, mobile health (mHealth) strategy is to use text messaging (short message
service, SMS), which is available on all cellular phones, including cheap low-end
handsets. Nonattendance for appointments in health care results in wasted
resources and disturbs the planned work schedules. OBJECTIVES: The purpose of
this study is to evaluate the efficacy of the current text messaging (SMS) and
call-based reminder system and further explore how to improve the attendance at
the pediatric outpatient clinics. The primary objectives are to (1) determine the
efficacy of the current clinic appointment reminder service at pediatric
outpatient clinics at Aga Khan University Hospital, (2) assess the mobile phone
access and usage among caregivers visiting pediatrics consultant clinics, and (3)
explore the perception and barriers of parents regarding the current clinic
appointment reminder service at the pediatric outpatient clinics at Aga Khan
University Hospital. METHODS: The study uses a mixed-method design that consists
of 3 components: (1) retrospective study (component A) which aims to determine
the efficacy of text messaging (SMS) and phone call-based reminder service on
patient's clinic attendance during January to June 2017 (N=58,517); (2)
quantitative (component B) in which a baseline survey will be conducted to assess
the mobile phone access and usage among parents/caregivers of children visiting
pediatrics consultant clinics (n=300); and (3) qualitative (component C) includes
in-depth interviews and focus group discussion with parents/caregivers of
children visiting the pediatric consultancy clinic and with health care providers
and administrative staff. Main constructs will be to explore perceptions and
barriers related to existing clinic appointment reminder service. Ethics approval
has been obtained from the Ethical Review Committee, Aga Khan University,
Pakistan (4770-Ped-ERC-17). RESULTS: Results will be disseminated to pediatric
quality public health and mHealth communities through scientific meetings and
through publications, nationally and internationally. CONCLUSIONS: This study
will provide insight regarding efficacy of using mHealth-based reminder services
for patient's appointments in low- and middle-income countries setup. The finding
of this study will be used to recommend further enhanced mHealth-based solutions
to improve patient appointments and decrease no show.
PMID- 29636320
TI - A Behavioral Lifestyle Intervention Enhanced With Multiple-Behavior Self
Monitoring Using Mobile and Connected Tools for Underserved Individuals With Type
2 Diabetes and Comorbid Overweight or Obesity: Pilot Comparative Effectiveness
Trial.
AB - BACKGROUND: Self-monitoring is a cornerstone of behavioral lifestyle
interventions for obesity and type 2 diabetes mellitus. Mobile technology has the
potential to improve adherence to self-monitoring and patient outcomes. However,
no study has tested the use of a smartphone to facilitate self-monitoring in
overweight or obese adults with type 2 diabetes mellitus living in the
underserved community. OBJECTIVE: The aim of this study was to examine the
feasibility of and compare preliminary efficacy of a behavioral lifestyle
intervention using smartphone- or paper-based self-monitoring of multiple
behaviors on weight loss and glycemic control in a sample of overweight or obese
adults with type 2 diabetes mellitus living in underserved communities. METHODS:
We conducted a randomized controlled trial to examine the feasibility and
preliminary efficacy of a behavioral lifestyle intervention. Overweight or obese
patients with type 2 diabetes mellitus were recruited from an underserved
minority community health center in Houston, Texas. They were randomly assigned
to one of the three groups: (1) behavior intervention with smartphone-based self
monitoring, (2) behavior intervention with paper diary-based self-monitoring, and
(3) usual care group. Both the mobile and paper groups received a total of 11
face-to-face group sessions in a 6-month intervention. The mobile group received
an Android-based smartphone with 2 apps loaded to help them record their diet,
physical activity, weight, and blood glucose, along with a connected glucometer,
whereas the paper group used paper diaries for these recordings. Primary outcomes
of the study included percentage weight loss and glycated hemoglobin (HbA1c)
changes over 6 months. RESULTS: A total of 26 patients were enrolled: 11 in the
mobile group, 9 in the paper group, and 6 in the control group. We had 92%
(24/26) retention rate at 6 months. The sample is predominantly African Americans
with an average age of 56.4 years and body mass index of 38.1. Participants lost
an average of 2.73% (mobile group) and 0.13% (paper group) weight at 6 months,
whereas the control group had an average 0.49% weight gain. Their HbA1c changed
from 8% to 7 % in mobile group, 10% to 9% in paper group, and maintained at 9%
for the control group. We found a significant difference on HbA1c at 6 months
among the 3 groups (P=.01). We did not find statistical group significance on
percentage weight loss (P=.20) and HbA1c changes (P=.44) overtime; however, we
found a large effect size of 0.40 for weight loss and a medium effect size of
0.28 for glycemic control. CONCLUSIONS: Delivering a simplified behavioral
lifestyle intervention using mobile health-based self-monitoring in an
underserved community is feasible and acceptable and shows higher preliminary
efficacy, as compared with paper-based self-monitoring. A full-scale randomized
controlled trial is needed to confirm the findings in this pilot study. TRIAL
REGISTRATION: ClinicalTrials.gov NCT02858648;
https://clinicaltrials.gov/ct2/show/NCT02858648 (Archived by WebCite at
http://www.webcitation.org/6ySidjmT7).
PMID- 29636322
TI - Online Lectures in Undergraduate Medical Education: Scoping Review.
AB - BACKGROUND: The adoption of the flipped classroom in undergraduate medical
education calls on students to learn from various self-paced tools-including
online lectures-before attending in-class sessions. Hence, the design of online
lectures merits special attention, given that applying multimedia design
principles has been shown to enhance learning outcomes. OBJECTIVE: The aim of
this study was to understand how online lectures have been integrated into
medical school curricula, and whether published literature employs well-accepted
principles of multimedia design. METHODS: This scoping review followed the
methodology outlined by Arksey and O'Malley (2005). Databases, including MEDLINE,
PsycINFO, Education Source, FRANCIS, ERIC, and ProQuest, were searched to find
articles from 2006 to 2016 related to online lecture use in undergraduate medical
education. RESULTS: In total, 45 articles met our inclusion criteria. Online
lectures were used in preclinical and clinical years, covering basic sciences,
clinical medicine, and clinical skills. The use of multimedia design principles
was seldom reported. Almost all studies described high student satisfaction and
improvement on knowledge tests following online lecture use. CONCLUSIONS:
Integration of online lectures into undergraduate medical education is well
received by students and appears to improve learning outcomes. Future studies
should apply established multimedia design principles to the development of
online lectures to maximize their educational potential.
PMID- 29636324
TI - UK will invest L75m in research to improve prostate cancer care.
PMID- 29636325
TI - Nurse specialists could help patients and consultants prepare for appointments.
PMID- 29636323
TI - Engagement With a Trauma Recovery Internet Intervention Explained With the Health
Action Process Approach (HAPA): Longitudinal Study.
AB - BACKGROUND: There has been a growing trend in the delivery of mental health
treatment via technology (ie, electronic health, eHealth). However, engagement
with eHealth interventions is a concern, and theoretically based research in this
area is sparse. Factors that influence engagement are poorly understood,
especially in trauma survivors with symptoms of posttraumatic stress. OBJECTIVE:
The aim of this study was to examine engagement with a trauma recovery eHealth
intervention using the Health Action Process Approach theoretical model. Outcome
expectancy, perceived need, pretreatment self-efficacy, and trauma symptoms
influence the formation of intentions (motivational phase), followed by planning,
which mediates the translation of intentions into engagement (volitional phase).
We hypothesized the mediational effect of planning would be moderated by level of
treatment self-efficacy. METHODS: Trauma survivors from around the United States
used the eHealth intervention for 2 weeks. We collected baseline demographic,
social cognitive predictors, and distress symptoms and measured engagement
subjectively and objectively throughout the intervention. RESULTS: The
motivational phase model explained 48% of the variance, and outcome expectations
(beta=.36), perceived need (beta=.32), pretreatment self-efficacy (beta=.13), and
trauma symptoms (beta=.21) were significant predictors of intention (N=440). In
the volitional phase, results of the moderated mediation model indicated for low
levels of treatment self-efficacy, planning mediated the effects of intention on
levels of engagement (B=0.89, 95% CI 0.143-2.605; N=115). CONCLUSIONS: Though
many factors can affect engagement, these results offer a theoretical framework
for understanding engagement with an eHealth intervention. This study highlighted
the importance of perceived need, outcome expectations, self-efficacy, and
baseline distress symptoms in the formation of intentions to use the
intervention. For those low in treatment self-efficacy, planning may play an
important role in the translation of intentions into engagement. Results of this
study may help bring some clarification to the question of what makes eHealth
interventions work.
PMID- 29636327
TI - Should all patients with Hodgkin lymphoma who relapse after autologous SCT be
considered for allogeneic SCT?
PMID- 29636326
TI - Total metabolic tumor volume, circulating tumor cells, cell-free DNA: distinct
prognostic value in follicular lymphoma.
AB - Outcomes for follicular lymphoma (FL) have greatly improved, but most patients
will ultimately relapse. High total metabolic tumor volume (TMTV), computed from
baseline 18F-fluorodeoxyglucose-positron emission tomography (PET), is associated
with shorter progression-free survival (PFS), but circulating tumor cells (CTCs)
and cell-free DNA (cfDNA) may also reflect tumor burden and be of prognostic
value. The aim of our study was to correlate CTCs and cfDNA with TMTV in FL at
diagnosis and to determine their prognostic values. We retrospectively analyzed
133 patients (with previously untreated FL and a baseline PET) from 2 cohorts
with either a baseline plasma sample (n = 61) or a bcl2-JH-informative peripheral
blood (PB) sample (n = 68). Quantification of circulating bcl2-JH+ cells and
cfDNA was performed by droplet digital polymerase chain reaction. A significant
correlation was found between TMTV and both CTCs (P < .0001) and cfDNA (P <
.0001). With a median 48-month follow-up, 4-year PFS was lower in patients with
TMTV > 510 cm3 (P = .0004), CTCs >0.0018 PB cells (P = .03), or cfDNA >2550
equivalent-genome/mL (P = .04). In comparison with TMTV alone, no additional
prognostic information was obtained by measuring CTCs. In contrast, Cox
multivariate analysis, including cfDNA and TMTV, showed that both cfDNA and TMTV
remained predictive of outcome. In conclusion, CTCs and cfDNA correlate with TMTV
in FL, and all 3 influence patient outcome. PFS was shorter for patients with
high cfDNA and TMTV, suggesting that these parameters provide relevant
information for tumor-tailored therapy.
PMID- 29636329
TI - Curriculum vitae: Rob Bentley.
PMID- 29636328
TI - Should all patients with HL who relapse after ASCT be considered for allogeneic
SCT? A consult, yes; a transplant, not necessarily.
PMID- 29636330
TI - Selenoprotein P Promotes the Development of Pulmonary Arterial Hypertension.
AB - BACKGROUND: Excessive proliferation and apoptosis resistance of pulmonary artery
smooth muscle cells (PASMCs) are key mechanisms of pulmonary arterial
hypertension (PAH). Despite the multiple combination therapy, a considerable
number of patients develop severe pulmonary hypertension (PH) because of the lack
of diagnostic biomarker and antiproliferative therapies for PASMCs. METHODS:
Microarray analyses were used to identify a novel therapeutic target for PAH. In
vitro experiments, including lung and serum samples from patients with PAH,
cultured PAH-PASMCs, and high-throughput screening of 3336 low-molecular-weight
compounds, were used for mechanistic study and exploring a novel therapeutic
agent. Five genetically modified mouse strains, including PASMC-specific
selenoprotein P (SeP) knockout mice and PH model rats, were used to study the
role of SeP and therapeutic capacity of the compounds for the development of PH
in vivo. RESULTS: Microarray analysis revealed a 32-fold increase in SeP in PAH
PASMCs compared with control PASMCs. SeP is a widely expressed extracellular
protein maintaining cellular metabolism. Immunoreactivity of SeP was enhanced in
the thickened media of pulmonary arteries in PAH. Serum SeP levels were also
elevated in patients with PH compared with controls, and high serum SeP predicted
poor outcome. SeP-knockout mice ( SeP-/-) exposed to chronic hypoxia showed
significantly reduced right ventricular systolic pressure, right ventricular
hypertrophy, and pulmonary artery remodeling compared with controls. In contrast,
systemic SeP-overexpressing mice showed exacerbation of hypoxia-induced PH.
Furthermore, PASMC-specific SeP-/- mice showed reduced hypoxia-induced PH
compared with controls, whereas neither liver-specific SeP knockout nor liver
specific SeP-overexpressing mice showed significant differences with controls.
Altogether, protein levels of SeP in the lungs were associated with the
development of PH. Mechanistic experiments demonstrated that SeP promotes PASMC
proliferation and resistance to apoptosis through increased oxidative stress and
mitochondrial dysfunction, which were associated with activated hypoxia-inducible
factor-1alpha and dysregulated glutathione metabolism. It is important to note
that the high-throughput screening of 3336 compounds identified that
sanguinarine, a plant alkaloid with antiproliferative effects, reduced SeP
expression and proliferation in PASMCs and ameliorated PH in mice and rats.
CONCLUSIONS: These results indicate that SeP promotes the development of PH,
suggesting that it is a novel biomarker and therapeutic target of the disorder.
PMID- 29636332
TI - Intradermal pre-exposure rabies vaccination in a Canadian travel clinic: 6-year
retrospective observational study.
AB - BACKGROUND: The intradermal route of vaccine administration for pre-exposure
rabies prophylaxis, endorsed by the Canadian National Advisory Committee on
Immunization, was implemented at a large travel clinic in Montreal in 2008. We
evaluated the effect of intradermal vaccination availability on uptake of pre
exposure rabies prophylaxis and rates of seroconversion with intradermal
vaccination. METHODS: We conducted a retrospective cross-sectional study using
data from December 2008 to December 2014. The number of travellers who received
pre-exposure rabies prophylaxis before and after the introduction of intradermal
vaccination was compared. Postvaccination antibody titres were measured in
intradermal vaccination recipients. We compared demographic and travel
characteristics between vaccinated and unvaccinated travellers and between
travellers in the intradermal and intramuscular groups using univariate and
multivariate analyses. RESULTS: The proportion of travellers who received pre
exposure prophylaxis increased after the introduction of intradermal vaccination
(annual average of 300 travellers from December 2009 to December 2014 v. 183
travellers from December 2006 to December 2007). Seroconversion occurred in 99.9%
of those in the intradermal group. Travellers who received pre-exposure
prophylaxis were older (mean age 35.8 yr v. 32.1 yr) and had longer travel
duration than those who did not receive pre-exposure prophylaxis. Travellers to
Asia were more likely to receive pre-exposure prophylaxis, and travellers
visiting friends and relatives were less likely to receive it. Travellers in the
intradermal group were younger than those in the intramuscular group and were
more likely to be travelling for tourism. INTERPRETATION: The introduction of
intradermal vaccination for pre-exposure rabies prophylaxis was associated with
an increase in vaccination uptake. Reduced cost may be responsible for the
increased coverage among younger travellers and those travelling for tourism. The
high seroconversion rate after intradermal vaccination supports the effectiveness
of this route of administration for pre-exposure rabies prophylaxis in
immunocompetent people.
PMID- 29636334
TI - The rise of ambiguous competing interest declarations.
PMID- 29636335
TI - Blind spot: blindness as initial presentation of subarachnoid haemorrhage.
AB - A 47-year-old Caucasian man with arterial hypertension was admitted after a
seizure. At the emergency department, he presented with high blood pressure,
bilateral vision loss (evidenced by unresponsiveness to threatening stimuli),
right hemiplegia and severe agitation. The brain CT angiography showed a diffuse
basal cisterns subarachnoid haemorrhage with a ruptured basilar aneurysm. He was
admitted for neurovascular procedure and embolisation. The patient's neurological
examination improved but blindness persisted. A funduscopic examination revealed
a left eye vitreous haemorrhage and diffuse retinal haemorrhages in the posterior
pole. Assuming the haemorrhages were the cause of blindness, Terson syndrome was
diagnosed. The patient underwent vitrectomy surgery being discharged 5 days later
maintaining left eye blindness and able to count fingers from 1 m distance with
the right eye. Two months after discharge, he was re-evaluated at our clinic with
left eye blurred vision and almost normal right eye visual acuity.
PMID- 29636336
TI - Ichthyosis: A Harbinger of Lymphoma.
PMID- 29636337
TI - Bland-White-Garland syndrome on coronary CT angiography.
PMID- 29636338
TI - Rocuronium for control of muscle spasms in a tetanus patient with chronic
methamphetamine use disorder.
AB - This is a case of a 31-year-old Filipino man with chronic methamphetamine use
disorder who developed tetanus from a necrotic skin graft over his left
calcaneus, which was fractured after a motor vehicular accident. During the
course of his illness, the patient's muscle spasms were unusually refractory to
benzodiazepine, which is the first-line drug used in the management of muscle
spasms. The muscle spasms were successfully controlled on the seventh day of
illness with rocuronium at a dose of 10 MUg/kg/min and midazolam at 0.30
mg/kg/hour. Both infusions were tapered off until the 23rd day of illness. The
patient was discharged on the 30th day of illness, improved and stable.
PMID- 29636340
TI - A common misconception about hand positioning in scans.
PMID- 29636339
TI - Wellens' syndrome: a pattern to remember.
PMID- 29636341
TI - Fat Intake and Risk of Skin Cancer in U.S. Adults.
AB - Background: Fat intake has been associated with certain cancers, including
colorectal, breast, and prostate cancers. However, literature on dietary fat and
skin cancer has been limited.Methods: We examined the association between fat
intake and risk of skin cancer including cutaneous malignant melanoma, squamous
cell carcinoma (SCC), and basal cell carcinoma (BCC) within two prospective
studies: the Nurses' Health Study (NHS) and the Health Professionals Follow-up
Study (HPFS). Dietary information on total, saturated, monounsaturated,
polyunsaturated, omega-6, and omega-3 fat and cholesterol was repeatedly assessed
generally every 4 years. Incident cases were identified by self-report. Diagnosis
on melanoma and SCC was confirmed by pathologic records.Results: A total of 794
melanoma, 2,223 SCC, and 17,556 BCC in the NHS (1984-2012) and 736 melanoma,
1,756 SCC, and 13,092 BCC in the HPFS (1986-2012) were documented. Higher
polyunsaturated fat intake was associated with risk of SCC [pooled HR for highest
vs. lowest quintiles, 1.16; 95% confidence interval (CI), 1.05-1.28;
Ptrend=0.001] and BCC (pooled HR, 1.06; 95% CI, 1.01-1.11; Ptrend=0.01). Higher
omega-6 fat intake was associated with risks of SCC, BCC, and melanoma. Omega-3
fat intake was associated with risk of BCC, but not with SCC or melanoma. No
other fats were associated with melanoma risk. The associations were similar in
women and men and by other skin cancer risk factors.Conclusions: Polyunsaturated
fat intake was modestly associated with skin cancer risk.Impact: Further studies
are needed to confirm our findings and to identify relevant biological
mechanisms. Cancer Epidemiol Biomarkers Prev; 27(7); 776-82. (c)2018 AACR.
PMID- 29636343
TI - Clarifying the roles of patients in research.
PMID- 29636342
TI - Circadian Misalignment and Hepatocellular Carcinoma Incidence in the United
States.
AB - Background: Circadian misalignment may increase the risk of developing
hepatocellular carcinoma (HCC). The aim of this study was to examine the
association between distance from time zone meridian, a proxy for circadian
misalignment, and HCC risk in the United States adjusting for known HCC risk
factors.Methods: Surveillance, Epidemiology, and End Results (SEER) provided
information on 56,347 HCC cases diagnosed between 2000 and 2014 from 16
population-based cancer registries in the United States. Distance from time zone
meridian was estimated using the location of each SEER county's Center of
Population in a geographic information system. Poisson regression with robust
variance estimation was used to calculate incidence rate ratios (IRRs) and 95%
confidence intervals (CIs) for the association between distance from time zone
meridian and HCC risk adjusting for individual-level age at diagnosis, sex,
race/ethnicity, year of diagnosis, SEER registry, and county-level prevalence of
health conditions, lifestyle factors, shift work occupation, socioeconomic
status, and demographic and environmental factors.Results: A 5-degree increase in
longitude moving east to west within a time zone was associated with a
statistically significant increased risk for HCC (IRR, 1.07; 95% CI, 1.01-1.14, P
= 0.03). A statistically significant positive association was observed among
those <65 years old, while no association was observed among individuals >=65
years old (Pfor interaction < 0.01).Conclusions: Circadian misalignment from
residing in the western region of a time zone may impact
hepatocarcinogenesis.Impact: Circadian misalignment may be an independent risk
factor for HCC. Cancer Epidemiol Biomarkers Prev; 27(7); 719-27. (c)2018 AACR.
PMID- 29636344
TI - David Oliver: Will the public use alternatives to emergency departments?
PMID- 29636345
TI - Enhanced Lymphodepletion Is Insufficient to Replace Exogenous IL2 or IL15 Therapy
in Augmenting the Efficacy of Adoptively Transferred Effector CD8+ T Cells.
AB - Effector CD8+ T cells conditioned with IL12 during activation mediate enhanced
antitumor efficacy after adoptive transfer into lymphodepleted hosts; this is due
in part to improved IL7 responsiveness. Therefore, we hypothesized that
increasing the intensity or type of lymphodepletion would deplete more IL7
consuming host cells and improve the persistence and antitumor activity of IL12
conditioned CD8+ T cells. Using cyclophosphamide, fludarabine, and total body
irradiation (TBI, 6 Gy) either individually or in combination, we found that
combined lymphodepletion best enhanced T-cell engraftment in mice. This
improvement was strongly related to the extent of leukopenia, as posttransfer
levels of donor T cells inversely correlated to host cell counts after
lymphodepletion. Despite the improvement in engraftment seen with combination
lymphodepletion, dual-agent lymphodepletion did not augment the antitumor
efficacy of donor T cells compared with TBI alone. Similarly, IL7 supplementation
after TBI and transfer of tumor-reactive T cells failed to improve persistence or
antitumor immunity. However, IL15 or IL2 supplementation greatly augmented the
persistence and antitumor efficacy of donor tumor-reactive T cells. Our results
indicate that the amount of host IL7 induced after single agent lymphodepletion
is sufficient to potentiate the expansion and antitumor activity of donor T
cells, and that the efficacy of future regimens may be improved by providing
posttransfer support with IL2 or IL15.Significance: The relationship between
lymphodepletion and cytokine support plays a critical role in determining donor T
cell engraftment and antitumor efficacy. Cancer Res; 78(11); 3067-74. (c)2018
AACR.
PMID- 29636347
TI - Letter by Hochman and Maron Regarding Article, "'Faith Healing' and 'Subtraction
Anxiety' in Unblinded Trials of Procedures: Lessons From DEFER and FAME-2 for End
Points in the ISCHEMIA Trial."
PMID- 29636346
TI - Association of "Elevated Blood Pressure" and "Stage 1 Hypertension" With
Cardiovascular Mortality Among an Asian Population.
AB - BACKGROUND: The new American College of Cardiology/American Heart Association
high blood pressure (BP) guidelines in the United States have lowered definition
of hypertension by defining normal as systolic/diastolic BP <120/80 mm Hg;
elevated BP as systolic between 120 and 129 mm Hg and diastolic <80 mm Hg; and
stage 1 hypertension as systolic between 130 and 139 mm Hg or diastolic between
80 and 89 mm Hg. METHODS AND RESULTS: We investigated the association between the
new hypertension definition and cardiovascular disease mortality among Chinese in
Singapore. We used data from 30 636 participants of a population-based cohort,
the SCHS (Singapore Chinese Health Study), who had BPs measured using a standard
protocol at ages 46 to 85 years between 1994 and 2005. Information on lifestyle
factors was collected at recruitment (1993-1998) and follow-up 1 interviews (1999
and 2004). Mortality was identified via nationwide registry linkage up to
December 31, 2016. Neither elevated BP (hazard ratio, 0.89; 95% confidence
interval, 0.74-1.07) nor stage 1 hypertension (hazard ratio, 0.94; 95% confidence
interval, 0.81-1.11) was associated with increased risk of cardiovascular
mortality compared with normal BP in the whole cohort. Stage 1 hypertension was
associated with increased cardiovascular risk only in those <65 years of age and
without a history of cardiovascular disease (hazard ratio, 1.40; 95% confidence
interval, 1.01-1.94), but not in those >=65 years of age or with a history of
cardiovascular disease. CONCLUSIONS: Our data suggest that the newly defined
stage 1 hypertension may not be associated with increased cardiovascular
mortality across all ages among Chinese in Singapore, but that the at-risk
subpopulation is limited to those <65 years of age and without a prior
cardiovascular disease.
PMID- 29636348
TI - Margaret McCartney: Trust has to be earned.
PMID- 29636349
TI - Bawa-Garba case fallout: five minutes with . . . David Galloway.
PMID- 29636350
TI - Calcium-Induced Differentiation of Human Colon Adenomas in Colonoid Culture:
Calcium Alone versus Calcium with Additional Trace Elements.
AB - Previous murine studies have demonstrated that dietary Aquamin, a calcium-rich,
multi-mineral natural product, suppressed colon polyp formation and transition to
invasive tumors more effectively than calcium alone when provided over the
lifespan of the animals. In the current study, we compared calcium alone to
Aquamin for modulation of growth and differentiation in human colon adenomas in
colonoid culture. Colonoids established from normal colonic tissue were examined
in parallel. Both calcium alone at 1.5 mmol/L and Aquamin (provided at 1.5 mmol/L
calcium) fostered differentiation in the adenoma colonoid cultures as compared
with control (calcium at 0.15 mmol/L). When Aquamin was provided at an amount
delivering 0.15 mmol/L calcium, adenoma differentiation also occurred, but was
not as complete. Characteristic of colonoids undergoing differentiation was a
reduction in the number of small, highly proliferative buds and their replacement
by fewer but larger buds with smoother surface. Proliferation marker (Ki67)
expression was reduced and markers of differentiation (CK20 and occludin) were
increased along with E-cadherin translocalization to the cell surface. Additional
proteins associated with differentiation/growth control [including histone-1
family members, certain keratins, NF2 (merlin), olfactomedin-4 and
metallothioneins] were altered as assessed by proteomics. Immunohistologic
expression of NF2 was higher with Aquamin as compared with calcium at either
concentration. These findings support the conclusions that (i) calcium (1.5
mmol/L) has the capacity to modulate growth and differentiation in large human
colon adenomas and (ii) Aquamin delivering 0.15 mmol/L calcium has effects on
proliferation and differentiation not observed when calcium is used alone at this
concentration. Cancer Prev Res; 11(7); 413-28. (c)2018 AACR.
PMID- 29636351
TI - Ultraviolet Radiation Inhibits Mammary Carcinogenesis in an ER-Negative Murine
Model by a Mechanism Independent of Vitamin D3.
AB - Three decades ago, the Garlands postulated that vitamin D3 produced in the skin
by ultraviolet radiation (UVR)-induced conversion of 7-dehydrocholesterol to pre
D3 has anticancer effects, thus triggering more than 9,500 publications on D3 and
cancer. Here, we report that UVR treatment of transgenic mice of the well
established C3(1)/SV40 Tag mammary cancer model significantly inhibits both
autochthonous carcinogenesis and allograft tumor growth, but in contrast neither
dietary nor topical D3 influences mammary carcinogenesis in this specific mouse
model. Furthermore, UVR's inhibitory effects occur irrespective of whether or not
the treatment increases circulating D3 in the mice. The inhibitory effect of UVR
on autochthonous tumors occurs at or before the stage of ductal carcinoma in
situ. Our studies indicate clearly that UVR can exert D3-independent anticancer
effects in C3(1)/SV40 Tag mice. Therefore, supplemental D3 may not mimic all
possible beneficial effects of UVR, and uncovering non-D3-mediated mechanisms of
UVR tumor inhibition may lead to novel strategies for cancer prevention. Cancer
Prev Res; 11(7); 383-92. (c)2018 AACR.
PMID- 29636352
TI - Spatial Variation of the Native Colon Microbiota in Healthy Adults.
AB - The microbiome has been implicated in the development of colorectal cancer and
inflammatory bowel diseases. The specific traits of these diseases vary along the
axis of the digestive tract. Further, variation in the structure of the gut
microbiota has been associated with both diseases. We profiled the microbiota of
the healthy proximal and distal mucosa and lumen to better understand how
bacterial populations vary along the colon. We used a two-colonoscope approach to
sample proximal and distal mucosal and luminal contents from the colons of 20
healthy subjects that had not undergone any bowel preparation procedure. The
biopsies and home-collected stool were subjected to 16S rRNA gene sequencing, and
random forest classification models were built using taxa abundance and location
to identify microbiota specific to each site. The right mucosa and lumen had the
most similar community structures of the five sites we considered from each
subject. The distal mucosa had higher relative abundance of Finegoldia,
Murdochiella, Peptoniphilus, Porphyromonas, and Anaerococcus The proximal mucosa
had more of the genera Enterobacteriaceae, Bacteroides, and Pseudomonas The
classification model performed well when classifying mucosal samples into
proximal or distal sides (AUC = 0.808). Separating proximal and distal luminal
samples proved more challenging (AUC = 0.599), and specific microbiota that
differentiated the two were hard to identify. By sampling the unprepped colon, we
identified distinct bacterial populations native to the proximal and distal
sides. Further investigation of these bacteria may elucidate if and how these
groups contribute to different disease processes on their respective sides of the
colon. Cancer Prev Res; 11(7); 393-402. (c)2018 AACR.
PMID- 29636353
TI - Appetite, Glycemia, and Entero-Insular Hormone Responses Differ Between Oral,
Gastric-Remnant, and Duodenal Administration of a Mixed-Meal Test After Roux-en-Y
Gastric Bypass.
AB - OBJECTIVE: To examine the effect of different feeding routes on appetite and
metabolic responses after Roux-en-Y gastric bypass (RYGB). RESEARCH DESIGN AND
METHODS: A standard liquid meal was administered either orally, into the gastric
remnant, or intraduodenally 6 months after RYGB. Changes in plasma glucose,
insulin, glucagon-like peptide 1 (GLP-1), glucose-dependent insulinotropic
peptide (GIP), peptide YY (PYY), and appetite were measured pre- and
postprandially. RESULTS: Postprandial GLP-1 and PYY responses were similar,
whereas glucose, insulin, and GIP levels differed markedly after oral versus
intraduodenal feeding. Intraduodenal feeding prompted an intermediate appetite
response (i.e., between oral and intragastric). For postprandial glucose,
insulin, and GIP levels, the intraduodenal route was more similar to the
intragastric than the oral route. Intragastric administration did not evoke
changes in appetite, glucose, or insulin; however, it slightly increased GLP-1
and PYY and moderately increased GIP. CONCLUSIONS: Appetite and metabolic
responses after RYGB depend on the route by which nutrients enter the
gastrointestinal tract.
PMID- 29636354
TI - Prevalence and treatment of panic disorder in bipolar disorder: systematic review
and meta-analysis.
AB - QUESTION: Recent data suggest that anxiety disorders are as often comorbid with
bipolar disorder (BD) as with unipolar depression. The literature on panic
disorder (PD) comorbid with BD has been systematically reviewed and subject to
meta-analysis. STUDY SELECTION AND ANALYSIS: The Preferred Reporting Items for
Systematic Reviews and Meta-Analyses guidelines were thoroughly followed for
literature search, selection and reporting of available evidence. The variance
stabilising Freeman-Tukey double arcsine transformation was used in the meta
analysis of prevalence estimates. Both fixed-effect and random-effects models
with inverse variance method were applied to estimate summary effects for all
combined studies. Heterogeneity was assessed and measured with Cochran's Q and I2
statistics. FINDINGS: Overall, 15 studies (n=3391) on cross-sectional prevalence
and 25 independent lifetime studies (n=8226) were used to calculate pooled
estimates. The overall random-effects point prevalence of PD in patients with BD,
after exclusion of one potential outlier study, was 13.0% (95% CI 7.0% to 20.3%),
and the overall random-effects lifetime estimate, after exclusion of one
potential outlier study, was 15.5% (95% CI 11.6% to 19.9%). There were no
differences in rates between BD-I and BD-II. Significant heterogeneity (I2 >95%)
was found in both estimates. CONCLUSIONS: Estimates that can be drawn from
published studies indicate that the prevalence of PD in patients with BD is
higher than the prevalence in the general population. Comorbid PD is reportedly
associated with increased risk of suicidal acts and a more severe course. There
is no clear indication on how to treat comorbid PD in BD. Findings from the
current meta-analysis confirm the highly prevalent comorbidity of PD with BD,
implicating that in patients with BD, PD might run a more chronic course.
PMID- 29636356
TI - Primary aldosteronism: key characteristics at diagnosis: a trend toward milder
forms.
AB - OBJECTIVE: Primary aldosteronism (PA) is the most common endocrine form of
arterial hypertension. The German Conn's Registry's purpose is to improve
treatment outcomes of PA. We assessed whether key clinical, biochemical and
epidemiological characteristics of newly diagnosed PA cases have changed over
time, potentially indicating a different screening and referral practice in
Germany evolving from 2008 to 2016. DESIGN: The German Conn's Registry is a
multicenter database prospectively analyzing morbidity and long-term outcome of
patients with PA. METHODS: Phenotypic changes between three year periods were
calculated using Mann-Whitney U tests and Kruskal-Wallis tests for independent
variables. RESULTS: Over three time periods from 2008 to 2016, we noted a
relative decrease of unilateral PA cases (67 vs 43%). Significantly more females
were diagnosed with PA (33 vs 43%). Median daily defined drug doses decreased
(3.1 vs 2.0) in the presence of unchanged SBP (150 vs 150 mmHg), plasma
aldosterone (199 vs 173 ng/L) and PRC (3.2 vs 3.2 U/L). Median ARR values
decreased (70 vs 47 ng/U) and median potassium levels at diagnosis (3.5 vs 3.7
mmol/L) increased as the percentage of normokalemic patients (25 vs 41%),
indicating milder forms of PA. CONCLUSIONS: Our results are in accordance with an
increased screening intensity for PA. We identified a trend toward diagnosing
milder forms, increasingly more females and less unilateral cases of PA.
PMID- 29636355
TI - Family Consultation to Reduce Early Hospital Readmissions among Patients with End
Stage Kidney Disease: A Randomized Controlled Trial.
AB - BACKGROUND AND OBJECTIVES: The US Centers for Medicare and Medicaid Services have
mandated reducing early (30-day) hospital readmissions to improve patient care
and reduce costs. Patients with ESKD have elevated early readmission rates, due
in part to complex medical regimens but also cognitive impairment, literacy
difficulties, low social support, and mood problems. We developed a brief family
consultation intervention to address these risk factors and tested whether it
would reduce early readmissions. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS:
One hundred twenty hospitalized adults with ESKD (mean age=58 years; 50% men; 86%
black, 14% white) were recruited from an urban, inpatient nephrology unit.
Patients were randomized to the family consultation (n=60) or treatment-as-usual
control (n=60) condition. Family consultations, conducted before discharge at
bedside or via telephone, educated the family about the patient's cognitive and
behavioral risk factors for readmission, particularly cognitive impairment, and
how to compensate for them. Blinded medical record reviews were conducted 30 days
later to determine readmission status (primary outcome) and any hospital return
visit (readmission, emergency department, or observation; secondary outcome).
Logistic regressions tested the effects of the consultation versus control on
these outcomes. RESULTS: Primary analyses were intent-to-treat. The risk of a 30
day readmission after family consultation (n=12, 20%) was 0.54 compared with
treatment-as-usual controls (n=19, 32%), although this effect was not
statistically significant (odds ratio, 0.54; 95% confidence interval, 0.23 to
1.24; P=0.15). A similar magnitude, nonsignificant result was observed for any 30
day hospital return visit: family consultation (n=19, 32%) versus controls (n=28,
47%; odds ratio, 0.53; 95% confidence interval, 0.25 to 1.1; P=0.09). Per
protocol analyses (excluding three patients who did not receive the assigned
consultation) revealed similar results. CONCLUSIONS: A brief consultation with
family members about the patient's cognitive and psychosocial risk factors had no
significant effect on 30-day hospital readmission in patients with ESKD.
PMID- 29636357
TI - Discovery of a Glucocorticoid Receptor (GR) Activity Signature Using Selective GR
Antagonism in ER-Negative Breast Cancer.
AB - Purpose: Although high glucocorticoid receptor (GR) expression in early-stage
estrogen receptor (ER)-negative breast cancer is associated with shortened
relapse-free survival (RFS), how associated GR transcriptional activity
contributes to aggressive breast cancer behavior is not well understood. Using
potent GR antagonists and primary tumor gene expression data, we sought to
identify a tumor-relevant gene signature based on GR activity that would be more
predictive than GR expression alone.Experimental Design: Global gene expression
and GR ChIP-sequencing were performed to identify GR-regulated genes inhibited by
two chemically distinct GR antagonists, mifepristone and CORT108297.
Differentially expressed genes from MDA-MB-231 cells were cross-evaluated with
significantly expressed genes in GR-high versus GR-low ER-negative primary breast
cancers. The resulting subset of GR-targeted genes was analyzed in two
independent ER-negative breast cancer cohorts to derive and then validate the GR
activity signature (GRsig).Results: Gene expression pathway analysis of
glucocorticoid-regulated genes (inhibited by GR antagonism) revealed cell
survival and invasion functions. GR ChIP-seq analysis demonstrated that GR
antagonists decreased GR chromatin association for a subset of genes. A GRsig
that comprised n = 74 GR activation-associated genes (also reversed by GR
antagonists) was derived from an adjuvant chemotherapy-treated Discovery cohort
and found to predict probability of relapse in a separate Validation cohort (HR =
1.9; P = 0.012).Conclusions: The GRsig discovered herein identifies high-risk ER
negative/GR-positive breast cancers most likely to relapse despite administration
of adjuvant chemotherapy. Because GR antagonism can reverse expression of these
genes, we propose that addition of a GR antagonist to chemotherapy may improve
outcome for these high-risk patients. Clin Cancer Res; 24(14); 3433-46. (c)2018
AACR.
PMID- 29636359
TI - Targeting NAD+/PARP DNA Repair Pathway as a Novel Therapeutic Approach to SDHB
Mutated Cluster I Pheochromocytoma and Paraganglioma.
AB - Purpose: Cluster I pheochromocytomas and paragangliomas (PCPGs) tend to develop
malignant transformation, tumor recurrence, and multiplicity. Transcriptomic
profiling suggests that cluster I PCPGs and other related tumors exhibit
distinctive changes in the tricarboxylic acid (TCA) cycle, the hypoxia signaling
pathway, mitochondrial electron transport chain, and methylation status,
suggesting that therapeutic regimen might be optimized by targeting these
signature molecular pathways.Experimental Design: In the present study, we
investigated the molecular signatures in clinical specimens from cluster I PCPGs
in comparison with cluster II PCPGs that are related to kinase signaling and
often present as benign tumors.Results: We found that cluster I PCPGs develop a
dependency to mitochondrial complex I, evidenced by the upregulation of complex I
components and enhanced NADH dehydrogenation. Alteration in mitochondrial
function resulted in strengthened NAD+ metabolism, here considered as a key
mechanism of chemoresistance, particularly, of succinate dehydrogenase subunit B
(SDHB)-mutated cluster I PCPGs via the PARP1/BER DNA repair pathway. Combining a
PARP inhibitor with temozolomide, a conventional chemotherapeutic agent, not only
improved cytotoxicity but also reduced metastatic lesions, with prolonged overall
survival of mice with SDHB knockdown PCPG allograft.Conclusions: In summary, our
findings provide novel insights into an effective strategy for targeting cluster
I PCPGs, especially those with SDHB mutations. Clin Cancer Res; 24(14); 3423-32.
(c)2018 AACR.
PMID- 29636360
TI - A First-in-Human Phase 1 Study of LY3023414, an Oral PI3K/mTOR Dual Inhibitor, in
Patients with Advanced Cancer.
AB - Purpose: The PI3K/mTOR pathway is frequently aberrated in cancer. LY3023414 is a
potent and selective ATP-competitive inhibitor of class I PI3K isoforms, mTOR,
and DNA-PK. Here we report the dose-escalation results of the first-in-human
phase I study of LY3023414.Patients and Methods: A 3+3 dose escalation for once
daily and twice-daily oral dosing of LY3023414 was followed by an expansion
cohort for CYP3A4 drug-drug interaction (DDI) assessment. The primary objective
was to determine the recommended phase 2 dose (RP2D). Additional objectives
included safety, pharmacokinetics/pharmacodynamics, and antitumor
activity.Results: Forty-seven patients with solid tumors received LY3023414 at
once-daily (20-450 mg) or twice-daily dosing (150-250 mg). Dose-limiting
toxicities were observed at 450 mg once-daily (thrombocytopenia, hypotension,
hyperkalemia) in three of three patients, 250-mg twice-daily dosing
(hypophosphatemia, fatigue, mucositis) in three of four patients, and in one of
15 patients at 200 mg twice-daily (nausea). Common related AEs included nausea
(38%), fatigue (34%), and vomiting (32%) and were mostly mild or moderate.
LY3023414 pharmacokinetics demonstrated dose-dependent increase in exposure with
>= 90% target inhibition at doses >=150 mg. DDI analysis demonstrated LY3023414
to be a weak inhibitor of CYP3A4. Durable partial response was observed in a
patient with endometrial cancer harboring PIK3R1 and PTEN truncating mutations,
and 13 additional patients (28%) had a decrease in their target lesions by up to
30%.Conclusions: LY3023414 has a tolerable safety profile and single-agent
activity in patients with advanced cancers. The RP2D of LY3023414 monotherapy is
200 mg twice daily based on safety, tolerability, and
pharmacokinetic/pharmacodynamic data. Clin Cancer Res; 24(14); 3253-62. (c)2018
AACR.
PMID- 29636361
TI - PDGF-A signaling is required for secondary alveolar septation and controls
epithelial proliferation in the developing lung.
AB - Platelet-derived growth factor A (PDGF-A) signaling through PDGF receptor alpha
is essential for alveogenesis. Previous studies have shown that Pdgfa-/- mouse
lungs have enlarged alveolar airspace with absence of secondary septation, both
distinctive features of bronchopulmonary dysplasia. To study how PDGF-A signaling
is involved in alveogenesis, we generated lung-specific Pdgfa knockout mice
(Pdgfafl/-; Spc-cre) and characterized their phenotype postnatally. Histological
differences between mutant mice and littermate controls were visible after the
onset of alveogenesis and maintained until adulthood. Additionally, we generated
Pdgfafl/-; Spc-cre; PdgfraGFP/+ mice in which Pdgfra+ cells exhibit nuclear GFP
expression. In the absence of PDGF-A, the number of PdgfraGFP+ cells was
significantly decreased. In addition, proliferation of PdgfraGFP+ cells was
reduced. During alveogenesis, PdgfraGFP+ myofibroblasts failed to form the alpha
smooth muscle actin rings necessary for alveolar secondary septation. These
results indicate that PDGF-A signaling is involved in myofibroblast proliferation
and migration. In addition, we show an increase in both the number and
proliferation of alveolar type II cells in Pdgfafl/-; Spc-cre lungs, suggesting
that the increased alveolar airspace is not caused solely by deficient
myofibroblast function.
PMID- 29636358
TI - Resistance Mechanisms to Targeted Therapies in ROS1+ and ALK+ Non-small Cell Lung
Cancer.
AB - Purpose: Despite initial benefit from tyrosine kinase inhibitors (TKIs), patients
with advanced non-small cell lung cancer (NSCLC) harboring ALK (ALK+) and ROS1
(ROS1+) gene fusions ultimately progress. Here, we report on the potential
resistance mechanisms in a series of patients with ALK+ and ROS1+ NSCLC
progressing on different types and/or lines of ROS1/ALK-targeted
therapy.Experimental Design: We used a combination of next-generation sequencing
(NGS), multiplex mutation assay, direct DNA sequencing, RT-PCR, and FISH to
identify fusion variants/partners and copy-number gain (CNG), kinase domain
mutations (KDM), and copy-number variations (CNVs) in other cancer-related genes.
We performed testing on 12 ROS1+ and 43 ALK+ patients.Results: One of 12 ROS1+
(8%) and 15 of 43 (35%) ALK + patients harbored KDM. In the ROS1+ cohort, we
identified KIT and beta-catenin mutations and HER2-mediated bypass signaling as
non-ROS1-dominant resistance mechanisms. In the ALK+ cohort, we identified a
novel NRG1 gene fusion, a RET fusion, 2 EGFR, and 3 KRAS mutations, as well as
mutations in IDH1, RIT1, NOTCH, and NF1 In addition, we identified CNV in
multiple proto-oncogenes genes including PDGFRA, KIT, KDR, GNAS, K/HRAS, RET,
NTRK1, MAP2K1, and others.Conclusions: We identified a putative TKI resistance
mechanism in six of 12 (50%) ROS1 + patients and 37 of 43 (86%) ALK+ patients.
Our data suggest that a focus on KDMs will miss most resistance mechanisms;
broader gene testing strategies and functional validation is warranted to devise
new therapeutic strategies for drug resistance. Clin Cancer Res; 24(14); 3334-47.
(c)2018 AACR.
PMID- 29636362
TI - Exosomes Induce Fibroblast Differentiation into Cancer-Associated Fibroblasts
through TGFbeta Signaling.
AB - A particularly important tumor microenvironment relationship exists between
cancer cells and surrounding stromal cells. Fibroblasts, in response to cancer
cells, become activated and exhibit myofibroblastic characteristics that favor
invasive growth and metastasis. However, the mechanism by which cancer cells
promote activation of healthy fibroblasts into cancer-associated fibroblasts
(CAF) is still not well understood. Exosomes are nanometer-sized vesicles that
shuttle proteins and nucleic acids between cells to establish intercellular
communication. Here, bladder cancer-derived exosomes were investigated to
determine their role in the activation of healthy primary vesical fibroblasts.
Exosomes released by bladder cancer cells are internalized by fibroblasts and
promoted the proliferation and expression of CAF markers. In addition, cancer
cell-derived exosomes contain TGFbeta and in exosome-induced CAFs SMAD-dependent
signaling is activated. Furthermore, TGFbeta inhibitors attenuated CAF marker
expression in healthy fibroblasts. Therefore, these data demonstrate that bladder
cancer cells trigger the differentiation of fibroblasts to CAFs by exosomes
mediated TGFbeta transfer and SMAD pathway activation. Finally, exosomal TGFbeta
localized inside the vesicle and contributes 53.4% to 86.3% of the total TGFbeta
present in the cancer cell supernatant. This study highlights a new function for
bladder cancer exosomes as novel modulators of stromal cell
differentiation.Implication: This study identifies exosomal TGFbeta as new
molecular mechanism involved in cancer-associated fibroblast activation. Mol
Cancer Res; 16(7); 1196-204. (c)2018 AACR.
PMID- 29636363
TI - Estrogen receptor subtypes dictate the proliferative nature of the mammary gland.
AB - Estrogen induces proliferation of breast epithelial cells and is responsible for
breast development at puberty. This tightly regulated control is lost in estrogen
receptor-positive (ER+) breast cancers, which comprise over 70% of all breast
cancers. Currently, breast cancer diagnosis and treatment considers only the
alpha isoform of ER; however, there is a second ER, ERbeta. Whilst ERalpha
mediates estrogen-driven proliferation of the normal breast in puberty and breast
cancers, ERbeta has been shown to exert an anti-proliferative effect on the
normal breast. It is not known how the expression of each ER (alone or in
combination) correlates with the ability of estrogen to induce proliferation in
the breast. We assessed the levels of each ER in normal mouse mammary glands
subdivided into proliferative and non-proliferative regions. ERalpha was most
abundant in the proliferative regions of younger mice, with ERbeta expressed most
abundantly in old mice. We correlated this expression profile with function by
showing that the ability of estrogen to induce proliferation was reduced in older
mice. To show that the ER profile associated with breast cancer risk, we assessed
ER expression in parous mice which are known to have a reduced risk of developing
ERalpha breast cancer. ERalpha expression was significantly decreased yet co
localization analysis revealed ERbeta expression increased with parity. Parous
mice had less unopposed nuclear ERalpha expression and increased levels of
ERbeta. These changes suggest that the nuclear expression of ERs dictates the
proliferative nature of the breast and may explain the decreased breast cancer
risk with parity.
PMID- 29636365
TI - Predictors of Oophorectomy in Girls Hospitalized in Texas With Ovarian Torsion.
AB - OBJECTIVES: Inconsistent results have been reported by authors of studies of the
management of pediatric patients with ovarian torsion (OT). Our objective was to
identify predictors of oophorectomy in girls hospitalized throughout Texas with
OT. METHODS: The Texas Public Use Data File (years 2013-2014) was queried for the
records of girls under the age of 18 years who had a principal or secondary
discharge diagnosis of OT (International Classification of Diseases, Ninth
Revision, Clinical Modification code 620.5). Adjusted odds ratios were estimated
from a logistic regression model by using Firth's bias-reducing penalized
likelihood. Variables for inclusion in the final model were identified by using a
directed acyclic graph. RESULTS: A sample of 158 girls was identified with an
overall risk of oophorectomy during the hospital stay of 41.1% (65 out of 158).
After adjusting for the patient's age, health insurance status, and the presence
of an ovarian cyst, girls who were treated at a nonteaching hospital were more
than twice as likely to undergo oophorectomy than girls who were treated at a
teaching hospital (odds ratio = 2.22; 95% confidence interval: 1.05-4.69).
CONCLUSIONS: Our analysis of a statewide database revealed that girls with OT who
presented at nonteaching hospitals were significantly more likely to undergo
oophorectomy compared with girls who presented at teaching hospitals.
PMID- 29636364
TI - Bufalin suppresses endometriosis progression by inducing pyroptosis and
apoptosis.
AB - The steroid receptor coactivator (SRC)-1 isoform/estrogen receptor (ER)-beta axis
has an essential role in endometriosis progression. In this context, therefore,
bufalin was employed as a 'tool compound' to evaluate inhibitors of SRC in
alternative endometriosis treatment. Bufalin effectively suppressed the growth of
primary human endometrial stroma cells isolated from endometriosis patients
compared to women without endometriosis and immortalized human endometrial
epithelial and stromal cells expressing the SRC-1 isoform compared to their
parental cells in vitroIn vivo, compared to the vehicle, bufalin treatment
significantly suppressed the growth of endometriotic lesions in mice with
surgically induced endometriosis because bufalin disrupted the functional axis of
SRC-1 isoform/ERbeta by increasing SRC-1 isoform protein stability,
hyperactivating the transcriptional activity of the SRC-1 isoform and degrading
the ERbeta protein by proteasome 26S subunit, non-ATPase 2 in endometriotic
lesions. Bufalin treatment elevated the apoptosis signaling in epithelial cells
of endometriotic lesions. In stromal cells of endometriotic lesions, bufalin
treatment increased the levels of pyroptosis markers (caspase 1 and the active
form of interleukin 1beta) and reduced proliferation. In addition, bufalin
treatment increased the expression levels of endoplasmic reticulum-stress (ERS)
markers (PKR-like ER kinase, protein disulfide isomerase and binding
immunoglobulin) in endometriotic lesions. Collectively, the bufalin-induced
disruption of the SRC-1 isoform/ERbeta axis might induce apoptosis, pyroptosis
and ERS signaling in endometriotic lesions, causing the suppression of
endometriosis. Therefore, future generations of SRC-modulators could be employed
as an alternative medical approach for endometriosis treatment.
PMID- 29636366
TI - Adipocyte-specific DKO of Lkb1 and mTOR protects mice against HFD-induced
obesity, but results in insulin resistance.
AB - Liver kinase B1 (Lkb1) and mammalian target of rapamycin (mTOR) are key
regulators of energy metabolism and cell growth. We have previously reported that
adipocyte-specific KO of Lkb1 or mTOR in mice results in distinct developmental
and metabolic phenotypes. Here, we aimed to assess how genetic KO of both Lkb1
and mTOR affects adipose tissue development and function in energy homeostasis.
We used Adiponectin-Cre to drive adipocyte-specific double KO (DKO) of Lkb1 and
mTOR in mice. We performed indirect calorimetry, glucose and insulin tolerance
tests, and gene expression assays on the DKO and WT mice. We found that DKO of
Lkb1 and mTOR results in reductions of brown adipose tissue and inguinal white
adipose tissue mass, but in increases of liver mass. Notably, the DKO mice
developed fatty liver and insulin resistance, but displayed improved glucose
tolerance after high-fat diet (HFD)-feeding. Interestingly, the DKO mice were
protected from HFD-induced obesity due to their higher energy expenditure and
lower expression levels of adipogenic genes (CCAAT/enhancer binding protein alpha
and PPARgamma) compared with WT mice. These results together indicate that,
compared with Lkb1 or mTOR single KOs, Lkb1/mTOR DKO in adipocytes results in
overlapping and distinct metabolic phenotypes, and mTOR KO largely overrides the
effect of Lkb1 KO.
PMID- 29636368
TI - Combining chloroquine with RAD001 inhibits tumor growth in a NEN mouse model.
AB - Patients with neuroendocrine neoplasms (NENs) often require systemic treatment,
which is frequently limited by the emergence of drug resistance. mTOR inhibitors
(mTORi), such as RAD001 (everolimus), have been shown to inhibit neoplasm
progression. mTORi stimulates autophagy, a degradation pathway that might promote
the survival of neoplasm cells that are exposed to anti-cancer therapy.
Chloroquine (CQ), a well-known anti-malarial and anti-rheumatic drug, suppresses
autophagy. Based on our previous results, we hypothesized that CQ may enhance the
anti-tumorigenic effects of mTORi by inhibiting autophagy and we aimed to examine
the anti-tumorigenic effect of CQ, alone or in combination with RAD001. We
established a NEN subcutaneous xenograft mouse model and evaluated the effect of
the drugs on tumor growth, mTOR pathway, autophagy and apoptosis. CQ alone and in
combination with RAD001 significantly decreased neoplasm volume.
Histopathological analysis revealed that the combination of CQ and RAD001
markedly inhibited mTOR activity and neoplasm cell growth, along with
accumulation of autophagosomes and increased apoptosis. In conclusion, CQ
enhances the anti-tumorigenic effect of RAD001 in vivo by inhibiting autophagy.
Clinical trials addressing the effects of CQ therapy on neoplasm progression in
patients with NENs, mainly in those treated with mTORi, are warranted.
PMID- 29636367
TI - Disruption of NSD1 in Head and Neck Cancer Promotes Favorable Chemotherapeutic
Responses Linked to Hypomethylation.
AB - Human papillomavirus (HPV)-negative head and neck squamous cell carcinoma (HNSCC)
represents a distinct classification of cancer with worse expected outcomes. Of
the 11 genes recurrently mutated in HNSCC, we identify a singular and substantial
survival advantage for mutations in the gene encoding Nuclear Set Domain
Containing Protein 1 (NSD1), a histone methyltransferase altered in approximately
10% of patients. This effect, a 55% decrease in risk of death in NSD1-mutated
versus non-mutated patients, can be validated in an independent cohort. NSD1
alterations are strongly associated with widespread genome hypomethylation in the
same tumors, to a degree not observed for any other mutated gene. To address
whether NSD1 plays a causal role in these associations, we use CRISPR-Cas9 to
disrupt NSD1 in HNSCC cell lines and find that this leads to substantial CpG
hypomethylation and sensitivity to cisplatin, a standard chemotherapy in head and
neck cancer, with a 40% to 50% decrease in the IC50 value. Such results are
reinforced by a survey of 1,001 cancer cell lines, in which loss-of-function NSD1
mutations have an average 23% decrease in cisplatin IC50 value compared with cell
lines with wild-type NSD1Significance: This study identifies a favorable subtype
of HPV-negative HNSCC linked to NSD1 mutation, hypomethylation, and cisplatin
sensitivity. Mol Cancer Ther; 17(7); 1585-94. (c)2018 AACR.
PMID- 29636370
TI - The tongue as a gripper.
AB - Frogs, chameleons and anteaters are striking examples of animals that can grab
food using only their tongue. How does the soft and wet surface of a tongue grip
onto objects before they are ingested? Here, we review the diversity of tongue
projection methods, tongue roughnesses and tongue coatings, our goal being to
highlight conditions for effective grip and mobility. A softer tongue can reach
farther: the frog Rana pipiens tongue is 10 times softer than the human tongue
and can extend to 130% of its length when propelled in a whip-like motion.
Roughness can improve a tongue's grip: the spikes on a penguin Eudyptes
chrysolophus tongue can be as large as fingernails, and help the penguin swallow
fish. The saliva coating on the tongue, a non-Newtonian biofluid, can either
lubricate or adhere to food. Frog saliva is 175 times more viscous than human
saliva, adhering the tongue to slippery, furry or feathery food. We pay
particular attention to using mathematical models such as the theory of
capillarity, elasticity and friction to elucidate the parameters for effective
tongue use across a variety of vertebrate species. Finally, we postulate how the
use of wet and rough surfaces to simultaneously sense and grip may inspire new
strategies in emerging technologies such as soft robots.
PMID- 29636369
TI - Differential Expression of Histone H3.3 Genes and Their Role in Modulating
Temperature Stress Response in Caenorhabditis elegans.
AB - Replication-independent variant histones replace canonical histones in
nucleosomes and act as important regulators of chromatin function. H3.3 is a
major variant of histone H3 that is remarkably conserved across taxa and is
distinguished from canonical H3 by just four key amino acids. Most genomes
contain two or more genes expressing H3.3, and complete loss of the protein
usually causes sterility or embryonic lethality. Here, we investigate the
developmental expression patterns of the five Caenorhabditis elegans H3.3
homologs and identify two previously uncharacterized homologs to be restricted to
the germ line. Despite these specific expression patterns, we find that neither
loss of individual H3.3 homologs nor the knockout of all five H3.3-coding genes
causes sterility or lethality. However, we demonstrate an essential role for the
conserved histone chaperone HIRA in the nucleosomal loading of all H3.3 variants.
This requirement can be bypassed by mutation of the H3.3-specific residues to
those found in H3. While even removal of all H3.3 homologs does not result in
lethality, it leads to reduced fertility and viability in response to high
temperature stress. Thus, our results show that H3.3 is nonessential in C.
elegans but is critical for ensuring adequate response to stress.
PMID- 29636371
TI - Repeat-mediated deletions can be induced by a chromosomal break far from a
repeat, but multiple pathways suppress such rearrangements.
AB - Chromosomal deletion rearrangements mediated by repetitive elements often involve
repeats separated by several kilobases and sequences that are divergent. While
such rearrangements are likely induced by DNA double-strand breaks (DSBs), it has
been unclear how the proximity of DSBs relative to repeat sequences affects the
frequency of such events. We generated a reporter assay in mouse cells for a
deletion rearrangement involving repeats separated by 0.4 Mb. We induced this
repeat-mediated deletion (RMD) rearrangement with two DSBs: the 5' DSB that is
just downstream from the first repeat and the 3' DSB that is varying distances
upstream of the second repeat. Strikingly, we found that increasing the 3'
DSB/repeat distance from 3.3 kb to 28.4 kb causes only a modest decrease in
rearrangement frequency. We also found that RMDs are suppressed by KU70 and RAD51
and promoted by RAD52, CtIP, and BRCA1. In addition, we found that 1%-3% sequence
divergence substantially suppresses these rearrangements in a manner dependent on
the mismatch repair factor MSH2, which is dominant over the suppressive role of
KU70. We suggest that a DSB far from a repeat can stimulate repeat-mediated
rearrangements, but multiple pathways suppress these events.
PMID- 29636372
TI - Role of high-throughput sequencing in the diagnosis of cutaneous T-cell lymphoma.
AB - AIMS: Substantial clinicopathological overlap exists between cutaneous T-cell
lymphoma (CTCL) and benign conditions, leading to diagnostic difficulties. We
sought to delineate the utility of high-throughput sequencing (HTS) across a
spectrum of histological findings in CTCL and reactive mimics. METHODS: One
hundred skin biopsies obtained for clinical concern for CTCL were identified,
comprising 25 cases each from four histological categories: 'definitive CTCL',
'atypical lymphoid infiltrate, concerning for CTCL', 'atypical lymphoid
infiltrate, favour reactive' or 'reactive lymphoid infiltrate'. T-cell receptor
gamma chain gene (TRG) PCR and T-cell receptor beta chain gene HTS were performed
on both skin biopsy and concurrently collected peripheral blood; most peripheral
blood samples were also analysed by flow cytometry. RESULTS: Histologically
defined CTCL specimens had significantly higher clonality scores and T-cell
fractions via HTS than all other groups (all p<0.002 and p<0.03, respectively).
HTS was more diagnostically specific than TRG PCR in skin (100% vs 88%), while
diagnostic sensitivity (68% vs 72%) and accuracy (84% vs 80%) were similar. TRG
PCR and flow cytometry performed on blood were the least diagnostically useful
assays. Some identically sized peaks detected by TRG PCR in concurrent skin and
peripheral blood specimens were non-identical by HTS analysis. CONCLUSIONS: HTS,
by assessing both clonality and T-cell fractions in skin biopsies, is a powerful
tool to aid in the diagnosis of CTCL. It is more specific than TRG PCR in
distinguishing definitive CTCL from reactive and indeterminate histology.
Identically sized peaks by TRG PCR, typically interpreted to be clonally related,
are not always clonally identical by sequencing.
PMID- 29636373
TI - Germline deletion of CIN85 in humans with X chromosome-linked antibody
deficiency.
AB - Ubiquitously expressed Cbl-interacting protein of 85 kD (CIN85) is a
multifunctional adapter molecule supposed to regulate numerous cellular processes
that are critical for housekeeping as well as cell type-specific functions.
However, limited information exists about the in vivo roles of CIN85, because
only conditional mouse mutants with cell type-specific ablation of distinct CIN85
isoforms in brain and B lymphocytes have been generated so far. No information is
available about the roles of CIN85 in humans. Here, we report on primary antibody
deficiency in patients harboring a germline deletion within the CIN85 gene on the
X chromosome. In the absence of CIN85, all immune cell compartments developed
normally, but B lymphocytes showed intrinsic defects in distinct effector
pathways of the B cell antigen receptor, most notably NF-kappaB activation and up
regulation of CD86 expression on the cell surface. These results reveal
nonredundant functions of CIN85 for humoral immune responses.
PMID- 29636375
TI - Tourette's syndrome and its borderland.
AB - The Gilles de la Tourette syndrome (or Tourette's syndrome) has a prevalence of
1% of children with a wide range of severity and associated comorbidities. The
last 20 years have seen advances in the understanding of the syndrome's complex
genetics and underlying neurobiology. Investigation with imaging and
neurophysiology techniques indicate it is a neurodevelopmental condition with
dysfunction of basal ganglia-cortical interactions, which are now also being
studied in animal models. There is also increasing evidence for treatments
although it often remains difficult to manage. First-line options include
neuroleptics, other drugs and specialised behavioural treatments. Deep brain
stimulation is an evolving field, not yet fully established. This review focuses
on the phenomenology of tics, how to assess and manage the syndrome, and uses
examples of atypical cases to explore the characteristics and limits of its
clinical spectrum.
PMID- 29636374
TI - Mutational signatures of DNA mismatch repair deficiency in C. elegans and human
cancers.
AB - Throughout their lifetime, cells are subject to extrinsic and intrinsic
mutational processes leaving behind characteristic signatures in the genome. DNA
mismatch repair (MMR) deficiency leads to hypermutation and is found in different
cancer types. Although it is possible to associate mutational signatures
extracted from human cancers with possible mutational processes, the exact
causation is often unknown. Here, we use C. elegans genome sequencing of pms-2
and mlh-1 knockouts to reveal the mutational patterns linked to C. elegans MMR
deficiency and their dependency on endogenous replication errors and errors
caused by deletion of the polymerase epsilon subunit pole-4 Signature extraction
from 215 human colorectal and 289 gastric adenocarcinomas revealed three MMR
associated signatures, one of which closely resembles the C. elegans MMR spectrum
and strongly discriminates microsatellite stable and unstable tumors (AUC = 98%).
A characteristic difference between human and C. elegans MMR deficiency is the
lack of elevated levels of NCG > NTG mutations in C. elegans, likely caused by
the absence of cytosine (CpG) methylation in worms. The other two human MMR
signatures may reflect the interaction between MMR deficiency and other mutagenic
processes, but their exact cause remains unknown. In summary, combining
information from genetically defined models and cancer samples allows for better
aligning mutational signatures to causal mutagenic processes.
PMID- 29636376
TI - Drug Transporters in Xenobiotic Disposition and Pharmacokinetic Prediction.
AB - Drug transporters are widely expressed in organs and tissue barriers throughout
human and animal bodies. Studies over the last two decades have identified
various ATP-binding cassette and solute carrier transporters that play critical
roles in the absorption, distribution, metabolism, and elimination of drugs and
xenobiotics. This special section contains more than 20 original manuscripts and
reviews that cover the most recent advances in the areas of drug transporter
research, including the basic biology and function of transporters, expression of
drug transporters in organ and tissue barriers, the mechanisms underlying
regulation of transporter expression, transporter-mediated drug disposition in
animal models, and the development and utilization of new technologies in drug
transporter study, as well as pharmacokinetic modeling and simulation to assess
transporter involvement in drug disposition and drug-drug interactions. We
believe that the topics covered in this special section will advance our
understanding of the roles of transporters in drug disposition, efficacy, and
safety.
PMID- 29636377
TI - Identification of Global and Ligand-Specific Calcium Sensing Receptor Activation
Mechanisms.
AB - Calcium sensing receptor (CaSR) positive allosteric modulators (PAMs) are
therapeutically important. However, few are approved for clinical use, in part
due to complexities in assessing allostery at a receptor where the endogenous
agonist (extracellular calcium) is present in all biologic fluids. Such
complexity impedes efforts to quantify and optimize allosteric drug parameters
(affinity, cooperativity, and efficacy) that dictate PAM structure-activity
relationships (SARs). Furthermore, an underappreciation of the structural
mechanisms underlying CaSR activation hinders predictions of how PAM SAR relates
to in vitro and in vivo activity. Herein, we combined site-directed mutagenesis
and calcium mobilization assays with analytical pharmacology to compare modes of
PAM binding, positive modulation, and agonism. We demonstrate that 3-(2
chlorophenyl)-N-((1R)-1-(3-methoxyphenyl)ethyl)-1-propanamine (NPS R568) binds to
a 7 transmembrane domain (7TM) cavity common to class C G protein-coupled
receptors and used by (alphaR)-(-)-alpha-methyl-N-[3-[3
[trifluoromethylphenyl]propyl]-1-napthalenemethanamine (cinacalcet) and 1
benzothiazol-2-yl-1-(2,4-dimethylphenyl)-ethanol (AC265347); however, there are
subtle distinctions in the contribution of select residues to the binding and
transmission of cooperativity by PAMs. Furthermore, we reveal some common
activation mechanisms used by different CaSR activators, but also demonstrate
some differential contributions of residues within the 7TM bundle and
extracellular loops to the efficacy of the PAM-agonist, AC265347, versus
cooperativity. Finally, we show that PAMS potentiate the affinity of divalent
cations. Our results support the existence of both global and ligand-specific
CaSR activation mechanisms and reveal that allosteric agonism is mediated in part
via distinct mechanisms to positive modulation.
PMID- 29636379
TI - The DEAD-box RNA helicase Ddx39ab is essential for myocyte and lens development
in zebrafish.
AB - RNA helicases from the DEAD-box family are found in almost all organisms and have
important roles in RNA metabolism, including RNA synthesis, processing and
degradation. The function and mechanism of action of most of these helicases in
animal development and human disease remain largely unexplored. In a zebrafish
mutagenesis screen to identify genes essential for heart development we
identified a mutant that disrupts the gene encoding the RNA helicase DEAD-box
39ab (ddx39ab). Homozygous ddx39ab mutant embryos exhibit profound cardiac and
trunk muscle dystrophy, along with lens abnormalities, caused by abrupt terminal
differentiation of cardiomyocyte, myoblast and lens fiber cells. Loss of ddx39ab
hindered splicing of mRNAs encoding epigenetic regulatory factors, including
members of the KMT2 gene family, leading to misregulation of structural gene
expression in cardiomyocyte, myoblast and lens fiber cells. Taken together, these
results show that Ddx39ab plays an essential role in establishment of the proper
epigenetic status during differentiation of multiple cell lineages.
PMID- 29636378
TI - Cardiac c-Kit Biology Revealed by Inducible Transgenesis.
AB - RATIONALE: Biological significance of c-Kit as a cardiac stem cell marker and
role(s) of c-Kit+ cells in myocardial development or response to pathological
injury remain unresolved because of varied and discrepant findings. Alternative
experimental models are required to contextualize and reconcile discordant
published observations of cardiac c-Kit myocardial biology and provide meaningful
insights regarding clinical relevance of c-Kit signaling for translational cell
therapy. OBJECTIVE: The main objectives of this study are as follows:
demonstrating c-Kit myocardial biology through combined studies of both human and
murine cardiac cells; advancing understanding of c-Kit myocardial biology through
creation and characterization of a novel, inducible transgenic c-Kit reporter
mouse model that overcomes limitations inherent to knock-in reporter models; and
providing perspective to reconcile disparate viewpoints on c-Kit biology in the
myocardium. METHODS AND RESULTS: In vitro studies confirm a critical role for c
Kit signaling in both cardiomyocytes and cardiac stem cells. Activation of c-Kit
receptor promotes cell survival and proliferation in stem cells and
cardiomyocytes of either human or murine origin. For creation of the mouse model,
the cloned mouse c-Kit promoter drives Histone2B-EGFP (enhanced green fluorescent
protein; H2BEGFP) expression in a doxycycline-inducible transgenic reporter line.
The combination of c-Kit transgenesis coupled to H2BEGFP readout provides
sensitive, specific, inducible, and persistent tracking of c-Kit promoter
activation. Tagging efficiency for EGFP+/c-Kit+ cells is similar between our
transgenic versus a c-Kit knock-in mouse line, but frequency of c-Kit+ cells in
cardiac tissue from the knock-in model is 55% lower than that from our transgenic
line. The c-Kit transgenic reporter model reveals intimate association of c-Kit
expression with adult myocardial biology. Both cardiac stem cells and a
subpopulation of cardiomyocytes express c-Kit in uninjured adult heart,
upregulating c-Kit expression in response to pathological stress. CONCLUSIONS: c
Kit myocardial biology is more complex and varied than previously appreciated or
documented, demonstrating validity in multiple points of coexisting yet
heretofore seemingly irreconcilable published findings.
PMID- 29636381
TI - aPKC is a key polarity determinant in coordinating the function of three distinct
cell polarities during collective migration.
AB - Apical-basal polarity is a hallmark of epithelia and needs to be remodeled when
epithelial cells undergo morphogenetic cell movements. Here, we analyze border
cells in the Drosophila ovary to address how apical-basal polarity is remodeled
and turned into front-back and inside-outside as well as apical-basal polarities,
during collective migration. We find that the Crumbs (Crb) complex is required
for the generation of the three distinct but interconnected cell polarities of
border cells. Specifically, the Crb complex, together with the Par complex and
the endocytic recycling machinery, ensures the strict distribution of two
distinct populations of aPKC at the inside apical junction and near the outside
lateral membrane. Interestingly, aPKC distributed near the outside lateral
membrane interacts with Sif and promotes Rac-induced protrusions, whereas
alteration of the aPKC distribution pattern changes the pattern of protrusion
formation, leading to disruption of all three polarities. Therefore, we
demonstrate that aPKC, spatially controlled by the Crb complex, is a key polarity
molecule coordinating the generation of three distinct but interconnected cell
polarities during collective migration.
PMID- 29636380
TI - The non-canonical Wnt-PCP pathway shapes the mouse caudal neural plate.
AB - The last stage of neural tube (NT) formation involves closure of the caudal
neural plate (NP), an embryonic structure formed by neuromesodermal progenitors
and newly differentiated cells that becomes incorporated into the NT. Here, we
show in mouse that, as cell specification progresses, neuromesodermal progenitors
and their progeny undergo significant changes in shape prior to their
incorporation into the NT. The caudo-rostral progression towards differentiation
is coupled to a gradual reliance on a unique combination of complex mechanisms
that drive tissue folding, involving pulses of apical actomyosin contraction and
planar polarised cell rearrangements, all of which are regulated by the Wnt-PCP
pathway. Indeed, when this pathway is disrupted, either chemically or
genetically, the polarisation and morphology of cells within the entire caudal NP
is disturbed, producing delays in NT closure. The most severe disruptions of this
pathway prevent caudal NT closure and result in spina bifida. In addition, a
decrease in Vangl2 gene dosage also appears to promote more rapid progression
towards a neural fate, but not the specification of more neural cells.
PMID- 29636382
TI - High prevalence rate of digestive tract bacteria in duodenoscopes: a nationwide
study.
AB - OBJECTIVE: Increasing numbers of outbreaks caused by contaminated duodenoscopes
used for Endoscopic Retrograde Cholangiopancreatography (ERCP) procedures have
been reported, some with fatal outcomes. We conducted a nationwide cross
sectional study to determine the prevalence of bacterial contamination of
reprocessed duodenoscopes in The Netherlands. DESIGN: All 73 Dutch ERCP centres
were invited to sample >=2 duodenoscopes using centrally distributed kits
according to uniform sampling methods, explained by video instructions. Depending
on duodenoscope type, four to six sites were sampled and centrally cultured.
Contamination was defined as (1) any microorganism with >=20 colony forming units
(CFU)/20 mL (AM20) and (2) presence of microorganisms with gastrointestinal or
oral origin, independent of CFU count (MGO). RESULTS: Sixty-seven out of 73
centres (92%) sampled 745 sites of 155 duodenoscopes. Ten different duodenoscope
types from three distinct manufacturers were sampled including 69 (46%) Olympus
TJF-Q180V, 43 (29%) Olympus TJF-160VR, 11 (7%) Pentax ED34-i10T, 8 (5%) Pentax ED
3490TK and 5 (3%) Fujifilm ED-530XT8. Thirty-three (22%) duodenoscopes from 26
(39%) centres were contaminated (AM20). On 23 (15%) duodenoscopes MGO were
detected, including Enterobacter cloacae, Escherichia coli, Klebsiella pneumonia
and yeasts. For both definitions, contamination was not duodenoscope type
dependent (p values: 0.20 and higher). CONCLUSION: In 39% of all Dutch ERCP
centres, at least one AM20-contaminated patient-ready duodenoscope was
identified. Fifteen per cent of the duodenoscopes harboured MGO, indicating
residual organic material of previous patients, that is, failing of disinfection.
These results suggest that the present reprocessing and process control
procedures are not adequate and safe.
PMID- 29636384
TI - Trainees success rates with intubation to suction meconium at birth.
AB - OBJECTIVES: To assess the success rate and main reasons for failure of intubation
performed by medical trainees to suction meconium below the vocal cords in non
vigorous infants delivered at >=36 week gestation. DESIGN: We conducted a
prospective cohort study involving 54 residents and nine neonatology fellows in a
Canadian level 3 neonatal intensive care unit. Endotracheal intubation to suction
meconium was performed using a videolaryngoscope, the video screen being covered
during the procedure. All videos were reviewed by two experts blinded to the
procedure and to the identity of the trainee. RESULTS: Sixteen videos were
available to review between July 2014 and March 2016. Intubation success rate
assessed by the reviewers was 6%, compared with 21% as assessed by the trainees.
The most common reasons for intubation failure were an improper view of the
glottis (87%) and meconium or secretions obscuring the view (67%). 36 % of the
time, the trainees identified different reasons for intubation failure than the
reviewers. CONCLUSION: Success rate of neonatal intubation to suction meconium
was much lower than the success rate reported on infants without meconium.
Teaching should be geared towards the most common reasons for intubation failure,
possibly using video-based teaching.
PMID- 29636383
TI - Colesevelam attenuates cholestatic liver and bile duct injury in Mdr2-/- mice by
modulating composition, signalling and excretion of faecal bile acids.
AB - BACKGROUND AND AIMS: Interruption of the enterohepatic circulation of bile acids
(BAs) may protect against BA-mediated cholestatic liver and bile duct injury. BA
sequestrants are established to treat cholestatic pruritus, but their impact on
the underlying cholestasis is still unclear. We aimed to explore the therapeutic
effects and mechanisms of the BA sequestrant colesevelam in a mouse model of
sclerosing cholangitis. METHODS: Mdr2-/- mice received colesevelam for 8 weeks.
Gene expression profiles of BA homeostasis, inflammation and fibrosis were
explored in liver, intestine and colon. Hepatic and faecal BA profiles and gut
microbiome were analysed. Glucagon-like peptide 1 (GLP-1) levels in portal blood
were measured by ELISA. Furthermore, Mdr2-/- mice as well as wild-type 3,5
diethoxy-carbonyl-1,4-dihydrocollidine-fed mice were treated with GLP-1-receptor
agonist exendin-4 for 2 weeks prior to analysis. RESULTS: Colesevelam reduced
serum liver enzymes, BAs and expression of proinflammatory and profibrogenic
markers. Faecal BA profiling revealed increased levels of secondary BAs after
resin treatment, while hepatic and biliary BA composition showed a shift towards
more hydrophilic BAs. Colonic GLP-1 secretion, portal venous GLP-1 levels and
intestinal messenger RNA expression of gut hormone Proglucagon were increased,
while ileal Fgf15 expression was abolished by colesevelam. Exendin-4 treatment
increased bile duct mass without promoting a reactive cholangiocyte phenotype in
mouse models of sclerosing cholangitis. Microbiota analysis showed an increase of
the phylum delta-Proteobacteria after colesevelam treatment and a shift within
the phyla Firmicutes from Clostridiales to Lactobacillus. CONCLUSION: Colesevelam
increases faecal BA excretion and enhances BA conversion towards secondary BAs,
thereby stimulating secretion of GLP-1 from enteroendocrine L-cells and
attenuates liver and bile duct injury in Mdr2-/- mice.
PMID- 29636385
TI - Safety of meningococcal group B vaccination in hospitalised premature infants.
AB - OBJECTIVES: To assess the risk of significant adverse events in premature infants
receiving the novel 4-component group B meningococcal vaccine (4CMenB) with their
routine immunisations at 2 months of age. PARTICIPANTS, DESIGN AND SETTING: In
December 2015, Public Health England requested neonatal units across England to
voluntarily participate in a national audit; 19 units agreed to participate.
Anonymised questionnaires were completed for infants receiving 4CMenB alongside
their routine immunisations. For comparison, a historical cohort of premature
infants receiving their primary immunisations without 4CMenB or paracetamol
prophylaxis was used. MAIN OUTCOME MEASURES: Paracetamol use; temperature,
cardiovascular, respiratory and neurological status before and after vaccination;
and management and investigations postvaccination, including serum C reactive
protein levels, infection screens and antibiotic use. RESULTS: Complete
questionnaires were returned for 133 premature infants (<35 weeks' gestation) who
received their first dose of 4CMenB at 8 weeks of age, including 108 who received
prophylactic paracetamol according to national recommendations. Overall, 7%
(8/108) of infants receiving 4CMenB with paracetamol had fever (>38 degrees C)
after vaccination compared with 20% (5/25) of those receiving 4CMenB without
paracetamol (P=0.06) and none of those in the historical cohort. There were no
significant differences between cohorts in the proportion of infants with apnoea,
bradycardia, desaturation and receiving respiratory support after vaccination.
CONCLUSIONS: 4CMenB does not increase the risk of serious adverse events in
hospitalised premature infants. This audit supports the current national
recommendations to offer 4CMenB with other routine vaccinations and prophylactic
paracetamol to premature infants at their chronological age.
PMID- 29636386
TI - Insights into CKD from Metabolite GWAS.
PMID- 29636387
TI - Depletion of Gprc5a Promotes Development of Diabetic Nephropathy.
AB - Background Renal glomeruli are the primary target of injury in diabetic
nephropathy (DN), and the glomerular podocyte has a key role in disease
progression.Methods To identify potential novel therapeutic targets for DN, we
performed high-throughput molecular profiling of G protein-coupled receptors
(GPCRs) using human glomeruli.Results We identified an orphan GPCR, Gprc5a, as a
highly podocyte-specific gene, the expression of which was significantly
downregulated in glomeruli of patients with DN compared with those without DN.
Inactivation of Gprc5a in mice resulted in thickening of the glomerular basement
membrane and activation of mesangial cells, which are two hallmark features of DN
in humans. Compared with wild-type mice, Gprc5a-deficient animals demonstrated
increased albuminuria and more severe histologic changes after induction of
diabetes with streptozotocin. Mechanistically, Gprc5a modulated TGF-beta
signaling and activation of the EGF receptor in cultured podocytes.Conclusions
Gprc5a has an important role in the pathogenesis of DN, and further study of the
podocyte-specific signaling activity of this protein is warranted.
PMID- 29636388
TI - Architecture of the complete oxygen-sensing FixL-FixJ two-component signal
transduction system.
AB - The symbiotic nitrogen-fixing bacterium Bradyrhizobium japonicum is critical to
the agro-industrial production of soybean because it enables the production of
high yields of soybeans with little use of nitrogenous fertilizers. The FixL and
FixJ two-component system (TCS) of this bacterium ensures that nitrogen fixation
is only stimulated under conditions of low oxygen. When it is not bound to
oxygen, the histidine kinase FixL undergoes autophosphorylation and transfers
phosphate from adenosine triphosphate (ATP) to the response regulator FixJ,
which, in turn, stimulates the expression of genes required for nitrogen
fixation. We purified full-length B. japonicum FixL and FixJ proteins and defined
their structures individually and in complex using small-angle x-ray scattering,
crystallographic, and in silico modeling techniques. Comparison of active and
inactive forms of FixL suggests that intramolecular signal transduction is driven
by local changes in the sensor domain and in the coiled-coil region connecting
the sensor and histidine kinase domains. We also found that FixJ exhibits
conformational plasticity not only in the monomeric state but also in tetrameric
complexes with FixL during phosphotransfer. This structural characterization of a
complete TCS contributes both a mechanistic and evolutionary understanding to TCS
signal relay, specifically in the context of the control of nitrogen fixation in
root nodules.
PMID- 29636389
TI - The nuclear translocation of the kinases p38 and JNK promotes inflammation
induced cancer.
AB - The stimulated nuclear translocation of signaling proteins, such as MAPKs, is a
necessity for the initiation and regulation of their physiological functions.
Previously, we determined that nuclear translocation of the MAPKs p38 and JNK
involves binding to heterodimers comprising importin 3 and either importin 7 or
importin 9. Here, we identified the importin-binding region in p38 and JNK and
developed a myristoylated peptide targeting this site that we called PERY. The
PERY peptide specifically blocked the interaction of p38 and JNK with the
importins, restricted their nuclear translocation, and inhibited phosphorylation
of their nuclear (but not cytoplasmic) substrates. Through these effects, the
PERY peptide reduced the proliferation of several (but not all) cancer cell lines
in culture and inhibited the growth of a human breast cancer xenograft in mice.
In addition, the PERY peptide substantially inhibited inflammation in mice, as
manifested in models of colitis and colitis-associated colon cancer. The PERY
peptide more effectively prevented colon cancer development than did a commercial
p38 inhibitor. In vivo analysis further suggested that this effect was mediated
by PERY peptide-induced prevention of the nuclear translocation of p38 in
macrophages. Together, these results support the use of the nuclear translocation
of p38 and JNK as a novel drug target to treat various cancers and inflammation
induced diseases.
PMID- 29636390
TI - A nanoscale reorganization of the IL-15 receptor is triggered by NKG2D in a
ligand-dependent manner.
AB - Natural killer group 2D (NKG2D), an activating receptor on natural killer (NK)
cells and a subset of T cells, recognizes stress-inducible proteins, including
MICA and ULBP2, which are present on infected or transformed cells. Whether each
NKG2D ligand (NKG2DL) has a distinct biological role is not clear. Using
superresolution microscopy, we found that NKG2D is constitutively arranged in
nanoclusters at the surface of human primary NK cells. Nanoclusters of NKG2D
became smaller upon ligation with MICA but became larger upon activation by
ULBP2. In addition, ULBP2 induced the reorganization of nanoclusters of the
cytokine receptor subunit for both interleukin-2 (IL-2) and IL-15 (IL-2/IL
15Rbeta), such that these cytokine receptor subunits coalesced with nanoclusters
of NKG2D. Functionally, the response of NK cells activated by ULBP2 was augmented
by an interaction between ULBP2-bound NKG2D and IL-15R ligated by IL-15 (trans
presented by IL-15Ralpha-coated surfaces). These data suggest that NKG2DLs are
not equivalent in their capacity to activate NKG2D and establish a previously
unknown paradigm in how ligand-induced changes to the nanoscale organization of
the cell surface can affect immune responses.
PMID- 29636391
TI - mTORC1 controls lysosomal Ca2+ release through the two-pore channel TPC2.
AB - Two-pore segment channel 2 (TPC2) is a ubiquitously expressed, lysosomally
targeted ion channel that aids in terminating autophagy and is inhibited upon its
association with mechanistic target of rapamycin (mTOR). It is controversial
whether TPC2 mediates lysosomal Ca2+ release or selectively conducts Na+ and
whether the binding of nicotinic acid adenine dinucleotide phosphate (NAADP) or
phosphatidylinositol 3,5-bisphosphate [PI(3,5)P2] is required for the activity of
this ion channel. We show that TPC2 is required for intracellular Ca2+ signaling
in response to NAADP or to mTOR inhibition by rapamycin. In pulmonary arterial
myocytes, rapamycin and NAADP evoked global Ca2+ transients that were blocked by
depletion of lysosomal Ca2+ stores. Preincubation of cells with high
concentrations of rapamycin resulted in desensitization and blocked NAADP-evoked
Ca2+ signals. Moreover, rapamycin and NAADP did not evoke discernable Ca2+
transients in myocytes derived from Tpcn2 knockout mice, which showed normal
responses to other Ca2+-mobilizing signals. In HEK293 cells stably overexpressing
human TPC2, shRNA-mediated knockdown of mTOR blocked rapamycin- and NAADP-evoked
Ca2+ signals. Confocal imaging of a genetically encoded Ca2+ indicator fused to
TPC2 demonstrated that rapamycin-evoked Ca2+ signals localized to lysosomes and
were in close proximity to TPC2. Therefore, inactivation of mTOR may activate
TPC2 and consequently lysosomal Ca2+ release.
PMID- 29636393
TI - Active Braking of Whole-Arm Reaching Movements Provides Single-Trial
Neuromuscular Measures of Movement Cancellation.
AB - Movement inhibition is an aspect of executive control that can be studied using
the countermanding paradigm, wherein subjects try to cancel an impending movement
following presentation of a stop signal. This paradigm permits estimation of the
stop-signal reaction time or the time needed to respond to the stop signal.
Numerous countermanding studies have examined fast, ballistic movements, such as
saccades, even though many movements in daily life are not ballistic and can be
stopped at any point during their trajectory. A benefit of studying the control
of nonballistic movements is that antagonist muscle recruitment, which serves to
actively brake a movement, presumably arises in response to the stop signal.
Here, nine human participants (2 female) performed a center-out whole-arm
reaching task with a countermanding component, while we recorded the activity of
upper-limb muscles contributing to movement generation and braking. The data show
a clear response on antagonist muscles to a stop signal, even for movements that
have barely begun. As predicted, the timing of such antagonist recruitment
relative to the stop signal covaried with conventional estimates of the stop
signal reaction time, both within and across subjects. The timing of antagonist
muscle recruitment also attested to a rapid reprioritization of movement
inhibition, with antagonist latencies decreasing across sequences consisting of
repeated stop trials; such reprioritization also scaled with error magnitude. We
conclude that antagonist muscle recruitment arises as a manifestation of a
stopping process, providing a novel, accessible, and within-trial measure of the
stop-signal reaction time.SIGNIFICANCE STATEMENT The countermanding or stop
signal paradigm permits estimation of how quickly subjects cancel an impending
movement. Traditionally, this paradigm has been studied using simple movements,
such as saccadic eye movements or button presses. Here, by measuring upper limb
muscle activity while human subjects countermand whole-arm reaching movements, we
show that movement cancellation often involves prominent recruitment of
antagonist muscles that serves to actively brake the movement, even on movements
that have barely begun. The timing of antagonist muscle recruitment correlates
with traditional estimates of movement cancellation. Because they can be detected
on a single-trial basis, muscle-based measures may provide a new way of
characterizing movement cancellation at an unprecedented within-trial resolution.
PMID- 29636392
TI - Restoration of Kv7 Channel-Mediated Inhibition Reduces Cued-Reinstatement of
Cocaine Seeking.
AB - Cocaine addicts display increased sensitivity to drug-associated cues, due in
part to changes in the prelimbic prefrontal cortex (PL-PFC). The cellular
mechanisms underlying cue-induced reinstatement of cocaine seeking remain
unknown. Reinforcement learning for addictive drugs may produce persistent
maladaptations in intrinsic excitability within sparse subsets of PFC pyramidal
neurons. Using a model of relapse in male rats, we sampled >600 neurons to
examine spike frequency adaptation (SFA) and afterhyperpolarizations (AHPs), two
systems that attenuate low-frequency inputs to regulate neuronal synchronization.
We observed that training to self-administer cocaine or nondrug (sucrose)
reinforcers decreased SFA and AHPs in a subpopulation of PL-PFC neurons. Only
with cocaine did the resulting hyperexcitability persist through extinction
training and increase during reinstatement. In neurons with intact SFA, dopamine
enhanced excitability by inhibiting Kv7 potassium channels that mediate SFA.
However, dopamine effects were occluded in neurons from cocaine-experienced rats,
where SFA and AHPs were reduced. Pharmacological stabilization of Kv7 channels
with retigabine restored SFA and Kv7 channel function in neuroadapted cells. When
microinjected bilaterally into the PL-PFC 10 min before reinstatement testing,
retigabine reduced cue-induced reinstatement of cocaine seeking. Last, using cFos
GFP transgenic rats, we found that the loss of SFA correlated with the expression
of cFos-GFP following both extinction and re-exposure to drug-associated cues.
Together, these data suggest that cocaine self-administration desensitizes
inhibitory Kv7 channels in a subpopulation of PL-PFC neurons. This subpopulation
of neurons may represent a persistent neural ensemble responsible for driving
drug seeking in response to cues.SIGNIFICANCE STATEMENT Long after the cessation
of drug use, cues associated with cocaine still elicit drug-seeking behavior, in
part by activation of the prelimbic prefrontal cortex (PL-PFC). The underlying
cellular mechanisms governing these activated neurons remain unclear. Using a rat
model of relapse to cocaine seeking, we identified a population of PL-PFC neurons
that become hyperexcitable following chronic cocaine self-administration. These
neurons show persistent loss of spike frequency adaptation, reduced
afterhyperpolarizations, decreased sensitivity to dopamine, and reduced Kv7
channel-mediated inhibition. Stabilization of Kv7 channel function with
retigabine normalized neuronal excitability, restored Kv7 channel currents, and
reduced drug-seeking behavior when administered into the PL-PFC before
reinstatement. These data highlight a persistent adaptation in a subset of PL-PFC
neurons that may contribute to relapse vulnerability.
PMID- 29636394
TI - Time Course of Brain Network Reconfiguration Supporting Inhibitory Control.
AB - Hemodynamic research has recently clarified key nodes and links in brain networks
implementing inhibitory control. Although fMRI methods are optimized for
identifying the structure of brain networks, the relatively slow temporal course
of fMRI limits the ability to characterize network operation. The latter is
crucial for developing a mechanistic understanding of how brain networks shift
dynamically to support inhibitory control. To address this critical gap, we
applied spectrally resolved Granger causality (GC) and random forest machine
learning tools to human EEG data in two large samples of adults (test sample n =
96, replication sample n = 237, total N = 333, both sexes) who performed a color
word Stroop task. Time-frequency analysis confirmed that recruitment of
inhibitory control accompanied by slower behavioral responses was related to
changes in theta and alpha/beta power. GC analyses revealed directionally
asymmetric exchanges within frontal and between frontal and parietal brain areas:
top-down influence of superior frontal gyrus (SFG) over both dorsal ACC (dACC)
and inferior frontal gyrus (IFG), dACC control over middle frontal gyrus (MFG),
and frontal-parietal exchanges (IFG, precuneus, MFG). Predictive analytics
confirmed a combination of behavioral and brain-derived variables as the best set
of predictors of inhibitory control demands, with SFG theta bearing higher
classification importance than dACC theta and posterior beta tracking the onset
of behavioral response. The present results provide mechanistic insight into the
biological implementation of a psychological phenomenon: inhibitory control is
implemented by dynamic routing processes during which the target response is
upregulated via theta-mediated effective connectivity within key PFC nodes and
via beta-mediated motor preparation.SIGNIFICANCE STATEMENT Hemodynamic
neuroimaging research has recently clarified regional structures in brain
networks supporting inhibitory control. However, due to inherent methodological
constraints, much of this research has been unable to characterize the temporal
dynamics of such networks (e.g., direction of information flow between nodes).
Guided by fMRI research identifying the structure of brain networks supporting
inhibitory control, results of EEG source analysis in a test sample (n = 96) and
replication sample (n = 237) using effective connectivity and predictive
analytics strategies advance a model of inhibitory control by characterizing the
precise temporal dynamics by which this network operates and exemplify an
approach by which mechanistic models can be developed for other key psychological
processes.
PMID- 29636395
TI - Parietal-Occipital Interactions Underlying Control- and Representation-Related
Processes in Working Memory for Nonspatial Visual Features.
AB - Although the manipulation of load is popular in visual working memory research,
many studies confound general attentional demands with context binding by drawing
memoranda from the same stimulus category. In this fMRI study of human observers
(both sexes), we created high- versus low-binding conditions, while holding load
constant, by comparing trials requiring memory for the direction of motion of one
random dot kinematogram (RDK; 1M trials) versus for three RDKs (3M), or versus
one RDK and two color patches (1M2C). Memory precision was highest for 1M trials
and comparable for 3M and 1M2C trials. And although delay-period activity in
occipital cortex did not differ between the three conditions, returning to
baseline for all three, multivariate pattern analysis decoding of a remembered
RDK from occipital cortex was also highest for 1M trials and comparable for 3M
and 1M2C trials. Delay-period activity in intraparietal sulcus (IPS), although
elevated for all three conditions, displayed more sensitivity to demands on
context binding than to load per se. The 1M-to-3M increase in IPS signal
predicted the 1M-to-3M declines in both behavioral and neural estimates of
working memory precision. These effects strengthened along a caudal-to-rostral
gradient, from IPS0 to IPS5. Context binding-independent load sensitivity was
observed when analyses were lateralized and extended into PFC, with trend-level
effects evident in left IPS and strong effects in left lateral PFC. These
findings illustrate how visual working memory capacity limitations arise from
multiple factors that each recruit dissociable brain systems.SIGNIFICANCE
STATEMENT Visual working memory capacity predicts performance on a wide array of
cognitive and real-world outcomes. At least two theoretically distinct factors
are proposed to influence visual working memory capacity limitations: an amodal
attentional resource that must be shared across remembered items; and the demands
on context binding. We unconfounded these two factors by varying load with items
drawn from the same stimulus category ("high demands on context binding") versus
items drawn from different stimulus categories ("low demands on context
binding"). The results provide evidence for the dissociability, and the neural
bases, of these two theorized factors, and they specify that the functions of
intraparietal sulcus may relate more strongly to the control of representations
than to the general allocation of attention.
PMID- 29636397
TI - An 18-Year-Old With Acute-on-Chronic Abdominal Pain.
AB - An 18-year-old woman with a complex past medical history presented with 2 days of
vomiting and lower abdominal pain. She had been admitted for the majority of the
previous 5 months for recurrent pancreatitis and had undergone a cholecystectomy.
Additional symptoms included nausea, anorexia, constipation, and a 40-lb weight
loss over 4 months. She appeared uncomfortable, and an examination was remarkable
for tachycardia, hypertension, and diffuse abdominal tenderness to light
palpation. Her initial laboratory test results revealed mildly elevated liver
enzymes (aspartate aminotransferase 68 U/L, alanine aminotransferase 80 U/L) and
a normal lipase. She was admitted for pain control and nutritional support. Over
the next few days, the lipase increased to 1707 U/L. Despite optimizing her
management for acute pancreatitis, the patient's symptoms persisted. Further
history gathering and laboratory testing ultimately revealed her diagnosis. Our
expert panel reviews her hospital course and elucidates the management of our
eventual diagnosis.
PMID- 29636398
TI - Seatbelt use is associated with lower risk of high-grade hepatic injury in motor
vehicle crashes in a national sample.
AB - BACKGROUND: Seatbelt use, alone and in conjunction with an airbag, is associated
with lower risk of mortality, blunt abdominal trauma and kidney injury in motor
vehicle crashes (MVCs). However, the effect of these protective devices on risk
of severe liver injury is not well characterised. METHODS: This retrospective
cohort study included patient admissions with liver injuries from MVCs from the
National Trauma Data Bank (NTDB), collected from 2010 to 2015 in the USA. We
examined associations between injury severity and seatbelt use and airbag
presence individually and in the presence of additive interaction. Secondary
outcomes were mortality, complications and discharge disposition. RESULTS: We
analysed 55 543 records from the National Trauma Data Bank. In adjusted analysis,
seatbelt use alone was protective against severe (AAST VI or above) hepatic
injury (risk ratio (RR) 0.79, 95% CI 0.75 to 0.84), while airbag presence alone
was not (RR 1.05, 95% CI 0.8 to 1.12). The joint association of seatbelt use and
airbag presence with injury severity was greater than seatbelts alone (RR 0.74,
95% CI 0.70 to 0.79), with 13% of the joint lower risk attributable to
interaction (95% CI 3% to 24%). The adjusted mortality risk of those without
protective devices (10.3%, n=2297) was nearly double that of patients who used a
seatbelt in conjunction with a present airbag (5.3%, n=699, p<0.001).
CONCLUSIONS: Seatbelts are associated with lower liver injury severity and are
more protective with airbags present, while airbags without seatbelt use were not
protective against severe injury among patients with liver injury.
PMID- 29636396
TI - Electrical Stimulation in Hippocampus and Entorhinal Cortex Impairs Spatial and
Temporal Memory.
AB - The medial temporal lobe (MTL) is widely implicated in supporting episodic memory
and navigation, but its precise functional role in organizing memory across time
and space remains elusive. Here we examine the specific cognitive processes
implemented by MTL structures (hippocampus and entorhinal cortex) to organize
memory by using electrical brain stimulation, leveraging its ability to establish
causal links between brain regions and features of behavior. We studied
neurosurgical patients of both sexes who performed spatial-navigation and verbal
episodic memory tasks while brain stimulation was applied in various regions
during learning. During the verbal memory task, stimulation in the MTL disrupted
the temporal organization of encoded memories such that items learned with
stimulation tended to be recalled in a more randomized order. During the spatial
task, MTL stimulation impaired subjects' abilities to remember items located far
away from boundaries. These stimulation effects were specific to the MTL. Our
findings thus provide the first causal demonstration in humans of the specific
memory processes that are performed by the MTL to encode when and where events
occurred.SIGNIFICANCE STATEMENT Numerous studies have implicated the medial
temporal lobe (MTL) in encoding spatial and temporal memories, but they have not
been able to causally demonstrate the nature of the cognitive processes by which
this occurs in real-time. Electrical brain stimulation is able to demonstrate
causal links between a brain region and a given function with high temporal
precision. By examining behavior in a memory task as subjects received MTL
stimulation, we provide the first causal evidence demonstrating the role of the
MTL in organizing the spatial and temporal aspects of episodic memory.
PMID- 29636399
TI - Changes in non-occupational sedentary behaviours across the retirement
transition: the Finnish Retirement and Aging (FIREA) study.
AB - BACKGROUND: Retirement is a major life transition which may influence health
behaviours and time use. Little is known about how sedentary behaviour changes as
a result of increased time availability after retirement. The aim of this study
was to examine changes in non-occupational sedentary behaviours across the
retirement transition. In addition, we examined which preretirement
characteristics were associated with these changes. METHODS: The study population
consisted of 2011 participants from the Finnish Retirement and Aging Study.
Repeated postal survey including questions on sedentary behaviour domains
(television viewing, computer use at home, sitting in a vehicle and other
sitting) were conducted once a year across the retirement transition, covering on
average 3.4 study waves. Linear regression with generalised estimating equations
was used for the analyses. RESULTS: Total sedentary time increased by 73 (95% CI
66 to 80) min/day during the retirement transition. Of the domain-specific
sedentary behaviours, television viewing time increased by 28 (95% CI 25 to 32)
min/day, computer use at home by 19 (95% CI 17 to 22) min/day and other sitting
time by 37 (95% CI 33 to 41) min/day, while time sitting in a vehicle decreased
by 6 (95% CI 4 to 9) min/day. Highest increase in total sedentary time was among
women and persons who had high occupational sitting time, low physical activity
level, sleep difficulties, mental disorders or poor health before retirement (all
p values for interaction <0.03). CONCLUSION: Total and domain-specific sedentary
time, except sitting in a vehicle, increased during the retirement transition.
PMID- 29636400
TI - Standardisation of laboratories engaged in lipid analyses of population health
examination surveys.
AB - BACKGROUND: Reliable data on clinical biomarkers are necessary in order to assess
the health risks of populations and especially in assessing long-term trends
related to disease incidence. METHODS: Ten European laboratories participated in
a two-phase quality control exercise of total cholesterol (TC) and high-density
lipoprotein-cholesterol (HDL-C) analysis. The European Health Examination Survey
Reference Laboratory prepared plasma batches for analysis, and provided target
values for them. Two criteria were set for the precision and the systematic error
(bias). Three plasma samples were analysed in duplicate on separate days (n=12).
RESULTS: In Round 1, all laboratories met the acceptable criterion (3%) for
precision of TC. The mean bias of all laboratories was 0.99% (95% CI 0.03% to
1.95%). Six laboratories measured samples from Round 2. Five laboratories met the
goal criterion of 3%; one failed to meet the acceptable criterion of 5%. The mean
bias for HDL-C of the three batches of six laboratories was within goal limits
(+/-5% from target) and that of all 10 within acceptable (+/-10%). The mean bias
of all laboratories was 1.1% (95% CI -0.18 to 2.32). In Round 2 four laboratories
met the goal criterion and one the acceptable criterion. CONCLUSION: The quality
control exercise demonstrated that although the majority of the laboratories met
the strict criteria for systematic error for TC and HDL-C, standardisation of
methods is still needed to improve the accuracy of biomarker measurements of
laboratories engaged in population health surveys. A protocol is recommended for
obtaining reliable and comparable biomarker data between countries.
PMID- 29636401
TI - Clinical and epidemiological variability in severe sepsis: an ecological study.
AB - BACKGROUND: To assess clinical and epidemiological trends of severe sepsis.
METHODS: Ecological study of patients presenting to the emergency department with
severe sepsis or septic shock between 2005 and 2013. Patients were identified
using the state-wide hospital administrative database. Key outcomes included
incidence rates (IRs) and mortality rates (per 1000 population) by age and
medically underserved areas (MUAs), sepsis case fatality rate (deaths per 100
sepsis cases), and proportions of transfer and comorbidities. RESULTS: There were
154 019 sepsis cases identified. In 2005, 85+ yo in non-MUAs had a 44% increase
in IR compared with those in MUAs, and this difference rose to 74% by 2013.
Mortality rates were 1.6 (95% CI 1.3 to 1.8) times greater among 85+ yo in non
MUAs. Mortality rates increased by 1.8% annually, while the sepsis case fatality
rate decreased by 7.7%. The proportion of transfer among sepsis cases decreased
by 2.1% per year (3.8% in non-MUAs, 0.7% in MUAs). CONCLUSIONS: Sepsis incidence
varies geographically, and access to healthcare is one proposed mechanism that
may explain heterogeneity. Over time, we may be capturing higher acuity sepsis
cases with better recognition and management, as well as observing differential
diagnostic coding documentation by location.
PMID- 29636402
TI - Ventricular arrhythmia burden after transcatheter versus surgical pulmonary valve
replacement.
AB - OBJECTIVE: Comparative ventricular arrhythmia (VA) outcomes following
transcatheter (TC-PVR) or surgical pulmonary valve replacement (S-PVR) have not
been evaluated. We sought to compare differences in VAs among patients with
congenital heart disease (CHD) following TC-PVR or S-PVR. METHODS: Patients with
repaired CHD who underwent TC-PVR or S-PVR at the UCLA Medical Center from 2010
to 2016 were analysed retrospectively. Patients who underwent hybrid TC-PVR or
had a diagnosis of congenitally corrected transposition of the great arteries
were excluded. Patients were screened for a composite of non-intraoperative VA
(the primary outcome variable), defined as symptomatic/recurrent non-sustained
ventricular tachycardia (VT) requiring therapy, sustained VT or ventricular
fibrillation. VA epochs were classified as 0-1 month (short-term), 1-12 months
(mid-term) and >=1 year (late-term). RESULTS: Three hundred and two patients (TC
PVR, n=172 and S-PVR, n=130) were included. TC-PVR relative to S-PVR was
associated with fewer clinically significant VAs in the first 30 days after valve
implant (adjusted HR 0.20, p=0.002), but similar mid-term and late-term risks
(adjusted HR 0.72, p=0.62 and adjusted HR 0.47, p=0.26, respectively). In
propensity-adjusted models, S-PVR, patient age at PVR and native right
ventricular outflow tract (RVOT) (vs bioprosthetic/conduit outflow tract) were
independent predictors of early VA after pulmonary valve implantation (p<0.05 for
all). CONCLUSION: Compared with S-PVR, TC-PVR was associated with reduced short
term but comparable mid-term and late-term VA burdens. Risk factors for VA after
PVR included a surgical approach, valve implantation into a native RVOT and older
age at PVR.
PMID- 29636403
TI - New interventions to treat chronic thromboembolic pulmonary hypertension.
AB - Chronic thromboembolic pulmonary hypertension (CTEPH) can be defined as a type of
precapillary pulmonary hypertension (PH) resulting from incomplete resolution of
pulmonary embolism. Symptoms are exertional breathlessness and most patients come
to a cardiologist's attention with a dilated right heart on echocardiography.
Patients with suspected CTEPH should be referred for evaluation to a PH
specialist centre to confirm the diagnosis. There are now three treatment options
available, dependent on the anatomical level of the obstruction: pulmonary
endarterectomy surgery, balloon pulmonary angioplasty and pulmonary arterial
hypertension (PAH)-targeted drugs. All reduce pulmonary artery pressure and
vascular resistance. Current guidelines recommend surgery as the definitive
treatment in technically operable patients. The operation involves deep
hypothermic circulatory arrest, but the in-hospital mortality is <5% and the 3
year survival is 90%. Large case series have demonstrated dramatic improvement in
haemodynamic parameters with significant symptomatic and prognostic benefits.
Balloon pulmonary angioplasty is the newest treatment that has been refined by
Japanese cardiologists over the last 5 years. This technique is designed to
target more distal subsegmental lesions in inoperable patients and in experienced
centres has been shown to deliver equivalent haemodynamic improvement to surgery
with low complication rates, but longer term outcome is still under evaluation. A
recent randomised controlled trial has demonstrated a reduction in vascular
resistance and increase in walk test distance with the PAH-targeted drug
Riociguat in patients with inoperable CTEPH, and this drug is now licensed for
these patients. It is likely that some patients will benefit from combinations of
treatments.
PMID- 29636404
TI - Development of ICSI.
AB - The first conception outside of the human body that led to the birth of Louise
Brown was a tremendous accomplishment, which opened the door to the utilization
of assisted reproductive techniques globally. This brought the understanding that
accomplishing life in a dish required several steps, the most obvious being the
timing and characteristics of fertilization. It soon became obvious in the 1980s
that the most disappointing phenomenon was unexpected and complete fertilization
failure. Among the approaches that were attempted to treat male factor
infertility, ICSI surfaced as the technique that brought the ratio of the gametes
to 1:1 and was also able to grant consistent fertilization and a higher pregnancy
rate. ICSI has now been implemented for a quarter of a century, proving itself as
the ultimate technique utilizing ejaculated spermatozoa independent of the semen
parameters and is the sole insemination method to be used with surgically
retrieved spermatozoa. There are currently various indications for ICSI that are
widely adopted, rendering it the most popular insemination method worldwide. The
reliability of ICSI ensures its employment in upcoming techniques involving in
vitro spermatogenesis and neogametogenesis.
PMID- 29636405
TI - 40 years of bovine IVF in the new genomic selection context.
AB - The development of a complex technology such as in vitro fertilization (IVF)
requires years of experimentation, sometimes comparing several species to learn
how to create the right in vitro environment for oocytes, spermatozoa and early
embryos. At the same time, individual species characteristics such as gamete
physiology and gamete interaction are recently evolved traits and must be
analysed within the context of each species. In the last 40 years since the birth
of Louise Brown, IVF techniques progressed and are now used in multiple domestic
and non-domestic animal species around the world. This does not mean that the
technology is completely matured or satisfactory; a number of problems remain to
be solved and several procedures still need to be optimized. The development of
IVF in cattle is particularly interesting since agriculture practices permitted
the commercial development of the procedure and it is now used at a scale
comparable to human IVF (millions of newborns). The genomic selection of young
animals or even embryos combined with sexing and freezing technologies is driving
a new era of IVF in the dairy sector. The time has come for a retrospective
analysis of the success and pitfalls of the last 40 years of bovine IVF and for
the description of the challenges to overcome in the years to come.
PMID- 29636406
TI - The impact of infertility diagnosis on embryo-endometrial dialogue.
AB - Initial stages of implantation involve bi-directional molecular crosstalk between
the blastocyst and endometrium. This study investigated an association between
infertility etiologies, specifically advanced maternal age (AMA) and
endometriosis, on the embryo-endometrial molecular dialogue prior to
implantation. Co-culture experiments were performed with endometrial epithelial
cells (EEC) and cryopreserved day 5 blastocysts (n = 41 >= Grade 3BB) donated
from patients presenting with AMA or endometriosis, compared to fertile donor
oocyte controls. Extracellular vesicles isolated from co-culture supernatant were
analyzed for miRNA expression and revealed significant alterations correlating to
AMA or endometriosis. Specifically, AMA resulted in 16 miRNAs with increased
expression (P <= 0.05) and strong evidence for negative regulation toward 206
target genes. VEGFA, a known activator of cell adhesion, displayed decreased
expression (P <= 0.05), validating negative regulation by 4 of these increased
miRNAs: miR-126; 150; 29a; 29b (P <= 0.05). In endometriosis patients, a total of
10 significantly altered miRNAs displayed increased expression compared to
controls (miR-7b; 9; 24; 34b; 106a; 191; 200b; 200c; 342-3p; 484) (P <= 0.05),
targeting 1014 strong evidence-based genes. Three target genes of miR-106a
(CDKN1A, E2F1 and RUNX1) were independently validated. Functional annotation
analysis of miRNA-target genes revealed enriched pathways for both infertility
etiologies, including disrupted cell cycle regulation and proliferation (P <=
0.05). These extracellular vesicle-bound secreted miRNAs are key transcriptional
regulators in embryo-endometrial dialogue and may be prospective biomarkers of
implantation success. One of the limitations of this study is that it was a
stimulated, in vitro model and therefore may not accurately reflect the in-vivo
environment.
PMID- 29636407
TI - Radiation-induced ovarian follicle loss occurs without overt stromal changes.
AB - Radiation damage due to total body irradiation (TBI) or targeted abdominal
radiation can deplete ovarian follicles and accelerate reproductive aging. We
characterized a mouse model of low-dose TBI to investigate how radiation affects
the follicular and stromal compartments of the ovary. A single TBI dose of either
0.1 Gy or 1 Gy (Cesium-137 gamma) was delivered to reproductively adult CD1
female mice, and sham-treated mice served as controls. Mice were euthanized
either 2 weeks or 5 weeks post exposure, and ovarian tissue was harvested. To
assess the ovarian reserve, we classified and counted the number of
morphologically normal follicles in ovarian histologic sections for all
experimental cohorts using an objective method based on immunohistochemistry for
an oocyte-specific protein (MSY2). 0.1 Gy did not affect that total number of
ovarian follicles, whereas 1 Gy resulted in a dramatic loss. At two weeks, there
was a significant reduction in all preantral follicles, but early antral and
antral follicles were still present. By five weeks, there was complete depletion
of all follicle classes. We examined stromal quality using histologic stains to
visualize ovarian architecture and fibrosis and by immunohistochemistry and
quantitative microscopy to assess cell proliferation, cell death and vasculature.
There were no differences in the ovarian stroma across cohorts with respect to
these markers, indicating that this compartment is more radio-resistant relative
to the germ cells. These findings have implications for reproductive health and
the field of fertility preservation because the radiation doses we examined mimic
scatter doses experienced in typical therapeutic regimens.
PMID- 29636408
TI - Cues for cavity nesters: investigating relevant zeitgebers for emerging
leafcutting bees, Megachile rotundata.
AB - Photoperiod is considered the universal zeitgeber, regulating physiological
processes in numerous animals. However, for animals in light-restricted habitats
(e.g. burrows or cavities), thermoperiod may be a more important cue. Our study
tested this hypothesis in the alfalfa leafcutting bee, Megachile rotundata, which
nests in cavities and undergoes development within a brood cell. We assessed the
role of environmental cues (thermoperiod and photoperiod) on the process of adult
emergence by examining: (1) whether those cues direct circadian rhythms, (2)
which cue is more dominant and (3) how sensitive developing bees and emergence
ready adults are to cues. Although we found that 20% of light penetrates the
brood cell, and bees respond to photoperiod by synchronizing emergence,
thermoperiod is the dominant cue. When presented with a conflicting zeitgeber,
bees entrained to the thermophase instead of the photophase. When temperature
cues were removed, we observed free-running of emergence, indicating that
underlying circadian mechanisms can be synchronized by daily fluctuations in
temperature. We also found that emerging bees were highly sensitive to even small
increases in temperature, entraining to a ramp speed of 0.33 degrees C h-1 The
response and sensitivity to temperature cues suggest that M. rotundata evolved a
temperature-mediated clock to time emergence from light-restricted cavities.
PMID- 29636410
TI - Kinematics of burrowing by peristalsis in granular sands.
AB - Peristaltic burrowing in muds applies normal forces to burrow walls, which extend
by fracture, but the kinematics and mechanics of peristaltic burrowing in sands
has not been explored. The opheliid polychaete Thoracophelia mucronata uses
direct peristalsis to burrow in beach sands, with kinematics consistent with the
'dual anchor system' of burrowing described for diverse organisms. In addition to
expansions associated with a constrictive direct peristaltic wave, worms
alternately expand the head region, which is separated by septa from the open
body cavity, and expansible lateral ridges that protrude from the 10th setiger.
Tracking of chaetae with fluorescent dye showed that the body wall advances while
segments are thin, then stationary segments expand, applying normal forces to
burrow walls. These normal forces likely compact burrow walls and serve as
anchors. Perhaps more importantly, peristaltic movements minimize friction with
the burrow wall, which would expand dilatant sands. Considerable slipping of
worms burrowing in a lower-density sand analog suggests that this dual-anchor
peristaltic burrowing may be limited to a narrow range of mechanical properties
of substrata, consistent with the limited habitat of T. mucronata in a narrow
swash zone on dissipative beaches.
PMID- 29636409
TI - Telomere elongation during early development is independent of environmental
temperatures in Atlantic salmon.
AB - There is increasing evidence from endothermic vertebrates that telomeres, which
cap the ends of chromosomes and play an important role in chromosome protection,
decline in length during postnatal life and are a useful indicator of
physiological state and expected lifespan. However, much less is currently known
about telomere dynamics in ectothermic vertebrates, which are likely to differ
from that of endotherms, at least in part due to the sensitivity of ectotherm
physiology to environmental temperature. We report here on an experiment in which
Atlantic salmon (Salmo salar) were reared through the embryonic and larval stages
of development, and under differing temperatures, in order to examine the effects
of environmental temperature during early life on telomere dynamics, oxidative
DNA damage and cellular proliferation. Telomere length significantly increased
between the embryonic and larval stages of development. Contrary to our
expectations, variation in telomere length at the end of the larval stage was
unrelated to either cell proliferation rate or the relative level of oxidative
DNA damage, and did not vary between the temperature treatments. This study
suggests that salmon are able to restore the length of their telomeres during
early development, which may possibly help to buffer potentially harmful
environmental effects experienced in early life.
PMID- 29636411
TI - Digestive and locomotor capacity show opposing responses to changing food
availability in an ambush predatory fish.
AB - Metabolic rates vary widely within species, but little is known about how
variation in the 'floor' [i.e. standard metabolic rate (SMR) in ectotherms] and
'ceiling' [maximum metabolic rate (MMR)] for an individual's aerobic scope (AS)
are linked with digestive and locomotor function. Any links among metabolic
traits and aspects of physiological performance may also be modulated by
fluctuations in food availability. This study followed changes in SMR, MMR, and
digestive and locomotor capacity in southern catfish (Silurus meridionalis)
throughout 15 days of food deprivation and 15 days of refeeding. Individuals
downregulated SMR during food deprivation and showed only a 10% body mass
decrease during this time. Whereas critical swim speed (Ucrit) was robust to food
deprivation, digestive function decreased after fasting with a reduced peak
oxygen uptake during specific dynamic action (SDA) and prolonged SDA duration.
During refeeding, individuals displayed rapid growth and digestive function
recovered to pre-fasting levels. However, refed fish showed a lower Ucrit than
would be expected for their increased body length and in comparison to measures
at the start of the study. Reduced swimming ability may be a consequence of
compensatory growth: growth rate was negatively correlated with changes in Ucrit
during refeeding. Southern catfish downregulate digestive function to reduce
energy expenditure during food deprivation, but regain digestive capacity during
refeeding, potentially at the cost of decreased swimming performance. The
plasticity of maintenance requirements suggests that SMR is a key fitness trait
for in this ambush predator. Shifts in trait correlations with food availability
suggest that the potential for correlated selection may depend on context.
PMID- 29636412
TI - Structural modeling of an outer membrane electron conduit from a metal-reducing
bacterium suggests electron transfer via periplasmic redox partners.
AB - Many subsurface microorganisms couple their metabolism to the reduction or
oxidation of extracellular substrates. For example, anaerobic mineral-respiring
bacteria can use external metal oxides as terminal electron acceptors during
respiration. Porin-cytochrome complexes facilitate the movement of electrons
generated through intracellular catabolic processes across the bacterial outer
membrane to these terminal electron acceptors. In the mineral-reducing model
bacterium Shewanella oneidensis MR-1, this complex is composed of two decaheme
cytochromes (MtrA and MtrC) and an outer-membrane beta-barrel (MtrB). However,
the structures and mechanisms by which porin-cytochrome complexes transfer
electrons are unknown. Here, we used small-angle neutron scattering (SANS) to
study the molecular structure of the transmembrane complexes MtrAB and MtrCAB. Ab
initio modeling of the scattering data yielded a molecular envelope with
dimensions of ~105 * 60 * 35 A for MtrAB and ~170 * 60 * 45 A for MtrCAB. The
shapes of these molecular envelopes suggested that MtrC interacts with the
surface of MtrAB, extending ~70 A from the membrane surface and allowing the
terminal hemes to interact with both MtrAB and an extracellular acceptor. The
data also reveal that MtrA fully extends through the length of MtrB, with ~30 A
being exposed into the periplasm. Proteoliposome models containing membrane
associated MtrCAB and internalized small tetraheme cytochrome (STC) indicate that
MtrCAB could reduce Fe(III) citrate with STC as an electron donor, disclosing a
direct interaction between MtrCAB and STC. Taken together, both structural and
proteoliposome experiments support porin-cytochrome-mediated electron transfer
via periplasmic cytochromes such as STC.
PMID- 29636413
TI - Dimerization of the cellular prion protein inhibits propagation of scrapie
prions.
AB - A central step in the pathogenesis of prion diseases is the conformational
transition of the cellular prion protein (PrPC) into the scrapie isoform, denoted
PrPSc Studies in transgenic mice have indicated that this conversion requires a
direct interaction between PrPC and PrPSc; however, insights into the underlying
mechanisms are still missing. Interestingly, only a subfraction of PrPC is
converted in scrapie-infected cells, suggesting that not all PrPC species are
suitable substrates for the conversion. On the basis of the observation that PrPC
can form homodimers under physiological conditions with the internal hydrophobic
domain (HD) serving as a putative dimerization domain, we wondered whether PrP
dimerization is involved in the formation of neurotoxic and/or infectious PrP
conformers. Here, we analyzed the possible impact on dimerization of pathogenic
mutations in the HD that induce a spontaneous neurodegenerative disease in
transgenic mice. Similarly to wildtype (WT) PrPC, the neurotoxic variant PrP(AV3)
formed homodimers as well as heterodimers with WTPrPC Notably, forced PrP
dimerization via an intermolecular disulfide bond did not interfere with its
maturation and intracellular trafficking. Covalently linked PrP dimers were
complex glycosylated, GPI-anchored, and sorted to the outer leaflet of the plasma
membrane. However, forced PrPC dimerization completely blocked its conversion
into PrPSc in chronically scrapie-infected mouse neuroblastoma cells. Moreover,
PrPC dimers had a dominant-negative inhibition effect on the conversion of
monomeric PrPC Our findings suggest that PrPC monomers are the major substrates
for PrPSc propagation and that it may be possible to halt prion formation by
stabilizing PrPC dimers.
PMID- 29636414
TI - Annexins A2 and A6 interact with the extreme N terminus of tau and thereby
contribute to tau's axonal localization.
AB - During neuronal development, the microtubule-associated protein tau becomes
enriched in the axon, where it remains concentrated in the healthy brain. In
tauopathies such as Alzheimer's disease, tau redistributes from the axon to the
somatodendritic compartment. However, the cellular mechanism that regulates tau's
localization remains unclear. We report here that tau interacts with the Ca2+
regulated plasma membrane-binding protein annexin A2 (AnxA2) via tau's extreme N
terminus encoded by the first exon (E1). Bioinformatics analysis identified two
conserved eight-amino-acids-long motifs within E1 in mammals. Using a
heterologous yeast system, we found that disease-related mutations and
pseudophosphorylation of Tyr-18, located within E1 but outside of the two
conserved regions, do not influence tau's interaction with AnxA2. We further
observed that tau interacts with the core domain of AnxA2 in a Ca2+-induced open
conformation and interacts also with AnxA6. Moreover, lack of E1 moderately
increased tau's association rate to microtubules, consistent with the supposition
that the presence of the tau-annexin interaction reduces the availability of tau
to interact with microtubules. Of note, intracellular competition through
overexpression of E1-containing constructs reduced tau's axonal enrichment in
primary neurons. Our results suggest that the E1-mediated tau-annexin interaction
contributes to the enrichment of tau in the axon and is involved in its
redistribution in pathological conditions.
PMID- 29636415
TI - Characterization of AJH-836, a diacylglycerol-lactone with selectivity for novel
PKC isozymes.
AB - Diacylglycerol (DAG) is a key lipid second messenger downstream of cellular
receptors that binds to the C1 domain in many regulatory proteins. Protein kinase
C (PKC) isoforms constitute the most prominent family of signaling proteins with
DAG-responsive C1 domains, but six other families of proteins, including the
chimaerins, Ras-guanyl nucleotide-releasing proteins (RasGRPs), and Munc13
isoforms, also play important roles. Their significant involvement in cancer,
immunology, and neurobiology has driven intense interest in the C1 domain as a
therapeutic target. As with other classes of targets, however, a key issue is the
establishment of selectivity. Here, using [3H]phorbol 12,13-dibutyrate ([3H]PDBu)
competition binding assays, we found that a synthetic DAG-lactone, AJH-836,
preferentially binds to the novel PKC isoforms PKCdelta and PKCepsilon relative
to classical PKCalpha and PKCbetaII. Assessment of intracellular translocation, a
hallmark for PKC activation, revealed that AJH-836 treatment stimulated a
striking preferential redistribution of PKCepsilon to the plasma membrane
relative to PKCalpha. Moreover, unlike with the prototypical phorbol ester
phorbol 12-myristate 13-acetate (PMA), prolonged exposure of cells to AJH-836
selectively down-regulated PKCdelta and PKCepsilon without affecting PKCalpha
expression levels. Biologically, AJH-836 induced major changes in cytoskeletal
reorganization in lung cancer cells, as determined by the formation of membrane
ruffles, via activation of novel PKCs. We conclude that AJH-836 represents a C1
domain ligand with PKC-activating properties distinct from those of natural DAGs
and phorbol esters. Our study supports the feasibility of generating selective C1
domain ligands that promote novel biological response patterns.
PMID- 29636416
TI - TLR4, TRIF, and MyD88 are essential for myelopoiesis and CD11c+ adipose tissue
macrophage production in obese mice.
AB - Obesity-induced chronic inflammation is associated with metabolic disease.
Results from mouse models utilizing a high-fat diet (HFD) have indicated that an
increase in activated macrophages, including CD11c+ adipose tissue macrophages
(ATMs), contributes to insulin resistance. Obesity primes myeloid cell production
from hematopoietic stem cells (HSCs) and Toll-like receptor 4 (TLR4), and the
downstream TIR domain-containing adapter protein-inducing interferon-beta (TRIF)-
and MyD88-mediated pathways regulate production of similar myeloid cells after
lipopolysaccharide stimulation. However, the role of these pathways in HFD
induced myelopoiesis is unknown. We hypothesized that saturated fatty acids and
HFD alter myelopoiesis by activating TLR4 pathways in HSCs, differentially
producing pro-inflammatory CD11c+ myeloid cells that contribute to obesity
induced metabolic disease. Results from reciprocal bone marrow transplants (BMTs)
with Tlr4-/- and WT mice indicated that TLR4 is required for HFD-induced
myelopoiesis and production of CD11c+ ATMs. Experiments with homozygous knockouts
of Irakm (encoding a suppressor of MyD88 inactivation) and Trif in competitive
BMTs revealed that MyD88 is required for HFD expansion of granulocyte macrophage
progenitors and that Trif is required for pregranulocyte macrophage progenitor
expansion. A comparison of WT, Tlr4-/-, Myd88-/-, and Trif-/- mice on HFD
demonstrated that TLR4 plays a role in the production of CD11c+ ATMs, and both
Myd88-/- and Trif-/- mice produced fewer ATMs than WT mice. Moreover, HFD-induced
TLR4 activation inhibited macrophage proliferation, leading to greater
accumulation of recruited CD11c+ ATMs. Our results indicate that HFD potentiates
TLR4 and both its MyD88- and TRIF-mediated downstream pathways within progenitors
and adipose tissue and leads to macrophage polarization.
PMID- 29636417
TI - Crystal structure and mechanism of human carboxypeptidase O: Insights into its
specific activity for acidic residues.
AB - Human metallocarboxypeptidase O (hCPO) is a recently discovered digestive enzyme
localized to the apical membrane of intestinal epithelial cells. Unlike
pancreatic metallocarboxypeptidases, hCPO is glycosylated and produced as an
active enzyme with distinctive substrate specificity toward C-terminal (C-t)
acidic residues. Here we present the crystal structure of hCPO at 1.85-A
resolution, both alone and in complex with a carboxypeptidase inhibitor (NvCI)
from the marine snail Nerita versicolor The structure provides detailed
information regarding determinants of enzyme specificity, in particular Arg275,
placed at the bottom of the substrate-binding pocket. This residue, located at
"canonical" position 255, where it is Ile in human pancreatic carboxypeptidases
A1 (hCPA1) and A2 (hCPA2) and Asp in B (hCPB), plays a dominant role in
determining the preference of hCPO for acidic C-t residues. Site-directed
mutagenesis to Asp and Ala changes the specificity to C-t basic and hydrophobic
residues, respectively. The single-site mutants thus faithfully mimic the
enzymatic properties of CPB and CPA, respectively. hCPO also shows a preference
for Glu over Asp, probably as a consequence of a tighter fitting of the Glu side
chain in its S1' substrate-binding pocket. This unique preference of hCPO,
together with hCPA1, hCPA2, and hCPB, completes the array of C-t cleavages
enabling the digestion of the dietary proteins within the intestine. Finally, in
addition to activity toward small synthetic substrates and peptides, hCPO can
also trim C-t extensions of proteins, such as epidermal growth factor, suggesting
a role in the maturation and degradation of growth factors and bioactive
peptides.
PMID- 29636418
TI - Spider toxin inhibits gating pore currents underlying periodic paralysis.
AB - Gating pore currents through the voltage-sensing domains (VSDs) of the skeletal
muscle voltage-gated sodium channel NaV1.4 underlie hypokalemic periodic
paralysis (HypoPP) type 2. Gating modifier toxins target ion channels by
modifying the function of the VSDs. We tested the hypothesis that these toxins
could function as blockers of the pathogenic gating pore currents. We report that
a crab spider toxin Hm-3 from Heriaeus melloteei can inhibit gating pore currents
due to mutations affecting the second arginine residue in the S4 helix of VSD-I
that we have found in patients with HypoPP and describe here. NMR studies show
that Hm-3 partitions into micelles through a hydrophobic cluster formed by
aromatic residues and reveal complex formation with VSD-I through electrostatic
and hydrophobic interactions with the S3b helix and the S3-S4 extracellular loop.
Our data identify VSD-I as a specific binding site for neurotoxins on sodium
channels. Gating modifier toxins may constitute useful hits for the treatment of
HypoPP.
PMID- 29636420
TI - Experimental demonstration of an isotope-sensitive warhead verification technique
using nuclear resonance fluorescence.
AB - Future nuclear arms reduction efforts will require technologies to verify that
warheads slated for dismantlement are authentic without revealing any sensitive
weapons design information to international inspectors. Despite several decades
of research, no technology has met these requirements simultaneously. Recent work
by Kemp et al. [Kemp RS, Danagoulian A, Macdonald RR, Vavrek JR (2016) Proc Natl
Acad Sci USA 113:8618-8623] has produced a novel physical cryptographic
verification protocol that approaches this treaty verification problem by
exploiting the isotope-specific nature of nuclear resonance fluorescence (NRF)
measurements to verify the authenticity of a warhead. To protect sensitive
information, the NRF signal from the warhead is convolved with that of an
encryption foil that contains key warhead isotopes in amounts unknown to the
inspector. The convolved spectrum from a candidate warhead is statistically
compared against that from an authenticated template warhead to determine whether
the candidate itself is authentic. Here we report on recent proof-of-concept
warhead verification experiments conducted at the Massachusetts Institute of
Technology. Using high-purity germanium (HPGe) detectors, we measured NRF spectra
from the interrogation of proxy "genuine" and "hoax" objects by a 2.52 MeV
endpoint bremsstrahlung beam. The observed differences in NRF intensities near
2.2 MeV indicate that the physical cryptographic protocol can distinguish between
proxy genuine and hoax objects with high confidence in realistic measurement
times.
PMID- 29636419
TI - Transcriptome-wide discovery of coding and noncoding RNA-binding proteins.
AB - Transcriptome-wide identification of RNA-binding proteins (RBPs) is a
prerequisite for understanding the posttranscriptional gene regulation networks.
However, proteomic profiling of RBPs has been mostly limited to polyadenylated
mRNA-binding proteins, leaving RBPs on nonpoly(A) RNAs, including most noncoding
RNAs (ncRNAs) and pre-mRNAs, largely undiscovered. Here we present a click
chemistry-assisted RNA interactome capture (CARIC) strategy, which enables
unbiased identification of RBPs, independent of the polyadenylation state of
RNAs. CARIC combines metabolic labeling of RNAs with an alkynyl uridine analog
and in vivo RNA-protein photocross-linking, followed by click reaction with azide
biotin, affinity enrichment, and proteomic analysis. Applying CARIC, we
identified 597 RBPs in HeLa cells, including 130 previously unknown RBPs. These
newly discovered RBPs can likely bind ncRNAs, thus uncovering potential
involvement of ncRNAs in processes previously unknown to be ncRNA-related, such
as proteasome function and intermediary metabolism. The CARIC strategy should be
broadly applicable across various organisms to complete the census of RBPs.
PMID- 29636422
TI - Beneficial Role of Neutrophils Through Function of Lactoferrin After
Intracerebral Hemorrhage.
AB - BACKGROUND AND PURPOSE: Intracerebral hemorrhage (ICH) is a devastating disease
with a 30-day mortality of ~50%. There are no effective therapies for ICH. ICH
results in brain damage in 2 major ways: through the mechanical forces of
extravasated blood and then through toxicity of the intraparenchymal blood
components including hemoglobin/iron. LTF (lactoferrin) is an iron-binding
protein, uniquely abundant in polymorphonuclear neutrophils (PMNs). After ICH,
circulating blood PMNs enter the ICH-afflicted brain where they release LTF. By
virtue of sequestrating iron, LTF may contribute to hematoma detoxification.
METHODS: ICH in mice was produced using intrastriatal autologous blood injection.
PMNs were depleted with intraperitoneal administration of anti-Ly-6G antibody.
Treatment of mouse brain cell cultures with lysed RBC or iron was used as in
vitro model of ICH. RESULTS: LTF mRNA was undetectable in the mouse brain, even
after ICH. Unlike mRNA, LTF protein increased in ICH-affected hemispheres by 6
hours, peaked at 24 to 72 hours, and remained elevated for at least a week after
ICH. At the single cell level, LTF was detected in PMNs in the hematoma-affected
brain at all time points after ICH. We also found elevated LTF in the plasma
after ICH, with a temporal profile similar to LTF changes in the brain.
Importantly, mrLTF (recombinant mouse LTF) reduced the cytotoxicity of lysed RBC
and FeCl3 to brain cells in culture. Ultimately, in an ICH model, systemic
administration of mrLTF (at 3, 24, and 48 hours after ICH) reduced brain edema
and ameliorated neurological deficits caused by ICH. mrLTF retained the benefit
in reducing behavioral deficit even with 24-hour treatment delay. Interestingly,
systemic depletion of PMNs at 24 hours after ICH worsened neurological deficits,
suggesting that PMN infiltration into the brain at later stages after ICH could
be a beneficial response. CONCLUSIONS: LTF delivered to the ICH-affected brain by
infiltrating PMNs may assist in hematoma detoxification and represent a powerful
potential target for the treatment of ICH.
PMID- 29636421
TI - Roles of the CSE1L-mediated nuclear import pathway in epigenetic silencing.
AB - Epigenetic silencing can be mediated by various mechanisms, and many regulators
remain to be identified. Here, we report a genome-wide siRNA screening to
identify regulators essential for maintaining gene repression of a CMV promoter
silenced by DNA methylation. We identified CSE1L (chromosome segregation 1 like)
as an essential factor for the silencing of the reporter gene and many endogenous
methylated genes. CSE1L depletion did not cause DNA demethylation. On the other
hand, the methylated genes derepressed by CSE1L depletion largely overlapped with
methylated genes that were also reactivated by treatment with histone deacetylase
inhibitors (HDACi). Gene silencing defects observed upon CSE1L depletion were
linked to its nuclear import function for certain protein cargos because
depletion of other factors involved in the same nuclear import pathway, including
KPNAs and KPNB1 proteins, displayed similar derepression profiles at the genome
wide level. Therefore, CSE1L appears to be critical for the nuclear import of
certain key repressive proteins. Indeed, NOVA1, HDAC1, HDAC2, and HDAC8, genes
known as silencing factors, became delocalized into cytosol upon CSE1L depletion.
This study suggests that the cargo specificity of the protein nuclear import
system may impact the selectivity of gene silencing.
PMID- 29636423
TI - Impact of Smoking on Clinical Outcome and Recanalization After Intravenous
Thrombolysis for Stroke: Multicenter Cohort Study.
AB - BACKGROUND AND PURPOSE: The impact of smoking on prognosis after stroke is
controversial. We aimed to assess the relationship between smoking status and
stroke outcome after intravenous thrombolysis in a large cohort study by
adjusting for potential confounders and incorporating recanalization rates.
METHODS: In a prospective observational multicenter study, we analyzed baseline
and outcome data of consecutive patients with acute ischemic stroke treated with
intravenous thrombolysis. Using uni- and multivariable modeling, we assessed
whether smoking was associated with favorable outcome (modified Rankin Scale
score of 0-1) and mortality. In addition, we also measured the occurrence of
symptomatic intracranial hemorrhage and recanalization of middle cerebral artery.
Patients reporting active cigarette use were classified as smokers. RESULTS: Of
1865 patients, 19.8% were smokers (n=369). They were younger (mean 63.5 versus
71.3 years), less often women (56% versus 72.1%), and suffered less often from
hypertension (61.3% versus 70.1%) and atrial fibrillation (22.7% versus 35.6%)
when compared with nonsmokers. Favorable outcome and 3-month mortality were in
favor of smokers in unadjusted analyses (45.8% versus 39.5% and 9.3% versus
15.8%, respectively), whereas symptomatic intracranial hemorrhage was comparable
in both cohorts. Smoking was not associated with clinical outcome and mortality
after adjusting for confounders (odds ratio, 1.20; 95% confidence interval, 0.91
1.61; P=0.197 and odds ratio, 1.08; 95% confidence interval, 0.68-1.71; P=0.755,
respectively). However, smoking still independently predicted recanalization of
middle cerebral artery in multivariable analyses (odds ratio, 2.68; 95%
confidence interval, 1.11-6.43; P=0.028). CONCLUSIONS: Our study suggests that
good outcome in smokers is mainly related to differences in baseline
characteristics and not to biological effects of smoking. The higher
recanalization rates in smokers, however, call for further studies.
PMID- 29636425
TI - Growth Hormone Improves Cognitive Function After Experimental Stroke.
AB - BACKGROUND AND PURPOSE: Cognitive impairment is a common outcome for stroke
survivors. Growth hormone (GH) could represent a potential therapeutic option as
this peptide hormone has been shown to improve cognition in various clinical
conditions. In this study, we evaluated the effects of peripheral administration
of GH at 48 hours poststroke for 28 days on cognitive function and the underlying
mechanisms. METHODS: Experimental stroke was induced by photothrombotic occlusion
in young adult mice. We assessed the associative memory cognitive domain using
mouse touchscreen platform for paired-associate learning task. We also evaluated
neural tissue loss, neurotrophic factors, and markers of neuroplasticity and
cerebrovascular remodeling using biochemical and histology analyses. RESULTS: Our
results show that GH-treated stroked mice made a significant improvement on the
paired-associate learning task relative to non-GH-treated mice at the end of the
study. Furthermore, we observed reduction of neural tissue loss in GH-treated
stroked mice. We identified that GH treatment resulted in significantly higher
levels of neurotrophic factors (IGF-1 [insulin-like growth factor-1] and VEGF
[vascular endothelial growth factor]) in both the circulatory and peri-infarct
regions. GH treatment in stroked mice not only promoted protein levels and
density of presynaptic marker (SYN-1 [synapsin-1]) and marker of myelination (MBP
[myelin basic protein]) but also increased the density and area coverage of 2
major vasculature markers (CD31 and collagen-IV), within the peri-infarct region.
CONCLUSIONS: These findings provide compelling preclinical evidence for the usage
of GH as a potential therapeutic tool in the recovery phase of patients after
stroke.
PMID- 29636426
TI - Opinion: How to achieve better flood-risk governance in the United States.
PMID- 29636424
TI - Frequency of Patent Foramen Ovale and Migraine in Patients With Cryptogenic
Stroke.
AB - BACKGROUND AND PURPOSE: Individuals with migraine are at higher risk for stroke,
but the mechanism has not been established. On the basis of the association
between migraine and intracardiac right-to-left shunt, it has been proposed that
stroke in migraineurs could be caused by a paradoxical embolus passing through a
patent foramen ovale (PFO) or pulmonary arteriovenous malformation. The aim of
this study was to determine the prevalence of PFO with right-to-left shunt in
patients who presented with cryptogenic stroke and had a history of migraine.
METHODS: Patients between 18 and 60 years old who presented with an ischemic
stroke were characterized based on ASCOD phenotyping (atherosclerosis; small
vessel disease; cardiac pathology; other causes; dissection). A migraine
diagnosis was identified by reviewing physician notes, and frequent aura was
defined if present in at least 50% of attacks. A PFO with right-to-left shunt
diagnosis was identified by the presence of a positive bubble contrast study with
either transcranial Doppler, transthoracic, or transesophageal echocardiography.
RESULTS: Of the 712 patients who presented with ischemic stroke, 127 (18%) were
diagnosed as cryptogenic; 68 patients had adequate testing for PFO and a
documented migraine history. The prevalence of PFO in patients with cryptogenic
stroke without migraine was elevated (59%) compared with the general population
(18%). Patients with both cryptogenic stroke and migraine had a higher prevalence
of PFO (79%). In patients with cryptogenic stroke who had migraine with frequent
aura, the prevalence of PFO was 93%. Only 5 patients (4%) had a history
compatible with migrainous infarction. CONCLUSIONS: In patients with cryptogenic
stroke who have migraine, there is a high prevalence (79%) of PFO with right-to
left shunt. The timing of the stroke in migraineurs is usually not related to a
migraine attack. These observations are consistent with the hypothesis that the
mechanism of stroke in migraineurs is most likely because of a paradoxical
embolus. Future cryptogenic stroke classification schemes should consider
including PFO as a separate etiologic category.
PMID- 29636428
TI - Immunomodulatory Effects of Pneumococcal Extracellular Vesicles on Cellular and
Humoral Host Defenses.
AB - Gram-positive bacteria, including the major respiratory pathogen Streptococcus
pneumoniae, were recently shown to produce extracellular vesicles (EVs) that
likely originate from the plasma membrane and are released into the extracellular
environment. EVs may function as cargo for many bacterial proteins, however,
their involvement in cellular processes and their interactions with the innate
immune system are poorly understood. Here, EVs from pneumococci were
characterized and their immunomodulatory effects investigated. Pneumococcal EVs
were protruding from the bacterial surface and released into the medium as 25 to
250 nm lipid stained vesicles containing a large number of cytosolic, membrane,
and surface-associated proteins. The cytosolic pore-forming toxin pneumolysin was
significantly enriched in EVs compared to a total bacterial lysate but was not
required for EV formation. Pneumococcal EVs were internalized into A549 lung
epithelial cells and human monocyte-derived dendritic cells and induced
proinflammatory cytokine responses irrespective of pneumolysin content. EVs from
encapsulated pneumococci were recognized by serum proteins, resulting in C3b
deposition and formation of C5b-9 membrane attack complexes as well as factor H
recruitment, depending on the presence of the choline binding protein PspC.
Addition of EVs to human serum decreased opsonophagocytic killing of encapsulated
pneumococci. Our data suggest that EVs may act in an immunomodulatory manner by
allowing delivery of vesicle-associated proteins and other macromolecules into
host cells. In addition, EVs expose targets for complement factors in serum,
promoting pneumococcal evasion of humoral host defense.IMPORTANCEStreptococcus
pneumoniae is a major contributor to morbidity and mortality worldwide, being the
major cause of milder respiratory tract infections such as otitis and sinusitis
and of severe infections such as community-acquired pneumonia, with or without
septicemia, and meningitis. More knowledge is needed on how pneumococci interact
with the host, deliver virulence factors, and activate immune defenses. Here we
show that pneumococci form extracellular vesicles that emanate from the plasma
membrane and contain virulence properties, including enrichment of pneumolysin.
We found that pneumococcal vesicles can be internalized into epithelial and
dendritic cells and bind complement proteins, thereby promoting pneumococcal
evasion of complement-mediated opsonophagocytosis. They also induce pneumolysin
independent proinflammatory responses. We suggest that these vesicles can
function as a mechanism for delivery of pneumococcal proteins and other
immunomodulatory components into host cells and help pneumococci to avoid
complement deposition and phagocytosis-mediated killing, thereby possibly
contributing to the symptoms found in pneumococcal infections.
PMID- 29636429
TI - Erratum for Basta et al., "Identification of Fitness Determinants during Energy
Limited Growth Arrest in Pseudomonas aeruginosa".
PMID- 29636430
TI - Extracellular Electron Transfer Powers Enterococcus faecalis Biofilm Metabolism.
AB - Enterococci are important human commensals and significant opportunistic
pathogens. Biofilm-related enterococcal infections, such as endocarditis, urinary
tract infections, wound and surgical site infections, and medical device
associated infections, often become chronic upon the formation of biofilm. The
biofilm matrix establishes properties that distinguish this state from free
living bacterial cells and increase tolerance to antimicrobial interventions. The
metabolic versatility of the enterococci is reflected in the diversity and
complexity of environments and communities in which they thrive. Understanding
metabolic factors governing colonization and persistence in different host niches
can reveal factors influencing the transition to biofilm pathogenicity. Here, we
report a form of iron-dependent metabolism for Enterococcus faecalis where, in
the absence of heme, extracellular electron transfer (EET) and increased ATP
production augment biofilm growth. We observe alterations in biofilm matrix depth
and composition during iron-augmented biofilm growth. We show that the ldh gene
encoding l-lactate dehydrogenase is required for iron-augmented energy production
and biofilm formation and promotes EET.IMPORTANCE Bacterial metabolic versatility
can often influence the outcome of host-pathogen interactions, yet causes of
metabolic shifts are difficult to resolve. The bacterial biofilm matrix provides
the structural and functional support that distinguishes this state from free
living bacterial cells. Here, we show that the biofilm matrix can immobilize
iron, providing access to this growth-promoting resource which is otherwise
inaccessible in the planktonic state. Our data show that in the absence of heme,
Enterococcus faecalis l-lactate dehydrogenase promotes EET and uses matrix
associated iron to carry out EET. Therefore, the presence of iron within the
biofilm matrix leads to enhanced biofilm growth.
PMID- 29636431
TI - Palladin Compensates for the Arp2/3 Complex and Supports Actin Structures during
Listeria Infections.
AB - Palladin is an important component of motile actin-rich structures and nucleates
branched actin filament arrays in vitro Here we examine the role of palladin
during Listeria monocytogenes infections in order to tease out novel functions of
palladin. We show that palladin is co-opted by L. monocytogenes during its
cellular entry and intracellular motility. Depletion of palladin resulted in
shorter and misshapen comet tails, and when actin- or VASP-binding mutants of
palladin were overexpressed in cells, comet tails disintegrated or became
thinner. Comet tail thinning resulted in parallel actin bundles within the
structures. To determine whether palladin could compensate for the Arp2/3
complex, we overexpressed palladin in cells treated with the Arp2/3 inhibitor CK
666. In treated cells, bacterial motility could be initiated and maintained when
levels of palladin were increased. To confirm these findings, we utilized a cell
line depleted of multiple Arp2/3 complex subunits. Within these cells, L.
monocytogenes failed to generate comet tails. When palladin was overexpressed in
this Arp2/3 functionally null cell line, the ability of L. monocytogenes to
generate comet tails was restored. Using purified protein components, we
demonstrate that L. monocytogenes actin clouds and comet tails can be generated
(in a cell-free system) by palladin in the absence of the Arp2/3 complex.
Collectively, our results demonstrate that palladin can functionally replace the
Arp2/3 complex during bacterial actin-based motility.IMPORTANCE Structures
containing branched actin filaments require the Arp2/3 complex. One of the most
commonly used systems to study intracellular movement generated by Arp2/3-based
actin motility exploits actin-rich comet tails made by Listeria Using these
infections together with live imaging and cell-free protein reconstitution
experiments, we show that another protein, palladin, can be used in place of
Arp2/3 to form actin-rich structures. Additionally, we show that palladin is
needed for the structural integrity of comet tails as its depletion or mutation
of critical regions causes dramatic changes to comet tail organization. These
findings are the first to identify a protein that can functionally replace the
Arp2/3 complex and have implications for all actin-based structures thought to
exclusively use that complex.
PMID- 29636432
TI - An Evolutionarily Conserved Mechanism for Intrinsic and Transferable Polymyxin
Resistance.
AB - Polymyxins, a family of cationic antimicrobial cyclic peptides, act as a last
line of defense against severe infections by Gram-negative pathogens with
carbapenem resistance. In addition to the intrinsic resistance to polymyxin E
(colistin) conferred by Neisseria eptA, the plasmid-borne mobilized colistin
resistance gene mcr-1 has been disseminated globally since the first discovery in
Southern China, in late 2015. However, the molecular mechanisms for both
intrinsic and transferable resistance to colistin remain largely unknown. Here,
we aim to address this gap in the knowledge of these proteins. Structural and
functional analyses of EptA and MCR-1 and -2 have defined a conserved 12-residue
cavity that is required for the entry of the lipid substrate,
phosphatidylethanolamine (PE). The in vitro and in vivo data together have
allowed us to visualize the similarities in catalytic activity shared by EptA and
MCR-1 and -2. The expression of either EptA or MCR-1 or -2 is shown to remodel
the surface of enteric bacteria (e.g., Escherichia coli, Salmonella enterica,
Klebsiella pneumoniae, etc.), rendering them resistant to colistin. The parallels
in the PE substrate-binding cavities among EptA, MCR-1, and MCR-2 provide a
comprehensive understanding of both intrinsic and transferable colistin
resistance. Domain swapping between EptA and MCR-1 and -2 reveals that the two
domains (transmembrane [TM] region and phosphoethanolamine [PEA] transferase) are
not functionally exchangeable. Taken together, the results represent a common
mechanism for intrinsic and transferable PEA resistance to polymyxin, a last
resort antibiotic against multidrug-resistant pathogens.IMPORTANCE EptA and MCR-1
and -2 remodel the outer membrane, rendering bacteria resistant to colistin, a
final resort against carbapenem-resistant pathogens. Structural and functional
analyses of EptA and MCR-1 and -2 reveal parallel PE lipid substrate-recognizing
cavities, which explains intrinsic and transferable colistin resistance in gut
bacteria. A similar mechanism is proposed for the catalytic activities of EptA
and MCR-1 and -2. Together, they constitute a common mechanism for intrinsic and
transferable polymyxin resistance.
PMID- 29636433
TI - Viral Characteristics Associated with the Clinical Nonprogressor Phenotype Are
Inherited by Viruses from a Cluster of HIV-1 Elite Controllers.
AB - A small group of HIV-1-infected individuals, called long-term nonprogressors
(LTNPs), and in particular a subgroup of LTNPs, elite controllers (LTNP-ECs),
display permanent control of viral replication and lack of clinical progression.
This control is the result of a complex interaction of host, immune, and viral
factors. We identified, by phylogenetic analysis, a cluster of LTNP-ECs infected
with very similar low-replication HIV-1 viruses, suggesting the contribution of
common viral features to the clinical LTNP-EC phenotype. HIV-1 envelope (Env)
glycoprotein mediates signaling and promotes HIV-1 fusion, entry, and infection,
being a key factor of viral fitness in vitro, cytopathicity, and infection
progression in vivo Therefore, we isolated full-length env genes from viruses of
these patients and from chronically infected control individuals. Functional
characterization of the initial events of the viral infection showed that Envs
from the LTNP-ECs were ineffective in the binding to CD4 and in the key
triggering of actin/tubulin-cytoskeleton modifications compared to Envs from
chronic patients. The viral properties of the cluster viruses result in a
defective viral fusion, entry, and infection, and these properties were inherited
by every virus of the cluster. Therefore, inefficient HIV-1 Env functions and
signaling defects may contribute to the low viral replication capacity and
transmissibility of the cluster viruses, suggesting a direct role in the LTNP-EC
phenotype of these individuals. These results highlight the important role of
viral characteristics in the LTNP-EC clinical phenotype. These Env viral
properties were common to all the cluster viruses and thus support the
heritability of the viral characteristics.IMPORTANCE HIV-1 long-term
nonprogressor elite controller patients, due to their permanent control of viral
replication, have been the object of numerous studies to identify the factors
responsible for this clinical phenotype. In this work, we analyzed the viral
characteristics of the envelopes of viruses from a phylogenetic cluster of LTNP
EC patients. These envelopes showed ineffective binding to CD4 and the subsequent
signaling activity to modify actin/tubulin cytoskeletons, which result in low
fusion and deficient entry and infection capacities. These Env viral
characteristics could explain the nonprogressor clinical phenotype of these
patients. In addition, these inefficient env viral properties were present in all
viruses of the cluster, supporting the heritability of the viral phenotype.
PMID- 29636434
TI - Robust Mercury Methylation across Diverse Methanogenic Archaea.
AB - Methylmercury (MeHg) production was compared among nine cultured methanogenic
archaea that contain hgcAB, a gene pair that codes for mercury (Hg) methylation.
The methanogens tested produced MeHg at inherently different rates, even when
normalized to growth rate and Hg availability. Eight of the nine tested were
capable of MeHg production greater than that of spent- and uninoculated-medium
controls during batch culture growth. Methanococcoides methylutens, an hgcAB+
strain with a fused gene pair, was unable to produce more MeHg than controls.
Maximal conversion of Hg to MeHg through a full batch culture growth cycle for
each species (except M. methylutens) ranged from 2 to >50% of the added Hg(II) or
between 0.2 and 17 pmol of MeHg/mg of protein. Three of the species produced >10%
MeHg. The ability to produce MeHg was confirmed in several hgcAB+ methanogens
that had not previously been tested (Methanocella paludicola SANAE,
Methanocorpusculum bavaricum, Methanofollis liminatans GKZPZ, and
Methanosphaerula palustris E1-9c). Maximal methylation was observed at low
sulfide concentrations (<100 MUM) and in the presence of 0.5 to 5 mM cysteine.
For M. hollandica, the addition of up to 5 mM cysteine enhanced MeHg production
and cell growth in a concentration-dependent manner. As observed for bacterial Hg
methylators, sulfide inhibited MeHg production. An initial evaluation of sulfide
and thiol impacts on bioavailability showed methanogens responding to Hg
complexation in the same way as do Deltaproteobacteria The mercury methylation
rates of several methanogens rival those of the better-studied Hg-methylating
sulfate- and iron-reducing DeltaproteobacteriaIMPORTANCEArchaea, specifically
methanogenic organisms, play a role in mercury methylation in nature, but their
global importance to MeHg production and the subsequent risk to ecosystems are
not known. Methanogenesis has been linked to Hg methylation in several natural
habitats where methylmercury production incurs risk to people and ecosystems,
including rice paddies and permafrost. In this study, we confirm that most
methanogens carrying the hgcAB gene pair are capable of Hg methylation. We found
that methylation rates vary inherently among hgcAB+ methanogens but that several
species are capable of MeHg production at rates that rival those of the better
know Hg-methylating sulfate- and iron-reducing bacteria. Methanogens may need to
be considered equally with sulfate and iron reducers in evaluations of MeHg
production in nature.
PMID- 29636435
TI - How Polypurine Tract Changes in the HIV-1 RNA Genome Can Cause Resistance against
the Integrase Inhibitor Dolutegravir.
PMID- 29636436
TI - Broad-Spectrum Regulation of Nonreceptor Tyrosine Kinases by the Bacterial ADP
Ribosyltransferase EspJ.
AB - Tyrosine phosphorylation is key for signal transduction from exogenous stimuli,
including the defense against pathogens. Conversely, pathogens can subvert
protein phosphorylation to control host immune responses and facilitate invasion
and dissemination. The bacterial effectors EspJ and SeoC are injected into host
cells through a type III secretion system by enteropathogenic and
enterohemorrhagic Escherichia coli (EPEC and EHEC, respectively), Citrobacter
rodentium, and Salmonella enterica, where they inhibit Src kinase by coupled
amidation and ADP-ribosylation. C. rodentium, which is used to model EPEC and
EHEC infections in humans, is a mouse pathogen triggering colonic crypt
hyperplasia (CCH) and colitis. Enumeration of bacterial shedding and CCH
confirmed that EspJ affects neither tolerance nor resistance to infection.
However, comparison of the proteomes of intestinal epithelial cells isolated from
mice infected with wild-type C. rodentium or C. rodentium encoding catalytically
inactive EspJ revealed that EspJ-induced ADP-ribosylation regulates multiple
nonreceptor tyrosine kinases in vivo Investigation of the substrate repertoire of
EspJ revealed that in HeLa and A549 cells, Src and Csk were significantly
targeted; in polarized Caco2 cells, EspJ targeted Src and Csk and the Src family
kinase (SFK) Yes1, while in differentiated Thp1 cells, EspJ modified Csk, the
SFKs Hck and Lyn, the Tec family kinases Tec and Btk, and the adapter tyrosine
kinase Syk. Furthermore, Abl (HeLa and Caco2) and Lyn (Caco2) were enriched
specifically in the EspJ-containing samples. Biochemical assays revealed that
EspJ, the only bacterial ADP-ribosyltransferase that targets mammalian kinases,
controls immune responses and the Src/Csk signaling axis.IMPORTANCE
Enteropathogenic and enterohemorrhagic Escherichia coli (EPEC and EHEC,
respectively) strains cause significant mortality and morbidity worldwide.
Citrobacter rodentium is a mouse pathogen used to model EPEC and EHEC
pathogenesis in vivo Diarrheal disease is triggered following injection of
bacterial effectors, via a type III secretion system (T3SS), into intestinal
epithelial cells (IECs). While insights into the role of the effectors were
historically obtained from pathological, immunologic, or cell culture phenotypes,
subtle roles of individual effectors in vivo are often masked. The aim of this
study was to elucidate the role and specificity of the ADP-ribosyltransferase
effector EspJ. For the first time, we show that the in vivo processes affected by
a T3SS effector can be studied by comparing the proteomes of IECs extracted from
mice infected with wild-type C. rodentium or an espJ catalytic mutant. We show
that EspJ, the only bacterial ADP-ribosyltransferase that targets mammalian
kinases, regulates the host immune response in vivo.
PMID- 29636437
TI - Convergent Metabolic Specialization through Distinct Evolutionary Paths in
Pseudomonas aeruginosa.
AB - Evolution by natural selection under complex and dynamic environmental conditions
occurs through intricate and often counterintuitive trajectories affecting many
genes and metabolic solutions. To study short- and long-term evolution of
bacteria in vivo, we used the natural model system of cystic fibrosis (CF)
infection. In this work, we investigated how and through which trajectories
evolution of Pseudomonas aeruginosa occurs when migrating from the environment to
the airways of CF patients, and specifically, we determined reduction of growth
rate and metabolic specialization as signatures of adaptive evolution. We show
that central metabolic pathways of three distinct Pseudomonas aeruginosa lineages
coevolving within the same environment become restructured at the cost of
versatility during long-term colonization. Cell physiology changes from naive to
adapted phenotypes resulted in (i) alteration of growth potential that
particularly converged to a slow-growth phenotype, (ii) alteration of nutritional
requirements due to auxotrophy, (iii) tailored preference for carbon source
assimilation from CF sputum, (iv) reduced arginine and pyruvate fermentation
processes, and (v) increased oxygen requirements. Interestingly, although
convergence was evidenced at the phenotypic level of metabolic specialization,
comparative genomics disclosed diverse mutational patterns underlying the
different evolutionary trajectories. Therefore, distinct combinations of genetic
and regulatory changes converge to common metabolic adaptive trajectories leading
to within-host metabolic specialization. This study gives new insight into
bacterial metabolic evolution during long-term colonization of a new
environmental niche.IMPORTANCE Only a few examples of real-time evolutionary
investigations in environments outside the laboratory are described in the
scientific literature. Remembering that biological evolution, as it has
progressed in nature, has not taken place in test tubes, it is not surprising
that conclusions from our investigations of bacterial evolution in the CF model
system are different from what has been concluded from laboratory experiments.
The analysis presented here of the metabolic and regulatory driving forces
leading to successful adaptation to a new environment provides an important
insight into the role of metabolism and its regulatory mechanisms for successful
adaptation of microorganisms in dynamic and complex environments. Understanding
the trajectories of adaptation, as well as the mechanisms behind slow growth and
rewiring of regulatory and metabolic networks, is a key element to understand the
adaptive robustness and evolvability of bacteria in the process of increasing
their in vivo fitness when conquering new territories.
PMID- 29636438
TI - Two Distinct Aerobic Methionine Salvage Pathways Generate Volatile Methanethiol
in Rhodopseudomonas palustris.
AB - 5'-Methyl-thioadenosine (MTA) is a dead-end, sulfur-containing metabolite and
cellular inhibitor that arises from S-adenosyl-l-methionine-dependent reactions.
Recent studies have indicated that there are diverse bacterial methionine salvage
pathways (MSPs) for MTA detoxification and sulfur salvage. Here, via a
combination of gene deletions and directed metabolite detection studies, we
report that under aerobic conditions the facultatively anaerobic bacterium
Rhodopseudomonas palustris employs both an MTA-isoprenoid shunt identical to that
previously described in Rhodospirillum rubrum and a second novel MSP, both of
which generate a methanethiol intermediate. The additional R. palustris aerobic
MSP, a dihydroxyacetone phosphate (DHAP)-methanethiol shunt, initially converts
MTA to 2-(methylthio)ethanol and DHAP. This is identical to the initial steps of
the recently reported anaerobic ethylene-forming MSP, the DHAP-ethylene shunt.
The aerobic DHAP-methanethiol shunt then further metabolizes 2
(methylthio)ethanol to methanethiol, which can be directly utilized by O-acetyl-l
homoserine sulfhydrylase to regenerate methionine. This is in contrast to the
anaerobic DHAP-ethylene shunt, which metabolizes 2-(methylthio)ethanol to
ethylene and an unknown organo-sulfur intermediate, revealing functional
diversity in MSPs utilizing a 2-(methylthio)ethanol intermediate. When MTA was
fed to aerobically growing cells, the rate of volatile methanethiol release was
constant irrespective of the presence of sulfate, suggesting a general
housekeeping function for these MSPs up through the methanethiol production step.
Methanethiol and dimethyl sulfide (DMS), two of the most important compounds of
the global sulfur cycle, appear to arise not only from marine ecosystems but from
terrestrial ones as well. These results reveal a possible route by which
methanethiol might be biologically produced in soil and freshwater
environments.IMPORTANCE Biologically available sulfur is often limiting in the
environment. Therefore, many organisms have developed methionine salvage pathways
(MSPs) to recycle sulfur-containing by-products back into the amino acid
methionine. The metabolically versatile bacterium Rhodopseudomonas palustris is
unusual in that it possesses two RuBisCOs and two RuBisCO-like proteins. While
RuBisCO primarily serves as the carbon fixation enzyme of the Calvin cycle,
RuBisCOs and certain RuBisCO-like proteins have also been shown to function in
methionine salvage. This work establishes that only one of the R. palustris
RuBisCO-like proteins functions as part of an MSP. Moreover, in the presence of
oxygen, to salvage sulfur, R. palustris employs two pathways, both of which
result in production of volatile methanethiol, a key compound of the global
sulfur cycle. When total available sulfur was plentiful, methanethiol was readily
released into the environment. However, when sulfur became limiting, methanethiol
release decreased, presumably due to methanethiol utilization to regenerate
needed methionine.
PMID- 29636439
TI - Hospitalized Premature Infants Are Colonized by Related Bacterial Strains with
Distinct Proteomic Profiles.
AB - During the first weeks of life, microbial colonization of the gut impacts human
immune system maturation and other developmental processes. In premature infants,
aberrant colonization has been implicated in the onset of necrotizing
enterocolitis (NEC), a life-threatening intestinal disease. To study the
premature infant gut colonization process, genome-resolved metagenomics was
conducted on 343 fecal samples collected during the first 3 months of life from
35 premature infants housed in a neonatal intensive care unit, 14 of whom
developed NEC, and metaproteomic measurements were made on 87 samples. Microbial
community composition and proteomic profiles remained relatively stable on the
time scale of a week, but the proteome was more variable. Although genetically
similar organisms colonized many infants, most infants were colonized by distinct
strains with metabolic profiles that could be distinguished using metaproteomics.
Microbiome composition correlated with infant, antibiotics administration, and
NEC diagnosis. Communities were found to cluster into seven primary types, and
community type switched within infants, sometimes multiple times. Interestingly,
some communities sampled from the same infant at subsequent time points clustered
with those of other infants. In some cases, switches preceded onset of NEC;
however, no species or community type could account for NEC across the majority
of infants. In addition to a correlation of protein abundances with organism
replication rates, we found that organism proteomes correlated with overall
community composition. Thus, this genome-resolved proteomics study demonstrated
that the contributions of individual organisms to microbiome development depend
on microbial community context.IMPORTANCE Humans are colonized by microbes at
birth, a process that is important to health and development. However, much
remains to be known about the fine-scale microbial dynamics that occur during the
colonization period. We conducted a genome-resolved study of microbial community
composition, replication rates, and proteomes during the first 3 months of life
of both healthy and sick premature infants. Infants were found to be colonized by
similar microbes, but each underwent a distinct colonization trajectory.
Interestingly, related microbes colonizing different infants were found to have
distinct proteomes, indicating that microbiome function is not only driven by
which organisms are present, but also largely depends on microbial responses to
the unique set of physiological conditions in the infant gut.
PMID- 29636440
TI - A Biofilm Matrix-Associated Protease Inhibitor Protects Pseudomonas aeruginosa
from Proteolytic Attack.
AB - Pseudomonas aeruginosa produces an extracellular biofilm matrix that consists of
nucleic acids, exopolysaccharides, lipid vesicles, and proteins. In general, the
protein component of the biofilm matrix is poorly defined and understudied
relative to the other major matrix constituents. While matrix proteins have been
suggested to provide many functions to the biofilm, only proteins that play a
structural role have been characterized thus far. Here we identify proteins
enriched in the matrix of P. aeruginosa biofilms. We then focused on a candidate
matrix protein, the serine protease inhibitor ecotin (PA2755). This protein is
able to inhibit neutrophil elastase, a bactericidal enzyme produced by the host
immune system during P. aeruginosa biofilm infections. We show that ecotin binds
to the key biofilm matrix exopolysaccharide Psl and that it can inhibit
neutrophil elastase when associated with Psl. Finally, we show that ecotin
protects both planktonic and biofilm P. aeruginosa cells from neutrophil elastase
mediated killing. This may represent a novel mechanism of protection for biofilms
to increase their tolerance against the innate immune response.IMPORTANCE
Proteins associated with the extracellular matrix of bacterial aggregates called
biofilms have long been suggested to provide many important functions to the
community. To date, however, only proteins that provide structural roles have
been described, and few matrix-associated proteins have been identified. We
developed a method to identify matrix proteins and characterized one. We show
that this protein, when associated with the biofilm matrix, can inhibit a
bactericidal enzyme produced by the immune system during infection and protect
biofilm cells from death induced by the enzyme. This may represent a novel
mechanism of protection for biofilms, further increasing their tolerance against
the immune response. Together, our results are the first to show a nonstructural
function for a confirmed matrix-interacting protein.
PMID- 29636441
TI - Anterolateral Bowing of Congenital Pseudoarthrosis of Tibia Treated by
Percutaneous Osteotomy and Gradual Correction Using Taylor Spatial Frame, then
Late Insertion of a Fussier-Duval Nail: A Case Report.
AB - BACKGROUND Congenital pseudoarthrosis of the tibia is one of the most difficult
orthopedic diseases to treat. Many authors consider osteotomy of the bowed non
broken tibia a contraindication. However, leaving the patient with a deformed,
bowed leg is intolerable and is associated with deformity progression and
increased risk of fracture. CASE REPORT A 12-year-old boy presented with a bowed
leg. X-ray tibia showed partially healed congenital pseudoarthrosis of the tibia
and 30 degrees of flexion deformity. Deformity was successfully treated by
percutaneous osteotomy made through the site of congenital pseudoarthrosis and
gradual correction using a computer-assisted external fixator, the Taylor spatial
frame (TSF; Smith and Nephew, Memphis, TN). At the end of the correction, a
telescopic Fassier-Duval intramedullary nail was used prophylactically to prevent
re-fracture. After 2 years of follow-up, X-ray images show bone-healing without
any bowing. CONCLUSIONS Closed percutaneous osteotomy, without excision of the
pseudoarthrosis, and gradual correction then insertion of Fassier-Duval
telescopic nail may serve as a treatment to correct deformed bone in congenital
pseudoarthrosis of the tibia.
PMID- 29636442
TI - Assessment of Foot Shape in Children and Adolescents with Intellectual
Disability: A Pilot Study.
AB - BACKGROUND Available publications provide little evidence pertaining to
assessment of foot shape in children with intellectual disability. The aim of
this study was to assess the parameters of foot shape in children and adolescents
with intellectual disability and to evaluate the relationship between the degree
of disability and these parameters. MATERIAL AND METHODS The study involved 90
individuals aged 7-15 years, including 45 subjects with mild and moderate levels
of intellectual disability (study group) and 45 peers with normal intellectual
development (control group). Each participant was subjected to photogrammetric
assessment of foot shape based on the projection moire effect. RESULTS Analysis
of the relationship between the disability level and the assessed parameters
showed that the length of the right (p=0.006) and left (p=0.004) foot, as well as
Wejsflog's rate for the right (p<0.001) and left (p<0.001) foot, were
significantly higher among children with mild disability, whereas GAMMA angle of
the right (p=0.028) and left (p=0.006) foot was significantly higher among
children with moderate disability. CONCLUSIONS The findings show a significant
relationship between the degree of disability and the assessed foot parameters.
Significant differences between the subjects with intellectual disability and the
control group were identified in the basic parameters defining foot structure.
PMID- 29636443
TI - In situ X-ray imaging of defect and molten pool dynamics in laser additive
manufacturing.
AB - The laser-matter interaction and solidification phenomena associated with laser
additive manufacturing (LAM) remain unclear, slowing its process development and
optimisation. Here, through in situ and operando high-speed synchrotron X-ray
imaging, we reveal the underlying physical phenomena during the deposition of the
first and second layer melt tracks. We show that the laser-induced gas/vapour jet
promotes the formation of melt tracks and denuded zones via spattering (at a
velocity of 1 m s-1). We also uncover mechanisms of pore migration by Marangoni
driven flow (recirculating at a velocity of 0.4 m s-1), pore dissolution and
dispersion by laser re-melting. We develop a mechanism map for predicting the
evolution of melt features, changes in melt track morphology from a continuous
hemi-cylindrical track to disconnected beads with decreasing linear energy
density and improved molten pool wetting with increasing laser power. Our results
clarify aspects of the physics behind LAM, which are critical for its
development.
PMID- 29636444
TI - Identification of potential urine proteins and microRNA biomarkers for the
diagnosis of pulmonary tuberculosis patients.
AB - This study identified urinary biomarkers for tuberculosis (TB) diagnosis. The
urine proteomic profiles of 45 pulmonary tuberculosis patients prior to anti-TB
treatment and 45 healthy controls were analyzed and compared using two
dimensional electrophoresis with matrix-assisted laser desorption/ionization time
of flight mass spectrometry. Nineteen differentially expressed proteins were
identified preliminarily, and western blotting and qRT-PCR were performed to
confirm these changes at the translational and transcriptional levels,
respectively, using samples from 122 additional pulmonary tuberculosis patients
and 73 additional healthy controls. Two proteins, mannose-binding lectin 2 and a
35-kDa fragment of inter-alpha-trypsin inhibitor H4, exhibited the highest
differential expression. We constructed a protein-microRNA interaction network
that primarily involved complement and inflammatory responses. Eleven microRNAs
from microRNA-target protein interactions were screened and validated using qRT
PCR with some of the above samples, including 97 pulmonary tuberculosis patients
and 48 healthy controls. Only miR-625-3p exhibited significant differential
expression (p < 0.05). miR-625-3p was increased to a greater extent in samples of
smear-positive than smear-negative patients. miR-625-3p was predicted to target
mannose-binding lectin 2 protein. A binary logistic regression model based on miR
625-3p, mannose-binding lectin 2, and inter-alpha-trypsin inhibitor H4 was
further established. This three-biomarker combination exhibited better
performance for tuberculosis diagnosis than individual biomarkers or any two
biomarker combination and generated a diagnostic sensitivity of 85.87% and a
specificity of 87.50%. These novel urine biomarkers may significantly improve
tuberculosis diagnosis.
PMID- 29636445
TI - Generation and characterization of ultrathin free-flowing liquid sheets.
AB - The physics and chemistry of liquid solutions play a central role in science, and
our understanding of life on Earth. Unfortunately, key tools for interrogating
aqueous systems, such as infrared and soft X-ray spectroscopy, cannot readily be
applied because of strong absorption in water. Here we use gas-dynamic forces to
generate free-flowing, sub-micron, liquid sheets which are two orders of
magnitude thinner than anything previously reported. Optical, infrared, and X-ray
spectroscopies are used to characterize the sheets, which are found to be tunable
in thickness from over 1 MUm down to less than 20 nm, which corresponds to fewer
than 100 water molecules thick. At this thickness, aqueous sheets can readily
transmit photons across the spectrum, leading to potentially transformative
applications in infrared, X-ray, electron spectroscopies and beyond. The
ultrathin sheets are stable for days in vacuum, and we demonstrate their use at
free-electron laser and synchrotron light sources.
PMID- 29636446
TI - Population genomics of finless porpoises reveal an incipient cetacean species
adapted to freshwater.
AB - Cetaceans (whales, dolphins, and porpoises) are a group of mammals adapted to
various aquatic habitats, from oceans to freshwater rivers. We report the
sequencing, de novo assembly and analysis of a finless porpoise genome, and the
re-sequencing of an additional 48 finless porpoise individuals. We use these data
to reconstruct the demographic history of finless porpoises from their origin to
the occupation into the Yangtze River. Analyses of selection between marine and
freshwater porpoises identify genes associated with renal water homeostasis and
urea cycle, such as urea transporter 2 and angiotensin I-converting enzyme 2,
which are likely adaptations associated with the difference in osmotic stress
between ocean and rivers. Our results strongly suggest that the critically
endangered Yangtze finless porpoises are reproductively isolated from other
porpoise populations and harbor unique genetic adaptations, supporting that they
should be considered a unique incipient species.
PMID- 29636447
TI - The ASIC3/P2X3 cognate receptor is a pain-relevant and ligand-gated cationic
channel.
AB - Two subclasses of acid-sensing ion channels (ASIC3) and of ATP-sensitive P2X
receptors (P2X3Rs) show a partially overlapping expression in sensory neurons.
Here we report that both recombinant and native receptors interact with each
other in multiple ways. Current measurements with the patch-clamp technique prove
that ASIC3 stimulation strongly inhibits the P2X3R current partly by a Ca2+
dependent mechanism. The proton-binding site is critical for this effect and the
two receptor channels appear to switch their ionic permeabilities during
activation. Co-immunoprecipation proves the close association of the two protein
structures. BN-PAGE and SDS-PAGE analysis is also best reconciled with the view
that ASIC3 and P2X3Rs form a multiprotein structure. Finally, in vivo
measurements in rats reveal the summation of pH and purinergically induced pain.
In conclusion, the receptor subunits do not appear to form a heteromeric channel,
but tightly associate with each other to form a protein complex, mediating
unidirectional inhibition.
PMID- 29636448
TI - Endogenous modulation of human visual cortex activity improves perception at
twilight.
AB - Perception, particularly in the visual domain, is drastically influenced by
rhythmic changes in ambient lighting conditions. Anticipation of daylight changes
by the circadian system is critical for survival. However, the neural bases of
time-of-day-dependent modulation in human perception are not yet understood. We
used fMRI to study brain dynamics during resting-state and close-to-threshold
visual perception repeatedly at six times of the day. Here we report that resting
state signal variance drops endogenously at times coinciding with dawn and dusk,
notably in sensory cortices only. In parallel, perception-related signal variance
in visual cortices decreases and correlates negatively with detection
performance, identifying an anticipatory mechanism that compensates for the
deteriorated visual signal quality at dawn and dusk. Generally, our findings
imply that decreases in spontaneous neural activity improve close-to-threshold
perception.
PMID- 29636449
TI - Distinct molecular pathways mediate Mycn and Myc-regulated miR-17-92 microRNA
action in Feingold syndrome mouse models.
AB - Feingold syndrome is a skeletal dysplasia caused by loss-of-function mutations of
either MYCN (type 1) or MIR17HG that encodes miR-17-92 microRNAs (type 2). Since
miR-17-92 expression is transcriptionally regulated by MYC transcription factors,
it has been postulated that Feingold syndrome type 1 and 2 may be caused by a
common molecular mechanism. Here we show that Mir17-92 deficiency upregulates TGF
beta signaling, whereas Mycn-deficiency downregulates PI3K signaling in limb
mesenchymal cells. Genetic or pharmacological inhibition of TGF-beta signaling
efficiently rescues the skeletal defects caused by Mir17-92 deficiency,
suggesting that upregulation of TGF-beta signaling is responsible for the
skeletal defect of Feingold syndrome type 2. By contrast, the skeletal phenotype
of Mycn-deficiency is partially rescued by Pten heterozygosity, but not by TGF
beta inhibition. These results strongly suggest that despite the phenotypical
similarity, distinct molecular mechanisms underlie the pathoetiology for Feingold
syndrome type 1 and 2.
PMID- 29636450
TI - Massive mining of publicly available RNA-seq data from human and mouse.
AB - RNA sequencing (RNA-seq) is the leading technology for genome-wide transcript
quantification. However, publicly available RNA-seq data is currently provided
mostly in raw form, a significant barrier for global and integrative
retrospective analyses. ARCHS4 is a web resource that makes the majority of
published RNA-seq data from human and mouse available at the gene and transcript
levels. For developing ARCHS4, available FASTQ files from RNA-seq experiments
from the Gene Expression Omnibus (GEO) were aligned using a cloud-based
infrastructure. In total 187,946 samples are accessible through ARCHS4 with
103,083 mouse and 84,863 human. Additionally, the ARCHS4 web interface provides
intuitive exploration of the processed data through querying tools, interactive
visualization, and gene pages that provide average expression across cell lines
and tissues, top co-expressed genes for each gene, and predicted biological
functions and protein-protein interactions for each gene based on prior knowledge
combined with co-expression.
PMID- 29636451
TI - Quantum metrology with quantum-chaotic sensors.
AB - Quantum metrology promises high-precision measurements of classical parameters
with far reaching implications for science and technology. So far, research has
concentrated almost exclusively on quantum-enhancements in integrable systems,
such as precessing spins or harmonic oscillators prepared in non-classical
states. Here we show that large benefits can be drawn from rendering integrable
quantum sensors chaotic, both in terms of achievable sensitivity as well as
robustness to noise, while avoiding the challenge of preparing and protecting
large-scale entanglement. We apply the method to spin-precession magnetometry and
show in particular that the sensitivity of state-of-the-art magnetometers can be
further enhanced by subjecting the spin-precession to non-linear kicks that
renders the dynamics chaotic.
PMID- 29636453
TI - Publisher Correction: Recent progress and perspectives of space electric
propulsion systems based on smart nanomaterials.
AB - The original PDF version of this Article had an incorrect volume number of '8';
it should have been '9'. This has been corrected in the PDF version of the
Article. The HTML version was correct from the time of publication.
PMID- 29636454
TI - Observation of elastic topological states in soft materials.
AB - Topological elastic metamaterials offer insight into classic motion law and open
up opportunities in quantum and classic information processing. Theoretical
modeling and numerical simulation of elastic topological states have been
reported, whereas the experimental observation remains relatively unexplored.
Here we present an experimental observation and numerical simulation of tunable
topological states in soft elastic metamaterials. The on-demand reversible switch
in topological phase has been achieved by changing filling ratio, tension, and/or
compression of the elastic metamaterials. By combining two elastic metamaterials
with distinct topological invariants, we further demonstrate the formation and
dynamic tunability of topological interface states by mechanical deformation, and
the manipulation of elastic wave propagation. Moreover, we provide a topological
phase diagram of elastic metamaterials under deformation. Our approach to
dynamically control interface states in soft materials paves the way to various
phononic systems involving thermal management and soft robotics requiring better
use of energy.
PMID- 29636452
TI - Species-specific host factors rather than virus-intrinsic virulence determine
primate lentiviral pathogenicity.
AB - HIV-1 causes chronic inflammation and AIDS in humans, whereas related simian
immunodeficiency viruses (SIVs) replicate efficiently in their natural hosts
without causing disease. It is currently unknown to what extent virus-specific
properties are responsible for these different clinical outcomes. Here, we
incorporate two putative HIV-1 virulence determinants, i.e., a Vpu protein that
antagonizes tetherin and blocks NF-kappaB activation and a Nef protein that fails
to suppress T cell activation via downmodulation of CD3, into a non-pathogenic
SIVagm strain and test their impact on viral replication and pathogenicity in
African green monkeys. Despite sustained high-level viremia over more than 4
years, moderately increased immune activation and transcriptional signatures of
inflammation, the HIV-1-like SIVagm does not cause immunodeficiency or any other
disease. These data indicate that species-specific host factors rather than
intrinsic viral virulence factors determine the pathogenicity of primate
lentiviruses.
PMID- 29636456
TI - Combining CO2 reduction with propane oxidative dehydrogenation over bimetallic
catalysts.
AB - The inherent variability and insufficiencies in the co-production of propylene
from steam crackers has raised concerns regarding the global propylene production
gap and has directed industry to develop more on-purpose propylene technologies.
The oxidative dehydrogenation of propane by CO2 (CO2-ODHP) can potentially fill
this gap while consuming a greenhouse gas. Non-precious FeNi and precious NiPt
catalysts supported on CeO2 have been identified as promising catalysts for CO2
ODHP and dry reforming, respectively, in flow reactor studies conducted at 823 K.
In-situ X-ray absorption spectroscopy measurements revealed the oxidation states
of metals under reaction conditions and density functional theory calculations
were utilized to identify the most favorable reaction pathways over the two types
of catalysts.
PMID- 29636455
TI - NKX2-5 regulates human cardiomyogenesis via a HEY2 dependent transcriptional
network.
AB - Congenital heart defects can be caused by mutations in genes that guide cardiac
lineage formation. Here, we show deletion of NKX2-5, a critical component of the
cardiac gene regulatory network, in human embryonic stem cells (hESCs), results
in impaired cardiomyogenesis, failure to activate VCAM1 and to downregulate the
progenitor marker PDGFRalpha. Furthermore, NKX2-5 null cardiomyocytes have
abnormal physiology, with asynchronous contractions and altered action
potentials. Molecular profiling and genetic rescue experiments demonstrate that
the bHLH protein HEY2 is a key mediator of NKX2-5 function during human
cardiomyogenesis. These findings identify HEY2 as a novel component of the NKX2-5
cardiac transcriptional network, providing tangible evidence that hESC models can
decipher the complex pathways that regulate early stage human heart development.
These data provide a human context for the evaluation of pathogenic mutations in
congenital heart disease.
PMID- 29636457
TI - The fluorination effect of fluoroamphiphiles in cytosolic protein delivery.
AB - Direct delivery of proteins into cells avoids many drawbacks of gene delivery,
and thus has emerging applications in biotherapy. However, it remains a
challenging task owing to limited charges and relatively large size of proteins.
Here, we report an efficient protein delivery system via the co-assembly of
fluoroamphiphiles and proteins into nanoparticles. Fluorous substituents on the
amphiphiles play essential roles in the formation of uniform nanoparticles,
avoiding protein denaturation, efficient endocytosis, and maintaining low
cytotoxicity. Structure-activity relationship studies reveal that longer fluorous
chain length and higher fluorination degree contribute to more efficient protein
delivery, but excess fluorophilicity on the polymer leads to the pre-assembly of
fluoroamphiphiles into stable vesicles, and thus failed protein encapsulation and
cytosolic delivery. This study highlights the advantage of fluoroamphiphiles over
other existing strategies for intracellular protein delivery.
PMID- 29636458
TI - Two genetically diverse H7N7 avian influenza viruses isolated from migratory
birds in central China.
AB - After the emergence of H7N9 avian influenza viruses (AIV) in early 2013 in China,
active surveillance of AIVs in migratory birds was undertaken, and two H7N7
strains were subsequently recovered from the fresh droppings of migratory birds;
the strains were from different hosts and sampling sites. Phylogenetic and
sequence similarity network analyses indicated that several genes of the two H7N7
viruses were closely related to those in AIVs circulating in domestic poultry,
although different gene segments were implicated in the two isolates. This
strongly suggested that genes from viruses infecting migratory birds have been
introduced into poultry-infecting strains. A Bayesian phylogenetic reconstruction
of all eight segments implied that multiple reassortments have occurred in the
evolution of these viruses, particularly during late 2011 and early 2014.
Antigenic analysis using a hemagglutination inhibition test showed that the two
H7N7 viruses were moderately cross-reactive with H7N9-specific anti-serum. The
ability of the two H7N7 viruses to remain infectious under various pH and
temperature conditions was evaluated, and the viruses persisted the longest at
near-neutral pH and in cold temperatures. Animal infection experiments showed
that the viruses were avirulent to mice and could not be recovered from any
organs. Our results indicate that low pathogenic, divergent H7N7 viruses
circulate within the East Asian-Australasian flyway. Virus dispersal between
migratory birds and domestic poultry may increase the risk of the emergence of
novel unprecedented strains.
PMID- 29636459
TI - Extraordinary pseudocapacitive energy storage triggered by phase transformation
in hierarchical vanadium oxides.
AB - Pseudocapacitance holds great promise for improving energy densities of
electrochemical supercapacitors, but state-of-the-art pseudocapacitive materials
show capacitances far below their theoretical values and deliver much lower
levels of electrical power than carbon-based materials due to poor cation
accessibility and/or long-range electron transferability. Here we show that in
situ corundum-to-rutile phase transformation in electron-correlated vanadium
sesquioxide can yield nonstoichiometric rutile vanadium dioxide layers that are
composed of highly sodium ion accessible oxygen-deficiency quasi-hexagonal
tunnels sandwiched between conductive rutile slabs. This unique structure serves
to boost redox and intercalation kinetics for extraordinary pseudocapacitive
energy storage in hierarchical isomeric vanadium oxides, leading to a high
specific capacitance of ~1856 F g-1 (almost sixfold that of the pristine vanadium
sesquioxide and dioxide) and a bipolar charge/discharge capability at ultrafast
rates in aqueous electrolyte. Symmetric wide voltage window pseudocapacitors of
vanadium oxides deliver a power density of ~280 W cm-3 together with an
exceptionally high volumetric energy density of ~110 mWh cm-3 as well as long
term cycling stability.
PMID- 29636461
TI - Increased formate overflow is a hallmark of oxidative cancer.
AB - Formate overflow coupled to mitochondrial oxidative metabolism? has been observed
in cancer cell lines, but whether that takes place in the tumor microenvironment
is not known. Here we report the observation of serine catabolism to formate in
normal murine tissues, with a relative rate correlating with serine levels and
the tissue oxidative state. Yet, serine catabolism to formate is increased in the
transformed tissue of in vivo models of intestinal adenomas and mammary
carcinomas. The increased serine catabolism to formate is associated with
increased serum formate levels. Finally, we show that inhibition of formate
production by genetic interference reduces cancer cell invasion and this
phenotype can be rescued by exogenous formate. We conclude that increased formate
overflow is a hallmark of oxidative cancers and that high formate levels promote
invasion via a yet unknown mechanism.
PMID- 29636462
TI - Mechanistic insights into allosteric regulation of the A2A adenosine G protein
coupled receptor by physiological cations.
AB - Cations play key roles in regulating G-protein-coupled receptors (GPCRs),
although their mechanisms are poorly understood. Here, 19F NMR is used to
delineate the effects of cations on functional states of the adenosine A2A GPCR.
While Na+ reinforces an inactive ensemble and a partial-agonist stabilized state,
Ca2+ and Mg2+ shift the equilibrium toward active states. Positive allosteric
effects of divalent cations are more pronounced with agonist and a G-protein
derived peptide. In cell membranes, divalent cations enhance both the affinity
and fraction of the high affinity agonist-bound state. Molecular dynamics
simulations suggest high concentrations of divalent cations bridge specific
extracellular acidic residues, bringing TM5 and TM6 together at the extracellular
surface and allosterically driving open the G-protein-binding cleft as shown by
rigidity-transmission allostery theory. An understanding of cation allostery
should enable the design of allosteric agents and enhance our understanding of
GPCR regulation in the cellular milieu.
PMID- 29636460
TI - CXCR4 involvement in neurodegenerative diseases.
AB - Neurodegenerative diseases likely share common underlying pathobiology. Although
prior work has identified susceptibility loci associated with various dementias,
few, if any, studies have systematically evaluated shared genetic risk across
several neurodegenerative diseases. Using genome-wide association data from large
studies (total n = 82,337 cases and controls), we utilized a previously validated
approach to identify genetic overlap and reveal common pathways between
progressive supranuclear palsy (PSP), frontotemporal dementia (FTD), Parkinson's
disease (PD) and Alzheimer's disease (AD). In addition to the MAPT H1 haplotype,
we identified a variant near the chemokine receptor CXCR4 that was jointly
associated with increased risk for PSP and PD. Using bioinformatics tools, we
found strong physical interactions between CXCR4 and four microglia related
genes, namely CXCL12, TLR2, RALB, and CCR5. Evaluating gene expression from post
mortem brain tissue, we found that expression of CXCR4 and microglial genes
functionally related to CXCR4 was dysregulated across a number of
neurodegenerative diseases. Furthermore, in a mouse model of tauopathy,
expression of CXCR4 and functionally associated genes was significantly altered
in regions of the mouse brain that accumulate neurofibrillary tangles most
robustly. Beyond MAPT, we show dysregulation of CXCR4 expression in PSP, PD, and
FTD brains, and mouse models of tau pathology. Our multi-modal findings suggest
that abnormal signaling across a 'network' of microglial genes may contribute to
neurodegeneration and may have potential implications for clinical trials
targeting immune dysfunction in patients with neurodegenerative diseases.
PMID- 29636463
TI - Genomic analysis of oral Campylobacter concisus strains identified a potential
bacterial molecular marker associated with active Crohn's disease.
AB - Campylobacter concisus is an oral bacterium that is associated with inflammatory
bowel disease (IBD) including Crohn's disease (CD) and ulcerative colitis (UC).
C. concisus consists of two genomospecies (GS) and diverse strains. This study
aimed to identify molecular markers to differentiate commensal and IBD-associated
C. concisus strains. The genomes of 63 oral C. concisus strains isolated from
patients with IBD and healthy controls were examined, of which 38 genomes were
sequenced in this study. We identified a novel secreted enterotoxin B homologue,
Csep1. The csep1 gene was found in 56% of GS2 C. concisus strains, presented in
the plasmid pICON or the chromosome. A six-nucleotide insertion at the position
654-659 bp in csep1 (csep1-6bpi) was found. The presence of csep1-6bpi in oral C.
concisus strains isolated from patients with active CD (47%, 7/15) was
significantly higher than that in strains from healthy controls (0/29, P =
0.0002), and the prevalence of csep1-6bpi positive C. concisus strains was
significantly higher in patients with active CD (67%, 4/6) as compared to healthy
controls (0/23, P = 0.0006). Proteomics analysis detected the Csep1 protein. A
csep1 gene hot spot in the chromosome of different C. concisus strains was found.
The pICON plasmid was only found in GS2 strains isolated from the two relapsed CD
patients with small bowel complications. This study reports a C. concisus
molecular marker (csep1-6bpi) that is associated with active CD.
PMID- 29636464
TI - Crab spiders impact floral-signal evolution indirectly through removal of
florivores.
AB - The puzzling diversity of flowers is primarily shaped by selection and
evolutionary change caused by the plant's interaction with animals. The
contribution of individual animal species to net selection, however, may vary
depending on the network of interacting organisms. Here we document that in the
buckler mustard, Biscutella laevigata, the crab spider Thomisus onustus reduces
bee visits to flowers but also benefits plants by feeding on florivores.
Uninfested plants experience a trade-off between pollinator and spider attraction
as both bees and crab spiders are attracted by the floral volatile beta-ocimene.
This trade-off is reduced by the induced emission of beta-ocimene after florivore
infestation, which is stronger in plant populations where crab spiders are
present than where they are absent, suggesting that plants are locally adapted to
the presence of crab spiders. Our study demonstrates the context-dependence of
selection and shows how crab spiders impact on floral evolution.
PMID- 29636465
TI - Burned forests impact water supplies.
AB - Wildland fire impacts on surface freshwater resources have not previously been
measured, nor factored into regional water management strategies. But, large
wildland fires are increasing and raise concerns about fire impacts on potable
water. Here we synthesize long-term records of wildland fire, climate, and river
flow for 168 locations across the United States. We show that annual river flow
changed in 32 locations, where more than 19% of the basin area was burned.
Wildland fires enhanced annual river flow in the western regions with a warm
temperate or humid continental climate. Wildland fires increased annual river
flow most in the semi-arid Lower Colorado region, in spite of frequent droughts
in this region. In contrast, prescribed burns in the subtropical Southeast did
not significantly alter river flow. These extremely variable outcomes offer new
insights into the potential role of wildfire and prescribed fire in regional
water resource management, under a changing climate.
PMID- 29636466
TI - Small tumor necrosis factor receptor biologics inhibit the tumor necrosis factor
p38 signalling axis and inflammation.
AB - Despite anti-TNF therapy advancements for inflammatory diseases such as
rheumatoid arthritis, the burden of diseases remains high. An 11-mer TNF peptide,
TNF70-80, is known to stimulate selective functional responses compared to the
parent TNF molecule. Here, we show that TNF70-80 binds to the TNF receptor,
activating p38 MAP kinase through TNF receptor-associated factor 2. Using
truncated TNFR mutants, we identify the sequence in TNFRI which enables p38
activation by TNF70-80. Peptides with this TNFRI sequence, such as TNFRI206-211
bind to TNF and inhibit TNF-induced p38 activation, respiratory burst, cytokine
production and adhesion receptor expression but not F-Met-Leu-Phe-induced
respiratory burst in neutrophils. TNFRI206-211 does not prevent TNF binding to
TNFRI or TNF-induced stimulation of ERK, JNK and NF-kappaB. TNFRI206-211 inhibits
bacterial lipopolysaccharide-induced peritonitis, carrageenan-induced and antigen
induced paw inflammation, and respiratory syncytial virus-induced lung
inflammation in mice. Our findings suggest a way of targeting TNF-p38 pathway to
treat chronic inflammatory disorders.
PMID- 29636468
TI - Single-site catalyst promoters accelerate metal-catalyzed nitroarene
hydrogenation.
AB - Atomically dispersed supported metal catalysts are drawing wide attention because
of the opportunities they offer for new catalytic properties combined with
efficient use of the metals. We extend this class of materials to catalysts that
incorporate atomically dispersed metal atoms as promoters. The catalysts are used
for the challenging nitroarene hydrogenation and found to have both high activity
and selectivity. The promoters are single-site Sn on TiO2 supports that
incorporate metal nanoparticle catalysts. Represented as M/Sn-TiO2 (M = Au, Ru,
Pt, Ni), these catalysts decidedly outperform the unpromoted supported metals,
even for hydrogenation of nitroarenes substituted with various reducible groups.
The high activity and selectivity of these catalysts result from the creation of
oxygen vacancies on the TiO2 surface by single-site Sn, which leads to efficient,
selective activation of the nitro group coupled with a reaction involving
hydrogen atoms activated on metal nanoparticles.
PMID- 29636467
TI - Contribution of porcine aminopeptidase N to porcine deltacoronavirus infection.
AB - Porcine deltacoronavirus (PDCoV), a member of genus Deltacoronavirus, is an
emerging swine enteropathogenic coronavirus (CoV). Although outstanding efforts
have led to the identification of Alphacoronavirus and Betacoronavirus receptors,
the receptor for Deltacoronavirus is unclear. Here, we compared the amino acid
sequences of several representative CoVs. Phylogenetic analysis showed that PDCoV
spike (S) protein was close to the cluster containing transmissible
gastroenteritis virus (TGEV), which utilizes porcine aminopeptidase N (pAPN) as a
functional receptor. Ectopic expression of pAPN in non-susceptible BHK-21 cells
rendered them susceptible to PDCoV. These results indicate that pAPN may be a
functional receptor for PDCoV infection. However, treatment with APN-specific
antibody and inhibitors did not completely block PDCoV infection in IPI-2I
porcine intestinal epithelial cells. pAPN knockout in IPI-2I cells completely
blocked TGEV infection but only slightly decreased PDCoV infection. Homologous
modeling of pAPN with the S1 C-terminal domain (S1-CTD) of PDCoV or TGEV showed
that TGEV S1-CTD adopted beta-turns (beta1-beta2 and beta3-beta4), forming the
tip of a beta-barrel, to recognize pAPN. However, only the top residues in the
beta1-beta2 turn of PDCoV S1-CTD had the possibility to support an interaction
with pAPN, and the beta3-beta4 turn failed to contact pAPN. We also discuss the
evolution and variation of PDCoV S1-CTD based on structure information, providing
clues to explain the usage of pAPN by PDCoV. Taken together, the results
presented herein reveal that pAPN is likely not a critical functional receptor
for PDCoV, although it is involved in PDCoV infection.
PMID- 29636470
TI - Model evidence for a seasonal bias in Antarctic ice cores.
AB - Much of the global annual mean temperature change over Quaternary glacial cycles
can be attributed to slow ice sheet and greenhouse gas feedbacks, but analysis of
the short-term response to orbital forcings has the potential to reveal key
relationships in the climate system. In particular, obliquity and precession both
produce highly seasonal temperature responses at high latitudes. Here, idealized
single-forcing model experiments are used to quantify Earth's response to
obliquity, precession, CO2, and ice sheets, and a linear reconstruction
methodology is used to compare these responses to long proxy records around the
globe. This comparison reveals mismatches between the annual mean response to
obliquity and precession in models versus the signals within Antarctic ice cores.
Weighting the model-based reconstruction toward austral winter or spring reduces
these discrepancies, providing evidence for a seasonal bias in ice cores.
PMID- 29636469
TI - Transposon-modified antigen-specific T lymphocytes for sustained therapeutic
protein delivery in vivo.
AB - A cell therapy platform permitting long-term delivery of peptide hormones in vivo
would be a significant advance for patients with hormonal deficiencies. Here we
report the utility of antigen-specific T lymphocytes as a regulatable peptide
delivery platform for in vivo therapy. piggyBac transposon modification of murine
cells with luciferase allows us to visualize T cells after adoptive transfer.
Vaccination stimulates long-term T-cell engraftment, persistence, and transgene
expression enabling detection of modified cells up to 300 days after adoptive
transfer. We demonstrate adoptive transfer of antigen-specific T cells expressing
erythropoietin (EPO) elevating the hematocrit in mice for more than 20 weeks. We
extend our observations to human T cells demonstrating inducible EPO production
from Epstein-Barr virus (EBV) antigen-specific T lymphocytes. Our results reveal
antigen-specific T lymphocytes to be an effective delivery platform for
therapeutic molecules such as EPO in vivo, with important implications for other
diseases that require peptide therapy.
PMID- 29636471
TI - Response of carbon cycle to drier conditions in the mid-Holocene in central
China.
AB - The nature and extent to which hydrological changes induced by the Asian summer
monsoon affected key biogeochemical processes remain poorly defined. This study
explores the relationship between peatland drying and carbon cycling on
centennial timescales in central China using lipid biomarkers. The difference
between peat n-alkane delta2H and a nearby stalagmite delta18O record reveals
that intervals of prominent peatland drying occurred during the mid-Holocene.
Synchronous with these drier conditions, leaf wax delta13C values show large
negative excursions, with the utilization of CO2 respired from the peatland
subsurface for plant photosynthesis being a possible mechanism. Crucially,
successive drying events appear to have had a cumulative impact on the
susceptibility of peat carbon stores to climate change. Concurrently, bacterially
derived hopane delta13C values suggest the occurrence of enhanced methane
oxidation during the drier periods. Collectively, these observations expand our
understanding of how respiration and degradation of peat are enhanced during
drying events.
PMID- 29636473
TI - Recurrent lower respiratory illnesses among young children in rural Kyrgyzstan:
overuse of antibiotics and possible under-diagnosis of asthma. A qualitative
FRESH AIR study.
AB - Lower respiratory tract illnesses (LRT-illnesses) in children under 5 years (U5s)
are a leading cause of morbidity, hospitalisations and mortality worldwide,
particularly in low-income countries. It is pertinent to understand possible
inconsistent management. This study explored perceptions and practices among
caregivers and health professionals on recurrent LRT-illnesses in U5s. Semi
structured interviews with 13 caregivers to U5s with recurrent LRT-illnesses and
with 22 primary care health professional interviews in two rural provinces in
Kyrgyzstan were triangulated. Data were thematically analysed. The majority
(8/13) of caregivers described their young children as having recurrent coughing,
noisy breathing and respiratory distress of whom several had responded positively
to acute salbutamol and/or had been repeatedly hospitalised for LRT-illness.
Family stress and financial burdens were significant. The health professionals
classified young children with recurrent LRT-illnesses primarily with pneumonia
and/or a multitude of bronchitis diagnoses. Broad-spectrum antibiotics and
supportive medicine were used repeatedly, prescribed by health professionals or
purchased un-prescribed by the caregivers at the pharmacy. The health
professionals had never applied the asthma diagnosis to U5s nor had they
prescribed inhaled steroids, and none of the interviewed caregivers' U5s were
diagnosed with asthma. Health professionals and caregivers shared a common
concern for the children's recurrent respiratory illnesses developing into a
severe chronic pulmonary condition, including asthma. In conclusion, the study
identified an inconsistent management of LRT-illnesses in U5s, with exorbitant
use of antibiotics and an apparently systemic under-diagnosis of asthma/wheeze.
When the diagnosis asthma is not used, the illness is not considered as a long
term condition, requiring preventer/controller medication.
PMID- 29636472
TI - Structural mechanism for nucleotide-driven remodeling of the AAA-ATPase unfoldase
in the activated human 26S proteasome.
AB - The proteasome is a sophisticated ATP-dependent molecular machine responsible for
protein degradation in all known eukaryotic cells. It remains elusive how
conformational changes of the AAA-ATPase unfoldase in the regulatory particle
(RP) control the gating of the substrate-translocation channel leading to the
proteolytic chamber of the core particle (CP). Here we report three alternative
states of the ATP-gamma-S-bound human proteasome, in which the CP gates are
asymmetrically open, visualized by cryo-EM at near-atomic resolutions. At least
four nucleotides are bound to the AAA-ATPase ring in these open-gate states.
Variation in nucleotide binding gives rise to an axial movement of the pore loops
narrowing the substrate-translation channel, which exhibit remarkable structural
transitions between the spiral-staircase and saddle-shaped-circle topologies.
Gate opening in the CP is thus regulated by nucleotide-driven conformational
changes of the AAA-ATPase unfoldase. These findings demonstrate an elegant
mechanism of allosteric coordination among sub-machines within the human
proteasome holoenzyme.
PMID- 29636474
TI - A high-strength silicide phase in a stainless steel alloy designed for wear
resistant applications.
AB - Hardfacing alloys provide strong, wear-resistant and corrosion-resistant coatings
for extreme environments such as those within nuclear reactors. Here, we report
an ultra-high-strength Fe-Cr-Ni silicide phase, named pi-ferrosilicide, within a
hardfacing Fe-based alloy. Electron diffraction tomography has allowed the
determination of the atomic structure of this phase. Nanohardness testing
indicates that the pi-ferrosilicide phase is up to 2.5 times harder than the
surrounding austenite and ferrite phases. The compressive strength of the pi
ferrosilicide phase is exceptionally high and does not yield despite loading in
excess of 1.6 GPa. Such a high-strength silicide phase could not only provide a
new type of strong, wear-resistant and corrosion-resistant Fe-based coating,
replacing more costly and hazardous Co-based alloys for nuclear applications, but
also lead to the development of a new class of high-performance silicide
strengthened stainless steels, no longer reliant on carbon for strengthening.
PMID- 29636476
TI - Horn-like space-coiling metamaterials toward simultaneous phase and amplitude
modulation.
AB - Acoustic metasurfaces represent a family of planar wavefront-shaping devices
garnering increasing attention due to their capacity for novel acoustic wave
manipulation. By precisely tailoring the geometry of these engineered surfaces,
the effective refractive index may be modulated and, consequently, acoustic phase
delays tuned. Despite the successful demonstration of phase engineering using
metasurfaces, amplitude modulation remains overlooked. Herein, we present a class
of metasurfaces featuring a horn-like space-coiling structure, enabling acoustic
control with simultaneous phase and amplitude modulation. The functionality of
this class of metasurfaces, featuring a gradient in channel spacing, has been
investigated theoretically and numerically and an equivalent model simplifying
the structural behavior is presented. A metasurface featuring this geometry has
been designed and its functionality in modifying acoustic radiation patterns
experimentally validated. This class of acoustic metasurface provides an
efficient design methodology enabling complete acoustic wave manipulation, which
may find utility in applications including biomedical imaging, acoustic
communication, and non-destructive testing.
PMID- 29636475
TI - ATAC-Seq analysis reveals a widespread decrease of chromatin accessibility in age
related macular degeneration.
AB - Age-related macular degeneration (AMD) is a significant cause of vision loss in
the elderly. The extent to which epigenetic changes regulate AMD progression is
unclear. Here we globally profile chromatin accessibility using ATAC-Seq in the
retina and retinal pigmented epithelium (RPE) from AMD and control patients.
Global decreases in chromatin accessibility occur in the RPE with early AMD, and
in the retina of advanced disease, suggesting that dysfunction in the RPE drives
disease onset. Footprints of photoreceptor and RPE-specific transcription factors
are enriched in differentially accessible regions (DARs). Genes associated with
DARs show altered expression in AMD. Cigarette smoke treatment of RPE cells
recapitulates chromatin accessibility changes seen in AMD, providing an
epigenetic link between a known risk factor for AMD and AMD pathology. Finally,
overexpression of HDAC11 is partially responsible for the observed reduction in
chromatin accessibility, suggesting that HDAC11 may be a potential new
therapeutic target for AMD.
PMID- 29636478
TI - Magnetically-driven phase transformation strengthening in high entropy alloys.
AB - CrCoNi alloy exhibits a remarkable combination of strength and plastic
deformation, even superior to the CrMnFeCoNi high-entropy alloy. We connect the
magnetic and mechanical properties of CrCoNi, via a magnetically tunable phase
transformation. While both alloys crystallize as single-phase face-centered-cubic
(fcc) solid solutions, we find a distinctly lower-energy phase in CrCoNi alloy
with a hexagonal close-packed (hcp) structure. Comparing the magnetic
configurations of CrCoNi with those of other equiatomic ternary derivatives of
CrMnFeCoNi confirms that magnetically frustrated Mn eliminates the fcc-hcp energy
difference. This highlights the unique combination of chemistry and magnetic
properties in CrCoNi, leading to a fcc-hcp phase transformation that occurs only
in this alloy, and is triggered by dislocation slip and interaction with internal
boundaries. This phase transformation sets CrCoNi apart from the parent quinary,
and its other equiatomic ternary derivatives, and provides a new way for
increasing strength without compromising plastic deformation.
PMID- 29636479
TI - Negative circular polarization emissions from WSe2/MoSe2 commensurate
heterobilayers.
AB - Van der Waals heterobilayers of transition metal dichalcogenides with spin-valley
coupling of carriers in different layers have emerged as a new platform for
exploring spin/valleytronic applications. The interlayer coupling was predicted
to exhibit subtle changes with the interlayer atomic registry. Manually stacked
heterobilayers, however, are incommensurate with the inevitable interlayer twist
and/or lattice mismatch, where the properties associated with atomic registry are
difficult to access by optical means. Here, we unveil the distinct polarization
properties of valley-specific interlayer excitons using epitaxially grown,
commensurate WSe2/MoSe2 heterobilayers with well-defined (AA and AB) atomic
registry. We observe circularly polarized photoluminescence from interlayer
excitons, but with a helicity opposite to the optical excitation. The negative
circular polarization arises from the quantum interference imposed by interlayer
atomic registry, giving rise to distinct polarization selection rules for
interlayer excitons. Using selective excitation schemes, we demonstrate the
optical addressability for interlayer excitons with different valley
configurations and polarization helicities.
PMID- 29636477
TI - Characterization of PIK3CA and PIK3R1 somatic mutations in Chinese breast cancer
patients.
AB - Deregulation of the phosphoinositide 3-kinase (PI3K) pathway contributes to the
development and progression of tumors. Here, we determine that somatic mutations
in PIK3CA (44%), PIK3R1 (17%), AKT3 (15%), and PTEN (12%) are prevalent and
diverse in Chinese breast cancer patients, with 60 novel mutations identified. A
high proportion of tumors harbors multiple mutations, especially PIK3CA plus
PIK3R1 mutations (9.0%). Next, we develop a recombination-based mutation
barcoding (ReMB) library for impactful mutations conferring clonal advantage in
proliferation and drug responses. The highest-ranking PIK3CA and PIK3R1 mutations
include previously reported deleterious mutations, as well as mutations with
unknown significance. These PIK3CA and PIK3R1 impactful mutations exhibit a
mutually exclusive pattern, leading to oncogenesis and hyperactivity of PI3K
pathway. The PIK3CA impactful mutations are tightly associated with hormone
receptor positivity. Collectively, these findings advance our understanding of
PI3K impactful mutations in breast cancer and have important implications for
PI3K-targeted therapy in precision oncology.
PMID- 29636480
TI - Entropy favors heterogeneous structures of networks near the rigidity threshold.
AB - The dynamical properties and mechanical functions of amorphous materials are
governed by their microscopic structures, particularly the elasticity of the
interaction networks, which is generally complicated by structural heterogeneity.
This ubiquitous heterogeneous nature of amorphous materials is intriguingly
attributed to a complex role of entropy. Here, we show in disordered networks
that the vibrational entropy increases by creating phase-separated structures
when the interaction connectivity is close to the onset of network rigidity. The
stress energy, which conversely penalizes the heterogeneity, finally dominates a
smaller vicinity of the rigidity threshold at the glass transition and creates a
homogeneous intermediate phase. This picture of structures changing between
homogeneous and heterogeneous phases by varying connectivity provides an
interpretation of the transitions observed in chalcogenide glasses.
PMID- 29636481
TI - A NIGT1-centred transcriptional cascade regulates nitrate signalling and
incorporates phosphorus starvation signals in Arabidopsis.
AB - Nitrate is a nutrient signal that triggers complex regulation of transcriptional
networks to modulate nutrient-dependent growth and development in plants. This
includes time- and nitrate concentration-dependent regulation of nitrate-related
gene expression. However, the underlying mechanisms remain poorly understood.
Here we identify NIGT1 transcriptional repressors as negative regulators of the
Arabidopsis NRT2.1 nitrate transporter gene, and show antagonistic regulation by
NLP primary transcription factors for nitrate signalling and the NLP-NIGT1
transcriptional cascade-mediated repression. This antagonistic regulation
provides a resolution to the complexity of nitrate-induced transcriptional
regulations. Genome-wide analysis reveals that this mechanism is applicable to
NRT2.1 and other genes involved in nitrate assimilation, hormone biosynthesis and
transcription. Furthermore, the PHR1 master regulator of the phosphorus
starvation response also directly promotes expression of NIGT1 family genes,
leading to reductions in nitrate uptake. NIGT1 repressors thus act in two
transcriptional cascades, forming a direct link between phosphorus and nitrogen
nutritional regulation.
PMID- 29636482
TI - Longer and more frequent marine heatwaves over the past century.
AB - Heatwaves are important climatic extremes in atmospheric and oceanic systems that
can have devastating and long-term impacts on ecosystems, with subsequent
socioeconomic consequences. Recent prominent marine heatwaves have attracted
considerable scientific and public interest. Despite this, a comprehensive
assessment of how these ocean temperature extremes have been changing globally is
missing. Using a range of ocean temperature data including global records of
daily satellite observations, daily in situ measurements and gridded monthly in
situ-based data sets, we identify significant increases in marine heatwaves over
the past century. We find that from 1925 to 2016, global average marine heatwave
frequency and duration increased by 34% and 17%, respectively, resulting in a 54%
increase in annual marine heatwave days globally. Importantly, these trends can
largely be explained by increases in mean ocean temperatures, suggesting that we
can expect further increases in marine heatwave days under continued global
warming.
PMID- 29636483
TI - ENSO-driven climate variability promotes periodic major outbreaks of dengue in
Venezuela.
AB - Dengue is a mosquito-borne viral disease of global impact. In Venezuela, dengue
has emerged as one of the most important public health problems of urban areas
with frequent epidemics since 2001. The long-term pattern of this disease has
involved not only a general upward trend in cases but also a dramatic increase in
the size and frequency of epidemic outbreaks. By assuming that climate
variability has a relevant influence on these changes in time, we quantified the
periodicity of dengue incidence in time-series of data from two northern regions
of Venezuela. Disease cycles of 1 and 3-4 years (p < 0.05) were detected. We
determined that dengue cycles corresponded with local climate and the El Nino
Southern Oscillation (ENSO) variation at both seasonal and inter-annual scales
(every 2-3 years). Dengue incidence peaks were more prevalent during the warmer
and dryer years of El Nino confirming that ENSO is a regional climatic driver of
such long-term periodicity through local changes in temperature and rainfall. Our
findings support the evidence of the effect of climate on dengue dynamics and
advocate the incorporation of climate information in the surveillance and
prediction of this arboviral disease in Venezuela.
PMID- 29636484
TI - Growth mechanism of epitaxial YSZ on Si by Pulsed Laser Deposition.
AB - The epitaxial growth of yttria-stabilized zirconia (YSZ) on silicon with native
oxide was investigated in order to gain more insight in the growth mechanism.
Specifically, attention was paid to the possibilities to control the chemical
interactions between YSZ, silicon and oxygen during initial growth. The sources
of oxygen during growth proved to play an important role in the growth process,
as shown by individual manipulation of all sources present during Pulsed Laser
Deposition. Partial oxidation of the YSZ plasma and sufficient delivery of oxygen
to the growing film were necessary to prevent silicide formation and obtain
optimal YSZ crystalline qualities. In these conditions, thickness increase of the
silicon native oxide before growth just started to occur, while a much faster
regrowth of silicon oxide at the YSZ-Si interface occurred during growth. Control
of all these contributions to the growth process is necessary to obtain
reproducible growth of high quality YSZ.
PMID- 29636486
TI - The tremendous potential of deep-sea mud as a source of rare-earth elements.
AB - Potential risks of supply shortages for critical metals including rare-earth
elements and yttrium (REY) have spurred great interest in commercial mining of
deep-sea mineral resources. Deep-sea mud containing over 5,000 ppm total REY
content was discovered in the western North Pacific Ocean near Minamitorishima
Island, Japan, in 2013. This REY-rich mud has great potential as a rare-earth
metal resource because of the enormous amount available and its advantageous
mineralogical features. Here, we estimated the resource amount in REY-rich mud
with Geographical Information System software and established a mineral
processing procedure to greatly enhance its economic value. The resource amount
was estimated to be 1.2 Mt of rare-earth oxide for the most promising area (105
km2 * 0-10 mbsf), which accounts for 62, 47, 32, and 56 years of annual global
demand for Y, Eu, Tb, and Dy, respectively. Moreover, using a hydrocyclone
separator enabled us to recover selectively biogenic calcium phosphate grains,
which have high REY content (up to 22,000 ppm) and constitute the coarser domain
in the grain-size distribution. The enormous resource amount and the
effectiveness of the mineral processing are strong indicators that this new REY
resource could be exploited in the near future.
PMID- 29636487
TI - Zn-based eutectic mixture as anolyte for hybrid redox flow batteries.
AB - Developing greener batteries with new chemistries is a formidable challenge, and
a major focus for years to come. Redox flow batteries are receiving increasing
research interest for grid-scale electrochemical energy storage owing to their
unique architecture. However, challenges still remain by their low energy density
as well as corrosive and/or toxic electrolytes. An anolyte based on aprotic Zn
deep-eutectic-solvent, which uses low cost, abundant and environmentally benign
materials, exhibits a utilizable concentration of Zn2+ ca. 1.7 M, resulting in a
reversible volumetric capacity of ca. 90 A h.L-1. Combined with high efficiencies
and relatively low redox potential of -1.12 V vs. Ag/AgCl, such an anolyte
provides an alternative way to explore a family of anolytes using new chemistries
for rechargeable Zn batteries that meet the criteria for grid-scale electrical
energy storage.
PMID- 29636485
TI - Antagonistic PCP Signaling Pathways in the developing Drosophila eye.
AB - In Planar cell polarity (PCP), cells coordinately polarize their cytoskeletons
within the plane of the epithelium in which they lie. In most insect epithelia
this is indicated by the coordinated projections of the hairs secreted by the
ectodermal cells. PCP of this form has been effectively studied in Drosophila,
but it has proven difficult to achieve an integrated description of the roles
played by the various proteins. In the insect eye, PCP is not evident as the
polarization of individual cells, but as the asymmetric arrangements of the cells
of the ommatidia. This different form of PCP allows different studies to be
performed, and using this system we have detected the action of two antagonistic
signaling pathways. Even though antagonistic, the two pathways synergize and
cooperate to ensure that the correct arrangement of the cells is achieved. The
cooperative use of antagonistic signaling pathways occurs in the polarization of
chemotacting cells, and we discuss the possibility that a similar molecular
principle may underlie PCP.
PMID- 29636488
TI - Al-Coated Conductive Fiber Filters for High-Efficiency Electrostatic Filtration:
Effects of Electrical and Fiber Structural Properties.
AB - Through the direct decomposition of an Al precursor ink AlH3{O(C4H9)2}, we
fabricated an Al-coated conductive fiber filter for the efficient electrostatic
removal of airborne particles (>99%) with a low pressure drop (~several Pascals).
The effects of the electrical and structural properties of the filters were
investigated in terms of collection efficiency, pressure drop, and particle
deposition behavior. The collection efficiency did not show a significant
correlation with the extent of electrical conductivity, as the filter is
electrostatically charged by the metallic Al layers forming electrical networks
throughout the fibers. Most of the charged particles were collected via surface
filtration by Coulombic interactions; consequently, the filter thickness had
little effect on the collection efficiency. Based on simulations of various fiber
structures, we found that surface filtration can transition to depth filtration
depending on the extent of interfiber distance. Therefore, the effects of
structural characteristics on collection efficiency varied depending on the
degree of the fiber packing density. This study will offer valuable information
pertaining to the development of a conductive metal/polymer composite air filter
for an energy-efficient and high-performance electrostatic filtration system.
PMID- 29636489
TI - In-situ, In-Memory Stateful Vector Logic Operations based on Voltage Controlled
Magnetic Anisotropy.
AB - Recently, the exponential increase in compute requirements demanded by emerging
applications like artificial intelligence, Internet of things, etc. have rendered
the state-of-art von-Neumann machines inefficient in terms of energy and
throughput owing to the well-known von-Neumann bottleneck. A promising approach
to mitigate the bottleneck is to do computations as close to the memory units as
possible. One extreme possibility is to do in-situ Boolean logic computations by
using stateful devices. Stateful devices are those that can act both as a compute
engine and storage device, simultaneously. We propose such stateful, vector, in
memory operations using voltage controlled magnetic anisotropy (VCMA) effect in
magnetic tunnel junctions (MTJ). Our proposal is based on the well known
manufacturable 1-transistor - 1-MTJ bit-cell and does not require any
modifications in the bit-cell circuit or the magnetic device. Instead, we
leverage the very physics of the VCMA effect to enable stateful computations.
Specifically, we exploit the voltage asymmetry of the VCMA effect to construct
stateful IMP (implication) gate and use the precessional switching dynamics of
the VCMA devices to propose a massively parallel NOT operation. Further, we show
that other gates like AND, OR, NAND, NOR, NIMP (complement of implication) can be
implemented using multi-cycle operations.
PMID- 29636490
TI - Contrasting epigenetic states of heterochromatin in the different types of mouse
pluripotent stem cells.
AB - Mouse embryonic stem cells (ESCs) and epiblast stem cells (EpiSCs) represent
naive and primed pluripotency states, respectively, and are maintained in vitro
by specific signalling pathways. Furthermore, ESCs cultured in serum-free medium
with two kinase inhibitors (2i-ESCs) are thought to be the ground naive
pluripotent state. Here, we present a comparative study of the epigenetic and
transcriptional states of pericentromeric heterochromatin satellite sequences
found in these pluripotent states. We show that 2i-ESCs are distinguished from
other pluripotent cells by a prominent enrichment in H3K27me3 and low levels of
DNA methylation at pericentromeric heterochromatin. In contrast, serum-containing
ESCs exhibit higher levels of major satellite repeat transcription, which is
lower in 2i-ESCs and even more repressed in primed EpiSCs. Removal of either DNA
methylation or H3K9me3 at PCH in 2i-ESCs leads to enhanced deposition of H3K27me3
with few changes in satellite transcript levels. In contrast, their removal in
EpiSCs does not lead to deposition of H3K27me3 but rather removes transcriptional
repression. Altogether, our data show that the epigenetic state of PCH is
modified during transition from naive to primed pluripotency states towards a
more repressive state, which tightly represses the transcription of satellite
repeats.
PMID- 29636492
TI - Detector-device-independent quantum secret sharing with source flaws.
AB - Measurement-device-independent entanglement witness (MDI-EW) plays an important
role for detecting entanglement with untrusted measurement device. We present a
double blinding-attack on a quantum secret sharing (QSS) protocol based on GHZ
state. Using the MDI-EW method, we propose a QSS protocol against all detector
side-channels. We allow source flaws in practical QSS system, so that Charlie can
securely distribute a key between the two agents Alice and Bob over long
distances. Our protocol provides condition on the extracted key rate for the
secret against both external eavesdropper and arbitrary dishonest participants. A
tight bound for collective attacks can provide good bounds on the practical QSS
with source flaws. Then we show through numerical simulations that using single
photon source a secure QSS over 136 km can be achieved.
PMID- 29636491
TI - Stimulation of TLR3 triggers release of lysosomal ATP in astrocytes and
epithelial cells that requires TRPML1 channels.
AB - Cross-reactions between innate immunity, lysosomal function, and purinergic
pathways may link signaling systems in cellular pathologies. We found activation
of toll-like receptor 3 (TLR3) triggers lysosomal ATP release from both
astrocytes and retinal pigmented epithelial (RPE) cells. ATP efflux was
accompanied by lysosomal acid phosphatase and beta hexosaminidase release.
Poly(I:C) alkalinized lysosomes, and lysosomal alkalization with bafilomycin or
chloroquine triggered ATP release. Lysosomal rupture with glycyl-L-phenylalanine
2-naphthylamide (GPN) eliminated both ATP and acid phosphatase release. Secretory
lysosome marker LAMP3 colocalized with VNUT, while MANT-ATP colocalized with
LysoTracker. Unmodified membrane-impermeant 21-nt and "non-targeting" scrambled
21-nt siRNA triggered ATP and acid phosphatase release, while smaller 16-nt RNA
was ineffective. Poly(I:C)-dependent ATP release was reduced by TBK-1 block and
in TRPML1-/- cells, while TRPML activation with ML-SA1 was sufficient to release
both ATP and acid phosphatase. The ability of poly(I:C) to raise cytoplasmic Ca2+
was abolished by removing extracellular ATP with apyrase, suggesting ATP release
by poly(I:C) increased cellular signaling. Starvation but not rapamycin prevented
lysosomal ATP release. In summary, stimulation of TLR3 triggers lysosomal
alkalization and release of lysosomal ATP through activation of TRPML1; this
links innate immunity to purinergic signaling via lysosomal physiology, and
suggests even scrambled siRNA can influence these pathways.
PMID- 29636493
TI - Cross-species analysis of apical asparagine-rich protein of Plasmodium vivax and
Plasmodium knowlesi.
AB - The Plasmodium falciparum apical asparagine (Asn)-rich protein (AARP) is one of
malarial proteins, and it has been studied as a candidate of malaria subunit
vaccine. Basic characterization of PvAARP has been performed with a focus on its
immunogenicity and localization. In this study, we further analyzed the
immunogenicity of PvAARP, focusing on the longevity of the antibody response,
cross-species immunity and invasion inhibitory activity by using the primate
malaria parasite Plasmodium knowlesi. We found that vivax malaria patient sera
retained anti-PvAARP antibodies for at least one year without re-infection.
Recombinant PvAARP protein was strongly recognized by knowlesi malaria patients.
Antibody raised against the P. vivax and P. knowlesi AARP N-termini reacted with
the apical side of the P. knowlesi merozoites and inhibited erythrocyte invasion
by P. knowlesi in a concentration-dependent manner, thereby suggesting a cross
species nature of anti-PvAARP antibody against PkAARP. These results can be
explained by B cell epitopes predicted in conserved surface-exposed regions of
the AARP N-terminus in both species. The long-lived anti-PvAARP antibody
response, cross-reactivity, and invasion inhibitory activity of anti-PvAARP
support a critical role of AARP during the erythrocyte invasion and suggest that
PvAARP induces long-lived cross-species protective immunity against P. vivax and
P. knowlesi.
PMID- 29636494
TI - Variable density dependence and the restructuring of coral-reef fisheries across
25 years of exploitation.
AB - Variable density dependence within multispecies fisheries results in species
restructuring as exploitation intensifies that is poorly understood. We examined
unique species-based records across 25 years of exploitation to evaluate
patterns, consequences, and predictions of species replacements within three
coral-reef fisheries. Body-size was an expected determinant of species
replacements, as larger fishes were consistently replaced by smaller, faster
growing counterparts. However, many species with similar sizes and growth rates
responded differently. Naso unicornis, a primary component of coral-reef
fisheries across the Pacific, was one of the most resilient species to
exploitation despite having a similar maximum size and growth as many large
parrotfishes that slowly disappeared from landings. Assessments conducted for all
primary target species revealed clear distinctions in compensatory responses: 31%
had diminishing size structures, 18% had diminishing proportional contribution,
but only 5% showed both. Standard approaches to fisheries management assume
constant rates of size-and-age restructuring and rely upon metrics such as
fishing-versus-natural mortality. Instead, a deeper appreciation for varying
recruitment rates may help to (re)define fisheries management units and reduce
complexity in multispecies fisheries. We last consider our results alongside
traditional knowledge and management in the Pacific that clearly appreciated
species responses, but have been lost over the years.
PMID- 29636495
TI - Exchange-torque-induced excitation of perpendicular standing spin waves in
nanometer-thick YIG films.
AB - Spin waves in ferrimagnetic yttrium iron garnet (YIG) films with ultralow
magnetic damping are relevant for magnon-based spintronics and low-power wave
like computing. The excitation frequency of spin waves in YIG is rather low in
weak external magnetic fields because of its small saturation magnetization,
which limits the potential of YIG films for high-frequency applications. Here, we
demonstrate how exchange-coupling to a CoFeB film enables efficient excitation of
high-frequency perpendicular standing spin waves (PSSWs) in nanometer-thick (80
nm and 295 nm) YIG films using uniform microwave magnetic fields. In the 295-nm
thick YIG film, we measure intense PSSW modes up to 10th order. Strong
hybridization between the PSSW modes and the ferromagnetic resonance mode of
CoFeB leads to characteristic anti-crossing behavior in broadband spin-wave
spectra. We explain the excitation of PSSWs by exchange coupling between forced
magnetization precessions in the YIG and CoFeB layers. If the amplitudes of these
precessions are different, a dynamic exchange torque is generated, causing the
emission of spin waves from the interface. PSSWs form when the wave vector of the
spin waves matches a perpendicular confinement condition. PSSWs are not excited
if exchange coupling between YIG and CoFeB is eliminated by a 10 nm Ta spacer
layer. Micromagnetic simulations confirm the exchange-torque-driven mechanism.
PMID- 29636496
TI - Functionalization of beta-lactam antibiotic on lysozyme capped gold nanoclusters
retrogress MRSA and its persisters following awakening.
AB - In this study we have reported an efficient antibacterial hybrid fabricated
through surface functionalization of lysozyme capped gold nanoclusters (AUNC-L)
with beta-lactam antibiotic ampicillin (AUNC-L-Amp). The prepared hybrid not only
reverted the MRSA resistance towards ampicillin but also demonstrated enhanced
antibacterial activity against non-resistant bacterial strains. Most importantly,
upon awakening through cis-2-decenoic acid (cis-DA) exposure, the MRSA persister
got inhibited by the AUNC-L-Amp treatment. Intraperitoneal administration of this
hybrid eliminates the systemic MRSA infection in a murine animal model. Topical
application of this nano conjugate eradicated MRSA infection from difficult to
treat diabetic wound of rat and accelerated the healing process. Due to inherent
bio-safe nature of gold, AUNC-L alone or in the construct (AUNC-L-Amp)
demonstrated excellent biocompatibility and did not indicate any deleterious
effects in in vivo settings. We postulate that AUNC-L-Amp overcomes the elevated
levels of beta-lactamase at the site of MRSA antibiotic interaction with
subsequent multivalent binding to the bacterial surface and enhanced permeation.
Coordinated action of AUNC-L-Amp components precludes MRSA to attain resistance
against the hybrid. We proposed that the inhibitory effect of AUNC-L-Amp against
MRSA and its persister form is due to increased Amp concentration at the site of
action, multivalent presentation and enhanced permeation of Amp through lysozyme
mediated cell wall lysis.
PMID- 29636497
TI - Arousing emoticons edit stream/bounce perception of objects moving past each
other.
AB - When two identical objects move toward each other, overlap completely, and
continue toward opposite ends of a space, observers might perceive them as
streaming through or bouncing off each other. This phenomenon is known as
'stream/bounce perception'. In this study, we investigated the effect of the
presentation of emoticons on stream/bounce perception in five experiments. In
Experiment 1, we used emoticons representing anger ('('?')'), a smile ('(^_^)'),
and a sober face ('( degrees _ degrees )', as a control), and observers were
asked to judge whether two objects unrelated to the emoticon had streamed through
or bounced off each other. The anger emoticon biased perception toward bouncing
when compared with the smile or sober face emoticon. In Experiments 2 and 3, we
controlled for the valence and arousal of emoticons, and found that arousal
influenced stream/bounce perception but valence did not. Experiments 4 and 5
ruled out the possibility of attentional capture and response bias for the
emoticon with higher arousal. Taken together, the findings indicate that
emoticons with higher arousal evoke a mental image of a 'collision' in observers,
thereby eliciting the bounce perception.
PMID- 29636498
TI - Up-conversion luminescence, temperature sensing properties and laser-induced
heating effect of Er3+/Yb3+ co-doped YNbO4 phosphors under 1550 nm excitation.
AB - YNbO4 phosphors with various Er3+ and Yb3+ concentrations were synthesized via a
traditional high-temperature solid-state reaction method. Their crystal structure
was investigated by means of X-ray diffraction (XRD) and Rietveld refinements,
and it was confirmed that the obtained samples exist in monoclinic phase. The
Er3+ and Yb3+ concentration-dependent up-conversion (UC) luminescence was studied
under 1550 nm excitation. By inspecting the dependence of UC intensity on the
laser working current, it was found that four-photon and three-photon population
processes were co-existent for generating the green UC emissions in the samples
with higher Yb3+ concentrations. In addition, it was observed that the
temperature sensing properties of YNbO4: Er3+/Yb3+ phosphors were sensitive to
both Er3+ and Yb3+ doping concentrations. Furthermore, based on the obtained
temperature response of the UC luminescence phosphors, 1550 nm laser-irradiation
induced thermal effect was studied, and it was discovered that the sample
temperature was very sensitive to the doping concentrations of Er3+ and Yb3+ and
the excitation power.
PMID- 29636499
TI - Non-uniform weighting of local motion inputs underlies dendritic computation in
the fly visual system.
AB - The fly visual system offers a unique opportunity to explore computations
performed by single neurons. Two previous studies characterized, in vivo, the
receptive field (RF) of the vertical system (VS) cells of the blowfly (calliphora
vicina), both intracellularly in the axon, and, independently using Ca2+ imaging,
in hundreds of distal dendritic branchlets. We integrated this information into
detailed passive cable and compartmental models of 3D reconstructed VS cells.
Within a given VS cell type, the transfer resistance (TR) from different
branchlets to the axon differs substantially, suggesting that they contribute
unequally to the shaping of the axonal RF. Weighting the local RFs of all
dendritic branchlets by their respective TR yielded a faithful reproduction of
the axonal RF. The model also predicted that the various dendritic branchlets are
electrically decoupled from each other, thus acting as independent local
functional subunits. The study suggests that single neurons in the fly visual
system filter dendritic noise and compute the weighted average of their inputs.
PMID- 29636500
TI - On the contributing role of the transmembrane domain for subunit-specific
sensitivity of integrin activation.
AB - Integrins are alpha/beta heterodimeric transmembrane adhesion receptors. Evidence
exists that their transmembrane domain (TMD) separates upon activation. Subunit
specific differences in activation sensitivity of integrins were reported.
However, whether sequence variations in the TMD lead to differential TMD
association has remained elusive. Here, we show by molecular dynamics simulations
and association free energy calculations on TMDs of integrin alphaIIbbeta3,
alphavbeta3, and alpha5beta1 that alphaIIbbeta3 TMD is most stably associated;
this difference is related to interaction differences across the TMDs. The order
of TMD association stability is paralleled by the basal activity of these
integrins, which suggests that TMD differences can have a decisive effect on
integrin conformational free energies. We also identified a specific order of
clasp disintegration upon TMD dissociation, which suggests that the closed state
of integrins may comprise several microstates. Our results provide unprecedented
insights into a possibly contributing role of TMD towards subunit-specific
sensitivity of integrin activation.
PMID- 29636501
TI - Analysis of HIV-1 envelope evolution suggests antibody-mediated selection of
common epitopes among Chinese former plasma donors from a narrow-source outbreak.
AB - The HIV-1 envelope mutates rapidly to evade recognition and killing, and is a
major target of humoral immune responses and vaccine development. Identification
of common epitopes for vaccine development have been complicated by genetic
variation on both virus and host levels. We studied HIV-1 envelope gp120
evolution in 12 Chinese former plasma donors infected with a purportedly single
founder virus, with the aim of identifying common antibody epitopes under immune
selection. We found five amino acid sites under significant positive selection in
>=50% of the study participants, and 22 sites consistent with antibody-mediated
selection. Despite strong selection pressure, some sites housed a limited
repertoire of amino acids. Structural modelling revealed that most of the
variable amino acid sites were located on the exposed distal edge of the Gp120
trimer, whilst invariant sites clustered within the centre of the protein
complex. Two sites, flanking the V3 hypervariable loop, represent novel antibody
sites. Analysis of HIV-1 evolution in hosts infected with a narrow-source virus
may provide insight and novel understanding of common epitopes under antibody
mediated selection. If verified in functional studies, such epitopes could be
suitable as targets in vaccine development.
PMID- 29636502
TI - ACTH and PMX53 recover synaptic transcriptome alterations in a rat model of
infantile spasms.
AB - We profiled the gene expression in the hypothalamic arcuate nuclei (ARC) of 20
male and 20 female rats to determine the infantile spasms (IS) related
transcriptomic alteration of neurotransmission and recovery following two
treatments. Rats were prenatally exposed to betamethasone or saline followed by
repeated postnatal subjection to NMDA-triggered IS. Rats with spasms were treated
with ACTH, PMX53 or saline. Since ACTH, the first line treatment for IS, has
inconsistent efficacy and potential harsh side effects, PMX53, a potent
complement C5ar1 antagonist, was suggested as a therapeutic alternative given its
effects in other epilepsy models. Novel measures that consider all genes and are
not affected by arbitrary cut-offs were used, in addition to standard statistical
tests, to quantify regulation and recovery of glutamatergic, GABAergic,
cholinergic, dopaminergic and serotonergic pathways. Although IS alters
expression of ~30% of the ARC genes in both sexes the transcriptomic effects are
3* more severe in males than their female counterparts, as indicated by the
Weighted Pathway Regulation measure. Both treatments significantly restored the
ARC neurotransmission transcriptome to the non-IS condition with PMX53 performing
slightly better, as measured by the Pathway Restoration Efficiency, suggesting
these treatments may reduce autistic traits often associated with IS.
PMID- 29636503
TI - Proteomic approach to understand the molecular physiology of symbiotic
interaction between Piriformospora indica and Brassica napus.
AB - Many studies have been now focused on the promising approach of fungal endophytes
to protect the plant from nutrient deficiency and environmental stresses along
with better development and productivity. Quantitative and qualitative protein
characteristics are regulated at genomic, transcriptomic, and posttranscriptional
levels. Here, we used integrated in-depth proteome analyses to characterize the
relationship between endophyte Piriformospora indica and Brassica napus plant
highlighting its potential involvement in symbiosis and overall growth and
development of the plant. An LC-MS/MS based label-free quantitative technique was
used to evaluate the differential proteomics under P. indica treatment vs.
control plants. In this study, 8,123 proteins were assessed, of which 46 showed
significant abundance (34 downregulated and 12 upregulated) under high confidence
conditions (p-value <= 0.05, fold change >=2, confidence level 95%). Mapping of
identified differentially expressed proteins with bioinformatics tools such as GO
and KEGG pathway analysis showed significant enrichment of gene sets involves in
metabolic processes, symbiotic signaling, stress/defense responses, energy
production, nutrient acquisition, biosynthesis of essential metabolites. These
proteins are responsible for root's architectural modification, cell remodeling,
and cellular homeostasis during the symbiotic growth phase of plant's life. We
tried to enhance our knowledge that how the biological pathways modulate during
symbiosis?
PMID- 29636504
TI - Cycle oxidation behavior and anti-oxidation mechanism of hot-dipped aluminum
coating on TiBw/Ti6Al4V composites with network microstructure.
AB - Controlled and compacted TiAl3 coating was successfully fabricated on the network
structured TiBw/Ti6Al4V composites by hot-dipping aluminum and subsequent
interdiffusion treatment. The network structure of the composites was inherited
to the TiAl3 coating, which effectively reduces the thermal stress and avoids the
cracks appeared in the coating. Moreover, TiB reinforcements could pin the TiAl3
coating which can effectively improve the bonding strength between the coating
and composite substrate. The cycle oxidation behavior of the network structured
coating on 873 K, 973 K and 1073 K for 100 h were investigated. The results
showed the coating can remarkably improve the high temperature oxidation
resistance of the TiBw/Ti6Al4V composites. The network structure was also
inherited to the Al2O3 oxide scale, which effectively decreases the tendency of
cracking even spalling about the oxide scale. Certainly, no crack was observed in
the coating after long-term oxidation due to the division effect of network
structured coating and pinning effect of TiB reinforcements. Interfacial reaction
between the coating and the composite substrate occurred and a bilayer structure
of TiAl/TiAl2 formed next to the substrate after oxidation at 973 K and 1073 K.
The anti-oxidation mechanism of the network structured coating was also
discussed.
PMID- 29636505
TI - A Multi-Parameter Analysis of Cellular Coordination of Major Transcriptome
Regulation Mechanisms.
AB - To understand cellular coordination of multiple transcriptome regulation
mechanisms, we simultaneously measured transcription rate (TR), mRNA abundance
(RA) and translation activity (TA). This revealed multiple insights. First, the
three parameters displayed systematic statistical differences. Sequentially more
genes exhibited extreme (low or high) expression values from TR to RA, and then
to TA; that is, cellular coordination of multiple transcriptome regulatory
mechanisms leads to sequentially enhanced gene expression selectivity as the
genetic information flow from the genome to the proteome. Second, contribution of
the stabilization-by-translation regulatory mechanism to the cellular
coordination process was assessed. The data enabled an estimation of mRNA
stability, revealing a moderate but significant positive correlation between mRNA
stability and translation activity. Third, the proportion of mRNA occupied by un
translated regions (UTR) exhibited a negative relationship with the level of this
correlation, and was thus a major determinant of the mode of regulation of the
mRNA. High-UTR-proportion mRNAs tend to defy the stabilization-by-translation
regulatory mechanism, staying out of the polysome but remaining stable; mRNAs
with little UTRs largely followed this regulation. In summary, we quantitatively
delineated the relationship among multiple transcriptome regulation parameters,
i.e., cellular coordination of corresponding regulatory mechanisms.
PMID- 29636507
TI - Using nested discretization for a detailed yet computationally efficient
simulation of local hydrology in a distributed hydrologic model.
AB - Fully distributed hydrologic models are often used to simulate hydrologic states
at fine spatio-temporal resolutions. However, simulations based on these models
may become computationally expensive, constraining their applications to smaller
domains. This study demonstrates that a nested-discretization based modeling
strategy can be used to improve the efficiency of distributed hydrologic
simulations, especially for applications where fine resolution estimates of
hydrologic states are of the focus only within a part of a watershed. To this
end, we consider two applications where the goal is to capture the groundwater
dynamics within a defined target area. Our results show that at the target
locations, a nested simulation is able to competently replicate the estimates of
groundwater table as obtained from the fine simulation, while yielding
significant computational savings. The results highlight the potential of using
nested discretization for a detailed yet computationally efficient estimation of
hydrologic states in part of the model domain.
PMID- 29636506
TI - Meta-scale mountain grassland observatories uncover commonalities as well as
specific interactions among plant and non-rhizosphere soil bacterial communities.
AB - Interactions between plants and bacteria in the non-rhizosphere soil are rarely
assessed, because they are less direct and easily masked by confounding
environmental factors. By studying plant vegetation alliances and soil bacterial
community co-patterning in grassland soils in 100 sites across a heterogeneous
mountain landscape in the western Swiss Alps, we obtained sufficient statistical
power to disentangle common co-occurrences and weaker specific interactions.
Plant alliances and soil bacterial communities tended to be synchronized in
community turnover across the landscape, largely driven by common underlying
environmental factors, such as soil pH or elevation. Certain alliances occurring
in distinct, local, environmental conditions were characterized by co-occurring
specialist plant and bacterial species, such as the Nardus stricta and
Thermogemmatisporaceae. In contrast, some generalist taxa, like Anthoxanthum
odoratum and 19 Acidobacteria species, spanned across multiple vegetation
alliances. Meta-scale analyses of soil bacterial community composition and
vegetation surveys, complemented with local edaphic measurements, can thus prove
useful to identify the various types of plant-bacteria interactions and the
environments in which they occur.
PMID- 29636508
TI - Enrichment of soil organic carbon by native earthworms in a patch of tropical
soil, Kerala, India: First report.
AB - The role of earthworms in soil carbon dynamics is a recent avenue of research
which is less studied in India. Three plots of 1 m3 size were laid in Jeevaka
live laboratory (JLL)- a biodiversity rich area within the University campus. A
control plot (CP) of same dimension was maintained outside JLL. Out of three
plots within JLL, one was operated with native earthworm Perionyx
ceylanensisMichaelson (100 numbers), water and cattle dung as feed (Jeevaka test
plot- JT) and fenced with nylon mesh. Remaining two plots were operated as
controls within JLL (JC1 and JC2). JC1 (Jeevaka control 1) was provided with
cattle dung and water, while JC2 and CP (outside JLL) were operated without any
supplements. Throughout the experiment native earthworm species have maintained
their dominancy in all plots except CP where no earthworms were observed. At the
end of a year-long study, JC1 with maximum diversity of earthworms showed better
soil organic carbon (SOC) and particulate organic carbon (POC)-which is
relatively a stable form of SOC. Overall findings indicate better the diversity
of earthworms better is the carbon storage in the soil.
PMID- 29636509
TI - Matriptase-2 deficiency protects from obesity by modulating iron homeostasis.
AB - Alterations in iron status have frequently been associated with obesity and other
metabolic disorders. The hormone hepcidin stands out as a key regulator in the
maintenance of iron homeostasis by controlling the main iron exporter,
ferroportin. Here we demonstrate that the deficiency in the hepcidin repressor
matriptase-2 (Tmprss6) protects from high-fat diet-induced obesity. Tmprss6 -/-
mice show a significant decrease in body fat, improved glucose tolerance and
insulin sensitivity, and are protected against hepatic steatosis. Moreover, these
mice exhibit a significant increase in fat lipolysis, consistent with their
dramatic reduction in adiposity. Rescue experiments that block hepcidin up
regulation and restore iron levels in Tmprss6-/- mice via anti-hemojuvelin (HJV)
therapy, revert the obesity-resistant phenotype of Tmprss6-/- mice. Overall, this
study describes a role for matritpase-2 and hepcidin in obesity and highlights
the relevance of iron regulation in the control of adipose tissue function.
PMID- 29636510
TI - Plasmodium falciparum strains spontaneously switch invasion phenotype in
suspension culture.
AB - The extensive redundancy in the use of invasion ligands by Plasmodium falciparum,
and its unique ability to switch between invasion pathways have hampered vaccine
development. P. falciparum strains Dd2 and W2mef have been shown to change from
sialic acid (SA)-dependent to SA-independent phenotypes when selected on
neuraminidase-treated erythrocytes. Following an observation of increasing
ability of Dd2 to invade neuraminidase-treated cells when cultured for several
weeks, we systematically investigated this phenomenon by comparing invasion
phenotypes of Dd2, W2mef and 3D7 strains of P. falciparum that were cultured with
gentle shaking (Suspended) or under static (Static) conditions. While Static Dd2
and W2mef remained SA-dependent for the entire duration of the investigation,
Suspended parasites spontaneously and progressively switched to SA-independent
phenotype from week 2 onwards. Furthermore, returning Suspended cultures to
Static conditions led to a gradual reversal to SA-dependent phenotype. The switch
to SA-independent phenotype was accompanied by upregulation of the key invasion
ligand, reticulocyte-binding homologue 4 (RH4), and the increased invasion was
inhibited by antibodies to the RH4 receptor, CR1. Our data demonstrates a novel
mechanism for inducing the switching of invasion pathways in P. falciparum
parasites and may provide clues for understanding the mechanisms involved.
PMID- 29636511
TI - Regimes of Flow over Complex Structures of Endothelial Glycocalyx: A Molecular
Dynamics Simulation Study.
AB - Flow patterns on surfaces grafted with complex structures play a pivotal role in
many engineering and biomedical applications. In this research, large-scale
molecular dynamics (MD) simulations are conducted to study the flow over complex
surface structures of an endothelial glycocalyx layer. A detailed structure of
glycocalyx has been adopted and the flow/glycocalyx system comprises about
5,800,000 atoms. Four cases involving varying external forces and modified
glycocalyx configurations are constructed to reveal intricate fluid behaviour.
Flow profiles including temporal evolutions and spatial distributions of velocity
are illustrated. Moreover, streamline length and vorticity distributions under
the four scenarios are compared and discussed to elucidate the effects of
external forces and glycocalyx configurations on flow patterns. Results show that
sugar chain configurations affect streamline length distributions but their
impact on vorticity distributions is statistically insignificant, whilst the
influence of the external forces on both streamline length and vorticity
distributions are trivial. Finally, a regime diagram for flow over complex
surface structures is proposed to categorise flow patterns.
PMID- 29636512
TI - A large-scale survey of the postmortem human microbiome, and its potential to
provide insight into the living health condition.
AB - The microbiome plays many roles in human health, often through the exclusive lens
of clinical interest. The inevitable end point for all living hosts, death, has
its own altered microbiome configurations. However, little is understood about
the ecology and changes of microbial communities after death, or their potential
utility for understanding the health condition of the recently living. Here we
reveal distinct postmortem microbiomes of human hosts from a large-scale survey
of death cases representing a predominantly urban population, and demonstrated
these microbiomes reflected antemortem health conditions within 24-48 hours of
death. Our results characterized microbial community structure and predicted
function from 188 cases representing a cross-section of an industrial-urban
population. We found strong niche differentiation of anatomic habitat and
microbial community turnover based on topographical distribution. Microbial
community stability was documented up to two days after death. Additionally, we
observed a positive relationship between cell motility and time since host death.
Interestingly, we discovered evidence that microbial biodiversity is a predictor
of antemortem host health condition (e.g., heart disease). These findings improve
the understanding of postmortem host microbiota dynamics, and provide a robust
dataset to test the postmortem microbiome as a tool for assessing health
conditions in living populations.
PMID- 29636513
TI - Imaging beyond ultrasonically-impenetrable objects.
AB - Ultrasound images are severely degraded by the presence of obstacles such as
bones and air gaps along the beam path. This paper describes a method for imaging
structures that are distal to obstacles that are otherwise impenetrable to
ultrasound. The method uses an optically-inspired holographic algorithm to beam
shape the emitted ultrasound field in order to bypass the obstacle and place the
beam focus beyond the obstruction. The resulting performance depends on the
transducer aperture, the size and position of the obstacle, and the position of
the target. Improvement compared to standard ultrasound imaging is significant
for obstacles for which the width is larger than one fourth of the transducer
aperture and the depth is within a few centimeters of the transducer. For such
cases, the improvement in focal intensity at the location of the target reaches
30-fold, and the improvement in peak-to-side-lobe ratio reaches 3-fold. The
method can be implemented in conventional ultrasound systems, and the entire
process can be performed in real time. This method has applications in the fields
of cancer detection, abdominal imaging, imaging of vertebral structure and
ultrasound tomography. Here, its effectiveness is demonstrated using wire
targets, tissue mimicking phantoms and an ex vivo biological sample.
PMID- 29636514
TI - Prediction of spacer-alpha6 complex: a novel insight into binding of ADAMTS13
with A2 domain of von Willebrand factor under forces.
AB - Force-regulated cleavage of A2 domain of von Willebrand factor (vWF) by ADAMTS13
is a key event in preventing thrombotic thrombocytopenic purpura (TTP).
Recognition and cleavage depend on cooperative and modular contacts between
several ADAMTS13 subdomains and discrete segments of vWF A2 domain. Spacer domain
of ADAMTS13 contains an important exosite interacting with alpha6 helix of unfold
A2 domain, but it remains unclear whether stretching of alpha6 regulates binding
to spacer. To understand the molecular mechanism underlying the interactions
between spacer and alpha6 under stretching, we successfully predicted spacer
alpha6 complex by a novel computer strategy combined the steered molecular
dynamics (SMD) and flexible docking techniques. This strategy included three
steps: (1) constant-velocity SMD simulation of alpha6; (2) zero-velocity SMD
simulations of alpha6, and (3) flexible dockings of alpha6 to spacer. In our
spacer-alpha6 complex model, 13 key residues, six in alpha6 and seven in spacer,
were identified. Our data demonstrated a biphasic extension-regulated binding of
alpha6 to spacer. The binding strength of the complex increased with alpha6
extension until it reaches its optimum of 0.25 nm, and then decreased as alpha6
extension further increased, meaning that spacer is in favor to binding with a
partially extended alpha6, which may contribute to the optimal contact and
proteolysis. Changes of interface area and intermolecular salt bridge may serve
as the molecular basis for this characteristic. These findings provide a novel
insight into mechano-chemical regulation on interaction between ADAMTS13 and vWF
A2 domain under forces.
PMID- 29636515
TI - Impact of Bimaxillary Advancement Surgery on the Upper Airway and on Obstructive
Sleep Apnea Syndrome: a Meta-Analysis.
AB - Upper airway changes following bimaxillary advancement surgery to treat
obstructive sleep apnea syndrome remain controversial. The main objective of this
systematic review and meta-analysis was to investigate the effects of bimaxillary
advancement surgery on the upper airway (UA) of obstructive sleep apnea syndrome
patients through examining changes three-dimensionally in vertical and supine
position and through changes in oximetric variables (AHI, RDI, O2 Sat) and in the
quality of life measured by the Epworth sleepiness scale (ESS). A thorough search
of the PubMed, Scopus, Embase and Cochrane databases and a grey literature search
(Opengrey) were conducted. No limit was placed on publication year or language.
The inclusion criteria were: adult obstructive sleep apnea patients who had
undergone bimaxillary advancement surgery, three-dimensional CBCT or CT and
oximetric measurements and at least six weeks follow-up. Sample sizes of under 10
patients were excluded. Finally, 26 articles were included in the qualitative
review and 23 in the meta-analysis. Bimaxillary advancement surgery has been
shown to be beneficial in terms of increased upper airway size, improved
oximetric indicators and the quality of life measured on the Epworth sleepiness
scale.
PMID- 29636516
TI - Testing the impact of effective population size on speciation rates - a negative
correlation or lack thereof in lichenized fungi.
AB - The effect of microevolutionary processes on macroevolutionary patterns, and vice
versa, is an important but under-investigated question. Here we present an
integrative population genetic and phylogenetic study using molecular sequence
data from three lichen-forming fungal lineages to empirically test the potential
correlation between effective population size - approximated by the parameter
theta - and estimated speciation rates using a phylogenetic tree (lambda). A
negative association between theta and lambda was supported in one lineage of
lichen-forming fungi, Melanelixia (Parmeliaceae), while no significant
relationships was found for two other genera within the same family, Melanohalea
and Xanthoparmelia. We discuss the significance of our results and the importance
of considering microevolutionary processes when studying macroevolutionary
patterns.
PMID- 29636517
TI - Application of iron-loaded activated carbon electrodes for electrokinetic
remediation of chromium-contaminated soil in a three-dimensional electrode
system.
AB - Hexavalent chromium from industrial residues is highly mobile in soil and can
lead to the contamination of groundwater through runoff and leaching after
rainfall. This paper focuses on the three-dimensional (3D) electrokinetic
remediation (EKR) of chromium-contaminated soil from an industrial site.
Activated carbon particles coupled with Fe ions (AC-Fe) were used as the third
electrode. The optimum dose ratio of the electrode particles and remediation time
were selected on the basis of single-factor experiments. X-ray photoelectron
spectroscopy (XPS) analysis was carried out to explore the reduction of Cr(VI) on
the surface of the electrode particles (AC-Fe). The results showed that AC-Fe had
a positive effect on Cr(VI) reduction with a removal rate of 80.2%, which was
achieved after 10 d by using a 5% dose of electrode particles. Finally, it was
concluded that the removal mechanism combined the processes of electromigration,
electrosorption/adsorption and reduction of Cr(VI) in the 3D EKR system.
PMID- 29636518
TI - Association between fasting Triglyceride levels and the Prevalence of
Asymptomatic Intracranial Arterial Stenosis in a Chinese Community-based Study.
AB - The aim of this study was to assess the association between fasting triglyceride
(FTG) levels and the prevalence of asymptomatic intracranial arterial stenosis
(ICAS). The Asymptomatic Polyvascular Abnormalities Community (APAC) study is a
sub-population of the Kailuan study which targeting on the epidemiology of
asymptomatic polyvascular abnormalities in Chinese adults. A total number of 5345
participants, aged >=40, and without history of stroke, transient ischemic
attack, and coronary heart disease were enrolled in this study. Transcranial
Doppler Ultrasonography was performed for the detection of ICAS presence. Out of
5345 participants, 698 subjects diagnosed ICAS (13.1%). In univariate analysis,
the association between fasting TG (FTG) levels and asymptomatic ICAS didn't
reach statistical significance (OR: 0.99, 95% CI: 0.89-1.09; P = 0.79), the same
conclusion was reached in multivariate analysis, after adjustment for age, sex
(OR: 1.05, 95% CI: 0.95-1.17) and age, sex, current smoking status, hypertension,
diabetes, body mass index, estimated glomerular filtration rate, total
cholesterol, high-density lipoprotein cholesterol and low-density lipoprotein
cholesterol (OR: 0.95, 95% CI: 0.84-1.06), respectively. FTG failed to show any
statistical significance on ICAS presence in the APAC study, but the TG actually
plays an important role in the progression of atherosclerosis as a biomarker.
PMID- 29636519
TI - Synthesis of Au@polymer nanohybrids with transited core-shell morphology from
concentric to eccentric Emoji-N or Janus nanoparticles.
AB - The combination of multifunctionality and synergestic effect displayed by hybrid
nanoparticles (NPs) has been revealed as an effective stratagem in the
development of advanced nanostructures with unique biotechnology and
optoelectronic applications. Although important work has been devoted, the demand
of facile, versatile and efficient synthetic approach remains still challenging.
Herein, we report a feasible and innovative way for polymer-shell assembling onto
gold nanoparticles in competitive conditions of hydrophobic/hydrophilic feature
and interfacial energy of components to generate core-shell nanohybrids with
singular morphologies. The fine control of reaction parameters allows a modulated
transformation from concentric to eccentric nanostructure-geometries. In this
regard, a rational selection of the components and solvent ratio guarantee the
reproducibility and efficiency on hybrid-nanoassembly. Furthermore, the
simplicity of the synthetic approach offers the possibility to obtain asymmetric
Janus NPs and new morphologies (quizzical-aspheric polymer-shell, named Emoji-N
hybrids) with adjustable surface-coating, leading to new properties and
applications that are unavailable to their symmetrical or single components.
PMID- 29636520
TI - GC-MS-based urinary organic acid profiling reveals multiple dysregulated
metabolic pathways following experimental acute alcohol consumption.
AB - Metabolomics studies of diseases associated with chronic alcohol consumption
provide compelling evidence of several perturbed metabolic pathways. Moreover,
the holistic approach of such studies gives insights into the pathophysiological
risk factors associated with chronic alcohol-induced disability, morbidity and
mortality. Here, we report on a GC-MS-based organic acid profiling study on acute
alcohol consumption. Our investigation - involving 12 healthy, moderate-drinking
young men - simulated a single binge drinking event, and indicated its metabolic
consequences. We generated time-dependent data that predicted the metabolic
pathophysiology of the alcohol intervention. Multivariate statistical modelling
was applied to the longitudinal data of 120 biologically relevant organic acids,
of which 13 provided statistical evidence of the alcohol effect. The known
alcohol-induced increased NADH:NAD+ ratio in the cytosol of hepatocytes
contributed to the global dysregulation of several metabolic reactions of
glycolysis, ketogenesis, the Krebs cycle and gluconeogenesis. The significant
presence of 2-hydroxyisobutyric acid supports the emerging paradigm that this
compound is an important endogenous metabolite. Its metabolic origin remains
elusive, but recent evidence indicated 2-hydroxyisobutyrylation as a novel
regulatory modifier of histones. Metabolomics has thus opened an avenue for
further research on the reprogramming of metabolic pathways and epigenetic
networks in relation to the severe effects of alcohol consumption.
PMID- 29636521
TI - Exploration of the effect of sequence variations located inside the binding
pocket of HIV-1 and HIV-2 proteases.
AB - HIV-2 protease (PR2) is naturally resistant to most FDA (Food and Drug
Administration)-approved HIV-1 protease inhibitors (PIs), a major antiretroviral
class. In this study, we compared the PR1 and PR2 binding pockets extracted from
structures complexed with 12 ligands. The comparison of PR1 and PR2 pocket
properties showed that bound PR2 pockets were more hydrophobic with more oxygen
atoms and fewer nitrogen atoms than PR1 pockets. The structural comparison of PR1
and PR2 pockets highlighted structural changes induced by their sequence
variations and that were consistent with these property changes. Specifically,
substitutions at residues 31, 46, and 82 induced structural changes in their main
chain atoms that could affect PI binding in PR2. In addition, the modelling of
PR1 mutant structures containing V32I and L76M substitutions revealed a
cooperative mechanism leading to structural deformation of flap-residue 45 that
could modify PR2 flexibility. Our results suggest that substitutions in the PR1
and PR2 pockets can modify PI binding and flap flexibility, which could underlie
PR2 resistance against PIs. These results provide new insights concerning the
structural changes induced by PR1 and PR2 pocket variation changes, improving the
understanding of the atomic mechanism of PR2 resistance to PIs.
PMID- 29636522
TI - Satiation state-dependent dopaminergic control of foraging in Drosophila.
AB - Hunger evokes stereotypic behaviors that favor the discovery of nutrients. The
neural pathways that coordinate internal and external cues to motivate foraging
behaviors are only partly known. Drosophila that are food deprived increase
locomotor activity, are more efficient in locating a discrete source of
nutrition, and are willing to overcome adversity to obtain food. We developed a
simple open field assay that allows flies to freely perform multiple steps of the
foraging sequence, and we show that two distinct dopaminergic neural circuits
regulate measures of foraging behaviors. One group, the PAM neurons, functions in
food deprived flies while the other functions in well fed flies, and both promote
foraging. These satiation state-dependent circuits converge on dopamine D1
receptor-expressing Kenyon cells of the mushroom body, where neural activity
promotes foraging independent of satiation state. These findings provide evidence
for active foraging in well-fed flies that is separable from hunger-driven
foraging.
PMID- 29636523
TI - Gestational exposure to metformin programs improved glucose tolerance and insulin
secretion in adult male mouse offspring.
AB - Pancreatic beta-cells are exquisitely sensitive to developmental nutrient
stressors, and alterations in nutrient sensing pathways may underlie changes
observed in these models. Here we developed a mouse model of in utero exposure to
the anti-diabetic agent metformin. We have previously shown that this exposure
increases offspring pancreatic beta-cell mass at birth. We hypothesized that
adult offspring would have improved metabolic parameters as a long-term outcome
of metformin exposure. Virgin dams were given 5 mg/mL metformin in their water
from E0.5 to delivery at E18.5. Body weight, glucose tolerance, insulin tolerance
and glucose stimulated insulin secretion were analyzed in the offspring. When
male offspring of dams given metformin during gestation were tested as adults
they had improved glucose tolerance and enhanced insulin secretion in vivo as did
their islets in vitro. Enhanced insulin secretion was accompanied by changes in
intracellular free calcium responses to glucose and potassium chloride, possibly
mediated by increased L channel expression. Female offspring exhibited improved
glucose tolerance at advanced ages. In conclusion, in this model in utero
metformin exposure leads to improved offspring metabolism in a gender-specific
manner. These findings suggest that metformin applied during gestation may be an
option for reprogramming metabolism in at risk groups.
PMID- 29636525
TI - Study of mucin turnover in the small intestine by in vivo labeling.
AB - Mucins are highly glycosylated proteins which protect the epithelium. In the
small intestine, the goblet cell-secreted Muc2 mucin constitutes the main
component of the loose mucus layer that traps luminal material. The transmembrane
mucin Muc17 forms part of the carbohydrate-rich glycocalyx covering intestinal
epithelial cells. Our study aimed at investigating the turnover of these mucins
in the small intestine by using in vivo labeling of O-glycans with N
azidoacetylgalactosamine. Mice were injected intraperitoneally and sacrificed
every hour up to 12 hours and at 24 hours. Samples were fixed with preservation
of the mucus layer and stained for Muc2 and Muc17. Turnover of Muc2 was slower in
goblet cells of the crypts compared to goblet cells along the villi. Muc17 showed
stable expression over time at the plasma membrane on villi tips, in crypts and
at crypt openings. In conclusion, we have identified different subtypes of goblet
cells based on their rate of mucin biosynthesis and secretion. In order to
protect the intestinal epithelium from chemical and bacterial hazards, fast and
frequent renewal of the secreted mucus layer in the villi area is combined with
massive secretion of stored Muc2 from goblet cells in the upper crypt.
PMID- 29636524
TI - DNA-release by Streptococcus pneumoniae autolysin LytA induced Krueppel-like
factor 4 expression in macrophages.
AB - The recruitment of myeloid cells to the lung is of utmost importance for the
elimination of invading pathogens. We investigated the Streptococcus pneumoniae
dependent induction mechanism of KLF4 in macrophages as a potential regulator of
the macrophage immune response. We demonstrated that only viable pneumococci,
which have direct contact to the host cells and release LytA-dependent DNA,
induced KLF4. Exogenous supplementation of pneumococcal, other bacterial,
eukaryotic foreign (human) or self (mouse) DNA to autolysis-deficient pneumococci
restored (at least in part) pneumococci-related KLF4 induction. Experiments using
TLR9, TRIF and MyD88 knockout macrophages revealed that TLR9, TRIF and MyD88 were
partly involved in the S. pneumoniae-induced KLF4 expression. BMMs missing
important DNA receptor related molecules (ASC-/-, STING-/-) showed no differences
in pneumococci-related KLF4 expression. Similar results were observed with IFNAR
/- BMMs and Type I IFN stimulated cells. LyzMcre mediated knockdown of KLF4 in
BMMs resulted in a decreased secretion of proinflammatory cytokines and enhanced
IL-10 release. In summary, we showed that pneumococci-related KLF4 induction in
macrophages is mediated via a PAMP-DAMP induction mechanism involving a hitherto
unknown host cell DNA sensor leading to a more proinflammatory macrophage
phenotype.
PMID- 29636526
TI - Author Correction: Mother's Pre-pregnancy BMI and Placental Candidate miRNAs:
Findings from the ENVIRONAGE Birth Cohort.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29636527
TI - GADD45A and CDKN1A are involved in apoptosis and cell cycle modulatory effects of
viscumTT with further inactivation of the STAT3 pathway.
AB - ViscumTT, a whole mistletoe preparation, has shown synergistic induction of
apoptosis in several pediatric tumor entities. High therapeutic potential has
previously been observed in Ewing's sarcoma, rhabdomyosarcoma, ALL and AML. In
this study, we analyzed modulatory effects on the cell cycle by viscumTT in three
osteosarcoma cell lines with various TP53 statuses. ViscumTT treatment induced G1
arrest in TP53 wild-type and null-mutant cells, but S arrest in TP53 mutant
cells. Blockage of G1/S transition was accompanied by down-regulation of the key
regulators CDK4, CCND1, CDK2, CCNE, CCNA. However, investigations on the
transcriptional level revealed secondary TP53 participation. Cell cycle arrest
was predominantly mediated by transcriptionally increased expression of GADD45A
and CDKN1A and decreased SKP2 levels. Enhanced CDKN1A and GADD45A expression
further played a role in viscumTT-induced apoptosis with involvement of stress
induced MAPK8 and inactivation of MAPK1/3. Furthermore, viscumTT inhibited the
pro-survival pathway STAT3 by dephosphorylation of the two sites, Tyr705 and
Ser727, by down-regulation of total STAT3 and its direct downstream targets BIRC5
and C-MYC. Moreover, tests of the efficacy of viscumTT in vivo showing reduction
of tumor volume confirmed the high therapeutic potential as an anti-tumoral agent
for osteosarcoma.
PMID- 29636528
TI - Inhibition of homoserine dehydrogenase by formation of a cysteine-NAD covalent
complex.
AB - Homoserine dehydrogenase (EC 1.1.1.3, HSD) is an important regulatory enzyme in
the aspartate pathway, which mediates synthesis of methionine, threonine and
isoleucine from aspartate. Here, HSD from the hyperthermophilic archaeon
Sulfolobus tokodaii (StHSD) was found to be inhibited by cysteine, which acted as
a competitive inhibitor of homoserine with a Ki of 11 MUM and uncompetitive an
inhibitor of NAD and NADP with Ki's of 0.55 and 1.2 mM, respectively. Initial
velocity and product (NADH) inhibition analyses of homoserine oxidation indicated
that StHSD first binds NAD and then homoserine through a sequentially ordered
mechanism. This suggests that feedback inhibition of StHSD by cysteine occurs
through the formation of an enzyme-NAD-cysteine complex. Structural analysis of
StHSD complexed with cysteine and NAD revealed that cysteine situates within the
homoserine binding site. The distance between the sulfur atom of cysteine and the
C4 atom of the nicotinamide ring was approximately 1.9 A, close enough to form a
covalent bond. The UV absorption-difference spectrum of StHSD with and without
cysteine in the presence of NAD, exhibited a peak at 325 nm, which also suggests
formation of a covalent bond between cysteine and the nicotinamide ring.
PMID- 29636529
TI - Loss of MeCP2 in adult 5-HT neurons induces 5-HT1A autoreceptors, with opposite
sex-dependent anxiety and depression phenotypes.
AB - The 5-HT1A autoreceptor mediates feedback inhibition of serotonin (5-HT) neurons,
and is implicated in major depression. The human 5-HT1A gene (HTR1A) rs6295 risk
allele prevents Deaf1 binding to HTR1A, resulting in increased 5-HT1A
autoreceptor transcription. Since chronic stress alters HTR1A methylation and
expression, we addressed whether recruitment of methyl-binding protein MeCP2 may
alter Deaf1 regulation at the HTR1A locus. We show that MeCP2 enhances Deaf1
binding to its HTR1A site and co-immunoprecipitates with Deaf1 in cells and brain
tissue. Chromatin immunoprecipitation assays showed Deaf1-dependent recruitment
of MeCP2 to the mouse HTR1A promoter, and MeCP2 modulated human and mouse HTR1A
gene transcription in a Deaf1-dependent fashion, enhancing Deaf1-induced
repression at the Deaf1 site. To address the role of MeCP2 in HTR1A regulation in
vivo, mice with conditional knockout of MeCP2 in adult 5-HT neurons (MeCP2 cKO)
were generated. These mice exhibited increased 5-HT1A autoreceptor levels and
function, consistent with MeCP2 enhancement of Deaf1 repression in 5-HT neurons.
Interestingly, female MeCP2-cKO mice displayed reduced anxiety, while males
showed increased anxiety and reduced depression-like behaviors. These data
uncover a novel role for MeCP2 in 5-HT neurons to repress HTR1A expression and
drive adult anxiety- and depression-like behaviors in a sex-specific manner.
PMID- 29636530
TI - Rapid isolation and enrichment of extracellular vesicle preparations using anion
exchange chromatography.
AB - Extracellular vesicles (EVs) have important roles in physiology, pathology, and
more recently have been identified as efficient carriers of therapeutic cargoes.
For efficient study of EVs, a single-step, rapid and scalable isolation strategy
is necessary. Chromatography techniques are widely used for isolation of
biological material for clinical applications and as EVs have a net negative
charge, anion exchange chromatography (AIEX) is a strong candidate for column
based EV isolation. We isolated EVs by AIEX and compared them to EVs isolated by
ultracentrifugation (UC) and tangential flow filtration (TFF). EVs isolated by
AIEX had comparable yield, EV marker presence, size and morphology to those
isolated by UC and had decreased protein and debris contamination as compared to
TFF purified EVs. An improved AIEX protocol allowing for higher flow rates and
step elution isolated 2.4*1011 EVs from 1 litre of cell culture supernatant
within 3 hours and removed multiple contaminating proteins. Importantly AIEX
isolated EVs from different cell lines including HEK293T, H1299, HCT116 and
Expi293F cells. The AIEX protocol described here can be used to isolate and
enrich intact EVs in a rapid and scalable manner and shows great promise for
further use in the field for both research and clinical purposes.
PMID- 29636531
TI - Low-dose tributyltin exposure induces an oxidative stress-triggered JNK-related
pancreatic beta-cell apoptosis and a reversible hypoinsulinemic hyperglycemia in
mice.
AB - Tributyltin (TBT), an endocrine disrupting chemical, can be found in food
(particular in fish and seafood) and drinking water by contamination. Here, we
elucidated the effects and possible mechanisms of low-dose TBT on the growth and
function of pancreatic beta-cells and glucose metabolism in mice. Submicromolar
concentration of TBT significantly induced beta-cell cytotoxicity and apoptosis,
which were accompanied by poly (ADP-ribose) polymerase cleavage and mitogen
activated protein kinases-JNK and ERK1/2 phosphorylation. TBT could also suppress
the glucose-stimulated insulin secretion in beta-cells and isolated mouse islets.
TBT increased reactive oxygen species production. TBT-induced beta-cell
cytotoxicity and apoptosis were significantly prevented by antioxidant N
acetylcysteine (NAC) and JNK inhibitor SP600125, but not ERK1/2 inhibitor PD98059
and p38 inhibitor SB203580. Both NAC and SP600125 inhibited JNK phosphorylation
and reduced cell viability in TBT-treated beta-cells. Four-week exposure of TBT
(0.25 mg/kg) to mice revealed the decreased plasma insulin, increased blood
glucose and plasma malondialdehyde, suppressed islet insulin secretion, and
increased islet caspase-3 activity, which could be reversed by NAC treatment.
After removing the TBT exposure for 2 weeks, the TBT-induced glucose metabolism
alteration was significantly reversed. These results suggest that low-dose TBT
can induce beta-cell apoptosis and interfere with glucose homeostasis via an
oxidative stress-related pathway.
PMID- 29636533
TI - Reproduction method for dried biomodels composed of poly (vinyl alcohol)
hydrogels.
AB - Models mimicking the realistic geometries and mechanical properties of human
tissue are requiring ever-better materials. Biomodels made of poly (vinyl
alcohol) are particularly in demand, as they can be used to realistically
reproduce the characteristics of blood vessels. The reproducibility of biomodels
can be altered due to dehydration that is observed after long periods of usage.
In order to improve their usability, one should consider the method used to
reproduce them; however, few studies have reported a method reproduce biomodels.
This study proposes a novel reproduction method for biomodels that allows them to
quickly and easily reproduce their geometric and mechanical properties. Specimens
of the dried biomodels were reformed through immersion in temperature-controlled
water. Our results show that water at 35 degrees C can be effective to reproduce
both the geometric and mechanical properties of the specimens. X-ray diffraction
(XRD) measurements revealed that water immersion can reform the crystal structure
of the pre-dried specimens, and images obtained using micro-computed tomography
acquisition show that the geometry of the specimens can be reformed by water
immersion without introducing any defects. These results indicate that the
proposed method can lead to high reproducibility of both the original geometric
and mechanical properties of the dried biomodels.
PMID- 29636532
TI - Chronic cigarette smoke exposure drives spiral ganglion neuron loss in mice.
AB - Tobacco use is associated with an increased risk of hearing loss in older
individuals, suggesting cigarette smoke (CS) exposure may target the peripheral
auditory organs. However, the effects of CS exposure on general cochlear anatomy
have not previously been explored. Here we compare control and chronic CS exposed
cochleae from adult mice to assess changes in structure and cell survival. Two
photon imaging techniques, including the imaging of second harmonic generation
(SHG) and two-photon excitation fluorescence (TPEF) from native molecules, were
used to probe the whole cochlear organ for changes. We found evidence for
fibrillar collagen accumulation in the spiral ganglion and organ of Corti,
consistent with fibrosis. Quantitative TPEF indicated that basal CS-exposed
spiral ganglion neurons experienced greater oxidative stress than control
neurons, which was confirmed by histological staining for lipid peroxidation
products. Cell counts confirmed that the CS-exposed spiral ganglion also
contained fewer basal neurons. Taken together, these data support the premise
that CS exposure induces oxidative stress in cochlear cells. They also indicate
that two-photon techniques may screen cochlear tissues for oxidative stress.
PMID- 29636534
TI - Visualizing the bidirectional optical transfer function for near-field
enhancement in waveguide coupled plasmonic transducers.
AB - We report visualizations of the bidirectional near-field optical transfer
function for a waveguide-coupled plasmonic transducer as a metrology technique
essential for successful development for mass-fabricated near-field devices.
Plasmonic devices have revolutionized the observation of nanoscale phenomena,
enabling optical excitation and readout from nanoscale regions of fabricated
devices instead of as limited by optical diffraction. Visualizations of the
plasmonic transducer modes were acquired both by local near-field excitation of
the antenna on the front facet of a waveguide using the focused electron beam of
a scanning electron microscope as a probe of the near-field cathodoluminescence
during far-field collection from the back facet of the waveguide, and by local
mapping of the optical near-field for the same antenna design using scattering
scanning near-field optical microscopy as a probe of the near-field optical mode
density for far-field light focused into the back facet of the waveguide. Strong
agreement between both measurement types and numerical modeling was observed,
indicating that the method enables crucial metrological comparisons of as
fabricated device performance to as-modeled device expectations for heat-assisted
magnetic recording heads, which can be extended to successful development of
future near-field-on-chip devices such as optical processor interconnects.
PMID- 29636536
TI - It is high time to examine the psyche while treating IBD.
PMID- 29636535
TI - A clinically relevant and bias-controlled murine model to study acute traumatic
coagulopathy.
AB - Acute traumatic coagulopathy (ATC) is an acute and endogenous mechanism triggered
by the association of trauma and hemorrhage. Several animal models have been
developed, but some major biases have not yet been identified. Our aim was to
develop a robust and clinically relevant murine model to study this condition.
Anesthetized adult Sprague Dawley rats were randomized into 4 groups: C, control;
T, trauma; H, hemorrhage; TH, trauma and hemorrhage (n = 7 each). Trauma
consisted of laparotomy associated with four-limb and splenic fractures. Clinical
variables, ionograms, arterial and hemostasis blood tests were compared at 0 and
90 min. ATC and un-compensated shock were observed in group TH. In this group,
the rise in prothrombin time and activated partial thromboplastin was 29 and 40%,
respectively. Shock markers, compensation mechanisms and coagulation pathways
were all consistent with human pathophysiology. The absence of confounding
factors, such as trauma-related bleeding or dilution due to trans-capillary
refill was verified. This ethic, cost effective and bias-controlled model
reproduced the specific and endogenous mechanism of ATC and will allow to
identify potential targets for therapeutics in case of trauma-related hemorrhage.
PMID- 29636537
TI - Lagged influence of Atlantic and Pacific climate patterns on European extreme
precipitation.
AB - The risk of European extreme precipitation and flooding as an economic and
humanitarian disaster is modulated by large-scale atmospheric processes that
operate over (multi-)decadal periods and transport huge quantities of moisture
inland from the oceans. Yet the previous studies for better understanding of
extreme precipitation variability and its skillful seasonal prediction are far
from comprehensive. Here we show that the winter North Atlantic Oscillation (NAO)
and, to a lesser extent, winter ENSO signal have a controlling influence not only
concurrently on European extreme precipitation anomaly in winter, but in a
delayed way on the extremes in the following seasons. In a similar pattern, there
is a strong footprint of summer atmospheric circulations over the Mediterranean
Sea on summer extreme precipitation and with 1-, 2- and 3-season lags on the
following autumn, winter and spring extremes. The combined influences of the
different atmospheric circulation patterns mark a significant step forward for an
improved predictability of European extreme precipitation in the state-of-the-art
seasonal prediction systems.
PMID- 29636538
TI - The gut microbiota influences anticancer immunosurveillance and general health.
AB - Discoveries made in the past 5 years indicate that the composition of the
intestinal microbiota has a major influence on the effectiveness of anticancer
immunosurveillance and thereby contributes to the therapeutic activity of immune
checkpoint inhibitors that target cytotoxic T lymphocyte protein 4 (CTLA-4) or
the programmed cell death protein 1 (PD-1)-programmed cell death 1 ligand 1 (PD
L1) axis, as well as the activity of immunogenic chemotherapies. Herein, we
highlight some of the bacteria, such as Akkermansia muciniphila, Bacteroides
fragilis, Bifidobacterium spp. and Faecalibacterium spp., that have been
associated with favourable anticancer immune responses in both preclinical tumour
models and patients with cancer. Importantly, these bacteria also seem to have a
positive influence on general health, thus reducing the incidence of metabolic
disorders and a wide range of chronic inflammatory pathologies. We surmise that a
diverse and propitious microbial ecosystem favours organismal homeostasis,
particularly at the level of the cancer-immune dialogue.
PMID- 29636540
TI - A Study on Micropipetting Detection Technology of Automatic Enzyme Immunoassay
Analyzer.
AB - In order to improve the accuracy and reliability of micropipetting, a method of
micro-pipette detection and calibration combining the dynamic pressure monitoring
in pipetting process and quantitative identification of pipette volume in image
processing was proposed. Firstly, the normalized pressure model for the pipetting
process was established with the kinematic model of the pipetting operation, and
the pressure model is corrected by the experimental method. Through the pipetting
process pressure and pressure of the first derivative of real-time monitoring,
the use of segmentation of the double threshold method as pipetting fault
evaluation criteria, and the pressure sensor data are processed by Kalman
filtering, the accuracy of fault diagnosis is improved. When there is a fault,
the pipette tip image is collected through the camera, extract the boundary of
the liquid region by the background contrast method, and obtain the liquid volume
in the tip according to the geometric characteristics of the pipette tip. The
pipette deviation feedback to the automatic pipetting module and deviation
correction is carried out. The titration test results show that the combination
of the segmented pipetting kinematic model of the double threshold method of
pressure monitoring, can effectively real-time judgment and classification of the
pipette fault. The method of closed-loop adjustment of pipetting volume can
effectively improve the accuracy and reliability of the pipetting system.
PMID- 29636539
TI - Dietary and Physical Activity Interventions for Colorectal Cancer Survivors: A
Randomized Controlled Trial.
AB - There has been evidence on the protective effects of diets high in fiber and low
in red and processed meat (RPM), and physical activity (PA) against colorectal
cancer (CRC) development, but that against CRC recurrence has been limited. This
study evaluated the efficacy of a behavioral program comprising dietary and PA
interventions in improving Chinese CRC survivors' lifestyle. A 2 * 2 factorial
randomized controlled trial of 223 CRC patients (82 females, mean age 65),
randomly assigned to receive dietary, PA or both interventions, or usual care for
12 months, and assessed every 6 months for 24 months. Primary outcomes included
two dietary and two PA targets. Secondary outcomes included changes in dietary
consumptions and PA levels. Dietary interventions significantly increased the
odds of achieving the targets of consuming less RPM at all time-points (OR 3.22
4.57, all p < 0.01) and refined grain (RG) at months 6 (OR 3.13, p = 0.002) and
24 (OR 2.19, p = 0.039), and reduced RPM (2.49-3.48 servings/week, all p < 0.01)
and RG (0.31-0.5 servings/day, all p < 0.01) consumptions. Patients receiving PA
interventions potentially spent more time on moderate-to-vigorous PA. This study
demonstrated the efficacy of a behavioral program in improving dietary habits of
Chinese CRC survivors.
PMID- 29636541
TI - A Novel Platform for Evaluating the Environmental Impacts on Bacterial Cellulose
Production.
AB - Bacterial cellulose (BC) is a biocompatible material with versatile applications.
However, its large-scale production is challenged by the limited biological
knowledge of the bacteria. The advent of synthetic biology has lead the way to
the development of BC producing microbes as a novel chassis. Hence, investigation
on optimal growth conditions for BC production and understanding of the
fundamental biological processes are imperative. In this study, we report a novel
analytical platform that can be used for studying the biology and optimizing
growth conditions of cellulose producing bacteria. The platform is based on
surface growth pattern of the organism and allows us to confirm that cellulose
fibrils produced by the bacteria play a pivotal role towards their chemotaxis.
The platform efficiently determines the impacts of different growth conditions on
cellulose production and is translatable to static culture conditions. The
analytical platform provides a means for fundamental biological studies of
bacteria chemotaxis as well as systematic approach towards rational design and
development of scalable bioprocessing strategies for industrial production of
bacterial cellulose.
PMID- 29636542
TI - Understanding the drivers of MHC restriction of T cell receptors.
AB - T cell discrimination of self and non-self is predicated on alphabeta T cell
receptor (TCR) co-recognition of peptides presented by MHC molecules. Over the
past 20 years, structurally focused investigations into this MHC-restricted
response have provided profound insights into T cell function. Simultaneously,
two models of TCR recognition have emerged, centred on whether the TCR has,
through evolution, acquired an intrinsic germline-encoded capacity for MHC
recognition or whether MHC reactivity is conferred by developmental selection of
TCRs. Here, we review the structural and functional data that pertain to these
theories of TCR recognition, which indicate that it will be necessary to
assimilate features of both models to fully account for the molecular drivers of
this evolutionarily ancient interaction between the TCR and MHC molecules.
PMID- 29636543
TI - Effect of Nb concentration on the spin-orbit coupling strength in Nb-doped SrTiO3
epitaxial thin films.
AB - Several oxide materials have attracted much interest for the application in
spintronic devices due to unusual properties originating from the strongly
correlated orbital and spin degrees of freedom. One missing part in oxide
spintronics is a good spin channel featured by strong spin-orbit coupling (SOC)
which enables an efficient control of the electron's spin. We have systematically
investigated the dependence of the SOC strength of Sr(Nb x Ti1-x)O3 thin films on
Nb concentration (nNb = 2~20 at. %) as a deeper exploration of a recent finding
of the strong SOC in a heavily Nb-doped SrTiO3 (Sr(Nb0.2Ti0.8)O3) epitaxial film.
Apart from a finding of a proportionality of the SOC to nNb, we have observed an
intriguing temperature dependence of the SOC strength and the anisotropic
magnetoresistance (MR) in the intermediate nNb region. These phenomena are
associated with the temperature dependence of Lande g-factor and the change of
the band structure, which is consistent with the result of density functional
theory (DFT) calculation.
PMID- 29636544
TI - Genome-wide uniparental diploidy of all paternal chromosomes in an 11-year-old
girl with deafness and without malignancy.
AB - Approximately 20 cases of genome-wide uniparental disomy or diploidy (GWUPD) as
mosaicism have previously been reported. We present the case of an 11-year-old
deaf girl with a paternal uniparental diploidy or isodisomy with a genome-wide
loss of heterozygosity (LOH). The patient was originally tested for non-syndromic
deafness, and the novel variant p.V234I in the ESRRB gene was found in a
homozygous state. Our female proband is the seventh patient diagnosed with GWUPD
at a later age and is probably the least affected of the seven, as she has not
yet presented any malignancy. Most, if not all, reported patients with GWUPD
whose clinical details have been published have developed malignancy, and some of
those patient developed malignancy several times. Therefore, our patient has a
high risk of malignancy and is carefully monitored by a specific outpatient
pediatric oncology program. This observation seems to be novel and unique in a
GWUPD patient. Our study is also unique as it not only provides very detailed
documentation of the genomic situations of various tissues but also reports
differences in the mosaic ratios between the blood and saliva, as well as a
normal biparental allelic situation in the skin and biliary duct. Additionally,
we were able to demonstrate that the mosaic ratio in the blood remained stable
even after 3 years and has not changed over a longer period.
PMID- 29636546
TI - Redefining leukocytes in atherosclerosis.
PMID- 29636545
TI - Osteogenesis imperfecta with ectopic mineralizations in dentin and cementum and a
COL1A2 mutation.
AB - We report a Thai father (patient 1) and his daughter (patient 2) affected with
osteogenesis imperfecta type IV and dentinogenesis imperfecta. Both were
heterozygous for the c.1451G>A (p.Gly484Glu) mutation in COL1A2. The father, a
Thai boxer, had very mild osteogenesis imperfecta with no history of low-trauma
bone fractures. Scanning electron micrography of the primary teeth with DI of the
patient 2, and the primary teeth with DI of another OI patient with OI showed
newly recognized dental manifestations of teeth with DI. Normal dentin and
cementum might have small areas of ectopic mineralizations. Teeth affected with
DI have well-organized ectopic mineralizations in dentin and cementum. The
"French-fries-appearance" of the crystals at the cemento-dentinal junction and
abnormal cementum have never been reported to be associated with dentinogenesis
imperfecta, either isolated or osteogenesis imperfecta-associated. Our study
shows for the first time that abnormal collagen fibers can lead to ectopic
mineralization in dentin and cementum and abnormal cementum can be a part of
osteogenesis imperfecta.
PMID- 29636547
TI - BRD4 facilitates replication stress-induced DNA damage response.
AB - Previous reports have demonstrated that select cancers depend on BRD4 to regulate
oncogenic gene transcriptional programs. Here we describe a novel role for BRD4
in DNA damage response (DDR). BRD4 associates with and regulates the function of
pre-replication factor CDC6 and plays an indispensable part in DNA replication
checkpoint signaling. Inhibition of BRD4 by JQ1 or AZD5153 resulted in a rapid,
time-dependent reduction in CHK1 phosphorylation and aberrant DNA replication re
initiation. Furthermore, BRD4 inhibition sensitized cancer cells to various
replication stress-inducing agents, and synergized with ATR inhibitor AZD6738 to
induce cell killing across a number of cancer cell lines. The synergistic
interaction between AZD5153 and AZD6738 is translatable to in vivo ovarian cell
line and patient-derived xenograft models. Taken together, our study uncovers a
new biological function of BRD4 and provides mechanistic rationale for combining
BET inhibitors with DDR-targeted agents for cancer therapy.
PMID- 29636549
TI - Deformation behavior of dragonfly-inspired nodus structured wing in gliding
flight through experimental visualization approach.
AB - Dragonfly has excellent flight performance and maneuverability due to the complex
vein structure of wing. In this research, nodus as an important structural
element of the dragonfly wing is investigated through an experimental
visualization approach. Three vein structures were fabricated as, open-nodus
structure, closed-nodus structure (with a flex-limiter) and rigid wing. The
samples were conducted in a wind tunnel with a high speed camera to visualize the
deformation of wing structure in order to study the function of nodus structured
wing in gliding flight. According to the experimental results, nodus has a great
influence on the flexibility of the wing structure. Moreover, the closed-nodus
wing (with a flex-limiter) enables the vein structure to be flexible without
losing the strength and rigidity of the joint. These findings enhance the
knowledge of insect-inspired nodus structured wing and facilitate the application
of Micro Air Vehicle (MAV) in gliding flight.
PMID- 29636548
TI - Hypoxia-induced exosomes contribute to a more aggressive and chemoresistant
ovarian cancer phenotype: a novel mechanism linking STAT3/Rab proteins.
AB - Hypoxia-mediated tumor progression, metastasis, and drug resistance are major
clinical challenges in ovarian cancer. Exosomes released in the hypoxic tumor
microenvironment may contribute to these challenges by transferring signaling
proteins between cancer cells and normal cells. We observed that ovarian cancer
cells exposed to hypoxia significantly increased their exosome release by
upregulating Rab27a, downregulating Rab7, LAMP1/2, NEU-1, and also by promoting a
more secretory lysosomal phenotype. STAT3 knockdown in ovarian cancer cells
reduced exosome release by altering the Rab family proteins Rab7 and Rab27a under
hypoxic conditions. We also found that exosomes from patient-derived ascites
ovarian cancer cell lines cultured under hypoxic conditions carried more potent
oncogenic proteins-STAT3 and FAS that are capable of significantly increasing
cell migration/invasion and chemo-resistance in vitro and tumor
progression/metastasis in vivo. Hypoxic ovarian cancer cells derived exosomes
(HEx) are proficient in re-programming the immortalized fallopian tube secretory
epithelial cells (FT) to become pro-tumorigenic in mouse fallopian tubes. In
addition, cisplatin efflux via exosomes was significantly increased in ovarian
cancer cells under hypoxic conditions. Co-culture of HEx with tumor cells led to
significantly decreased dsDNA damage and increased cell survival in response to
cisplatin treatment. Blocking exosome release by known inhibitor Amiloride or
STAT3 inhibitor and treating with cisplatin resulted in a significant increase in
apoptosis, decreased colony formation, and proliferation. Our results demonstrate
that HEx are more potent in augmenting metastasis/chemotherapy resistance in
ovarian cancer and may serve as a novel mechanism for tumor metastasis, chemo
resistance, and a point of intervention for improving clinical outcomes.
PMID- 29636550
TI - Distinguishing butchery cut marks from crocodile bite marks through machine
learning methods.
AB - All models of evolution of human behaviour depend on the correct identification
and interpretation of bone surface modifications (BSM) on archaeofaunal
assemblages. Crucial evolutionary features, such as the origin of stone tool use,
meat-eating, food-sharing, cooperation and sociality can only be addressed
through confident identification and interpretation of BSM, and more
specifically, cut marks. Recently, it has been argued that linear marks with the
same properties as cut marks can be created by crocodiles, thereby questioning
whether secure cut mark identifications can be made in the Early Pleistocene
fossil record. Powerful classification methods based on multivariate statistics
and machine learning (ML) algorithms have previously successfully discriminated
cut marks from most other potentially confounding BSM. However, crocodile-made
marks were marginal to or played no role in these comparative analyses. Here, for
the first time, we apply state-of-the-art ML methods on crocodile linear BSM and
experimental butchery cut marks, showing that the combination of multivariate
taphonomy and ML methods provides accurate identification of BSM, including cut
and crocodile bite marks. This enables empirically-supported hominin behavioural
modelling, provided that these methods are applied to fossil assemblages.
PMID- 29636551
TI - Invasive Rosa rugosa populations outperform native populations, but some
populations have greater invasive potential than others.
AB - Increased performance of invasive plant species in their introduced range vs.
their native range has been previously documented. However, performance
differences among invasive populations have rarely been explored, despite this
information being central to understanding the evolution of invasiveness as well
as being a useful basis to inform management of invasive species. To examine
variation in performance among populations of Rosa rugosa in its introduced
range, and whether introduced populations perform better than native populations,
we quantified growth and reproductive traits in five invasive populations in
northwest Europe and two native and declining populations in China. Overall, we
found that the introduced R. rugosa populations we sampled performed
significantly better than the sampled native populations for growth and
reproductive traits (2 to 4 fold increase). However, there was significant
variation for most traits among the five invasive populations, demonstrating that
some introduced populations we sampled were more successful invaders than others.
Our findings provide a useful foundation for management of invasive R. rugosa in
Europe, and support the recent call for more intra-species research in invasive
species biology.
PMID- 29636553
TI - The role of the angiotensin II type I receptor blocker telmisartan in the
treatment of non-alcoholic fatty liver disease: a brief review.
AB - Non-alcoholic fatty liver disease (NAFLD) is currently considered an important
component of metabolic syndrome (MetS). The spectrum of NAFLD includes conditions
that range from simple hepatic steatosis to non-alcoholic steatohepatitis. NAFLD
is correlated with liver-related death and is predicted to be the most frequent
indication for liver transplantation by 2030. Insulin resistance is directly
correlated to the central mechanisms of hepatic steatosis in NAFLD patients,
which is strongly correlated to the imbalance of the renin-angiotensin system,
that is involved in lipid and glucose metabolism. Among the emerging treatment
approaches for NAFLD is the anti-hypertensive agent telmisartan, which has
positive effects on liver, lipid, and glucose metabolism, especially through its
action on the renin-angiotensin system, by blocking the ACE/AngII/AT1 axis and
increasing ACE2/Ang(1-7)/Mas axis activation. However, treatment with this drug
is only recommended for patients with an established indication for anti
hypertensive therapy. Thus, there is an increased need for large randomized
controlled trials with the aim of elucidating the effects of telmisartan on liver
disease, especially NAFLD. From this perspective, the present review aims to
provide a brief examination of the pathogenesis of NAFLD/NASH and the role of
telmisartan on preventing liver disorders and thus to improve the discussion on
potential therapies.
PMID- 29636552
TI - Calorie restriction is the most reasonable anti-ageing intervention: a meta
analysis of survival curves.
AB - Despite technological advances, the survival records from longevity experiments
remain the most indispensable tool in ageing-related research. A variety of
interventions, including medications, genetic manipulations and calorie
restriction (CR), have been demonstrated to extend the lifespan of several
species. Surprisingly, few systematic studies have investigated the differences
among these anti-ageing strategies using survival data. Here, we conduct a
comprehensive and comparative meta-analysis of numerous published studies on
Caenorhabditis elegans and Drosophila. We found that CR and genetic manipulations
are generally more effective than medications at extending the total lifespan in
both models, and CR can improve the ageing pattern of C. elegans. We further
analysed the survival variation for different anti-ageing medications and
determined that hypoglycaemic agents and antioxidants are advantageous despite
only moderately increasing the overall lifespan; therefore, these two types of
medications are promising CR mimetics. Analysis of genetic manipulations also
indicated that the genes or pathways that extend lifespan in a healthier pattern
are associated with CR. These results suggest that CR or CR mimetics may be the
most reasonable and potentially beneficial anti-ageing strategy.
PMID- 29636554
TI - An investigation of the mechanical and microstructural evolution of a TiNbZr
alloy with varied ageing time.
AB - Alloys comprised of the highly biocompatible elements titanium, niobium and
zirconium have been a major focus in recent years in the field of metallic
biomaterials. To contribute to the corpus of data in this field, the current
paper presents results from a thorough microstructural and mechanical
investigation of Ti-32Nb-6Zr subjected to a variety of ageing treatments. The
presented alloy was stabilized to the higher temperature, body-centred cubic
phase, showing only minimal precipitation on prolonged ageing, despite the
presence of nanoscaled spinodal segregation arising from the Nb-Zr interaction.
It further showed excellent mechanical properties, with tensile yield stresses as
high as 820 MPa and Young's moduli as low as 53 GPa. This leads to the ratio of
strength to modulus, also known as the admissible strain, reaching a maximum of
1.3% after 6 hours ageing. These results are further supported by similar
measurements from nanoindentation analysis.
PMID- 29636556
TI - North Atlantic circulation slows down.
PMID- 29636557
TI - The certainty of randomness.
PMID- 29636559
TI - A molecule that manufactures asymmetry.
PMID- 29636558
TI - Convert your weaknesses into assets.
PMID- 29636561
TI - Cancer research with a human touch.
PMID- 29636555
TI - Expert consensus document: Advances in the evaluation of anorectal function.
AB - Faecal incontinence and evacuation disorders are common, impair quality of life
and incur substantial economic costs worldwide. As symptoms alone are poor
predictors of underlying pathophysiology and aetiology, diagnostic tests of
anorectal function could facilitate patient management in those cases that are
refractory to conservative therapies. In the past decade, several major
technological advances have improved our understanding of anorectal structure,
coordination and sensorimotor function. This Consensus Statement provides the
reader with an appraisal of the current indications, study performance
characteristics, clinical utility, strengths and limitations of the most widely
available tests of anorectal structure (ultrasonography and MRI) and function
(anorectal manometry, neurophysiological investigations, rectal distension
techniques and tests of evacuation, including defecography). Additionally, this
article provides our consensus on the clinical relevance of these tests.
PMID- 29636563
TI - Mice show motherly habits when a brain circuit fires.
PMID- 29636564
TI - Why fat piles on when the body's daily cycles are in disarray.
PMID- 29636562
TI - Collaboration is the key to cancer research.
PMID- 29636565
TI - A chance to save Arctic sea ice.
PMID- 29636566
TI - Jekyll and Hyde, a story of two galaxies.
PMID- 29636567
TI - An absorbing study on the maths of sponges.
PMID- 29636568
TI - Dexterous sea turtles use flippers as grippers.
PMID- 29636569
TI - A squid-skin-inspired invisibility cloak.
PMID- 29636570
TI - Beijing launches pioneering brain-science centre.
PMID- 29636572
TI - NASA's next exoplanet hunter will seek worlds close to home.
PMID- 29636571
TI - Plan to dismantle Puerto Rico's statistics agency gets green light.
PMID- 29636573
TI - How a chicken makes a good egg.
PMID- 29636574
TI - Cutting-edge cancer drug hobbled by diagnostic test confusion.
PMID- 29636575
TI - The telomerase enzyme and liver renewal.
PMID- 29636576
TI - Science's vast gender pay gap revealed in UK wage data.
PMID- 29636577
TI - Demand cancer drugs that truly help patients.
PMID- 29636579
TI - Planet of the microorganisms.
PMID- 29636578
TI - Mirrors made of a single atomic layer.
PMID- 29636580
TI - A new metallene arrival.
PMID- 29636581
TI - Sensing hot electrons.
PMID- 29636582
TI - A tailored snip.
PMID- 29636583
TI - Scalable thermal insulator.
PMID- 29636584
TI - Science and style.
PMID- 29636585
TI - Denominational interpretations of nanotech.
PMID- 29636586
TI - Quantum dots on your mind.
PMID- 29636587
TI - 2D magnetism gets hot.
PMID- 29636588
TI - Low-energy desalination.
PMID- 29636589
TI - Evaluating the potential of using quantum dots for monitoring electrical signals
in neurons.
AB - Success in the projects aimed at providing an advanced understanding of the brain
is directly predicated on making critical advances in nanotechnology. This
Perspective addresses the unique interface of neuroscience and nanomaterials by
considering the foundational problem of sensing neuron membrane voltage and
offers a potential solution that may be facilitated by a prototypical
nanomaterial. Despite substantial improvements, the visualization of
instantaneous voltage changes within individual neurons, whether in cell culture
or in vivo, at both the single-cell and network level at high speed remains
complex and problematic. The unique properties of semiconductor quantum dots
(QDs) have made them powerful fluorophores for bioimaging. What is not widely
appreciated, however, is that QD photoluminescence is exquisitely sensitive to
proximal electric fields. This property should be suitable for sensing voltage
changes that occur in the active neuronal membrane. Here, we examine the
potential role of QDs in addressing the important challenge of real-time optical
voltage imaging.
PMID- 29636591
TI - Snow Grain Size Retrieval over the Polar Ice Sheets with the Ice, Cloud, and land
Elevation Satellite (ICESat) Observations.
AB - Snow grain size is an important parameter for cryosphere studies. As a proof of
concept, this paper presents an approach to retrieve this parameter over
Greenland, East and West Antarctica ice sheets from surface reflectances observed
with the Geoscience Laser Altimeter System (GLAS) onboard the Ice, Cloud, and
land Elevation Satellite (ICESat) at 1064 nm. Spaceborne lidar observations
overcome many of the disadvantages in passive remote sensing, including
difficulties in cloud screening and low sun angle limitations; hence tend to
provide more accurate and stable retrievals. Results from the GLAS L2A campaign,
which began on 25 September and lasted until 19 November, 2003, show that the
mode of the grain size distribution over Greenland is the largest (~300 MUm)
among the three, West Antarctica is the second (~220 MUm) and East Antarctica is
the smallest (~190 MUm). Snow grain sizes are larger over the coastal regions
compared to inland the ice sheets. These results are consistent with previous
studies. Applying the broadband snow surface albedo parameterization scheme
developed by Garder and Sharp (2010) to the retrieved snow grain size, ice sheet
surface albedo is also derived. In the future, more accurate retrievals can be
achieved with multiple wavelengths lidar observations.
PMID- 29636590
TI - The Convective Transport of Active Species in the Tropics (CONTRAST) Experiment.
AB - The Convective Transport of Active Species in the Tropics (CONTRAST) experiment
was conducted from Guam (13.5 degrees N, 144.8 degrees E) during January
February 2014. Using the NSF/NCAR Gulfstream V research aircraft, the experiment
investigated the photochemical environment over the tropical western Pacific
(TWP) warm pool, a region of massive deep convection and the major pathway for
air to enter the stratosphere during Northern Hemisphere (NH) winter. The new
observations provide a wealth of information for quantifying the influence of
convection on the vertical distributions of active species. The airborne in situ
measurements up to 15 km altitude fill a significant gap by characterizing the
abundance and altitude variation of a wide suite of trace gases. These
measurements, together with observations of dynamical and microphysical
parameters, provide significant new data for constraining and evaluating global
chemistry climate models. Measurements include precursor and product gas species
of reactive halogen compounds that impact ozone in the upper troposphere/lower
stratosphere. High accuracy, in-situ measurements of ozone obtained during
CONTRAST quantify ozone concentration profiles in the UT, where previous
observations from balloon-borne ozonesondes were often near or below the limit of
detection. CONTRAST was one of the three coordinated experiments to observe the
TWP during January-February 2014. Together, CONTRAST, ATTREX and CAST, using
complementary capabilities of the three aircraft platforms as well as ground
based instrumentation, provide a comprehensive quantification of the regional
distribution and vertical structure of natural and pollutant trace gases in the
TWP during NH winter, from the oceanic boundary to the lower stratosphere.
PMID- 29636592
TI - An Idealised Test Case For Assessing The Linearization of Tracer Transport
Schemes in NWP Models.
AB - The linearized version of a Numerical Weather Prediction (NWP) model, which
consists of its tangent linear model (TLM) and adjoint, has a number of important
applications in atmospheric modelling. As such it is important that the
linearized version of the NWP model can provide an accurate representation of the
perturbation growth that occurs in the nonlinear model and does not introduce
spurious instability. A suite of test cases, built upon existing frameworks, are
developed to assess the accuracy of the linearization of the tracer transport
component of the NWP model. Deformation velocities are prescribed that return the
tracer back to the initial conditions, thus providing an analytical solution. A
selection of smooth and discontinuous tracers and tracer perturbations are used.
Example results are shown using second-order and third-order tracer transport
schemes, both with and without nonlinear flux limiters. Metrics are offered for
assessing the skill of the linearization and predicting when problems will occur.
For the example schemes used the results show that linearizations of the
nonlinear flux-limited transport schemes behave poorly due to the presence of
unstable modes. Some linearized model implementation strategies are offered for
situations where the nonlinear scheme should not be linearized.
PMID- 29636593
TI - The MAPK-Signaling Pathway in Colorectal Cancer: Dysregulated Genes and Their
Association With MicroRNAs.
AB - Mitogen-activated protein kinase (MAPK) pathways regulate many cellular functions
including cell proliferation and apoptosis. We examined associations of
differential gene and microRNA (miRNA) expression between carcinoma and paired
normal mucosa for 241 genes in the KEGG-identified MAPK-signaling pathway among
217 colorectal cancer (CRC) cases. Gene expression data (RNA-Seq) and miRNA
expression data (Agilent Human miRNA Microarray V19.0; Agilent Technologies Inc.,
Santa Clara, CA, USA) were analyzed. We first identified genes most strongly
associated with CRC using a fold change (FC) of >1.50 or <0.67) that were
statistically significant after adjustment for multiple comparisons. We then
determined miRNAs associated with dysregulated genes and through miRNA:mRNA
(messenger RNA) seed region matches discerned genes with a greater likelihood of
having a direct biological association. Ninety-nine genes had a meaningful FC for
all CRC, microsatellite unstable-specific tumors, or microsatellite stable
specific tumors. Thirteen dysregulated genes were associated with miRNAs,
totaling 68 miRNA:mRNA associations. Thirteen of the miRNA:mRNA associations had
seed region matches where the differential expression between the miRNA and mRNA
was inversely related suggesting a direct association as a result of their
binding. Several direct associations, upstream of ERK1/ERK2, JNK, and p38, were
found for PDGFRA with 7 miRNAs; RASGRP3 and PRKCB with miR-203a; and TGFBR1 with
miR-6071 and miR-2117. Other associations between miRNAs and mRNAs are most
likely indirect, resulting from feedback and feed forward loops. Our results
suggest that miRNAs may alter MAPK signaling through direct binding with key
genes in this pathway. We encourage others to validate results in targeted CRC
experiments that can help solidify important therapeutic targets.
PMID- 29636594
TI - Ranibizumab treatment history as predictor of the switch-response to aflibercept:
evidence for drug tolerance.
AB - Purpose: To investigate whether tolerance to the anti-VEGF drug, ranibizumab,
develops after drug exposure and to determine whether the history of treatment
with ranibizumab prior to refractoriness can predict the post-switching responses
to aflibercept. Methods: We retrospectively investigated neovascular age-related
macular degeneration patients refractory to ranibizumab (intra- or subretinal
fluid despite monthly injections for >=6 months) who were switched to aflibercept
and were followed up for at least 12 months on each of ranibizumab and
aflibercept. Baseline characteristics and ranibizumab and aflibercept treatment
history (number of injections during the first year and central retinal thickness
[CRT]) were analyzed by univariate and multivariate correlation analyses.
Results: Ninety-eight eyes (88 patients, 70% females, mean age 77.5 years),
including a high proportion of eyes with pigment epithelium detachment (63%),
were treated with a mean of 26.2 injections during 36.8 months before switching
to aflibercept. The number of ranibizumab injections required in the first year
(p=0.0002) and the presence of pigment epithelium detachment (p=0.025) predicted
the number of post-switching aflibercept injections required. The post-switching
CRT change was predicted by the CRT increase from Month 3 to the switch time
point (p<0.0001). Moreover, the CRT change correlated with the visual acuity
benefit post-switching (p=0.038 and p=0.004, at 3 and 12 months post-switching,
respectively). Conclusion: Ranibizumab treatment history before switching to
aflibercept correlates with the post-switching response in terms of the number of
drug injections needed and CRT. Thus, drug tolerance does indeed exist and this
might help to identify switching candidates.
PMID- 29636595
TI - Visual acuity, endothelial cell density and polymegathism after iris-fixated lens
implantation.
AB - Purpose: The purpose of this study was to evaluate the visual acuity as well as
endothelial cell density (ECD) and polymegathism after iris-fixated lens
(Artiflex(r) AC 401) implantation for correction of moderate to high myopia.
Patients and methods: In this retrospective cross-sectional study, 55 eyes from
29 patients undergoing iris-fixated lens implantation for correction of myopia (
5.00 to -15.00 D) from 2007 to 2014 were evaluated. Uncorrected visual acuity,
best spectacle-corrected visual acuity, refraction, ECD and polymegathism
(coefficient of variation [CV] in the sizes of endothelial cells) were measured
preoperatively and 6 months postoperatively. Results: In the sixth month of
follow-up, the uncorrected vision acuity was 20/25 or better in 81.5% of the
eyes. The best-corrected visual acuity was 20/30 or better in 96.3% of the eyes,
and more than 92% of the eyes had a refraction score of +/-1 D from the target
refraction. The mean corneal ECD of patients before surgery was 2,803+/-339
cells/mm2, which changed to 2,744+/-369 cells/mm2 six months after surgery
(p=0.142). CV in the sizes of endothelial cells before the surgery was 25.7%+/
7.1% and six months after surgery it was 25.9%+/-5.4% (p=0.857). Conclusion:
Artiflex iris-fixated lens implantation is a suitable and predictable method for
correction of moderate to high myopia. There was no statistically significant
change in ECD and polymegathism (CV in the sizes of endothelial cells) after 6
months of follow-up.
PMID- 29636596
TI - Comparison of macular thickness by optical coherence tomography measurements
after uneventful phacoemulsification using ketorolac tromethamine, nepafenac, vs
a control group, preoperatively and postoperatively.
AB - Purpose: To analyze, using optical coherence tomography, the macular thickness
values of patient groups using nonsteroidal anti-inflammatory drug (NSAID) eye
drops or artificial tears during uncomplicated cataract surgery. Methods: A total
of 77 eyes from 42 patients were analyzed. The patients were divided into three
groups, each using one of the following ophthalmic sterile suspensions: nepafenac
(21 eyes), propylene glycol (24 eyes), or ketorolac tromethamine (32 eyes).
Results: The mean macular thicknesses of the nepafenac group, preoperatively as
well as at 1, 7, and 45 days postoperatively, were 216.42, 216.61, 222.47, and
218.28, respectively; those of the propylene glycol control group were 218.29,
214.50, 219.37, and 228.45, respectively; and those of the ketorolac tromethamine
group were 217.46, 220.71, 225.25, and 228.46, respectively. There were no
significant differences between groups at any time, with p-values of 0.971,
0.6742, 0.6711, and 0.327, respectively. Conclusion: During the study period, no
significant differences in macular thickness were observed between the patient
groups using two types of NSAIDs or between those groups and the control group
that used propylene glycol, indicating that neither drug was superior to the
other or the placebo. However, a slight macular thickening, without reduction of
visual acuity, was observed in all groups.
PMID- 29636597
TI - Comparison of simulated keratometric changes following wavefront-guided and
wavefront-optimized myopic laser-assisted in situ keratomileusis.
AB - Purpose: The aim of the study was to determine and compare the relationship
between change in simulated keratometry (K) and degree of refractive correction
in wavefront-guided (WFG) and wavefront-optimized (WFO) myopic laser-assisted in
situ keratomileusis (LASIK). Methods: A total of 51 patients were prospectively
randomized to WFG LASIK in one eye and WFO LASIK in the contralateral eye at the
Byers Eye Institute, Stanford University. Changes in simulated K and refractive
error were determined at 1 year post-operatively. Linear regression was employed
to calculate the slope of change in simulated K (DeltaK) for change in refractive
error (DeltaSE). The mean ratio (DeltaK/DeltaSE) was also calculated. Results:
The ratio of DeltaK to DeltaSE was larger for WFG LASIK compared to WFO LASIK
when comparing the slope (DeltaK/DeltaSE) as determined by linear regression
(0.85 vs 0.83, p = 0.04). Upon comparing the mean ratio (DeltaK/DeltaSE),
subgroup analysis revealed that DeltaK/DeltaSE was larger for WFG LASIK for
refractive corrections of >3.00 D and >4.00 D (0.89 vs 0.83; p = 0.0323 and 0.88
vs 0.83; p = 0.0466, respectively). Both linear regression and direct comparison
of the mean ratio (DeltaK/DeltaSE) for refractive corrections <4.00 D and >4.00 D
revealed no difference in DeltaK/DeltaSE between smaller and larger refractive
corrections. Conclusion: WFO LASIK requires a smaller amount of corneal
flattening compared to WFG LASIK for a given degree of refractive correction. For
both, there was no significant difference in change in corneal curvature for a
given degree of refractive error between smaller and larger corrections.
PMID- 29636598
TI - The role of propranolol as a radiosensitizer in gastric cancer treatment.
AB - Purpose: The National Comprehensive Cancer Network guidelines indicate that
radiotherapy in gastric cancer shows limited effectiveness at reducing the growth
of gastric cancer. Therefore, enhancing the sensitivity and effect of
radiotherapy with propranolol, a beta-adrenoceptor antagonist, could reduce tumor
growth. The role of propranolol as a radiosensitizer has not been adequately
studied; therefore, the purpose of the present study is to evaluate the effect of
propranolol as a radiosensitizer against gastric cancer in vivo. Methods: Sixty
four male nude mice bearing tumor xenografts were randomly divided into four
groups. Cell culture was performed using the human gastric adenocarcinoma cell
line SGC-7901. Mice with tumor xenografts were treated with propranolol,
isoproterenol, and radiation. The data for tumor weight and volume were obtained
for statistical analyses. Furthermore, the expression levels of COX-2, NF-kappaB,
VEGF, and EGFR were examined using immunohistochemical techniques and Western
blotting. Results: The growth in the volume and weight of the tumor was lower in
mouse models treated with propranolol and radiation therapy compared to the other
groups. Decreased expression of NF-kappaB was also observed in treatment groups
where both propranolol and radiation were used, leading to the reduction of COX
2, EGFR, and VEGF expression compared to that in the other groups. Conclusion:
The present study indicated that propranolol potentiates the antitumor effects of
radiotherapy in gastric cancer by inhibiting NF-kappaB expression and its
downstream genes: VEGF, EGFR, and COX-2.
PMID- 29636599
TI - Comparison of oral dexmedetomidine and midazolam for premedication and emergence
delirium in children after dental procedures under general anesthesia: a
retrospective study.
AB - Background: Premedication is the most common way to minimize distress in children
entering the operating room and to facilitate the smooth induction of anesthesia
and is accomplished using various sedative drugs before the children are being
transferred to the operating room. The aim of this study was to compare the
effect of oral dexmedetomidine (DEX) and oral midazolam (MID) on preoperative
cooperation and emergence delirium (ED) among children who underwent dental
procedures at our hospital between 2016 and 2017. Patients and methods: The
medical records of 52 children, who were American Society of Anesthesiologists I,
aged between 3 and 7 years, and who underwent full-mouth dental rehabilitation
under general anesthesia (GA), were evaluated. Twenty-six patients were given 2
ug/kg of DEX, while another 26 patients were given 0.5 mg/kg of MID in apple
juice as premedication agents. The patients' scores on the Ramsay Sedation Scale
(RSS), Parental Separation Anxiety Scale (PSAS), Mask Acceptance Scale, Pediatric
Anesthesia Emergence Delirium Scale (PAEDS), and hemodynamic parameters were
recorded from patients' files. The level of sedation of children had been
observed just before premedication and at 15, 30, and 45 min after premedication.
The data were analyzed using a chi-square test, Fisher's exact test, Student's t
test, and analysis of variance in SPSS. Results: The Mask Acceptance Scale and
PSAS scores and RSS scores at 15, 30, and 45 min after premedication were not
statistically different (p>0.05) in both groups, whereas the PAEDS scores were
significantly lower in the DEX group (p<0.05). Conclusion: Oral DEX provided
satisfactory sedation levels, ease of parental separation, and mask acceptance in
children in a manner similar to MID. Moreover, children premedicated with DEX
experienced lesser ED than those premedicated with MID.
PMID- 29636600
TI - The apoptotic effects of Brucea javanica fruit extract against HT29 cells
associated with p53 upregulation and inhibition of NF-kappaB translocation.
AB - Background: Brucea javanica (L.) Merr. is a plant from the genus Brucea, which is
used in local traditional medicine to treat various diseases. Recent studies
revealed an impressive anticancer efficiency of B. javanica extract in different
types of cancer cells. Purpose: In this study, we have investigated the cytotoxic
effects of the B. javanica hexane, ethanolic extracts against colon cancer cells.
HT29 colon cells were selected as an in vitro cancer model to evaluate the
anticancer activity of B. javanica ethanolic extract (BJEE) and the possible
mechanisms of action that induced apoptosis. Methods: 3-(4,5-dimethylthiazol-2
yl)-2, 5,-diphenyltetrazolium bromide (MTT), lactate dehydrogenase, acridine
orange/propidium iodide, and annexin-V-fluorescein isothiocyanate assays were
performed to determine the antiproliferative and apoptosis validation of BJEE on
cancer cells. Measurement of reactive oxygen species (ROS) production, caspase
activities, nucleus factor-kappaB activity, and gene expression experiments was
done to investigate the potential mechanisms of action in the apoptotic process.
Results: The results obtained from this study illustrated the significant
antiproliferative effect of BJEE on colorectal cancer cells, with a concentration
value that inhibits 50% of the cell growth of 25+/-3.1 ug/mL after 72 h of
treatment. MTT assay demonstrated that the BJEE is selectively toxic to cancer
cells, and BJEE induced cell apoptosis via activation of caspase-8 along with
modulation of apoptosis-related proteins such as Fas, CD40, tumor necrosis factor
related apoptosis-inducing ligands, and tumor necrosis factor receptors, which
confirmed the contribution of extrinsic pathway. Meanwhile, increased ROS
production in treated cells subsequently activated caspase-9 production, which
triggered the intrinsic pathways. In addition, overexpression of cytochrome-c,
Bax, and Bad proteins along with suppression of Bcl-2 illustrated that
mitochondrial-dependent pathway also contributed to BJEE-induced cell death.
Consistent with the findings from this study, BJEE-induced cancer cell death
proceeds via extrinsic and intrinsic mitochondrial-dependent and -independent
events. Conclusion: From the evidence obtained from this study, it is concluded
that the BJEE is a promising natural extract to combat colorectal cancer cells
(HT29 cells) via induction of apoptosis through activation of extrinsic and
intrinsic pathways.
PMID- 29636601
TI - Anticancer activity of the intraperitoneal-delivered DFP-10825, the cationic
liposome-conjugated RNAi molecule targeting thymidylate synthase, on peritoneal
disseminated ovarian cancer xenograft model.
AB - Introduction: Peritoneal disseminated ovarian cancer is one of the most difficult
cancers to treat with conventional anti-cancer drugs and the treatment options
are very limited, although an intraperitoneal (ip) paclitaxel has shown some
clinical benefit. Therefore, treatment of peritoneal disseminated ovarian cancer
is a highly unmet medical need and it is urgent to develop a new ip delivered
drug regulating the fast DNA synthesis. Methods: We developed a unique RNAi
molecule consisting of shRNA against the thymidylate synthase (TS) and a cationic
liposome (DFP-10825) and tested its antitumor activity and PK profile in
peritoneally disseminated human ovarian cancer ascites models by the luciferase
gene-transfected SCID mice. DFP-10825 alone, paclitaxel alone or combination with
DFP-10825 and paclitaxel were administered in an ip route to the tumor-bearing
mice. The TS expression level was measured by conventional RT-PCR. The anti-tumor
activity and host survival benefit by DFP-10825 treatment on tumor-bearing mice
were observed as resulting from the specific TS mRNA knock-down in tumors.
Results: DFP-10825 alone significantly suppressed the growth of SKOV3-luc tumore
ascites cells and further extended the survival time of these tumor-bearing mice.
Combination with the ip paclitaxel augmented the antitumor efficacy of DFP-10825
and significantly prolonged the survival time in the tumor-bearing mice. Short
hairpin RNA for TS (TS shRNA) levels derived from DFP-10825 in the ascetic fluid
were maintained at a nM range across 24 hours but not detected in the plasma,
suggesting that TS shRNA is relatively stable in the peritoneal cavity, to be
able to exert its anti-tumor activity, but not in blood stream, indicating little
or no systemic effect. Conclusion: Collectively, the ip delivery of DFP-10825, TS
shRNA conjugated with cationic liposome, shows a favorable antitumor activity
without systemic adverse events via the stable localization of TS shRNA for a
sufficient time and concentration in the peritoneal cavity of the peritoneally
disseminated human ovarian cancer-bearing mice.
PMID- 29636603
TI - Total knee arthroplasty status and patient-reported, knee-related quality of life
over a 4-year follow-up period: data from the osteoarthritis initiative.
AB - Purpose: To examine the relationship between baseline total knee arthroplasty
(TKA) status and patient-reported, knee-related quality of life (QoL) over a 4
year follow-up relative to no knee osteoarthritis (OA). Patients and methods:
Data from the Osteoarthritis Initiative were included, comprising 4,674 adults
aged 45-79 years at baseline. Patients were categorized into four groups: no knee
OA (n=3,711), non-TKA (n=902), pre-TKA (n=36), and post-TKA (n=25). QoL was
measured using the Knee Injury Osteoarthritis Outcome Score-QoL subscale. General
linear mixed models were used for the relationship between TKA and QoL. Results:
After adjusting for covariates, a reduced QoL was noted for patients in the non
TKA (mean [standard error], -13.97 [0.73]; P<0.0001), pre-TKA (-21.34 [3.57];
P<0.0001), and post-TKA (-9.68 [3.94]; P=0.0143) groups compared with the no knee
OA group. QoL in the non-TKA group also decreased over time (-0.16 [0.07];
P=0.226). Conclusion: Non-, pre-, and post-TKA status is associated with a lower
QoL.
PMID- 29636602
TI - Organic mononitrites of 1,2-propanediol act as an effective NO-releasing
vasodilator in pulmonary hypertension and exhibit no cross-tolerance with
nitroglycerin in anesthetized pigs.
AB - Purpose: Clinically available intravenous (IV) nitric oxide (NO) donor drugs such
as nitroglycerin (GTN) cause systemic hypotension and/or tolerance development.
In a porcine model, novel NO donor compounds - the organic mononitrites of 1,2
propanediol (PDNO) - were compared to GTN with regard to pulmonary selectivity
and tolerance development. The vasodilatory effects of inorganic nitrite were
investigated. Materials and methods: In anesthetized piglets, central
hemodynamics were monitored. At normal pulmonary vascular resistance (PVR), IV
infusions of PDNO (15-60 nmol kg-1 min-1), GTN (13-132 nmol kg-1 min-1), and
inorganic nitrite (dosed as PDNO) were administered. At increased PVR (by U46619
IV), IV infusions of PDNO (60-240 nmol kg-1 min-1) and GTN (75-300 nmol kg-1 min
1) before and after a 5 h infusion of GTN (45 nmol kg-1 min-1) were given.
Results: At normal PVR, PDNO (n=12) and GTN (n=7) caused significant dose
dependent decreases in mean systemic and pulmonary arterial pressures, whereas
inorganic nitrite (n=13) had no significant effect. At increased PVR, PDNO (n=6)
and GTN (n=6) significantly decreased mean systemic and pulmonary pressures and
resistances, but only PDNO reduced the ratio between pulmonary and systemic
vascular resistances significantly. After the 5 h GTN infusion, the hemodynamic
response to GTN infusions (n=6) was significantly suppressed, whereas PDNO (n=6)
produced similar hemodynamic effects to those observed before the GTN infusion.
Conclusion: PDNO is a vasodilator with selectivity for pulmonary circulation
exhibiting no cross-tolerance to GTN, but GTN causes non selective vasodilatation
with substantial tolerance development in the pulmonary and systemic
circulations. Inorganic nitrite has no vasodilatory properties at relevant doses.
PMID- 29636604
TI - CHA2DS2-VASc score as a predictor of long-term cardiac outcomes in elderly
patients with or without atrial fibrillation.
AB - Background: The CHA2DS2-VASc score is often used for stroke risk stratification
in atrial fibrillation (AF) patients. However, its usefulness in patients >=75
years of age with or without AF is unclear. Objective: We aimed to investigate
whether the CHA2DS2-VASc score can predict ischemic stroke (IS), transient
ischemic attack, thromboembolism (TE), and mortality in elderly patients with and
without AF. Materials and methods: During 2013-2014, 1,071 patients (36.3% with
concomitant AF) at least 75 years old were enrolled, and the follow-up ended on
July 15, 2017. Variables included sociodemographic characteristics,
complications, drugs taken, laboratory results, and echocardiographic parameters.
The primary end points were IS, transient ischemic attack, and TE, expressed as
IS/TE. All-cause mortality was a secondary end point. Survival curves and
mortality risks were assessed via Kaplan-Meier survival analysis and compared by
log-rank tests. Results: The average follow-up duration was 2.57+/-1.37 years.
Overall, 167 patients (5.6%) died and 77 (7.2%) developed IS/TE. The CHA2DS2-VASc
score was associated with IS/TE in patients 75 years or older with and without
AF, and patients with a CHA2DS2-VASc score >=5 had a higher risk of stroke.
However, the CHA2DS2-VASc score was not related to all-cause mortality.
Conclusion: The CHA2DS2-VASc score can predict IS/TE, but not mortality, in
elderly patients (>=75 years) with or without AF.
PMID- 29636605
TI - Is grand multiparity a risk factor for the development of postmenopausal
osteoporosis?
AB - Objective: In this study, we investigated the relationship between the
development of postmenopausal osteoporosis and parity. Materials and methods: The
retrospective study included 129 postmenopausal women who were divided into three
groups depending on the number of parity: Group I, <5; Group II, 5-9; and Group
III, >=10. The mean age of the subjects was 57.71+/-5.02 years. Results: No
significant difference was found among the three groups regarding body mass index
values, duration of menopause, mean thyroid stimulating hormone values and
frequency of diabetes. Among the three groups, no significant difference was
found in terms of the frequency of lumbar osteoporosis (p>0.05), whereas a
significant difference was found regarding the frequency of femoral osteoporosis
(p=0.012; p<0.05). Conclusion: It was revealed that femoral bone mineral density
significantly decreased as the number of parity increased.
PMID- 29636606
TI - Enhanced permeability of blood-brain barrier and targeting function of brain via
borneol-modified chemically solid lipid nanoparticle.
AB - Introduction: The incidence of central nervous system disease has increased in
recent years. However, the transportation of drug is restricted by the blood
brain barrier, contributing to the poor therapeutic effect in the brain.
Therefore, the development of a new brain-targeting drug delivery system has
become the hotspot of pharmacy. Materials and methods: Borneol, a simple bicyclic
monoterpene extracted from Dryobalanops aromatica, can direct drugs to the upper
body parts according to the theory of traditional Chinese medicine. Dioleoyl
phosphoethanolamine (DOPE) was chemically modified by borneol as one of the lipid
materials of solid lipid nanoparticle (SLN) in the present study. Results: The
borneol-modified chemically solid lipid nanoparticle (BO-SLN/CM), borneol
modified physically solid lipid nanoparticle (BO-SLN/PM), and SLN have similar
diameter (of about 87 nm) and morphological characteristics. However, BO-SLN/CM
has a lower cytotoxicity, higher cell uptake, and better blood-brain barrier
permeability compared with BO-SLN/PM and SLN. BO-SLN/CM has a remarkable
targeting function to the brain, while BO-SLN/ PM and SLNs are concentrated at
the lung. Conclusion: The present study provides an excellent drug delivery
carrier, BO-SLN/CM, having the application potential of targeting to the brain
and permeating to the blood-brain barrier.
PMID- 29636607
TI - Microstructures, mechanical, and biological properties of a novel Ti-6V-4V/zinc
surface nanocomposite prepared by friction stir processing.
AB - Background: The interaction between the material and the organism affects the
survival rate of the orthopedic or dental implant in vivo. Friction stir
processing (FSP) is considered a new solid-state processing technology for
surface modification. Purpose: This study aims to strengthen the surface
mechanical properties and promote the osteogenic capacity of the biomaterial by
constructing a Ti-6Al-4V (TC4)/zinc (Zn) surface nanocomposites through FSP.
Methods: FSP was used to modify the surface of TC4. The microstructures and
mechanical properties were analyzed by scanning electron microscopy, transmission
electron microscopy, nanoindentation and Vickers hardness. The biological
properties of the modified surface were evaluated by the in vitro and in vivo
study. Results: The results showed that nanocrystalline and numerous beta
regions, grain boundary alpha phase, coarser acicular alpha phase and finer
acicular martensite alpha' appeared because of the severe plastic deformation
caused by FSP, resulting in a decreased elastic modulus and an increased surface
hardness. With the addition of Zn particles and the enhancement of
hydrophilicity, the biocompatibility was greatly improved in terms of cell
adhesion and proliferation. The in vitro osteogenic differentiation of rat bone
marrow stromal cells and rapid in vivo osseointegration were enhanced on the
novel TC4/Zn metal matrix nanocomposite surface. Conclusion: These findings
suggest that this novel TC4/Zn surface nanocomposite achieved by FSP has
significantly improved mechanical properties and biocompatibility, in addition to
promoting osseointegration and thus has potential for dental and orthopedic
applications.
PMID- 29636608
TI - Dextran-coated superparamagnetic iron oxide nanoparticles for magnetic resonance
imaging: evaluation of size-dependent imaging properties, storage stability and
safety.
AB - Background: Rising criticism of currently available contrast agents for magnetic
resonance imaging, either due to their side effects or limited possibilities in
terms of functional imaging, evoked the need for safer and more versatile agents.
We previously demonstrated the suitability of novel dextran-coated
superparamagnetic iron oxide nanoparticles (SPIONDex) for biomedical applications
in terms of safety and biocompatibility. Methods: In the present study, we
investigated the size-dependent cross-linking process of these particles as well
as the size dependency of their imaging properties. For the latter purpose, we
adopted a simple and easy-to-perform experiment to estimate the relaxivity of the
particles. Furthermore, we performed an extensive analysis of the particles'
storage stability under different temperature conditions, showing their superb
stability and the lack of any signs of agglomeration or sedimentation during a 12
week period. Results: Independent of their size, SPIONDex displayed no irritation
potential in a chick chorioallantoic membrane assay. Cell uptake studies of ultra
small (30 nm) SPIONDex confirmed their internalization by macrophages, but not by
non-phagocytic cells. Additionally, complement activation-related pseudoallergy
(CARPA) experiments in pigs treated with ultra-small SPIONDex indicated the
absence of hypersensitivity reactions. Conclusion: These results emphasize the
exceptional safety of SPIONDex, setting them apart from the existing SPION-based
contrast agents and making them a very promising candidate for further clinical
development.
PMID- 29636609
TI - Development of dihydrochalcone-functionalized gold nanoparticles for augmented
antineoplastic activity.
AB - Background: Phloridzin, an antidiabetic and antineoplastic agent usually found in
fruit trees, is a dihydrochalcone constituent that has a clinical/pharmaceutical
significance as a sodium-glucose linked transport 2 (SGLT2) inhibitor. While the
aglycone metabolite of phloridzin, phloretin, displays a reduced capacity of
SGLT2 inhibition, this nutraceutical displays enhanced antineoplastic activity in
comparison to phloridzin. Purpose: The objective of this study was to develop
gold nanoparticle (AuNP) mediated delivery of phloridzin and phloretin and
explore their anticancer mechanism through conjugation of the dihydrochalcones
and the AuNP cores. Methods: Phloridzin and phloretin conjugated AuNPs (Phl-AuNP
and Pht-AuNP) were synthesized in single-step, rapid, biofriendly processes. The
synthesized AuNPs morphology was characterized via transmission electron
microscopy and ultraviolet-visible spectroscopy. The presence of phloridzin or
phloretin was confirmed using scanning electron microscopy-energy dispersive x
ray spectroscopy. The percentage of organic component (phloridzin/phloretin) onto
AuNPs surface was characterized using thermogravimetric analysis. Assessment of
the antineoplastic potency of the dihydrochalcones conjugated AuNPs against
cancerous cell lines (HeLa) was accomplished through monitoring via flow
cytometry. Results: The functionalized AuNPs were synthesized via a single-step
method that relied only upon the redox potential of the conjugate itself and
required no toxic chemicals. The synthesized Phl-AuNPs were found to be in the
size range of 15+/-5 nm, whereas the Pht-AuNP were found to be 8+/-3 nm, placing
both conjugated AuNPs well within the size range necessary for successful
pharmaceutical applications. These assays demonstrate a significant increase in
the cancerous cell toxicities as a result of the conjugation of the drugs to
AuNPs, as indicated by the 17.45-fold increase in the efficacy of Pht-AuNPs over
pure phloretin, and the 4.49-fold increase in efficacy of Phl-AuNP over pure
phloridzin. Conclusion: We report a simple, biofriendly process using the
reducing and capping potential of the dihydrochalcones, phloridzin and phloretin,
to synthesize stable AuNPs that have promising futures as potential
antineoplastic agents.
PMID- 29636610
TI - Antibody-modified liposomes for tumor-targeting delivery of timosaponin AIII.
AB - Introduction: Timosaponin AIII (TAIII), as a steroid saponin in Anemarrhena
asphodeloides, has favorable potential as an antitumor candidate. However, its
hydrophobicity and low bioavailability severely limit its in vivo antitumor
efficacy. Methods: To overcome this drawback, TAIII-loaded liposomes (LP) were
prepared to improve TAIII solubility and extend its circulation time.
Furthermore, anti-CD44 antibody-modified LP (CD44-LP) was prepared to enhance the
therapeutic index of TAIII. The LP and CD44-LP were also characterized through
their biological activity, target selective binding and uptake, and in vivo
pharmacokinetics. Results: Compared with free TAIII, both LP and CD44-LP
possessed a desirable sustained-release profile in vitro, with ~14.2- and 10.7
fold longer TAIII half-life, respectively, and 1.7- and 1.9-fold larger area
under the curve, respectively. LP and CD44-LP enhanced TAIII antitumor activity
against HepG2 cells and in a xenograft mouse model without detectable toxicity.
In particular, CD44-LP exhibited notably higher cytotoxicity than did LP, with a
lower half-maximal inhibitory concentration (48 h). CD44-LP exhibited stronger
tumor inhibition, and the tumor inhibitory effect was 1.3-fold that of LP.
Furthermore, confocal laser scanning microscopy and in vivo near-infrared imaging
of a xenograft mouse model revealed that compared with LP, CD44-LP could
effectively enhance tumor accumulation. Conclusion: Taken together, the results
indicate that both CD44-LP and LP can considerably extend TAIII circulation time,
increase tumor-targeted accumulation, and enhance antitumor activity. Thus, the
anti-CD44 antibody-modified liposome is a promising candidate for treating CD44
positive cancer with considerable antitumor effects.
PMID- 29636612
TI - Correlation between cognitive impairment during the acute phase of first cerebral
infarction and development of long-term pseudobulbar affect.
AB - Purpose: The relationship between cognitive impairment during the acute phase of
first cerebral infarction and the development of long-term pseudobulbar affect
(PBA) has not been elucidated. Therefore, in this study, we aimed to determine if
cognitive impairment during the acute phase of cerebral infarction will increase
the risk of long-term post-infarction PBA. Patients and methods: This was a
nested case-control study using a prospective approach. A consecutive multicenter
matched 1:1 case-control study of cognitive impairment cases following acute
cerebral infarction (N=26) with 26 sex-, education years-, and age-matched
controls. Univariate and multivariate conditional logistic regression analyses
were performed to study the clinical features and changes in cognitive domain as
well as the risk factors for PBA. Results: Long-term PBA was independently
predicted by low Montreal cognitive assessment (MoCA) scores at baseline.
Multivariable regression models showed that post-infarction low MoCA scores
remained independent predictors of long-term PBA (odds ratio [OR]=0.72; 95%
confidence interval [CI]=0.54-0.95; P=0.018). Among all cognitive disorders,
digit span test (DST) scores (OR=0.39; 95% CI=0.16-0.91, P=0.030), StroopC time
(OR=1.15; 95% CI=1.01-1.31; P=0.037), and clock-drawing task (CDT) scores
(OR=0.62; 95% CI=0.42-0.90; P=0.013) were found to be the independent risk
factors for PBA. Conclusion: Cognitive impairment during the acute phase of
cerebral infarction increased the risk of cerebral infarction-induced long-term
PBA. Development of PBA was closely associated with executive function,
attention, and visuospatial disorder.
PMID- 29636613
TI - The acceptability of an Internet-based exposure treatment for flying phobia with
and without therapist guidance: patients' expectations, satisfaction, treatment
preferences, and usability.
AB - Purpose: Internet-based treatments have been tested for several psychological
disorders. However, few studies have directly assessed the acceptability of these
self-applied interventions in terms of expectations, satisfaction, treatment
preferences, and usability. Moreover, no studies provide this type of data on
Internet-based treatment for flying phobia (FP), with or without therapist
guidance. The aim of this study was to analyze the acceptability of an Internet
based treatment for FP (NO-FEAR Airlines) that includes exposure scenarios
composed of images and real sounds. A secondary aim was to compare patients'
acceptance of two ways of delivering this treatment (with or without therapist
guidance). Patients and methods: The sample included 46 participants from a
randomized controlled trial who had received the self-applied intervention with
(n = 23) or without (n = 23) therapist guidance. All participants completed an
assessment protocol conducted online and by telephone at both pre- and
posttreatment. Results: Results showed good expectations, satisfaction, opinion,
and usability, regardless of the presence of therapist guidance, including low
aversiveness levels from before to after the intervention. However, participants
generally preferred the therapist-supported condition. Conclusion: NO-FEAR
Airlines is a well-accepted Internet-based treatment that can help enhance the
application of the exposure technique, improving patient acceptance and access to
FP treatment.
PMID- 29636614
TI - Association of high psychiatrist staffing with prolonged hospitalization, follow
up visits, and readmission in acute psychiatric units: a retrospective cohort
study using a nationwide claims database.
AB - Background: The effects of psychiatrist staffing are unclear. The aim of this
study was to assess the association of high psychiatrist staffing with prolonged
hospitalization, follow-up visits, and readmission in acute psychiatric units.
Methods: A retrospective cohort study was conducted using the National Database
of Health Insurance Claim Information and Specified Medical Checkups. Patients
newly admitted to acute psychiatric units between October 2014 and September 2015
were followed up until September 2016. The primary exposure was a patient-to
psychiatrist ratio of 16:1 (high-staffing units) vs 48:1 (low-staffing units).
Outcomes were prolonged hospitalization of >90 days, number of follow-up
psychiatric visits within 90 days after discharge, and psychiatric readmission
within 90 days after discharge. Incidence rate ratios (IRRs) and their 95%
confidence intervals (CIs) were estimated by using generalized estimating
equations, adjusting for potential covariates. Results: Among the 24,678 newly
admitted patients at 190 hospitals, 13,138 patients (53.2%) were admitted to high
staffing units in 92 hospitals. After adjustment, high-staffing units were
associated with a lower risk of prolonged hospitalization (incidence rate, 16.9
vs 21.3%; IRR, 0.79 [95% CI, 0.70, 0.89]), higher number of follow-up visits
(incidence rate of >=7 visits, 16.9 vs 13.4%; IRR, 1.06 [95% CI, 1.01, 1.12]),
and lower risk of readmission (incidence rate, 13.0 vs 14.4%; IRR, 0.90 [95% CI,
0.82, 0.99]). Conclusion: High-staffing units are associated with a reduced risk
of prolonged hospitalization and readmission and an increased number of follow-up
visits. Further research is needed to improve the generalizability of these
findings and establish the optimal level of staffing.
PMID- 29636611
TI - Nanomedicine applications in women's health: state of the art.
AB - State-of-the-art applications of nanomedicine have the potential to revolutionize
the diagnosis, prevention, and treatment of a range of conditions and diseases
affecting women's health. In this review, we provide a synopsis of potential
applications of nanomedicine in some of the most dominant fields of women's
health: mental health, sexual health, reproductive medicine, oncology, menopause
related conditions and dementia. We explore published studies arising from in
vitro and in vivo experiments, and clinical trials where available, to reveal
novel and highly promising therapeutic applications of nanomedicine in these
fields. For the first time, we summarize the growing body of evidence relating to
the use of nanomaterials as experimental tools for the detection, prevention, and
treatment of significant diseases and conditions across the life course of a
cisgender woman, from puberty to menopause; revealing the far-reaching and
desirable theoretical impact of nanomedicine across different medical
disciplines. We also present an overview of potential concerns regarding the
therapeutic applications of nanomedicine and the factors currently restricting
the growth of applied nanomedicine.
PMID- 29636615
TI - Psychometric properties of the Patient Dignity Inventory in an acute psychiatric
ward: an extension study of the preliminary validation.
AB - Background: During the last decades, dignity has been an emerging issue in mental
health since its ethical and therapeutic implications became known. This study is
an extension of the preliminary validation of the Patient Dignity Inventory (PDI)
in a psychiatric setting, originally designed for assessing perceived dignity in
terminal cancer patients. Methods: From October 21, 2015 to December 31, 2016, we
administered the Italian PDI to all patients hospitalized in an acute psychiatric
ward, who provided their consent and completed it at discharge (n=165). We
performed Cronbach's alpha coefficient and principal factor analysis. We
administered other scales concomitantly to analyze the concurrent validity of
PDI. We applied stepwise multiple linear regression to identify the patients'
demographic and clinical variables related to the PDI score. Results: Our
response rate was 93%, with excellent internal consistency (Cronbach's alpha
coefficient=0.94). The factorial analysis showed three factors with eigenvalue
>1, which explained >80% of total variance: 1) "loss of self-identity and anxiety
for the future", 2) "concerns for social dignity and spiritual life", and 3)
"loss of personal autonomy". The PDI and the three factor scores were positively
and significantly correlated with the Hamilton Scales for Depression and Anxiety
but not with other scale scores. Among patients' variables, "suicide risk" and
"insufficient social and economic condition" were positively and significantly
correlated with the PDI total score. Conclusion: The PDI can be a reliable tool
to assess patients' dignity perception in a psychiatric setting, which suggests
that both social and clinical severe conditions are closely related to dignity
loss.
PMID- 29636616
TI - Ten-year outcome of vagus nerve stimulation-implanted patients with treatment
resistant depression: two Italian cases.
AB - Over the last 15 years, vagus nerve stimulation (VNS) has been used as an
augmentative therapeutic intervention in patients with treatment-resistant
depression (TRD), whether with a lifetime diagnosis of major depressive disorder
or bipolar disorder. From being a potentially effective treatment in the acute
phase of TRD, recently published treatment guidelines seemed to converge on the
indication that VNS's greatest benefit may be seen mostly beyond the short term.
However, with the exception of a recent multicenter American report, very few
studies have assessed the long-term efficacy of VNS in TRD patients. Herein, we
present the cases of two Italian patients with TRD, with 10-year VNS follow-up
evaluation. Both patients were found to benefit from augmentative VNS, and the
latency of their stimulation response, tolerability, associated pharmacological
treatment, number and duration of recurrences, and overall level of functioning
are described and discussed. Further reports with larger samples are needed to
support the long-term efficacy and tolerability of VNS in TRD patients,
particularly beyond 5 years of follow-up.
PMID- 29636617
TI - Investigation of variants in estrogen receptor genes and perinatal depression.
AB - Objectives: Depressive symptoms are common during pregnancy and after childbirth.
Estrogen levels fluctuate greatly during the course of pregnancy and may
contribute to mood instability. The first aim of this case-control study was to
investigate whether variants in the two estrogen receptor genes might contribute
to the genetic susceptibility to pregnancy-related depression using controls that
were screened for postnatal depression. The second aim was to uncover new
variants in the two estrogen receptor genes. Patients and methods: Our study
sample comprised 554 control subjects who had Edinburgh Postnatal Depression
Scale (EPDS) scores below 7 at postnatal screening, and 159 patients with
clinically diagnosed pregnancy-related depression. They were genotyped for four
single-nucleotide polymorphisms (SNPs) and a dinucleotide repeat in the two
genes: estrogen receptor alpha (ESR1) and estrogen receptor beta (ESR2). Fifty
six cases with personal and/or family history of depression of psychiatric
disorders were selected for resequencing of the two genes. Results: There was no
statistically significant association with perinatal depression for all five
variants. However, there was a trend toward higher frequencies of the genotypes
associated with higher risk of depression for rs2077647 and rs4986938 in the case
group. From resequencing, two novel ESR1 variants were identified from two
different patients. Conclusion: Our study that used screened controls with low
EPDS scores and cases with clinically diagnosed pregnancy-related depression
could not replicate the association with depression for any of the SNPs for both
genotype and allele frequencies. Two novel SNPs were identified and could be
further investigated in a larger sample set.
PMID- 29636618
TI - Is ischemia-modified albumin a reliable tool for the assessment of acute
pancreatitis?
AB - Purpose: Oxidative stress has been implicated in several disorders, including
acute pancreatitis (AP). Ischemia-modified albumin (IMA), which reflects the
ability to bind cobalt, has been found to be elevated in conditions of oxidative
stress and tissue hypoxia. This study examined IMA and adjusted IMA levels in
patients with AP, and examined the associations of IMA and adjusted IMA levels to
the severity of AP. Patients and methods: A total of 42 consecutive patients with
AP and 43 age- and sex-matched control subjects were enrolled. Serum samples were
obtained from patients with AP on admission as well as 48-72 hours after
hospitalization, and from the controls, at the time of enrollment. Adjusted IMA
was calculated by multiplying the IMA value of each patient with the ratio of the
patient's albumin value and the median albumin value of the study population. The
severity of AP was assessed according to the modified Atlanta classification, and
the patients were divided into 2 groups: mild AP and severe AP. Results: The
serum IMA and adjusted IMA values of patients with AP on admission and those of
the controls did not differ (p=0.86 and p=0.99, respectively). The second
measurements of IMA and adjusted IMA in the AP group were higher than the first
measurements of both the AP group and controls (for all, p<0.01). Among the IMA
measurements, only adjusted IMA on admission had the ability to predict the
severity of AP. Severe AP was correlated with albumin, and the area under the
curve of adjusted IMA values on admission was 0.746 for differentiating patients
with severe AP from mild AP with statistical significance (p=0.005). Conclusion:
It was shown that IMA and adjusted IMA levels rise with the progression of AP.
Lower levels of adjusted IMA predict the severity of AP. Further studies with
serial measurements of IMA are warranted to explore the indicative role of IMA in
the course of AP.
PMID- 29636619
TI - Accommodating Grief on Twitter: An Analysis of Expressions of Grief Among Gang
Involved Youth on Twitter Using Qualitative Analysis and Natural Language
Processing.
AB - There is a dearth of research investigating youths' experience of grief and
mourning after the death of close friends or family. Even less research has
explored the question of how youth use social media sites to engage in the
grieving process. This study employs qualitative analysis and natural language
processing to examine tweets that follow 2 deaths. First, we conducted a close
textual read on a sample of tweets by Gakirah Barnes, a gang-involved teenaged
girl in Chicago, and members of her Twitter network, over a 19-day period in 2014
during which 2 significant deaths occurred: that of Raason "Lil B" Shaw and
Gakirah's own death. We leverage the grief literature to understand the way
Gakirah and her peers express thoughts, feelings, and behaviors at the time of
these deaths. We also present and explain the rich and complex style of online
communication among gang-involved youth, one that has been overlooked in prior
research. Next, we overview the natural language processing output for
expressions of loss and grief in our data set based on qualitative findings and
present an error analysis on its output for grief. We conclude with a call for
interdisciplinary research that analyzes online and offline behaviors to help
understand physical and emotional violence and other problematic behaviors
prevalent among marginalized communities.
PMID- 29636620
TI - KIF18B promotes tumor progression through activating the Wnt/beta-catenin pathway
in cervical cancer.
AB - Background: KIF18B was identified as a potential oncogene by analysis of The
Cancer Genome Atlas database. Materials and methods: We assessed KIF18B
expression and explored its clinical significance in cervical cancer tissues. We
have also evaluated the effects of KIF18B on cervical cancer cell proliferation,
migration, and invasion both in vitro and in vivo. Results: Our results show that
KIF18B is overexpressed in cervical cancer tissues and is associated with a large
primary tumor size, an advanced FIGO stage, and an advanced tumor grade.
Knockdown of KIF18B induces cell cycle G1-phase arrest and inhibits the
proliferation, migration, and invasion of cervical cancer cells, whereas its
overexpression promotes proliferation, migration, and invasion in these cells.
Moreover, silencing of KIF18B reduces expression of CyclinD1, beta-catenin, C
myc, and p-GSK3beta expression. Conclusion: These data suggest that KIF18B can
serve as a novel oncogene that promotes the tumorigenicity of cervical cancer
cells by activating Wnt/beta-catenin signaling pathway.
PMID- 29636622
TI - miR-206 regulates 5-FU resistance by targeting Bcl-2 in colon cancer cells.
AB - Introduction: Previous studies have found that miRNAs play a key role in drug
resistance. Multiple reports show that miRNAs act as regulators in colorectal
cancer (CRC) cells, but the role of miR-206 in CRC is still not well understood.
The current study aimed to explore the potential function of miR-206 in 5-FU
resistance. Methods: To indentify the role of miR-206 in 5-FU resistance, the
expression of miR-206 was examined by real-time polymerase chain reaction (RT
PCR) in 5-FU-resistant (FR) CRC (HCT116/FR and RKO/FR) and their parental cell
lines. miR-206 mimic was transfected to 5-FU-FR CRC, and the 5-FU sensitivity was
detected by MTS and flow cytometry. Using miRNA target prediction software, we
found that miR-206 could target the 3' untranslated region (3'UTR) sequence of
Bcl-2. Results: miR-206 was found to be downregulated in 5-FU-FR CRC in
comparison with their parental cell lines, suggesting its crucial relevance for
colon cancer biology. Downregulation of miR-206 promoted drug resistance and
decreased apoptosis of parental cells, while overexpression of miR-206 promoted
drug cytotoxicity and apoptosis of HCT116/FR cells. We also identified miR-206
targeting Bcl-2 directly in CRC, which is required for miR-206 mediated-5-FU
resistance. Conclusion: Our results show that miR-206 targets Bcl-2 to mediate
chemoresistance, proliferation, and apoptosis in CRC. This study provides a novel
promising candidate for colon cancer therapy.
PMID- 29636621
TI - Antibody-sandwich ELISA analysis of a novel blood biomarker of CST4 in
gastrointestinal cancers.
AB - Background: Members of the cystatin family have increasingly been proven to be
involved in several tumors, including gastric cancer (GC) and colorectal cancer
(CRC). Cystatin S (CST4) was found to be upregulated at the gene expression level
in GC cells, making it a potential novel biomarker for the early diagnosis of
gastrointestinal cancer. Materials and methods: Quantitative real-time polymerase
chain reaction and Western blotting analysis were used to explore CST4 expression
in gastrointestinal cancer tissues and cell lines. We purified CST4 recombinant
protein and generated anti-CST4 monoclonal antibodies to develop an antibody
sandwich enzyme-linked immunosorbent assay (ELISA) analysis system for blood CST4
detection. The performance and clinical efficacy of the detection method were
evaluated using a training set and validation set, respectively. Results:
According to the quantitative real-time polymerase chain reaction and Western
blotting results, CST4-mRNA expression and protein expression were upregulated in
gastrointestinal cancer tissues and cell lines. The ELISA detection system for
CST4 showed significantly better sensitivities of 69.0% and 69.0% and
specificities of 85.6% and 83.6% for GC and CRC, respectively, than other common
clinical biomarkers, carcinoembryonic antigen, CA19-9, CA125, and CA72-4.
Clinical verification experiments using GC and CRC validation sets also found
distinguishable CST4 median concentrations (177.7 pg.mL-1 and 174.2 pg.mL-1
respectively) and high positive detection rates (72.3% and 88.4% respectively),
further confirming the specificity and sensitivity of this method. Conclusion: We
validated the overexpression of CST4 in gastrointestinal cancer tissues and cell
lines and developed an antibody-sandwich ELISA analysis system for blood CST4
detection, which exhibited high specificity and sensitivity. Novel blood
biomarkers of CST4 have enormous potential in terms of clinical diagnostic value
in GC and CRC.
PMID- 29636623
TI - Synergistic antitumor effects of tanshinone IIA and sorafenib or its derivative
SC-1 in hepatocellular carcinoma cells.
AB - Introduction: Hepatocellular carcinoma (HCC) is the most common form of hepatic
malignancy in the world. We aimed to determine the effect of tanshinone IIA (Tan
IIA) in combination with sorafenib or its derivative SC-1 on cytotoxicity,
apoptosis, and metastasis in human HCC cells. Materials and methods: Cytotoxicity
was detected by MTT assay. Apoptosis and sub-G1 populations were analyzed by flow
cytometry. Cell migration and invasion were evaluated by Transwell assay. Protein
expression was detected by Western blot. Results: Tan-IIA combined with sorafenib
or SC-1 exerted synergistic cytotoxicity in HCC cells. Elevated proportions of
sub-G1 and caspase activation were observed in the combinative treatments; in
addition, marked inhibition of cell migration and invasion, which could be
mediated by the modulation of epithelial-mesenchymal transition was observed.
pSTAT3 levels were significantly reduced as well. Conclusion: A combination
therapy using Tan-IIA and sorafenib or SC-1 could be a promising approach to
target HCC, and further preclinical investigations are warranted to establish
their synergetic advantage.
PMID- 29636624
TI - Prognostic value of increased integrin-beta 1 expression in solid cancers: a meta
analysis.
AB - Integrin-beta 1 (ITGB1) is aberrantly overexpressed or downregulated in solid
cancers; however, its prognostic value remains controversial. Therefore, we
conducted a meta-analysis to explore whether ITGB1 expression is correlated with
overall survival (OS) and the clinicopathological characteristics of patients
with solid cancers. We systematically searched the PubMed, Embase, and Web of
Science databases for eligible studies published up to June 1, 2017. In total, 22
studies involving 3,666 patients were included. A sensitivity analysis was
performed to assess the validity and reliability of the pooled OS. Among the 22
studies, 7 focused on lung cancer, 3 focused on colorectal cancer, 6 focused on
breast cancer, 3 involved melanoma, and 3 involved pancreatic cancer. The pooled
results showed that high ITGB1 expression was significantly associated with worse
OS in lung cancer (pooled hazard ratio [HR]=1.78, 95% CI: 1.19-2.65, p<0.05) and
breast cancer (pooled HR=1.88, 95% CI: 1.46-2.42, p<0.01). In addition, a
significant association was observed between high ITGB1 expression and disease
free survival in breast cancer (pooled HR=1.63, 95% CI: 1.17-2.25, p<0.001) and
pancreatic cancer (pooled HR=2.49, 95% CI: 1.35-4.61, p<0.001). However, high
ITGB1 expression was not related to OS in colorectal cancer, pancreatic cancer,
or melanoma. The pooled HRs used to evaluate the prognostic value of increased
ITGB1 expression in lung cancer, breast cancer, and pancreatic cancer were not
significantly altered, which indicates that the pooled results were robust. The
results of this study indicate that the prognostic value of decreased ITGB1
expression varies among solid cancers.
PMID- 29636625
TI - Overproduction of efflux pumps caused reduced susceptibility to carbapenem under
consecutive imipenem-selected stress in Acinetobacter baumannii.
AB - Purpose: Acinetobacter baumannii is an important pathogen in the nosocomial
infections worldwide. Combining with carbapenemases, efflux pumps and outer
membrane proteins (OMPs) have been thought to affect the development of
carbapenem resistance in A. baumannii. This study aimed to investigate the
contributions of different efflux pumps and OMPs in developing carbapenem
resistance in a clinical isolate of A. baumannii and reveal the possible
mechanism of overproduction of main efflux pumps. Patients and methods: In this
study, an imipenem-susceptible clinical isolate was identified as A. baumannii
and named SZE. Several common carbapenemases were detected by polymerase chain
reaction (PCR). Imipenem-selected mutants were selected from SZE by serial
subcultivations on Mueller-Hinton agar, and the minimum inhibitory concentration
(MIC) was detected. Gene expressions of four families of efflux pumps, five OMPs,
and blaOXA-51 were determined by reverse transcription quantitative PCR, and
comparisons were made between SZE strain and the imipenem-selected mutants. The
adeRS system in SZE and its mutant was sequenced and aligned. Results: Under
consecutive imipenem-selected stress, the MIC to imipenem increased gradually
from 0.125 MUg/mL to 8 MUg/mL. The effect of resistance inducement was almost
neutralized when treated with an efflux pump inhibitor. The expression of efflux
pumps, adeB, adeG, and adeJ, was increased by 6.9-, 4.0-, and 2.1-fold in
mutants, respectively, compared to SZE. A single mutation (G to A) at position 58
was detected in the regulatory adeRS system and possibly upregulated the adeB
expression, and then affected the carbapenem resistance in A. baumannii strains.
Conclusion: In conclusion, under consecutive imipenem-selected stress in vitro,
A. baumannii strain evolved the ability to reduce susceptibility to a variety of
antimicrobials by overproduction of efflux pumps. Especially, the resistance
nodulation-cell division super family and a nucleotide mutant in adeRS regulating
system caused the overexpression of adeABC.
PMID- 29636626
TI - Factors associated with adherence to diabetes care recommendations among children
and adolescents with type 1 diabetes: a facility-based study in two urban
diabetes clinics in Uganda.
AB - Purpose: The purpose of this study was to determine the level of adherence and
the factors associated with adherence to diabetes care recommendations among type
1 diabetic children and adolescents at two urban diabetes clinics in Kampala,
Uganda. Research design and methods: A facility-based cross-sectional study was
carried out among 200 children and adolescents with type 1 diabetes at two major
diabetes clinics in Kampala. Caretakers of the children and adolescents were
interviewed using pretested questionnaires to provide information on
sociodemographic characteristics, diabetes care, knowledge, attitudes, and
adherence to diabetes care recommendations in type 1 diabetes. Prevalence rate
ratios (PRRs) at the 95% confidence interval (CI) were used to establish the
factors associated with adherence using modified Poisson regression, with robust
standard errors. The data were analyzed by using STATA Version 13.0. Results: The
overall prevalence of adherence to diabetes care recommendations was at 37%.
However, evaluating adherence to specific treatment parameters showed that 52%,
76.5%, and 29.5% of the children and adolescents adhered to insulin, blood
glucose monitoring, and dietary recommendations, respectively. In the final
adjusted model, active diet monitoring (adjusted PRR [APRR]: 1.95; 95% CI: 1.01,
3.78), being under care of a sibling (APRR: 1.66; 95% CI: 1.61, 1.71), being
under care of a married caretaker (APRR: 1.10; 95% CI: 1.05, 1.14) and a
separated or divorced caretaker (APRR: 1.60; 95% CI: 1.12, 2.27), taking three or
less tests of blood glucose per day (APRR: 0.63; 95% CI: 0.42, 0.95), and having
a caretaker with poor knowledge about diabetes (APRR: 0.49; 95% CI: 0.43, 0.57)
and who is inactive in supervision of insulin injections (APRR: 0.58; 95% CI:
0.56, 0.60) were associated with adherence to type 1 diabetes care
recommendations. Conclusion: Adherence to type 1 diabetes care recommendations is
still low among this population. The results suggest that reinforcing caretaker
involvement could be vital in improving adherence to diabetes care
recommendations in this population.
PMID- 29636627
TI - A meta-analysis of cyclosporine treatment for Stevens-Johnson syndrome/toxic
epidermal necrolysis.
AB - Background: Stevens-Johnson syndrome (SJS) and toxic epidermal necrolysis (TEN)
are dermatologic emergencies with high morbidity and mortality risk.
Cyclosporine, an immunomodulatory agent, is sometimes used off-label, and its
role continues to be debated. This meta-analysis aimed to provide an update of
current evidence and to clarify the role of cyclosporine in SJS/TEN treatment
better. Methods: Using the keywords [cyclosporine OR cyclosporine OR ciclosporin
OR CsA] AND [Steven-Johnson OR SJS OR toxic epidermal OR epidermal necrolysis OR
TEN OR hypersensitivity OR dermatologic OR burns], a preliminary search on the
PubMed, Ovid, Web of Science, and Google Scholar Database yielded 615 papers
published in English between January1, 1960 and July 1, 2017. The inclusion
criteria for this review were: 1) published retrospective or prospective study
(excluding single case reports); 2) patients with clinical diagnosis of SJS or
TEN; 3) trial of cyclosporine treatment; and 4) available survival/mortality
data. Results: A total of 12 studies, with a total of 358 SJS/TEN patients were
reviewed. Two studies were excluded from the meta-analysis as they did not report
SCORe of toxic epidermal necrosis/predicted mortality data; one was excluded
because of possible data irregularities. Meta-analysis of nine studies revealed a
significant reduction in mortality risk with cyclosporine therapy (standardized
mortality ratio 0.320; 95% CI: 0.119-0.522; P=0.002). Cyclosporine was also
generally well tolerated with little adverse effects or increased infection,
albeit the patients tended to be critically ill. Publication bias was observed in
the funnel plot and Egger test (P=0.0467). Conclusion: Currently available
evidence are predominantly open trials and retrospective studies with a
significant risk of bias, perhaps owing to the rarity and life-threatening nature
of the condition. Given its immunomodulatory actions, cyclosporine could be a
potential treatment option for SJS/TEN in addition to best supportive measures.
Further confirmation with robust randomized, controlled trials or larger case
series is necessary and should be encouraged.
PMID- 29636628
TI - CYP2C9 polymorphisms in epilepsy: influence on phenytoin treatment.
AB - Phenytoin (PHT) is an antiepileptic drug widely used in the treatment of focal
epilepsy and status epilepticus, and effective in controlling focal seizures with
and without tonic-clonic generalization and status epilepticus. The
metabolization of PHT is carried out by two oxidative cytochrome P450 enzymes
CYP2C9 and CYP2C19; 90% of this metabolization is done by CYP2C9 and the
remaining 10% by CYP2C19. Genetic polymorphism of CYP2C9 may reduce the
metabolism of PHT by 25-50% in patients with variants *2 and *3 compared to those
with wild-type variant *1. The frequency distribution of CYP2C9 polymorphism
alleles in patients with epilepsy around the world ranges from 4.5 to 13.6%,
being less frequent in African-Americans and Asians. PHT has a narrow therapeutic
range and a nonlinear pharmacokinetic profile; hence, its poor metabolization has
significant clinical implications as it causes more frequent and more serious
adverse effects requiring discontinuation of treatment, even if it had been
effective. There is evidence that polymorphisms of CYP2C9 and the use of PHT are
associated with an increase in the frequency of some side effects, such as
cerebellar atrophy, gingival hypertrophy or acute cutaneous reactions. The
presence of HLA-B*15:02 and CYP2C9 *2 or *3 in the same patient increases the
risk of Stevens-Johnson syndrome and toxic epidermal necrolysis; hence, PHT
should not be prescribed in these patients. In patients with CYP2C9 *1/*2 or
*1/*3 alleles (intermediate metabolizers), the usual PHT maintenance dose (5-10
mg/kg/day) must be reduced by 25%, and in those with CYP2C9 *2/*2, *2/*3 or *3/*3
alleles (poor metabolizers), the dose must be reduced by 50%. It is controversial
whether CYP2C9 genotyping should be done before starting PHT treatment. In this
paper, we aim to review the influence of CYP2C9 polymorphism on the
metabolization of PHT and the clinical implications of poor metabolization in the
treatment of epilepsies.
PMID- 29636629
TI - Late-life depression: issues for the general practitioner.
AB - Late-life depression (LLD) is both a prevalent and life-threatening disorder,
affecting up to 13.3% of the elderly population. LLD can be difficult to identify
because patients mainly consult their general practitioner (GP) for somatic
complaints. Moreover, patients may be hesitant to express the problem to their
GP. Increased vigilance on the part of the GP can only benefit older people with
depression. To recognize the risk of LLD, screening tools are provided in
addition to treatment options for LLD. This review aims to provide the GP with
guidance in recognizing and treating LLD. It tries to connect mainstream
etiologies of LLD (e.g., vascular, inflammation, hypothalamo-pituitary-adrenal
axis) with risk factors and current therapies. Therefore, we provide a basis to
the GP for decision-making when choosing an appropriate therapy for LLD.
PMID- 29636631
TI - beta-Guanidinopropionic Acid Stimulates Brain Mitochondria Biogenesis and Alters
Cognitive Behavior in Nondiseased Mid-Age Mice.
AB - beta-guanidinopropionic acid (beta-GPA) has been used as a nutritional supplement
for increasing physical strength and endurance with positive and predictable
results. In muscles, it works as a nonadaptive stimulator of mitochondria
biogenesis; it also increases lipid metabolism. There are data indicating that
beta-GPA can be also neuroprotective, but its mechanisms of action in the brain
are less understood. We studied the effects of beta-GPA on animal behavior and
mitochondrial biogenesis in the cortex and midbrain of mid-age healthy mice. We
found that even short-term 3-week-long beta-GPA treatment increased the
mitochondrial DNA (mtDNA) copy number in the cortex and ventral midbrain, as well
as the expression of several key antioxidant and metabolic enzymes-indicators of
mitochondria proliferation and the activation of Nrf2/ARE signaling cascade. At
the same time, beta-GPA downregulated the expression of the beta-oxidation genes.
Administration of beta-GPA in mice for 3 weeks improved the animals' physical
strength and endurance health, ie, increased their physical strength and
endurance and alleviated anxiety. Thus, beta-GPA might be considered an
adaptogene affecting both the muscle and brain metabolism in mammals.
PMID- 29636630
TI - The impact of anxiety and catastrophizing on interleukin-6 responses to acute
painful stress.
AB - Objective: To examine the influence of anxiety and pain-related catastrophizing
on the time course of acute interleukin-6 (IL-6) responses to standardized
noxious stimulation among patients with chronic pain. Methods: Data were
collected from 48 participants in the following demographically matched groups:
patients with chronic pain (n=36) and healthy controls (n=12). Participants
underwent a series of Quantitative Sensory Testing (QST) procedures assessing
responses to mechanical and thermal stimuli during two separate visits, in a
randomized order. One visit consisted of standard, moderately painful QST
procedures, while the other visit involved nonpainful analogs to these testing
procedures. Blood samples were taken at baseline, and then for up to 2 hours
after QST in order to study the time course of IL-6 responses. Results: Results
of multilevel analyses revealed that IL-6 responses increased across assessment
time points in both visits (p<0.001). While patients with chronic pain and
healthy controls did not differ in the magnitude of IL-6 responses, psychological
factors influenced IL-6 trajectories only in the chronic pain group. Among
patients, increases in catastrophizing over the course of the QST session were
associated with elevated IL-6 responses only during the painful QST session
(p<0.05). When controlling for anxiety, results indicated that the main
multilevel model among patients remained significant (p<0.05). Conclusion: Under
specific conditions (eg, application of a painful stressor), catastrophizing may
be associated with amplified proinflammatory responses in patients with
persistent pain. These findings suggest that psychosocial interventions that
reduce negative pain-related cognitions may benefit patients' inflammatory
profiles.
PMID- 29636632
TI - AP2gamma: A New Player on Adult Hippocampal Neurogenesis Regulation.
AB - Since the recognition that the mammalian brain retains the ability to generate
newborn neurons with functional relevance throughout life, the matrix of
molecular regulators that govern adult neurogenesis has been the focus of much
interest. In a recent study published in Molecular Psychiatry, we demonstrate
Activating Protein 2gamma (AP2gamma), a transcription factor previously
implicated in cell fate determination in the developing cortex, as a novel player
in the regulation of glutamatergic neurogenesis in the adult hippocampus. Using
distinct experimental approaches, we showed that AP2gamma is specifically present
in a subpopulation of transient amplifying progenitors, where it acts as a
crucial promoter of proliferation and differentiation of adult-born glutamatergic
granule neurons. Strikingly, deficiency of AP2gamma in the adult brain
compromises the generation of new glutamatergic neurons, with impact on the
function of cortico-limbic circuits. Here, we share our view on how AP2gamma
integrates the transcriptional orchestration of glutamatergic neurogenesis in the
adult hippocampus, and consequently, how it emerges as a novel molecular
candidate to study the translation of environmental pressures into alterations of
brain neuroplasticity in homeostatic, but also in neuropathological contexts.
PMID- 29636633
TI - Why was this transfusion given? Identifying clinical indications for blood
transfusion in health care data.
AB - Background: To enhance the utility of transfusion data for research, ideally
every transfusion should be linked to a primary clinical indication. In
electronic patient records, many diagnostic and procedural codes are registered,
but unfortunately, it is usually not specified which one is the reason for
transfusion. Therefore, a method is needed to determine the most likely
indication for transfusion in an automated way. Study design and methods: An
algorithm to identify the most likely transfusion indication was developed and
evaluated against a gold standard based on the review of medical records for 234
cases by 2 experts. In a second step, information on misclassification was used
to fine-tune the initial algorithm. The adapted algorithm predicts, out of all
data available, the most likely indication for transfusion using information on
medical specialism, surgical procedures, and diagnosis and procedure dates
relative to the transfusion date. Results: The adapted algorithm was able to
predict 74.4% of indications in the sample correctly (extrapolated to the full
data set 75.5%). A kappa score, which corrects for the number of options to
choose from, was found of 0.63. This indicates that the algorithm performs
substantially better than chance level. Conclusion: It is possible to use an
automated algorithm to predict the indication for transfusion in terms of
procedures and/or diagnoses. Before implementation of the algorithm in other data
sets, the obtained results should be externally validated in an independent
hospital data set.
PMID- 29636635
TI - Comprehensive Evaluation of Commercial Bisulfite-Based DNA Methylation Kits and
Development of an Alternative Protocol With Improved Conversion Performance.
AB - DNA methylation is the most studied epigenetic modification with a wide range of
regulatory functions in mammalian genomes. It almost exclusively resides on CpG
dinucleotides and, among others, plays important roles in early embryo
development, onset, and maintenance of cancer. During the past 3 decades, many
approaches have been developed to discriminate methylated from unmethylated DNA
including antibody-based enrichment of methylated DNA, restriction enzyme-based,
or hybridization-based methods. The conversion of unmethylated cytosines to
uracils by sodium or ammonium bisulfite is regarded as golden standard as this
approach requires no enzymatic reaction and provides deep and reliable insight in
methylation patterns at single-base resolution. Nowadays, there are many
commercial kits for bisulfite conversion available but they perform differently
and also vary in protocols and chemicals used. Here, we provide the first
comprehensive and comparative evaluation of bisulfite conversion kits observing
major differences in conversion efficiency and DNA degradation which greatly
affect the performance of downstream applications, ie, polymerase chain reactions
(PCRs). Moreover, deep sequencing of amplicons containing oxidized derivates of
5'-methylC shows that none of the tested kits efficiently converts 5'-formylC
without substantial conversion of 5'-methylC or 5'-hydroxymethylC. Consequently,
we developed a robust and easy-to-use protocol that allows maximal discrimination
between 5'-formylC and 5'-methylC/5'-hydroxymethylC with low DNA degradation and
high PCR efficiency on the bisulfite-treated DNA. We highly recommend to use our
time- and cost-efficient protocol for any genome-wide or local high-resolution
bisulfite sequencing application to minimize conversion-dependent error rates.
PMID- 29636634
TI - Triptych of the Hermit Saints: pneumococcal polysaccharide vaccines for the
elderly.
AB - Pneumococcal pneumonia is a serious disease with considerable morbidity and
mortality in the elderly. Despite adequate antibiotic treatment, the long-term
mortality of pneumococcal pneumonia remains high. Preventive measures in the form
of vaccination, therefore, are warranted. Twenty-three-valent polysaccharide
vaccines have a broad coverage but limited efficacy. Pneumococcal conjugate
vaccines have been shown in children to be able to prevent invasive and mucosal
pneumococcal diseases. It should be realized that the serotype composition of
current pneumococcal conjugate vaccines is not tailored for the elderly, and that
replacement disease can occur. Yet, the current 13-valent conjugate vaccine has
been shown to protect against infections with vaccine serotypes. Long-term
mortality of pneumococcal pneumonia should be included in policy making about the
introduction of these vaccines for the elderly.
PMID- 29636636
TI - Effects of Varying Photoperiodic Regimens on Critical Biological Fitness Traits
of Culex quinquefasciatus (Diptera: Culicidae) Mosquito Vector.
AB - This study investigated the effects of varying photoperiodic conditions on
critical life stages' parameters of Culex quinquefasciatus. To this end, first
larval stage was reared under different constant photoperiodic regimens: 0, 6
(short), 12 (equal), 13 (prevailing condition), and 18 and 24 (long) hours of
light (hL). Duration of development, survivorship, emergence successes, adult
longevity, caloric indices (CIs), and utilisation of teneral reserves for
metamorphosis at each regimen were monitored. Analyses revealed significant
negative effects of increasing photoperiod on all entomological variables
measured. Short photo-phases elicited faster development times, increased life
stages' survivorship and number at emergence, adult longevity, and CI for all
life stages while increasing teneral components for adult life traits. The
information generated in this study is important in understanding the role played
by photoperiod in disease transmission and for development of integrated vector
control strategies based on environmental manipulation.
PMID- 29636638
TI - It is time to improve the quality of medical information distributed to students
across social media.
AB - The ubiquitous nature of social media has meant that its effects on fields
outside of social communication have begun to be felt. The generation undergoing
medical education are of the generation referred to as "digital natives", and as
such routinely incorporate social media into their education. Social media's
incorporation into medical education includes its use as a platform to distribute
information to the public ("distributive education") and as a platform to provide
information to a specific audience ("push education"). These functions have
proved beneficial in many regards, such as enabling constant access to the
subject matter, other learners, and educators. However, the usefulness of using
social media as part of medical education is limited by the vast quantities of
poor quality information and the time required to find information of sufficient
quality and relevance, a problem confounded by many student's preoccupation with
"efficient" learning. In this Perspective, the authors discuss whether social
media has proved useful as a tool for medical education. The current growth in
the use of social media as a tool for medical education seems to be principally
supported by students' desire for efficient learning rather than by the efficacy
of social media as a resource for medical education. Therefore, improvements in
the quality of information required to maximize the impact of social media as a
tool for medical education are required. Suggested improvements include an
increase in the amount of educational content distributed on social media
produced by academic institutions, such as universities and journals.
PMID- 29636637
TI - Pancreatitis Is a Silent Killer in Peritoneal Dialysis With Difficult Diagnostic
Approach.
AB - Until 2018, 236 cases of acute pancreatitis have been reported in patients who
underwent peritoneal dialysis. Here, we presented a patient with double renal
transplantation with chronic renal failure, under renal replacement therapy by
peritoneal dialysis, who developed acute pancreatitis with abdominal pain,
nausea, vomiting, leukocytosis with neutrophil left shift which is complicated by
pancreatic pseudocyst, candida peritonitis, fungal sepsis, overlapping of
Acinetobacter baumannii sepsis, and pneumonitis. After the percutaneous
cystogastrostomy drainage of pancreatic pseudocyst, changes from peritoneal
dialysis to hemodialysis, various thoracentesis, and polyantibiotics therapy, the
resolution of the sepsis state was seen. The particular aspect of our case is the
various comorbidity risks, severe pancreatitis associated with candida and A
baumannii sepsis, and treatment strategy that lead to heal this kind of the high
mortality rate condition.
PMID- 29636639
TI - A pilot study to assess short-term physiologic outcomes of transitioning infants
with severe bronchopulmonary dysplasia from ICU to two subacute ventilators.
AB - Introduction: This study was designed to evaluate short-term physiologic outcomes
of transitioning neonates with bronchopulmonary dysplasia (BPD) from intensive
care unit (ICU) ventilators to both the Trilogy 202 (Philips Healthcare, Andover,
MA) and LTV 1200 (CareFusion, Yorba Linda, CA) subacute ventilators. Methods: Six
infants with BPD requiring tracheostomies for support with a neonatal-specific
ICU ventilator underwent placement of esophageal balloon catheters, airway
pressure transducers, flow sensors, oxygen saturation (SpO2), and end tidal
carbon dioxide (PETCO2) monitors. Noninvasive gas exchange, airflow, and airway
and esophageal pressures (PES) were recorded following 20 min on the ICU
ventilator. The infants were placed on the Trilogy 202 and LTV 1200 ventilators
in random order at identical settings as the ICU ventilator. We measured
noninvasive gas exchange, pressure-rate product (respiratory rate * DeltaPES),
ventilator response times, and the percentage of spontaneous breaths that
triggered the ventilator at 20 min in each subject while being supported with
each of the different subacute ventilators. Results: The mean (SD) weight of the
six infants was 4.983 (0.56) kg. There were no differences in heart rate (p =
0.51) or SpO2 (p = 0.97) but lower PETCO2, DeltaPES, respiratory rate, pressure
rate-product, response times, and greater percentage of subject initiated breaths
that triggered the ventilator (p < 0.05) was observed with the Trilogy 202 than
the LTV 1200. All six infants transitioned successfully from the ICU ventilator
to the Trilogy 202 ventilator. Conclusion: In this small group of infants with
BPD, the Trilogy 202 ventilator performed better than the LTV 1200. The improved
subject efforts, per cent subject triggering, and response times observed with
the Trilogy are likely related to differences in triggering algorithms, location
of triggering mechanisms, and gas delivery system performance within the
ventilators. These pilot data may be useful for informing future clinical study
design and understanding differences in the level of support provided by
different subacute ventilators in infants with BPD.
PMID- 29636641
TI - Down-regulation of STAT3 induces the apoptosis and G1 cell cycle arrest in
esophageal carcinoma ECA109 cells.
AB - Background: Signal transducer and activator of transcription 3 (STAT3) is
persistently activated in a wide variety of epithelial cancers. Aberrant activity
of STAT3 correlates with tumor growth, invasion and metastasis, which makes it a
potential therapeutic target of cancer. To explore the biological role of STAT3
in esophageal cancer, we used small hairpin RNA to knockdown the expression of
the STAT3 gene in the esophageal carcinoma ECA109 cell line and the cell
apoptosis, cell cycle and cell migration were investigated. Methods: The cell
apoptosis was tested using DNA ladder, mitochondrial membrane potential assay,
TUNEL assay, annexin V-PI staining. Cell cycle phases were estimated using flow
cytometry analysis. The mRNA and proteins related to apoptosis and cell cycle
were examined by quantitative real-time polymerase chain reaction (qRT-PCR) and
Western blot, respectively. And cell migration was investigated by in vitro
Transwell assay. The data were analyzed with two-sample Student's t test and
ANOVA followed by the LSD post hoc test. Results: Our results showed that
knockdown of STAT3 in ECA109 cells induced noticeable apoptotic morphological
changes like cell shrinkage, apoptotic vacuoles, membrane blebbing time
dependently. In addition, DNA ladder, TUNEL assay, Annexin V-PI staining and
declined level of cleaved Caspase-3 indicated that down-regulation of STAT3 could
induce apoptosis in ECA109 cells. Flow cytometry analysis displayed the induction
of G1-phase cell cycle arrest of ECA109 cells by STAT3 decreasing, consistent
with the descend of c-Myc and cyclin D1 in protein levels. Furthermore, STAT3
knockdown suppressed the expression of matrix metalloproteinases-9, sushi domain
containing 2 and urokinase plasminogen activator in ECA109 cells and inhibited
cell migration ability. Conclusions: Knockdown of STAT3 could induce the
apoptosis and G1 cell cycle arrest in esophageal carcinoma ECA109 cells, and
inhibit the migration ability of cells as well.
PMID- 29636640
TI - MiR-544 promotes immune escape through downregulation of NCR1/NKp46 via targeting
RUNX3 in liver cancer.
AB - Objective: To study the potential role of miR-544 in the immune escape mechanism
of hepatoma cells. Methods: Natural killer (NK) cells were collected from healthy
volunteers and patients with liver cancer. Interleukin (IL)-2 activated-NK-92
cells were transfected with miR-544 inhibitor/mimic or NC/pre-NC in HepG2 co
culture system. NK-92 cells were treated with control, IL-2, IL-2 + pre-NC, IL-2
+ miR-544 mimic, IL-2 + miR-544 mimic + pcDNA and IL-2 + miR-544 mimic + pcDNA
runt-related transcription factor 3 (RUNX3) groups. Mice models of liver cancer
were well established. Expression of miR-544, natural cytotoxicity receptor 1
(NCR1) and RUNX3 were evaluated by quantitative real-time PCR and western
blotting. Flow cytometry and ELISA were used to determine NK cell cytotoxicity
and the levels of INF-gamma, respectively. Results: MiR-544 was upregulated while
NCR1 and RUNX3 was downregulated in NK cells of patients with liver cancer. The
levels of IFN-gamma and miR-544 expression were increased and decreased in IL-2
activated-NK cells, respectively. Inversely, miR-544 overexpression inhibited NK
cell cytotoxicity by downregulating IFN-gamma. However, miR-544 directly targeted
RUNX3 and negatively regulated NCR1. Furthermore, miR-544 promoted immune escape
of hepatoma cells in vivo and in vitro. Conclusion: miR-544 promoted the immune
escape of liver cancer cells by downregulating NCR1 via targeting RUNX3.
PMID- 29636642
TI - Correction to: Knockdown of miR-155 protects microglia against LPS-induced
inflammatory injury via targeting RACK1: a novel research for intracranial
infection.
AB - [This corrects the article DOI: 10.1186/s12950-017-0162-7.].
PMID- 29636643
TI - Functional role of microRNA-135a in colitis.
AB - Background: Inflammatory bowel disease (IBD) is one of the chronic
gastrointestinal diseases with increasing risk of colon cancer development in the
future. Apoptosis and inflammation play an important role in the etiology of this
disease. MicroRNAs are associated with etiology of different diseases including
IBD. In this study, we aimed to explore the role of miR-135a in the etiology of
colitis in murine model of DSS-induced colitis. Results: The results showed that
expression of miR-135a in colonic cells was suppressed and up-regulating miR-135a
inhibited apoptosis and inflammation of colonic epithelial cells. Additionally,
Hif1alpha was identified as the target gene of miR-135a which promoted apoptosis
and inflammation as knockdown of Hif1alpha led to the suppression of both
apoptosis and inflammation. Conclusions: Overexpression of miR-135a might be
beneficial in IBD due to its anti-apoptosis and anti-inflammation effects in
vitro.
PMID- 29636645
TI - Edward I. Chang, MD, FACS, Mark V. Schaverien, MBChB, MD, MSc, MEd, FRCS (Plast),
Jesse C. Selber, MD, MPH, FACS.
PMID- 29636644
TI - Combined life-threatening thromboses and hemorrhages in a patient with
afibrinogenemia and antithrombin deficiency.
AB - Background: Patients with congenital afibrinogenemia suffer from spontaneous
recurrent severe bleeding. While fibrinogen concentrates are known to effectively
treat bleeding episodes, thrombotic complications often occur upon replacement
therapy, rendering clinical management highly challenging. Case Presentation: We
hereby report a case of combined afibrinogenemia and congenital antithrombin
deficiency manifested by recurrent life-threatening bleeding, as well as
spontaneous severe arterial occlusion, such as acute coronary syndrome and
stroke, and venous thromboses like pulmonary embolism.Secondary fibrinogen
prophylaxis is recommended following any initial life-threatening bleeding
episode in patients with afibrinogenemia, yet the high associated risk of
thrombosis illustrates the complexity of choosing the most effective prophylaxis
strategy combining fibrinogen concentrate with antithrombotic agent for optimal
protection against the risk of both severe bleeding and thrombosis. For our
patient, the thrombin generation assay objectively confirmed her prothrombotic
tendency. Conclusion: This case may help us better understand the pathophysiology
of arterial thrombosis in afibrinogenemia, while highlighting the difficulty of
managing such complications.
PMID- 29636646
TI - Lymphedema Management.
PMID- 29636647
TI - Anatomy of the Lymphatic System and the Lymphosome Concept with Reference to
Lymphedema.
AB - Precise knowledge of the lymphatic system normal anatomy is essential for
understanding what structural changes occur in patients with lymphedema. In this
article, the authors first review previous anatomical studies and summarize the
general anatomy of the lymphatic system and lymphatic pathways in the upper and
lower extremities. Second, they introduce their new anatomical concept, the
"lymphosome," which describes how the lymphatic vessels in a particular region
connect to the same subgroup of regional lymph nodes. In addition, they describe
the anatomical relationship between the perforating lymphatic vessels and
arteries. In the last section, they explain the anatomical changes in the
lymphatics after lymph node dissection, with reference to secondary lymphedema.
PMID- 29636648
TI - Diagnosis and Staging of Lymphedema.
AB - Lymphedema often is confused with other causes of extremity edema and
enlargement. Understanding the risk factors and physical examination signs of
lymphedema can enable the health care practitioner to accurately diagnose
patients ~90% of the time. Confirmatory diagnosis of the disease is made using
lymphoscintigraphy. It is important to correctly diagnose patients with
lymphedema so that they can be managed appropriately.
PMID- 29636649
TI - Nonoperative Treatment of Lymphedema.
AB - Complex decongestive therapy is the mainstay of lymphedema (LE) therapy. It
consists of two phases: an intensive volume reduction phase, principally
involving low-stretch bandages and manual lymph drainage (MLD), followed by
compression garment use to maintain the reduction achieved. Adjunctive treatments
include the use of a sequential gradient pump, LE-specific exercises, skin and
nail care, as well as risk-reduction precautions. Herein the techniques are
described and the evidence for their effectiveness is reviewed.
PMID- 29636650
TI - Lymphovenous Anastomosis Bypass Surgery.
AB - The field of lymphedema surgery has witnessed tremendous advancements over the
years and has been coupled to the rapid growth of supermicrosurgical techniques.
A lymphovenous bypass or lymphaticovenular anastomosis is a new technique that
requires identification of patent, residual lymphatic channels and performing an
anastomosis to a recipient venule, thereby allowing outflow of lymphatic fluid
and improvement in a patient's lymphedema. This article provides a summary of the
maturation of the technique, as well as the technical aspects of the approach and
the current outcomes in the treatment of postmastectomy lymphedema.
PMID- 29636651
TI - Vascularized Lymph Node Transfer for Lymphedema.
AB - Advances in our understanding of the lymphatic system and the pathogenesis of
lymphedema have resulted in the development of effective surgical treatments.
Vascularized lymph node transfer (VLNT) involves the microvascular
transplantation of functional lymph nodes into an extremity to restore
physiological lymphatic function. It is most commonly performed by transferring
combined deep inferior epigastric artery perforator and superficial inguinal
lymph node flaps for postmastectomy breast reconstruction. For patients who do
not require or are unable to undergo free abdominal breast reconstruction or have
lymphedema affecting the lower extremity, several other VLNT options are
available. These include flaps harvested from within the axillary, inguinal, or
cervical lymph node basins, and lymph node flaps from within the abdominal
cavity. This article reviews the lymph node flap options and techniques available
for VLNT for lymphedema.
PMID- 29636652
TI - Combining Autologous Breast Reconstruction and Vascularized Lymph Node Transfer.
AB - Breast cancer patients are at risk for developing postmastectomy lymphedema
syndrome of the ipsilateral upper extremity following treatment for breast cancer
in the setting of an axillary dissection, postoperative radiation, and
chemotherapy. For patients suffering from lymphedema who are also seeking breast
reconstruction, combining an autologous abdominal free flap with a vascularized
inguinal lymph node transfer provides patients the opportunity to have an
aesthetic breast reconstruction as well as the potential to improve their
lymphedema in a single operation. The present article aims to provide a
description of the salient features of this approach including the preoperative
preparation, the surgical technique, the postoperative management and
complications, and a summary of the outcomes.
PMID- 29636653
TI - Liposuction Treatment of Lymphedema.
AB - In the Western world, lymphedema most commonly occurs following treatment of
cancer. Limb reductions have been reported utilizing various conservative
therapies including manual lymph and pressure therapy, as well as by
microsurgical reconstruction involving lymphovenous shunts and transplantation of
lymph vessels or nodes. Failure of these conservative and surgical treatments to
provide complete reduction in patients with long-standing pronounced lymphedema
is due to the persistence of excess newly formed subcutaneous adipose tissue in
response to slow or absent lymph flow, which is not removed in patients with
chronic non-pitting lymphedema. Traditional surgical regimes utilizing bridging
procedures, total excision with skin grafting, or reduction plasty seldom
achieved acceptable cosmetic and functional results. Liposuction removes the
hypertrophied adipose tissue and is a prerequisite to achieve complete reduction,
and this reduction is maintained long-term through constant (24 h) use of
compression garments postoperatively. This article describes the techniques and
evidence basis for the use of liposuction for treatment of lymphedema.
PMID- 29636655
TI - Genetic structure, divergence and admixture of Han Chinese, Japanese and Korean
populations.
AB - Background: Han Chinese, Japanese and Korean, the three major ethnic groups of
East Asia, share many similarities in appearance, language and culture etc., but
their genetic relationships, divergence times and subsequent genetic exchanges
have not been well studied. Results: We conducted a genome-wide study and
evaluated the population structure of 182 Han Chinese, 90 Japanese and 100 Korean
individuals, together with the data of 630 individuals representing 8 populations
wordwide. Our analyses revealed that Han Chinese, Japanese and Korean populations
have distinct genetic makeup and can be well distinguished based on either the
genome wide data or a panel of ancestry informative markers (AIMs). Their genetic
structure corresponds well to their geographical distributions, indicating
geographical isolation played a critical role in driving population
differentiation in East Asia. The most recent common ancestor of the three
populations was dated back to 3000 ~ 3600 years ago. Our analyses also revealed
substantial admixture within the three populations which occurred subsequent to
initial splits, and distinct gene introgression from surrounding populations, of
which northern ancestral component is dominant. Conclusions: These estimations
and findings facilitate to understanding population history and mechanism of
human genetic diversity in East Asia, and have implications for both evolutionary
and medical studies.
PMID- 29636656
TI - Investigating Term Reuse and Overlap in Biomedical Ontologies.
AB - We investigate the current extent of term reuse and overlap among biomedical
ontologies. We use the corpus of biomedical ontologies stored in the BioPortal
repository, and analyze three types of reuse constructs: (a) explicit term reuse,
(b) xref reuse, and (c) Concept Unique Identifier (CUI) reuse. While there is a
term label similarity of approximately 14.4% of the total terms, we observed that
most ontologies reuse considerably fewer than 5% of their terms from a concise
set of a few core ontologies. We developed an interactive visualization to
explore reuse dependencies among biomedical ontologies. Moreover, we identified a
set of patterns that indicate ontology developers did intend to reuse terms from
other ontologies, but they were using different and sometimes incorrect
representations. Our results suggest the value of semi-automated tools that
augment term reuse in the ontology engineering process through personalized
recommendations.
PMID- 29636654
TI - New and Emerging Treatments for Lymphedema.
AB - Although nonoperative and operative treatments for lymphedema (LE) are well
established, these procedures typically provide only partial relief from limb
swelling, functional impairment, and the risk of cellulitis. The lack of a cure
for LE, however, is due to an incomplete understanding of the underlying
pathophysiological mechanisms, and current research efforts are focusing on
elucidating these processes to provide new, targeted therapies for this prevalent
disease for which there is no cure. This article reviews the current literature
regarding the pathophysiological mechanisms that underlie LE, as well as new and
emerging therapies for the condition.
PMID- 29636657
TI - A Brain for Speech. Evolutionary Continuity in Primate and Human Auditory-Vocal
Processing.
AB - In this review article, I propose a continuous evolution from the auditory-vocal
apparatus and its mechanisms of neural control in non-human primates, to the
peripheral organs and the neural control of human speech. Although there is an
overall conservatism both in peripheral systems and in central neural circuits, a
few changes were critical for the expansion of vocal plasticity and the
elaboration of proto-speech in early humans. Two of the most relevant changes
were the acquisition of direct cortical control of the vocal fold musculature and
the consolidation of an auditory-vocal articulatory circuit, encompassing
auditory areas in the temporoparietal junction and prefrontal and motor areas in
the frontal cortex. This articulatory loop, also referred to as the phonological
loop, enhanced vocal working memory capacity, enabling early humans to learn
increasingly complex utterances. The auditory-vocal circuit became progressively
coupled to multimodal systems conveying information about objects and events,
which gradually led to the acquisition of modern speech. Gestural communication
accompanies the development of vocal communication since very early in human
evolution, and although both systems co-evolved tightly in the beginning, at some
point speech became the main channel of communication.
PMID- 29636658
TI - Brain Electrodynamic and Hemodynamic Signatures Against Fatigue During Driving.
AB - Fatigue is likely to be gradually cumulated in a prolonged and attention
demanding task that may adversely affect task performance. To address the brain
dynamics during a driving task, this study recruited 16 subjects to participate
in an event-related lane-departure driving experiment. Each subject was
instructed to maintain attention and task performance throughout an hour-long
driving experiment. The subjects' brain electrodynamics and hemodynamics were
simultaneously recorded via 32-channel electroencephalography (EEG) and 8
source/16-detector functional near-infrared spectroscopy (fNIRS). The behavior
performance demonstrated that all subjects were able to promptly respond to lane
deviation events, even if the sign of fatigue arose in the brain, which suggests
that the subjects were fighting fatigue during the driving experiment. The EEG
event-related analysis showed strengthening alpha suppression in the occipital
cortex, a common brain region of fatigue. Furthermore, we noted increasing
oxygenated hemoglobin (HbO) of the brain to fight driving fatigue in the frontal
cortex, primary motor cortex, parieto-occipital cortex and supplementary motor
area. In conclusion, the increasing neural activity and cortical activations were
aimed at maintaining driving performance when fatigue emerged. The electrodynamic
and hemodynamic signatures of fatigue fighting contribute to our understanding of
the brain dynamics of driving fatigue and address driving safety issues through
the maintenance of attention and behavioral performance.
PMID- 29636660
TI - Multi-Tasking and Choice of Training Data Influencing Parietal ERP Expression and
Single-Trial Detection-Relevance for Neuroscience and Clinical Applications.
AB - Event-related potentials (ERPs) are often used in brain-computer interfaces
(BCIs) for communication or system control for enhancing or regaining control for
motor-disabled persons. Especially results from single-trial EEG classification
approaches for BCIs support correlations between single-trial ERP detection
performance and ERP expression. Hence, BCIs can be considered as a paradigm shift
contributing to new methods with strong influence on both neuroscience and
clinical applications. Here, we investigate the relevance of the choice of
training data and classifier transfer for the interpretability of results from
single-trial ERP detection. In our experiments, subjects performed a visual-motor
oddball task with motor-task relevant infrequent (targets), motor-task irrelevant
infrequent (deviants), and motor-task irrelevant frequent (standards) stimuli.
Under dual-task condition, a secondary senso-motor task was performed, compared
to the simple-task condition. For evaluation, average ERP analysis and single
trial detection analysis with different numbers of electrodes were performed.
Further, classifier transfer was investigated between simple and dual task.
Parietal positive ERPs evoked by target stimuli (but not by deviants) were
expressed stronger under dual-task condition, which is discussed as an increase
of task emphasis and brain processes involved in task coordination and change of
task set. Highest classification performance was found for targets irrespective
whether all 62, 6 or 2 parietal electrodes were used. Further, higher detection
performance of targets compared to standards was achieved under dual-task
compared to simple-task condition in case of training on data from 2 parietal
electrodes corresponding to results of ERP average analysis. Classifier transfer
between tasks improves classification performance in case that training took
place on more varying examples (from dual task). In summary, we showed that P300
and overlaying parietal positive ERPs can successfully be detected while subjects
are performing additional ongoing motor activity. This supports single-trial
detection of ERPs evoked by target events to, e.g., infer a patient's attentional
state during therapeutic intervention.
PMID- 29636659
TI - Solving the Credit Assignment Problem With the Prefrontal Cortex.
AB - In naturalistic multi-cue and multi-step learning tasks, where outcomes of
behavior are delayed in time, discovering which choices are responsible for
rewards can present a challenge, known as the credit assignment problem. In this
review, I summarize recent work that highlighted a critical role for the
prefrontal cortex (PFC) in assigning credit where it is due in tasks where only a
few of the multitude of cues or choices are relevant to the final outcome of
behavior. Collectively, these investigations have provided compelling support for
specialized roles of the orbitofrontal (OFC), anterior cingulate (ACC), and
dorsolateral prefrontal (dlPFC) cortices in contingent learning. However, recent
work has similarly revealed shared contributions and emphasized rich and
heterogeneous response properties of neurons in these brain regions. Such
functional overlap is not surprising given the complexity of reciprocal
projections spanning the PFC. In the concluding section, I overview the evidence
suggesting that the OFC, ACC and dlPFC communicate extensively, sharing the
information about presented options, executed decisions and received rewards,
which enables them to assign credit for outcomes to choices on which they are
contingent. This account suggests that lesion or inactivation/inhibition
experiments targeting a localized PFC subregion will be insufficient to gain a
fine-grained understanding of credit assignment during learning and instead poses
refined questions for future research, shifting the focus from focal
manipulations to experimental techniques targeting cortico-cortical projections.
PMID- 29636661
TI - Sumoylation Protects Against beta-Synuclein Toxicity in Yeast.
AB - Aggregation of alpha-synuclein (alphaSyn) plays a central role in the
pathogenesis of Parkinson's disease (PD). The budding yeast Saccharomyces
cerevisiae serves as reference cell to study the interplay between alphaSyn
misfolding, cytotoxicity and post-translational modifications (PTMs). The
synuclein family includes alpha, beta and gamma isoforms. beta-synuclein
(betaSyn) and alphaSyn are found at presynaptic terminals and both proteins are
presumably involved in disease pathogenesis. Similar to alphaSyn, expression of
betaSyn leads to growth deficiency and formation of intracellular aggregates in
yeast. Co-expression of alphaSyn and betaSyn exacerbates the cytotoxicity. This
suggests an important role of betaSyn homeostasis in PD pathology. We show here
that the small ubiquitin-like modifier SUMO is an important determinant of
protein stability and betaSyn-induced toxicity in eukaryotic cells.
Downregulation of sumoylation in a yeast strain, defective for the SUMO-encoding
gene resulted in reduced yeast growth, whereas upregulation of sumoylation
rescued growth of yeast cell expressing betaSyn. This corroborates a protective
role of the cellular sumoylation machinery against betaSyn-induced toxicity.
Upregulation of sumoylation significantly reduced betaSyn aggregate formation.
This is an indirect molecular process, which is not directly linked to betaSyn
sumoylation because amino acid substitutions in the lysine residues required for
betaSyn sumoylation decreased aggregation without changing yeast cellular
toxicity. alphaSyn aggregates are more predominantly degraded by the
autophagy/vacuole than by the 26S ubiquitin proteasome system. We demonstrate a
vice versa situation for betaSyn, which is mainly degraded in the 26S proteasome.
Downregulation of sumoylation significantly compromised the clearance of betaSyn
by the 26S proteasome and increased protein stability. This effect is specific,
because depletion of functional SUMO did neither affect betaSyn aggregate
formation nor its degradation by the autophagy/vacuolar pathway. Our data support
that cellular betaSyn toxicity and aggregation do not correlate in their cellular
impact as for alphaSyn but rather represent two distinct independent molecular
functions and molecular mechanisms. These insights into the relationship between
betaSyn-induced toxicity, aggregate formation and degradation demonstrate a
significant distinction between the impact of alphaSyn compared to betaSyn on
eukaryotic cells.
PMID- 29636663
TI - Editorial: Cellular Mechanisms of Ototoxicity.
PMID- 29636662
TI - TRPV4 Blockade Preserves the Blood-Brain Barrier by Inhibiting Stress Fiber
Formation in a Rat Model of Intracerebral Hemorrhage.
AB - Blood-brain barrier (BBB) disruption and subsequent brain edema play important
roles in the secondary neuronal death and neurological dysfunction that are
observed following intracerebral hemorrhage (ICH). In previous studies, transient
receptor potential vanilloid 4 (TRPV4), a calcium-permeable mechanosensitive
channel, was shown to induce cytotoxicity in many types of cells and to play a
role in orchestrating barrier functions. In the present study, we explored the
role of TRPV4 in ICH-induced brain injury, specifically investigating its effect
on BBB disruption. Autologous arterial blood was injected into the basal ganglia
of rats to mimic ICH. Adult male Sprague Dawley rats were randomly assigned to
sham and experimental groups for studies on the time course of TRPV4 expression
after ICH. The selective TRPV4 antagonist HC-067047 and TRPV4 siRNA were
administered to evaluate the effects of TRPV4 inhibition. GSK1016790A, a TRPV4
agonist, was administered to naive rats to verify the involvement of TRPV4
induced BBB disruption. A PKC inhibitor, dihydrochloride (H7), and a selective
RhoA inhibitor, C3 transferase, were administered to clarify the involvement of
the PKCalpha/RhoA/MLC2 pathway following ICH. Post-ICH assessments including
functional tests, brain edema measurements, Evans blue extravasation, western
blotting and immunohistochemical assays were performed. TRPV4 inhibition
remarkably ameliorated neurological symptoms, brain edema, and neuronal death, as
well as BBB disruption, 24-72 h following ICH. Meanwhile, TRPV4 blockade
preserved the expression of adherens and tight junction proteins, as well as BBB
integrity, by inhibiting stress fiber formation, which might be correlated with
the regulation of components of the PKCalpha/RhoA/MLC2 pathway. Furthermore,
adherens and tight junction protein degradation induced by GSK1016790A treatment
in naive rats was also related to PKCalpha/RhoA/MLC2-pathway-mediated stress
fiber formation. Based on these findings, therapeutic interventions targeting
TRPV4 may represent a novel approach to ameliorate secondary brain injury
following ICH.
PMID- 29636664
TI - Epigenetics and Autism Spectrum Disorder: Is There a Correlation?
PMID- 29636666
TI - Synaptic Spinules in the Olfactory Circuit of Drosophila melanogaster.
AB - Here we report on ultrastructural features of brain synapses in the fly
Drosophila melanogaster and outline a perspective for the study of their
functional significance. Images taken with the aid of focused ion beam-scanning
electron microscopy (EM) at 20 nm intervals across olfactory glomerulus DA2
revealed that some synaptic boutons are penetrated by protrusions emanating from
other neurons. Similar structures in the brain of mammals are known as synaptic
spinules. A survey with transmission EM (TEM) disclosed that these structures are
frequent throughout the antennal lobe. Detailed neuronal tracings revealed that
spinules are formed by all three major types of neurons innervating glomerulus
DA2 but the olfactory sensory neurons (OSNs) receive significantly more spinules
than other olfactory neurons. Double-membrane vesicles (DMVs) that appear to
represent material that has pinched-off from spinules are also most abundant in
presynaptic boutons of OSNs. Inside the host neuron, a close association was
observed between spinules, the endoplasmic reticulum (ER) and mitochondria. We
propose that by releasing material into the host neuron, through a process
triggered by synaptic activity and analogous to axonal pruning, synaptic spinules
could function as a mechanism for synapse tagging, synaptic remodeling and neural
plasticity. Future directions of experimental work to investigate this theory are
proposed.
PMID- 29636668
TI - The Establishment of a CSF-Contacting Nucleus "Knockout" Model Animal.
AB - To establish an entirely cerebrospinal fluid (CSF)-contacting nucleus-deficient
model animal, we used cholera toxin B subunit (CB)- saporin (SAP), which is an
analog of CB-HRP that specifically labels the CSF-contacting nucleus, to
exclusively damage the nucleus. The effectiveness and specificity of the ablation
were evaluated upon days 1-10 after CB-SAP microinjection into the brain
ventricular system. The vital status, survival, and common physiological
parameters of the model animals were also assessed during the experimental
period. The results demonstrated that CB-SAP damaged only the CSF-contacting
nucleus, but not other functional structures, in the brain. The complete ablation
occurred by day 7 after CB-SAP microinjection. A model animal that had no CSF
contacting nucleus was established after survival beyond that time point. No
obvious effects were observed in the vital status of the model animals, and their
survival was ensured. The common physiological parameters of model animals were
stable. The present study provides a method to establish a CSF-contacting nucleus
"knockout" model animal, which is similar to a gene knockout model animal for
studying this particular nucleus in vivo.
PMID- 29636665
TI - Regulation of Brain-Derived Neurotrophic Factor and Growth Factor Signaling
Pathways by Tyrosine Phosphatase Shp2 in the Retina: A Brief Review.
AB - SH2 domain-containing tyrosine phosphatase-2 (PTPN11 or Shp2) is a ubiquitously
expressed protein that plays a key regulatory role in cell proliferation,
differentiation and growth factor (GF) signaling. This enzyme is well expressed
in various retinal neurons and has emerged as an important player in regulating
survival signaling networks in the neuronal tissues. The non-receptor phosphatase
can translocate to lipid rafts in the membrane and has been implicated to
regulate several signaling modules including PI3K/Akt, JAK-STAT and Mitogen
Activated Protein Kinase (MAPK) pathways in a wide range of biochemical processes
in healthy and diseased states. This review focuses on the roles of Shp2
phosphatase in regulating brain-derived neurotrophic factor (BDNF) neurotrophin
signaling pathways and discusses its cross-talk with various GF and downstream
signaling pathways in the retina.
PMID- 29636669
TI - Expression of RPRM/rprm in the Olfactory System of Embryonic Zebrafish (Danio
rerio).
AB - The Reprimo (RPRM) family is composed of highly conserved single-exon genes. The
expression pattern of this gene family has been recently described during
zebrafish (Danio rerio) embryogenesis, and primarily locates in the nervous
system. Its most characterized member, RPRM, which duplicated to give rise rprma
and rprmb in the fish lineage, is known to act as a tumor-suppressor gene in
mammalian models. Here, we describe in detail the spatiotemporal expression of
three rprm genes (rprma, rprmb, and rprml) within distinct anatomical structures
in the developing peripheral and central nervous system. In the zebrafish, rprma
mRNA is expressed in the olfactory placodes (OP) and olfactory epithelium (OE),
rprmb is observed in the tectum opticum (TeO) and trigeminal ganglion (Tg),
whereas rprml is found primarily in the telencephalon (Tel). At protein level,
RPRM is present in a subset of cells in the OP, and neurons in the OE, TeO,
hindbrain and sensory peripheral structures. Most importantly, the expression of
RPRM has been conserved between teleosts and mammals. Thus, we provide a
reference dataset describing the expression patterns of RPRM gene products during
zebrafish and mouse development as a first step to approach the physiological
role of the RPRM gene family.
PMID- 29636667
TI - Ryanodine Receptors in Autophagy: Implications for Neurodegenerative Diseases?
AB - Intracellular Ca2+ signaling is important in the regulation of several cellular
processes including autophagy. The endoplasmic reticulum (ER) is the main and
largest intracellular Ca2+ store. At the ER two protein families of Ca2+ release
channels, inositol 1,4,5-trisphosphate receptors (IP3Rs) and ryanodine receptors
(RyRs), are expressed. Several studies have reported roles in the regulation of
autophagy for the ubiquitously expressed IP3R. For instance, IP3R-mediated Ca2+
release supresses basal autophagic flux by promoting mitochondrial metabolism,
while also promoting the rapid initial increase in autophagic flux in response to
nutrient starvation. Insights into the contribution of RyRs in autophagy have
been lagging significantly compared to the advances made for IP3Rs. This is
rather surprising considering that RyRs are predominantly expressed in long-lived
cells with specialized metabolic needs, such as neurons and muscle cells, in
which autophagy plays important roles. In this review article, recent studies
revealing roles for RyRs in the regulation of autophagy will be discussed.
Several RyR-interacting proteins that have been established to modulate both RyR
function and autophagy will also be highlighted. Finally, the involvement of RyRs
in neurodegenerative diseases will be addressed. Inhibition of RyR channels has
not only been shown to be beneficial for treating several of these diseases but
also regulates autophagy.
PMID- 29636670
TI - The Endocannabinoid System, Aggression, and the Violence of Synthetic Cannabinoid
Use, Borderline Personality Disorder, Antisocial Personality Disorder, and Other
Psychiatric Disorders.
AB - Endogenous and exogenous cannabinoids bind to central cannabinoid receptors to
control a multitude of behavioral functions, including aggression. The first main
objective of this review is to dissect components of the endocannabinoid system,
including cannabinoid 1 and cannabinoid 2 receptors; the endogenous cannabinoids
anandamide and 2-arachidonoylglycerol; and the indirect cannabinoid modulators
fatty acid amide hydrolase and monoacylglycerol lipase; that have shown
abnormalities in basic research studies investigating mechanisms of aggression.
While most human research has concluded that the active ingredient of marijuana,
Delta9-tetrahydrocannabinol, tends to dampen rather than provoke aggression in
acute doses, recent evidence supports a relationship between the ingestion of
synthetic cannabinoids and emergence of violent or aggressive behavior. Thus,
another objective is to evaluate the emerging clinical data. This paper also
discusses the relationship between prenatal and perinatal exposure to cannabis as
well as use of cannabis in adolescence on aggressive outcomes. A final objective
of the paper is to discuss endocannabinoid abnormalities in psychotic and
affective disorders, as well as clinically aggressive populations, such as
borderline personality disorder and antisocial personality disorder. With regard
to the former condition, decreased anandamide metabolites have been reported in
the cerebrospinal fluid, while some preliminary evidence suggests that fatty acid
amide hydrolase genetic polymorphisms are linked to antisocial personality
disorder and impulsive-antisocial psychopathic traits. To summarize, this paper
will draw upon basic and clinical research to explain how the endocannabinoid
system may contribute to the genesis of aggressive behavior.
PMID- 29636671
TI - Brain Atrophy and Reorganization of Structural Network in Parkinson's Disease
With Hemiparkinsonism.
AB - Hemiparkinsonism duration in patients with Parkinson's disease (PD) is a key time
window to study early pathology of PD. We aimed to comprehensively explore the
alterations of deformation and structural network in PD patients with
hemiparkinsonism, which could potentially disclose the early biomarker for PD.
Thirty-one PD patients with hemiparkinsonism and 37 age- and gender- matched
normal controls were included in the present study. First of all, we normalized
the left hemisphere of structural images as the contralateral side to the
affected limbs. Deformation-based morphometry (DBM) was conducted to evaluate the
brain atrophy and/or enlargement. structural networks were constructed by
thresholding gray matter volume correlation matrices of 116 regions and analyzed
using graph theoretical approaches (e.g., small-worldness, global, and nodal
measures). Significantly decreased deformation values were observed in the
temporoparietal regions like bilateral middle temporal gyri, ipsilateral
precuneus and contralateral Rolandic operculum extending to supramarginal and
postcentral gyri. Lower deformation values in contralateral middle temporal gyrus
were negatively correlated with higher motor impairment which was dominated by
akinesia/rigidity. Moreover, nodal reorganization of structural network mainly
located in frontal, temporal, subcortex and cerebellum was bilaterally explored
in PD patients with hemiparkinsonism. Increased nodal properties could be
commonly observed in frontal lobes. Disruption of subcortex including basal
ganglia and amygdala was detected by nodal local efficiency and nodal clustering
coefficient. Twelve hubs, mainly from paralimbic-limbic and heteromodal networks,
were disrupted and, alternatively, 14 hubs, most of which were located in frontal
lobes, were additionally detected in PD patients with hemiparkinsonism. In
conclusion, during hemiparkinsonism period, mild brain atrophy in the
temporoparietal regions and widespread reorganization of structural network,
e.g., enhanced frontal function and disruption of basal ganglia nodes, occurred
in both hemispheres. With our data, we can also argue that MTG contralateral to
the affected limbs (expressing clinically verified brain atrophy) might be a
potential living biomarker to monitor disease progression. Therefore, the
combination of DBM and structural network analyses can provide a comprehensive
and sensitive evaluation for potential pathogenesis of early PD patients with
hemiparkinsonism.
PMID- 29636672
TI - Assessing Anosognosia in Apraxia of Common Tool-Use With the VATA-NAT.
AB - In neurological patients, a lack of insight into their impairments can lead to
possibly dangerous situations and non-compliance in rehabilitation therapy with
worse rehabilitation outcomes as a result. This so called anosognosia is a
multifaceted syndrome that can occur after brain damage affecting different
neurological or cognitive functions. To our knowledge no study has investigated
anosognosia for apraxia of common tool-use (CTU) so far. CTU-apraxia is a
disorder frequently occurring after stroke that affects the use of familiar
objects. Here, we introduce a new questionnaire to diagnose anosognosia for CTU
apraxia, the Visual Analogue Test assessing Anosognosia for Naturalistic Action
Tasks (VATA-NAT). This assessment is adapted from a series of VATA-questionnaires
that evaluate insight into motor (VATA-M) or language (VATA-L) impairment and
take known challenges such as aphasia into account. Fifty one subacute stroke
patients with left (LBD) or right (RBD) brain damage were investigated including
patients with and without CTU-apraxia. Patients were assessed with the VATA-L, -M
and -NAT before and after applying a diagnostics session for each function.
Interrater reliability, composite reliability as well as convergent and divergent
validity were evaluated for the VATA-NAT. Seven percent of the LBD patients with
CTU-apraxia demonstrated anosognosia. After tool-use diagnostics this number
increased to 20 percent. For the VATA-NAT, psychometric data revealed high
interrater-reliability (tau >= 0.828), composite reliability (CR >= 0.809) and
convergent validity (tau = -0.626). When assessing patients with severe aphasia,
the possible influence of language comprehension difficulties needs to be taken
into account for interpretation. Overall, close monitoring of anosognosia over
the course of rehabilitation is recommended. With the VATA-NAT we hereby provide
a novel assessment for anosognosia in patients with CTU-apraxia. For diagnosing
anosognosia we recommend to combine this new tool with the existing VATA-M and -L
subtests, particularly in patients who demonstrate severe functional deficits.
PMID- 29636673
TI - Analysis of Multichannel EEG Patterns During Human Sleep: A Novel Approach.
AB - Classic visual sleep stage scoring is based on electroencephalogram (EEG)
frequency band analysis of 30 s epochs and is commonly performed by highly
trained medical sleep specialists using additional information from submental EMG
and eye movements electrooculogram (EOG). In this study, we provide the proof-of
principle in 40 subjects that sleep stages can be consistently differentiated
solely on the basis of spatial 3-channel EEG patterns based on root-mean-square
(RMS) amplitudes. The polysomnographic 3-channel EEG data are pre-processed by
RMS averaging over intervals of 30 s leading to spatial cortical activity
patterns represented by 3-dimensional vectors. These patterns are visualized
using multidimensional scaling (MDS), allowing a comparison of the spatial
cortical activity patterns with the conventional visual sleep scoring system
according to the American Academy of Sleep Medicine (AASM). Spatial cortical
activity patterns based on RMS amplitudes naturally divide into different
clusters that correspond to visually scored sleep stages. Furthermore, these
clusters are reproducible between different subjects. Especially the cluster
associated with the REM sleep stage seems to be very different from the one
associated with the wake state. This study provides a proof-of-principle that it
is possible to separate sleep stages solely by analyzing spatially distributed
EEG RMS amplitudes reflecting cortical activity and without classical EEG feature
extractions like power spectrum analysis.
PMID- 29636675
TI - Dynamic Information Encoding With Dynamic Synapses in Neural Adaptation.
AB - Adaptation refers to the general phenomenon that the neural system dynamically
adjusts its response property according to the statistics of external inputs. In
response to an invariant stimulation, neuronal firing rates first increase
dramatically and then decrease gradually to a low level close to the background
activity. This prompts a question: during the adaptation, how does the neural
system encode the repeated stimulation with attenuated firing rates? It has been
suggested that the neural system may employ a dynamical encoding strategy during
the adaptation, the information of stimulus is mainly encoded by the strong
independent spiking of neurons at the early stage of the adaptation; while the
weak but synchronized activity of neurons encodes the stimulus information at the
later stage of the adaptation. The previous study demonstrated that short-term
facilitation (STF) of electrical synapses, which increases the synchronization
between neurons, can provide a mechanism to realize dynamical encoding. In the
present study, we further explore whether short-term plasticity (STP) of chemical
synapses, an interaction form more common than electrical synapse in the cortex,
can support dynamical encoding. We build a large-size network with chemical
synapses between neurons. Notably, facilitation of chemical synapses only
enhances pair-wise correlations between neurons mildly, but its effect on
increasing synchronization of the network can be significant, and hence it can
serve as a mechanism to convey the stimulus information. To read-out the stimulus
information, we consider that a downstream neuron receives balanced excitatory
and inhibitory inputs from the network, so that the downstream neuron only
responds to synchronized firings of the network. Therefore, the response of the
downstream neuron indicates the presence of the repeated stimulation. Overall,
our study demonstrates that STP of chemical synapse can serve as a mechanism to
realize dynamical neural encoding. We believe that our study shed lights on the
mechanism underlying the efficient neural information processing via adaptation.
PMID- 29636674
TI - The Importance of Spatial Visual Scene Parameters in Predicting Optimal Cone
Sensitivities in Routinely Trichromatic Frugivorous Old-World Primates.
AB - Computational models that predict the spectral sensitivities of primate cone
photoreceptors have focussed only on the spectral, not spatial, dimensions. On
the ecologically valid task of foraging for fruit, such models predict the M-cone
("green") peak spectral sensitivity 10-20 nm further from the L-cone ("red")
sensitivity peak than it is in nature and assume their separation is limited by
other visual constraints, such as the requirement of high-acuity spatial vision
for closer M and L peak sensitivities. We explore the possibility that a spatio
chromatic analysis can better predict cone spectral tuning without appealing to
other visual constraints. We build a computational model of the primate retina
and simulate chromatic gratings of varying spatial frequencies using measured
spectra. We then implement the case study of foveal processing in routinely
trichromatic primates for the task of discriminating fruit and leaf spectra. We
perform an exhaustive search for the configurations of M and L cone spectral
sensitivities that optimally distinguish the colour patterns within these
spectral images. Under such conditions, the model suggests that: (1) a long
wavelength limit is required to constrain the L cone spectral sensitivity to its
natural position; (2) the optimal M cone peak spectral sensitivity occurs at ~525
nm, close to the observed position in nature (~535 nm); (3) spatial frequency has
a small effect upon the spectral tuning of the cones; (4) a selective pressure
toward less correlated M and L spectral sensitivities is provided by the need to
reduce noise caused by the luminance variation that occurs in natural scenes.
PMID- 29636676
TI - Effect of Fear of Falling on Turning Performance in Parkinson's Disease in the
Lab and at Home.
AB - Background: Parkinson's disease (PD) is a neurodegenerative movement disorder
associated with gait and balance problems and a substantially increased risk of
falling. Falls occur often during complex movements, such as turns. Both fear of
falling (FOF) and previous falls are relevant risk factors for future falls.
Based on recent studies indicating that lab-based and home assessment of similar
movements show different results, we hypothesized that FOF and a positive fall
history would influence the quantitative turning parameters differently in the
laboratory and home. Methods: Fifty-five PD patients (43 underwent a standardized
lab assessment; 40 were assessed over a mean of 12 days at home with
approximately 10,000 turns per participant; and 28 contributed to both
assessments) were classified regarding FOF and previous falls as "vigorous" (no
FOF, negative fall history), "anxious" (FOF, negative fall history), "stoic" (no
FOF, positive fall history) and "aware" (FOF, positive fall history). During the
assessments, each participant wore a sensor on the lower back. Results: In the
lab assessment, FOF was associated with a longer turning duration and lowered
maximum and middle angular velocities of turns. In the home evaluations, a lack
of FOF was associated with lowered maximum and average angular velocities of
turns. Positive falls history was not significantly associated with turning
parameters, neither in the lab nor in the home. Conclusion: FOF but not a
positive fall history influences turning metrics in PD patients in both
supervised and unsupervised environments, and this association is different
between lab and home assessments. Our findings underline the relevance of
comprehensive assessments including home-based data collection strategies for
fall risk evaluation.
PMID- 29636677
TI - Methyl Salicylate Lactoside Protects Neurons Ameliorating Cognitive Disorder
Through Inhibiting Amyloid Beta-Induced Neuroinflammatory Response in Alzheimer's
Disease.
AB - Neuroinflammatory reactions mediated by microglia and astrocytes have been shown
to play a key role in early progression of Alzheimer's disease (AD). Increased
evidences have demonstrated that neurons exacerbate local inflammatory reactions
by producing inflammatory mediators and act as an important participant in the
pathogenesis of AD. Methyl salicylate lactoside (MSL) is an isolated natural
product that is part of a class of novel non-steroidal anti-inflammatory drugs
(NSAID). In our previous studies, we demonstrated that MSL exhibited therapeutic
effects on arthritis-induced mice and suppressed the activation of glial cells.
In the current study, we investigated the effects of MSL on cognitive function
and neuronal protection induced by amyloid-beta peptides (Abeta) and explored
potential underlying mechanisms involved. Amyloid precursor protein (APP) and
presenilin 1 (PS1) double transgenic mice were used to evaluate the effects of
MSL through behavioral testing and neuronal degenerative changes. In addition,
copper-injured APP Swedish mutation overexpressing SH-SY5Y cells were used to
determine the transduction of cyclooxygenase (COX) and mitogen-activated protein
kinase (MAPK) pathways. Our results indicated that at an early stage, MSL
treatment ameliorated cognitive impairment and neurodegeneration in APP/PS1 mice.
Moreover, in an in vitro AD model, MSL treatment protected injured cells by
increasing cell viability, improving mitochondrial dysfunction, and decreasing
oxidative damage. In addition, MSL inhibited the phosphorylated level of c-Jun N
terminal kinase (JNK) and p38 MAPK, and suppressed the expression of COX-1/2. As
a novel NSAIDs and used for the treatment in early stage of AD, MSL clearly
demonstrated cognitive preservation by protecting neurons via a pleiotropic anti
inflammatory effect in the context of AD-associated deficits. Therefore, early
treatment of anti-inflammatory therapy may be an effective strategy for treating
AD.
PMID- 29636678
TI - Reduction of Proliferating Olfactory Cells and Low Expression of Extracellular
Matrix Genes Are Hallmarks of the Aged Olfactory Mucosa.
AB - Background: The incidence of olfactory impairment increases with age; however,
the detailed molecular and cellular mechanisms underlying this increase are yet
to be determined. Methods: We examined the influence of aging on olfactory
receptor neurons (ORNs), which are maintained by a unique stem cell system, from
olfactory progenitor cells to mature ORNs, by histological comparisons of the
physiological status of the olfactory epithelium between young adult and aged
mice. Furthermore, we clarified the expression of genes encoding inflammatory
cytokines, neurotrophins, growth factors, and extracellular matrix proteins to
reveal the molecular mechanisms underlying olfactory impairment caused by aging.
Results: The numbers of mature and immature ORNs, but not olfactory progenitors,
decreased in the aged olfactory epithelium, with a concurrent reduction in Ki-67
positive proliferating cells. Transcriptome analyses revealed an increase in Il6,
encoding a component of senescence-associated secretary phenotypes (SASP), and a
decrease in Igf1, encoding a growth factor for ORNs, in the aged nasal mucosa.
Interestingly, expression levels of several extracellular matrix genes, including
Col1a2, decreased in the aged nasal mucosa. Consistent with the transcriptional
changes, the number of Col1a2-GFP-positive cells decreased in the aged lamina
propria. Conclusions: Our data suggest that reduction in ORN number and cell
proliferation, reduced extracellular matrix gene expression, and increased SASP
contribute to olfactory impairment during aging.
PMID- 29636680
TI - Cepharanthine Prevents Estrogen Deficiency-Induced Bone Loss by Inhibiting Bone
Resorption.
AB - Osteoporosis is a common health problem worldwide caused by an imbalance of bone
formation vs. bone resorption. However, current therapeutic approaches aimed at
enhancing bone formation or suppressing bone resorption still have some
limitations. In this study, we demonstrated for the first time that cepharanthine
(CEP, derived from Stephania cepharantha Hayata) exerted a protective effect on
estrogen deficiency-induced bone loss. This protective effect was confirmed to be
achieved through inhibition of bone resorption in vivo, rather than through
enhancement of bone formation in vivo. Furthermore, the in vitro study revealed
that CEP attenuated receptor activator of nuclear factor kappaB ligand (RANKL)
induced osteoclast formation, and suppressed bone resorption by impairing the c
Jun N-terminal kinase (JNK) and phosphatidylinositol 3-kinase (PI3K)-AKT
signaling pathways. The inhibitory effect of CEP could be partly reversed by
treatment with anisomycin (a JNK and p38 agonist) and/or SC79 (an AKT agonist) in
vitro. Our results thus indicated that CEP could prevent estrogen deficiency
induced bone loss by inhibiting osteoclastogenesis. Hence, CEP might be a novel
therapeutic agent for anti-osteoporosis therapy.
PMID- 29636679
TI - Gray/White Matter Contrast in Parkinson's Disease.
AB - Gray/white matter contrast (GWC) decreases with aging and has been found to be a
useful MRI biomarker in Alzheimer's disease (AD), but its utility in Parkinson's
disease (PD) patients has not been investigated. The aims of the study were to
test whether GWC is sensitive to aging changes in PD patients, if PD patients
differ from healthy controls (HCs) in GWC, and whether the use of GWC data would
improve the sensitivity of cortical thickness analyses to differentiate PD
patients from controls. Using T1-weighted structural images, we obtained
individual cortical thickness and GWC values from a sample of 90 PD patients and
27 controls. Images were processed with the automated FreeSurfer stream. GWC was
computed by dividing the white matter (WM) by the gray matter (GM) values and
projecting the ratios onto a common surface. The sample characteristics were: 52
patients and 14 controls were males; mean age of 64.4 +/- 10.6 years in PD and
64.7 +/- 8.6 years in controls; 8.0 +/- 5.6 years of disease evolution; 15.6 +/-
9.8 UPDRS; and a range of 1.5-3 in Hoehn and Yahr (H&Y) stage. In both PD and
controls we observed significant correlations between GWC and age involving
almost the entire cortex. When applying a stringent cluster-forming threshold of
p < 0.0001, the correlation between GWC and age also involved the entire cortex
in the PD group; in the control group, the correlation was found in the
parahippocampal gyrus and widespread frontal and parietal areas. The GWC of PD
patients did not differ from controls', whereas cortical thickness analyses
showed thinning in temporal and parietal cortices in the PD group. Cortical
thinning remained unchanged after adjusting for GWC. GWC is a very sensitive
measure for detecting aging effects, but did not provide additional information
over other parameters of atrophy in PD.
PMID- 29636681
TI - Mechanisms of BK Channel Activation by Docosahexaenoic Acid in Rat Coronary
Arterial Smooth Muscle Cells.
AB - Aim: Docosahexaenoic acid (DHA) is known to activate the vascular large
conductance calcium-activated potassium (BK) channels and has protective effects
on the cardiovascular system. However, the underlying mechanisms through which
DHA activates BK channels remain unclear. In this study, we determined such
mechanisms by examining the effects of different concentrations of DHA on BK
channels in freshly isolated rat coronary arterial smooth muscle cells (CASMCs)
using patch clamp techniques. Methods and Results: We found that BK channels are
the major potassium currents activated by DHA in rat CASMCs and the effects of
DHA on BK channels are concentration dependent with a bimodal distribution. At
concentrations of <1 MUM, DHA activated whole-cell BK currents with an EC50 of
0.24 +/- 0.05 MUM and the activation effects were abolished by pre-incubation
with SKF525A (10 MUM), a cytochrome P450 (CYP) epoxygenase inhibitor, suggesting
the role of DHA-epoxide. High concentrations of DHA (1-10 MUM) activated whole
cell BK currents with an EC50 of 2.38 +/- 0.22 MUM and the activation effects
were unaltered by pre-incubation with SKF525A. Single channel studies showed that
the open probabilities of BK channels were unchanged in the presence of low
concentrations of DHA, while significantly increased with high concentrations of
DHA. In addition, DHA induced a dose-dependent increase in cytosolic calcium
concentrations with an EC50 of 0.037 +/- 0.01 MUM via phospholipase C (PLC)
inositol triphosphate (IP3)-Ca2+ signal pathway, and inhibition of this pathway
reduced DHA-induced BK activation. Conclusion: These results suggest that DHA can
activate BK channels by multiple mechanisms. Low concentration DHA-induced BK
channel activation is mediated through CYP epoxygenase metabolites, while high
concentration DHA can directly activate BK channels. In addition, DHA at low and
high concentrations can both activate BK channels by elevated cytosolic calcium
through the PLC-IP3-Ca2+ signal pathway.
PMID- 29636682
TI - Application of Physiologically Based Pharmacokinetic (PBPK) Modeling Within a
Bayesian Framework to Identify Poor Metabolizers of Efavirenz (PM), Using a Test
Dose of Efavirenz.
AB - Poor metabolisers of CYP2B6 (PM) require a lower dose of efavirenz because of
serious adverse reactions resulting from the higher plasma concentrations
associated with a standard dose. Treatment discontinuation is a common
consequence in patients experiencing these adverse reactions. Such patients
benefit from appropriate dose reduction, where efficacy can be achieved without
the serious adverse reactions. PMs are usually identified by genotyping. However,
in countries with limited resources genotyping is unaffordable. Alternative cost
effective methods of identifying a PM will be highly beneficial. This study was
designed to determine whether a plasma concentration corresponding to a 600 mg
test dose of efavirenz can be used to identify a PM. A physiologically based
pharmacokinetic (PBPK) model was used to simulate the concentration-time profiles
of a 600 mg dose of efavirenz in extensive metabolizers (EM), intermediate
metabolizers (IM), and PM of CYP2B6. Simulated concentration-time data were used
in a Bayesian framework to determine the probability of identifying a PM, based
on plasma concentrations of efavirenz at a specific collection time. Results
indicated that there was a high likelihood of differentiating a PM from other
phenotypes by using a 24 h plasma concentration. The probability of correctly
identifying a PM phenotype was 0.82 (true positive), while the probability of not
identifying any other phenotype as a PM (false positive) was 0.87. A plasma
concentration >1,000 ng/mL at 24 h post-dose is likely to be from a PM. Further
verification of these findings using clinical studies is recommended.
PMID- 29636683
TI - Yi Shen Juan Bi Pill Ameliorates Bone Loss and Destruction Induced by Arthritis
Through Modulating the Balance of Cytokines Released by Different Subpopulations
of T Cells.
AB - The Yi Shen Juan Bi Pill (YSJB), a traditional Chinese compound herbal drug, has
been used as an anti-rheumatic drug in clinical practice. Cartilage and bone
destruction of inflamed joints is the hallmark of rheumatoid arthritis (RA). Our
previous study suggested that YSJB had a protective effect on joint damage in
collagen-induced (CIA) rats. However, the role and the mechanism of YSJB in
inflammation-induced bone loss are unavailable. The current study aimed to
further evaluate the effect of YSJB on the joint destruction and the systemic
bone loss, and to clarify the potential mechanism. CIA model was generated by
using collagen II and incomplete Freund's adjuvant in Sprague-Dawley rats. After
4 weeks treatment, arthritic index, tissue pathology, micro-computed tomography
scanning (MU-CT), and bone mineral density (BMD) analysis were performed. YSJB
decreased arthritic scores and bone destruction; improved the BMD of lumbar
vertebrae and bone volume fraction of inflamed joints. Moreover, YSJB
significantly decreased the production of serum bone resorption markers,
including Tartrate-Resistant Acid Phosphatase (TRACP), N-terminal telopeptide of
type I collagen and C-terminal telopeptide of type I collagen. Meanwhile, it
increased the level of serum bone formation marker type I collagen N-terminal
propeptide. These results revealed that YSJB ameliorated bone destruction and
reduced bone loss induced by arthritis. We have previously showed that Tregs
inhibited osteoclast differentiation and bone resorption in vitro. Furthermore,
others suggested that abnormality of Th1, Th17 may contribute to bone
destruction. Here, we showed YSJB significantly up-regulated the percentage of
Tregs, while also down-regulated the percentage of Th1 and Th17 cells. Our
findings provide the evidence that YSJB ameliorates the severity of disease and
joint degradation, and reduces systemic bone loss induced by arthritis. We
propose YSJB modulates the balance of T cell phenotype, which affects the
activation and differentiation of osteoclasts.
PMID- 29636684
TI - Clozapine Use During Pregnancy and Lactation: A Case-Series Report.
AB - The current prescription of clozapine in psychotic women of reproductive age
makes it crucial to understand its pharmacokinetics during pregnancy and
lactation as well as its risk profile for neonatal outcome. The aim of this case
series was to provide new evidence on the pharmacokinetic features of clozapine
that determine its passage through the placenta and amniotic fluid, as well as
the neonatal clozapine elimination half-life (t1/2). This case series
demonstrates for the first time that clozapine might show partial placental
passage similar to other atypical antipsychotics. Clozapine levels decreased
during the first few days in nursing infants. The half-life of clozapine in
neonates was slightly higher than previously estimated. Clozapine use in
pregnancy may be associated with diabetes mellitus, especially if there is a
family history of this disease. Although no acute toxicological effects were
observed in the intrauterine exposed newborn, close follow-up of pregnancy is
recommended. However, these results must be taken with caution being a case
series with small sample size.
PMID- 29636685
TI - Nanobody-Based Biologics for Modulating Purinergic Signaling in Inflammation and
Immunity.
AB - Adenosine triphosphate (ATP) and nicotinamide adenine dinucleotide (NAD+) are
released as danger signals from cells during infection and sterile inflammation.
In the extracellular compartment ATP is converted by CD39, CD73, and other ecto
enzymes into metabolites that modulate the activity of T cells and macrophages.
While ATP mediates pro-inflammatory signals via P2X7 and other P2 receptors,
adenosine triggers anti-inflammatory signaling via the adenosine 2a receptor
(Adora2a) and other P1 receptors. The latter also plays a role in maintaining an
immunosuppressive tumor microenvironment. NAD+ is converted by CD38, CD203 and
other ecto-enzymes to the Ca2+ mobilizing messengers cyclic ADP-ribose and ADP
ribose, and to adenosine. Recent findings on the roles of CD38, CD39, CD73,
CD203, P2X7, and Adora2a in inflammation and immunity underscore the potential of
these proteins as drug targets. However, available small molecule inhibitors
often lack specificity and mediate unwanted off-target toxicity. Nanobodies -
single domain antibodies derived from heavy chain antibodies that naturally occur
in camelids - display a propensity to bind functional epitopes not accessible to
conventional antibodies. Like conventional antibodies, nanobodies and nanobody
based biologics are highly specific and have well-understood, tunable in vivo
pharmacodynamics with little if any toxicity. Nanobodies thus represent
attractive alternatives to small molecule inhibitors for modulating purinergic
signaling in inflammation and immunity. Here we review recent progress made in
developing nanobodies against key targets of purinergic signaling.
PMID- 29636686
TI - Arctigenin Inhibits Liver Cancer Tumorigenesis by Inhibiting Gankyrin Expression
via C/EBPalpha and PPARalpha.
AB - Burdock (Arctium lappa) is a popular vegetable in China and Japan that is
consumed for its general health benefits. The principal active component of
burdock is arctigenin, which shows a range of bioactivities in vivo and in vitro.
Here, we investigated the potential anti-tumor effects of arctigenin using two
human hepatocellular carcinoma (HCC) cell lines, HepG2 and Hep3B, and sought to
elucidate its potential mechanisms of action. Our results showed that arctigenin
treatment inhibited cell growth in both HepG2 and Hep3B cell lines (IC50 of 4.74
nM for HepG2 cells, and of 59.27 nM for Hep3B cells). In addition, migration,
invasion, and colony formation by HepG2 cells were significantly inhibited by
arctigenin. By contrast, treatment of Hep3B cells with arctigenin did not alter
these parameters. Arctigenin also significantly reduced the levels of gankyrin
mRNA and protein in HepG2 cells, but not in Hep3B cells. A luciferase assay
indicated that arctigenin targeted the -450 to -400 region of the gankyrin
promoter. This region is also the potential binding site for both C/EBPalpha and
PPARalpha, as predicted and confirmed by an online software analysis and ChIP
assay. Additionally, a co-immunoprecipitation (Co-IP) assay showed that binding
between C/EBPalpha and PPARalpha was increased in the presence of arctigenin.
However, arctigenin did not increase the expression of C/EBPalpha or PPARalpha
protein. A binding screening assay and liquid chromatography-mass spectrometry
(LC-MS) were performed to identify the mechanisms by which arctigenin regulates
gankyrin expression. The results suggested that arctigenin could directly
increase C/EBPalpha binding to the gankyrin promoter (-432 to -422 region), but
did not affect PPARalpha binding. Expression of gankyrin, C/EBPalpha, and
PPARalpha were analyzed in tumor tissues of patients using real-time PCR. Both
C/EBPalpha and PPARalpha showed negative correlations with gankyrin. In tumor
bearing mice, arctigenin had a significant inhibitory effect on HCC growth. In
conclusion, our results suggested that arctigenin could inhibit liver cancer
growth by directly recruiting C/EBPalpha to the gankyrin promoter. PPARalpha
subsequently bound to C/EBPalpha, and both had a negative regulatory effect on
gankyrin expression. This study has identified a new mechanism of action of
arctigenin against liver cancer growth.
PMID- 29636687
TI - Halofuginone Attenuates Osteoarthritis by Rescuing Bone Remodeling in Subchondral
Bone Through Oral Gavage.
AB - Osteoarthritis (OA) is a common debilitating joint disorder worldwide without
effective medical therapy. Articular cartilage and subchondral bone act in
concert as a functional unit with the onset of OA. Halofuginone is an analog of
the alkaloid febrifugine extracted from the plant Dichroa febrifuga, which has
been demonstrated to exert inhibition of SMAD 2/3 phosphorylation downstream of
the TGF-beta signaling pathway and osteoclastogenesis. To investigate whether
halofuginone (HF) alleviates OA after administration by oral gavage, 3-month-old
male mice were allocated to the Sham group, vehicle-treated anterior cruciate
ligament transection (ACLT) group, and HF-treated ACLT group. The immunostaining
analysis indicated that HF reduced the number of matrix metalloproteinase 13 (MMP
13) and collagen X (Col X) positive cells in the articular cartilage. Moreover,
HF lowered histologic OA score and prevented articular cartilage degeneration.
The micro-computed tomography (MUCT) scan showed that HF maintained the
subchondral bone microarchitecture, demonstrated by the restoration of bone
volume fraction (BV/TV), subchondral bone plate thickness (SBP.Th.), and
trabecular pattern factor (Tb.Pf) to a level comparable to that of the Sham
group. Immunostaining for CD31 and MUCT based angiography showed that the number
and volume of vessels in subchondral bone was restored by HF. HF administered by
oral gavage recoupled bone remodeling and inhibited aberrant angiogenesis in the
subchondral bone, further slowed the progression of OA. Therefore, HF
administered by oral gavage could be a potential therapy for OA.
PMID- 29636689
TI - D-Arg0-Bradykinin-Arg-Arg, a Latent Vasoactive Bradykinin B2 Receptor Agonist
Metabolically Activated by Carboxypeptidases.
AB - We previously reported hypotensive and vasodilator effects from C-terminally
extended bradykinin (BK) sequences that behave as B2 receptor (B2R) agonists
activated by vascular or plasma peptidases. D-Arg0-BK-Arg-Arg (r-BK-RR) is a
novel prodrug peptide hypothetically activated by two catalytic cycles of Arg
carboxypeptidases (CPs) to release the direct agonist D-Arg0-BK. N-terminally
extending the BK sequence with D-Arg0 in the latter peptide was meant to block
the second kinin inactivation pathway in importance, aminopeptidase P. The
affinity of r-BK and r-BK-RR for recombinant B2R was assessed using a [3H]BK
binding displacement assay. Their pharmacology was evaluated in human isolated
umbilical vein, a contractile bioassay for the B2R, in a morphological assay
involving the endocytosis of B2R-green fusion protein (GFP) and in anesthetized
rats instrumented to record hemodynamic responses to bolus intravenous injection
of both peptides. r-BK exhibited an affinity equal to that of BK for the rat B2R,
while r-BK-RR was 61-fold less potent. In the vein and the B2R-GFP
internalization assay, r-BK was a direct agonist unaffected by the blockade of
angiotensin converting enzyme (ACE) with enalaprilat, or Arg-CPs with Plummer's
inhibitor. However, the in vitro effects of r-BK-RR were reduced by these
inhibitors, more so by enalaprilat. In anesthetized rats, r-BK and r-BK-RR were
equipotent hypotensive agents and their effects were inhibited by icatibant (a
B2R antagonist). The hypotensive effects of r-BK were potentiated by enalaprilat,
but not influenced by the Arg-CPs inhibitor, which is consistent with a minor
role of Arg-CPs in the metabolism of r-BK. However, in rats pretreated with both
enalaprilat and Plummer's inhibitor, the hypotensive responses and the duration
of the hypotensive episode to r-BK were significantly potentiated. The
hypotensive responses to r-BK-RR were not affected by enalaprilat, but were
reduced by pre-treatment with the Arg-CPs inhibitor alone or combined with
enalaprilat. Therefore, in vivo, Arg-CPs activity is dominant over ACE to
regenerate the B2R agonist r-BK from r-BK-RR, a prodrug activator of the B2R. A
B2R agonist activated only at the level of the microcirculation by resident
peptidases could be developed as an intravenously infused drug for ischemic
diseases.
PMID- 29636688
TI - Development of Hybrid IgG-Aptamer Sandwich Immunoassay Platform for Aflatoxin B1
Detection and Its Evaluation Onto Various Field Samples.
AB - The present study was aimed to develop a novel antibody-aptamer based hybrid
detection strategy for specific and sensitive detection of aflatoxin B1 (AFB1)
from contaminated food grains. The study comprises generation of ssDNA aptamers
and anti-AFB1 IgG against AFB1 toxin. The generated bio-probes (aptamers and
antibodies) were further characterized for their specificity and sensitivity
using indirect ELISA. The generated aptamers namely AFB1a and AFB1b showed
prominent reactivity and selectivity against AFB1 toxin. These aptamers were
further characterized for their secondary structures and dG values were
determined as -4.6 and -2.75 Kcal/mol, respectively. The detection limit (LOD) of
AFB1a and anti-AFB1 IgG was determined as 5 and 10 ng/mL, respectively. The
characterized aptamers and antibodies against AFB1 were used to develop the
sandwich immunoassay. Anti AFB1 IgG was used as a capturing antibody whereas anti
AFB1a aptamer was used as its revealing partner in the assay. The limit of
detection (LOD) of the immunoassay was determined to be 5 ng/mL of AFB1 standard
toxin and showed no cross-reactivity with closely related mycotoxins. To assess
the reliability of the developed method, several field samples contaminated with
aflatoxin B1 was included in the study and results were validated with commercial
AFB1-ELISA Kit. Additionally, the spiking studies were also carried out to
demonstrate the consistency and dependability of the developed hybrid sandwich
immunoassay wherein the toxins recovered were found to be ranging between 73 and
98.80% with the LOD at 5 ng/mL. In conclusion, the developed method may find the
better utility in routine food testing laboratories for assessment of AFB1.
PMID- 29636690
TI - AIPpred: Sequence-Based Prediction of Anti-inflammatory Peptides Using Random
Forest.
AB - The use of therapeutic peptides in various inflammatory diseases and autoimmune
disorders has received considerable attention; however, the identification of
anti-inflammatory peptides (AIPs) through wet-lab experimentation is expensive
and often time consuming. Therefore, the development of novel computational
methods is needed to identify potential AIP candidates prior to in vitro
experimentation. In this study, we proposed a random forest (RF)-based method for
predicting AIPs, called AIPpred (AIP predictor in primary amino acid sequences),
which was trained with 354 optimal features. First, we systematically studied the
contribution of individual composition [amino acid-, dipeptide composition (DPC),
amino acid index, chain-transition-distribution, and physicochemical properties]
in AIP prediction. Since the performance of the DPC-based model is significantly
better than that of other composition-based models, we applied a feature
selection protocol on this model and identified the optimal features. AIPpred
achieved an area under the curve (AUC) value of 0.801 in a 5-fold cross
validation test, which was ~2% higher than that of the control RF predictor
trained with all DPC composition features, indicating the efficiency of the
feature selection protocol. Furthermore, we evaluated the performance of AIPpred
on an independent dataset, with results showing that our method outperformed an
existing method, as well as 3 different machine learning methods developed in
this study, with an AUC value of 0.814. These results indicated that AIPpred will
be a useful tool for predicting AIPs and might efficiently assist the development
of AIP therapeutics and biomedical research. AIPpred is freely accessible at
www.thegleelab.org/AIPpred.
PMID- 29636691
TI - TAAR1 in Addiction: Looking Beyond the Tip of the Iceberg.
AB - Trace-amine associated receptor 1 (TAAR1) is the best-characterized member of the
family of TAARs. TAAR1 is broadly expressed in the brain, especially within the
monoaminergic systems. Evidence from electrophysiological and neurochemical
studies evaluating the effects of genetic and pharmacological interventions on
TAAR1 revealed that TAAR1 modulates transmission of monoamines, especially
dopamine. TAAR1 agonists dampened drugs of abuse-induced dopamine accumulation.
In general, TAAR1 agonists specifically inhibited the rewarding and reinforcing
effects of drugs of abuse and drug-abuse related behaviors. Details of the
mechanism of TAAR1 remain elusive; however, it is thought to be regulated by its
interactions with D2 receptors. In addition, the alternative cellular mechanism
such as an interaction between TAAR1 and D3 may also participate in the action of
TAAR1 agonists. Further studies are required to investigate the role of TAAR1 in
other drugs of abuse-related behaviors and the underlying neural mechanisms.
Collectively, TAAR1 negatively modulates dopaminergic systems and dopamine
related behaviors and TAAR1 agonists are promising pharmacotherapy to treat drug
addiction and relapse.
PMID- 29636692
TI - Managed Entry Agreements for Pharmaceuticals in the Context of Adaptive Pathways
in Europe.
AB - As per the EMA definition, adaptive pathways is a scientific concept for the
development of medicines which seeks to facilitate patient access to promising
medicines addressing high unmet need through a prospectively planned approach in
a sustainable way. This review reports the findings of activities undertaken by
the ADAPT-SMART consortium to identify enablers and explore the suitability of
managed entry agreements for adaptive pathways products in Europe. We found that
during 2006-2016 outcomes-based managed entry agreements were not commonly used
for products with a conditional marketing authorization or authorized under
exceptional circumstances. The barriers and enablers to develop workable managed
entry agreements models for adaptive pathways products were discussed through
interviews and a multi-stakeholder workshop with a number of recommendations made
in this paper.
PMID- 29636693
TI - Erzhi Pill(r) Protected Experimental Liver Injury Against Apoptosis via the
PI3K/Akt/Raptor/Rictor Pathway.
AB - Erzhi Pill (EZP) is one of the basic prescriptions for treating liver diseases in
traditional Chinese medicine. However, its mechanism of action is still
undefined. The PI3K/AKT/Raptor/Rictor signaling pathway is closely related to
apoptosis and plays a significant role in the pathogenesis of liver disease. To
define the mechanism of the hepatoprotective effect of EZP in the treatment of
liver disease, hepatic injury induced by 2-acetylaminofluorene/partial
hepatectomy was treated by EZP for 14 days. The therapeutic effect of EZP was
confirmed by the decreased production of aspartate aminotransferase and alanine
aminotransferase, recovery of pathological liver injury, followed by inhibition
of pro-inflammatory cytokines and transforming growth factor-beta1.
Bromodeoxyuridine assay and TUNEL staining indicated that apoptosis was
suppressed and the numbers of cells in S phase and G0/G1phase were decreased. The
crucial proteins in the PI3K/AKT/Raptor/Rictor signaling pathway were deactivated
in rats with experimental liver injury treated by EZP. These results indicated
that the hepatoprotective effect of EZP via inhibition of hepatocyte apoptosis
was closely related to repression of the PI3K/Akt/Raptor/Rictor signaling
pathway.
PMID- 29636694
TI - Topical Bixin Confers NRF2-Dependent Protection Against Photodamage and Hair
Graying in Mouse Skin.
AB - Environmental exposure to solar ultraviolet (UV) radiation causes acute
photodamage, premature aging, and skin cancer, attributable to UV-induced
genotoxic, oxidative, and inflammatory stress. The transcription factor NRF2
[nuclear factor erythroid 2 (E2)-related factor 2] is the master regulator of the
cellular antioxidant response protecting skin against various environmental
stressors including UV radiation and electrophilic pollutants. NRF2 in epidermal
keratinocytes can be activated using natural chemopreventive compounds such as
the apocarotenoid bixin, an FDA-approved food additive and cosmetic ingredient
from the seeds of the achiote tree (Bixa orellana). Here, we tested the
feasibility of topical use of bixin for NRF2-dependent skin photoprotection in
two genetically modified mouse models [SKH1 and C57BL/6J (Nrf2+/+ versus Nrf2-/-
)]. First, we observed that a bixin formulation optimized for topical NRF2
activation suppresses acute UV-induced photodamage in Nrf2+/+ but not Nrf2-/-
SKH1 mice, a photoprotective effect indicated by reduced epidermal
hyperproliferation and oxidative DNA damage. Secondly, it was demonstrated that
topical bixin suppresses PUVA (psoralen + UVA)-induced hair graying in Nrf2+/+
but not Nrf2-/- C57BL/6J mice. Collectively, this research provides the first in
vivo evidence that topical application of bixin can protect against UV-induced
photodamage and PUVA-induced loss of hair pigmentation through NRF2 activation.
Topical NRF2 activation using bixin may represent a novel strategy for human skin
photoprotection, potentially complementing conventional sunscreen-based
approaches.
PMID- 29636696
TI - Athlete Performance Monitoring in Anti-Doping.
PMID- 29636695
TI - The Selective Angiotensin II Type 2 Receptor Agonist, Compound 21, Attenuates the
Progression of Lung Fibrosis and Pulmonary Hypertension in an Experimental Model
of Bleomycin-Induced Lung Injury.
AB - Idiopathic Pulmonary Fibrosis (IPF) is a chronic lung disease characterized by
scar formation and respiratory insufficiency, which progressively leads to death.
Pulmonary hypertension (PH) is a common complication of IPF that negatively
impacts clinical outcomes, and has been classified as Group III PH. Despite
scientific advances, the dismal prognosis of IPF and associated PH remains
unchanged, necessitating the search for novel therapeutic strategies.
Accumulating evidence suggests that stimulation of the angiotensin II type 2
(AT2) receptor confers protection against a host of diseases. In this study, we
investigated the therapeutic potential of Compound 21 (C21), a selective AT2
receptor agonist in the bleomycin model of lung injury. A single intra-tracheal
administration of bleomycin (2.5 mg/kg) to 8-week old male Sprague Dawley rats
resulted in lung fibrosis and PH. Two experimental protocols were followed: C21
was administered (0.03 mg/kg/day, ip) either immediately (prevention protocol,
BCP) or after 3 days (treatment protocol, BCT) of bleomycin-instillation.
Echocardiography, hemodynamic, and Fulton's index assessments were performed
after 2 weeks of bleomycin-instillation. Lung tissue was processed for gene
expression, hydroxyproline content (a marker of collagen deposition), and
histological analysis. C21 treatment prevented as well as attenuated the
progression of lung fibrosis, and accompanying PH. The beneficial effects of C21
were associated with decreased infiltration of macrophages in the lungs, reduced
lung inflammation and diminished pulmonary collagen accumulation. Further, C21
treatment also improved pulmonary pressure, reduced muscularization of the
pulmonary vessels and normalized cardiac function in both the experimental
protocols. However, there were no major differences in any of the outcomes
measured from the two experimental protocols. Collectively, our findings indicate
that stimulation of the AT2 receptor by C21 attenuates bleomycin-induced lung
injury and associated cardiopulmonary pathology, which needs to be further
explored as a promising approach for the clinical treatment of IPF and Group III
PH.
PMID- 29636697
TI - Molecular Defects in Cardiac Myofilament Ca2+-Regulation Due to Cardiomyopathy
Linked Mutations Can Be Reversed by Small Molecules Binding to Troponin.
AB - The inherited cardiomyopathies, hypertrophic cardiomyopathy (HCM) and dilated
cardiomyopathy (DCM) are relatively common, potentially life-threatening and
currently untreatable. Mutations are often in the contractile proteins of cardiac
muscle and cause abnormal Ca2+ regulation via troponin. HCM is usually linked to
higher myofilament Ca2+-sensitivity whilst in both HCM and DCM mutant tissue
there is often an uncoupling of the relationship between troponin I (TnI)
phosphorylation by PKA and modulation of myofilament Ca2+-sensitivity, essential
for normal responses to adrenaline. The adrenergic response is blunted, and this
may predispose the heart to failure under stress. At present there are no
compounds or interventions that can prevent or treat sarcomere cardiomyopathies.
There is a need for novel therapies that act at a more fundamental level to
affect the disease process. We demonstrated that epigallocatechin-3 gallate
(EGCG) was found to be capable of restoring the coupled relationship between Ca2+
sensitivity and TnI phosphorylation in mutant thin filaments to normal in vitro,
independent of the mutation (15 mutations tested). We have labeled this property
"re-coupling." The action of EGCG in vitro to reverse the abnormality caused by
myopathic mutations would appear to be an ideal pharmaceutical profile for
treatment of inherited HCM and DCM but EGCG is known to be promiscuous in vivo
and is thus unsuitable as a therapeutic drug. We therefore investigated whether
other structurally related compounds can re-couple myofilaments without these off
target effects. We used the quantitative in vitro motility assay to screen 40
compounds, related to C-terminal Hsp90 inhibitors, and found 23 that can re
couple mutant myofilaments. There is no correlation between re-couplers and Hsp90
inhibitors. The Ca2+-sensitivity shift due to TnI phosphorylation was restored to
2.2 +/- 0.01-fold (n = 19) compared to 2.0 +/- 0.24-fold (n = 7) in wild-type
thin filaments. Many of these compounds were either pure re-couplers or pure
desensitizers, indicating these properties are independent; moreover, re-coupling
ability could be lost with small changes of compound structure, indicating the
possibility of specificity. Small molecules that can re-couple may have
therapeutic potential. HIGHLIGHTS - Inherited cardiomyopathies are common
diseases that are currently untreatable at a fundamental level and therefore
finding a small molecule treatment is highly desirable.- We have identified a
molecular level dysfunction common to nearly all mutations: uncoupling of the
relationship between troponin I phosphorylation and modulation of myofilament
Ca2+-sensitivity, essential for normal responses to adrenaline.- We have
identified a new class of drugs that are capable of both reducing Ca2+
sensitivity and/or recouping the relationship between troponin I phosphorylation
and Ca2+-sensitivity.- The re-coupling phenomenon can be explained on the basis
of a single mechanism that is testable.- Measurements with a wide range of small
molecules of varying structures can indicate the critical molecular features
required for recoupling and allows the prediction of other potential re-couplers.
PMID- 29636698
TI - Leptin Signaling in the Carotid Body Regulates a Hypoxic Ventilatory Response
Through Altering TASK Channel Expression.
AB - Leptin is an adipose-derived hormone that plays an important role in the
regulation of breathing. It has been demonstrated that obesity-related
hypoventilation or apnea is closely associated with leptin signaling pathways.
Perturbations of leptin signaling probably contribute to the reduced sensitivity
of respiratory chemoreceptors to hypoxia/hypercapnia. However, the underlying
mechanism remains incompletely understood. The present study is to test the
hypothesis that leptin signaling contributes to modulating a hypoxic ventilatory
response. The respiratory function was assessed in conscious obese Zucker rats or
lean littermates treated with an injection of leptin. During exposure to hypoxia,
the change in minute ventilation was lower in obese Zucker rats than chow-fed
lean littermates or high fat diet-fed littermates. Such a change was abolished in
all groups after carotid body denervation. In addition, the expression of
phosphorylated signal transducers and activators of transcription 3 (pSTAT3), as
well as putative O2-sensitive K+ channels including TASK-1, TASK-3 and TASK-2 in
the carotid body, was significantly reduced in obese Zucker rats compared with
the other two phenotype littermates. Chronic administration of leptin in chow-fed
lean Zucker rats failed to alter basal ventilation but vigorously increased tidal
volume, respiratory frequency, and therefore minute volume during exposure to
hypoxia. Likewise, carotid body denervation abolished such an effect. In
addition, systemic leptin elicited enhanced expression of pSTAT3 and TASK
channels. In conclusion, these data demonstrate that leptin signaling facilitates
hypoxic ventilatory responses probably through upregulation of pSTAT3 and TASK
channels in the carotid body. These findings may help to better understand the
pathogenic mechanism of obesity-related hypoventilation or apnea.
PMID- 29636699
TI - Dendritic Cell Migration Toward CCL21 Gradient Requires Functional Cx43.
AB - Dendritic cells (DCs) travel through lymphatic vessels to transport antigens and
present them to T cells in lymph nodes. DCs move directionally toward lymphatics
by virtue of their CCR7 and a CCL21 chemotactic gradient. We evaluated in vivo
and in bone marrow-derived dendritic cells (BMDCs) whether the gap junction
protein Cx43 contributes to CCL21/CCR7-dependent DC migration in wild-type (WT)
mice, heterozygous (Cx43+/-) mice and mice expressing a truncated form of Cx43
lacking its regulatory C-terminus (Cx43K258/-). In a model of flank skin
inflammation, we found that the recruitment of myeloid DCs (mDCs) to skin
draining lymph nodes was reduced in Cx43K258/- mice as compared to WT and Cx43+/-
mice. In addition, the migration of Cx43K258/- BMDCs toward CCL21 was abolished
in an in vitro chemotactic assay while it was only reduced in Cx43+/- cells. Both
mutant genotypes showed defects in the directionality of BMDC migration as
compared to WT BMDCs. No difference was found between the three populations of
BMDCs in terms of expression of surface markers (CD11c, CD86, CD80, CD40, MHC-II,
and CCR7) after differentiation and TLR activation. Finally, examination of the
CCR7-induced signaling pathways in BMDCs revealed normal receptor-induced
mobilization of intracellular Ca2+. These results demonstrate that full
expression of an intact Cx43 is critical to the directionality and rate of DC
migration, which may be amenable to regulation of the immune response.
PMID- 29636700
TI - Phosphodiesterase-5 Inhibition Alleviates Pulmonary Hypertension and Basal Lamina
Thickening in Rats Challenged by Chronic Hypoxia.
AB - Background: Hypoxia represents both an outcome of cardiopulmonary diseases and a
trigger for severe pulmonary complications as pulmonary hypertension. Because
nitric oxide (NO) is a critical mediator in the development of pulmonary
hypertension, the modulators of its downstream function may become target of
pharmacological interventions aimed at alleviating the impact of this condition.
Here, we investigate the effects of an early administration of phosphodiesterase
5 inhibitor in rats where pulmonary artery hypertension was induced by chronic
exposure to hypoxia. Methods: Rats were divided into three groups: normoxic
control, hypoxic with no treatments (2 weeks breathing an atmosphere containing
10% oxygen), and hypoxic treated with sildenafil (1.4 mg/Kg per day in 0.3 mL
i.p.). After sacrifice, hearts and lungs were removed and harvested for analyses.
Results: Sildenafil reduced hypoxia-induced right ventricle hypertrophy without
effects in lung hypertrophy, and blunted the increase in right ventricle pressure
without effects on left ventricle pressure. Furthermore, the NO-producing systems
(i.e., the phosphorylation of the endothelial isoforms of NO synthase that was
measured in both myocardial and lung tissues), and the blood NO stores (i.e., the
plasma level of nitrates and nitrites) were up-regulated by sildenafil. We did
not find significant effects of sildenafil on weight and hemoglobin
concentration. Morphological analysis in lung biopsies revealed that 2-week
hypoxia increased the frequency of small pulmonary vessels leaving large vessels
unaffected. Finally, ultrastructural analysis showed that sildenafil down
regulated the hypoxia-induced increase in the thickness of the pulmonary basal
lamina. Conclusions: In this model of pulmonary hypertension, sildenafil
contrasts the negative effects of hypoxia on pulmonary vascular and right
ventricle remodeling. This action does not only encompass the canonical
vasomodulatory effect, but involves several biochemical pathways. Although the
human pathological model is certainly more complex than that described here (for
example, the inflammatory issue), the potential role of phosphodiesterase-5 for
long-term treatment, and perhaps prevention, of pulmonary hypertension is worthy
of investigation.
PMID- 29636701
TI - Identification, Expression Patterns, and Functional Characterization of
Chemosensory Proteins in Dendroctonus armandi (Coleoptera: Curculionidae:
Scolytinae).
AB - The Chinese white pine beetle, Dendroctonus armandi Tsai and Li (Coleoptera:
Curculionidae: Scolytinae), is a serious pest of coniferous forests in China.
Thus, there is considerable interest in developing eco-friendly pest-control
methods, with the use of semiochemicals as a distinct possibility. Olfaction is
extremely important for fitness of D. armandi because it is the primary mechanism
through which the insect locates hosts and mates. Thus, here we characterized
nine full-length genes encoding chemosensory proteins (CSPs) from D. armandi. The
genes were ubiquitously and multiply expressed across different developmental
stages and adult tissues, indicating various roles in developmental
metamorphosis, olfaction, and gustation. Ligand-binding assays implied that
DarmCSP2 may be the carrier of D. armandi pheromones and various plant host
volatiles. These volatiles were identified through RNA interference of DarmCSP2
as: (+)-alpha-pinene, (+)-beta-pinene, (-)-beta-pinene, (+)-camphene, (+)-3
carene, and myrcene. The systematic chemosensory functional analysis of DarmCSP2
in this study clarified the molecular mechanisms underlying D. armandi olfaction
and provided a theoretical foundation for eco-friendly pest control.
PMID- 29636703
TI - Extravascular Hydrophobic Surfaces, Fat Droplets, and the Connection With
Decompression Illness: Spinal, Joint Pain, and Dysbaric Osteonecrosis.
PMID- 29636704
TI - Altered Gray Matter Volume and Resting-State Connectivity in Individuals With
Internet Gaming Disorder: A Voxel-Based Morphometry and Resting-State Functional
Magnetic Resonance Imaging Study.
AB - Neuroimaging studies on the characteristics of individuals with Internet gaming
disorder (IGD) have been accumulating due to growing concerns regarding the
psychological and social problems associated with Internet use. However,
relatively little is known about the brain characteristics underlying IGD, such
as the associated functional connectivity and structure. The aim of this study
was to investigate alterations in gray matter (GM) volume and functional
connectivity during resting state in individuals with IGD using voxel-based
morphometry and a resting-state connectivity analysis. The participants included
20 individuals with IGD and 20 age- and sex-matched healthy controls. Resting
state functional and structural images were acquired for all participants using 3
T magnetic resonance imaging. We also measured the severity of IGD and
impulsivity using psychological scales. The results show that IGD severity was
positively correlated with GM volume in the left caudate (p < 0.05, corrected for
multiple comparisons), and negatively associated with functional connectivity
between the left caudate and the right middle frontal gyrus (p < 0.05, corrected
for multiple comparisons). This study demonstrates that IGD is associated with
neuroanatomical changes in the right middle frontal cortex and the left caudate.
These are important brain regions for reward and cognitive control processes, and
structural and functional abnormalities in these regions have been reported for
other addictions, such as substance abuse and pathological gambling. The findings
suggest that structural deficits and resting-state functional impairments in the
frontostriatal network may be associated with IGD and provide new insights into
the underlying neural mechanisms of IGD.
PMID- 29636702
TI - Adipokine Profiling in Adult Women With Central Obesity and Hypertension.
AB - Central obesity and hypertension are common risk factors for the metabolic
syndrome, cardiovascular and renal diseases. Studies have shown that it is more
difficult to control blood pressure and prevent end-organ damage in obese
individuals with hypertension compared to their non-obese counterparts,
especially among women. Obese females have a 6 times higher risk of developing
hypertension than non-obese females while obese males are at a 1.5 times higher
risk of developing hypertension, compared to their non-obese counterparts.
Indeed, the inter-relationship between obesity and hypertension is unclear.
Adipokines have been proposed to play a mediating role in the relationship
between obesity and hypertension and are involved in the pathogenesis of
metabolic diseases. Therefore, this study sought to determine the role of
adipokines (adiponectin, plasminogen activator inhibitor-1, leptin, and tumor
necrosis factor-alpha) in hypertensive Hong Kong Chinese women with central
obesity. A total of 387 women aged 58 +/- 11 years who were examined with a 2 * 2
factorial design for central obesity (waist circumference >= 80 cm) and
hypertension (blood pressure >= 140/90 mmHg), were recruited from a pool of 1,492
Hong Kong Chinese adults who were previously screened for metabolic syndrome.
Subjects with hyperglycemia, hypertriglyceridemia, and dyslipidemia were excluded
to eliminate confounding effects. Our findings revealed that hypertensive women
with central obesity had a lower anti-inflammatory status (adiponectin) and a
higher pro-inflammatory status (TNF-alpha) than obese alone or hypertensive alone
women. Also, women with central obesity had higher circulatory PAI-1 and leptin
concentrations than their non-obese counterparts. We conclude that obesity may
shift toward a more pro-inflammatory state and may become more severe in the
presence of hypertension or vice versa.
PMID- 29636705
TI - Non-Dependent and Dependent Daily Cannabis Users Differ in Mental Health but Not
Prospective Memory Ability.
AB - Research suggests that daily cannabis users have impaired memory for past events,
but it is not clear whether they are also impaired in prospective memory (PM) for
future events. The present study examined PM in daily cannabis users who were
either dependent (n = 18) or non-dependent (n = 18), and compared them with non
using controls (n = 18). The effect of future event simulation (FES) on PM
performance was also examined. Participants were matched across groups on age,
gender, and highest level of education. The virtual week (VW) was used to
objectively assess PM abilities, both at baseline and following FES. Other
measures used were: cannabis use variables, immediate and delayed prose recall,
phonemic and category fluency, spot-the-word test (premorbid intelligence), Beck
Depression Inventory, Beck Anxiety Inventory, and a measure of schizotypy (Oxford
Liverpool Inventory of Feelings and Experiences: unusual experiences subscale).
No group differences were found in PM performance on the VW, and FES did not
improve PM performance in any group. Dependent cannabis users scored higher on
depression, anxiety, and schizotypy than both other groups with non-dependent
cannabis users scoring at a similar level to controls. There were no group
differences in alcohol use. Findings suggest that when carefully matched on
baseline variables, and not differing in premorbid IQ or alcohol use, young, near
daily cannabis users do not differ from non-using controls in PM performance.
PMID- 29636706
TI - A Randomized Controlled Trial Comparing Behavioral, Educational, and
Pharmacological Treatments in Youths With Chronic Tic Disorder or Tourette
Syndrome.
AB - Context: The existing literature on the treatment of pediatric chronic tic
disorder (CTD) and Tourette syndrome (TS) indicates that both behavioral therapy
(BT) and pharmacotherapy (PT) are effective for reducing symptoms. Objective: To
evaluate the efficacy of BT compared to psychoeducation (PE) or PT for reducing
tics and co-occurring symptoms and for improving quality of life (QoL) in a
sample of youths with CTD and TS. Design: A 10 weeks, 2 sites (Catania, Rome)
randomized controlled trial. Participants were randomized to receive one of the
following treatments: BT, PE, or PT. Participants: 110 outpatients aged between 8
and 17 years affected by CTD or TS. Results: Patients in the BT and PT groups
showed a significant reduction in the severity of tic symptoms, while the PE
group did not show any improvement. PT was more effective for reducing obsessive
compulsive symptoms than BT, while PE group did not show any improvement. Both BT
and PT groups showed an improvement in most QoL domains, whereas no differences
were found in the PE group. Conclusions: BT is as effective as pharmacological
therapy in the treatment of tic disorders in children and adolescents, thus
offering an alternative to medications for CTD and TS.
PMID- 29636708
TI - Antidepressant-Like Effects of Acupuncture-Insights From DNA Methylation and
Histone Modifications of Brain-Derived Neurotrophic Factor.
AB - Sensitive and stable biomarkers that facilitate depression detection and monitor
the antidepressant efficiency are currently unavailable. Thus, the objective is
to investigate the potential of DNA methylation and histone modifications of
brain-derived neurotrophic factor (BDNF) in monitoring severity and
antidepressive effects of acupuncture. The depression rat model was imitated by
social isolation and chronic unpredicted mild stress (CUMS). The expression of
serum BDNF was detected by enzyme-linked immunosorbent assay (ELISA), the
hippocampal BDNF, acetylation levels in histone H3 lysine 9 (acH3K9), and HDAC2
by Western blot, the hippocampal mRNA of BDNF by RT-polymerase chain reaction
(PCR). The DNA methylation patterns of the promoter I of BDNF was detected by MS
PCR. We investigated that the expression of BDNF in serum and hippocampus were
significantly downregulated compared with controls. The same trend was found in
mRNA of BDNF. Notably, acupuncture reversed the downregulation of BDNF in serum
and hippocampus and mRNA of BDNF compared with model group. Acupuncture reversed
the CUMS-induced downregulation of hippocampal acH3K9. On the contrary, the CUMS
induced upregulation of hippocampal HDAC2 in model group was significantly
reversed by acupuncture. Collectively, the antidepressant effect of acupuncture
might be mediated by regulating the DNA methylation and histone modifications of
BDNF, which may represent novel biomaker for detection of depression and
monitoring severity and antidepressive effects.
PMID- 29636707
TI - Recognizing Psychiatric Comorbidity With Reading Disorders.
AB - Reading disorder (RD), a specific learning disorder (SLD) of reading that
includes impairment in word reading, reading fluency, and/or reading
comprehension, is common in the general population but often is not
comprehensively understood or assessed in mental health settings. In education
settings, comorbid mental and associated disorders may be inadequately integrated
into intervention plans. Assessment and intervention for RD may be delayed or
absent in children with frequently co-occurring mental disorders not fully
responding to treatment in both school and mental health settings. To address
this oversight, this review summarizes current knowledge regarding RDs and common
comorbid or co-occurring disorders that are important for mental health and
school settings. We chose to highlight RD because it is the most common SLD, and
connections to other often comorbid disorders have been more thoroughly described
in the literature. Much of the literature we describe is on decoding-based RD (or
developmental dyslexia) as it is the most common form of RD. In addition to risk
for academic struggle and social, emotional, and behavioral problems, those with
RD often show early evidence of combined or intertwined Diagnostic and
Statistical Manual of Mental Disorders, Fifth Edition childhood disorders. These
include attention deficit hyperactivity disorder, anxiety and depression,
disruptive, impulse-control, and conduct disorders, autism spectrum disorders,
and other SLDs. The present review highlights issues and areas of controversy
within these comorbidities, as well as directions for future research. An
interdisciplinary, integrated approach between mental health professionals and
educators can lead to comprehensive and targeted treatments encompassing both
academic and mental health interventions. Such targeted treatments may contribute
to improved educational and health-related outcomes in vulnerable youth. While
there is a growing research literature on this association, more studies are
needed of when to intervene and of the early and long-term benefits of
comprehensive intervention.
PMID- 29636709
TI - Religious Fundamentalism Modulates Neural Responses to Error-Related Words: The
Role of Motivation Toward Closure.
AB - Examining the relationship between brain activity and religious fundamentalism,
this study explores whether fundamentalist religious beliefs increase responses
to error-related words among participants intolerant to uncertainty (i.e., high
in the need for closure) in comparison to those who have a high degree of
toleration for uncertainty (i.e., those who are low in the need for closure). We
examine a negative-going event-related brain potentials occurring 400 ms after
stimulus onset (the N400) due to its well-understood association with the
reactions to emotional conflict. Religious fundamentalism and tolerance of
uncertainty were measured on self-report measures, and electroencephalographic
neural reactivity was recorded as participants were performing an emotional
Stroop task. In this task, participants read neutral words and words related to
uncertainty, errors, and pondering, while being asked to name the color of the
ink with which the word is written. The results confirm that among people who are
intolerant of uncertainty (i.e., those high in the need for closure), religious
fundamentalism is associated with an increased N400 on error-related words
compared with people who tolerate uncertainty well (i.e., those low in the need
for closure).
PMID- 29636710
TI - Psychometric Properties of the Italian Version of the Young Schema Questionnaire
L-3: Preliminary Results.
AB - Schema Therapy (ST) is a well-known approach for the treatment of personality
disorders. This therapy integrates different theories and techniques into an
original and systematic treatment model. The Young Schema Questionnaire L-3 (YSQ
L3) is a self-report instrument, based on the ST model, designed to assess 18
Early Maladaptive Schemas (EMSs). During the last decade, it has been translated
and validated in different countries and languages. This study aims to establish
the psychometric properties of the Italian Version of the YSQ-L3. We enrolled two
groups: a clinical (n = 148) and a non-clinical one (n = 918). We investigated
the factor structure, reliability and convergent validity with anxiety and
depression between clinical and non-clinical groups. The results highlighted a
few relevant findings. Cronbach's alpha showed significant values for all the
schemas. All of the factor models do not seem highly adequate, even if the
hierarchical model has proven to be the most significant one. Furthermore, the
questionnaire confirms the ability to discriminate between clinical and non
clinical groups and could represent a useful tool in the clinical practice.
Limitations and future directions are discussed.
PMID- 29636711
TI - Openness to Changing Religious Views Is Related to Radial Diffusivity in the Genu
of the Corpus Callosum in an Initial Study of Healthy Young Adults.
AB - A quest orientation to religion is characterized by a search for answers to
complex existential questions, a perception of religious doubt as positive, and
an openness to change one's religious views as one grows and changes. This
orientation is inversely related to fundamentalism, authoritarianism, and
prejudice and directly related to cognitive complexity, openness to experience,
and prosociality. To date, the neural correlates of religious quest have not been
investigated. This study assessed the relationships between measures linked to
white-matter integrity and quest religious orientation among 24 healthy
participants using diffusion tensor imaging (DTI) and the quest scale. A tract
based spatial statistical analysis whole-brain-corrected initially employing an
accepted threshold (pTFCE < 0.05) and then applying a Bonferroni correction
(pTFCE < 0.0042) identified a region of the genu of the corpus callosum as
showing radial diffusivity measures being related to openness to change religious
beliefs. When not employing a Bonferroni correction (pTFCE < 0.05), the openness
to-change subscale of the quest scale negatively correlated with radial
diffusivity and mean diffusivity measures in extensive white-matter regions in
both hemispheres that include the corpus callosum body, genu, and splenium,
superior longitudinal fasciculus, forceps minor, external capsule, and inferior
fronto-occipital fasciculus. No relationships were found with the other
subscales. These findings suggest that a greater openness to change one's
religious views is associated with better white-matter integrity specifically in
the genu of the corpus callosum and likely in a more extensive set of white
matter structures interconnecting widespread cortical and subcortical regions in
the brain across hemispheres. They, furthermore, suggest structural similarities
that may link this tendency to associated positive psychological traits,
including creative cognition and post-traumatic growth.
PMID- 29636712
TI - The Optimal Starting Model to Search for the Accurate Growth Trajectory in Latent
Growth Models.
AB - This simulation study aims to propose an optimal starting model to search for the
accurate growth trajectory in Latent Growth Models (LGM). We examine the
performance of four different starting models in terms of the complexity of the
mean and within-subject variance-covariance (V-CV) structures when there are time
invariant covariates embedded in the population models. Results showed that the
model search starting with the fully saturated model (i.e., the most complex mean
and within-subject V-CV model) recovers best for the true growth trajectory in
simulations. Specifically, the fully saturated starting model with using DeltaBIC
and DeltaAIC performed best (over 95%) and recommended for researchers. An
illustration of the proposed method is given using the empirical secondary
dataset. Implications of the findings and limitations are discussed.
PMID- 29636713
TI - Bilingual Contexts Modulate the Inhibitory Control Network.
AB - The present functional magnetic resonance imaging (fMRI) study investigated
influences of language contexts on inhibitory control and the underlying neural
processes. Thirty Cantonese-Mandarin-English trilingual speakers, who were highly
proficient in Cantonese (L1) and Mandarin (L2), and moderately proficient in
English (L3), performed a picture-naming task in three dual-language contexts (L1
L2, L2-L3, and L1-L3). After each of the three naming tasks, participants
performed a flanker task, measuring contextual effects on the inhibitory control
system. Behavioral results showed a typical flanker effect in the L2-L3 and L1-L3
condition, but not in the L1-L2 condition, which indicates contextual
facilitation on inhibitory control performance by the L1-L2 context. Whole brain
analysis of the fMRI data acquired during the flanker tasks showed more neural
activations in the right prefrontal cortex and subcortical areas in the L2-L3 and
L1-L3 condition on one hand as compared to the L1-L2 condition on the other hand,
suggesting greater involvement of the cognitive control areas when participants
were performing the flanker task in L2-L3 and L1-L3 contexts. Effective
connectivity analyses displayed a cortical-subcortical-cerebellar circuitry for
inhibitory control in the trilinguals. However, contrary to the right-lateralized
network in the L1-L2 condition, functional networks for inhibitory control in the
L2-L3 and L1-L3 condition are less integrated and more left-lateralized. These
findings provide a novel perspective for investigating the interaction between
bilingualism (multilingualism) and inhibitory control by demonstrating instant
behavioral effects and neural plasticity as a function of changes in global
language contexts.
PMID- 29636714
TI - The Role of Empathy and Life Satisfaction in Internet and Smartphone Use
Disorder.
AB - Recent studies have yielded initial evidence for an association between Internet
Use Disorder (IUD), empathy, and life satisfaction. In the present study we
sought to replicate these previous findings, and then to extend this research by
also examining the relationship between empathy, life satisfaction, and the
related phenomenon of Smartphone Use Disorder (SUD). The present study included
independent samples from China (N = 612, 162 females) and Germany (N = 304, 207
females), with the same set of questionnaires administered to both samples. IUD
was measured with Pawlikowski's s-IAT and SUD was assessed with the short version
of Kwon's Smartphone Addiction Scale. The Interpersonal Reactivity Index (IRI)
was used to assess individual differences in empathy. Please note that for the
German sample data on the empathy quotient (EQ) are also available. Life
satisfaction data were collected using items from the SOEP-Questionnaire (Socio
Economic Panel, Germany). In both of our samples we replicated previous findings
showing the association between higher IUD, lower empathy, and lower life
satisfaction scores. In addition, individuals with higher SUD showed higher
scores on the IRI Personal Distress scale in China and Germany, while further
associations between IRI dimensions and SUD were only found in the Chinese
sample. Personal Distress is known to be highly correlated with the personality
trait of Neuroticism, hence higher stress/negative emotionality in tense social
situations is related to SUD. In the present study we confirm earlier findings
showing the relationship between empathy, life satisfaction, and IUD, and extend
some of these findings to SUD. We also emphasize the importance of cross-cultural
studies when investigating IUD/SUD in the context of empathy and life
satisfaction.
PMID- 29636715
TI - Working Memory From the Psychological and Neurosciences Perspectives: A Review.
AB - Since the concept of working memory was introduced over 50 years ago, different
schools of thought have offered different definitions for working memory based on
the various cognitive domains that it encompasses. The general consensus
regarding working memory supports the idea that working memory is extensively
involved in goal-directed behaviors in which information must be retained and
manipulated to ensure successful task execution. Before the emergence of other
competing models, the concept of working memory was described by the
multicomponent working memory model proposed by Baddeley and Hitch. In the
present article, the authors provide an overview of several working memory
relevant studies in order to harmonize the findings of working memory from the
neurosciences and psychological standpoints, especially after citing evidence
from past studies of healthy, aging, diseased, and/or lesioned brains. In
particular, the theoretical framework behind working memory, in which the related
domains that are considered to play a part in different frameworks (such as
memory's capacity limit and temporary storage) are presented and discussed. From
the neuroscience perspective, it has been established that working memory
activates the fronto-parietal brain regions, including the prefrontal, cingulate,
and parietal cortices. Recent studies have subsequently implicated the roles of
subcortical regions (such as the midbrain and cerebellum) in working memory.
Aging also appears to have modulatory effects on working memory; age interactions
with emotion, caffeine and hormones appear to affect working memory performances
at the neurobiological level. Moreover, working memory deficits are apparent in
older individuals, who are susceptible to cognitive deterioration. Another
younger population with working memory impairment consists of those with mental,
developmental, and/or neurological disorders such as major depressive disorder
and others. A less coherent and organized neural pattern has been consistently
reported in these disadvantaged groups. Working memory of patients with traumatic
brain injury was similarly affected and shown to have unusual neural activity
(hyper- or hypoactivation) as a general observation. Decoding the underlying
neural mechanisms of working memory helps support the current theoretical
understandings concerning working memory, and at the same time provides insights
into rehabilitation programs that target working memory impairments from
neurophysiological or psychological aspects.
PMID- 29636717
TI - The Role of Type and Source of Uncertainty on the Processing of Climate Models
Projections.
AB - Scientists agree that the climate is changing due to human activities, but there
is less agreement about the specific consequences and their timeline.
Disagreement among climate projections is attributable to the complexity of
climate models that differ in their structure, parameters, initial conditions,
etc. We examine how different sources of uncertainty affect people's
interpretation of, and reaction to, information about climate change by
presenting participants forecasts from multiple experts. Participants viewed
three types of sets of sea-level rise projections: (1) precise, but conflicting;
(2) imprecise, but agreeing, and (3) hybrid that were both conflicting and
imprecise. They estimated the most likely sea-level rise, provided a range of
possible values and rated the sets on several features - ambiguity, credibility,
completeness, etc. In Study 1, everyone saw the same hybrid set. We found that
participants were sensitive to uncertainty between sources, but not to
uncertainty about which model was used. The impacts of conflict and imprecision
were combined for estimation tasks and compromised for feature ratings. Estimates
were closer to the experts' original projections, and sets were rated more
favorably under imprecision. Estimates were least consistent with (narrower than)
the experts in the hybrid condition, but participants rated the conflicting set
least favorably. In Study 2, we investigated the hybrid case in more detail by
creating several distinct interval sets that combine conflict and imprecision.
Two factors drive perceptual differences: overlap - the structure of the forecast
set (whether intersecting, nested, tangent, or disjoint) - and asymmetry - the
balance of the set. Estimates were primarily driven by asymmetry, and preferences
were primarily driven by overlap. Asymmetric sets were least consistent with the
experts: estimated ranges were narrower, and estimates of the most likely value
were shifted further below the set mean. Intersecting and nested sets were rated
similarly to imprecision, and ratings of disjoint and tangent sets were rated
like conflict. Our goal was to determine which underlying factors of information
sets drive perceptions of uncertainty in consistent, predictable ways. The two
studies lead us to conclude that perceptions of agreement require intersection
and balance, and overly precise forecasts lead to greater perceptions of
disagreement and a greater likelihood of the public discrediting and
misinterpreting information.
PMID- 29636716
TI - Global Repetition Influences Contextual Cueing.
AB - Our visual system has a striking ability to improve visual search based on the
learning of repeated ambient regularities, an effect named contextual cueing.
Whereas most of the previous studies investigated contextual cueing effect with
the same number of repeated and non-repeated search displays per block, the
current study focused on whether a global repetition frequency formed by
different presentation ratios between the repeated and non-repeated
configurations influence contextual cueing effect. Specifically, the number of
repeated and non-repeated displays presented in each block was manipulated:
12:12, 20:4, 4:20, and 4:4 in Experiments 1-4, respectively. The results revealed
a significant contextual cueing effect when the global repetition frequency is
high (>=1:1 ratio) in Experiments 1, 2, and 4, given that processing of repeated
displays was expedited relative to non-repeated displays. Nevertheless, the
contextual cueing effect reduced to a non-significant level when the repetition
frequency reduced to 4:20 in Experiment 3. These results suggested that the
presentation frequency of repeated relative to the non-repeated displays could
influence the strength of contextual cueing. In other words, global repetition
statistics could be a crucial factor to mediate contextual cueing effect.
PMID- 29636718
TI - The Impact of Handedness, Sex, and Cognitive Abilities on Left-Right
Discrimination: A Behavioral Study.
AB - The present study examined the relationship between left-right discrimination
(LRD) performance and handedness, sex and cognitive abilities. In total, 31 men
and 35 women - with a balanced ratio of left-and right-handers - completed the
Bergen Left-Right Discrimination Test. We found an advantage of left-handers in
both identifying left hands and in verifying "left" propositions. A sex effect
was also found, as women had an overall higher error rate than men, and
increasing difficulty impacted their reaction time more than it did for men.
Moreover, sex interacted with handedness and manual preference strength. A
negative correlation of LRD reaction time with visuo-spatial and verbal long-term
memory was found independently of sex, providing new insights into the
relationship between cognitive skills and performance on LRD.
PMID- 29636719
TI - The Influence of Second Language (L2) Proficiency on Cognitive Control Among
Young Adult Unbalanced Chinese-English Bilinguals.
AB - The current study investigates the influence of L2 proficiency on cognitive
control among three matched groups of unbalanced Chinese-English bilinguals.
Flanker task was administered to measure conflict monitoring and inhibition, and
Wisconsin Card Sorting Test (WCST) to measure mental set shifting. ANOVA analyses
of the Flanker results showed no differences in inhibition across all groups and
no interaction between group and condition. However, the Flanker results showed
faster performance for the highest L2 proficiency group relative to the lowest L2
proficiency group in all conditions (incongruent, neutral, and congruent), which
reflects better ability of conflict monitoring. Finally, ANOVA analyses of the
WCST results showed no differences across all groups. These results altogether
suggest that L2 proficiency has significant influence on cognitive control, but
only in conflict monitoring, not in inhibition or mental set shifting.
PMID- 29636720
TI - The Importance of Protesters' Morals: Moral Obligation as a Key Variable to
Understand Collective Action.
AB - Collective action and protest have become a normalized political behavior that in
many cases defines the political agenda. The reasons why people take to the
streets constitute a central subject within the study of social psychology. In
the literature, three precedents of protest that have been established as central
to the study of this phenomenon are: injustice, efficacy, and identity. But
political action is also deeply related to moral values. This explains why in
recent years some moral constructs have also been pointed out as predictors of
collective action. Moral variables have been introduced into the literature with
little consideration to how they relate to each other. Thus, work in this
direction is needed. The general aim of this research is to differentiate moral
obligation from moral norms and moral conviction, as well as to compare their
ability to predict collective action. In order to do so, the research objectives
are: (a) conceptualize and operationalize moral obligation (Study 1, N = 171);
(b) test its predictive power for intention to participate in protests (Study 2,
N = 622); and (c) test moral obligation in a real context (Study 3, N = 407).
Results are encouraging, showing not only that moral obligation is different to
moral conviction and moral norm, but also that it is a more effective predictor
working both for intention and real participation. This work therefore presents
moral obligation as a key precedent of protest participation, prompting its
future use as a variable that can enhance existing predictive models of
collective action. Results regarding other variables are also discussed.
PMID- 29636721
TI - Heparin: The Silver Bullet of Aneurysmal Subarachnoid Hemorrhage?
AB - Various neurological diseases have recently been associated with
neuroinflammation and worsening outcomes. Subarachnoid hemorrhage has been shown
to generate a potent neuroinflammatory response. Heparin is a potential effective
anti-inflammatory agent to prevent initial injury as well as delayed neurological
decline. Different mechanisms of action for heparin have been proposed including,
but not limited to the binding and neutralization of oxyhemoglobin, decreased
transcription and signal transduction of endothelin-1, inhibition of binding to
vessel wall selectins and vascular leakage into the subarachnoid space as well as
direct binding and neutralization of inflammatory molecules. With a reasonably
safe side-effect profile, heparin has shown significant promise in small series
in human studies of aneurysmal subarachnoid hemorrhage in decreasing both initial
and delayed neurological injury. Further studies are needed to validate various
neuroprotective features of heparin in subarachnoid hemorrhage as well as other
disease states.
PMID- 29636722
TI - The Neurocognitive and MRI Outcomes of West Nile Virus Infection: Preliminary
Analysis Using an External Control Group.
AB - To understand the long-term neurological outcomes resultant of West Nile virus
(WNV) infection, participants from a previously established, prospective WNV
cohort were invited to take part in a comprehensive neurologic and neurocognitive
examination. Those with an abnormal exam finding were invited for MRI to evaluate
cortical thinning and regional brain atrophy following infection. Correlations of
presenting clinical syndrome with neurologic and neurocognitive dysfunctions were
evaluated, as well as correlations of neurocognitive outcomes with MRI results.
From 2002 to 2012, a total of 262 participants with a history of WNV infection
were enrolled as research participants in a longitudinal cohort study, and 117
completed comprehensive neurologic and neurocognitive evaluations. Abnormal
neurological exam findings were identified in 49% (57/117) of participants, with
most abnormalities being unilateral. The most common abnormalities included
decreased strength (26%; 30/117), abnormal reflexes (14%; 16/117), and tremors
(10%; 12/117). Weakness and decreased reflexes were consistent with lower motor
neuron damage in a significant proportion of patients. We observed a 22% overall
rate of impairment on the Repeatable Battery for the Assessment of
Neuropsychological Status (RBANS), with impairments observed in immediate (31%)
and delayed memory (25%). On MRI, participants showed significant cortical
thinning as compared to age- and gender-matched controls in both hemispheres,
with affected regions primarily occurring in the frontal and limbic cortices.
Regional atrophy occurred in the cerebellum, brain stem, thalamus, putamen, and
globus pallidus. This study provides valuable new information regarding the
neurological outcomes following WNV infection, with MRI evidence of significant
cortical thinning and regional atrophy; however, it is important to note that the
results may include systemic bias due to the external control group. Considering
no effective treatment measures are available, strategies to prevent infection
are key.
PMID- 29636723
TI - Environmental Subconcussive Injury, Axonal Injury, and Chronic Traumatic
Encephalopathy.
AB - Brain injury occurs in two phases: the initial injury itself and a secondary
cascade of precise immune-based neurochemical events. The secondary phase is
typically functional in nature and characterized by delayed axonal injury with
more axonal disconnections occurring than in the initial phase. Axonal injury
occurs across the spectrum of disease severity, with subconcussive injury,
especially when repetitive, now considered capable of producing significant
neurological damage consistent with axonal injury seen in clinically evident
concussion, despite no observable symptoms. This review is the first to introduce
the concept of environmental subconcussive injury (ESCI) and sets out how
secondary brain damage from ESCI once past the juncture of microglial activation
appears to follow the same neuron-damaging pathway as secondary brain damage from
conventional brain injury. The immune response associated with ESCI is strikingly
similar to that mounted after conventional concussion. Specifically, microglial
activation is followed closely by glutamate and calcium flux, excitotoxicity,
reactive oxygen species and reactive nitrogen species (RNS) generation, lipid
peroxidation, and mitochondrial dysfunction and energy crisis. ESCI damage also
occurs in two phases, with the primary damage coming from microbiome injury (due
to microbiome-altering events) and secondary damage (axonal injury) from
progressive secondary neurochemical events. The concept of ESCI and the
underlying mechanisms have profound implications for the understanding of chronic
traumatic encephalopathy (CTE) etiology because it has previously been suggested
that repetitive axonal injury may be the primary CTE pathogenesis in susceptible
individuals and it is best correlated with lifetime brain trauma load. Taken
together, it appears that susceptibility to brain injury and downstream
neurodegenerative diseases, such as CTE, can be conceptualized as a continuum of
brain resilience. At one end is optimal resilience, capable of launching
effective responses to injury with spontaneous recovery, and at the other end is
diminished resilience with a compromised ability to respond and/or heal
appropriately. Modulating factors such as one's total cumulative and synergistic
brain trauma load, bioavailability of key nutrients needed for proper functioning
of restorative metabolic pathways (specifically those involved in the
deactivation and clearance of metabolic by-products of brain injury) are key to
ultimately determining one's brain resilience.
PMID- 29636724
TI - Sport-Related Concussion Alters Indices of Dynamic Cerebral Autoregulation.
AB - Sport-related concussion is known to affect a variety of brain functions.
However, the impact of this brain injury on cerebral autoregulation (CA) is
poorly understood. Thus, the goal of the current study was to determine the acute
and cumulative effects of sport-related concussion on indices of dynamic CA.
Toward this end, 179 elite, junior-level (age 19.6 +/- 1.5 years) contact sport
(ice hockey, American football) athletes were recruited for preseason testing, 42
with zero prior concussions and 31 with three or more previous concussions.
Eighteen athletes sustained a concussion during that competitive season and
completed follow-up testing at 72 h, 2 weeks, and 1 month post injury. Beat-by
beat arterial blood pressure (BP) and middle cerebral artery blood velocity
(MCAv) were recorded using finger photoplethysmography and transcranial Doppler
ultrasound, respectively. Five minutes of repetitive squat-stand maneuvers
induced BP oscillations at 0.05 and 0.10 Hz (20- and 10-s cycles, respectively).
The BP-MCAv relationship was quantified using transfer function analysis to
estimate Coherence (correlation), Gain (amplitude ratio), and Phase (timing
offset). At a group level, repeated-measures ANOVA indicated that 0.10 Hz Phase
was significantly reduced following an acute concussion, compared to preseason,
by 23% (-0.136 +/- 0.033 rads) at 72 h and by 18% (-0.105 +/- 0.029 rads) at 2
weeks post injury, indicating impaired autoregulatory functioning; recovery to
preseason values occurred by 1 month. Athletes were cleared to return to
competition after a median of 14 days (range 7-35), implying that physiologic
dysfunction persisted beyond clinical recovery in many cases. When comparing
dynamic pressure buffering between athletes with zero prior concussions and those
with three or more, no differences were observed. Sustaining an acute sport
related concussion induces transient impairments in the capabilities of the
cerebrovascular pressure-buffering system that may persist beyond 2 weeks and may
be due to a period of autonomic dysregulation. Athletes with a history of three
or more concussions did not exhibit impairments relative to those with zero prior
concussions, suggesting recovery of function over time. Findings from this study
support the potential need to consider physiological recovery in deciding when
patients should return to play following a concussion.
PMID- 29636725
TI - Melatonin Absence Leads to Long-Term Leptin Resistance and Overweight in Rats.
AB - Melatonin (Mel), a molecule that conveys photoperiodic information to the
organisms, is also involved in the regulation of energy homeostasis. Mechanisms
of action of Mel in the energy balance remain unclear; herein we investigated how
Mel regulates energy intake and expenditure to promote a proper energy balance.
Male Wistar rats were assigned to control, control + Mel, pinealectomized (PINX)
and PINX + Mel groups. To restore a 24-h rhythm, Mel (1 mg/kg) was added to the
drinking water exclusively during the dark phase for 13 weeks. After this
treatment period, rats were subjected to a 24-h fasting test, an acute leptin
responsiveness test and cold challenge. Mel treatment reduced food intake, body
weight, and adiposity. When challenged to 24-h fasting, Mel-treated rats also
showed reduced hyperphagia when the food was replaced. Remarkably, PINX rats
exhibited leptin resistance; this was likely related to the capacity of leptin to
affect body weight, food intake, and hypothalamic signal-transducer and activator
of transcription 3 phosphorylation, all of which were reduced. Mel treatment
restored leptin sensitivity in PINX rats. An increased hypothalamic expression of
agouti-related peptide (Agrp), neuropeptide Y, and Orexin was observed in the
PINX group while Mel treatment reduced the expression of Agrp and Orexin. In
addition, PINX rats presented lower UCP1 protein levels in the brown adipose
tissue and required higher tail vasoconstriction to get a proper thermogenic
response to cold challenge. Our findings reveal a previously unrecognized
interaction of Mel and leptin in the hypothalamus to regulate the energy balance.
These findings may help to explain the high incidence of metabolic diseases in
individuals exposed to light at night.
PMID- 29636726
TI - Growth Hormone Overexpression Disrupts Reproductive Status Through Actions on
Leptin.
AB - Growth and reproduction are closely related. Growth hormone (GH)-transgenic
common carp exhibit accelerated growth and delayed reproductive development,
which provides an amenable model to study hormone cross talk between the growth
and reproductive axes. We analyzed the energy status and reproductive development
in GH-transgenic common carp by using multi-tissue RNA sequencing, real-time-PCR,
Western blotting, ELISA, immunofluorescence, and in vitro incubation. The
expression of gys (glycogen synthase) and igfbp1 (insulin-like growth factor
binding protein) as well as blood glucose concentrations are lower in GH
transgenic carp. Agrp1 (agouti-related protein 1) and sla (somatolactin a), which
are related to appetite and lipid catabolism, are significantly higher in GH
transgenic carp. Low glucose content and increased appetite indicate disrupted
metabolic and energy deprivation status in GH-transgenic carp. Meanwhile, the
expression of genes, such as gnrhr2 (gonadotropin-releasing hormone receptor 2),
gthalpha (gonadotropin hormone, alpha polypeptide), fshbeta (follicle stimulating
hormone, beta polypeptide), lhbeta [luteinizing hormone, beta polypeptide] in the
pituitary, cyp19a1a (aromatase A) in the gonad, and cyp19a1b (aromatase B) in the
hypothalamus, are decreased in GH-transgenic carp. In contrast, pituitary gnih
(gonadotropin inhibitory hormone), drd1 (dopamine receptor D1), drd3 (dopamine
receptor D3), and drd4 (dopamine receptor D4) exhibit increased expression, which
were associated with the retarded reproductive development. Leptin receptor mRNA
was detected by fluorescence in situ hybridization in the pituitary including the
pars intermedia and proximal pars distalis, suggesting a direct effect of leptin
on LH. Recombinant carp Leptin protein was shown to stimulate pituitary gthalpha,
fshbeta, lhbeta expression, and ovarian germinal vesicle breakdown in vitro. In
addition to neuroendocrine factors, we suggest that reduced hepatic leptin
signaling to the pituitary might be part of the response to overexpression of GH
and the resulting delay in puberty onset.
PMID- 29636727
TI - Niche Separation Increases With Genetic Distance Among Bloom-Forming
Cyanobacteria.
AB - Bacterial communities are composed of distinct groups of potentially interacting
lineages, each thought to occupy a distinct ecological niche. It remains unclear,
however, how quickly niche preference evolves and whether more closely related
lineages are more likely to share ecological niches. We addressed these questions
by following the dynamics of two bloom-forming cyanobacterial genera over an 8
year time-course in Lake Champlain, Canada, using 16S amplicon sequencing and
measurements of several environmental parameters. The two genera, Microcystis (M)
and Dolichospermum (D), are frequently observed simultaneously during bloom
events and thus have partially overlapping niches. However, the extent of their
niche overlap is debated, and it is also unclear to what extent niche
partitioning occurs among strains within each genus. To identify strains within
each genus, we applied minimum entropy decomposition (MED) to 16S rRNA gene
sequences. We confirmed that at a genus level, M and D have different preferences
for nitrogen and phosphorus concentrations. Within each genus, we also identified
strains differentially associated with temperature, precipitation, and
concentrations of nutrients and toxins. In general, niche similarity between
strains (as measured by co-occurrence over time) declined with genetic distance.
This pattern is consistent with habitat filtering - in which closely related taxa
are ecologically similar, and therefore tend to co-occur under similar
environmental conditions. In contrast with this general pattern, similarity in
certain niche dimensions (notably particulate nitrogen and phosphorus) did not
decline linearly with genetic distance, and instead showed a complex polynomial
relationship. This observation suggests the importance of processes other than
habitat filtering - such as competition between closely related taxa, or
convergent trait evolution in distantly related taxa - in shaping particular
traits in microbial communities.
PMID- 29636728
TI - Environmental Stability and Infectivity of Hepatitis C Virus (HCV) in Different
Human Body Fluids.
AB - Background: Hepatitis C virus (HCV) is a hepatotropic, blood-borne virus, but in
up to one-third of infections of the transmission route remained unidentified.
Viral genome copies of HCV have been identified in several body fluids, however,
non-parental transmission upon exposure to contaminated body fluids seems to be
rare. Several body fluids, e.g., tears and saliva, are renowned for their
antimicrobial and antiviral properties, nevertheless, HCV stability has never
been systematically analyzed in those fluids. Methods: We used state of the art
infectious HCV cell culture techniques to investigate the stability of HCV in
different body fluids to estimate the potential risk of transmission via patient
body fluid material. In addition, we mimicked a potential contamination of HCV in
tear fluid and analyzed which impact commercially available contact lens
solutions might have in such a scenario. Results: We could demonstrate that HCV
remains infectious over several days in body fluids like tears, saliva, semen,
and cerebrospinal fluid. Only hydrogen-peroxide contact lens solutions were able
to efficiently inactivate HCV in a suspension test. Conclusion: These results
indicate that HCV, once it is present in various body fluids of infected
patients, remains infective and could potentially contribute to transmission upon
direct contact.
PMID- 29636729
TI - Involvement of hrpX and hrpG in the Virulence of Acidovorax citrulli Strain Aac5,
Causal Agent of Bacterial Fruit Blotch in Cucurbits.
AB - Acidovorax citrulli causes bacterial fruit blotch, a disease that poses a global
threat to watermelon and melon production. Despite its economic importance,
relatively little is known about the molecular mechanisms of pathogenicity and
virulence of A. citrulli. Like other plant-pathogenic bacteria, A. citrulli
relies on a type III secretion system (T3SS) for pathogenicity. On the basis of
sequence and operon arrangement analyses, A. citrulli was found to have a class
II hrp gene cluster similar to those of Xanthomonas and Ralstonia spp. In the
class II hrp cluster, hrpG and hrpX play key roles in the regulation of T3SS
effectors. However, little is known about the regulation of the T3SS in A.
citrulli. This study aimed to investigate the roles of hrpG and hrpX in A.
citrulli pathogenicity. We found that hrpG or hrpX deletion mutants of the A.
citrulli group II strain Aac5 had reduced pathogenicity on watermelon seedlings,
failed to induce a hypersensitive response in tobacco, and elicited higher levels
of reactive oxygen species in Nicotiana benthamiana than the wild-type strain.
Additionally, we demonstrated that HrpG activates HrpX in A. citrulli. Moreover,
transcription and translation of the type 3-secreted effector (T3E) gene
Aac5_2166 were suppressed in hrpG and hrpX mutants. Notably, hrpG and hrpX
appeared to modulate biofilm formation. These results suggest that hrpG and hrpX
are essential for pathogenicity, regulation of T3Es, and biofilm formation in A.
citrulli.
PMID- 29636730
TI - Potential Biological and Climatic Factors That Influence the Incidence and
Persistence of Highly Pathogenic H5N1 Avian Influenza Virus in Egypt.
AB - Highly pathogenic H5N1 avian influenza virus (A/H5N1) of clade 2.2.1 is endemic
in poultry in Egypt where the highest number of human infections worldwide was
reported. During the last 12 years the Egyptian A/H5N1 evolved into several
genotypes. In 2007-2014 vaccinated poultry suffered from antigenic drift variants
of clade 2.2.1.1 and in 2014/2015 an unprecedented upsurge of A/H5N1 clade
2.2.1.2 occurred in poultry and humans. Factors contributing to the endemicity or
re-emergence of A/H5N1 in poultry in Egypt remain unclear. Here, three potential
factors were studied: climatic factors (temperature, relative humidity, and wind
speed), biological fitness in vitro, and pathogenicity in domestic Pekin and
Muscovy ducks. Statistical analyses using negative binomial regression models
indicated that ambient temperature in winter months influenced the spread of
A/H5N1 in different geographic areas analyzed in this study. In vitro, at 4 and
56 degrees C 2.2.1.1 and recent 2.2.1.2 viruses were more stable than other
viruses used in this study. Further, Pekin ducks were more resistant than Muscovy
ducks and the viruses were excreted for up to 2 weeks post-infection assuming a
strong role as a reservoir. Taken together, ambient temperature in winter months
potentially contributes to increasing outbreaks in some regions in Egypt. Heat
stability of clade 2.2.1.1 and recent 2.2.1.2 viruses probably favors their
persistence at elevated temperatures. Importantly, asymptomatically infected
Pekin ducks may play an important role in the spread of avian and human-like
A/H5N1 in Egypt. Therefore, control measures including targeted surveillance and
culling of silently infected Pekin ducks should be considered.
PMID- 29636731
TI - Performance of Molecular Approaches for Aspergillus Detection and Azole
Resistance Surveillance in Cystic Fibrosis.
AB - Aspergillus fumigatus triazole resistance is an emerging concern for treating
chronically infected/colonized patients. This study sought to evaluate the
performance of PCR assays to detect Aspergillus fungi together with azole
resistance in sputum samples from cystic fibrosis (CF) patients. In total, 119
sputum samples from 87 CF patients were prospectively processed for Aspergillus
detection by means of mycological culture and four qPCR assays, 2 in-house
methods and two commercial multiplex real-time PCR assays simultaneously
detecting Aspergillus and the most relevant cyp51A gene mutations (MycoGENIE(r)
and AsperGenius(r)). Azole susceptibility of A. fumigatus isolates was assessed
using Etest(r) method and cyp51A gene mutation were characterized by sequencing.
The overall rate of Aspergillus detection with the four qPCR assays ranged from
47.9 to 57.1%, contrasting with 42/119 (35.3%) positive cultures with A.
fumigatus. The high sensitivity of PCR on sputum could then contribute to more
effective grading of Aspergillus disease in CF patients. Five out of 41 isolated
strains (12.2%) exhibited azole-resistant MIC patterns, three of which harbored
cyp51A mutations and only 1/3 with the sequence TR34/L98H. Combined with culture,
PCR assay achieved high sensitivity Aspergillus screening in CF samples. However,
cyp51A targeting was only moderately effective for azole resistance monitoring,
while Aspergillus resistance remains of great concern.
PMID- 29636732
TI - Identification of Plasmid-Encoded sRNAs in a blaNDM-1-Harboring Multidrug
Resistance Plasmid pNDM-HK in Enterobacteriaceae.
AB - Small RNAs (sRNAs) play significant roles in regulating gene expression post
transcriptionally in response to environmental changes in bacteria. In this work,
we identified and characterized six novel sRNAs from an emerging multidrug
resistance (MDR) plasmid pNDM-HK, a New Delhi metallo-beta-lactamase 1 gene
(blaNDM-1)-carrying IncL/M plasmid that has caused worldwide threat in recent
years. These sRNAs are located at different regions of pNDM-HK, such as
replication, stability, and variable regions. Moreover, one of the plasmid
encoded sRNAs (NDM-sR3) functions in an Hfq-dependent manner and possibly plays
roles in the fitness of pNDM-HK carrying bacteria. In addition, we attempted to
construct the phylogenetic tree based on these novel sRNAs and surprisingly, the
sRNA-phylogenetic tree provided significant information about the evolutionary
pathway of pNDM-HK, including possible gene acquisition and insertion from
relevant plasmids. Moreover, the sRNA-phylogenetic tree can specifically cluster
the IncM2 type and distinguish it from other IncL/M subtypes. In summary, this is
the first study to systematically identify and characterize sRNAs from clinically
isolated MDR plasmids. We believe that these newly found sRNAs could lead to
further understanding and new directions to study the evolution and dissemination
of the clinically MDR bacterial plasmids.
PMID- 29636733
TI - Diversity and Antibiotic Susceptibility of Acinetobacter Strains From Milk Powder
Produced in Germany.
AB - Forty-seven Acinetobacter spp. isolates from milk powder obtained from a powdered
milk producer in Germany were investigated for their antibiotic resistance
susceptibilities, in order to assess whether strains from food harbor multiple
antibiotic resistances and whether the food route is important for dissemination
of resistance genes. The strains were identified by 16S rRNA and rpoB gene
sequencing, as well as by whole genome sequencing of selected isolates and their
in silico DNA-DNA hybridization (DDH). Furthermore, they were genotyped by rep
PCR together with reference strains of pan-European groups I, II, and III strains
of Acinetobacter baumannii. Of the 47 strains, 42 were identified as A.
baumannii, 4 as Acinetobacter Pittii, and 1 as Acinetobacter calcoaceticus based
on 16S rRNA gene sequencing. In silico DDH with the genome sequence data of
selected strains and rpoB gene sequencing data suggested that the five non-A.
baumannii strains all belonged to A. pittii, suggesting that the rpoB gene is
more reliable than the 16S rRNA gene for species level identification in this
genus. Rep-PCR genotyping of the A. baumannii strains showed that these could be
grouped into four groups, and that some strains clustered together with reference
strains of pan-European clinical group II and III strains. All strains in this
study were intrinsically resistant toward chloramphenicol and oxacillin, but
susceptible toward tetracycline, tobramycin, erythromycin, and ciprofloxacin. For
cefotaxime, 43 strains (91.5%) were intermediate and 3 strains (6.4%) resistant,
while 3 (6.4%) and 21 (44.7%) strains exhibited resistance to cefepime and
streptomycin, respectively. Forty-six (97.9%) strains were susceptible to
amikacin and ampicillin-sulbactam. Therefore, the strains in this study were
generally not resistant to the clinically relevant antibiotics, especially
tobramycin, ciprofloxacin, cefepime, and meropenem, suggesting that the food
route probably poses only a low risk for multidrug resistant Acinetobacter
strains or resistance genes.
PMID- 29636734
TI - Retrospective Analysis of Bacterial Cultures Sampled in German Chicken-Fattening
Farms During the Years 2011-2012 Revealed Additional VIM-1 Carbapenemase
Producing Escherichia coli and a Serologically Rough Salmonella enterica Serovar
Infantis.
AB - Carbapenems are last-resort antibiotics used in human medicine. The increased
detection of carbapenem-resistant Enterobacteriaceae (CRE) is therefore worrying.
In 2011 we reported the first livestock-associated VIM-1-producing Salmonella
(S.) enterica serovar Infantis (R3) isolate from dust, sampled in a German
chicken fattening farm. Due to this observation we retrospectively investigated
more than 536 stored bacterial cultures, isolated from 45 chicken fattening farms
during the years 2011 and 2012. After a non-selective overnight incubation, the
bacteria were transferred to selective media. Escherichia (E.) coli and
Salmonella growing on these media were further investigated, including antibiotic
susceptibility testing, carbapenemase gene screening and whole genome sequencing
(WGS). In total, four CRE were found in three out of 45 investigated farms:
Besides R3, one additional Salmonella (G-336-1a) as well as two E. coli isolates
(G-336-2, G-268-2). All but G-268-2 harbored the blaVIM-1 gene. Salmonella
isolates R3 and G-336-1 were closely related although derived from two different
farms. All three blaVIM-1-encoding isolates possessed identical plasmids and the
blaVIM-1- containing transposon showed mobility at least in vitro. In isolate G
268-2, the AmpC beta-lactamase gene blaCMY-2 but no known carbapenemase gene was
identified. However, a transfer of the phenotypic resistance was possible.
Furthermore, G-268-2 contained the mcr-1 gene, combining phenotypical carbapenem-
as well as colistin resistance in one isolate. Carbapenem-resistant
Enterobacteriaceae have been found in three out of 45 investigated chicken
flocks. This finding is alarming and emphasizes the importance of intervention
strategies to contain the environmental spread of resistant bacteria in animals
and humans.
PMID- 29636735
TI - High Variability in Cellular Stoichiometry of Carbon, Nitrogen, and Phosphorus
Within Classes of Marine Eukaryotic Phytoplankton Under Sufficient Nutrient
Conditions.
AB - Current hypotheses suggest that cellular elemental stoichiometry of marine
eukaryotic phytoplankton such as the ratios of cellular
carbon:nitrogen:phosphorus (C:N:P) vary between phylogenetic groups. To
investigate how phylogenetic structure, cell volume, growth rate, and temperature
interact to affect the cellular elemental stoichiometry of marine eukaryotic
phytoplankton, we examined the C:N:P composition in 30 isolates across 7 classes
of marine phytoplankton that were grown with a sufficient supply of nutrients and
nitrate as the nitrogen source. The isolates covered a wide range in cell volume
(5 orders of magnitude), growth rate (<0.01-0.9 d-1), and habitat temperature (2
24 degrees C). Our analysis indicates that C:N:P is highly variable, with
statistical model residuals accounting for over half of the total variance and no
relationship between phylogeny and elemental stoichiometry. Furthermore, our data
indicated that variability in C:P, N:P, and C:N within Bacillariophyceae
(diatoms) was as high as that among all of the isolates that we examined. In
addition, a linear statistical model identified a positive relationship between
diatom cell volume and C:P and N:P. Among all of the isolates that we examined,
the statistical model identified temperature as a significant factor, consistent
with the temperature-dependent translation efficiency model, but temperature only
explained 5% of the total statistical model variance. While some of our results
support data from previous field studies, the high variability of elemental
ratios within Bacillariophyceae contradicts previous work that suggests that this
cosmopolitan group of microalgae has consistently low C:P and N:P ratios in
comparison with other groups.
PMID- 29636737
TI - Pentocin MQ1: A Novel, Broad-Spectrum, Pore-Forming Bacteriocin From
Lactobacillus pentosus CS2 With Quorum Sensing Regulatory Mechanism and
Biopreservative Potential.
AB - Micrococcus luteus, Listeria monocytogenes, and Bacillus cereus are major food
borne pathogenic and spoilage bacteria. Emergence of antibiotic resistance and
consumer demand for foods containing less of chemical preservatives led to a
search for natural antimicrobials. A study aimed at characterizing, investigating
the mechanism of action and regulation of biosynthesis and evaluating the
biopreservative potential of pentocin from Lactobacillus pentosus CS2 was
conducted. Pentocin MQ1 is a novel bacteriocin isolated from L. pentosus CS2 of
coconut shake origin. The purification strategy involved adsorption-desorption of
bacteriocin followed by RP-HPLC. It has a molecular weight of 2110.672 Da as
determined by MALDI-TOF mass spectrometry and a molar extinction value of 298.82
M-1 cm-1. Pentocin MQ1 is not plasmid-borne and its biosynthesis is regulated by
a quorum sensing mechanism. It has a broad spectrum of antibacterial activity,
exhibited high chemical, thermal and pH stability but proved sensitive to
proteolytic enzymes. It is potent against M. luteus, B. cereus, and L.
monocytogenes at micromolar concentrations. It is quick-acting and exhibited a
bactericidal mode of action against its targets. Target killing was mediated by
pore formation. We report for the first time membrane permeabilization as a
mechanism of action of the pentocin from the study against Gram-positive
bacteria. Pentocin MQ1 is a cell wall-associated bacteriocin. Application of
pentocin MQ1 improved the microbiological quality and extended the shelf life of
fresh banana. This is the first report on the biopreservation of banana using
bacteriocin. These findings place pentocin MQ1 as a potential biopreservative for
further evaluation in food and medical applications.
PMID- 29636738
TI - A Versatile New Model of Chemically Induced Chronic Colitis Using an Outbred
Murine Strain.
AB - Murine colitis models are crucial tools for understanding intestinal homeostasis
and inflammation. However, most current models utilize a highly inbred strain of
mice, and often only one sex is employed to limit bias. This targeted approach,
which in itself is biased, means that murine genetic diversity and sex-related
differences are ignored, making it even more difficult to extend findings to
humans, who are highly heterogeneous. Furthermore, most models do not examine the
chronic form of colitis, an important fact taking into account the chronic nature
of the inflammatory bowel diseases (IBD). Here, we attempted to create a more
realistic murine colitis model by addressing these three issues. Using chemically
induced chronic colon inflammation in an outbred strain of mice (RjOrl:SWISS [CD
1]), we (i) mimicked the relapsing nature of the disease, (ii) better represented
normal genetic variability, and (iii) employed both female and male mice. Colitis
was induced by intrarectal administration of dinitrobenzene sulfonic acid (DNBS).
After a recovery period and 3 days before the mice were euthanized, colitis was
reactivated by a second administration of DNBS. Protocol length was 24 days.
Colitis severity was assessed using body mass, macroscopic scores, and
histological scores. Myeloperoxidase (MPO) activity, cytokine levels, and
lymphocyte populations were also characterized. Our results show that the
intrarectal administration of DNBS effectively causes colitis in both female and
male CD-1 mice in a dose-dependent manner, as reflected by loss of body mass,
macroscopic scores and histological scores. Furthermore, colon cytokine levels
and mesenteric lymph node characteristics indicate that this model involves
immune system activation. Although some variables were sex-specific, most of the
results support including both females and males in the model. Our ultimate goal
is to make this model available to researchers for testing candidate anti
inflammatory agents, such as classical or next-generation probiotics; we also aim
for the results to be more easily transferrable to human trials.
PMID- 29636736
TI - Bacterial Symbionts in Lepidoptera: Their Diversity, Transmission, and Impact on
the Host.
AB - The insect's microbiota is well acknowledged as a "hidden" player influencing
essential insect traits. The gut microbiome of butterflies and moths
(Lepidoptera) has been shown to be highly variable between and within species,
resulting in a controversy on the functional relevance of gut microbes in this
insect order. Here, we aim to (i) review current knowledge on the composition of
gut microbial communities across Lepidoptera and (ii) elucidate the drivers of
the variability in the lepidopteran gut microbiome and provide an overview on
(iii) routes of transfer and (iv) the putative functions of microbes in
Lepidoptera. To find out whether Lepidopterans possess a core gut microbiome, we
compared studies of the microbiome from 30 lepidopteran species. Gut bacteria of
the Enterobacteriaceae, Bacillaceae, and Pseudomonadaceae families were the most
widespread across species, with Pseudomonas, Bacillus, Staphylococcus,
Enterobacter, and Enterococcus being the most common genera. Several studies
indicate that habitat, food plant, and age of the host insect can greatly impact
the gut microbiome, which contributes to digestion, detoxification, or defense
against natural enemies. We mainly focus on the gut microbiome, but we also
include some examples of intracellular endosymbionts. These symbionts are present
across a broad range of insect taxa and are known to exert different effects on
their host, mostly including nutrition and reproductive manipulation. Only two
intracellular bacteria genera (Wolbachia and Spiroplasma) have been reported to
colonize reproductive tissues of Lepidoptera, affecting their host's
reproduction. We explore routes of transmission of both gut microbiota and
intracellular symbionts and have found that these microbes may be horizontally
transmitted through the host plant, but also vertically via the egg stage. More
detailed knowledge about the functions and plasticity of the microbiome in
Lepidoptera may provide novel leads for the control of lepidopteran pest species.
PMID- 29636739
TI - Incidence and Outbreak of Healthcare-Onset Healthcare-Associated Clostridioides
difficile Infections Among Intensive Care Patients in a Large Teaching Hospital
in China.
AB - Background:Clostridioides difficile infection (CDI) is an important cause of
morbidity and mortality among hospitalized patients. In China, however, hospital
staff do not routinely test for CDI, leading to under-diagnosis and poor patient
outcomes. Locally generated CDI data can help assess the magnitude of the problem
and strengthen approaches for CDI prevention and control. Methods: We
prospectively monitored hospital-onset hospital-associated (HOHA) CDI in four
intensive care units (ICUs) from June 2013 to September 2014 in a large teaching
hospital in China. We collected clinical information from all ICU patients with
>= 3 episodes of diarrhea occurring within a 24-h period at least 48 h following
admission (suspect case definition). Stool specimens were collected from all
suspect cases of CDI and cultured for C. difficile. Polymerase chain reaction
(PCR) was used to detect toxin genes from positive isolates; multi-locus sequence
typing (MLST) was used for typing and identifying novel strains. We estimated the
incidence rate as the number of HOHA CDI cases per 10,000 patient days; 95%
confidence intervals were generated to assess rate differences between the four
ICUs. Results: A total of 593 hospital-onset diarrhea patients met the suspect
case definition during the study period. Of these, 47 patients (8%) were positive
for C. difficile and toxin genes. The HOHA-CDI incidence rate was 14.1 cases per
10,000 patient days (95% CI: 10.5-18.6). Six patients with HOHA CDI died. ST54 (n
= 14, 20%) was the most common type of HOHA-CDI strain circulating in the
hospital during the study period and was linked to a temporal cluster (outbreak)
involving two (NICU and GICU) of the four ICUs. Conclusion: HOHA-CDI occurs among
ICU patients at this teaching hospital, supporting the importance of routine
testing for CDI. Information on strain distribution can help detect CDI
outbreaks. Detection of ST54 strain in a temporal cluster suggests possible gaps
in infection control practices that should be investigated and addressed as
needed.
PMID- 29636740
TI - Stair-Step Pattern of Soil Bacterial Diversity Mainly Driven by pH and Vegetation
Types Along the Elevational Gradients of Gongga Mountain, China.
AB - Ecological understandings of soil bacterial community succession and assembly
mechanism along elevational gradients in mountains remain not well understood.
Here, by employing the high-throughput sequencing technique, we systematically
examined soil bacterial diversity patterns, the driving factors, and community
assembly mechanisms along the elevational gradients of 1800-4100 m on Gongga
Mountain in China. Soil bacterial diversity showed an extraordinary stair-step
pattern along the elevational gradients. There was an abrupt decrease of
bacterial diversity between 2600 and 2800 m, while no significant change at
either lower (1800-2600 m) or higher (2800-4100 m) elevations, which coincided
with the variation in soil pH. In addition, the community structure differed
significantly between the lower and higher elevations, which could be primarily
attributed to shifts in soil pH and vegetation types. Although there was no
direct effect of MAP and MAT on bacterial community structure, our partial least
squares path modeling analysis indicated that bacterial communities were
indirectly influenced by climate via the effect on vegetation and the derived
effect on soil properties. As for bacterial community assembly mechanisms, the
null model analysis suggested that environmental filtering played an overwhelming
role in the assembly of bacterial communities in this region. In addition,
variation partition analysis indicated that, at lower elevations, environmental
attributes explained much larger fraction of the beta-deviation than spatial
attributes, while spatial attributes increased their contributions at higher
elevations. Our results highlight the importance of environmental filtering, as
well as elevation-related spatial attributes in structuring soil bacterial
communities in mountain ecosystems.
PMID- 29636741
TI - Differential Activity of the Combination of Vancomycin and Amikacin on Planktonic
vs. Biofilm-Growing Staphylococcus aureus Bacteria in a Hollow Fiber Infection
Model.
AB - Combining currently available antibiotics to optimize their use is a promising
strategy to reduce treatment failures against biofilm-associated infections.
Nevertheless, most assays of such combinations have been performed in vitro on
planktonic bacteria exposed to constant concentrations of antibiotics over only
24 h and the synergistic effects obtained under these conditions do not
necessarily predict the behavior of chronic clinical infections associated with
biofilms. To improve the predictivity of in vitro combination assays for
bacterial biofilms, we first adapted a previously described Hollow-fiber (HF)
infection model by allowing a Staphylococcus aureus biofilm to form before drug
exposure. We then mimicked different concentration profiles of amikacin and
vancomycin, similar to the free plasma concentration profiles that would be
observed in patients treated daily over 5 days. We assessed the ability of the
two drugs, alone or in combination, to reduce planktonic and biofilm-embedded
bacterial populations, and to prevent the selection of resistance within these
populations. Although neither amikacin nor vancomycin exhibited any bactericidal
activity on S. aureus in monotherapy, the combination had a synergistic effect
and significantly reduced the planktonic bacterial population by -3.0 to -6.0
log10 CFU/mL. In parallel, no obvious advantage of the combination, as compared
to amikacin alone, was demonstrated on biofilm-embedded bacteria for which the
addition of vancomycin to amikacin only conferred a further maximum reduction of
0.3 log10 CFU/mL. No resistance to vancomycin was ever found whereas a few
bacteria less-susceptible to amikacin were systematically detected before
treatment. These resistant bacteria, which were rapidly amplified by exposure to
amikacin alone, could be maintained at a low level in the biofilm population and
even suppressed in the planktonic population by adding vancomycin. In conclusion,
by adapting the HF model, we were able to demonstrate the different bactericidal
activities of the vancomycin and amikacin combination on planktonic and biofilm
embedded bacterial populations, suggesting that, for biofilm-associated
infections, the efficacy of this combination would not be much greater than with
amikacin monotherapy. However, adding vancomycin could reduce possible resistance
to amikacin and provide a relevant strategy to prevent the selection of
antibiotic-resistant bacteria during treatments.
PMID- 29636742
TI - Rumen Biohydrogenation and Microbial Community Changes Upon Early Life
Supplementation of 22:6n-3 Enriched Microalgae to Goats.
AB - Dietary supplementation of docosahexaenoic acid (DHA)-enriched products inhibits
the final step of biohydrogenation in the adult rumen, resulting in the
accumulation of 18:1 isomers, particularly of trans(t)-11 18:1. Occasionally, a
shift toward the formation of t10 intermediates at the expense of t11
intermediates can be triggered. However, whether similar impact would occur when
supplementing DHA-enriched products during pregnancy or early life remains
unknown. Therefore, the current in vivo study aimed to investigate the effect of
a nutritional intervention with DHA in the early life of goat kids on rumen
biohydrogenation and microbial community. Delivery of DHA was achieved by
supplementing DHA-enriched microalgae (DHA Gold) either to the maternal diet
during pregnancy (prenatal) or to the diet of the young offspring (postnatal). At
the age of 12 weeks, rumen fluid was sampled for analysis of long-chain fatty
acids and microbial community based on bacterial 16S rRNA amplicon sequencing.
Postnatal supplementation with DHA-enriched microalgae inhibited the final
biohydrogenation step, as observed in adult animals. This resulted particularly
in increased ruminal proportions of t11 18:1 rather than a shift to t10
intermediates, suggesting that both young and adult goats might be less prone to
dietary induced shifts toward the formation of t10 intermediates, in comparison
with cows. Although Butyrivibrio species have been identified as the most
important biohydrogenating bacteria, this genus was more abundant when complete
biohydrogenation, i.e. 18:0 formation, was inhibited. Blautia abundance was
positively correlated with 18:0 accumulation, whereas Lactobacillus spp.
Dialister spp. and Bifidobacterium spp. were more abundant in situations with
greater t10 accumulation. Extensive comparisons made between current results and
literature data indicate that current associations between biohydrogenation
intermediates and rumen bacteria in young goats align with former observations in
adult ruminants.
PMID- 29636743
TI - Alfalfa Intervention Alters Rumen Microbial Community Development in Hu Lambs
During Early Life.
AB - The pre-weaning period is crucial for rumen developmental plasticity, which can
have a long-term impact on animal performance. Understanding the rumen microbiota
during early life is important to elucidate its potential role in rumen
development. In this study, the rumen microbiota of 10-day-old Hu lambs fed
either milk replacer (B-10), milk replacer and starter (STA) or milk replacer and
starter supplemented with alfalfa (S-ALF) in the pre- (d17, 24, and 38) and post
weaning periods (d45 and 66) were assessed to characterize rumen microbial
colonization during early life and its response to fiber intervention. In the
rumens of B-10 lambs, 498 operational taxonomic units belonging to 33 predominant
genera were observed, and the top six predicted functions included "Membrane
transport," "carbohydrate metabolism," "amino acid metabolism," "replication and
repair," "translation," and "energy metabolism." Prevotella, Succinivibrio,
Bifidobacterium, and Butyrivibrio abundances were increased at d38 for both STA
and S-ALF groups compared to the B-10 group, whereas fibrolytic bacteria of the
taxa Lachnospiraceae and Treponema were only increased in the S-ALF group at d38.
A number of saccharolytic bacteria (Bacteroidaceae), organic acid-producing
bacteria (Coprococcus and Actinomyces), proteolytic and amino acid fermenters
(Fusobacterium) and fibrolytic bacteria (unclassified Ruminococcaceae) were
significantly decreased in the STA lambs but not in the S-ALF lambs at d38. After
weaning and exposed to alfalfa, the rumen microbial composition in the STA group
started to appear similar to that of the S-ALF lambs. The relative abundance of
unclassified Clostridiales was higher in S-ALF lambs than STA lambs after
weaning. Spearman's correlation analysis showed positive relationships between
unclassified Lachnospiraceae, unclassified Clostridiales, Treponema, unclassified
Bacteroidales, Coprococcus and crude protein intake, neutral detergent fiber
intake, and plasma beta-hydroxybutyrate. The unclassified Lachnospiraceae and
Treponema were also positively correlated with average daily gain. Our results
revealed that alfalfa stimulated changes in rumen microbiota during the pre- and
post-weaning periods and was consistent with rumen development for better feed
intake and animal performance before and after weaning. The findings of this
study provide clues for strategies to improve rumen function through manipulation
of the rumen microbiota during early life.
PMID- 29636745
TI - Benthic Algal Community Structures and Their Response to Geographic Distance and
Environmental Variables in the Qinghai-Tibetan Lakes With Different Salinity.
AB - Uncovering the limiting factors for benthic algal distributions in lakes is of
great importance to understanding of their role in global carbon cycling.
However, limited is known about the benthic algal community distribution and how
they are influenced by geographic distance and environmental variables in alpine
lakes. Here, we investigated the benthic algal community compositions in the
surface sediments of six lakes on the Qinghai-Tibetan Plateau (QTP), China
(salinity ranging from 0.8 to 365.6 g/L; pairwise geographic distance among the
studied lakes ranging 8-514 km) employing an integrated approach including
Illumina-Miseq sequencing and environmental geochemistry. The results showed that
the algal communities of the studied samples were mainly composed of orders of
Bacillariales, Ceramiales, Naviculales, Oscillatoriales, Spirulinales,
Synechococcales, and Vaucheriales. The benthic algal community compositions in
these QTP lakes were significantly (p < 0.05) correlated with many environmental
(e.g., dissolved inorganic and organic carbon, illumination intensity, total
nitrogen and phosphorus, turbidity and water temperature) and spatial factors,
and salinity did not show significant influence on the benthic algal community
structures in the studied lakes. Furthermore, geographic distance showed strong,
significant correlation (r = 0.578, p < 0.001) with the benthic algal community
compositions among the studied lakes, suggesting that spatial factors may play
important roles in influencing the benthic algal distribution. These results
expand our current knowledge on the influencing factors for the distributions of
benthic alga in alpine lakes.
PMID- 29636744
TI - Pan-Genome Analysis Links the Hereditary Variation of Leptospirillum ferriphilum
With Its Evolutionary Adaptation.
AB - Niche adaptation has long been recognized to drive intra-species differentiation
and speciation, yet knowledge about its relatedness with hereditary variation of
microbial genomes is relatively limited. Using Leptospirillum ferriphilum species
as a case study, we present a detailed analysis of genomic features of five
recognized strains. Genome-to-genome distance calculation preliminarily
determined the roles of spatial distance and environmental heterogeneity that
potentially contribute to intra-species variation within L. ferriphilum species
at the genome level. Mathematical models were further constructed to extrapolate
the expansion of L. ferriphilum genomes (an 'open' pan-genome), indicating the
emergence of novel genes with new sequenced genomes. The identification of
diverse mobile genetic elements (MGEs) (such as transposases, integrases, and
phage-associated genes) revealed the prevalence of horizontal gene transfer
events, which is an important evolutionary mechanism that provides avenues for
the recruitment of novel functionalities and further for the genetic divergence
of microbial genomes. Comprehensive analysis also demonstrated that the genome
reduction by gene loss in a broad sense might contribute to the observed
diversification. We thus inferred a plausible explanation to address this
observation: the community-dependent adaptation that potentially economizes the
limiting resources of the entire community. Now that the introduction of new
genes is accompanied by a parallel abandonment of some other ones, our results
provide snapshots on the biological fitness cost of environmental adaptation
within the L. ferriphilum genomes. In short, our genome-wide analyses bridge the
relation between genetic variation of L. ferriphilum with its evolutionary
adaptation.
PMID- 29636746
TI - Typical Soil Redox Processes in Pentachlorophenol Polluted Soil Following Biochar
Addition.
AB - Reductive dechlorination is the primary pathway for environmental removal of
pentachlorophenol (PCP) in soil under anaerobic condition. This process has been
verified to be coupled with other soil redox processes of typical biogenic
elements such as carbon, iron and sulfur. Meanwhile, biochar has received
increasing interest in its potential for remediation of contaminated soil, with
the effect seldom investigated under anaerobic environment. In this study, a 120
day anaerobic incubation experiment was conducted to investigate the effects of
biochar on soil redox processes and thereby the reductive dechlorination of PCP
under anaerobic condition. Biochar addition (1%, w/w) enhanced the dissimilatory
iron reduction and sulfate reduction while simultaneously decreased the PCP
reduction significantly. Instead, the production of methane was not affected by
biochar. Interestingly, however, PCP reduction was promoted by biochar when
microbial sulfate reduction was suppressed by addition of typical inhibitor
molybdate. Together with Illumina sequencing data regarding analysis of soil
bacteria and archaea responses, our results suggest that under anaerobic
condition, the main competition mechanisms of these typical soil redox processes
on the reductive dechlorination of PCP may be different in the presence of
biochar. In particularly, the effect of biochar on sulfate reduction process is
mainly through promoting the growth of sulfate reducer (Desulfobulbaceae and
Desulfobacteraceae) but not as an electron shuttle. With the supplementary
addition of molybdate, biochar application is suggested as an improved strategy
for a better remediation results by coordinating the interaction between
dechlorination and its coupled soil redox processes, with minimum production of
toxic sulfur reducing substances and relatively small emission of greenhouse gas
(CH4) while maximum removal of PCP.
PMID- 29636747
TI - Glucose and Applied Voltage Accelerated p-Nitrophenol Reduction in Biocathode of
Bioelectrochemical Systems.
AB - p-Nitrophenol (PNP) is common in the wastewater from many chemical industries. In
this study, we investigated the effect of initial concentrations of PNP and
glucose and applied voltage on PNP reduction in biocathode BESs and open-circuit
biocathode BESs (OC-BES). The PNP degradation efficiency of a biocathode BES with
0.5 V (Bioc-0.5) reached 99.5 +/- 0.8%, which was higher than the degradation
efficiency of the BES with 0 V (Bioc-0) (62.4 +/- 4.5%) and the OC-BES (59.2 +/-
12.5%). The PNP degradation rate constant (kPNP) of Bioc-0.5 was 0.13 +/- 0.01 h
1, which was higher than the kPNP of Bioc-0 (0.024 +/- 0.002 h-1) and OC-BES
(0.013 +/- 0.0005 h-1). PNP degradation depended on the initial concentrations of
glucose and PNP. A glucose concentration of 0.5 g L-1 was best for PNP
degradation. The initial PNP increased from 50 to 130 mg L-1 and the kPNP
decreased from 0.093 +/- 0.008 to 0.027 +/- 0.001 h-1. High-throughput sequencing
of 16S rRNA gene amplicons indicated differences in microbial community structure
between BESs with different voltages and the OC-BES. The predominant populations
were affiliated with Streptococcus (42.7%) and Citrobacter (54.1%) in biocathode
biofilms of BESs, and Dysgonomonas were the predominant microorganisms in
biocathode biofilms of OC-BESs. The predominant populations were different among
the cathode biofilms and the suspensions. These results demonstrated that applied
voltage and biocathode biofilms play important roles in PNP degradation.
PMID- 29636748
TI - Various Profiles of tet Genes Addition to tet(X) in Riemerella anatipestifer
Isolates From Ducks in China.
AB - To investigate tetracycline resistance and resistant genotype in Riemerella
anatipestifer, the tetracycline susceptibility of 212 R. anatipestifer isolates
from China between 2011 and 2017 was tested. The results showed that 192 of 212
(90.6%) R. anatipestifer isolates exhibited resistance to tetracycline (the MICs
ranged from 4 to 256 MUg/ml). The results of PCR detection showed that, 170 of
212 (80.2%) R. anatipestifer isolates possessed the tet(X) gene. Other genes,
including tet(A), tet(M), tet(Q), tet(O), tet(B), and tet(O/W/32/O), were found
at frequencies of 20.8, 4.7, 1.4, 0.9, 0.9, and 0.5%, respectively. However,
tet(C), tet(E), tet(G), tet(K), and tet(W) were not detected in any isolate. In
these tet gene positive strains, 31 (14.6%), 2 (0.9%), 5 (2.4%), 1 (0.5%), 3
(1.4%) were detected containing tet(A)/tet(X), tet(M)/tet(O), tet(M)/tet(X),
tet(O)/tet(X), and tet(Q)/tet(X) simultaneously, respectively. One isolates,
R131, unexpectedly contained three tet genes, i.e., tet(M), tet(O), and tet(X).
Sequence analysis of the tet gene ORFs cloned from R. anatipestifer isolates
confirmed that tet(A), tet(B), tet(M), tet(O), tet(Q) and an unusual mosaic tet
gene tet(O/W/32/O) were present in R. anatipestifer. The MIC results of R.
anatipestifer ATCC 11845 transconjugants carrying tet(A), tet(B), tet(M), tet(O),
tet(O/W/32/O), tet(Q), and tet(X) genes exhibited tetracycline resistance with
MIC values ranging from 4 to 64 MUg/ml. Additionally, the tet(X) gene could
transfer into susceptible strain via natural transformation (transformation
frequencies of ~10-6). In conclusion, the tet(A), tet(B), tet(M), tet(O),
tet(O/W/32/O), tet(Q), and tet(X) genes were found and conferred tetracycline
resistance in R. anatipestifer isolates. Moreover, the tet(X) is the main
mechanism of tetracycline resistance in R. anatipestifer isolates. To our
knowledge, this is the first report of tet(A), tet(B), tet(M), tet(O), tet(Q),
and mosaic gene tet(O/W/32/O) in R. anatipestifer.
PMID- 29636749
TI - Prediction of Phenotypic Antimicrobial Resistance Profiles From Whole Genome
Sequences of Non-typhoidal Salmonella enterica.
AB - Surveillance of antimicrobial resistance (AMR) in non-typhoidal Salmonella
enterica (NTS), is essential for monitoring transmission of resistance from the
food chain to humans, and for establishing effective treatment protocols. We
evaluated the prediction of phenotypic resistance in NTS from genotypic profiles
derived from whole genome sequencing (WGS). Genes and chromosomal mutations
responsible for phenotypic resistance were sought in WGS data from 3,491 NTS
isolates received by Public Health England's Gastrointestinal Bacteria Reference
Unit between April 2014 and March 2015. Inferred genotypic AMR profiles were
compared with phenotypic susceptibilities determined for fifteen antimicrobials
using EUCAST guidelines. Discrepancies between phenotypic and genotypic profiles
for one or more antimicrobials were detected for 76 isolates (2.18%) although
only 88/52,365 (0.17%) isolate/antimicrobial combinations were discordant. Of the
discrepant results, the largest number were associated with streptomycin (67.05%,
n = 59). Pan-susceptibility was observed in 2,190 isolates (62.73%). Overall,
resistance to tetracyclines was most common (26.27% of isolates, n = 917)
followed by sulphonamides (23.72%, n = 828) and ampicillin (21.43%, n = 748).
Multidrug resistance (MDR), i.e., resistance to three or more antimicrobial
classes, was detected in 848 isolates (24.29%) with resistance to ampicillin,
streptomycin, sulphonamides and tetracyclines being the most common MDR profile
(n = 231; 27.24%). For isolates with this profile, all but one were S.
Typhimurium and 94.81% (n = 219) had the resistance determinants blaTEM-1,strA
strB, sul2 and tet(A). Extended-spectrum beta-lactamase genes were identified in
41 isolates (1.17%) and multiple mutations in chromosomal genes associated with
ciprofloxacin resistance in 82 isolates (2.35%). This study showed that WGS is
suitable as a rapid means of determining AMR patterns of NTS for public health
surveillance.
PMID- 29636750
TI - The Small Regulatory RNAs LhrC1-5 Contribute to the Response of Listeria
monocytogenes to Heme Toxicity.
AB - The LhrC family of small regulatory RNAs (sRNAs) is known to be induced when the
foodborne pathogen Listeria monocytogenes is exposed to infection-relevant
conditions, such as human blood. Here we demonstrate that excess heme, the core
component of hemoglobin in blood, leads to a strong induction of the LhrC family
members LhrC1-5. The heme-dependent activation of lhrC1-5 relies on the response
regulator LisR, which is known to play a role in virulence and stress tolerance.
Importantly, our studies revealed that LhrC1-5 and LisR contribute to the
adaptation of L. monocytogenes to excess heme. Regarding the regulatory function
of the sRNAs, we demonstrate that LhrC1-5 act to down-regulate the expression of
known LhrC target genes under heme-rich conditions: oppA, tcsA, and lapB,
encoding surface exposed proteins with virulence functions. These genes were
originally identified as targets for LhrC-mediated control under cell envelope
stress conditions, suggesting a link between the response to heme toxicity and
cell envelope stress in L. monocytogenes. We also investigated the role of LhrC1
5 in controlling the expression of genes involved in heme uptake and utilization:
lmo2186 and lmo2185, encoding the heme-binding proteins Hbp1 and Hbp2,
respectively, and lmo0484, encoding a heme oxygenase-like protein. Using in vitro
binding assays, we demonstrated that the LhrC family member LhrC4 interacts with
mRNAs encoded from lmo2186, lmo2185, and lmo0484. For lmo0484, we furthermore
show that LhrC4 uses a CU-rich loop for basepairing to the AG-rich Shine-Dalgarno
region of the mRNA. The presence of a link between the response to heme toxicity
and cell envelope stress was further underlined by the observation that LhrC1-5
down-regulate the expression of lmo0484 in response to the cell wall-acting
antibiotic cefuroxime. Collectively, this study suggests a role for the LisR
regulated sRNAs LhrC1-5 in a coordinated response to excess heme and cell
envelope stress in L. monocytogenes.
PMID- 29636751
TI - Hydrogen Sulfide Reduces Myeloid-Derived Suppressor Cell-Mediated Inflammatory
Response in a Model of Helicobacter hepaticus-Induced Colitis.
AB - Chronic inflammation contributes to tumor initiation in colitis-associated
colorectal cancer (CRC). Indeed, inflammatory bowel disease (IBD) patients show
an increased risk of developing CRC. Cancer immune evasion is a major issue in
CRC and preclinical and clinical evidence has defined a critical role for myeloid
derived suppressor cells (MDSCs) that contribute to tumor growth and progression
by suppressing T-cells and modulating innate immune responses. MDSCs comprise a
heterogeneous population of immature myeloid cells that can be distinct in two
subtypes: CD11b+Ly6G+Ly6Clow with granulocytic phenotype (G-MDSCs) and CD11b+Ly6G
Ly6Chigh with monocytic phenotype (M-MDSCs). Hydrogen sulfide (H2S) is an
endogenous gaseous signaling molecule that regulates various physiological and
pathophysiological functions. In particular, several studies support its anti
inflammatory activity in experimental colitis and ulcer. However, the role of the
H2S pathway in innate immune-mediated IBD has not yet been elucidated. To better
define a possible link between MDSCs and H2S pathway in colitis-associated CRC
development, we used an innate immune-mediated IBD model induced by infection
with the bacterium Helicobacter hepaticus (Hh), closely resembling human IBD.
Here, we demonstrated an involvement of MDSCs in colitis development. A
significant time-dependent increase of both G-MDSCs and M-MDSCs was observed in
the colon and in the spleen of Hh-infected mice. Following, we observed that
chronic oral administration of the H2S donor DATS reduced colon inflammation by
limiting the recruitment of G-MDSCs in the colon of Hh-infected mice. Thus, we
identify the metabolic pathway l-cysteine/H2S as a possible new player in the
immunosuppressive mechanism responsible for the MDSCs-promoted colitis-associated
cancer development.
PMID- 29636752
TI - Autoantibodies in Autoimmune Liver Disease-Clinical and Diagnostic Relevance.
AB - Testing for liver-related autoantibodies should be included in the workup of
patients with hepatitis or cholestasis of unknown origin. Although most of these
autoantibodies are not disease specific, their determination is a prerequisite to
diagnose autoimmune hepatitis (AIH) and primary biliary cholangitis (PBC), and
they are components of the diagnostic scoring system in these diseases. In
primary sclerosing cholangitis (PSC), on the other hand, autoantibodies are
frequently present but play a minor role in establishing the diagnosis. In PSC,
however, data on antibodies suggest a link between disease pathogenesis and the
intestinal microbiota. This review will focus on practical aspects of antibody
testing in the three major autoimmune liver diseases AIH, PBC, and PSC.
PMID- 29636753
TI - Elevated Level of CD4+ T Cell Immune Activation in Acutely HIV-1-Infected Stage
Associates With Increased IL-2 Production and Cycling Expression, and Subsequent
CD4+ T Cell Preservation.
AB - Persistent immune activation is a striking consequence of HIV-1 infection and a
driving force of CD4+ T cell depletion and AIDS events during chronic infection.
High level of T cell immune activation associates with antiretroviral therapy
(ART)-treated clinical outcomes in chronically HIV-1-infected patients. However,
the role of T cell activation during acute infection stage in subsequent CD4+ T
cell decline in the absence of ART treatment is unknown. In this study, we
enrolled 26 acutely HIV-1-infected patients in the absence of ART treatment from
a prospective acute HIV-1 infection cohort in Beijing (PRIMO). A comprehensive
analysis of CD4+ and CD8+ T cell immune activation during acute infection stage
and the clinical outcomes was studied. We found that patients with higher level
of CD4+ T cell activation (%CD38+HLA-DR+CD4+ T cells) exhibited more effective
function (%IL-2 production and %ki67 expression) in CD4+ T cells compared to
those from patients without increased T cell activation at the acute phase.
Direct correlations were observed between CD4+ T cell activation and the
percentages of IL-2-producing or ki67-expressing CD4+ T cells in patients at the
acute phase of infection. Importantly, the increased levels of CD4+ T cell immune
activation, IL-2 production, and cycling expression during acute infection were
associated with less decline of CD4+ T cell after 2 years of infection. However,
immune exhaustion molecules in acute infection, including CD160, T cell
immunoglobulin and ITIM domain, programmed cell death protein 1, and T cell
immunoglobulin and mucin 3, were not associated with the CD4+ T cell depletion.
These significant associations of CD4+ T cell activation were not demonstrable
for CD8+ T cell activation at the acute phase. Taken together, our observations
provide new insight into the possible role of T cell activation in preventing
CD4+ T cell depletion during acute HIV-1 infection.
PMID- 29636754
TI - Macrophage Transactivation for Chemokine Production Identified as a Negative
Regulator of Granulomatous Inflammation Using Agent-Based Modeling.
AB - Cellular activation in trans by interferons, cytokines, and chemokines is a
commonly recognized mechanism to amplify immune effector function and limit
pathogen spread. However, an optimal host response also requires that collateral
damage associated with inflammation is limited. This may be particularly so in
the case of granulomatous inflammation, where an excessive number and/or
excessively florid granulomas can have significant pathological consequences.
Here, we have combined transcriptomics, agent-based modeling, and in vivo
experimental approaches to study constraints on hepatic granuloma formation in a
murine model of experimental leishmaniasis. We demonstrate that chemokine
production by non-infected Kupffer cells in the Leishmania donovani-infected
liver promotes competition with infected KCs for available iNKT cells, ultimately
inhibiting the extent of granulomatous inflammation. We propose trans-activation
for chemokine production as a novel broadly applicable mechanism that may operate
early in infection to limit excessive focal inflammation.
PMID- 29636755
TI - Development of a Live Recombinant BCG Expressing Human Immunodeficiency Virus
Type 1 (HIV-1) Gag Using a pMyong2 Vector System: Potential Use As a Novel HIV-1
Vaccine.
AB - Even though the rate of new human immunodeficiency virus type 1 (HIV-1)
infections is gradually decreasing worldwide, an effective preventive vaccine for
HIV-1 is still urgently needed. The recombinant Mycobacterium bovis BCG (rBCG) is
promising for the development of an HIV-1 vaccine. Recently, we showed that a
recombinant Mycobacterium smegmatis expressing HIV-1 gag in a pMyong2 vector
system (rSmeg-pMyong2-p24) increased the efficacy of a vaccine against HIV-1 in
mice. Here, we evaluated the potential of an rBCG expressing HIV-1 p24 antigen
Gag in pMyong2 (rBCG-pMyong2-p24) in a vaccine application for HIV-1 infection.
We found that rBCG-pMyong2-p24 elicited an enhanced HIV-1 p24 Gag expression in
rBCG and infected antigen-presenting cells. We also found that compared to rBCG
pAL-p24 in a pAL5000 derived vector system, rBCG-pMyong2-p24 elicited enhanced
p24-specific immune responses in vaccinated mice as evidenced by higher levels of
HIV-1 Gag-specific CD4 and CD8 T lymphocyte proliferation, gamma interferon
ELISPOT cell induction, antibody production, and cytotoxic T lymphocytes (CTL)
responses. Furthermore, rBCG-pMyong2-p24 showed a higher level of p24-specific Ab
production than rSmeg-pMyong2-p24 in the same pMyong2 vector system. In
conclusion, our data indicated that a live recombinant BCG expressing HIV-1 Gag
using a pMyong2 vector system, rBCG-pMyong2-p24 elicited an enhanced immune
response against HIV-1 infections in a mouse model system. So, rBCG-pMyong2-p24
may have the potential as a prime vaccine in a heterologous prime-boost vaccine
strategy for HIV-1 infection.
PMID- 29636756
TI - Interactive Effects of Elevated CO2 and N Fertilization on Yield and Quality of
Tomato Grown Under Reduced Irrigation Regimes.
AB - The interactive effects of CO2 elevation, N fertilization, and reduced irrigation
regimes on fruit yield (FY) and quality in tomato (Solanum lycopersicum L.) were
investigated in a split-root pot experiment. The plants were grown in two
separate climate-controlled greenhouse cells at atmospheric [CO2] of 400 and 800
ppm, respectively. In each cell, the plants were fertilized at either 100 or 200
mg N kg-1 soil and were either irrigated to full water holding capacity [i.e., a
volumetric soil water content of 18%; full irrigation (FI)], or using 70% water
of FI to the whole pot [deficit irrigation (DI)] or alternately to only half of
the pot [partial root-zone irrigation (PRI)]. The yield and fruit quality
attributes mainly from sugars (sucrose, fructose, and glucose) and organic acids
(OAs; citric acid and malic acid) to various ionic (NH4+, K+, Mg2+, Ca2+, NO3-,
SO42-, and PO43-) concentrations in fruit juice were determined. The results
indicated that lower N supply reduced fruit number and yield, whereas it enhanced
some of the quality attributes of fruit as indicated by greater firmness and
higher concentrations of sugars and OAs. Elevated [CO2] (e[CO2]) attenuated the
negative influence of reduced irrigation (DI and PRI) on FY. Principal component
analysis revealed that the reduced irrigation regimes, especially PRI, in
combination with e[CO2] could synergistically improve the comprehensive quality
of tomato fruits at high N supply. These findings provide useful knowledge for
sustaining tomato FY and quality in a future drier and CO2-enriched environment.
PMID- 29636757
TI - The Nicotianamine Synthase Gene Is a Useful Candidate for Improving the
Nutritional Qualities and Fe-Deficiency Tolerance of Various Crops.
AB - With the global population predicted to grow by at least 25% by the year 2050,
the sustainable production of nutritious foods will be necessary for human health
and the environment. Iron (Fe) is an essential nutrient for both plants and
humans. Fe is poorly soluble, especially at high pH levels, at which it is
difficult for living organisms to accumulate sufficient Fe. In plants, Fe
deficiency leads to low yield and poor nutritional quality, as it significantly
affects chlorophyll synthesis. Fe deficiency is a worldwide agricultural problem
that is especially serious in soils with a high pH, such as calcareous soils,
which comprise approximately 30% of cultivated soils worldwide. Genetic
improvements in crops that can tolerate Fe deficiency will be required to meet
the demands for crop production and could ultimately contribute to the
amelioration of global warming. Nicotianamine (NA) is an Fe chelator in plants
that is involved in metal translocation in the plant body. In mammals, NA
inhibits angiotensin I-converting enzyme, which plays a key role in blood
pressure control. It was recently shown that the enhancement of NA production
using nicotianamine synthase is useful for increasing not only NA but also Fe and
Zn levels in crops such as rice, soybean, and sweet potato. Additionally, these
plants showed Fe-deficiency tolerance in calcareous soil. These results suggested
that NAS overexpression simultaneously improves food quality and increases plant
production. This review summarizes progress in generating crops overexpressing
NAS.
PMID- 29636758
TI - Selection and Clonal Propagation of High Artemisinin Genotypes of Artemisia
annua.
AB - Artemisinin, produced in the glandular trichomes of Artemisia annua L. is a vital
antimalarial drug effective against Plasmodium falciparum resistant to quinine
derived medicines. Although work has progressed on the semi-synthetic production
of artemisinin, field production of A. annua remains the principal commercial
source of the compound. Crop production of artemisia must be increased to meet
the growing worldwide demand for artemisinin combination therapies (ACTs) to
treat malaria. Grower artemisinin yields rely on plants generated from seeds from
open-pollinated parents. Although selection has considerably increased plant
artemisinin concentration in the past 15 years, seed-generated plants have highly
variable artemisinin content that lowers artemisinin yield per hectare. Breeding
efforts to produce improved F1 hybrids have been hampered by the inability to
produce inbred lines due to self-incompatibility. An approach combining
conventional hybridization and selection with clonal propagation of superior
genotypes is proposed as a means to enhance crop yield and artemisinin
production. Typical seed-propagated artemisia plants produce less than 1% (dry
weight) artemisinin with yields below 25 kg/ha. Genotypes were identified
producing high artemisinin levels of over 2% and possessing improved agronomic
characteristics such as high leaf area and shoot biomass production. Field
studies of clonally-propagated high-artemisinin plants verified enhanced plant
uniformity and an estimated gross primary productivity of up to 70 kg/ha
artemisinin, with a crop density of one plant m-2. Tissue culture and cutting
protocols for the mass clonal propagation of A. annua were developed for shoot
regeneration, rooting, acclimatization, and field cultivation. Proof of concept
studies showed that both tissue culture-regenerated plants and rooted cutting
performed better than plants derived from seed in terms of uniformity, yield, and
consistently high artemisinin content. Use of this technology to produce plants
with homogeneously-high artemisinin can help farmers markedly increase the
artemisinin yield per cultivated area. This would lead to increased profit to
farmers and decreased prices of ACT.
PMID- 29636759
TI - Alterations of Membrane Lipid Content Correlated With Chloroplast and
Mitochondria Development in Euglena gracilis.
AB - Euglenoids are unique protists that can grow photoautotrophically,
photomixotrophically, and heterotrophically. Here we grew Euglena gracilis under
these different growth conditions and determined cellular contents of seven
membrane lipids and one storage lipid (triacylglycerol), which account for more
than 94 mol% of total membrane lipids. We also describe the relationship among
chloroplast and mitochondria developments with lipid contents, protein contents,
and oxygen evolution/consumption rates. In photoautotrophic growth conditions, E.
gracilis cells accumulated chlorophyll, photosynthetic proteins, and glycolipids
typical to thylakoid membranes. The same occurred for the cells grown under
photomixotrophic conditions with higher respiration rates. In heterotrophic
conditions, E. gracilis cells had higher respiration rates compared to cells
grown in other conditions with the accumulation of pyruvate: NADP+
oxidoreductase, a mitochondrial protein and phospholipid common in mitochondria.
Cells were also observed using a confocal laser scanning microscope and found to
show more chlorophyll autofluorescence when grown photoautotrophically and
photomixotrophycally, and fluorescence of MitoTracker when grown
photomixotrophically and heterotrophically. These results suggest that under
illumination, E. gracilis develops functional thylakoid membranes with membrane
lipids and proteins for photosynthesis. In the medium with glucose, the cells
develop mitochondria with phospholipids and proteins for respiration. Possible
application based on lipid analysis for the enhancement of wax ester or alkene
synthesis is discussed.
PMID- 29636760
TI - Faba Greens, Globe Artichoke's Offshoots, Crenate Broomrape and Summer Squash
Greens: Unconventional Vegetables of Puglia (Southern Italy) With Good Quality
Traits.
AB - Globe artichoke (Cynara cardunculus L. subsp. [L.] scolymus Hayek), summer squash
(Cucurbita pepo L.) and faba bean (Vicia faba L.) are widely cultivated for their
immature inflorescences, fruits and seeds, respectively. Nevertheless, in some
areas of Puglia (Southern Italy), other organs of these species are traditionally
used as vegetables, instead of being considered as by-products. Offshoots (so
called cardoni or carducci) of globe artichoke, produced during the vegetative
growing cycle and removed by common cultural procedures, are used like to the
cultivated cardoons (C. cardunculus L. var. altilis DC). The stems, petioles,
flowers and smaller leaves of summer squash are used as greens (so-called cime di
zucchini), like other leafy vegetables such as chicory (Cichorium intybus L.) and
Swiss chard (Beta vulgaris L.). Also the plant apex of faba bean, about 5-10 cm
long, obtained from the green pruning, are used as greens (so-called cime di
fava) like spinach leaves. Moreover, crenate broomrape (Orobanche crenata
Forssk.), a root parasite plant that produces devastating effects on many crops
(mostly legumes), is used like asparagus (Asparagus officinalis L.) to prepare
several traditional dishes. In this study ethnobotanical surveys and quality
assessment of these unconventional vegetables were performed. For their content
of fiber, offshoots of globe artichokes can be considered a useful food to bowel.
Summer squash greens could be recommended as a vegetable to use especially in the
case of hypoglycemic diets considering both content and composition of their
carbohydrates. For their low content of nitrate, faba greens could be recommended
as a substitute of nitrate-rich leafy vegetables. Crenate broomrape shows a high
antioxidant activity and may be considered as a very nutritious agri-food
product. Overall, the results of the present study indicate that offshoots of
globe artichoke, summer squash greens, faba greens and crenate broomrape have
good potential as novel foods, being nutritious and refined products. Their
exploitation aiming to the obtainment of labeled and/or new potential ready-to
eat retail products could satisfy the demand for local functional foods.
PMID- 29636761
TI - Genome-Wide Association Study for Identification and Validation of Novel SNP
Markers for Sr6 Stem Rust Resistance Gene in Bread Wheat.
AB - : Stem rust (caused by Puccinia graminis f. sp. tritici Erikss. & E. Henn.), is a
major disease in wheat (Triticum aestivium L.). However, in recent years it
occurs rarely in Nebraska due to weather and the effective selection and gene
pyramiding of resistance genes. To understand the genetic basis of stem rust
resistance in Nebraska winter wheat, we applied genome-wide association study
(GWAS) on a set of 270 winter wheat genotypes (A-set). Genotyping was carried out
using genotyping-by-sequencing and ~35,000 high-quality SNPs were identified. The
tested genotypes were evaluated for their resistance to the common stem rust race
in Nebraska (QFCSC) in two replications. Marker-trait association identified 32
SNP markers, which were significantly (Bonferroni corrected P < 0.05) associated
with the resistance on chromosome 2D. The chromosomal location of the significant
SNPs (chromosome 2D) matched the location of Sr6 gene which was expected in these
genotypes based on pedigree information. A highly significant linkage
disequilibrium (LD, r2 ) was found between the significant SNPs and the specific
SSR marker for the Sr6 gene (Xcfd43). This suggests the significant SNP markers
are tagging Sr6 gene. Out of the 32 significant SNPs, eight SNPs were in six
genes that are annotated as being linked to disease resistance in the IWGSC
RefSeq v1.0. The 32 significant SNP markers were located in nine haplotype
blocks. All the 32 significant SNPs were validated in a set of 60 different
genotypes (V-set) using single marker analysis. SNP markers identified in this
study can be used in marker-assisted selection, genomic selection, and to develop
KASP (Kompetitive Allele Specific PCR) marker for the Sr6 gene. Highlights: Novel
SNPs for Sr6 gene, an important stem rust resistant gene, were identified and
validated in this study. These SNPs can be used to improve stem rust resistance
in wheat.
PMID- 29636762
TI - A Transcriptomic Analysis of Xylan Mutants Does Not Support the Existence of a
Secondary Cell Wall Integrity System in Arabidopsis.
AB - Yeast have long been known to possess a cell wall integrity (CWI) system, and
recently an analogous system has been described for the primary walls of plants
(PCWI) that leads to changes in plant growth and cell wall composition. A similar
system has been proposed to exist for secondary cell walls (SCWI). However, there
is little data to support this. Here, we analyzed the stem transcriptome of a set
of cell wall biosynthetic mutants in order to investigate whether cell wall
damage, in this case caused by aberrant xylan synthesis, activates a signaling
cascade or changes in cell wall synthesis gene expression. Our data revealed
remarkably few changes to the transcriptome. We hypothesize that this is because
cells undergoing secondary cell wall thickening have entered a committed
programme leading to cell death, and therefore a SCWI system would have limited
impact. The absence of transcriptomic responses to secondary cell wall
alterations may facilitate engineering of the secondary cell wall of plants.
PMID- 29636763
TI - Functional and Taxonomic Differentiation of Macrophyte Assemblages Across the
Yangtze River Floodplain Under Human Impacts.
AB - Human activities and the consequent extirpations of species have been changing
the composition of species assemblages worldwide. These anthropogenic impacts
alter not only the richness of assemblages but also the biological dissimilarity
among them. One of the main gaps in the assessment of biodiversity change in
freshwater ecosystems is our limited understanding regarding how taxonomic and
functional facets of macrophyte assemblages respond to human impacts on regional
scales. Here, we assess the temporal (before 1970s against after 2000s) changes
in taxonomic and functional richness and compositional dissimilarities,
partitioned into its turnover and nestedness components, of freshwater macrophyte
assemblages across the floodplain lakes of the Yangtze River in China. We found
that functional and taxonomic assemblage differentiation occurred simultaneously
under increasing human impact, concomitant to a general decrease in functional
and taxonomic richness. However, this effect weakened when the historical level
of taxonomic dissimilarity among assemblages was high. Macrophyte species with
large dispersal range and submersed life form were significantly more susceptible
to extirpation. The impact of human activities on differentiation was complex but
habitat loss and fishery intensity were consistently the main drivers of
assemblage change in these lakes, whereas water quality (i.e., light pollution
and nutrient enrichment) had weaker effects. Further, macrophyte taxonomic and
functional differentiation was mainly driven by the nestedness component of
dissimilarity, accounting for changes in assemblage composition related to
changes in species richness independent of species replacement. This result,
markedly different from previous studies on freshwater fish assemblages conducted
in these lakes, represents a novel contribution toward achieving a more holistic
understanding of how human impacts contribute to shape community assemblages in
natural ecosystems.
PMID- 29636764
TI - Modeling Biometric Traits, Yield and Nutritional and Antioxidant Properties of
Seeds of Three Soybean Cultivars Through the Application of Biostimulant
Containing Seaweed and Amino Acids.
AB - In recent years, attempts have been made to use preparations that allow obtaining
high and good quality yields, while reducing the application of pesticides and
mineral fertilizers. These include biostimulants that are safe for the natural
environment and contribute to the improvement of yield size and quality,
especially after the occurrence of stressors. Their use is advisable in the case
of crops sensitive to such biotic stress factors like low temperatures or
drought. One of these is soybean which is a very important plant from the
economic viewpoint. Field experiments were established in the years 2014-2016 in
a random block design in four replicates on experimental plots of 10 m2. Three
soybean cultivars: Annushka, Mavka, and Atlanta were planted in the third decade
of April. Fylloton biostimulant was used at 0.7% or 1% concentrations as single
spraying (BBCH 13-15) or double spraying (BBCH 13-15, BBCH 61) in the vegetation
period. The number of seeds per 1 m2, seed yield, thousand seed weight, number of
pods per plant, number of nodes in the main shoot, height of plants, and protein
and fat contents in seeds were determined. The content of phenolic compounds,
antioxidant capacity and antioxidant effect of soybean seeds were assayed as
well. Foliar treatment of soybean with Fylloton stimulated the growth and yield
of plants without compromising their nutritional and nutraceutical properties.
The double application of the higher concentration of Fylloton was favorable for
the plant height, seed number and soybean yield. Moreover, the highest number of
pods was obtained after single treatment of plants with the lower biostimulant
concentration. There was also a positive effect of using this biostimulant on the
content and activity of some bioactive compounds, such as phenolics and
flavonoids, and on the reducing power.
PMID- 29636766
TI - Phloem Girdling of Norway Spruce Alters Quantity and Quality of Wood Formation in
Roots Particularly Under Drought.
AB - Carbon (C) availability plays an essential role in tree growth and wood
formation. We evaluated the hypothesis that a decrease in C availability (i)
triggers mobilization of C reserves in the coarse roots of Picea abies to
maintain growth and (ii) causes modification of wood structure notably under
drought. The 6-year-old saplings were subjected to two levels of soil moisture
(watered versus drought conditions) and root C status was manipulated by
physically blocking phloem transport in the stem at three girdling dates (GDs).
Stem girdling was done before the onset of bud break [day of the year (doy) 77],
during vigorous aboveground shoot and radial stem growth (GD doy 138), and after
cessation of shoot growth (GD doy 190). The effect of blockage of C transport on
root growth, root phenology, and wood anatomical traits [cell lumen diameter
(CLD) and cell wall thickness (CWT)] in earlywood (EW) and latewood (LW) was
determined. To evaluate changes in belowground C status caused by girdling, non
structural carbohydrates (soluble sugars and starch) in coarse roots were
determined at the time of girdling and after the growing season. Although fine
root mass significantly decreased in response to blockage of phloem C transport,
the phenology of root elongation growth was not affected. Surprisingly, radial
root growth and CLD of EW tracheids in coarse roots were strikingly increased in
drought-stressed trees, when girdling occurred before bud break or during
aboveground stem growth. In watered trees, the growth response to girdling was
less distinct, but the CWT of EW significantly increased. Starch reserves in the
roots of girdled trees significantly decreased in both soil moisture treatments
and at all GDs. We conclude that (i) radial growth and wood development in coarse
roots of P. abies saplings are not only dependent on current photosynthates, and
(ii) blockage of phloem transport induces physiological changes that outweigh
drought effects imposed on root cambial activity and cell differentiation.
PMID- 29636765
TI - Soil Selenium (Se) Biofortification Changes the Physiological, Biochemical and
Epigenetic Responses to Water Stress in Zea mays L. by Inducing a Higher Drought
Tolerance.
AB - Requiring water and minerals to grow and to develop its organs, Maize (Zea mays
L.) production and distribution is highly rainfall-dependent. Current global
climatic changes reveal irregular rainfall patterns and this could represent for
maize a stressing condition resulting in yield and productivity loss around the
world. It is well known that low water availability leads the plant to adopt a
number of metabolic alterations to overcome stress or reduce its effects. In this
regard, selenium (Se), a trace element, can help reduce water damage caused by
the overproduction of reactive oxygen species (ROS). Here we report the effects
of exogenous Se supply on physiological and biochemical processes that may
influence yield and quality of maize under drought stress conditions. Plants were
grown in soil fertilized by adding 150 mg of Se (sodium selenite). We verified
the effects of drought stress and Se treatment. Selenium biofortification proved
more beneficial for maize plants when supplied at higher Se concentrations. The
increase in proline, K concentrations and nitrogen metabolism in aerial parts of
plants grown in Se-rich substrates, seems to prove that Se-biofortification
increased plant resistance to water shortage conditions. Moreover, the increase
of SeMeSeCys and SeCys2 forms in roots and aerial parts of Se-treated plants
suggest resistance strategies to Se similar to those existing in Se
hyperaccumulator species. In addition, epigenetic changes in DNA methylation due
to water stress and Se treatment were also investigated using methylation
sensitive amplified polymorphism (MSAP). Results suggest that Se may be an
activator of particular classes of genes that are involved in tolerance to
abiotic stresses. In particular, PSY (phytoene synthase) gene, essential for
maintaining leaf carotenoid contents, SDH (sorbitol dehydrogenase), whose
activity regulates the level of important osmolytes during drought stress and ADH
(alcohol dehydrogenase), whose activity plays a central role in biochemical
adaptation to environmental stress. In conclusion, Se-biofortification could help
maize plants to cope with drought stress conditions, by inducing a higher drought
tolerance.
PMID- 29636767
TI - High-Density Genetic Map Construction and Stem Total Polysaccharide Content
Related QTL Exploration for Chinese Endemic Dendrobium (Orchidaceae).
AB - Plants of the Dendrobium genus are orchids with not only ornamental value but
also high medicinal value. To understand the genetic basis of variations in
active ingredients of the stem total polysaccharide contents (STPCs) among
different Dendrobium species, it is of paramount importance to understand the
mechanism of STPC formation and identify genes affecting its process at the whole
genome level. Here, we report the first high-density single-nucleotide
polymorphism (SNP) integrated genetic map with a good genome coverage of
Dendrobium. The specific-locus amplified fragment sequencing (SLAF-seq)
technology led to identification of 7,013,400 SNPs from 1,503,626 high-quality
SLAF markers from two parents (Dendrobium moniliforme ? * Dendrobium officinale
?) and their interspecific F1 hybrid population. The final genetic map contained
8, 573 SLAF markers, covering 19 linkage groups (LGs). This genetic map spanned a
length of 2,737.49 cM, where the average distance between markers is 0.32 cM. In
total, 5 quantitative trait loci (QTL) related to STPC were identified, 3 of
which have candidate genes within the confidence intervals of these stable QTLs
based on the D. officinale genome sequence. This study will build a foundation up
for the mapping of other medicinal-related traits and provide an important
reference for the molecular breeding of these Chinese herb.
PMID- 29636769
TI - Population Structure and Genomic Breed Composition in an Angus-Brahman Crossbred
Cattle Population.
AB - Crossbreeding is a common strategy used in tropical and subtropical regions to
enhance beef production, and having accurate knowledge of breed composition is
essential for the success of a crossbreeding program. Although pedigree records
have been traditionally used to obtain the breed composition of crossbred cattle,
the accuracy of pedigree-based breed composition can be reduced by inaccurate
and/or incomplete records and Mendelian sampling. Breed composition estimation
from genomic data has multiple advantages including higher accuracy without being
affected by missing, incomplete, or inaccurate records and the ability to be used
as independent authentication of breed in breed-labeled beef products. The
present study was conducted with 676 Angus-Brahman crossbred cattle with genotype
and pedigree information to evaluate the feasibility and accuracy of using
genomic data to determine breed composition. We used genomic data in parametric
and non-parametric methods to detect population structure due to differences in
breed composition while accounting for the confounding effect of close familial
relationships. By applying principal component analysis (PCA) and the maximum
likelihood method of ADMIXTURE to genomic data, it was possible to successfully
characterize population structure resulting from heterogeneous breed ancestry,
while accounting for close familial relationships. PCA results offered additional
insight into the different hierarchies of genetic variation structuring. The
first principal component was strongly correlated with Angus-Brahman proportions,
and the second represented variation within animals that have a relatively more
extended Brangus lineage-indicating the presence of a distinct pattern of genetic
variation in these cattle. Although there was strong agreement between breed
proportions estimated from pedigree and genetic information, there were
significant discrepancies between these two methods for certain animals. This was
most likely due to inaccuracies in the pedigree-based estimation of breed
composition, which supported the case for using genomic information to complement
and/or replace pedigree information when estimating breed composition. Comparison
with a supervised analysis where purebreds are used as the training set suggest
that accurate predictions can be achieved even in the absence of purebred
population information.
PMID- 29636768
TI - Abscisic Acid and Gibberellins Antagonistically Mediate Plant Development and
Abiotic Stress Responses.
AB - Phytohormones regulate numerous important biological processes in plant
development and biotic/abiotic stress response cascades. More than 50 and 100
years have passed since the initial discoveries of the phytohormones abscisic
acid (ABA) and gibberellins (GA), respectively. Over the past several decades,
numerous elegant studies have demonstrated that ABA and GA antagonistically
regulate many plant developmental processes, including seed maturation, seed
dormancy and germination, root initiation, hypocotyl and stem elongation, and
floral transition. Furthermore, as a well-established stress hormone, ABA plays a
key role in plant responses to abiotic stresses, such as drought, flooding,
salinity and low temperature. Interestingly, recent evidence revealed that GA are
also involved in plant response to adverse environmental conditions.
Consequently, the complex crosstalk networks between ABA and GA, mediated by
diverse key regulators, have been extensively investigated and documented. In
this updated mini-review, we summarize the most recent advances in our
understanding of the antagonistically regulatory roles of ABA and GA in different
stages of plant development and in various plant-environment interactions,
focusing on the crosstalk between ABA and GA at the levels of phytohormone
metabolism and signal transduction.
PMID- 29636770
TI - "Exosomics"-A Review of Biophysics, Biology and Biochemistry of Exosomes With a
Focus on Human Breast Milk.
AB - Exosomes are biomolecular nanostructures released from cells. They carry specific
biomolecular information and are mainly researched for their exquisite properties
as a biomarker source and delivery system. We introduce exosomes in the context
of other extracellular vesicles, describe their biophysical isolation and
characterisation and discuss their biochemical profiling. Motivated by our
interest in early-life nutrition and health, and corresponding studies enrolling
lactating mothers and their infants, we zoom into exosomes derived from human
breast milk. We argue that these should be more extensively studied at proteomic
and micronutrient profiling level, because breast milk exosomes provide a more
specific window into breast milk quality from an immunological (proteomics) and
nutritional (micronutrient) perspective. Such enhanced breast milk exosome
profiling would thereby complement and enrich the more classical whole breast
milk analysis and is expected to deliver more functional insights than the rather
descriptive analysis of human milk, or larger fractions thereof, such as milk fat
globule membrane. We substantiate our arguments by a bioinformatic analysis of
two published proteomic data sets of human breast milk exosomes.
PMID- 29636772
TI - Bioactivities of Traditional Medicinal Plants in Alexandria.
AB - In traditional folklore, medicinal herbs play a vital role in the prevention and
treatment of microbial diseases. In the present study, the phenolic profiles of
the medicinal plants Asparagus aethiopicus L., Citrullus colocynthis L., Senna
alexandrina L., Kalanchoe delagoensis L., Gasteria pillansii L., Cymbopogon
citratus, Brassica juncea, and Curcuma longa L. were determined by high
performance liquid chromatography with a diode-array detector method. The results
revealed rich sources of important compounds such as robinin in the fruits and
leaves of A. aethiopicus; caffeic acid in the tubers of A. aethiopicus and
quercitrin in the leaves of G. pillansii. Further, relatively high antioxidant,
antibacterial, and antifungal activities were observed in C. colocynthis fruit
coat, S. alexandrina pods, and A. aethiopicus leaves, respectively. The
relatively higher the bioactivities of plants extracts associated with the
phenols in these plants, in particular, the more abundant the phenols. Therefore,
it was concluded that the fruit coat of C. colocynthis, pods of S. alexandrina,
and leaves of A. aethiopicus might be excellent sources of natural products.
These plant extracts also have a wide spectrum of antimicrobial activities that
could be used in the pharmaceutical industries and to control diseases.
PMID- 29636771
TI - Mitochonic acid 5 activates the MAPK-ERK-yap signaling pathways to protect mouse
microglial BV-2 cells against TNFalpha-induced apoptosis via increased Bnip3
related mitophagy.
AB - Background: The regulation of microglial function via mitochondrial homeostasis
is important in the development of neuroinflammation. The underlying mechanism
for this regulatory function remains unclear. In this study, we investigated the
protective role of mitochonic acid 5 (MA-5) in microglial mitochondrial apoptosis
following TNFalpha-induced inflammatory injury. Methods: TNFalpha was used to
induce inflammatory injury in mouse microglial BV-2 cells with and without prior
MA-5 treatment. Cellular apoptosis was assessed using the MTT and TUNEL assays.
Mitochondrial functions were evaluated via mitochondrial membrane potential JC-1
staining, ROS flow cytometry analysis, mPTP opening assessment, and
immunofluorescence of cyt-c. Mitophagy was examined using western blots and
immunofluorescence. The pathways analysis was carried out using western blots and
immunofluorescence with a pathway blocker. Results: Our results demonstrated that
TNFalpha induced apoptosis in the microglial BV-2 cell line by activating the
caspase-9-dependent mitochondrial apoptotic pathway. Mechanistically,
inflammation reduced mitochondrial potential, induced ROS production, and
contributed to the leakage of mitochondrial pro-apoptotic factors into the
cytoplasm. The inflammatory response reduced cellular energy metabolism and
increased oxidative stress. By contrast, treatment with MA-5 reduced
mitochondrial apoptosis via upregulation of mitophagy. Increased mitophagy
degraded damaged mitochondria, disrupting mitochondrial apoptosis, neutralizing
ROS overproduction, and improving cellular energy production. We also identified
that MA-5 regulated mitophagy via Bnip3 through the MAPK-ERK-Yap signaling
pathway. Inhibiting this signaling pathway or knocking down Bnip3 expression
prevented MA-5 from having beneficial effects on mitochondrial homeostasis and
increased microglial apoptosis. Conclusions: After TNFalpha-induced inflammatory
injury, MA-5 affects microglial mitochondrial homeostasis in a manner mediated
via the amplification of protective, Bnip3-related mitophagy, which is mediated
via the MAPK-ERK-Yap signaling pathway.
PMID- 29636773
TI - Total Flavonoids from Oroxylum indicum Induce Apoptosis via PI3K/Akt/PTEN
Signaling Pathway in Liver Cancer.
AB - Total flavonoids (TF), derived from the seeds of Oroxylum indicum (L.) Vent.,
possess many pharmacological functions. In the present study, H22-bearing mice
and SMMC-7721 models were employed to evaluate the antitumor activity of TF and
to and investigate its possible mechanisms both in vitro and in vivo. Cell
viability was evaluated by MTT assay; cell apoptosis rate was analyzed via
Annexin V-FITC/PI double staining by flow cytometer. Meanwhile, the expressions
of apoptosis-related mRNA and proteins were evaluated by RT-PCR and Western blot
analysis. The results revealed that TF could significantly inhibit the tumor
growth, and the possible mechanism was related to the effect of inducing tumor
cells apoptosis through PI3K/Akt/PTEN signaling pathway. This study has provided
a theoretical basis for the further development and application of TF as
antitumor drugs.
PMID- 29636774
TI - Flavanones from Sedum sarmentosum Bunge Alleviate CCl4-Induced Liver Fibrosis in
Rats by Targeting TGF-beta1/TbetaR/Smad Pathway In Turn Inhibiting Epithelial
Mesenchymal Transition.
AB - Objective: The aim of the study is to evaluate the therapeutic effects of
flavanones from Sedum sarmentosum Bunge (FSSB) on CCl4-induced liver fibrosis in
rats and the underlying mechanisms of action. Methods: An experimental model of
liver fibrosis was established by subcutaneous injection of rats with CCl4 (40%
v/v, 3 ml/kg) twice per week for six weeks. FSSB (100, 200, and 400 mg/kg) was
intragastrically administered once per day consecutively for five weeks. Results:
Our results showed that FSSB significantly attenuated CCl4-induced liver fibrosis
as evidenced by reducing the elevated levels of serum biochemical indexes and
improving the histological changes, including decreasing the elevation in serum
alanine transaminase (ALT), aspartate transaminase (AST), hyaluronic acid (HA),
and laminin (LN) level, reducing infiltration of inflammatory cells and collagen
fibers in liver tissue. In addition, compared to the model group, FSSB markedly
downregulated the protein and mRNA expression of TGF-beta1, TGF-beta1 receptors I
and II (TbetaRI and TbetaRII), Smad2, Smad3, and Vimentin in liver tissue, at the
mean time upregulating the expression of Smad7 and E-cadherin. Conclusions: The
results suggest that FSSB alleviated CCl4-induced liver fibrosis probably through
inhibition of TGF-beta/TbetaR/Smad pathway in turn inhibiting epithelial
mesenchymal transition.
PMID- 29636775
TI - Shaofu Zhuyu Decoction Regresses Endometriotic Lesions in a Rat Model.
AB - The current therapies for endometriosis are restricted by various side effects
and treatment outcome has been less than satisfactory. Shaofu Zhuyu Decoction
(SZD), a classic traditional Chinese medicinal (TCM) prescription for
dysmenorrhea, has been widely used in clinical practice by TCM doctors to relieve
symptoms of endometriosis. The present study aimed to investigate the effects of
SZD on a rat model of endometriosis. Forty-eight female Sprague-Dawley rats with
regular estrous cycles went through autotransplantation operation to establish
endometriosis model. Then 38 rats with successful ectopic implants were
randomized into two groups: vehicle- and SZD-treated groups. The latter were
administered SZD through oral gavage for 4 weeks. By the end of the treatment
period, the volume of the endometriotic lesions was measured, the
histopathological properties of the ectopic endometrium were evaluated, and
levels of proliferating cell nuclear antigen (PCNA), CD34, and hypoxia inducible
factor- (HIF-) 1alpha in the ectopic endometrium were detected with
immunohistochemistry. Furthermore, apoptosis was assessed using the terminal
deoxynucleotidyl transferase (TdT) deoxyuridine 5'-triphosphate (dUTP) nick-end
labeling (TUNEL) assay. In this study, SZD significantly reduced the size of
ectopic lesions in rats with endometriosis, inhibited cell proliferation,
increased cell apoptosis, and reduced microvessel density and HIF-1alpha
expression. It suggested that SZD could be an effective therapy for the treatment
and prevention of endometriosis recurrence.
PMID- 29636776
TI - Efficacy and Safety of Wei Bi Mei, a Chinese Herb Compound, as an Alternative to
Bismuth for Eradication of Helicobacter pylori.
AB - Bismuth-containing quadruple therapy has been recommended as the first line of
treatment in areas of high clarithromycin or metronidazole resistance. However,
safety concerns of bismuth agents have long been raised. We first assessed the
efficacy and safety of Wei Bi Mei granules, which are bismuth compounds
consisting of three synthetic drugs and five medicinal herbs, compared to bismuth
aluminate and colloidal bismuth subcitrate (CBS) in H. pylori-infected mouse
model. We then used atomic fluorescence spectroscopy and autometallography to
measure the accumulation of three bismuth agents in the brain, heart, liver, and
kidneys in adult Sprague-Dawley rats. We also evaluated the safety of bismuth
agents by conducting clinical biochemistry tests in blood samples of experimental
animals. Wei Bi Mei granules exhibited the highest efficacy of anti-H. pylori
activity and yielded the lowest bismuth accumulation when compared to CBS and
bismuth aluminate. Our findings show that Wei Bi Mei granules are a safe Chinese
medicinal herb with potent anti-H. pylori activity and can be considered as an
alternative to current bismuth compounds. Thus, Wei Bi Mei granules merit further
evaluation, particularly with regard to efficacy and safety when they are
combined with other H. pylori eradication medications in the clinical setting.
PMID- 29636777
TI - Antitumor Mechanisms of Curcumae Rhizoma Based on Network Pharmacology.
AB - Curcumae Rhizoma, a traditional Chinese medication, is commonly used in both
traditional treatment and modern clinical care. Its anticancer effects have
attracted a great deal of attention, but the mechanisms of action remain obscure.
In this study, we screened for the active compounds of Curcumae Rhizoma using a
drug-likeness approach. Candidate protein targets with functions related to
cancer were predicted by reverse docking and then checked by manual search of the
PubMed database. Potential target genes were uploaded to the GeneMANIA server and
DAVID 6.8 database for analysis. Finally, compound-target, target-pathway, and
compound-target-pathway networks were constructed using Cytoscape 3.3. The
results revealed that the anticancer activity of Curcumae Rhizoma potentially
involves 13 active compounds, 33 potential targets, and 31 signaling pathways,
thus constituting a "multiple compounds, multiple targets, and multiple pathways"
network corresponding to the concept of systematic actions in TCM. These findings
provide an overview of the anticancer action of Curcumae Rhizoma from a network
perspective, as well as setting an example for future studies of other materials
used in TCM.
PMID- 29636778
TI - Patient's Decision to Disclose the Use of Traditional and Complementary Medicine
to Medical Doctor: A Descriptive Phenomenology Study.
AB - Nondisclosure of traditional and complementary medicine (T&CM) use may cause
individual to be at risk of undue harm. This study aimed to explore patient's
experience and views on their decision to disclose the use of T&CM to the doctor.
An exploratory qualitative study using in-depth interview involving 10 primary
care clinics attendees in Kuching was conducted. The results indicated that
disclosure of T&CM use will motivate them to get information, increase doctor's
awareness, and get support from family and friends for disclosure. Fear of
negative relationship and negative response from doctors was a barrier for
disclosure. Doctor's interpersonal and communication skills of being involved,
treating patients respectfully, listening attentively, respecting privacy, and
taking time for the patient were a critical component for disclosure.
Intrapersonal trust regarding doctor influences their satisfaction on healthcare.
Women are more open and receptive to a health concern and expressing negative
emotions and tend to share problems, whereas men always described themselves as
healthy, tended to keep their own personal feeling to themselves, and tended to
not share. The doctor should consider gender differences in disclosure, their
attitude towards T&CM use, and gained patient's trust in the delivery of
healthcare services. Good interpersonal and communication skills must be
maintained between doctor and patients.
PMID- 29636779
TI - Differential Regulation of NF-kappaB and Nrf2 by Bojungikki-Tang Is Associated
with Suppressing Lung Inflammation.
AB - Bojungikki-tang (BT), an Asian herbal remedy, has been prescribed to increase the
vitality of debilitated patients. Since a compromised, weakened vitality often
leads to illness, BT has been widely used to treat various diseases. However,
little is known about the mechanism by which BT exerts its effect. Given that BT
ameliorates inflammatory pulmonary diseases including acute lung injury (ALI), we
investigated whether BT regulates the function of key inflammatory factors such
as NF-kappaB and Nrf2, contributing to suppressing inflammation. Results show
that BT interrupted the nuclear localization of NF-kappaB and suppressed the
expression of the NF-kappaB-dependent genes in RAW 264.7 cells. In similar
experiments, BT induced the nuclear localization of Nrf2 and the expression of
the Nrf2-dependent genes. In a lipopolysaccharide-induced ALI mouse model, a
single intratracheal administration of BT to mouse lungs ameliorated alveolar
structure and suppressed the expression of proinflammatory cytokine genes and
neutrophil infiltration to mouse lungs. Therefore, our findings suggest that
suppression of NF-kappaB and activation of Nrf2, by which BT suppresses
inflammation, are ways for BT to exert its effect.
PMID- 29636780
TI - Herba Artemisiae Capillaris Extract Prevents the Development of Streptozotocin
Induced Diabetic Nephropathy of Rat.
AB - Diabetic nephropathy (DN) is a major cause of end-stage renal disease throughout
the world; until now there is no specific drug available. In this work, we use
herba artemisiae capillaris extract (HACE) to alleviate renal fibrosis
characterized by the excessive accumulation of extracellular matrix (ECM) in
rats, aiming to investigate the protective effect of the HACE on DN. We found
that the intragastric treatment of high-dose HACE could reverse the effect of
streptozotocin not only to decrease the level of blood glucose and blood lipid in
different degree but also further to improve renal functions. It is worth
mentioning that the effect of HACE treatment was comparable to the positive drug
benazepril. Moreover, we found that HACE treatment could on one hand inhibit
oxidative stress in DN rats through regulating enzymatic activity for scavenging
reactive oxygen species and on the other hand increase the ECM degradation
through regulating the activity of metalloproteinase-2 (MMP-2) and the expression
of tissue transglutaminase (tTG), which explained why HACE treatment inhibited
ECM accumulation. On the basis of above experimental results, we conclude that
HACE prevents DN development in a streptozotocin-induced DN rat model, and HACE
is a promising candidate to cure DN in clinic.
PMID- 29636781
TI - Traditional Herbal Formula NPC01 Exerts Antiangiogenic Effects through Inhibiting
the PI3K/Akt/mTOR Signaling Pathway in Nasopharyngeal Carcinoma Cells.
AB - Antiangiogenic therapy is vital in nasopharyngeal carcinoma (NPC) treatment.
NPC01 has already been successfully used in treating patients with NPC in
clinical practice and exerted an excellent antiangiogenetic effect. However, the
potential molecular mechanism underlying the antitumor effect of NPC01 has not
been well explored. The present study demonstrated that NPC01 could significantly
inhibit cell proliferation and induce cell apoptosis in a dose-dependent manner
in human NPC cell lines. Furthermore, NPC01 exerted antiproliferative and
antiangiogenic effects in NPC xenograft mice. Moreover, the study showed that
NPC01 could significantly decrease the expression of angiogenesis-associated
factors including hypoxia-inducible factor-1alpha and vascular endothelial growth
factor. Additionally, the decreased expression of these angiogenesis-associated
factors could be due to the inhibition of the phosphoinositide 3-kinase
(PI3K)/protein kinase B (Akt)/mammalian target of rapamycin (mTOR) signaling
pathway (PI3K/Akt/mTOR). In conclusion, the results proposed that NPC01 could
exert its antitumor effect by suppressing the PI3K/Akt/mTOR signaling pathway.
Further studies are warranted to elucidate the molecular mechanism.
PMID- 29636782
TI - A Systematic Review of Herbal Medicine for Chemotherapy Induced Peripheral
Neuropathy.
AB - Background: Chemotherapy-induced peripheral neuropathy (CIPN) is a common adverse
effect in cancer patients. The aim of this review was to assess the effectiveness
of herbal medicine in preventing and treating CIPN. Methods: Randomised
controlled trials were included in this review. Extracting and assessing the data
independently, two authors searched 13 databases. Results: Twenty-eight trials
involving 2174 patients met the inclusion criteria. Although there were some
exceptions, the methodological quality was typically low. Seventeen trials
reported the incidence rate of CIPN assessed by various tools and 14 showed a
significant difference regarding the decrease of the incidence rate between the
two groups. For clinical improvement, 12 trials reported it using various tools
and 10 showed a significant difference between two groups. Two cases of adverse
events occurred in one trial; the other nine trials reported no adverse events.
Conclusions: We found that herbal medicines in combination with and/or without
other therapies potentially have preventive or therapeutic effects on CIPN.
However, conclusions cannot be drawn because of the generally low quality of the
methodology, the clinical heterogeneity, and the small sample size for each
single herbal medicine. Trials that are more rigorous and report sufficient
methodological data are needed.
PMID- 29636783
TI - Xiaoqinglong Decoction Attenuates Chronic Obstructive Pulmonary Disease in Rats
via Inhibition of Autophagy.
AB - Effective treatment for chronic obstructive pulmonary disease (COPD) and
knowledge of the underlying mechanism are urgently required. Xiaoqinglong
decoction (XQL) is widely used to treat COPD in Traditional Chinese Medicine, but
the mechanism remains unclear. In this study, we tested the hypothesis that XQL
ameliorates COPD via inhibition of autophagy in lung tissue on a rat model. Rats
were divided into five groups, namely, Control group, COPD group, COPD + XQL
group, COPD + Rapamycin group, and COPD + XQL + Rapamycin group. Pathological
changes on cellular and molecular levels, apoptosis reflected by TdT-mediated
dUTP Nick-End Labeling (TUNEL) assay, and autophagy represented by LC3II/LC3I
ratio and p62 level were investigated for each group. Compared with the Control
group, COPD rats exhibited structural changes and activated inflammation in the
lung tissue, together with enhanced apoptosis and elevated autophagy biomarkers.
XQL treatment significantly ameliorated these changes, while rapamycin augmented
them. These data altogether confirmed the involvement of autophagy in the
pathogenesis of COPD and suggested that XQL attenuates COPD via inhibition of
autophagy.
PMID- 29636784
TI - Acute Effects of Tai Chi Training on Cognitive and Cardiovascular Responses in
Late Middle-Aged Adults: A Pilot Study.
AB - This study explored the immediate effects of Tai Chi (TC) training on attention
and meditation, perceived stress level, heart rate, oxygen saturation level in
blood, and palmar skin temperature in late middle-aged adults. Twenty TC
practitioners and 20 nonpractitioners volunteered to join the study. After
baseline measurements were taken, the TC group performed TC for 10 minutes while
their cognitive states and cardiovascular responses were concurrently monitored.
The control group rested for the same duration in a standing position. Both
groups were then reassessed. The participants' attention and meditation levels
were measured using electroencephalography; stress levels were measured using
Perceived Stress Scale; heart rate and blood oxygenation were measured using an
oximeter; and palmar skin temperature was measured using an infrared thermometer.
Attention level tended to increase during TC and dropped immediately thereafter
(p < 0.001). Perceived stress level decreased from baseline to posttest in
exclusively the TC group (p = 0.005). Heart rate increased during TC (p < 0.001)
and decreased thereafter (p = 0.001). No significant group, time, or group-by
time interaction effects were found in the meditation level, palmar skin
temperature, and blood oxygenation outcomes. While a 10-minute TC training could
temporarily improve attention and decrease perceived stress levels, it could not
improve meditation, palmar skin temperature, or blood oxygenation among late
middle-aged adults.
PMID- 29636785
TI - Alleviating the Intestinal Absorption of Rhein in Rhubarb through Herb
Compatibility in Tiaowei Chengqi Tang in Caco-2 Cells.
AB - Tiaowei Chengqi Tang (TWCQT) is composed of rhubarb, processed liquorice, and
Natrii Sulfas, which is used as a purgative in traditional Chinese medicine
(TCM). This study focused on the intestinal absorption of rhein in disassembly of
the TWCQT extracts through the Caco-2 cell monolayer model to explicate the
possible detoxification mechanism of herb-herb compatibility in TWCQT. The
results showed that the intestinal absorption of rhein occurred through active
diffusion, and rhein might be composed of breast cancer resistance protein (BCRP)
substrates. The extract of processed liquorice increased the exclusion rate and
reduced intracellular uptake of rhein. The consistent results observed in TWCQT
further implied that processed liquorice in TWCQT could suppress the absorption
of rhein across the Caco-2 cell monolayer. It has therefore been concluded that
the active ingredients of processed liquorice may play a critical role in
reducing the intestinal absorption of rhein to alleviate the toxicity of rhubarb
in TWCQT. Because of BCRP's involvement in rhein transport, we conjectured that
some components in processed liquorice could inhibit the transport of rhein,
possibly by mediating BCRP. These results would provide new insight into this
ancient drug combination in toxicity reduction and clinical use.
PMID- 29636786
TI - Expression of miRNAs in Serum Exosomes versus Hippocampus in Methamphetamine
Induced Rats and Intervention of Rhynchophylline.
AB - Objective: To compare the expressions of miRNAs (microRNAs) in serum exosomes and
in hippocampus and to provide insights into the miRNA-mediated relationship
between peripheral and central nervous systems in the presence of
methamphetamine. Methods: Published results on conditioned place preference (CPP)
in rats conditioned by methamphetamine were replicated. The expressions of miRNAs
in serum exosomes and hippocampus were determined by gene-chip sequencing. We
then predicted the potential target genes of selected, differentially expressed
(DE) miRNAs and then carried out functional analysis of these target genes. We
also verified our results by RT-qPCR. Results: Methamphetamine reward could
greatly increase the activity time and distance in the intrinsically nonpreferred
side of the behavioral apparatus compared with control rats (P < 0.01).
Rhynchophylline treatment significantly counteracted these changes (P < 0.01).
Methamphetamine-induced CPP upregulated 23 miRNAs (log2 fold change [FC] > 1, P <
0.01) in serum exosomes, whereas rhynchophylline treatment could downregulate
these miRNAs (log2 FC < -1, P < 0.01). Analysis of hippocampal miRNAs profiles
found 22 DE miRNAs (log2 FC > 1 or <-1, P < 0.01). When methamphetamine induced
CPP, 11 of those miRNAs were upregulated, whereas rhynchophylline treatment could
downregulate these miRNAs. The other 11 miRNAs behaved in the opposite way. We
selected six DE miRNAs from each of serum exosomes and hippocampus for target
gene prediction and functional analysis. We found that, in both, the DE miRNAs
and their target genes may be related to neuronal information transmission and
synaptic transmission. Conclusions: Rhynchophylline blocked the alteration of
behavior and the expression of some DE miRNAs induced by methamphetamine. The
biological functions of these DE miRNAs target genes are correlated between serum
exosomes and hippocampus. As to these biological processes and pathways which are
involved in the development of addiction at multiple stages, we speculate that
these DE miRNAs in serum exosomes and hippocampus are closely related to
methamphetamine addiction.
PMID- 29636787
TI - Ma-Huang-Fu-Zi-Xi-Xin Decoction for Allergic Rhinitis: A Systematic Review.
AB - Background: The treatment effects and safety of Ma-Huang-Fu-Zi-Xi-Xin decoction
for patients with allergic rhinitis have yet to be clarified. Objectives: The aim
of this study is to evaluate the effects and safety of Ma-Huang-Fu-Zi-Xi-Xin
decoction in patients with allergic rhinitis. Methods: We searched PubMed, EMBASE
(Excerpta Medical Database), Cochrane Library, Chinese Cochrane Centre's
Controlled Trials Register Platform, Wanfang Chinese Digital Periodical and
Conference Database, China National Knowledge Infrastructure (CNKI) Database, and
VIP Chinese Science and Technique Journals Database to collect randomized
controlled trials of Ma-Huang-Fu-Zi-Xi-Xin decoction (MHFZXXD) for allergic
rhinitis (AR) prior to May 8, 2017. RevMan 5.3 software was used to conduct a
meta-analysis. GRADE methodology was applied to evaluate the evidence quality for
each outcome. Results: Six randomized controlled trials (RCTs) involving 576
participants (10-78 years old) were included. A meta-analysis revealed that the
overall effect of MHFZXXD for AR was not better than western medical treatment
(RR: 0.41; 95% CI: 0.26-0.65; P = 0.0001) for all included studies. However, the
evidence quality of these western medical intervention studies was low or very
low due to a high risk of bias, small sample sizes, and poor-quality design.
PMID- 29636788
TI - Therapeutic Effect of Cucumis melo L. Extract on Insulin Resistance and the Gut
Microbiome in Lepob/Lepob Mice.
AB - Obesity results in the progression of metabolic disorders, especially type 2
diabetes (T2DM). Obesity-induced insulin resistance (IR) is a causative factor of
T2DM morbidity in obese people. It is generally held by clinicians that IR is
caused by adiposity-related inflammation that is mediated by changes in composite
ions in the gut microbiome. This experimental study was designed to investigate
the effects of Cucumis melo L. (Cucumis) on obesity-induced IR in genetically
leptin-deficient Lepob/Lepob mice. Specifically, we examined the anti
inflammatory effects of Cucumis and the effects of Cucumis on the gut microbiota.
We evaluated glucose control by measuring FBS, performing the OGTT, quantifying
serum IR, calculating the HOMA-IR, and determining the lipid profiles. To see
whether inflammation was reduced, we analyzed adipose tissue macrophages as well
as monocytes in the blood. We also profiled the gut microbiota to determine
whether the ratios of microbial phyla changed. We found that Cucumis improved IR
in obese mice and relieved inflammation in adipose tissue and blood.
Simultaneously, the microbiota composition ratios changed. In conclusion,
administration of Cucumis improved IR by reducing inflammation, thereby changing
the gut microbiota composition. Cucumis is thus a promising treatment for obesity
induced insulin resistance and the inflammatory state.
PMID- 29636789
TI - Cotton dust exposure and self-reported respiratory symptoms among textile factory
workers in Northwest Ethiopia: a comparative cross-sectional study.
AB - Background: Cotton dust induced respiratory disorders are dramatically increased
over the globe, especially the problem is serious in developing countries.
Respiratory symptoms, such as cough, phlegm, wheezing, shortness of breath, chest
tightness, chronic bronchitis, and byssinosis are common among workers exposed to
cotton dust. However, in Ethiopia, the magnitude of the problem is not well known
and information is limited about the risk factors. Therefore, this study was
aimed to assess the prevalence of respiratory symptoms and associated factors.
Methods: A Comparative cross-sectional study design was employed. A total of 413
(276 exposed and 137 unexposed) participants were included in the study.
Stratified and simple random sampling techniques were used to select exposed and
unexposed groups to cotton dust respectively. Multivariable binary logistic
regression analyses was performed to identify variables associated with
respiratory symptoms and adjusted odds ratio (AOR) was used to determine the
strength of associations. Significance level was obtained at 95% confidence
interval (CI) and p-value <=0.05. Results: The prevalence of self-reported
respiratory symptoms was 47.8% (95% CI: 41.3, 53.7%) and 15.3% (95% CI: 9.6,
22.3%) among exposed and control groups respectively. Sex (AOR = 2.1, 95% CI:
1.29, 3.45), service year (AOR = 2.38, 95% CI: 1.19, 4.71) and ventilation (AOR =
2.4, 95% CI: 1.17, 4.91) were factors significantly associated with respiratory
symptoms. Furthermore, working department such as; ginning (AOR = 5.1, 95% CI:
2.13, 12.16), spinning (AOR = 4.96, 95% CI: 2.18, 11.29), weaving (AOR = 5.9, 95%
CI: 2.46, 14.27) and blowing working departments (AOR = 5.14, 95% CI: 1.4, 18.94)
were significantly associated with respiratory disorders. Conclusions: The
prevalence of self-reported respiratory symptoms was higher among workers exposed
to cotton dust than unexposed workers. Sex, service year, working department and
work unit ventilation were predictor variables for respiratory symptoms. Thus,
reducing exposure to dust, adequate ventilation and improving the hygiene of
working departments are needed to reduce respiratory symptoms.
PMID- 29636791
TI - Health and social care coordination for severe and persistent mental illness in
Australia: a mixed methods evaluation of experiences with the Partners in
Recovery Program.
AB - Background: Care coordination has been identified as a person-centred response to
the difficulty in meeting the needs of people with severe and persistent mental
illness and complex needs. This study evaluated the processes and outcomes of the
Partners in Recovery initiative in the Australian Capital Territory, a program
established to improve coordination of health and social care for this
population. Methods: Client, carer and service provider experiences were
investigated using a combination of quantitative and qualitative methods.
Quantitative data were collected through questionnaires completed by clients (n =
25) and service providers (n = 14). Qualitative data comprised open-ended written
feedback from the surveys, together with semi-structured interviews with selected
clients (n = 6), carers (n = 2), and service providers (n = 4). In both study
elements, questions focused on dimensions of experience such as communication,
continuity and coordination, teamwork and sustainability. Descriptive statistics
were calculated for quantitative data; qualitative data were analysed using
content analysis. Results: Clients were satisfied with the program across the
majority of experience dimensions, and there was evidence of improved access to
coordinated care. Support Facilitators (care coordinators) were central to client
and carer reports of the impacts of the program, and to coordination between
services through connections built at the individual level. Challenges included
difficulties with information continuity, a lack of role clarity for service
providers, and uncertainty about the legacy of the program given the absence of
formal agreements connecting different services. Conclusions: The Support
Facilitator role was critical to the success of the program. Support Facilitators
acted as a source of stability and relational continuity for clients, while also
enabling connections with external services through the development of individual
level partnerships and personal networks. Systems level coordination was limited
by communication difficulties and a lack of formalised infrastructure to support
cooperation between services, calling into question the lasting impact of the
program for system change.
PMID- 29636790
TI - Getting the invite list right: a discussion of sepsis severity scoring systems in
severe complicated intra-abdominal sepsis and randomized trial inclusion
criteria.
AB - Background: Severe complicated intra-abdominal sepsis (SCIAS) is a worldwide
challenge with increasing incidence. Open abdomen management with enhanced
clearance of fluid and biomediators from the peritoneum is a potential therapy
requiring prospective evaluation. Given the complexity of powering multi-center
trials, it is essential to recruit an inception cohort sick enough to benefit
from the intervention; otherwise, no effect of a potentially beneficial therapy
may be apparent. An evaluation of abilities of recognized predictive systems to
recognize SCIAS patients was conducted using an existing intra-abdominal sepsis
(IAS) database. Methods: All consecutive adult patients with a diffuse secondary
peritonitis between 2012 and 2013 were collected from a quaternary care hospital
in Finland, excluding appendicitis/cholecystitis. From this retrospectively
collected database, a target population (93) of those with either ICU admission
or mortality were selected. The performance metrics of the Third Consensus
Definitions for Sepsis and Septic Shock based on both SOFA and quick SOFA, the
World Society of Emergency Surgery Sepsis Severity Score (WSESSSS), the APACHE II
score, Manheim Peritonitis Index (MPI), and the Calgary Predisposition,
Infection, Response, and Organ dysfunction (CPIRO) score were all tested for
their discriminant ability to identify this subgroup with SCIAS and to predict
mortality. Results: Predictive systems with an area under-the-receiving-operating
characteristic (AUC) curve > 0.8 included SOFA, Sepsis-3 definitions, APACHE II,
WSESSSS, and CPIRO scores with the overall best for CPIRO. The highest
identification rates were SOFA score >= 2 (78.4%), followed by the WSESSSS score
>= 8 (73.1%), SOFA >= 3 (75.2%), and APACHE II >= 14 (68.8%) identification.
Combining the Sepsis-3 septic-shock definition and WSESSS >= 8 increased
detection to 80%. Including CPIRO score >= 3 increased this to 82.8% (Sensitivity
SN; 83% Specificity-SP; 74%. Comparatively, SOFA >= 4 and WSESSSS >= 8 with or
without septic-shock had 83.9% detection (SN; 84%, SP; 75%, 25% mortality).
Conclusions: No one scoring system behaves perfectly, and all are largely
dominated by organ dysfunction. Utilizing combinations of SOFA, CPIRO, and
WSESSSS scores in addition to the Sepsis-3 septic shock definition appears to
offer the widest "inclusion-criteria" to recognize patients with a high chance of
mortality and ICU admission. Trial registration:
https://clinicaltrials.gov/ct2/show/NCT03163095; Registered on May 22, 2017.
PMID- 29636792
TI - "My bitterness is deeper than the ocean": understanding internalized stigma from
the perspectives of persons with schizophrenia and their family caregivers.
AB - Background: It is estimated that 8 million of the Chinese adult population had a
diagnosis of schizophrenia. Stigma associated with mental illness, which is
pervasive in the Chinese cultural context, impacts both persons with
schizophrenia and their family caregivers. However, a review of the literature
found a dearth of research that explored internalized stigma from the
perspectives of both patients and their caregivers. Methods: We integrated data
from standardized scales and narratives from semi-structured interviews obtained
from eight family-dyads. Interview narratives about stigma were analyzed using
directed content analysis and compared with responses from Chinese versions of
the Internalized Stigma of Mental Illness Scale and Affiliated Stigma Scale.
Scores from the two scales and number of text fragments were compared to identify
consistency of responses using the two methods. Profiles from three family-dyads
were analyzed to highlight the interactive aspect of stigma in a dyadic
relationship. Results: Our analyses suggested that persons with schizophrenia and
their caregivers both internalized negative valuation from their social networks
and reduced engagement in the community. Participants with schizophrenia
expressed a sense of shame and inferiority, spoke about being a burden to their
family, and expressed self-disappointment as a result of having a psychiatric
diagnosis. Caregivers expressed high level of emotional distress because of
mental illness in the family. Family dyads varied in the extent that internalized
stigma were experienced by patients and caregivers. Conclusions: Family plays a
central role in caring for persons with mental illness in China. Given the
increasingly community-based nature of mental health services delivery,
understanding internalized stigma as a family unit is important to guide the
development of cultural-informed treatments. This pilot study provides a method
that can be used to collect data that take into consideration the cultural
nuances of Chinese societies.
PMID- 29636793
TI - Evolutionary perspectives on human infectious diseases: Challenges, advances, and
promises.
PMID- 29636794
TI - Complexity and biosemiotics in evolutionary ecology of zoonotic infectious
agents.
AB - More is not automatically better. Generation and accumulation of information
reflecting the complexity of zoonotic diseases as ecological systems do not
necessarily lead to improved interpretation of the obtained information and
understanding of these complex systems. The traditional conceptual framework for
analysis of diseases ecology is neither designed for, nor adaptable enough, to
absorb the mass of diverse sources of relevant information. The multidirectional
and multidimensional approaches to analyses form an inevitable part in defining a
role of zoonotic pathogens and animal hosts considering the complexity of their
inter-relations. And the more data we have, the more involved the interpretation
needs to be. The keyword for defining the roles of microbes as pathogens, animals
as hosts, and environmental parameters as infection drivers is "functional
importance." Microbes can act as pathogens toward their host only if/when they
recognize the animal organism as the target. The same is true when the host
recognizes the microbe as a pathogen rather than harmless symbiont based on the
context of its occurrence in that host. Here, we propose conceptual tools
developed in the realm of the interdisciplinary sciences of complexity and
biosemiotics for extending beyond the currently dominant mindset in ecology and
evolution of infectious diseases. We also consider four distinct hierarchical
levels of perception guiding how investigators can approach zoonotic agents, as a
subject of their research, representing differences in emphasizing particular
elements and their relations versus more unified systemic approaches.
PMID- 29636795
TI - Insights from agriculture for the management of insecticide resistance in disease
vectors.
AB - Key to contemporary management of diseases such as malaria, dengue, and
filariasis is control of the insect vectors responsible for transmission.
Insecticide-based interventions have contributed to declines in disease burdens
in many areas, but this progress could be threatened by the emergence of
insecticide resistance in vector populations. Insecticide resistance is likewise
a major concern in agriculture, where insect pests can cause substantial yield
losses. Here, we explore overlaps between understanding and managing insecticide
resistance in agriculture and in public health. We have used the Global Plan for
Insecticide Resistance Management in malaria vectors, developed under the
auspices of the World Health Organization Global Malaria Program, as a framework
for this exploration because it serves as one of the few cohesive documents for
managing a global insecticide resistance crisis. Generally, this comparison
highlights some fundamental differences between insect control in agriculture and
in public health. Moreover, we emphasize that the success of insecticide
resistance management strategies is strongly dependent on the biological
specifics of each system. We suggest that the biological, operational, and
regulatory differences between agriculture and public health limit the wholesale
transfer of knowledge and practices from one system to the other. Nonetheless,
there are some valuable insights from agriculture that could assist in advancing
the existing Global Plan for Insecticide Resistance Management framework.
PMID- 29636797
TI - Empirical and theoretical investigation into the potential impacts of insecticide
resistance on the effectiveness of insecticide-treated bed nets.
AB - In spite of widespread insecticide resistance in vector mosquitoes throughout
Africa, there is limited evidence that long-lasting insecticidal bed nets (LLINs)
are failing to protect against malaria. Here, we showed that LLIN contact in the
course of host-seeking resulted in higher mortality of resistant Anopheles spp.
mosquitoes than predicted from standard laboratory exposures with the same net.
We also found that sublethal contact with an LLIN caused a reduction in blood
feeding and subsequent host-seeking success in multiple lines of resistant
mosquitoes from the laboratory and the field. Using a transmission model, we
showed that when these LLIN-related lethal and sublethal effects were accrued
over mosquito lifetimes, they greatly reduced the impact of resistance on malaria
transmission potential under conditions of high net coverage. If coverage falls,
the epidemiological impact is far more pronounced. Similarly, if the intensity of
resistance intensifies, the loss of malaria control increases nonlinearly. Our
findings help explain why insecticide resistance has not yet led to wide-scale
failure of LLINs, but reinforce the call for alternative control tools and
informed resistance management strategies.
PMID- 29636796
TI - Putting evolution in elimination: Winning our ongoing battle with evolving
malaria mosquitoes and parasites.
AB - Since 2000, the world has made significant progress in reducing malaria morbidity
and mortality, and several countries in Africa, South America and South-East Asia
are working hard to eliminate the disease. These elimination efforts continue to
rely heavily on antimalarial drugs and insecticide-based interventions, which
remain the cornerstones of malaria treatment and prevention. However, resistance
has emerged against nearly every antimalarial drug and insecticide that is
available. In this review we discuss the evolutionary consequences of the way we
currently implement antimalarial interventions, which is leading to resistance
and may ultimately lead to control failure, but also how evolutionary principles
can be applied to extend the lifespan of current and novel interventions. A
greater understanding of the general evolutionary principles that are at the core
of emerging resistance is urgently needed if we are to develop improved
resistance management strategies with the ultimate goal to achieve a malaria-free
world.
PMID- 29636798
TI - Altered life history strategies protect malaria parasites against drugs.
AB - Drug resistance has been reported against all antimalarial drugs, and while
parasites can evolve classical resistance mechanisms (e.g., efflux pumps), it is
also possible that changes in life history traits could help parasites evade the
effects of treatment. The life history of malaria parasites is governed by an
intrinsic resource allocation problem: specialized stages are required for
transmission, but producing these stages comes at the cost of producing fewer of
the forms required for within-host survival. Drug treatment, by design, alters
the probability of within-host survival, and so should alter the costs and
benefits of investing in transmission. Here, we use a within-host model of
malaria infection to predict optimal patterns of investment in transmission in
the face of different drug treatment regimes and determine the extent to which
alternative patterns of investment can buffer the fitness loss due to drugs. We
show that over a range of drug doses, parasites are predicted to adopt
"reproductive restraint" (investing more in asexual replication and less in
transmission) to maximize fitness. By doing so, parasites recoup some of the
fitness loss imposed by drugs, though as may be expected, increasing dose reduces
the extent to which altered patterns of transmission investment can benefit
parasites. We show that adaptation to drug-treated infections could result in
more virulent infections in untreated hosts. This work emphasizes that in
addition to classical resistance mechanisms, drug treatment generates selection
for altered parasite life history. Understanding how any shifts in life history
will alter the efficacy of drugs, as well as any limitations on such shifts, is
important for evaluating and predicting the consequences of drug treatment.
PMID- 29636799
TI - Transmission traits of malaria parasites within the mosquito: Genetic variation,
phenotypic plasticity, and consequences for control.
AB - Evaluating the risk of emergence and transmission of vector-borne diseases
requires knowledge of the genetic and environmental contributions to pathogen
transmission traits. Compared to the significant effort devoted to understanding
the biology of malaria transmission from vertebrate hosts to mosquito vectors,
the strategies that malaria parasites have evolved to maximize transmission from
vectors to vertebrate hosts have been largely overlooked. While determinants of
infection success within the mosquito host have recently received attention, the
causes of variability for other key transmission traits of malaria, namely the
duration of parasite development and its virulence within the vector, as well as
its ability to alter mosquito behavior, remain largely unknown. This important
gap in our knowledge needs to be bridged in order to obtain an integrative view
of the ecology and evolution of malaria transmission strategies. Associations
between transmission traits also need to be characterized, as they trade-offs and
constraints could have important implications for understanding the evolution of
parasite transmission. Finally, theoretical studies are required to evaluate how
genetic and environmental influences on parasite transmission traits can shape
malaria dynamics and evolution in response to disease control.
PMID- 29636801
TI - The effects of subcurative praziquantel treatment on life-history traits and
trade-offs in drug-resistant Schistosoma mansoni.
AB - Natural selection acts on all organisms, including parasites, to maximize
reproductive fitness. Drug resistance traits are often associated with life
history costs in the absence of treatment. Schistosomiasis control programmes
rely on mass drug administration to reduce human morbidity and mortality.
Although hotspots of reduced drug efficacy have been reported, resistance is not
widespread. Using Bayesian state-space models (SSMs) fitted to data from an in
vivo laboratory system, we tested the hypothesis that the spread of resistant
Schistosoma mansoni may be limited by life-history costs not present in
susceptible counterparts. S. mansoni parasites from a praziquantel-susceptible
(S), a praziquantel-resistant (R) or a mixed line of originally resistant and
susceptible parasites (RS) were exposed to a range of praziquantel doses.
Parasite numbers at each life stage were quantified in their molluscan
intermediate and murine definitive hosts across four generations, and SSMs were
used to estimate key life-history parameters for each experimental group over
time. Model outputs illustrated that parasite adult survival and fecundity in the
murine host decreased across all lines, including R, with increasing drug
pressure. Trade-offs between adult survival and fecundity were observed in all
untreated lines, and these remained strong in S with praziquantel pressure. In
contrast, trade-offs between adult survival and fecundity were lost under
praziquantel pressure in R. As expected, parasite life-history traits within the
molluscan host were complex, but trade-offs were demonstrated between parasite
establishment and cercarial output. The observed trade-offs between generations
within hosts, which were modified by praziquantel treatment in the R line, could
limit the spread of R parasites under praziquantel pressure. Whilst such complex
life-history costs may be difficult to detect using standard empirical methods,
we demonstrate that SSMs provide robust estimates of life-history parameters,
aiding our understanding of costs and trade-offs of resistant parasites within
this system and beyond.
PMID- 29636800
TI - Evolutionary ecology of Chagas disease; what do we know and what do we need?
AB - The aetiological agent of Chagas disease, Trypanosoma cruzi, is a key human
pathogen afflicting most populations of Latin America. This vectorborne parasite
is transmitted by haematophageous triatomines, whose control by large-scale
insecticide spraying has been the main strategy to limit the impact of the
disease for over 25 years. While those international initiatives have been
successful in highly endemic areas, this systematic approach is now challenged by
the emergence of insecticide resistance and by its low efficacy in controlling
species that are only partially adapted to human habitat. In this contribution,
we review evidences that Chagas disease control shall now be entering a second
stage that will rely on a better understanding of triatomines adaptive potential,
which requires promoting microevolutionary studies and -omic approaches.
Concomitantly, we show that our knowledge of the determinants of the evolution of
T. cruzi high diversity and low virulence remains too limiting to design
evolution-proof strategies, while such attributes may be part of the future of
Chagas disease control after the 2020 WHO's target of regional elimination of
intradomiciliary transmission has been reached. We should then aim at developing
a theory of T. cruzi virulence evolution that we anticipate to provide an
interesting enrichment of the general theory according to the specificities of
transmission of this very generalist stercorarian trypanosome. We stress that
many ecological data required to better understand selective pressures acting on
vector and parasite populations are already available as they have been
meticulously accumulated in the last century of field research. Although more
specific information will surely be needed, an effective research strategy would
be to integrate data into the conceptual and theoretical framework of
evolutionary ecology and life-history evolution that provide the quantitative
backgrounds necessary to understand and possibly anticipate adaptive responses to
public health interventions.
PMID- 29636802
TI - Opportunities and challenges for modelling epidemiological and evolutionary
dynamics in a multihost, multiparasite system: Zoonotic hybrid schistosomiasis in
West Africa.
AB - Multihost multiparasite systems are evolutionarily and ecologically dynamic,
which presents substantial trans-disciplinary challenges for elucidating their
epidemiology and designing appropriate control. Evidence for hybridizations and
introgressions between parasite species is gathering, in part in line with
improvements in molecular diagnostics and genome sequencing. One major system
where this is becoming apparent is within the Genus Schistosoma, where
schistosomiasis represents a disease of considerable medical and veterinary
importance, the greatest burden of which occurs in sub-Saharan Africa.
Interspecific hybridizations and introgressions bring an increased level of
complexity over and above that already inherent within multihost, multiparasite
systems, also representing an additional source of genetic variation that can
drive evolution. This has the potential for profound implications for the control
of parasitic diseases, including, but not exclusive to, widening host range,
increased transmission potential and altered responses to drug therapy. Here, we
present the challenging case example of haematobium group Schistosoma spp.
hybrids in West Africa, a system involving multiple interacting parasites and
multiple definitive hosts, in a region where zoonotic reservoirs of
schistosomiasis were not previously considered to be of importance. We consider
how existing mathematical model frameworks for schistosome transmission could be
expanded and adapted to zoonotic hybrid systems, exploring how such model
frameworks can utilize molecular and epidemiological data, as well as the
complexities and challenges this presents. We also highlight the opportunities
and value such mathematical models could bring to this and a range of similar
multihost, multi and cross-hybridizing parasites systems in our changing world.
PMID- 29636804
TI - Adaptive evolution during the establishment of European avian-like H1N1 influenza
A virus in swine.
AB - An H1N1 subtype influenza A virus with all eight gene segments derived from wild
birds (including mallards), ducks and chickens, caused severe disease outbreaks
in swine populations in Europe beginning in 1979 and successfully adapted to form
the European avian-like swine (EA-swine) influenza lineage. Genes of the EA-swine
lineage that are clearly segregated from its closest avian relatives continue to
circulate in swine populations globally and represent a unique opportunity to
study the adaptive process of an avian-to-mammalian cross-species transmission.
Here, we used a relaxed molecular clock model to test whether the EA-swine virus
originated through the introduction of a single avian ancestor as an entire
genome, followed by an analysis of host-specific selection pressures among
different gene segments. Our data indicated independent introduction of gene
segments via transmission of avian viruses into swine followed by reassortment
events that occurred at least 1-4 years prior to the EA-swine outbreak. All EA
swine gene segments exhibit greater selection pressure than avian viruses,
reflecting both adaptive pressures and relaxed selective constraints that are
associated with host switching. Notably, we identified key amino acid mutations
in the viral surface proteins (H1 and N1) that play a role in adaptation to new
hosts. Following the establishment of EA-swine lineage, we observed an increased
frequency of intrasubtype reassortment of segments compared to the earlier
strains that has been associated with adaptive amino acid replacements, disease
severity and vaccine escape. Taken together, our study provides key insights into
the adaptive changes in viral genomes following the transmission of avian
influenza viruses to swine and the early establishment of the EA-swine lineage.
PMID- 29636805
TI - Inferring epidemiologic dynamics from viral evolution: 2014-2015 Eurasian/North
American highly pathogenic avian influenza viruses exceed transmission threshold,
R0 = 1, in wild birds and poultry in North America.
AB - Highly pathogenic avian influenza virus (HPAIV) is a multihost pathogen with
lineages that pose health risks for domestic birds, wild birds, and humans. One
mechanism of intercontinental HPAIV spread is through wild bird reservoirs, and
wild birds were the likely sources of a Eurasian (EA) lineage HPAIV into North
America in 2014. The introduction resulted in several reassortment events with
North American (NA) lineage low-pathogenic avian influenza viruses and the
reassortant EA/NA H5N2 went on to cause one of the largest HPAIV poultry
outbreaks in North America. We evaluated three hypotheses about novel HPAIV
introduced into wild and domestic bird hosts: (i) transmission of novel HPAIVs in
wild birds was restricted by mechanisms associated with highly pathogenic
phenotypes; (ii) the HPAIV poultry outbreak was not self-sustaining and required
viral input from wild birds; and (iii) reassortment of the EA H5N8 generated
reassortant EA/NA AIVs with a fitness advantage over fully Eurasian lineages in
North American wild birds. We used a time-rooted phylodynamic model that
explicitly incorporated viral population dynamics with evolutionary dynamics to
estimate the basic reproductive number (R0) and viral migration among host types
in domestic and wild birds, as well as between the EA H5N8 and EA/NA H5N2 in wild
birds. We did not find evidence to support hypothesis (i) or (ii) as our
estimates of the transmission parameters suggested that the HPAIV outbreak met or
exceeded the threshold for persistence in wild birds (R0 > 1) and poultry (R0 ~
1) with minimal estimated transmission among host types. There was also no
evidence to support hypothesis (iii) because R0 values were similar among EA H5N8
and EA/NA H5N2 in wild birds. Our results suggest that this novel HPAIV and
reassortments did not encounter any transmission barriers sufficient to prevent
persistence when introduced to wild or domestic birds.
PMID- 29636806
TI - NT-proBNP: When is it useful in Obstetric Medicine?
AB - Pregnancy, viewed as a stress test of the haemodynamic system, may unmask
underlying cardiac disease. Pregnancy may also induce de novo cardiac disease. N
terminal pro brain-type natriuretic peptide (NT-proBNP) is a useful biomarker in
all clinical conditions in which the ventricle is stressed and especially
stretched in the general population. In hypertensive diseases of pregnancy,
increased levels of NT-proBNP in preeclampsia are associated with increased
cardiac filling pressures and diastolic dysfunction. Increased levels of NT
proBNP in pregnant women with known cardiac disease may lead to earlier diagnosis
of impending heart failure. Similarly, elevated levels of NT-proBNP assist with
the diagnosis of peripartum cardiomyopathy and are increasingly used in follow
up. Women with known congenital heart disease who are pregnant can be screened
for risk of cardiac events such as heart failure by the use of NT-proBNP levels.
There is a paucity of data in pregnancy with the use of NT-proBNP and more
research is needed.
PMID- 29636803
TI - Challenges in dengue research: A computational perspective.
AB - The dengue virus is now the most widespread arbovirus affecting human
populations, causing significant economic and social impact in South America and
South-East Asia. Increasing urbanization and globalization, coupled with
insufficient resources for control, misguided policies or lack of political will,
and expansion of its mosquito vectors are some of the reasons why interventions
have so far failed to curb this major public health problem. Computational
approaches have elucidated on dengue's population dynamics with the aim to
provide not only a better understanding of the evolution and epidemiology of the
virus but also robust intervention strategies. It is clear, however, that these
have been insufficient to address key aspects of dengue's biology, many of which
will play a crucial role for the success of future control programmes, including
vaccination. Within a multiscale perspective on this biological system, with the
aim of linking evolutionary, ecological and epidemiological thinking, as well as
to expand on classic modelling assumptions, we here propose, discuss and
exemplify a few major computational avenues-real-time computational analysis of
genetic data, phylodynamic modelling frameworks, within-host model frameworks and
GPU-accelerated computing. We argue that these emerging approaches should offer
valuable research opportunities over the coming years, as previously applied and
demonstrated in the context of other pathogens.
PMID- 29636808
TI - Maternal and fetal outcomes of primary immune thrombocytopenia during pregnancy:
A retrospective study.
AB - Objective: We reviewed outcomes of 52 pregnancies in 45 women with immune
thrombocytopenic purpura who delivered at Auckland Hospital with an antenatal
platelet count of <100 * 109/L. Outcome measures: Primary outcomes were maternal
platelet count at delivery and treatment response. Secondary outcomes included
post-partum haemorrhage (PPH). Results: Most women had thrombocytopenia at
delivery. Treatment with prednisone was given in 14 (27%) pregnancies with
responses considered safe for delivery in 11 pregnancies (79%). Women in eight
pregnancies also received intravenous immunoglobulin; in five pregnancies (63%) a
platelet response acceptable for delivery was achieved.Seventeen pregnancies
(33%) were complicated by a PPH >=500 mL. Ten pregnancies (19%) were complicated
by a PPH >=1000 mL. PPH was reported in all women with a platelet count <50 *
109/L at delivery. Conclusions: There were no antenatal bleeding complications
but PPH was common among women with platelet counts <50 * 109/L at the time of
birth.
PMID- 29636809
TI - Follow-up and management of recurrent pregnancy losses due to massive perivillous
fibrinoid deposition.
AB - Massive perivillous fibrinoid deposition is a rare placental pathology associated
with significant adverse pregnancy outcome and can recur. We provide a detailed
case review of a woman through 10 of her pregnancies, including 8 consecutive
pregnancy losses and 2 live births. We also conducted a retrospective chart
review of all massive perivillous fibrinoid deposition placenta specimens at our
institution over an eight-year period. A total of 42 cases of massive perivillous
fibrinoid deposition were identified from 2007 to 2015, yielding an incidence of
0.16%. Recurrence was seen in subsequent pregnancy in eight out of nine (88.9%)
cases with more than one specimen. The clinical characteristics, perinatal
outcomes and alpha-feto protein level of the 42 cases are presented. Also,
presented is a review of the literature discussing placental pathology,
pathogenetic mechanisms and management of this condition.
PMID- 29636807
TI - Pregnancy in a woman with a Fontan circulation: A review.
AB - More women with congenital heart disease survive to childbearing ages, due to
improvements in surgical practice and postoperative care. This review discusses
pregnancy in women with a single ventricle, describing maternal obstetric and
cardiovascular complications and the increased risks of prematurity and adverse
neonatal outcomes. Recommendations are made based on current understanding,
guidelines and published literature, with recognition that there is much
knowledge yet to be gained.
PMID- 29636810
TI - Perinatal management of hepatitis B virus: Clinical implementation of updated
Australasian management guidelines.
AB - Objective: To evaluate the implementation of the 2013 Royal Australian and New
Zealand College of Obstetricians and Gynaecologists 'Management of Hepatitis B in
Pregnancy' guideline. Methods: Retrospective cohort study of the clinical
management and obstetric outcomes among hepatitis B virus-positive women in a
single tertiary maternity hospital. Women with viral load >200,000 IU/ml were
referred to a specialised clinic for consideration of tenofovir disoproxil
fumarate therapy to reduce mother to child transmission. Results: A total of
11,496 women gave birth during the study period, of which 101 (0.9%) women were
hepatitis B virus positive. Viral load was measured in 99 (98%) of 101 hepatitis
B virus-positive women; 30 (30%) had a viral load >200,000 IU/ml. Twenty-six
women accepted tenofovir disoproxil fumarate; of these, 23 had a successful
virological response (viral load <200,000 IU/ml before delivery). Conclusions:
Adherence to updated management guidelines and patient acceptance of tenofovir
disoproxil fumarate in our Australian population were high when provided in the
context of a dedicated perinatal service.
PMID- 29636811
TI - Pregnancy-induced atypical haemolytic uremic syndrome: A new era with eculizumab.
AB - Pregnancy is a well-recognised trigger of atypical haemolytic syndrome (P-aHUS)
and often occurs in the post-partum period. Similar to atypical haemolytic uremic
syndrome, it carries a poor prognosis with high morbidity particularly in the
form of renal failure. Early recognition and intervention is crucial in its
management particularly with the recent availability of eculizumab, a humanized
monoclonal antibody to complement component C5, which has demonstrated drastic
improvement in prognosis. The issue, however, is arriving at a timely diagnosis
given the considerable amount of overlap in the clinical and biochemical
manifestation of P-aHUS, HELLP syndrome (haemolysis, elevated liver enzyme and
low platelet count) and other hypertensive disorders of pregnancy. We present a
case report and literature review that highlights the clinical conundrum of
arriving at the diagnosis. We also highlight the importance of early management
of P-aHUS with eculizumab and its impact on improving morbidity.
PMID- 29636812
TI - Sleep disordered breathing controlled by CPAP and sFlt-1 in a pregnant patient
with chronic hypertension: Case report and literature review.
AB - Background: There is recent interest exploring the possible impact of sleep
disordered breathing on the mechanisms of preeclampsia. A biomarker of
preeclampsia, soluble fms-like tyrosine kinase-1, has come to prominence in
recent years. The aim of this study was to investigate the relationship between
continuous positive airway pressure treatment, sleep disordered breathing and
soluble fms-like tyrosine kinase-1 concentrations during pregnancy. Methods: A 38
year-old G1P0 presented at 20 + 5 weeks. She had a history of chronic
hypertension. Sleep studies revealed she had sleep disordered breathing with an
AHI of 7.3/h. She was commenced on continuous positive airway pressure. Soluble
fms-like tyrosine kinase-1 concentrations and blood pressure recordings were
taken at various points during her pregnancy. Results: She did not develop
preeclampsia or require an escalation in her antihypertensives. Soluble fms-like
tyrosine kinase-1 concentrations rose 16% from a low baseline. She remained
compliant with her continuous positive airway pressure. She progressed to birth a
well, live, term baby. Conclusion: Continuous positive airway pressure treatment
controlled sleep disordered breathing in a high risk pregnant woman with chronic
hypertension with no increase in soluble fms-like tyrosine kinase-1
concentrations.
PMID- 29636813
TI - Refractory severe immune thrombocytopenia in a twin pregnancy.
AB - A 34-year-old woman presented at 29 weeks gestation of a twin pregnancy, with a
platelet count of 1 * 109/l. She was extensively investigated and was
subsequently diagnosed with severe immune thrombocytopenia. She did not respond
to initial treatment with corticosteroids and intravenous immunoglobulin. She
also failed to respond to second-line therapies of Anti-D immunoglobulin,
Azathioprine and the thrombopoietin agonist Romiplostim. Her case was further
complicated by an episode of obstetric cholestasis possibly related to
Azathioprine treatment. She went on to require plasma exchange around the time of
an elective Caesarean section which provided temporary improvement in the
platelet count and enabled safe delivery. This case highlights some of the
challenges faced in the management of patients with severe and refractory immune
thrombocytopenia during pregnancy.
PMID- 29636814
TI - Group B Streptococcus tricuspid valve endocarditis with subsequent septic
embolization to the pulmonary artery: A case report following elective abortion.
AB - Background: Tricuspid valve endocarditis caused by Group B streptococcus is a
rare clinical entity with poor prognosis and has been previously reported
following gynecologic procedures. Case summary: We report a case of an 18-year
old female diagnosed with Group B streptococcus tricuspid valve endocarditis with
septic emboli following an elective therapeutic abortion. After six weeks of
treatment with ceftriaxone, she returned with recurrent symptoms and was found to
have embolized a sizable vegetation to the pulmonary artery with probable lung
infarction. She underwent surgical embolectomy and was treated with antibiotics
and anticoagulation and was subsequently discharged in stable condition.
Conclusion: Group B streptococcus endocarditis is a serious complication of
gynecologic procedures. The role of preoperative antibiotics, postoperative
clinical suspicion of endocarditis based on respiratory symptoms and a
multidisciplinary approach may lead to enhanced patient outcomes.
PMID- 29636815
TI - The use of PET/CT in pregnancy: A case report of malignant parathyroid carcinoma
and a review of the literature.
AB - Positron emission tomography scanning is not commonly performed in pregnancy but
can be done if required. Fetal doses of radiation can be minimized, and our case
exemplifies the safe application of positron emission tomography/computed
tomography in pregnancy. A 38-year-old woman in her first ongoing pregnancy
presented at 28 weeks' gestation with symptomatic hypercalcemia. Given a history
of parathyroid carcinoma, recurrence was suspected. Ultrasound and magnetic
resonance imaging failed to locate the lesion. However, positron emission
tomography/computed tomography identified a culprit supraclavicular lymph node.
This was excised under local anesthesia resulting in normalization of parathyroid
hormone and calcium levels. A term, healthy baby was delivered. The literature
provides support that the use of positron emission tomography/computed tomography
is acceptable when indicated, and there are modifications to protocols that can
further limit risk.
PMID- 29636817
TI - Metabolic engineering of Corynebacterium glutamicum for efficient production of
succinate from lignocellulosic hydrolysate.
AB - Background: Succinate has been recognized as one of the most important bio-based
building block chemicals due to its numerous potential applications. However,
efficient methods for the production of succinate from lignocellulosic feedstock
were rarely reported. Nevertheless, Corynebacterium glutamicum was engineered to
efficiently produce succinate from glucose in our previous study. Results: In
this work, C. glutamicum was engineered for efficient succinate production from
lignocellulosic hydrolysate. First, xylose utilization of C. glutamicum was
optimized by heterologous expression of xylA and xylB genes from different
sources. Next, xylA and xylB from Xanthomonas campestris were selected among four
candidates to accelerate xylose consumption and cell growth. Subsequently, the
optimal xylA and xylB were co-expressed in C. glutamicum strain SAZ3
(DeltaldhADeltaptaDeltapqoDeltacatPsod-ppcPsod-pyc) along with genes encoding
pyruvate carboxylase, citrate synthase, and a succinate exporter to achieve
succinate production from xylose in a two-stage fermentation process. Xylose
utilization and succinate production were further improved by overexpressing the
endogenous tkt and tal genes and introducing araE from Bacillus subtilis. The
final strain C. glutamicum CGS5 showed an excellent ability to produce succinate
in two-stage fermentations by co-utilizing a glucose-xylose mixture under
anaerobic conditions. A succinate titer of 98.6 g L-1 was produced from corn
stalk hydrolysate with a yield of 0.87 g/g total substrates and a productivity of
4.29 g L-1 h-1 during the anaerobic stage. Conclusion: This work introduces an
efficient process for the bioconversion of biomass into succinate using a
thoroughly engineered strain of C. glutamicum. To the best of our knowledge, this
is the highest titer of succinate produced from non-food lignocellulosic
feedstock, which highlights that the biosafety level 1 microorganism C.
glutamicum is a promising platform for the envisioned lignocellulosic
biorefinery.
PMID- 29636818
TI - Functional characterization of the upstream components of the Hog1-like kinase
cascade in hyperosmotic and carbon sensing in Trichoderma reesei.
AB - Background: Trichoderma reesei holds a high capacity for protein secretion and
represents the most important cellulase producer in industry. However, the
external signal sensing and intracellular signal transduction during cellulose
induction remain unclear. As one of the most pervasive signal transduction
pathways in all eukaryotic species, the mitogen-activated protein kinase (MAPK)
pathway and its upstream sensing and signaling components are involved in various
physiological processes including stress and nutrient sensing. Particularly, the
Hog1-type MAPK Tmk3 has been reported to be involved in the cellulase production
in T. reesei. Results: Here we established the physiological role of two upstream
regulatory branches, the Sho1 branch and the Sln1 branch, of the Hog1-type Tmk3
pathway in T. reesei. Deletion of Trste20 of the Sho1 branch or repression of
Trypd1 of the Sln1 branch reduced the resistance to high salt stress, whereas
TrSho1 showed an opposing effect to that of TrSte20 and the identified TrSln1
seemed to be dispensable in the osmotic regulation. The Sho1 and Sln1 branches
also participated in the cell wall integrity maintenance and other stress
responses (i.e. oxidative and thermo stresses). Notably, TrSho1 and TrSte20 of
the Sho1 branch and TrYpd1 of the Sln1 branch were shown to be differentially
involved in the cellulase production of T. reesei. Repression of Trypd1 hardly
affected cellulase induction, whereas overexpression of Trypd1 resulted in the
reduced production of cellulases. Contrary to the case of Trypd1, repression of
Trsho1 or deletion of Trste20 significantly reduced the transcription of
cellulase genes. Conclusions: TrSho1 and TrSte20 of the Sho1 branch and TrYpd1 of
the Sln1 branch are all involved in general stress responses including
hyperosmotic regulation and cell wall integrity maintenance. Moreover, our study
revealed that the Sho1 and Sln1 osmosensing pathways are differentially involved
in the regulation of cellulase production in T. reesei. The Sho1 branch
positively regulated the production of cellulases and the transcription of
cellulase genes while TrYpd1 of the Sln1 branch negatively controlled the
cellulase production, supporting the crosstalks of osmosensing and nutrient
sensing.
PMID- 29636819
TI - Cultivation of lipid-producing microalgae in struvite-precipitated liquid
digestate for biodiesel production.
AB - Background: Using liquid digestate from the biogas industry as a medium to
culture lipid-producing microalgae is considered mutually beneficial for
digestate valorization and for reducing the cost of microalgal cultivation.
However, the low transmittance and high ammonium (NH4+-N) levels in liquid
digestate negatively influence microalgae growth. Results: Struvite precipitation
was used to pretreat liquid digestate. To obtain struvite-precipitated
supernatant with an ideal transmittance, NH4+-N concentration, salinity, and N:P
ratio for microalgal growth, there should be a 1:1.2:1.2 NH4+:Mg2+:PO43- molar
ratio in the liquid digestate, with KH2PO4 and MgCl2 added through continuous
stirring. The addition and stirring was subsequently stopped when the pH reached
8.5. Of the nine tested microalgae species, Dictyosphaerium ehrenbergianum
exhibited the best growth in the supernatant. The biomass productivity and lipid
content of D. ehrenbergianum cultured in the struvite-precipitated supernatant
were 161.06 mg/l/days and 34.33%, respectively, which was higher than when
cultured in the standard BG-11 medium. Moreover, the struvite-precipitated
supernatant improved the accumulation of monounsaturated fatty acids and
saturated fatty acids. Conclusions: This study described a new way to combine
liquid digestate treatment and microalgal biodiesel production. The struvite
pretreated liquid digestate can be used to culture D. ehrenbergianum for
biodiesel production.
PMID- 29636820
TI - Pelagibaca bermudensis promotes biofuel competence of Tetraselmis striata in a
broad range of abiotic stressors: dynamics of quorum-sensing precursors and
strategic improvement in lipid productivity.
AB - Background: Amelioration of biofuel feedstock of microalgae using sustainable
means through synthetic ecology is a promising strategy. The co-cultivation model
(Tetraselmis striata and Pelagibaca bermudensis) was evaluated for the robust
biofuel production under varying stressors as well as with the selected two-stage
cultivation modes. In addition, the role of metabolic exudates including the
quorum-sensing precursors was assessed. Results: The co-cultivation model
innovated in this study supported the biomass production of T. striata in a
saline/marine medium at a broad range of pH, salinity, and temperature/light
conditions, as well as nutrient limitation with a growth promotion of 1.2-3.6
fold. Hence, this developed model could contribute to abiotic stress mitigation
of T. striata. The quorum-sensing precursor dynamics of the growth promoting
bacteria P. bermudensis exhibited unique pattern under varying stressors as
revealed through targeted metabolomics (using liquid chromatography-mass
spectrometry, LC-MS). P. bermudensis and its metabolic exudates mutually promoted
the growth of T. striata, which elevated the lipid productivity. Interestingly,
hydroxy alkyl quinolones independently showed growth inhibition of T. striata on
elevated concentration. Among two-stage cultivation modes (low pH, elevated
salinity, and nitrate limitation), specifically, nitrate limitation induced a 1.5
times higher lipid content (30-31%) than control in both axenic and co-cultivated
conditions. Conclusion: Pelagibaca bermudensis is established as a potential
growth promoting native phycospheric bacteria for robust biomass generation of T.
striata in varying environment, and two-stage cultivation using nitrate
limitation strategically maximized the biofuel precursors for both axenic and co
cultivation conditions (T and T-PB, respectively). Optimum metabolic exudate of
P. bermudensis which act as a growth substrate to T. striata surpasses the
antagonistic effect of excessive hydroxy alkyl quinolones [HHQ, 4-hydroxy-2
alkylquinolines and PQS (pseudomonas quorum signal), 2-heptyl-3-hydroxy-4(1H)
quinolone].
PMID- 29636821
TI - Engineering and application of synthetic nar promoter for fine-tuning the
expression of metabolic pathway genes in Escherichia coli.
AB - Background: Promoters regulate the expression of metabolic pathway genes to
control the flux of metabolism. Therefore, fine-tuning of metabolic pathway gene
expression requires an applicable promoter system. In this study, a dissolved
oxygen-dependent nar promoter was engineered for fine-tuning the expression
levels of biosynthetic pathway enzymes in Escherichia coli. To demonstrate the
feasibility of using the synthetic nar promoters in production of biochemicals in
E. coli, the d-lactate pathway consisting of one enzyme and the 2,3-butanediol
(BDO) pathway consisting of three enzymes were investigated. Results: The spacer
sequence of 15 bp between the - 35 and - 10 elements of the upstream region of
the wild-type nar promoter was randomized, fused to the GFP gene, transduced into
E. coli, and screened by flow cytometry. The sorted synthetic nar promoters were
divided into three groups according to fluorescence intensity levels: strong,
intermediate, and weak. The selected three representative nar promoters of
strong, intermediate, and weak intensities were used to control the expression
level of the d-lactate and 2,3-BDO biosynthetic pathway enzymes in E. coli. When
the ldhD gene encoding d-lactate dehydrogenase was expressed under the control of
the strong synthetic nar promoter in fed-batch cultures of E. coli, the d-lactate
titers were 105.6 g/L, 34% higher than those using the wild-type promoter (79.0
g/L). When the three 2,3-BDO pathway genes (ilvBN, aldB, and bdh1) were expressed
under the control of combinational synthetic nar promoters (strong-weak-strong)
in fed-batch cultures of E. coli, the titers of 2,3-BDO were 88.0 g/L, 72% higher
than those using the wild-type promoter (51.1 g/L). Conclusions: The synthetic
nar promoters, which were engineered to have strong, intermediate, and weak
intensities, were successfully applied to metabolic engineering of d-lactate and
2,3-BDO pathways in E. coli. By controlling expression levels of d-lactate and
2,3-BDO pathway enzymes using the synthetic nar promoters, the production of d
lactate and 2,3-BDO was increased over that using the wild-type promoter by 34
and 72%, respectively. Thus, this synthetic promoter module system will support
the improved production of biochemicals and biofuels through fine-tuning of gene
expression levels.
PMID- 29636822
TI - Maternal interchromosomal insertional translocation leading to 1q43-q44 deletion
and duplication in two siblings.
AB - Background: 1q43-q44 deletion syndrome is a well-defined chromosomal disorder
which is characterized by moderate to severe mental retardation, and variable but
characteristic facial features determined by the size of the segment and the
number of genes involved. However, patients with 1q43-q44 duplication with a
clinical phenotype comparable to that of 1q43-q44 deletion are rarely reported.
Moreover, pure 1q43-q44 deletions and duplications derived from balanced
insertional translocation within the same family with precisely identified
breakpoints have not been reported. Case presentation: The proband is a 6-year
old girl with profound developmental delay, mental retardation, microcephaly,
epilepsy, agenesis of the corpus callosum and hearing impairment. Her younger
brother is a 3-month-old boy with macrocephaly and mild developmental delay in
gross motor functions. G-banding analysis of the subjects at the 400-band level
did not reveal any subtle structural changes in their karyotypes. However, single
nucleotide polymorphism (SNP) array analysis showed a deletion and a duplication
of approximately 6.0 Mb at 1q43-q44 in the proband and her younger brother,
respectively. The Levicare analysis pipeline of whole-genome sequencing (WGS)
further demonstrated that a segment of 1q43-q44 was inserted at 14q23.1 in the
unaffected mother, which indicated that the mother was a carrier of a
46,XX,ins(14;1)(q23.1;q43q44) insertional translocation. Moreover, Sanger
sequencing was used to assist the mapping of the breakpoints and the final
validation of those breakpoints. The breakpoint on chromosome 1 disrupted the
EFCAB2 gene in the first intron, and the breakpoint on chromosome 14 disrupted
the PRKCH gene within the 12th intron. In addition, fluorescence in situ
hybridization (FISH) further confirmed that the unaffected older sister of the
proband carried the same karyotype as the mother. Conclusion: Here, we describe a
rare family exhibiting pure 1q43-q44 deletion and duplication in two siblings
caused by a maternal balanced insertional translocation. Our study demonstrates
that WGS with a carefully designed analysis pipeline is a powerful tool for
identifying cryptic genomic balanced translocations and mapping the breakpoints
at the nucleotide level and could be an effective method for explaining the
relationship between karyotype and phenotype.
PMID- 29636823
TI - People with diabetes foot complications do not recall their foot education: a
cohort study.
AB - Background: The purpose of this study is to document what and how diabetes
specific foot health information was provided during a podiatry consultation, and
what information was retained at 1 month post consultation. Methods: This project
was embedded within a prospective cohort study with two groups, podiatrists and
people with diabetes. Data collection included the Problem Areas in Diabetes
Questionnaire (PAID), Montreal Cognitive Assessment (MoCA), information covered
during the consultation, method of delivery and perceived key educational message
from both participant perspectives at the time of the appointment and 1 month
post appointment. Results: There were three podiatrists and 24 people with
diabetes who provided information at the two time points. Diabetes education
provided by the podiatrists was mostly verbal. The key educational message
recalled by both groups differed at the time of the appointment (14 out of 24 of
responses) and at 1 month post the appointment time (11 out of 24 of responses).
Conclusions: Education is a vital component to the treatment regime of people
with diabetes. It appears current approaches are ineffective in enhancing
understanding of diabetes impact on foot health. This study highlights the need
for research investigating better ways to deliver key pieces of information to
this population.
PMID- 29636824
TI - The prevalence of Helicobacter pylori infection and other risk factors among
Mongolian dyspeptic patients who have a high incidence and mortality rate of
gastric cancer.
AB - Background: Mongolia has not only the second highest incidence rate but also the
highest mortality rate for gastric cancer globally. In addition to gastric
cancer, ulcerative disease complications are also life threatening; thus,
investigating Helicobacter pylori infection and other risk factors is essential.
Results: H.pylori infection was high in tested dyspeptic patients from all parts
of Mongolia, with an overall infection rate of 80.0%. Logistic regression
analysis showed that H. pylori infection was associated with gastritis (odds
ratio; 9.0 ([95% confidence interval 5.0-16.2]); p < 0.0001). H. pylori infection
(3.3 [2.0-5.4]; p < 0.0001) and > 40 years old (1.5 [1.0-2.0]; p < 0.02) were
both associated with atrophy. However, > 40 years old (3.8 [2.4-6.0]; p < 0.0001)
and high salt intakes (1.6 [1.0-2.3]; p < 0.02), but not H. pylori infection,
were associated with intestinal metaplasia. Excessive amount of salt usage was
dramatically higher in northern and western parts of Mongolia, where precancerous
diseases, such as erosive esophagitis (for cardia cancer), severe atrophy, and
intestinal metaplasia (for non-cardia cancer), were highly prevalent.
Conclusions: H. pylori infection was the major gastric health problem among the
Mongolian population. In addition, environmental factors such as high salt intake
worsened the clinical outcome. Therefore, a nationwide screening and eradication
of H. pylori infection as well as salt-reducing measures should be implemented.
PMID- 29636825
TI - Combination of basal insulin and GLP-1 receptor agonist: is this the end of basal
insulin alone in the treatment of type 2 diabetes?
AB - Glycemic control has been considered a major therapeutic goal within the scope of
diabetes management, as supported by robust observational and experimental
evidence. However, the coexistence of micro and macrovascular disease is
associated with the highest cardiovascular risks which highlights the importance
that pharmacological treatment of type 2 diabetes mellitus provides not only
glycemic control, but also cardiovascular safety. Basal insulin is a highly
effective treatment in reducing fasting blood glucose, but it is associated with
considerable risk of hypoglycemia and weight gain. Glucagon like peptide 1
receptor agonists (GLP-1 RAs) are also effective in terms of glycemic control and
associated with weight loss and low risk of hypoglycemia. The potential benefits
of combining GLP-1RAs with basal insulin are contemplated in the current position
statement of several different position statement and guidelines. This article
reviews the efficacy and safety of different strategies to initiate and intensify
basal insulin, with focus on new fixed ratio combinations of basal insulin with
GLP-1 RAs available for use in a single injection pen (insulin
degludec/liraglutide and insulin glargine/lixisenatide).
PMID- 29636826
TI - STAT3 as a potential immunotherapy biomarker in oncogene-addicted non-small cell
lung cancer.
AB - Immune checkpoint blockade has modified the treatment landscape for many types of
tumors, including lung cancer. Still our knowledge on the biology of the
interaction between tumor cells and the microenvironment is limited, preventing
the optimal use of these new compounds and the maximum benefit that the patients
can derive from them. We have actively worked on the role of STAT3, a
transcriptional factor that causes innate resistance to targeted therapies in
oncogene-addicted tumors. In this short review we take the opportunity to express
our opinion and review existing knowledge on the immune role of STAT3 and the
possible implications that this may have for the discovery of new biomarkers to
predict response to immunotherapy, as well as new partners to combine with and
increase the efficacy of immune checkpoint inhibitors.
PMID- 29636827
TI - Estrogenicity of essential oils is not required to relieve symptoms of urogenital
atrophy in breast cancer survivors.
AB - Background: Urogenital atrophy (UA) is a common treatment-limiting side effect of
endocrine therapies. Topical estrogen is effective but systemic absorption may
counter aromatase inhibitor efficacy. Numerous complementary approaches are
marketed for use in UA without rigorous testing of their estrogenicity. We tested
multiple essential oils in cancer cell growth and estrogen reporter assays in
vitro and assessed clinical outcomes with the essential oil pessaries (EOPs) in
breast cancer survivors with UA. Methods: Effects on cell growth were tested in
hormone-dependent (MCF-7) and -independent (MDA-MB-231) cell lines using the
sulforhodamine-B assay. An estrogen response element (ERE) luciferase reporter
assay was used to assess estrogenicity directly. Antifungal activity against two
common pathogenic yeasts was assessed using standard microdilution methods. EOPs
were offered to breast cancer survivors with symptomatic UA and the service
evaluated using serial questionnaires. Results: Two essential oils, Cymbopogon
martinii and Pelargonium graveolens, demonstrated marked estrogenicity,
stimulating ER+ cell growth and ERE-luciferase reporter activity to levels seen
with premenopausal estradiol concentrations. Additional oils were screened for
estrogenicity and Lavandula angustifolia and Chamaemelum nobile identified as
non/minimally estrogenic. The antifungal activity of this combination of oils was
confirmed. A second cohort of breast cancer survivors with UA received the second
generation EOP with comparable improvement in symptom scores suggesting that
estrogenicity may not be required for optimal therapy of UA. Conclusion: Certain
essential oils demonstrate profound estrogenicity and caution should be exercised
before their use in breast cancer survivors. Our minimally estrogenic pessary
will be formally tested in clinical trials.
PMID- 29636829
TI - Contrast-induced encephalopathy after coronary angioplasty in a patient with ST
elevation myocardial infarction.
PMID- 29636828
TI - Prognostic value of soluble ST2 postaortic valve replacement: a meta-analysis.
AB - Objectives: Soluble suppression of tumorigenicity 2 (sST2) is a member of the
interleukin-1 receptor family and a modulator of hypertrophic and fibrotic
responses. Its prognostic value for patients undergoing aortic valve replacement
(AVR) has been examined in prospective studies but to date, there has been no
systematic evaluation or meta-analysis on this issue. Methods: PubMed and Embase
were searched until 1 October 2017 for studies that evaluated the relationship
between sST2 levels and mortality after AVR. Results: A total of 18 and 37
entries were retrieved from both databases, from which four studies were included
in the final meta-analysis. In a total of 1154 subjects (50% male, mean age 80+/
10 years old, mean follow-up 14 months), elevated sST2 levels were significantly
associated with a 44% increase in the risk of all-cause mortality (HR 1.44, 95%
CI 1.30 to 1.60, p<0.0001; I2: 44%). Conclusions: sST2 significantly predicts all
cause mortality in patients who have undergone AVR, but this conclusion is
limited by the small number of patients. Larger prospective studies are required
to better elucidate its value for risk stratification in this patient population.
PMID- 29636830
TI - Dilated superior vena cava in fetal echocardiographic three-vessel view: what
lies ahead?
AB - : A 38-year-old woman, 37+3 weeker was incidentally detected to have fetal
cardiomegaly during 36 weeks ultrasound and referred for fetal echocardiogram.
Antenatal history and anomaly scan were normal. Fetal echocardiogram showed heart
rate of 153/min, sinus rhythm, situs solitus, levocardia, dilated right atrium
and ventricle with venoatrial, atrioventricular and ventriculoarterial
concordances. All cardiac valves were normal; cardiac crux was intact with
separate mitral and tricuspid annuli (figure 1A-C and online supplementary video
1). Mild tricuspid regurgitation with peak velocity of 1.77 m/s was noted. There
was no evidence of Ebstein's anomaly. Interventricular septum was intact.
Pulmonary veins were seen entering left atrium (LA) without a retrocardiac
chamber. Both aortic and ductal arches were adequate but with significant flow
reversal visualised in descending aorta. Three-vessel view showed dilated right
superior vena cava (SVC) with normal spatial arrangement and sizes of aorta and
pulmonary artery. Patent foramen ovale and patent ductus arteriosus were shunting
normally. Inferior vena cava (IVC), hepatic and portal veins were normal.
Significant hepatomegaly was seen without any evidence of hydrops.
10.1136/heartasia-2018-010998.supp1Supplementary data Figure 1(A) Fetal
echocardiogram showing atrioventricular concordance with right atrium (RA)
connected to morphological right ventricle and left atrium (LA) connected to
morphological left ventricle (LV). Blue arrow depicts a large foramen ovale
shunting from RA to LA. There is no retrocardiac chamber behind LA. (B) Three
vessel view in fetal echocardiogram showing normal right to left arrangement of
superior vena cava (SVC), aorta (Ao) and pulmonary artery (PA). Interestingly,
SVC is bigger than its neighbours which is against the norm. (C) Fetal short-axis
echocardiographic view showing situs solitus with normal arrangement of RA and
LA. RA is draining into right ventricle which is draining through PA into the
ductal arch. However, significant aortic run-off is noted in aorta. Question: As
per the available echocardiographic data, what is the most likely diagnosis for
fetal heart failure?Idiopathic dilatation of SVC?Supracardiac total anomalous
pulmonary venous connection?Fetal vein of Galen malformation?Fetal anaemia?
PMID- 29636831
TI - Investigation of a potential HCHO measurement artifact from ISOPOOH.
AB - Recent laboratory experiments have shown that a first generation isoprene
oxidation product, ISOPOOH, can decompose to methyl vinyl ketone (MVK) and
methacrolein (MACR) on instrument surfaces, leading to overestimates of MVK and
MACR concentrations. Formaldehyde (HCHO) was suggested as a decomposition co
product, raising concern that in situ HCHO measurements may also be affected by
an ISOPOOH interference. The HCHO measurement artifact from ISOPOOH for the NASA
In Situ Airborne Formaldehyde instrument (ISAF) was investigated for the two
major ISOPOOH isomers, (1,2)-ISOPOOH and (4,3)-ISOPOOH, under dry and humid
conditions. The dry conversion of ISOPOOH to HCHO was 3+/-2% and 6+/-4% for (1,2)
ISOPOOH and (4,3)-ISOPOOH, respectively. Under humid (RH= 40-60%) conditions,
conversion to HCHO was 6+/-4% for (1,2)-ISOPOOH and 10+/-5% for (4,3)-ISOPOOH.
The measurement artifact caused by conversion of ISOPOOH to HCHO in the ISAF
instrument was estimated for data obtained on the 2013 September 6 flight of the
Studies of Emissions and Atmospheric Composition, Clouds and Climate Coupling by
Regional Surveys (SEAC4RS) campaign. Prompt ISOPOOH conversion to HCHO was the
source for <4% of the observed HCHO, including in the high-isoprene boundary
layer. Time-delayed conversion, where previous exposure to ISOPOOH affects
measured HCHO later in flight, was conservatively estimated to be < 10% of
observed HCHO and is significant only when high ISOPOOH sampling periods
immediately precede periods of low HCHO.
PMID- 29636832
TI - Methylated genomic loci encoding microRNA as a biomarker panel in tissue and
saliva for head and neck squamous cell carcinoma.
AB - Background: To identify aberrant promoter methylation of genomic loci encoding
microRNA (mgmiR) in head and neck squamous cell carcinoma (HNSCC) and to evaluate
a biomarker panel of mgmiRs to improve the diagnostic accuracy of HNSCC in
tissues and saliva. Methods: Methylation of promoter regions of mgmiR candidates
was initially screened using HNSCC and control cell lines and further selected
using HNSCC and control tissues by quantitative methylation-specific PCR (qMS
PCR). We then examined a panel of seven mgmiRs for validation in an expanded
cohort including 189 HNSCC and 92 non-HNSCC controls. Saliva from 86 pre
treatment HNSCC patients and 108 non-HNSCC controls was also examined using this
panel of seven mgmiRs to assess the potentials of clinical utilization. Results:
Among the 315 screened mgmiRs, 12 mgmiRs were significantly increased in HNSCC
cell lines compared to control cell lines. Seven out of the 12 mgmiRs, i.e.,
mgmiR9-1, mgmiR124-1, mgmiR124-2, mgmiR124-3, mgmiR129-2, mgmiR137, and
mgmiR148a, were further found to significantly increase in HNSCC tumor tissues
compared to control tissues. Using multivariable logistic regression with
dichotomized variables, a combination of the seven mgmiRs had sensitivity and
specificity of 92.6 and 92.4% in tissues and 76.7 and 86.1% in saliva,
respectively. Area under the receiver operating curve for this panel was 0.97 in
tissue and 0.93 in saliva. This model was validated by independent bootstrap
validation and random forest analysis. Conclusions: mgmiR biomarkers represent a
novel and promising screening tool, and the seven-mgmiR panel is able to robustly
detect HNSCC in both patient tissue and saliva.
PMID- 29636834
TI - Allele-specific methylation in the FADS genomic region in DNA from human saliva,
CD4+ cells, and total leukocytes.
AB - Background: Genetic variants within the fatty acid desaturase (FADS) gene cluster
(human Chr11) are important regulators of long-chain (LC) polyunsaturated fatty
acid (PUFA) biosynthesis in the liver and consequently have been associated with
circulating LC-PUFA levels. More recently, epigenetic modifications such as DNA
methylation, particularly within the FADS cluster, have been shown to affect LC
PUFA levels. Our lab previously demonstrated strong associations of allele
specific methylation (ASM) between a single nucleotide polymorphism (SNP)
rs174537 and CpG sites across the FADS region in human liver tissues. Given that
epigenetic signatures are tissue-specific, we aimed to evaluate the methylation
status and ASM associations between rs174537 and DNA methylation obtained from
human saliva, CD4+ cells and total leukocytes derived from whole blood. The goals
were to (1) determine if DNA methylation from these peripheral samples would
display similar ASM trends as previously observed in human liver tissues and (2)
evaluate the associations between DNA methylation and circulating LC-PUFAs.
Results: DNA methylation at six CpG sites spanning FADS1 and FADS2 promoter
regions and a putative FADS enhancer region were determined in two Caucasian
cohorts of healthy volunteers: leukocytes in cohort 1 (n = 89, median age = 43,
35% male) and saliva and CD4+ cells in cohort 2 (n = 32, median age = 41, 41%
male). Significant ASM between rs174537 and DNA methylation at three CpG sites
located in the FADS2 promoter region (i.e., chr11:61594865, chr11:61594876,
chr11:61594907) and one CpG site in the putative enhancer region (chr11:61587979)
were observed with leukocytes. In CD4+ cells, significant ASM was observed at CpG
sites chr11:61594876 and chr11:61584894. Genotype at rs174537 was significantly
associated with DNA methylation from leukocytes. Similar trends were observed
with CD4+ cells, but not with saliva. DNA methylation from leukocytes and CD4+
cells also significantly correlated with circulating omega-6 LC-PUFAs.
Conclusions: We observed significant ASM between rs174537 and DNA methylation at
key regulatory regions in the FADS region from leukocyte and CD4+ cells. DNA
methylation from leukocytes also correlated with circulating omega-6 LC-PUFAs.
These results support the use of peripheral whole blood samples, with leukocytes
showing the most promise for future nutrigenomic studies evaluating epigenetic
modifications affecting LC-PUFA biosynthesis in humans.
PMID- 29636833
TI - Epigenetics in Turner syndrome.
AB - Background: Monosomy of the X chromosome is the most frequent genetic abnormality
in human as it is present in approximately 2% of all conceptions, although 99% of
these embryos are spontaneously miscarried. In postnatal life, clinical features
of Turner syndrome may include typical dysmorphic stigmata, short stature, sexual
infantilism, and renal, cardiac, skeletal, endocrine and metabolic abnormalities.
Main text: Turner syndrome is due to a partial or total loss of the second sexual
chromosome, resulting in the development of highly variable clinical features.
This phenotype may not merely be due to genomic imbalance from deleted genes but
may also result from additive influences on associated genes within a given gene
network, with an altered regulation of gene expression triggered by the absence
of the second sex chromosome. Current studies in human and mouse models have
demonstrated that this chromosomal abnormality leads to epigenetic changes,
including differential DNA methylation in specific groups of downstream target
genes in pathways associated with several clinical and metabolic features, mostly
on autosomal chromosomes. In this article, we begin exploring the potential
involvement of both genetic and epigenetic factors in the origin of X chromosome
monosomy. We review the dispute between the meiotic and post-zygotic origins of
45,X monosomy, by mainly analyzing the findings from several studies that compare
gene expression of the 45,X monosomy to their euploid and/or 47,XXX trisomic cell
counterparts on peripheral blood mononuclear cells, amniotic fluid, human
fibroblast cells, and induced pluripotent human cell lines. From these studies, a
profile of epigenetic changes seems to emerge in response to chromosomal
imbalance. An interesting finding of all these studies is that methylation-based
and expression-based pathway analyses are complementary, rather than overlapping,
and are correlated with the clinical picture displayed by TS subjects.
Conclusions: The clarification of these possible causal pathways may have future
implications in increasing the life expectancy of these patients and may provide
informative targets for early pharmaceutical intervention.
PMID- 29636835
TI - PKM2 Is Required to Activate Myeloid Dendritic Cells from Patients with Severe
Aplastic Anemia.
AB - Severe aplastic anemia (SAA) is an autoimmune disease in which bone marrow
failure is mediated by activated myeloid dendritic cells (mDCs) and T
lymphocytes. Recent research has identified a strong immunomodulatory effect of
pyruvate kinase M2 (PKM2) on dendritic cells in immune-mediated diseases. In this
study, we aimed to explore the role of PKM2 in the activation of mDCs in SAA. We
observed conspicuously higher levels of PKM2 in mDCs from SAA patients compared
to normal controls at both the gene and protein levels. Concurrently, we
unexpectedly discovered that after the mDC-specific downregulation of PKM2, mDCs
from patients with SAA exhibited weakened phagocytic activity and significantly
decreased and shortened dendrites relative to their counterparts from normal
controls. The expression levels of the costimulatory molecules CD86 and CD80 were
also reduced on mDCs. Our results also suggested that PKM2 knockdown in mDCs
reduced the abilities of these cells to promote the activation of CD8+ T cells
(CTLs), leading to the decreased secretion of cytotoxic factors by the latter
cell type. These findings demonstrate that mDC activation requires an elevated
intrinsic PKM2 level and that PKM2 improves the immune status of patients with
SAA by enhancing the functions of mDCs and, consequently, CTLs.
PMID- 29636837
TI - Nephroprotective Effect of Zingerone against CCl4-Induced Renal Toxicity in Swiss
Albino Mice: Molecular Mechanism.
AB - The protective effects of Zingerone against CCl4 induced nephrotoxicity in Swiss
albino mice via modulation of metabolizing enzyme, oxidative stress, inflammatory
cytokines, and apoptosis. The biochemical estimation indicated that the BUN and
creatinine were significantly increased in group 2 (CCl4) compared to group 1
(normal) which was significantly reduced after treatment with Zingerone in group
3 when compared with group 2. The CCl4 treatment has significantly increased
TBARS levels and reduced the antioxidant enzyme such as GSH, GPx, GR, GST, CAT,
and SOD in group 2 compared to group 1, while the Zingerone treatment showed
significant reduction in TBARS levels and increased the antioxidant enzymes in
group 3 (CCl4 + Zingerone) as compared to group 2. Similarly, it was observed
that CCl4 significantly increased the cytokines such as IL-1beta, IL-2, and
TNFalpha levels in group 2 as compared to group 1. The treatment with Zingerone
significantly attenuated the levels of IL-1beta, IL-2, and TNFalpha in group 3
compared to group 2. Caspase 3 and caspase 9 were also significantly increased in
CCl4-treated group 2, whereas Zingerone treatment significantly reduced the
elevated levels of caspases 3 and 9 in group 3 compared to group 2.
PMID- 29636838
TI - Polydatin Protects Diabetic Heart against Ischemia-Reperfusion Injury via
Notch1/Hes1-Mediated Activation of Pten/Akt Signaling.
AB - Diabetes exacerbates oxidative/nitrative stress during myocardial ischemia
reperfusion (MI/R) injury. Recent studies highlighted the cardioprotective
actions of polydatin. However, its effect on diabetic MI/R injury and the
underlying mechanisms remain unknown. This work was undertaken to evaluate the
effect of polydatin on diabetic MI/R injury with a focus on Notch1/Hes1 signaling
and myocardial oxidative/nitrative stress. Streptozotocin- (STZ-) induced
diabetic rats were administered with polydatin (20 mg/kg/d) in the absence or
presence of DAPT (a gamma-secretase inhibitor) or LY294002 (a PI3K/Akt inhibitor)
and then subjected to MI/R injury. Polydatin administration preserved cardiac
function and reduced myocardial infarct size. Moreover, polydatin ameliorated
myocardial oxidative/nitrative stress damage as evidenced by decreased myocardial
superoxide generation, malondialdehyde, gp91 phox expression, iNOS expression, NO
metabolite level, and nitrotyrosine content and increased eNOS phosphorylation.
However, these effects were blocked by DAPT administration. DAPT also inhibited
the stimulatory effect of polydatin on the Notch1/Hes1-Pten/Akt signaling pathway
in a diabetic myocardium. Additionally, LY294002 not only abolished polydatin's
antiapoptotic effect but also reversed its inhibitory effect on myocardial
oxidative/nitrative stress. Polydatin effectively reduced MI/R injury and
improved left ventricular functional recovery under diabetic condition by
ameliorating oxidative/nitrative stress damage. Importantly, Notch1/Hes1-mediated
activation of Pten/Akt signaling played a crucial role in this process.
PMID- 29636839
TI - Equisetum arvense L. Extract Induces Antibacterial Activity and Modulates
Oxidative Stress, Inflammation, and Apoptosis in Endothelial Vascular Cells
Exposed to Hyperosmotic Stress.
AB - Background: The antimicrobial activity of the Equisetum arvense L. extract and
the mechanisms involved in the in vitro effects on endothelial vascular cells
exposed to hyperosmotic stress were evaluated. Methods: Antimicrobial activity
was evaluated by disk diffusion method and minimum inhibitory concentration (MIC)
determination, and oxidative stress, inflammation, and apoptosis, in pretreatment
with Equisetum arvense L., caffeic acid, and cathechin, were quantified. Results:
The results have shown that Equisetum arvense L. exhibited antibacterial effects
only on pathogenic gram-positive cocci. The modulatory activity of Equisetum
arvense L. on endothelial cells exposed to hypertonic medium was different and
depended on the concentration used. Low concentrations of tested compounds
exerted antioxidant effect and diminished the activity of caspase-8 and also
increased IkappaB expression while in high doses, Equisetum arvense L. was
prooxidant, induced apoptosis, and decreased IL-6 secretion. Conclusions: These
experimental findings suggest that Equisetum arvense L. has antibacterial effects
on gram-positive cocci and, administered in low dose, may be a new therapeutic
approach for diseases associated with hypertonic conditions or oxidative stress
and apoptosis.
PMID- 29636836
TI - Insights on Localized and Systemic Delivery of Redox-Based Therapeutics.
AB - Reactive oxygen and nitrogen species are indispensable in cellular physiology and
signaling. Overproduction of these reactive species or failure to maintain their
levels within the physiological range results in cellular redox dysfunction,
often termed cellular oxidative stress. Redox dysfunction in turn is at the
molecular basis of disease etiology and progression. Accordingly, antioxidant
intervention to restore redox homeostasis has been pursued as a therapeutic
strategy for cardiovascular disease, cancer, and neurodegenerative disorders
among many others. Despite preliminary success in cellular and animal models,
redox-based interventions have virtually been ineffective in clinical trials. We
propose the fundamental reason for their failure is a flawed delivery approach.
Namely, systemic delivery for a geographically local disease limits the
effectiveness of the antioxidant. We take a critical look at the literature and
evaluate successful and unsuccessful approaches to translation of redox
intervention to the clinical arena, including dose, patient selection, and
delivery approach. We argue that when interpreting a failed antioxidant-based
clinical trial, it is crucial to take into account these variables and
importantly, whether the drug had an effect on the redox status. Finally, we
propose that local and targeted delivery hold promise to translate redox-based
therapies from the bench to the bedside.
PMID- 29636840
TI - Yeast Cells Exposed to Exogenous Palmitoleic Acid Either Adapt to Stress and
Survive or Commit to Regulated Liponecrosis and Die.
AB - A disturbed homeostasis of cellular lipids and the resulting lipotoxicity are
considered to be key contributors to many human pathologies, including obesity,
metabolic syndrome, type 2 diabetes, cardiovascular diseases, and cancer. The
yeast Saccharomyces cerevisiae has been successfully used for uncovering
molecular mechanisms through which impaired lipid metabolism causes lipotoxicity
and elicits different forms of regulated cell death. Here, we discuss mechanisms
of the "liponecrotic" mode of regulated cell death in S. cerevisiae. This mode of
regulated cell death can be initiated in response to a brief treatment of yeast
with exogenous palmitoleic acid. Such treatment prompts the incorporation of
exogenously added palmitoleic acid into phospholipids and neutral lipids. This
orchestrates a global remodeling of lipid metabolism and transfer in the
endoplasmic reticulum, mitochondria, lipid droplets, and the plasma membrane.
Certain features of such remodeling play essential roles either in committing
yeast to liponecrosis or in executing this mode of regulated cell death. We also
outline four processes through which yeast cells actively resist liponecrosis by
adapting to the cellular stress imposed by palmitoleic acid and maintaining
viability. These prosurvival cellular processes are confined in the endoplasmic
reticulum, lipid droplets, peroxisomes, autophagosomes, vacuoles, and the
cytosol.
PMID- 29636842
TI - A Novel Mechanism of Mesenchymal Stromal Cell-Mediated Protection against Sepsis:
Restricting Inflammasome Activation in Macrophages by Increasing Mitophagy and
Decreasing Mitochondrial ROS.
AB - Sepsis, a systemic inflammatory response to infection, is the leading cause of
death in the intensive care unit (ICU). Previous studies indicated that
mesenchymal stromal cells (MSCs) might have therapeutic potential against sepsis.
The current study was designed to investigate the effects of MSCs on sepsis and
the underlying mechanisms focusing on inflammasome activation in macrophages. The
results demonstrated that the bone marrow-derived mesenchymal stem cells (BMSCs)
significantly increased the survival rate and organ function in cecal ligation
and puncture (CLP) mice compared with the control-grouped mice. BMSCs
significantly restricted NLRP3 inflammasome activation, suppressed the generation
of mitochondrial ROS, and decreased caspase-1 and IL-1beta activation when
cocultured with bone marrow-derived macrophages (BMDMs), the effects of which
could be abolished by Mito-TEMPO. Furthermore, the expression levels of caspase
1, IL-1beta, and IL-18 in BMDMs were elevated after treatment with mitophagy
inhibitor 3-MA. Thus, BMSCs exert beneficial effects on inhibiting NLRP3
inflammasome activation in macrophages primarily via both enhancing mitophagy and
decreasing mitochondrial ROS. These findings suggest that restricting
inflammasome activation in macrophages by increasing mitophagy and decreasing
mitochondrial ROS might be a crucial mechanism for MSCs to combat sepsis.
PMID- 29636843
TI - Oxidative Stress-Mediated Overexpression of Uracil DNA Glycosylase in Leishmania
donovani Confers Tolerance against Antileishmanial Drugs.
AB - Leishmania donovani is an intracellular protozoan parasite that causes endemic
tropical disease visceral leishmaniasis (VL). Present drugs used against this
fatal disease are facing resistance and toxicity issues. Survival of leishmania
inside the host cells depends on the parasite's capacity to cope up with highly
oxidative environment. Base excision repair (BER) pathway in L. donovani remains
unexplored. We studied uracil DNA glycosylase (UNG), the key enzyme involved in
BER pathway, and found that the glycosylase activity of recombinant LdUNG
(Leishmania donovani UNG) expressed in E. coli is in sync with the activity of
the parasite lysate under different reaction conditions. Overexpression of UNG in
the parasite enhances its tolerance towards various agents which produce reactive
oxygen species (ROS) and shows a higher infectivity in macrophages. Surprisingly,
exposure of parasite to amphotericin B and sodium antimony gluconate upregulates
the expression of UNG. Further, we found that the drug resistant parasites
isolated from VL patients show higher expression of UNG. Mechanisms of action of
some currently used drugs include accumulation of ROS. Our findings strongly
suggest that targeting LdUNG would be an attractive therapeutic strategy as well
as potential measure to tackle the problem of drug resistance in the treatment of
leishmaniasis.
PMID- 29636844
TI - Role of miR-200c in Myogenic Differentiation Impairment via p66Shc: Implication
in Skeletal Muscle Regeneration of Dystrophic mdx Mice.
AB - Duchenne muscular dystrophy (DMD) is a genetic disease associated with mutations
of Dystrophin gene that regulate myofiber integrity and muscle degeneration,
characterized by oxidative stress increase. We previously published that reactive
oxygen species (ROS) induce miR-200c that is responsible for apoptosis and
senescence. Moreover, we demonstrated that miR-200c increases ROS production and
phosphorylates p66Shc in Ser-36. p66Shc plays an important role in muscle
differentiation; we previously showed that p66Shc-/- muscle satellite cells
display lower oxidative stress levels and higher proliferation rate and
differentiated faster than wild-type (wt) cells. Moreover, myogenic conversion,
induced by MyoD overexpression, is more efficient in p66Shc-/- fibroblasts
compared to wt cells. Herein, we report that miR-200c overexpression in cultured
myoblasts impairs skeletal muscle differentiation. Further, its overexpression in
differentiated myotubes decreases differentiation indexes. Moreover, anti-miR
200c treatment ameliorates myogenic differentiation. In keeping, we found that
miR-200c and p66Shc Ser-36 phosphorylation increase in mdx muscles. In
conclusion, miR-200c inhibits muscle differentiation, whereas its inhibition
ameliorates differentiation and its expression levels are increased in mdx mice
and in differentiated human myoblasts of DMD. Therefore, miR-200c might be
responsible for muscle wasting and myotube loss, most probably via a p66Shc
dependent mechanism in a pathological disease such as DMD.
PMID- 29636845
TI - Rutin Isolated from Chrozophora tinctoria Enhances Bone Cell Proliferation and
Ossification Markers.
AB - Osteoporosis is a chronic disease in which the skeleton loses a weighty
proportion of its mineralized mass and mechanical pliability. Currently available
antiosteoporotic agents suffer adverse effects that include elevated risk of
thrombosis and cancer. Phytochemicals may constitute a safer and effective
option. In the current work, six flavonoids were obtained from Chrozophora
tinctoria and identified as amentoflavone (1), apigenin-7-O-beta-d
glucopyranoside (2), apigenin-7-O-6''-E-p-coumaroyl-beta-d-glucopyranoside (3),
acacetin-7-O-beta-d-[alpha-l-rhamnosyl(1->6)]3''-E-p-coumaroyl glucopyranoside
(4), apigenin-7-O-(6''-Z-p-coumaroyl)-beta-d-glucopyranoside (5), and rutin (6).
An extensive review of the literature as well as NMR and mass spectral techniques
was employed in order to elucidate the compound structures. Proliferation was
enhanced in MCF7, MG-63, and SAOS-2 cells after exposure to subcytotoxic levels
of the tested flavonoids. Rutin was chosen for subsequent studies in SAOS-2
cells. Rutin was not found to cause any alteration in the index of proliferation
of these cells, when examining the cell cycle distribution by DNA flowcytometric
analysis. Rutin was, however, found to increase osteocyte and osteoblast-related
gene expression and lower the expression of RUNX suppressor and osteoclast genes.
When examining the influence of rutin on vitamin D levels and the activity of
alkaline phosphatase enzyme, it was found to enhance both, while decreasing acid
phosphatase which is a marker of osteoporosis. Thus, rutin enhances proliferation
and ossification markers in bone cells.
PMID- 29636846
TI - Long-Lived Termite Queens Exhibit High Cu/Zn-Superoxide Dismutase Activity.
AB - In most organisms, superoxide dismutases (SODs) are among the most effective
antioxidant enzymes that regulate the reactive oxygen species (ROS) generated by
oxidative energy metabolism. ROS are considered main proximate causes of aging.
However, it remains unclear if SOD activities are associated with organismal
longevity. The queens of eusocial insects, such as termites, ants, and honeybees,
exhibit extraordinary longevity in comparison with the nonreproductive castes,
such as workers. Therefore, the queens are promising candidates to study the
underlying mechanisms of aging. Here, we found that queens have higher Cu/Zn-SOD
activity than nonreproductive individuals of the termite Reticulitermes speratus.
We identified three Cu/Zn-SOD sequences and one Mn-SOD sequence by RNA sequencing
in R. speratus. Although the queens showed higher Cu/Zn-SOD activity than the
nonreproductive individuals, there were no differences in their expression levels
of the Cu/Zn-SOD genes RsSOD1 and RsSOD3A. Copper (Cu2+ and Cu+) is an essential
cofactor for Cu/Zn-SOD enzyme activity, and the queens had higher concentrations
of copper than the workers. These results suggest that the high Cu/Zn-SOD
activity of termite queens is related to their high levels of the cofactor rather
than gene expression. This study highlights that Cu/Zn-SOD activity contributes
to extraordinary longevity in termites.
PMID- 29636841
TI - Regulation of Tumor Progression by Programmed Necrosis.
AB - Rapidly growing malignant tumors frequently encounter hypoxia and nutrient (e.g.,
glucose) deprivation, which occurs because of insufficient blood supply. This
results in necrotic cell death in the core region of solid tumors. Necrotic cells
release their cellular cytoplasmic contents into the extracellular space, such as
high mobility group box 1 (HMGB1), which is a nonhistone nuclear protein, but
acts as a proinflammatory and tumor-promoting cytokine when released by necrotic
cells. These released molecules recruit immune and inflammatory cells, which
exert tumor-promoting activity by inducing angiogenesis, proliferation, and
invasion. Development of a necrotic core in cancer patients is also associated
with poor prognosis. Conventionally, necrosis has been thought of as an
unregulated process, unlike programmed cell death processes like apoptosis and
autophagy. Recently, necrosis has been recognized as a programmed cell death,
encompassing processes such as oncosis, necroptosis, and others. Metabolic stress
induced necrosis and its regulatory mechanisms have been poorly investigated
until recently. Snail and Dlx-2, EMT-inducing transcription factors, are
responsible for metabolic stress-induced necrosis in tumors. Snail and Dlx-2
contribute to tumor progression by promoting necrosis and inducing EMT and
oncogenic metabolism. Oncogenic metabolism has been shown to play a role(s) in
initiating necrosis. Here, we discuss the molecular mechanisms underlying
metabolic stress-induced programmed necrosis that promote tumor progression and
aggressiveness.
PMID- 29636847
TI - Cyclodextrin Enhances Corneal Tolerability and Reduces Ocular Toxicity Caused by
Diclofenac.
AB - With advances in refractive surgery and demand for cataract removal and lens
replacement, the ocular use of nonsteroidal anti-inflammatory drugs (NSAIDs) has
increased. One of the most commonly used NSAIDs is diclofenac (Diclo). In this
study, cyclodextrins (CDs), alpha-, beta-, gamma-, and HP-beta-CDs, were
investigated with in vitro irritation and in vivo ulceration models in rabbits to
reduce Diclo toxicity. Diclo-, alpha-, beta-, gamma-, and HP-beta-CD inclusion
complexes were prepared and characterized and Diclo-CD complexes were evaluated
for corneal permeation, red blood cell (RBCs) haemolysis, corneal
opacity/permeability, and toxicity. Guest- (Diclo-) host (CD) solid inclusion
complexes were formed only with beta-, gamma-, and HP-beta-CDs. Amphipathic
properties for Diclo were recorded and this surfactant-like functionality might
contribute to the unwanted effects of Diclo on the surface of the eye. Contact
angle and spreading coefficients were used to assess Diclo-CDs in solution.
Reduction of ocular toxicity 3-fold to16-fold and comparable corneal permeability
to free Diclo were recorded only with Diclo-gamma-CD and Diclo-HP-beta-CD
complexes. These two complexes showed faster healing rates without scar formation
compared with exposure to the Diclo solution and to untreated groups. This study
also highlighted that Diclo-gamma-CD and Diclo-HP-beta-CD demonstrated fast
healing without scar formation.
PMID- 29636848
TI - Early Postweaning Treatment with Dimethyl Fumarate Prevents Prenatal
Dexamethasone- and Postnatal High-Fat Diet-Induced Programmed Hypertension in
Male Rat Offspring.
AB - Prenatal dexamethasone (DEX) exposure, postnatal high-fat (HF) intake, and
oxidative stress are closely related to the development of hypertension. Nuclear
factor erythroid-derived 2-related factor 2 (Nrf2) regulates oxidative stress.
Dimethyl fumarate (DMF) reportedly activates Nrf2 and protects against oxidative
stress damage. We examined a 4-month-old male rat offspring from five groups (n =
8 for each group): control, DEX (0.1 mg/kg i.p. from a gestational age of 16 to
22 days), HF (D12331 diet from weaning to 4 months of age), and DEX + HF, DEX +
HF + DMF (50 mg/kg/day via gastric gavage for 3 weeks after weaning). We found
that postnatal HF intake aggravated prenatal DEX-induced hypertension in adult
male offspring, which could be prevented by DMF treatment. The beneficial effects
of DMF treatment include an increase in renal Nrf2 gene expression, reduction of
oxidative stress, decrease in plasma asymmetric dimethylarginine (ADMA) and renal
soluble epoxide hydrolase protein levels, increase in the l-arginine-to-ADMA
ratio, and activation of genes related to nutrient sensing and autophagy (e.g.,
Pparb, Pparg, Ppargc1a, Ulk1, and Atg5). In conclusion, better understanding of
the impact of the Nrf2 signaling pathway in the two-hit model will aid in
protecting children exposed to antenatal corticosteroids and a postnatal HF diet
from programmed hypertension.
PMID- 29636849
TI - Photobiomodulation Leads to Reduced Oxidative Stress in Rats Submitted to High
Intensity Resistive Exercise.
AB - The aim of this study was to determine whether oxidative stress markers are
influenced by low-intensity laser therapy (LLLT) in rats subjected to a high
intensity resistive exercise session (RE). Female Wistar rats divided into three
experimental groups (Ctr: control, 4J: LLLT, and RE) and subdivided based on the
sampling times (instantly or 24 h postexercise) underwent irradiation with LLLT
using three-point transcutaneous method on the hind legs, which was applied to
the gastrocnemius muscle at the distal, medial, and proximal points. Laser (4J)
or placebo (device off) were carried out 60 sec prior to RE that consisted of
four climbs bearing the maximum load with a 2 min time interval between each
climb. Lipoperoxidation levels and antioxidant capacity were obtained in muscle.
Lipoperoxidation levels were increased (4-HNE and CL markers) instantly post-RE.
LLLT prior to RE avoided the increase of the lipid peroxidation levels. Similar
results were also notified for oxidation protein assays. The GPx and FRAP
activities did not reduce instantly or 24 h after RE. SOD increased 24 h after
RE, while CAT activity did not change with RE or LLLT. In conclusion, LLLT prior
to RE reduced the oxidative stress markers, as well as, avoided reduction, and
still increased the antioxidant capacity.
PMID- 29636851
TI - Effect of N-Acetylcysteine on Antioxidant Defense, Oxidative Modification, and
Salivary Gland Function in a Rat Model of Insulin Resistance.
AB - Oxidative stress plays a crucial role in the salivary gland dysfunction in
insulin resistance (IR). It is not surprising that new substances are constantly
being sought that will protect against the harmful effects of IR in the oral
cavity environment. The purpose of this study was to evaluate the effect of N
acetylcysteine (NAC) on oxidative stress and secretory function of salivary
glands in a rat model of insulin resistance. Rats were divided into 4 groups: C
normal diet, C + NAC-normal diet + NAC, HFD-high-fat diet, and HFD + NAC. We have
demonstrated that NAC elevated enzymatic (superoxide dismutase, catalase, and
peroxidase) and nonenzymatic antioxidants (reduced glutathione (GSH) and total
antioxidant capacity (TAS)) in the parotid glands of HFD + NAC rats, while in the
submandibular glands increased only GSH and TAS levels. NAC protects against
oxidative damage only in the parotid glands and increased stimulated salivary
secretion; however, it does not increase the protein secretion in the both
salivary glands. Summarizing, NAC supplementation prevents the decrease of
stimulated saliva secretion, seen in the HFD rats affected. NAC improves the
antioxidative capacity of the both glands and protects against oxidative damage
to the parotid glands of IR rats.
PMID- 29636852
TI - Role of Exercise-Induced Cardiac Remodeling in Ovariectomized Female Rats.
AB - Myocardial extracellular matrix (ECM) is essential for proper cardiac function
and structural integrity; thus, the disruption of ECM homeostasis is associated
with several pathological processes. Female Wistar rats underwent surgical
ovariectomy (OVX) or sham operation (SO) and were then divided into eight
subgroups based on the type of diet (standard chow or high-triglyceride diet/HT)
and exercise (with or without running). After 12 weeks, cardiac MMP-2 activity,
tissue inhibitor of metalloproteinase-2, content of collagen type I, the level of
nitrotyrosine (3-NT) and glutathione (GSH), and the ratio of infarct size were
determined. Our results show that OVX and HT diet caused an excessive
accumulation of collagen; however, this increase was not observed in the trained
animals. Twelve weeks of exercise promoted elevation in the levels of 3-NT and
GSH and similarly an increase in MMP-2 activity of both SO and OVX animals. The
high infarct-size ratio caused by OVX and HT diet was mitigated by physical
exercise. Our findings demonstrate that ovarian estrogen loss and HT diet caused
collagen accumulation and increased ratio of the infarct size. However, exercise
induced cardiac remodeling serves as a compensatory mechanism by enhancing MMP-2
activity and reducing fibrosis, thus minimizing the ischemia/reperfusion injury.
PMID- 29636853
TI - Hydrogen Sulfide Attenuates LPS-Induced Acute Kidney Injury by Inhibiting
Inflammation and Oxidative Stress.
AB - In order to investigate the protective mechanism of hydrogen sulfide (H2S) in
sepsis-associated acute kidney injury (SA-AKI), ten AKI patients and ten healthy
controls were enrolled. In AKI patients, levels of creatinine (Cre), urea
nitrogen (BUN), tumor necrosis factor-alpha (TNF-alpha) and interleukin-1beta (IL
1beta), and myeloperoxidase (MPO) activity as well as concentrations of
malondialdehyde (MDA) and hydrogen peroxide (H2O2) were significantly increased
compared with those of controls. However, plasma level of H2S decreased and was
linearly correlated with levels of Cre and BUN. After that, an AKI mouse model by
intraperitoneal lipopolysaccharide (LPS) injection was constructed for in vivo
study. In AKI mice, H2S levels decreased with the decline of 3-MST activity and
expression; similar changes were observed in other indicators mentioned above.
However, the protein expressions of TLR4, NLRP3, and caspase-1 in mice kidney
tissues were significantly increased 6 h after LPS injection. NaHS could improve
renal function and kidney histopathological changes, attenuate LPS-induced
inflammation and oxidative stress, and inhibit expressions of TLR4, NLRP3, and
caspase-1. Our study demonstrated that endogenous H2S is involved in the
pathogenesis of SA-AKI, and exogenous H2S exerts protective effects against LPS
induced AKI by inhibiting inflammation and oxidative stress via the TLR4/NLRP3
signaling pathway.
PMID- 29636854
TI - Epigallocatechin-3-Gallate (EGCG) Promotes Autophagy-Dependent Survival via
Influencing the Balance of mTOR-AMPK Pathways upon Endoplasmic Reticulum Stress.
AB - The maintenance of cellular homeostasis is largely dependent on the ability of
cells to give an adequate response to various internal and external stimuli. We
have recently proposed that the life-and-death decision in endoplasmic reticulum
(ER) stress response is defined by a crosstalk between autophagy, apoptosis, and
mTOR-AMPK pathways, where the transient switch from autophagy-dependent survival
to apoptotic cell death is controlled by GADD34. The aim of the present study was
to investigate the role of epigallocatechin-3-gallate (EGCG), the major
polyphenol of green tea, in promoting autophagy-dependent survival and to verify
the key role in connecting GADD34 with mTOR-AMPK pathways upon prolonged ER
stress. Our findings, obtained by using HEK293T cells, revealed that EGCG
treatment is able to extend cell viability by inducing autophagy. We confirmed
that EGCG-induced autophagy is mTOR-dependent and PKA-independent; furthermore,
it also required ULK1. We show that pretreatment of cells with EGCG diminishes
the negative effect of GADD34 inhibition (by guanabenz or siGADD34 treatment) on
autophagy. EGCG was able to delay apoptotic cell death by upregulating autophagy
dependent survival even in the absence of GADD34. Our data suggest a novel role
for EGCG in promoting cell survival via shifting the balance of mTOR-AMPK
pathways in ER stress.
PMID- 29636850
TI - Oxidant/Antioxidant Imbalance in Alzheimer's Disease: Therapeutic and Diagnostic
Prospects.
AB - Alzheimer's disease (AD) is the most common cause of dementia and a great
socioeconomic burden in the aging society. Compelling evidence demonstrates that
molecular change characteristics for AD, such as oxidative stress and amyloid
beta (Abeta) oligomerization, precede by decades the onset of clinical dementia
and that the disease represents a biological and clinical continuum of stages,
from asymptomatic to severely impaired. Nevertheless, the sequence of the early
molecular alterations and the interplay between them are incompletely understood.
This review presents current knowledge about the oxidative stress-induced
impairments and compromised oxidative stress defense mechanisms in AD brain and
the cross-talk between various pathophysiological insults, with the focus on
excessive reactive oxygen species (ROS) generation and Abeta overproduction at
the early stages of the disease. Prospects for AD therapies targeting
oxidant/antioxidant imbalance are being discussed, as well as for the development
of novel oxidative stress-related, blood-based biomarkers for early, noninvasive
AD diagnostics.
PMID- 29636855
TI - Fisetin Confers Cardioprotection against Myocardial Ischemia Reperfusion Injury
by Suppressing Mitochondrial Oxidative Stress and Mitochondrial Dysfunction and
Inhibiting Glycogen Synthase Kinase 3beta Activity.
AB - Acute myocardial infarction (AMI) is the leading cause of morbidity and mortality
worldwide. Timely reperfusion is considered an optimal treatment for AMI.
Paradoxically, the procedure of reperfusion can itself cause myocardial tissue
injury. Therefore, a strategy to minimize the reperfusion-induced myocardial
tissue injury is vital for salvaging the healthy myocardium. Herein, we
investigated the cardioprotective effects of fisetin, a natural flavonoid,
against ischemia/reperfusion (I/R) injury (IRI) using a Langendorff isolated
heart perfusion system. I/R produced significant myocardial tissue injury, which
was characterized by elevated levels of lactate dehydrogenase and creatine kinase
in the perfusate and decreased indices of hemodynamic parameters. Furthermore,
I/R resulted in elevated oxidative stress, uncoupling of the mitochondrial
electron transport chain, increased mitochondrial swelling, a decrease of the
mitochondrial membrane potential, and induction of apoptosis. Moreover, IRI was
associated with a loss of the mitochondrial structure and decreased mitochondrial
biogenesis. However, when the animals were pretreated with fisetin, it
significantly attenuated the I/R-induced myocardial tissue injury, blunted the
oxidative stress, and restored the structure and function of mitochondria.
Mechanistically, the fisetin effects were found to be mediated via inhibition of
glycogen synthase kinase 3beta (GSK3beta), which was confirmed by a biochemical
assay and molecular docking studies.
PMID- 29636857
TI - MiR-22 down-regulates the proto-oncogene ATP citrate lyase to inhibit the growth
and metastasis of breast cancer.
AB - Breast cancer, the most common malignancy in women worldwide, places a heavy
economic burden and mental stress on families and society. Previous research
showed that abnormal expression of miRNAs was closely related to the occurrence,
metastasis, and angiogenesis of breast cancer. And in this study, the abnormal
expression of miR-22 was detected by RT-PCR in the paired breast cancer tissues
and adjacent non-tumor tissues. CCK-8 and wound healing assays were performed to
evaluate the effects of the proto-oncogene ATP citrate lyase (ACLY) on the growth
and metastasis of breast cancer MCF-7 cells. The results showed that miR-22
inhibited the growth and metastasis of MCF-7 cells by down-regulating the
expression of ACLY. In conclusion, this study elucidated the roles of miR-22 in
regulation of breast cancer differentiation and migration, which provides a
target for early diagnose and therapy of breast cancer.
PMID- 29636856
TI - 15d-PGJ2 is a new hope for controlling tumor growth.
AB - 15-deoxy-Delta12,14-prostaglandin J2 (15d-PGJ2), a natural PPARgamma agonist, has
been investigated for over a decade. Studies have revealed that it has
proapoptotic, anti-inflammatory, antiangiogenic, and anti-metastatic abilities,
as well as a significant anticancer effect. However, the mechanisms underlying
the actions of 15d-PGJ2 on various tumors are only partially known. In this
review, we discuss the recent progress in elucidating these mechanisms.
Understanding the various functions and mechanisms of 15d-PGJ2 are crucial for
the development of new therapies for controlling tumor growth and providing the
basis for further research.
PMID- 29636859
TI - Overexpression of long non-coding RNA RP11-396F22.1 correlates poor prognosis of
patients with early-stage cervical cancer.
AB - OBJECTIVE: The expression level and clinical significances of long non-coding
RNAs (LncRNAs) are presently unknown in the early-stage cervical cancer (CC).
This study was aimed to explore the expression signatures of lncRNAs between
normal and cervix carcinoma tissues and the prognostic value of LncRNAs in early
stage CC patients. MATERIALS AND METHODS: The patients diagnosed with FIGO stage
I-IIb CC of the First Affiliated Hospital of Sun Yat-sen University between
January 1st 2006 and December 31st 2009 were retrospectively reviewed. Molecular
microarray was conducted to identify differentially expression profiles of
LncRNAs. In situ hybridization was applied for detection of candidate lncRNAs in
cervical tissues. RESULTS: A total of 2574 upregulated lncRNAs and 3270
downregulated lncRNAs with significantly differential expression (>=2.0-fold)
were identified. Among the differentially expressed lncRNAs, RP11-396F22.1
expression was one of the most significantly overexpressed in the CC tissues
compared to nomal cervical tissues (P<0.001). In situ hybridization confirmed
RP11-396F22.1 expression was highly expressed in cancerous tissues. The results
of Scratch and Transwell test showed that the migration ability decreased
remarkably in transfected group (P<0.001). Moreover, the coding gene cpne8 was
significantly upregulated by RP11-396F22.1 knockdown (P=0.035). CONCLUSIONS:
These findings demonstrate that LncRNA RP11-396F22.1 might be a potent biomarker
for CC progression.
PMID- 29636858
TI - Ephedrine hydrochloride protects mice from staphylococcus aureus-induced
peritonitis.
AB - Staphylococcus aureus is a Gram-positive (G+) bacterium that causes a wide range
of diseases in humans and livestock. Therefore, the development of innovative and
effective therapies is essential for the treatment of S. aureus-induced severe
infections. Ephedrine hydrochloride (EH) is a compound derived from ephedrine and
is widely used for the management of cardiovascular diseases and hypotension. The
results of our previous studies demonstrated that EH has anti-inflammatory
activity in macrophages and protects against endotoxic shock. However, whether EH
regulates the function of dendritic cells (DCs) and the immune response in S.
aureus-induced infection is unknown. In this study, the anti-inflammatory and
regulatory activity of EH on DCs was evaluated. EH increased the production of
anti-inflammatory cytokine IL-10 and decreased the production of proinflammatory
cytokines TNF-alpha and IL-12 in DCs stimulated with peptidoglycan (PGN), the
main cell wall component in G+ bacteria. The PI3K/Akt and p38 MAPK signaling
pathways controlled EH-induced IL-10 expression and EH-inhibited TNF-alpha
expression, respectively. The PGN-induced expression of co-stimulatory molecules
CD40, CD80, CD86, and MHC class II molecule Iab was down-regulated in DCs by EH.
Furthermore, EH protected the liver and kidney and increased the survival rate of
mice with S. aureus-induced peritonitis. In conclusion, EH helps to keep immune
homeostasis and alleviate organ damage during S. aureus-induced peritonitis.
Therefore, EH may be a promising drug candidate in the treatment of S. aureus
induced severe infections and other invasive G+ bacterial infections.
PMID- 29636860
TI - YM155 enhances docetaxel efficacy in ovarian cancer.
AB - YM155 (Sepantronium bromide) is a potent small molecule inhibitor of survivin by
suppression of survivin expression and shows the promising anticancer activity in
many types of cancers. Docetaxel (Taxotere(r)) is a member of the taxane drugs
used in the treatment of a number of cancers in clinic. Despite the therapeutic
efficacy of docetaxel is encouraging, the emergent resistance is an urgent issue.
In this study, we investigate the effect of YM155 on docetaxel efficacy in
ovarian cancer cells. Our data showed that YM155 actively induced cell growth
inhibition, cell cycle arrest and apoptosis with downregualtion of survivin in
ovarian cancer cells. Moreover, YM155 increased the intracellular ROS levels, and
pretreatment with either NAC or GSH partially reversed the YM155-induced ROS
accumulation and apoptosis only in the parental A2780 cells, but not in the
resistant A2780/Taxol cells. Furthermore, YM155 enhanced docetaxel efficacy to
inhibit the growth and induce apoptosis in ovarian cancer cells. Take together,
our results suggested that combination of YM155 and docetaxel may be a feasible
strategy for the treatment of ovarian cancer.
PMID- 29636861
TI - Inner ear structure of miniature pigs measured by multi-planar reconstruction
techniques.
AB - To study the structures of the scala vestibuli and tympani of miniature pigs in
order to evaluate the feasibility of using miniature pigs as the animal model for
cochlear implant. The temporal bones of three miniature pigs with normal hearing
were scanned by micro-CT. With the aid of the Mimics software, we reconstructed
the 3D structure of inner ear basing on the serial images of the miniature pig,
and obtained dimensions of the scala vestibuli and tympani with multi-planar
reconstruction (MPR) technique. The constructed slicing images displayed the fine
structures of the cochlea. The results of our study showed that the cross
sectional areas of the scala tympani were greatest at 2.67 +/- 0.90 mm2 when the
circumferential length from the starting point of basal turn of the cochlea
reached to 1.16 mm. The scala vestibuli has a largest width and height at the
starting point of basal turn. The width and the height were 2.65 +/- 0.45 mm and
2.43 +/- 0.2 mm respectively. The largest width and height of the scala tympani
were 2.17 +/- 0.30 mm and 1.83 +/- 0.42 mm. The result of our study suggests that
the cochlea of miniature pigs is highly consistent with human's. Miniature pigs
may be used as a new model for cochlear implant. MPR technique may be used as a
new approach to obtain further information of patient's cochlea in surgeons which
is helpful to select suitable cochlear implant devices and surgery approach.
PMID- 29636862
TI - Cyclooxygenase-2 deficiency causes delayed ossification of lumbar vertebral
endplates.
AB - Based on previous findings that cyclooxygenase-2 (COX-2) is a critical molecule
in chondrocyte differentiation and skeletal repair, we hypothesized that COX-2
deficiency or inhibition affects the ossification of vertebral endplates (VEP)
and degeneration of intervertebral discs (IVD) and thus is involved in the
pathogenesis of low back pain (LBP). We aimed to delineate the COX-2 working
mechanism and its interacting molecules, and to explore the effect of NSAIDs and
selective COX-2 inhibitor on degenerative spinal diseases. Here, lumbar spinal
samples harvested from Cox-2 mutant (Cox-2-/-) and wild type (WT) mice were used
for histological examinations. Nucleus pulposus (NP) cells isolated from rat were
treated with PGE-2. Mouse endplate chondrocytes (mEC) isolated from mice were
treated with a recombinant sonic hedgehog (Shh) protein. A mouse IVD organ
culture system was established and treated COX-2 inhibitor Celecoxib. Human
lumbar endplate chondrocytes were cultured and treated with Celecoxib.
Immunohistochemical (IHC) studies were done in the human and mouse VEP samples.
Radiographic and histological examinations revealed delayed VEP ossification in
Cox-2-/- mice compared to WT ones. Decreased PGE-2 expression was found to
promote Shh expression in rat NP cells, while Shh increased noggin expression in
mEC. IHC showed that noggin expression was increased while pSmad1 expression
decreased in the VEP of Cox-2-/- mice. Human VEP samples from patients with
severe IVD degeneration showed decreased expression of Shh and noggin and
increased expression of COX-2 and pSmad1 compared with milder cases. In cultured
mouse IVDs and human endplate chondrocytes, Celecoxib enhanced expression of Shh
and noggin and decreased Smad1 phosphorylation. In conclusion, COX-2/PGE-2 axis
plays an important role in VEP ossification and IVD degeneration through
crosstalk with Shh and BMP signaling pathways. These findings may facilitate
clinical use of COX-2 inhibitor to prevent LBP progression.
PMID- 29636863
TI - S-phase kinase-associated protein 2 impairs the inhibitory effects of miR-1236-3p
on bladder tumors.
AB - We have previously demonstrated that miR-1236-3p has the robust ability to up
regulate p21 expression by targeting the p21 promoter, thus inhibiting bladder
cancer progression. Microarray experiments displayed that miR-1236-3p
significantly increased the expression of the oncogenic F-box protein S-phase
kinase-associate protein 2 (Skp2) while activating p21 expression in bladder
cancer cells. Here, we confirmed that Skp2 was over-expressed following
transfection with miR-1236-3p. Further, we demonstrated that miR-1236-3p and its
sequence homology dsRNA, dsRNA-245 (which is completely complementary to the p21
promoter), both are able to potently induce p21 expression. We found that dsRNA
245 did not induce changes in Skp2 expression, while miR-1236-3p could increase
Skp2 expression; this influence was independent of p21 activation. Moreover,
transfection of miR-1236-3p or dsRNA-245 into bladder cancer cells significantly
inhibited cell proliferation and clonegenesis and induced cell cycle arrest
mainly by regulating p21 expression. However, the growth inhibition caused by
dsRNA-245 was more effective than that caused by miR-1236-3p. This difference in
effect size is mainly related to the miR-1236-3p-induced expression of Skp2. In
summary, our results provided evidence that both endogenous and exogenous small
RNAs might function to induce p21 expression by interacting with the same
promoter region, therefore impeding bladder cancer cell growth. Additionally, our
results indicated that microRNA activation can activate the expression of some
tumor suppressor genes as well as some oncogenes. This indicated the need for the
further study of clinical applications of RNA activation.
PMID- 29636864
TI - MicroRNA-223 inhibits deposition of the extracellular matrix by airway smooth
muscle cells through targeting IGF-1R in the PI3K/Akt pathway.
AB - Asthma is a wide-spread disease that significantly impacts health throughout the
world. A key aspect of the pathology of the disease is the remodeling of the
airways by airway smooth muscle cells (ASMCs). MicroRNAs play an important role
in post-transcriptional gene regulation and are involved in numerous biological
functions, including those linked to asthma. A large number of microRNAs have
been identified and investigated in various cell types to assess their function.
In the present study, the role and potential mechanisms of miR-223 in ASMCs were
investigated. Overexpression of miR-223 was found to induce a phenotypic switch
in ASMCs that led to decreased expression of proteins involved in the
extracellular matrix, such as alpha-SMA (ACTA2), and type I and III collagens.
Inhibition of miR-223 caused the opposite result. However, unlike mast cells,
neither overexpression nor inhibition of miR-223 affected cell viability or
apoptosis in ASMCs. To further understand the effects of miR-223 on ASMCs, we
applied bioinformatics analysis using predictive software, in combination with
western blotting, to reveal that insulin-like growth factor-1 receptor (IGF-1R)
was the functional target of miR-223 that leads to the phenotypic switch of
ASMCs. Suppression of luciferase activity in a reporter containing the 3'
untranslated region (3'-UTR) of IGF-1R confirmed that this region is the target
for the miRNA. Finally, we showed that miR-223 suppressed IGF-1R expression and
decreased downstream phosphorylation of Akt (AKT1) in ASMCs. In conclusion, our
data demonstrate that miR-223 exerts an inhibitory effect on the fibrotic
phenotypes of ASMCs via the PI3K/Akt signaling pathway and IGF-1R is the likely
functional target of the microRNA.
PMID- 29636865
TI - Aerobic exercise relieved vascular cognitive impairment via NF-kappaB/miR
503/BDNF pathway.
AB - OBJECTIVE: To investigate the mechanism of aerobic exercise in the relief of
vascular cognitive impairment (VCI). MATERIALS AND METHODS: Latency of Water Maze
test was measured at sham, 2VO, 2VO+EX groups. miR-503 and BDNF mRNA levels were
detected by quantitative real-time PCR. Protein levels of NF-kappaB and BDNF were
detected by Western blot. Hippocampal neuron cell apoptosis was detected by flow
cytometry. Luciferase reporter assay was conducted to investigate the effect of
miR-503 on BDNF. RESULTS: Latency of Water Maze test in 2VO group was longer than
Sham group, while exercise shortened the latency. The expressions of NF-kappaB
and miR-503 in 2VO group were higher than Sham group, while exercise
downregulated the expressions. BDNF level in 2VO group were downregulated than
Sham group, while exercise upregulated the levels. We also found NF-kappaB, miR
503 levels were upregulated and BDNF level was downregulated in OGD-treated
hippocampal neuron cells. In addition, OGD increased the expression of NF-kappaB
and miR-503, and the expression of miR-503 was downregulated when treated with NF
kappaB inhibitor (PDTC). Moreover, we confirmed BDNF was a direct target of miR
503. OGD decreased the expression of BDNF, while miR-503 inhibitor reversed this
effect. And we proved OGD induced cell apoptosis via NF-kappaB/miR-503/BDNF.
Finally, in rats injected with miR-503 inhibitor, latency of Water Maze test was
shortened, miR-503 expression was downregulated, and BDNF level was upregulated.
While in rats injected with miR-503 mimic, the results were the opposite.
CONCLUSION: Aerobic exercise relieved VCI via NF-kappaB/miR-503/BDNF pathway.
PMID- 29636866
TI - Protective effects of PI3K/Akt signal pathway induced cell autophagy in rat knee
joint cartilage injury.
AB - As the major reason for limb dysfunction, osteoarthritis (OA) is closely
correlated with the level of cellular autophagy. PI3K/Akt is a classical
signaling pathway which regulates autophagy, but with unclear roles in OA related
cartilage injury. Studying PI3K/Akt induced autophagy in rat knee joint cartilage
injury and possible functional mechanism is of critical importance for clinical
treatment. This study established a rat knee joint cartilage injury model, in
which Akt agonist IGF-1 or autophagy inducer Rapamycin was administrated. Western
blot was used to detect the expression level of AKT, phosphorylated AKT, Beclin-1
and LC3-II/I. Formation of autophagosome and lysosome was assessed by
transmission electron microscopy. HE, safranin O and toluidine blue staining was
used to evaluate cartilage injury. TUNEL staining was performed to measure cell
apoptosis. Real-time quantitative PCR measured the expression of cartilage injury
indexes such as Aggrecan, Collagen II and MMP13. Compared with normal group,
iodacetic acid treatment group showed cartilage injury, whereas AKT activation
and autophagy induction groups had significant improvement. mRNA analysis showed
enhanced degradation of Aggrecan and Collagen II in AKT activation and autophagy
groups with decreased MMP13 mRNA level (P<0.05). Western blot results showed that
after AKT activation and autophagy induction, protein levels of Beclin-1 and LC3
II/I were remarkably elevated (P<0.05). TUNEL assay showed significant inhibition
of cell apoptosis. In conclusion, activation of PI3K/Akt signal pathway can
improve iodacetic acid induced rat knee joint cartilage injury through inducing
cell autophagy.
PMID- 29636867
TI - Microarray expression profiling and bioinformatics analysis of circular RNA
expression in lung squamous cell carcinoma.
AB - Circular RNAs (circRNAs) are novel noncoding RNAs with a wide range of
physiological and pathological activities. However, the expression profile and
roles in lung squamous cell carcinoma (LSCC) remain largely unknown. Therefore,
we investigated the expression profile of circRNAs in three LSCC and matched
adjacent normal tissues using microarray. Total 216 differentially expressed
circRNAs were identified, including 135 upregulated and 81 downregulated ones in
LSCC tissues. Bioinformatics analysis revealed that these differentially
expressed circRNAs were potentially implicated in carcinogenesis using Gene
ontology (GO) and KEGG pathway analyses. By constructing miRNA-circRNA
interaction network, a total of ten key circRNAs, including 6 upregulated and 4
downregulated circRNAs were further screened and then confirmed using qRT-PCR
analysis in another 40 paired of LSCC tissues and adjacent normal tissues. In
addition, Kaplan-Meier survival analysis demonstrated that the overall survival
time of LSCC patients with high hsa_circRNA_103827 expression and low
hsa_circRNA_000122 was significantly shorter (P<0.001). In conclusion, this study
provides evidence that circRNAs are differentially expressed in LSCC and closely
related to the carcinogenesis of LSCC. Among these, hsa_circRNA_103827 and
hsa_circRNA_000122 might be served as potential prognostic biomarkers and
therapeutic target for LSCC.
PMID- 29636868
TI - Novasoy and genistein inhibit endometrial cancer cell proliferation through
disruption of the AKT/mTOR and MAPK signaling pathways.
AB - OBJECTIVES: Excess estrogen states, such as those generated by obesity, have long
been associated with the development of type I endometrial cancers.
Epidemiological studies have linked consumption of isoflavones with a decreased
incidence of endometrial malignancy. Thus, our goal was to assess the effect of
the isoflavones, novasoy and genistein, on cell proliferation, cell cycle,
apoptosis, progesterone receptor (PR) and estrogen receptor-alpha (ERalpha)
expression and the AKT/mTOR and MAPK pathways in endometrial cancer cells.
METHODS: The endometrial cancer cell lines ECC-1 and RL-95-2 were used. Cell
proliferation was assessed with MTT assay after exposure to novasoy and genistein
at varying concentrations. Cell cycle progression was analyzed by flow cytometry.
Apoptosis was assessed by flow cytometery for annexin V expression and ELISA for
caspase-3 activity. Expression of ERalpha, PR and hTERT mRNA were evaluated using
real time RT-PCR. Western immunoblotting was performed to evaluate the effects of
novasoy and genistein on the AKT/mTOR and MAPK signaling pathways. RESULTS:
Novasoy and genistein inhibited cell growth in a dose-dependent manner in both
cell lines through induction of cell cycle G2 arrest and apoptosis. Treatment
with novasoy and genistein decreased hTERT expression in a dose-dependent manner.
Genistein decreased ERalpha mRNA expression while increasing PR expression.
Genistein induced phosphorylation of p42/44 in a dose dependent manner in both
cell lines but reduced phosphorylation of S6 in only the RL-95-2 cells.
CONCLUSIONS: Novasoy and genistein inhibited cell proliferation through varying
pathways in different cell lines but included decreased ERalpha expression and
subsequent alteration in the expression of proteins upstream and downstream of
the AKT/mTOR and MAPK pathways. Thus, isoflavones may be a promising therapeutic
agent in the treatment and prevention of endometrial cancer.
PMID- 29636869
TI - Ginsenoside Rb1 inhibit apoptosis in rat model of Alzheimer's disease induced by
Abeta1-40.
AB - Alzheimer's disease (AD) is the most common form of dementia and lacks disease
altering treatments. Ginsenoside Rb1 (GsRb1), the key active compounds of
ginsenoside found in ginseng. The present study aimed to determine whether GsRb1
could prevent cognitive deficit and take neuroprotective effects in Abeta1-40
induced rat model through apoptotic signaling pathway. Injection of soluble
Abeta1-40 into the hippocampus caused impairment in learning and memory. Daily
administration of Rb1 (12.5, 25, and 50 mg/kg, i.p.) for 14 consecutive days. All
rats were tested for their capabilities of spatial navigation and memorization by
Morris water maze. Apoptosis was tested using TUNEL staining in hippocampus
neuronal cells. RT-PCR, immunohistochemical staining and western blotting were
employed to confirm the expressions of Bcl-2, Bax and Cleaved Caspase-3. The
results showed that Rb1 administration could prevent cognitive deficit, and
significantly decreased the levels of Bax and Cleaved Caspase-3 meanwhile up
regulation the level of Bcl-2 in the hippocampus. We suggest that GsRb1 may be
effective for preventing or slowing the development of Alzheimer's disease, which
improving cognitive and memory functions by inhibiting the levels of pro
apoptosis mediators and improving the levels of anti-apoptosis mediators in the
rat brain.
PMID- 29636870
TI - Reverse mode of sodium/calcium exchanger subtype 1 contributes to detrusor
overactivity in rats with partial bladder outflow obstruction.
AB - To investigate whether the reverse mode of sodium/calcium exchanger subtype 1
(NCX1) plays an important role in the excitability of detrusor cells in rats with
partial bladder outflow obstruction (PBOO), PBOO was maintained for 6 weeks in
forty female Wistar rats. Thirty of the animals exhibited non-voiding bladder
contraction and comprised the DO group. An additional thirty sham-operated female
Wistar rats were used as the control group. The expression levels of NCX1 were
compared between the two groups by quantitative reverse transcriptase-polymerase
chain reaction (RT-PCR), western blotting (WB), and double-label
immunofluorescence. The contractions of detrusor strips in NCX reverse mode were
measured in both groups using isometric tension. The role of NCX in the
regulation of the intracellular Ca2+ concentration ([Ca2+]i) of smooth muscle
cells was observed in reverse mode using confocal microscopy, and the current was
evaluated in the presence of the antagonist KB-R7943 (5 MUM and 10 MUM) using the
whole-cell patch-clamp technique. The expression of NCX1 was significantly higher
in the DO group than in the control group, as assessed by qRT-PCR, WB analysis
and immunofluorescence. The volume and rate of Ca2+ ion flux through the NCX, as
well as the NCX currents, were higher in the DO group than in the control group
in both modes. Increased NCX1 levels may contribute to the establishment of DO
after PBOO by elevating [Ca2+]i in reverse mode under depolarization, potentially
inducing cell excitability.
PMID- 29636871
TI - No indications for platelet activation in acute clinical myocardial or renal
ischemia/reperfusion injury.
AB - The pathophysiology of ischemia/reperfusion (I/R) injury is complex and poorly
understood. Animal studies imply platelet activation as an initiator of the
inflammatory response upon reperfusion. However, it remains unclear whether and
how these results translate to clinical I/R. This study evaluates putative
platelet activation in the context of two forms of clinical I/R (heart valve
surgery with aortic-cross clamping, n = 39 and kidney transplantation, n = 34).
The technique of sequential selective arteriovenous (AV) measurements over the
reperfused organs was applied to exclude the influence of systemic changes
occurring during surgery while simultaneously maximizing sensitivity. Platelet
activation and degranulation was evaluated by assessing the expression levels of
established markers, i.e. RANTES (Regulated on Activation, Normal T Cell
Expressed and Secreted), beta-thromboglobulin (beta-TG), platelet-derived growth
factor (PDGF)-BB and CXCL8 (known as interleukin-8), and by employing an in-vitro
assay that specifically tests for platelet excitability. Moreover, a histological
analysis was performed by means of CD41 staining. Results show stable RANTES,
beta-TG, PDGF-BB and CXCL8 AV-concentrations within the first half hour over the
reperfused organs, suggesting that myocardial and renal I/R are not associated
with platelet activation. Results from the platelet excitability assay were in
line with these findings and indicated reduced and stable platelet excitability
following renal and myocardial reperfusion, respectively. Histological analysis
yield evidence of platelet marginalization in the reperfused organs. In
conclusion, results from this study do not support a role for platelet activation
in early phases of clinical I/R injury.
PMID- 29636872
TI - Qihuang decoction promotes the recovery of intestinal immune barrier dysfunction
after gastrectomy in rats.
AB - OBJECTIVE: This study aims to observe the effect of Qihuang decoction on small
intestinal mucosal barrier after gastrectomy in rats. MATERIALS AND METHODS: A
total of 80 Wistar rats were randomly divided into normal group, sham operation
group, enteral nutrition group (EN) and Qihuang decoction group (EN+QH), there
were 20 rats in each group. Both the EN group and the EN+QH group underwent
gastrectomy. Instillation of enteral nutrition in the small intestine was
performed after operation in the EN group. Instillation of enteral nutrition and
Qihuang decoction in the small intestine was performed after operation in the
EN+QH group. Only the abdominal incision and closing was performed in the sham
operation group without drug and nutritional intervention. The expression levels
of tight junction proteins in intestinal epithelial cells were determined by
western blotting method. The sIgA content in different anatomic sites of
intestinal mucosa was determined by double antibody-PEG radioimmunoassay
technique. The number of IgA+B cells in different anatomic sites of intestinal
mucosa was determined by immunohistochemical method. RESULTS: The sIgA content in
the sham operated group was significantly lower than that of normal group
(P<0.05). The sIgA content and the number of IgA+B cells in Peyer's patches and
lamina propria lym-phocytes in the EN+QH group were significantly higher than
that of EN group (P<0.01, P<0.05). The expression levels of RhoA, Rac1 and Cdc42
increased in the EN group, and the phosphorylation levels of occludin, claudin-1,
claudin-5, ZO-1 and ZO-2 also increased in the EN group, while the expression
levels of non-phosphorylated occluding, claudin-1, claudin-5, ZO-1 and ZO-2
proteins decreased in the EN group (P<0.01, P<0.05). After treatment of Qihuang
decoction for 7 days, compared with EN group, the expression levels of RhoA, Rac1
and Cdc42, and the phosphorylation levels of occludin, claudin-1, claudin-5, ZO-1
and ZO-2 significantly decreased in the EN+QH group, while the expression levels
of non-phosphorylated occluding, claudin-1, claudin-5, ZO-1 and ZO-2 proteins
significantly increased in the EN+QH group (P<0.01, P<0.05). CONCLUSIONS: Qihuang
decoction can promote the proliferation and differentiation of IgA+B lymphocytes
and increase the sIgA content in intestinal mucosal immune barrier after
gastrectomy in rats, it also can promote the expression of tight junction
proteins to improve the permeability of intestinal mucosa and promote the
recovery of intestinal immune barrier dysfunction in rats after gastrectomy by
inhibiting the tight junction associated proteins' phosphorylation induced by
Rho/ROCK signaling pathway.
PMID- 29636873
TI - Experimental study on the clinical effects of Xiaoru Sanjie Jiaonang on mammary
glands hyperplasia and ki-67.
AB - OBJECTIVE: This study aims to observe the effect and mechanism of Xiaoru Sanjie
Jiaonang (XRSJ) on the treatment of mammary gland hyperplasia, and provide a
theoretical basis and clinical evidence for clinical expansion. METHODS: Japanese
white rabbits were randomly divided into three groups: high-, middle- and low
dose groups; Xiaoyao Pill group; model control group; normal control group. The
observation points were as follows: before XRSJ administration, three months
after XRSJ administration, and three months after XRSJ discontinuance. Changes in
breast height, morphological changes of the mammary gland under a light and
electron microscope, and the expression of ki-67 were observed. At the same time,
patients diagnosed with mammary gland hyperplasia at an Outpatient Clinic were
selected and divided into treatment groups. These patients received XRSJ and
Xiaoyao Pills, respectively, for one month, while patients in the control group
did not receive any drug treatment. Clinical efficacy was observed while
rechecking at the Outpatient Clinic after three months. Treatment with a
therapeutic dose of XRSJ could significantly reduce breast height, decrease the
number of lobules and acini in hyperplastic mammary glands and the layer number
of ductal glandular epithelial cells, substantially lower the content of serum
estradiol (E2), significantly downregulate the expression of ki-67 protein in
mammary tissues, and inhibit mammary gland hyperplasia. CONCLUSION: XRSJ
treatment can relieve mammary tissue hyperplastic lesions, reduce E2 levels and
downregulate the expression of ki-67. It has a significant therapeutic effect on
mammary gland hyperplasia.
PMID- 29636874
TI - Renal proteomic analysis of RGC-32 knockout mice reveals the potential mechanism
of RGC-32 in regulating cell cycle.
AB - This study aimed to investigate the exact function of RGC-32 in kidney diseases
and explore the potential mechanism of RGC-32 in regulating cell cycle. RGC-32
knockout (RGC-32-/-) mice were generated from C57BL/6 embryonic stem cells.
Differentially expressed proteins in the kidney were investigated with the
isobaric tags for relative and absolute quantification (iTRAQ) technique. Gene
ontology analyses (GO), Kyoto encyclopedia of genes and genomes (KEGG) pathway
mapping analysis and functional network analysis were also performed. The
expressions of Smc3, Smad 2-3, DNA-PK were further confirmed by qPCR. Results
showed that 4690 proteins were quantified on the basis of 25165 unique peptides.
Comparative proteomic analysis revealed 361 differentially expressed proteins in
RGC-32-/- mice (knockout/wild ratio >+/- 1.2 and P<0.05). GO and KEGG pathway
mapping analyses showed differentially expressed proteins were involved in
spliceosome, fluid shear stress and atherosclerosis protein processing in
endoplasmic reticulum, pathways in cancer, viral carcinogenesis, epithelial cell
signaling in Helicobacter pylori infection, HTLV-I infection, PI3K-Akt signaling
pathway, ubiquitin mediated proteolysis, Parkinson's disease, MAPK signaling
pathway, carbon metabolism, Alzheimer's disease, NOD-like receptor signaling
pathway, tight junction, Proteoglycans in cancer, phagosome, ribosome, mTOR
signaling pathway, and AMPK signaling pathway. Differentially expressed proteins
Smc3 (0.821), DNA-PK (0.761), Smad 2-3 (0.631) were involved in cell cycle
regulation. mRNA expression of Smad2-3, DNA-PK, and Smc3 was consistent with that
from iTRAQ. It is concluded that RGC-32 may affect the expression of many
proteins (76 up-regulated and 285 down-regulated) in the kidney, and may regulate
the expression of Smc3, DNA-PK and Smad 2-3 to affect the cell cycle.
PMID- 29636875
TI - Cordyceps sinensis may inhibit Th22 cell chemotaxis to improve kidney function in
lgA nephropathy.
AB - IgA nephropathy is the most common form of primary glomerulonephritis and an
important cause of kidney failure. Cordyceps sinensis (CS) is a parasitic fungus
that has a long history of use in Chinese medicine for the treatment of
nephritis. Interleukin (IL)-22-producing helper T cells (Th22 cells) have been
reported to be involved in lgA nephropathy. Th22 cells link the immune response
to tissue inflammation. To elucidate the possible efficacy and mechanisms by
which CS counteracts nephritis, we established an IgA nephropathy model in 6-week
old female BALB/c mice. The mice were randomly separated into 3 groups, the
normal control, IgA nephropathy and CS (5 mg/kg/d) treatment groups. The Th22
cell frequencies and the relative pathological and cytokine changes were measured
with flow cytometry, whereas the serum chemokine ligand 27 (CCL27) and IL-22
concentrations were detected with ELISA. The Th22 cell frequency decreased after
1 month of CS therapy. Additionally, mesangial cell proliferation decreased.
Moreover, the chemokine receptor type 10 (CCR10), CCL27 and IL-22 expression
levels were significantly reduced. In conclusion, CS may modulate the chemotaxis
of Th22 cells to suppress inflammatory responses in IgA nephropathy.
PMID- 29636876
TI - MiR-143-3p suppresses the progression of ovarian cancer.
AB - MicroRNAs (miRNAs) are a class of naturally occurring, small, non-coding RNAs
that target protein-coding mRNAs at the post-transcriptional level and
participate in various biological processes. Our previous studies suggested that
miR-143-3p functions as a tumor suppressor and has a role in the progression of
ovarian cancer, in part through the regulation of the tumor promoter. In this
study, we found that the mRNA expression level of miR-143-3p was significantly
decreased in ovarian cancer tissues, in comparison with normal ovarian tissues by
high-throughput miRNA profiling and quantitative RT-PCR. Secondly, we indicated
that the up-regulation of miR-143-3p in the ovarian cancer cell lines SKOV3, ES2,
and OVCAR3 significantly reduced their proliferation, migration, and invasion.
Furthermore, miR-143-3p inhibited the growth of ovarian tumors in vivo in a
xenograft experiment. In addition, miR-143-3p down-regulated the expression of
transforming growth factor (TGF)-beta-activated kinase 1 (TAK1) in human ovarian
cancer cells. Therefore, our study indicates that miR-143-3p inhibited the
proliferation, migration, and invasion of ovarian cancer cells in vitro, as well
as ovarian tumorigenesis in vivo. This inhibitory effect may target TAK1,
suggesting a potential application of the miR-143-3p-TAK1 pathway in the clinical
diagnosis and treatment of ovarian cancer.
PMID- 29636877
TI - Effects of talactoferrin alpha on lung adenoma prevention in A/J mice June 2,
2016.
AB - Talactoferrin alpha is a promising non-toxic solid tumor cancer agent that met
with success in the treatment of early-stage lung cancer clinically in humans. It
is well-tolerated, anddendritic cell-stimulation is a target. We tested the
efficacy of this agent in a chemoprevention setting in A/J mice. All groups
received benzo[a]pyrene (B[a]P) by oral gavage in three doses of 3 mg/kg body
weight over the course of one week. Animals were then randomized into 5 groups of
24 mice per group based on weight. Experimental diets oftalactoferrin alpha
(Agennix Inc., Indianapolis, IN), at 1.40% and 0.42% of the diet, were started
one week or eight weeks after the last dose of B[a]P. Animals were continued on
the feeding schedule, weighed weekly, and monitored for toxicity. The study was
concluded 16 weeks after administration of B[a]P. The agent was well-tolerated
for the duration of the experiment and there was no observable toxicity or weight
change. The average number of adenomas per animal was 14.04 +/- 0.93 (N=24) in
the control group, 18.14 +/- 1.45 (N=22) in the early low-dose group, 16.70 +/-
1.30 (N=23) in the late low-dose group, 15.09 +/- 1.41 (N=23) in the early high
dose group and 14.46 +/- 1.21 (N=24) in the late high-dose group. We conclude
talactoferrinalpha is well-tolerated. However, it did not inhibit carcinogenesis
at a dose of 1.4% or 0.42% of the diet, which equates to human doses of 1.12
g/kg/day or 0.336 g/kg/day.
PMID- 29636878
TI - Ubiquitination regulation of inflammatory responses through NF-kappaB pathway.
AB - The development of inflammation is mutually affected with damaged DNA and the
abnormal expression of protein modification. Ubiquitination, a way of protein
modification, plays a key role in regulating various biological functions
including inflammation responses. The ubiquitin enzymes and deubiquitinating
enzymes (DUBs) jointly control the ubiquitination. The fact that various
ubiquitin linkage chains control the fate of the substrate suggests that the
regulatory mechanisms of ubiquitin enzymes are central for ubiquitination. In
inflammation diseases, the pro-inflammatory transcription factor NF-kappaB
regulates transcription of pro-labour mediators in response to inflammatory
stimuli and expression of numerous genes that control inflammation which is
associated with ubiquitination. The ubiquitination regulates NF-kappaB signaling
pathway with many receptor families, including NOD-like receptors (NLR), Toll
like receptors (TLR) and RIG-I-like receptors (RLR), mainly by K63-linked
polyubiquitin chains. In this review, we highlight the study of ubiquitination in
the inflammatory signaling pathway including NF-kappaB signaling regulated by
ubiquitin enzymes and DUBs. Furthermore, it is emphasized that the interaction of
ubiquitin-mediated inflammatory signaling system accurately regulates the
inflammatory responses.
PMID- 29636879
TI - Differential microRNA expression profiles between young and old lung
adenocarcinoma patients.
AB - Lung cancer is the leading cause of cancer-related mortality, and approximately
80% of cases are non-small cell lung cancer (NSCLC). Recently, the incidence of
NSCLC has been quickly increasing, while the age of patients at diagnosis is
decreasing. To date, it is still controversial whether younger patients have
better or worse outcomes compared with their older counterparts. MicroRNAs
(miRNAs) have been defined to play a key role in cancer pathogenesis, and their
aberrant expression has been suggested as a potential biomarker of prognosis in
lung adenocarcinoma. To understand the molecular features of young and old
adenocarcinoma patients, we investigated the expression level of a panel of
miRNAs selected after a mini-literature review. The expression analysis was
performed by the nCounter System(r) (NanoString Technologies) directly on RNA,
including small RNAs. The analysis revealed that 7 miRNAs (miR-25-3p, miR-29c-3p,
miR-33a-5p, miR-144-3p, miR-153-3p, miR-342-5p and miR-485-3p) were
differentially expressed in the two groups (P<0.05). All of these miRNAs showed
higher expression levels in young compared to old patients, and their predicted
targets included EGFR, MET, VEGF-A, TP53 and PDGFRa. miR-144-3p had an opposite
influence on overall survival since its upregulation was associated with a worse
prognosis in young patients (P=0.01) and with a better outcome in the older group
(P=0.03). We observed that lung cancer in young and old patients may be
influenced by different regulatory mechanisms. Moreover, one of the down
regulated miRNAs showed a different prognostic impact in the two groups,
confirming that young and old patients deserve a specific clinical approach.
PMID- 29636880
TI - Umbilical cord mesenchymal stem cell (UC-MSC) transplantations for cerebral
palsy.
AB - This study reports a case of a 4-year-old boy patient with abnormalities of
muscle tone, movement and motor skills, as well as unstable gait leading to
frequent falls. The results of the electroencephalogram (EEG) indicate moderately
abnormal EEG, accompanied by irregular seizures. Based on these clinical
characteristics, the patient was diagnosed with cerebral palsy (CP) in our
hospital. In this study, the patient was treated with umbilical cord mesenchymal
stem cell (UC-MSC) transplantation therapy. This patient received UC-MSC
transplantation 3 times (5.3*107) in total. After three successive cell
transplantations, the patient recovered well and showed obvious improvements in
EEG and limb strength, motor function, and language expression. However, the
improvement in intelligence quotient (IQ) was less obvious. These results
indicate that UC-MSC transplantation is a promising treatment for cerebral palsy.
PMID- 29636881
TI - The arachidonic acid metabolism protein-protein interaction network and its
expression pattern in esophageal diseases.
AB - Arachidonic acid (AA) and its metabolites are involved in the development and
progression of inflammation and tumors in various tissues. We investigated the
protein-protein interaction network (PPIN) of key enzymes in AA metabolism and
their interacting proteins, as well as their expression patterns in different
types of esophageal disease, involving esophagitis, Barrett's esophagus,
adenocarcinoma and squamous cell carcinoma. PPINs were constructed to illustrate
the key enzymes and their interacting proteins along the metabolic cascade. The
network also showed key enzymes that could connect or cross-talk with at least
one partner protein. The inflammation-related gene RELA (NF-kB) was found to
interact with both PLA2G4A and ALOX5. Expression levels of the PPIN proteins, as
well as their expression correlations, in different esophageal diseases were
analyzed and integrated into the PPIN to illustrate a dynamic change. At least
six significant pairs of expression relationships were identified across
different esophageal diseases. The expression levels of eight enzymes (ALOX5,
ALOX5AP, CYP2C8, CYP4F11, LTA4H, PLA2G4A, CYP2D6, PTGES2) correlated with the
survival time of ESCC patients. In summary, we constructed an AA metabolic PPIN
to explore AA metabolism-related gene expression patterns in esophageal diseases,
showing their dynamic change and potential for therapeutic targeting from
inflammation to cancer.
PMID- 29636882
TI - Quantitative magnetic resonance imaging for diagnosis of intervertebral disc
degeneration of the cervico-thoracic junction: a pilot study.
AB - This study aimed to appraise two quantitative magnetic resonance imaging
techniques, T2* imaging and diffusion-weighted imaging (DWI), for the diagnosis
of the intervertebral disc degeneration of the cervico-thoracic junction.
Influence of specific factors and diagnostic accuracy of both techniques were
particularly explored. Sixty-one volunteers with neck and upper back pain were
recruited and evaluated with both T2* imaging and DWI. The Pfirrmann grade, T2*
relaxation time and apparent diffusion coefficient (ADC) value of each disc
between C7 and T3 were recorded. Stratified analyses were performed for different
anatomic levels, genders, age ranges and Pfirrmann grades. The diagnostic
accuracy of both techniques was investigated using the receiver operating
characteristic (ROC) curves. No statistically significant difference of either
T2* relaxation time or ADC value was detected between males and females. Both
parameters decreased with the increasing age and Pfirrmann grade. The ROC curves
showed the higher sensitivity and specificity for T2* imaging than DWI to
quantitatively identify the disc degeneration. Particularly, T2* imaging allowed
for a quantitative distinguishing the normal, mild and moderate disc degeneration
from the severe degeneration, which was unable to accomplish with DWI. In
conclusion, we demonstrated that T2* imaging possess a better accuracy than DWI
to quantitatively diagnose the intervertebral disc degeneration at the cervico
thoracic junction.
PMID- 29636883
TI - The embryonic transcription factor Brachyury confers chordoma chemoresistance via
upregulating CA9.
AB - Being a rare malignant bone tumor on the axial skeleton, chordoma is locally
invasive and has a high rate of recurrence. Despite extensive studies, the
mechanisms of chordoma recurrence after surgical intervention, as well as
resistance to radiation and chemotherapy, remain elusive. In this study, primary
chordoma cell lines PCH1 and PCH2 were established and characterized by chordoma
specific markers. We found that the embryonic transcription factor Brachyury
inhibits Paclitaxel induced apoptosis in different cells, including PCH1 and U2OS
cells. T gene regulated genes were identified in PCH1 and U2OS using microarray.
After comparing gene regulated by Brachyury in different cells and the chromatin
immunoprecipitation assay, we identified carbonic anhydrase IX (CA9) as a common
target gene of Brachyury. Besides, immunohistochemical staining of CA9 and
Brachyury in chordoma tissues revealed that their expression levels were
positively correlated. We further showed that CA9 is responsible for Paclitaxel
resistance in PCH1 cell. Our data suggest that CA9 plays a role in Brachyury
mediated Paclitaxel resistance and serves as a potential target for chordoma
treatment.
PMID- 29636884
TI - Low-intensity pulsed ultrasound suppresses proliferation and promotes apoptosis
via p38 MAPK signaling in rat visceral preadipocytes.
AB - Low-intensity pulsed ultrasound (LIPUS) has been used widely in clinical therapy
for bone fracture and soft tissue injury. However, whether LIPUS regulates
primary preadipocyte function and adipogenesis remains unknown. In this study, we
investigated the potential role of LIPUS in regulating visceral preadipocyte
function. Resuspended rat visceral preadipocytes were treated with LIPUS (0.5
MHz, 109.44 mW/cm2) for 1 min and then cultured for an additional 48 hours. Cell
proliferation was examined using the CCK-8 assay, and the early apoptosis rate
was determined by flow cytometry. In addition, we evaluated the related signaling
pathway via examination of proliferating cell nuclear antigen (PCNA), peroxisome
proliferator-activated receptor gamma (PPARgamma), Bcl2, Bax, cleaved caspase 3
(C-C3), and mitogen-activated protein kinase (MAPK) member protein levels using
western blot or quantitative real-time PCR (qRT-PCR). LIPUS inhibited
preadipocyte proliferation and induced cell apoptosis. The protein expression of
proliferation markers decreased, while expression of the apoptosis-related
modulators increased following LIPUS treatment. LIPUS treatment decreased
extracellular signal-regulated kinase (ERK) phosphorylation and increased p38
MAPK phosphorylation. Inhibition of p38 MAPK rescued the LIPUS-induced
proliferation inhibition and apoptosis induction. Thus, treatment of rat visceral
preadipocytes with 0.5 MHz LIPUS suppresses proliferation and promotes apoptosis
via activation of p38 MAPK signaling.
PMID- 29636885
TI - Protective role of puerarin on LPS/D-Gal induced acute liver injury via restoring
autophagy.
AB - Acute liver injury is a destructive liver disorder resulting from overwhelming
liver inflammation, oxidative stress and hepatocyte death. Puerarin is a natural
flavonoid compound isolated from the traditional Chinese herb radix puerariae.
This study investigated the protective effects of puerarin against
lipopolysaccharide (LPS)/D-galactosamine (D-Gal)-induced liver injury and the
potential mechanisms in mice. Mice were given an intraperitoneal administration
of puerarin 200 mg/kg 2 h prior to LPS (50 MUg/kg)/D-Gal (400 mg/kg) injection
and were sacrificed 6 h post LPS/D-Gal treatment. The results showed that
administration of puerarin substantially alleviated LPS/D-Gal-induced acute liver
injury in mice by increased survival rates, improved liver histopathology,
reduced plasma alanine aminotransferase (ALT) and aspartate aminotransferase
(AST) levels, alleviated production of pro-inflammatory cytokines, and suppressed
hepatocyte apoptosis. Moreover, puerarin pretreatment activated autophagy by
increased the ratio of LC3B-II/I and the protein levels of Beclin-1, decreased
the levels of p62 protein expression. Taken together, these findings demonstrated
that puerarin could prevent the LPS/D-Gal-induced liver injury in mice, and its
mechanisms might be associated with the increments of autophagy and suppression
of apoptosis.
PMID- 29636887
TI - Advanced maternal age impairs spatial learning capacity in young adult mouse
offspring.
AB - Effects of maternal aging on the offspring cognitive function remain
controversial in population-based investigations, and information available in
animal studies is very limited. We investigated the impact of a delayed first
natural pregnancy on pregnancy outcomes in the mouse model. Spatial learning
capacity in young adult mouse offspring was observed by step-down passive
avoidance task and Morris water maze (MWM). Maternal serum alpha-klotho was
measured by ELISA. Morphological characteristics of fetoplacental unit and
offspring brain were identified by H&E and immunohistochemistry. Klotho, VDR and
other related genes expression were quantified by real-time-RT-PCR and western
blot. We found delayed pregnancy reduced fertility in female mice by three-fold
(Young vs. Old: 5.0% vs. 20.7%), and increased adverse pregnant outcomes by eight
fold (Young vs. Old: 3.0% vs. 27.5%). Mice born to old mothers exhibited shorter
retention trial latency in passive avoidance task and longer latency to find the
platform in MWM, suggesting worse performance on the tests that measure learning
and memory. Serum alpha-klotho level was lower in old female mice before
pregnancy, whereas became comparable after pregnancy. Vitamin D receptor (VDR)
expression, both in mRNA and protein, markedly decreased during the early stage
of fetoplacental unit in old mice, especially in trophoblast giant cells when
compared with that of young mice. Importantly, consistent with fetoplacental
unit, VDR expression also declined in hippocampus from offspring born to old
mice. These results suggest that young adult offspring from aged mothers
exhibited worse cognitive function and the reduced VDR expression during
fetoplacental development might play an important role.
PMID- 29636886
TI - COX-2 contributes to LPS-induced Stat3 activation and IL-6 production in
microglial cells.
AB - Many stimuli including lipopolysaccharide (LPS) could activate microglial cells
to subsequently cause inflammatory nerve injury. However, the mechanism of LPS
induced neuroinflammation in microglial cells is still elusive. Thus, the present
study was undertaken to examine the role of COX-2 in mediating the activation of
Stat3 and the production of IL-6 in BV2 cells challenged with LPS. After 24 h
treatment, LPS dose-dependently enhanced COX-2 expression at both mRNA and
protein levels. Meanwhile, IL-6 with other inflammatory cytokines including IL
1beta, TNF-alpha, and MCP-1 were similarly enhanced by LPS. Then a specific COX-2
inhibitor (NS-398) was administered to BV2 before LPS treatment. Significantly,
COX-2 inhibition suppressed the upregulation of IL-6 at both mRNA and protein
levels in line with the trend blockade on IL-1beta, TNF-alpha, and MCP-1. Stat3
drives proinflammatory signaling pathways and contributes to IL-6 production via
a transcriptional mechanism in many diseases. Here we found that inhibition of
COX-2 entirely blocked LPS-induced Stat3 phosphorylation, which might contribute
to the blockade of IL-6 production to some extent. Meanwhile, COX-2 siRNA
approach largely reproduced the phenotypes shown by specific COX-2 inhibitor in
LPS-treated BV2 cells. Together, these findings suggested that COX-2 might
contribute to LPS-induced IL-6 production possibly through activating Stat3
signaling pathway in microglial cells.
PMID- 29636888
TI - MicroRNA-134-5p promotes high glucose-induced podocyte apoptosis by targeting bcl
2.
AB - Podocyte apoptosis is a typical early feature of diabetic nephropathy (DN), with
loss of nephrin integrity contributing to increased proteinuria in patients with
DN. Emerging evidence shows that microRNAs (miRNAs) play vital roles in the
pathogenesis of DN. Thus, we aimed to further elucidate the role of miRNAs in
podocyte apoptosis in DN. We used db/db and db/m mice maintained under a
continuous feeding regime for 12 weeks. Using microarray analysis, we found
several miRNAs potentially related to podocyte apoptosis. In addition, we
cultured a conditionally immortalized human podocyte cell line in 30 mM D-glucose
and found that miR-134-5p was upregulated in both db/db mice and high-glucose
(HG)-treated podocytes. Upregulation of miR-134-5p was accompanied by podocyte
apoptosis and downregulation of nephrin. Inhibition of miR-134-5p produced the
opposite effect. Dual-luciferase reporter assays showed that miR-134-5p directly
targeted the 3'-untranslated region of the B-cell lymphoma-2 gene (BCL2), and
further study confirmed an increase in bcl-2 protein level in HG-treated
podocytes transfected with anti-miR-134-5p. Knockdown of BCL2 impeded the
antiapoptotic effect of anti-miR-134-5p. Finally, we found that miR-134-5p might
regulate apoptosis in db/db mice and podocytes by targeting BCL2. Taken together,
our findings suggest that miR-134-5p promotes podocyte apoptosis under HG
conditions by targeting BCL2. Our study provides a meaningful approach to
interpret the mechanisms of action of miRNAs involved in DN.
PMID- 29636889
TI - Effect of TEAD4 on multilineage differentiation of muscle-derived stem cells.
AB - TEAD4 is a member of transcriptional enhancer factor (TEF) family of
transcription factors and plays a pivotal role in regulating embryonic
development and muscle regeneration. Known previously, dysfunction of TEAD4 in
mouse myoblasts impairs myotube development. However, the effects of TEAD4 on
multipotency of muscle-derived stem cells (MDSCs) have not been clearly
understood. Recently, bovine MDSCs (bMDSCs) were successfully isolated from adult
bovine muscle. Our derived bMDSCs could differentiate into mesodermal cells,
including myotubes, adipocytes, and osteoid cells. Our results also revealed that
bMDSCs had the capacity to develop into ectodermal and endodermal lineages
including neuron-like cells and insulin-secreting cells. After TEAD4 knock-down
(TEAD4-KD), bMDSCs still kept the original capacity to differentiate into neuron
like cells and insulin-secreting cells, as shown by acquisition of both neuronal
and pancreatic markers normally expressed in differentiated cells. However, up
regulation of CAV3 and betaMHC failed during myogenesis of bMDSCs with TEAD4-KD,
although TEAD4-KD in bMDSCs did not affect osteoid cells and myotube formation.
More interestingly, adipogenic differentiation of TEAD4-KD bMDSCs was
significantly suppressed. During adipogenic differentiation, TEAD4-KD
systematically impaired upregulation of TEAD1, TEAD2, and TEAD3, as well as the
activation of C/EBP2, ADD1, and PPARgamma as the key transcription factors for
adipogenic differentiation. Finally, TEAD4-KD led to the failure of adipogenesis
from bMDSCs. Together, our results support that TEAD4 is essential during
adipogenic differentiation of bMDSCs. It has little effect on myogenesis of
bMDSCs, and does not affect ostegenesis, neurogenesis, or pancreatic
differentiation of bMDSCs. Our findings will be helpful for future study on the
roles of the TEAD family during differentiation of MDSCs, and for controlling
MDSC differentiation for stem cell applications.
PMID- 29636890
TI - Paeoniflorin exerts protective effect on radiation-induced hepatic fibrosis in
rats via TGF-beta1/Smads signaling pathway.
AB - AIM: This study aimed to investigate the protective effects of paeoniflorin (PAE)
on radiation-induced hepatic fibrosis in a rat model. METHODS: Fifty healthy male
Sprague-Dawley rats were randomly assigned to normal control group, hepatic
fibrosis group, and PAE treatment groups. X-ray exposure was employed to
establish radiation-induced hepatic fibrosis model. PAE was administered once
daily, and rats were sacrificed at week 26 after irradiation. The liver
histopathology was evaluated under a light microscope after HE staining and
Masson staining. Meanwhile, the protein expression of transforming growth factor
beta 1 (TGF-beta1), Smad3/4 and Smad7 was detected by immunohistochemistry.
RESULTS: Radiation-induced liver damage and collagen deposition were observed in
the model group as compared to normal control group, but PAE treatment
significantly attenuated the liver injury and reduce collagen deposition (P<0.05
or 0.01). The hepatic hydroxyproline content and serum levels of TGF-beta1,
hyaluronic acid, ro-collagen type III and laminin markedly increased in model
group as compared to control group (P<0.01), but they decreased dramatically
after PAE treatment. The expression of TGF-beta1, Smad3/4 and Smad7 in the liver
increased significantly in model group as compared to control group (P<0.01), and
PAE could down-regulate the expression of Smad3/4 and up-regulate Smad7
expression (P<0.05 or 0.01). The activities of serum amino-transferase and
aspartate aminotransferase were significantly higher in hepatic fibrosis group
than in normal control group, but PAE treatment markedly reduced them (P<0.05).
CONCLUSION: PAE can inhibit the radiation induced hepatic fibrosis via regulating
TGF-beta1/Smads signaling pathway.
PMID- 29636891
TI - Comprehensive analysis of differentially expressed non-coding RNAs and mRNAs in
gastric cancer cells under hypoxic conditions.
AB - Hypoxia commonly occurs in solid cancers, especially in gastric cancer due to its
rapid growth. The ability of gastric cancer cells to survive and progress under
hypoxic conditions has been known for decades, but the mechanisms underlying this
characteristic remain poorly understood. As cancer cells undergo changes in their
genetic profile under certain conditions, we investigated the expression profile
of non-coding RNAs (circRNAs, lncRNAs, and miRNAs) and mRNAs in gastric cancer
MKN-28 cells under hypoxic conditions via sequencing and subsequent bioinformatic
analyses. In addition, quantitative reverse transcription polymerase chain
reaction (qRT-PCR) was used to validate the results. We identified a number of
significantly differentially expressed circRNAs, lncRNAs, miRNAs, and mRNAs in
hypoxia-exposed MKN-28 cells relative to the normoxia control, and results of qRT
PCR were consistent with sequencing data. Pathway enrichment analyses revealed
the principal functions of the significantly deregulated genes. Furthermore,
examination of co-expression and competing endogenous RNA (ceRNAs) networks
illustrated the complex regulatory pathways among non-coding RNAs and mRNAs,
implicating these pathways in gastric cancer. In conclusion, our findings provide
a novel perspective on non-coding RNAs and mRNAs and lay the foundation for
future research on the potential roles of non-coding RNAs in gastric cancer under
hypoxic conditions.
PMID- 29636892
TI - Extracorporeal shock wave markedly alleviates radiation-induced chronic cystitis
in rat.
AB - This study tested the hypothesis that extracorporeal shock wave (ECSW) treatment
can effectively inhibit radiation-induced chronic cystitis (CC). Adult male
Sprague-Dawley (SD) rats (n = 24) were randomly divided into group 1 (normal
control), group 2 (CC induced by radiation with 300 cGy twice with a four-hour
interval to the urinary bladder), group 3 [CC with ECSW treatment (0.2 mJ/mm2/120
impulses/at days 1, 7, and 14 after radiation)]. Bladder specimens were harvested
by day 28 after radiation. By day 28 after radiation, the degree of detrusor
contraction impairment was significantly higher in group 2 than that in groups 1
and 3, and significantly higher in group 3 than that in group 1 (P<0.0001). The
urine albumin concentration expressed an opposite pattern compared to that of
detrusor function among the three groups (P<0.0001). The bladder protein
expressions of inflammatory (TLR-2/TLR-4/IL-6/IL-12/MMP-9/TNF-alpha/NF
kappaB/RANTES/iNOS) and oxidative-stress (NOX-1/NOX-2/oxidized protein)
biomarkers exhibited a pattern identical to that of urine albumin in all groups
(all P<0.0001). The cellular expressions of inflammatory (CD14+/CD68+/CD74+/COX
2/MIF+/substance P+) and cytokeratin (CK13+/HMW CK+/CK+17/CK+18/CK+19)
biomarkers, and collagen-deposition/fibrotic areas as well as epithelial-damaged
score displayed an identical pattern compared to that of urine albumin among the
three groups (all P<0.0001). In conclusion, ECSW treatment effectively protected
urinary bladder from radiation-induced CC.
PMID- 29636893
TI - A 3D-printed passive ultrasound phase-interference compensator for reduced wave
degradation in cancellous bone - an experimental study in replica models.
AB - The current 'active' solution to overcome the impediment of ultrasound wave
degradation associated with transit-time variation in complex tissue structures,
such as the skull, is to vary the transmission delay of ultrasound pulses from
individual transducer elements. This article considers a novel 'passive' solution
in which constant transit time is achieved by propagating through an additional
material layer positioned between the ultrasound transducer and the test sample.
To test the concept, replica models based on four cancellous bone natural tissue
samples and their corresponding passive ultrasound phase-interference compensator
were 3D-printed. Normalised broadband ultrasound attenuation was used as a
quantitative measure of wave degradation, performed in transmission mode at a
frequency of 1 MHz and yielding a reduction ranging from 57% to 74% when the
ultrasound phase-interference compensator was incorporated. It is suggested that
the passive compensator offers a broad utility and, hence, it may be applied to
any ultrasound transducer, of any complexity (single element or array), frequency
and dimension.
PMID- 29636894
TI - A temporal examination of calcium signaling in cancer- from tumorigenesis, to
immune evasion, and metastasis.
AB - Background: Although the study of calcium (Ca2+) is classically associated with
excitable cells such as myocytes or neurons, the ubiquity of this essential
element in all cellular processes has led to interest in other cell types. The
importance of Ca2+ to apoptosis, cell signaling, and immune activation is of
special import in cancer. Main: Here we review the current understanding of Ca2+
in each of these processes vital to the initiation, spread, and drug resistance
of malignancies. We describe the involvement of Ca2+, and Ca2+ related proteins
in cell cycle checkpoints and Ca2+ dependent apoptosis and discuss their roles in
cellular immortalization. The role of Ca2+ in inter-cellular communication is
also discussed in relevance to tumor-stromal communication, angiogenesis, and
tumor microinvasion. The role that Ca2+ plays in immune surveillance and evasion
is also addressed. Finally, we discuss the possibility of targeting Ca2+ singling
to address the most pressing topics of cancer treatment: metastatic disease and
drug resistance. Conclusion: This review discusses the current understanding of
Ca2+ in cancer. By addressing Ca2+ facilitated angiogenesis, immune evasion,
metastasis, and drug resistance, we anticipate future avenues for development of
Ca2+ as a nexus of therapy.
PMID- 29636896
TI - Case Report: Mucus plug in bronchus mimicking a bronchial solid foreign body
obstruction.
AB - Bronchial foreign body obstruction is common in all clinical settings.
Obstruction of the airway due to foreign bodies and foreign body aspiration are
major causes of childhood mortality and morbidity, which are a big challenge to
manage. Occasionally, bronchial obstruction may be due to mucus plugs or other
endogenous factors. Here we describe a case of bronchial obstruction caused by
mucus plug formation that was managed conservatively in a one-year old boy. The
patient was suffering from a cough and noisy breathing for 2 days prior to coming
to our hospital, when he experienced sudden onset of difficulty in breathing and
a severe cough. At the time of presentation his vital sign readings were:- HR 186
bpm, RR 46/min, BP 78/40 MmHg, temp 36.9 degrees C and SPO2 68%. He was given
oxygen immediately and nebulization was started. Chest CT scan was performed that
suggested the presence of a right bronchial foreign body with right sided
obstructive emphysema. The patient was stable with oxygenation and nebulization
with ipratropium bromide, albuterol, normal saline and budesonide before the CT
scan. Therefore, we conclude that symptoms resembling foreign body obstruction
are not always aspirated or inhaled, and sometimes secreted sputum forms a plug,
which mimics the symptoms of foreign body obstruction.
PMID- 29636897
TI - Monocytes isolated by positive and negative magnetic sorting techniques show
different molecular characteristics and immunophenotypic behaviour.
AB - Background: Magnetic sorting of cells, based on microbead conjugated antibodies
(Abs), employs positive as well as negative immunomagnetic separation methods,
for isolation of a specific cell population. These microbeads are suggested to be
nontoxic, biodegradable carriers conjugated to various antibodies. Isolation of
cells through positive selection involves the attachment of antibody conjugated
microbeads to the cells of interest, followed by their isolation in the presence
of a strong magnetic field to obtain higher purity. Negative selection involves
attachment of microbead conjugated antibodies to all other cell populations
except the cells of interest, which remain untagged. In the present study, we
compared the two methods for their effect on functional and immunophenotypic
behavior of isolated CD14+ monocytes. Methods: Peripheral blood mononuclear cells
(PBMCs) were isolated from blood collected from healthy volunteers by density
gradient centrifugation. Human blood derived monocytes were isolated through
positive selection and negative selection, making use of the appropriate monocyte
isolation kit. Monocytes were then stimulated with lipopolysaccharide (LPS) and
their activation and proliferation capacity were examined. The degradation or
dissociation of cell-bound microbeads was also investigated. Results: We observed
an impaired LPS sensitivity as well as poor activation and proliferation capacity
upon stimulation by LPS in positively sorted CD14+ monocytes as compared to
negatively sorted CD14+ monocytes. The attached microbeads did not degrade and
remained attached to the cells even after 6 days of culture. Conclusions: Our
results suggest that positively sorted CD14+ cells exhibit hampered functionality
and may result in inaccurate analysis and observations in downstream
applications. However, these cells can be used for immediate analytical
procedures.
PMID- 29636895
TI - Pollen and spore monitoring in the world.
AB - Background: Ambient air quality monitoring is a governmental duty that is widely
carried out in order to detect non-biological ("chemical") components in ambient
air, such as particles of < 10 um (PM10, PM2.5), ozone, sulphur dioxide, and
nitrogen oxides. These monitoring networks are publicly funded and air quality
data are open to the public. The situation for biological particles that have
detrimental effects on health, as is the case of pollen and fungal spores, is
however very different. Most pollen and spore monitoring networks are not
publicly funded and data are not freely available. The information regarding
which biological particle is being monitored, where and by whom, is consequently
often not known, even by aerobiologists themselves. This is a considerable
problem, as local pollen data are an important tool for the prevention of
allergic symptoms. Objective: The aim of this study was to review pollen
monitoring stations throughout the world and to create an interactive
visualization of their distribution. Methods: The method employed to collect
information was based on: (a) a review of the recent and historical bibliography
related to pollen and fungal spore monitoring, and (b) personal surveys of the
managers of national and regional monitoring networks. The interactive
application was developed using the R programming language. Results: We have
created an inventory of the active pollen and spore monitoring stations in the
world. There are at least 879 active pollen monitoring stations in the world,
most of which are in Europe (> 500). The prevalent monitoring method is based on
the Hirst principle (> 600 stations). The inventory is visualised as an
interactive and on-line map. It can be searched, its appearance can be adjusted
to the users' needs and it is updated regularly, as new stations or changes to
those that already exist can be submitted online. Conclusions: The map shows the
current situation of pollen and spore monitoring and facilitates collaboration
among those individuals who are interested in pollen and spore counts. It might
also help to improve the monitoring of biological particles up to the current
level employed for non-biological components.
PMID- 29636898
TI - Matchmaking in Bioinformatics.
AB - Ever return from a meeting feeling elated by all those exciting talks, yet unsure
how all those presented glamorous and/or exciting tools can be useful in your
research? Or do you have a great piece of software you want to share, yet only a
handful of people visited your poster? We have all been there, and that is why we
organized the Matchmaking for Computational and Experimental Biologists Session
at the latest ISCB/GLBIO'2017 meeting in Chicago (May 15-17, 2017). The session
exemplifies a novel approach, mimicking "matchmaking", to encouraging
communication, making connections and fostering collaborations between
computational and non-computational biologists. More specifically, the session
facilitates face-to-face communication between researchers with similar or
differing research interests, which we feel are critical for promoting productive
discussions and collaborations. To accomplish this, three short scheduled talks
were delivered, focusing on RNA-seq, integration of clinical and genomic data,
and chromatin accessibility analyses. Next, small-table developer-led
discussions, modeled after speed-dating, enabled each developer (including the
speakers) to introduce a specific tool and to engage potential users or other
developers around the table. Notably, we asked the audience whether any other
tool developers would want to showcase their tool and we thus added four
developers as moderators of these small-table discussions. Given the positive
feedback from the tool developers, we feel that this type of session is an
effective approach for promoting valuable scientific discussion, and is
particularly helpful in the context of conferences where the number of
participants and activities could hamper such interactions.
PMID- 29636899
TI - archiDART v3.0: A new data analysis pipeline allowing the topological analysis of
plant root systems.
AB - Quantifying plant morphology is a very challenging task that requires methods
able to capture the geometry and topology of plant organs at various spatial
scales. Recently, the use of persistent homology as a mathematical framework to
quantify plant morphology has been successfully demonstrated for leaves, shoots,
and root systems. In this paper, we present a new data analysis pipeline
implemented in the R package archiDART to analyse root system architectures using
persistent homology. In addition, we also show that both geometric and
topological descriptors are necessary to accurately compare root systems and
assess their natural complexity.
PMID- 29636900
TI - How to prevent the next Marathon Pharmaceuticals.
AB - In recent years, several drug companies have exploited U.S. regulatory policies
to acquire exclusive rights to cheap therapies and substantially raise their
prices, and Federal agencies and state governments are exploring various ways to
prevent or punish such behavior in the future. Among these cases, however,
Marathon Pharmaceuticals' handling of Emflaza (deflazacort) is unique, because
the drug was previously only available abroad, and was never previously sold in
the U.S. before the company obtained FDA approval for it. Thus, laws and policies
designed to address price hikes on already-marketed drugs are unlikely to prevent
additional Marathon-like scenarios. In this article, we describe in more detail
the unique features of Emflaza compared with these other recent cases of drug
price increases, determine the likelihood that similar situations will arise in
the future, and explore legislative and administrative options to specifically
prevent such behavior.
PMID- 29636901
TI - Case Report: III degrees atrioventricular block due to fulminant myocarditis
managed with non-invasive transcutaneous pacing.
AB - Fulminant myocarditis is a life-threatening clinical condition. It is the
inflammation of myocardium leading to acute heart failure, cardiogenic shock and
cardiac arrhythmias. Incidence of fulminant myocarditis is low and mortality is
high. Most grievous complications of fulminant myocarditis is mainly cardiac
arrhythmias; if there is delay on active management of the patient, it may be
fatal. Here, we describe a case of III degrees atrioventricular block due to
fulminant myocarditis that was managed with non-invasive transcutaneous cardiac
pacing in the absence of ECMO. The non-invasive transcutaneous pacemaker is a
safe, effective and convenient device to revert arrhythmias.
PMID- 29636902
TI - Using electronic biology based platform to predict flu vaccine efficacy for
2018/2019.
AB - Flu epidemics and potential pandemics pose great challenges to public health
institutions, scientists and vaccine producers. Creating right vaccine
composition for different parts of the world is not trivial and has been
historically very problematic. This often resulted in decrease in vaccinations
and reduced trust in public health officials. To improve future protection of
population against flu we urgently need new methods for vaccine efficacy
prediction and vaccine virus selection.
PMID- 29636903
TI - Recent advances in managing tricuspid regurgitation.
AB - Isolated tricuspid valve surgery is usually carried out with very high morbidity
and mortality given the complexity of the affected patients. In light of this,
trans-catheter tricuspid valve interventions have been emerging as an attractive
alternative to surgery over the last few years. Although feasibility has been
shown with a number of devices, clinical experience remains preliminary and
associated with significant clinical and technical challenges. Here we describe
currently available trans-catheter treatment options for severe tricuspid
regurgitation implanted in different locations.
PMID- 29636904
TI - New perspectives in the treatment of body dysmorphic disorder.
AB - Body dysmorphic disorder (BDD) is a disabling illness with a high worldwide
prevalence. Patients demonstrate a debilitating preoccupation with one or more
perceived defects, often marked by poor insight or delusional convictions.
Multiple studies have suggested that selective serotonin reuptake inhibitors and
various cognitive behavioral therapy modalities are effective first-line
treatments in decreasing BDD severity, relieving depressive symptoms, restoring
insight, and increasing quality of life. Selective serotonin reuptake inhibitors
have also recently been shown to be effective for relapse prevention. This review
provides a comprehensive summary of the current understanding of BDD, including
its clinical features, epidemiology, genetics, and current treatment modalities.
Additional research is needed to fully elucidate the relationship between BDD and
comorbid illnesses such as obsessive-compulsive-related disorders and depression
and to develop therapies for refractory patients and those who have
contraindications for pharmacological intervention.
PMID- 29636906
TI - Hazard and risk assessment strategies for nanoparticle exposures: how far have we
come in the past 10 years?
AB - Nanotechnology is an emerging, cross-disciplinary technology designed to create
and synthesize new materials at the nanoscale (generally defined as a particle
size range of <=10 -9 meters) to generate innovative or altered material
properties. The particle properties can be modified to promote different and more
flexible applications, resulting in consumer benefits, particularly in medical,
cosmetic, and industrial applications. As this applied science matures and
flourishes, concerns have arisen regarding potential health effects of exposures
to untested materials, as many newly developed products have not been adequately
evaluated. Indeed, it is necessary to ensure that societal and commercial
advantages are not outweighed by potential human health or environmental
disadvantages. Therefore, a variety of international planning activities or
research efforts have been proposed or implemented, particularly in the European
Union and United States, with the expectation that significant advances will be
made in understanding potential hazards related to exposures in the occupational
and/or consumer environments. One of the first conclusions reached regarding
hazardous effects of nanoparticles stemmed from the findings of early pulmonary
toxicology studies, suggesting that lung exposures to ultrafine particles were
more toxic than those to larger, fine-sized particles of similar chemistry. This
review documents some of the conceptual planning efforts, implementation
strategies/activities, and research accomplishments over the past 10 years or so.
It also highlights (in this author's opinion) some shortcomings in the research
efforts and accomplishments over the same duration. In general, much progress has
been made in developing and implementing environmental, health, and safety
research-based protocols for addressing nanosafety issues. However, challenges
remain in adequately investigating health effects given 1) many different
nanomaterial types, 2) various potential routes of exposure, 3) nanomaterial
characterization issues, 4) limitations in research methodologies, such as time
course and dose-response issues, and 5) inadequate in vitro methodologies for in
vivo standardized, guideline toxicity testing.
PMID- 29636905
TI - Recent advances in cardiac catheterization for congenital heart disease.
AB - The field of pediatric and adult congenital cardiac catheterization has evolved
rapidly in recent years. This review will focus on some of the newer endovascular
technological and management strategies now being applied in the pediatric
interventional laboratory. Emerging imaging techniques such as three-dimensional
(3D) rotational angiography, multi-modal image fusion, 3D printing, and
holographic imaging have the potential to enhance our understanding of complex
congenital heart lesions for diagnostic or interventional purposes. While
fluoroscopy and standard angiography remain procedural cornerstones, improved
equipment design has allowed for effective radiation exposure reduction
strategies. Innovations in device design and implantation techniques have enabled
the application of percutaneous therapies in a wider range of patients,
especially those with prohibitive surgical risk. For example, there is growing
experience in transcatheter duct occlusion in symptomatic low-weight or premature
infants and stent implantation into the right ventricular outflow tract or
arterial duct in cyanotic neonates with duct-dependent pulmonary circulations.
The application of percutaneous pulmonary valve implantation has been extended to
a broader patient population with dysfunctional 'native' right ventricular
outflow tracts and has spurred the development of novel techniques and devices to
solve associated anatomic challenges. Finally, hybrid strategies, combining
cardiosurgical and interventional approaches, have enhanced our capabilities to
provide care for those with the most complex of lesions while optimizing efficacy
and safety.
PMID- 29636907
TI - Rett syndrome from bench to bedside: recent advances.
AB - Rett Syndrome is a severe neurological disorder mainly due to de novo mutations
in the methyl-CpG-binding protein 2 gene ( MECP2). Mecp2 is known to play a role
in chromatin organization and transcriptional regulation. In this review, we
report the latest advances on the molecular function of Mecp2 and the new animal
and cellular models developed to better study Rett syndrome. Finally, we present
the latest innovative therapeutic approaches, ranging from classical pharmacology
to correct symptoms to more innovative approaches intended to cure the pathology.
PMID- 29636908
TI - Epidemiological, behavioural, and clinical factors associated with antimicrobial
resistant gonorrhoea: a review.
AB - Antimicrobial-resistant Neisseria gonorrhoeae is a global public health problem
in the 21st century. N. gonorrhoeae has developed resistance to all classes of
antibiotics used for empirical treatment, and clinical treatment failure caused
by extensively resistant strains has been reported. Identifying specific factors
associated with an increased risk of antimicrobial-resistant N. gonorrhoeae might
help to develop strategies to improve antimicrobial stewardship. In this review,
we describe the findings of 24 studies, published between 1989 and 2017, that
examined epidemiological, behavioural, and clinical factors and their
associations with a range of antimicrobial agents used to treat gonorrhoea.
Antimicrobial-resistant N. gonorrhoeae is more common in older than younger
adults and in men who have sex with men compared with heterosexual men and women.
Antimicrobial-resistant N. gonorrhoeae is less common in some black minority and
Aboriginal ethnic groups than in the majority white population in high-income
countries. The factors associated with antimicrobial-resistant gonorrhoea are not
necessarily those associated with a higher risk of gonorrhoea.
PMID- 29636909
TI - A world without bacterial meningitis: how genomic epidemiology can inform
vaccination strategy.
AB - Bacterial meningitis remains an important cause of global morbidity and
mortality. Although effective vaccinations exist and are being increasingly used
worldwide, bacterial diversity threatens their impact and the ultimate goal of
eliminating the disease. Through genomic epidemiology, we can appreciate
bacterial population structure and its consequences for transmission dynamics,
virulence, antimicrobial resistance, and development of new vaccines. Here, we
review what we have learned through genomic epidemiological studies, following
the rapid implementation of whole genome sequencing that can help to optimise
preventative strategies for bacterial meningitis.
PMID- 29636910
TI - Prospective surveillance of healthcare-associated infections and patterns of
antimicrobial resistance of pathogens in an Italian intensive care unit.
AB - Background: The study aimed to evaluate the distribution of healthcare-associated
infections (HAIs), the incidence rates and device utilization ratio (DUR) of
device-associated infections (DAIs), as well as the distribution and patterns of
antimicrobial resistance of the responsible pathogens. Methods: Eligible patients
who were admitted to an adult Intensive Care Unit (ICU) from May 1, 2013 to
December 31, 2016 were included in the surveillance. Demographics, intrinsic and
extrinsic risk factors, information regarding infection and isolated pathogens
with antibiogram results were collected. Results: One thousand two hundred eighty
three patients were included in the surveillance. One hundred forty-seven HAIs
were detected with a cumulative incidence of 9.2 per 100 patients 4-year period
and an incidence rate of 17.4 per 1000 patient days. Fifty-six out of 1283
patients were affected by at least one episode of ICU-acquired pneumonia, and
72.7% of these were associated with intubation. ICU-acquired bloodstream
infections (BSIs) occurred in 4.4% of patients and 89.5% were catheter-related.
ICU-acquired urinary tract infections (UTIs) occurred in 1% of patients, with
84.6% of the episodes being associated with the use of an urinary catheter. The
pattern of antimicrobial-resistance in the isolates showed, among the Gram
positive bacteria, that 66.6% and 16.6% of Staphylococcus epidermidis were
oxacillin and teicoplanin resistant, respectively. Among the Gram-negative
bacteria, carbapenem resistance was found in 91.6% of Acinetobacter baumannii and
28.5% of Klebsiella pneumoniae isolates. Conclusions: The majority of HAIs in the
ICU studied were associated with the use of invasive devices. Since a significant
proportion of these HAIs are considered preventable, reinforcement of the
evidence-based preventive procedures are needed.
PMID- 29636911
TI - Strain, disinfectant, concentration, and contact time quantitatively impact
disinfectant efficacy.
AB - Background: Transmission of healthcare-associated infections caused by antibiotic
and multi-drug resistant (MDR) pathogens (e.g. Methicillin-resistant
Staphylococcus aureus (MRSA), Pseudomonas aeruginosa) are a major concern in
patient care facilities. Disinfectant usage is critical to control and prevent
pathogen transmission, yet the relationships among strain, disinfectant type,
contact time, and concentration are not well-characterized. We hypothesized that
there would be significant differences in disinfectant efficacy among clinically
relevant strains under off-label disinfectant conditions, but there would be less
no differences among at registered label use concentrations and contact times.
The purpose of this study was to quantify the effect of disinfectant
concentration and contact time on the bactericidal efficacy of clinically
relevant strains of S. aureus and P. aeruginosa. Methods: Accelerated hydrogen
peroxide (AHP), quaternary ammonium compounds (Quat), and sodium hypochlorite
were tested at label and reduced contact times and concentrations against four
MDR P. aeruginosa strains and four MRSA strains. Quantitative EPA method MB-25-02
was used to measure disinfectant efficacy reported as log10 reduction. Results:
Both off-label disinfectant concentrations and contact times significantly
affected efficacy of all disinfectants tested. Bactericidal efficacy varied among
MRSA and P. aeruginosa strains. Conclusions: The quantitative disinfectant
efficacy method used highlights the inter-strain variability that exists within a
bacterial species. It also underscores the need for a disinfectant validation
method that takes these variances into account.
PMID- 29636912
TI - Bayesian Gaussian regression analysis of malnutrition for children under five
years of age in Ethiopia, EMDHS 2014.
AB - Background: The term malnutrition generally refers to both under-nutrition and
over-nutrition, but this study uses the term to refer solely to a deficiency of
nutrition. In Ethiopia, child malnutrition is one of the most serious public
health problem and the highest in the world. The purpose of the present study was
to identify the high risk factors of malnutrition and test different statistical
models for childhood malnutrition and, thereafter weighing the preferable model
through model comparison criteria. Methods: Bayesian Gaussian regression model
was used to analyze the effect of selected socioeconomic, demographic, health and
environmental covariates on malnutrition under five years old child's. Inference
was made using Bayesian approach based on Markov Chain Monte Carlo (MCMC)
simulation techniques in BayesX. Results: The study found that the variables such
as sex of a child, preceding birth interval, age of the child, father's education
level, source of water, mother's body mass index, head of household sex, mother's
age at birth, wealth index, birth order, diarrhea, child's size at birth and
duration of breast feeding showed significant effects on children's malnutrition
in Ethiopia. The age of child, mother's age at birth and mother's body mass index
could also be important factors with a non linear effect for the child's
malnutrition in Ethiopia. Conclusions: Thus, the present study emphasizes a
special care on variables such as sex of child, preceding birth interval,
father's education level, source of water, sex of head of household, wealth
index, birth order, diarrhea, child's size at birth, duration of breast feeding,
age of child, mother's age at birth and mother's body mass index to combat
childhood malnutrition in developing countries.
PMID- 29636913
TI - Arachnoid diverticulum diagnosis following treatment of cryptococcal meningitis
in a dog.
AB - Successful long-term treatment of cryptococcal meningitis in dogs is clinically
challenging. In humans, there are only rare case reports of complications post
treatment including arachnoid diverticula. Combination antifungal therapy is
standard of practice in human medicine and should be considered in veterinary
patients.
PMID- 29636914
TI - Combined mitral valve repair and heart transplantation.
AB - In times of donor organ shortage, organs with extended allocation criteria, for
example, valve pathologies, have to be taken into consideration for
transplantation. The donor pool can be extended to hearts with mitral valve
insufficiency. Mitral valve repair can rapidly be performed in the donor heart on
the back table with excellent results.
PMID- 29636915
TI - Multiple cerebral infarction revealing Takayasu's disease: a case report in a 32
year-old man from Cameroon, sub-Saharan Africa.
AB - This case suggests that young patients with few vascular risk factors, and who
present with acute stroke syndrome involving more than one vascular territory
should be screened for an inflammatory or infectious cause.
PMID- 29636916
TI - Is shock impedance value alone to be considered a good predictor for shock
efficacy in subcutaneous implantable cardioverter defibrillator?
AB - Subcutaneous implantable cardioverter defibrillator (S-ICD) is easy to implant,
with poor risks of the patient. However, fat is a poor current conductor and
increases defibrillation threshold. As shock impedance alone should not be
considered a good efficacy predictor of an S-ICD system, an X-ray latero-lateral
view for lead position should be achieved.
PMID- 29636917
TI - Solitary metastasis of ampullary carcinoma to the spleen: a case report.
AB - Here, we report a first case of ampullary cancer with solitary metastasis of the
spleen, which was successfully treated with pancreatoduodenectomy and splenectomy
and was discharged 7 days after the operation with outpatient chemotherapy. In
such cases, physicians should consider splenectomy as an effective treatment
option.
PMID- 29636918
TI - Patent Blue dye allergy and the deep inferior epigastric perforator free flap: a
unique interaction.
AB - Patent Blue dye for sentinel lymph node biopsy is associated with systemic
allergic response and generalized blue hue. We report a unique case of successful
free flap transfer in this setting. Despite inotropic support and abnormal blue
hue, allergic response does not preclude safe flap transfer and monitoring.
PMID- 29636919
TI - Attention deficit/hyperactivity disorder as an associated feature in OCTN2
deficiency with novel deletion (p.T440-Y449).
AB - This boy presented with ADHD at 3 years and at 8 years was hyperactive with no
documented hypoglycemia and had myopathy, cardiomyopathy, and very low serum
carnitine. L-carnitine improved his exercise intolerance, cardiomyopathy, and
behavior. Analysis of SLC22A5 revealed a premature stop codon (p.R282*) and a
novel in-frame deletion (p.T440-Y449).
PMID- 29636920
TI - Prenatally diagnosed distal 16p11.2 microdeletion with a novel association with
congenital diaphragmatic hernia: a case report.
AB - A prenatal case presenting with congenital diaphragmatic hernia (CDH) and distal
16p11.2 microdeletion suggests two possible causative hypotheses: (1) a
functional effect of chromatin loopings between the distal and the proximal
16p11.2 microdeletion traits, associated with CHD; (2) a possible role of ATP2A1,
a deleted gene involved in diaphragm development.
PMID- 29636921
TI - Not everything is as it seems: neurosarcoidosis presenting as leptomeningitis.
AB - Involvement of the central nervous system in sarcoidosis is rare;
neurosarcoidosis, although unusual, can present as leptomeningitis. The diagnosis
is usually difficult because of the vague and broad symptomatology; therefore, a
prompt diagnosis should be made, and adequate treatment should be administered to
reduce morbidity and mortality.
PMID- 29636922
TI - Hyperammonemic encephalopathy in a patient receiving fluorouracil/oxaliplatin
chemotherapy.
AB - Hyperammonemia is a rare adverse effect of 5-fluorouracil (5-FU) therapy, but can
be very serious, even fatal. Physicians must be aware that hyperammonemic
encephalopathy sometimes develops as an adverse event after 5-FU therapy.
PMID- 29636923
TI - A case of immunoglobulin G4-related aortic diseases.
AB - A 65-year-old man had histories of retroperitoneal fibrosis, membranous
nephropathy, and acute coronary syndrome. Chest computed tomography showed an
enlarged ascending aorta and type B aortic dissection, and he underwent ascending
aorta and arch replacement. A pathological examination of the resected aorta
showed immunoglobulin G4-positive plasma cell infiltration.
PMID- 29636924
TI - A case of late orthostatic headache secondary to lumbar hidden dural fistula 20
months after epidural anesthesia.
AB - The clinical recognition of CSF fistula is a clinical challenge. We report the
case of a young woman, who presented with a late orthostatic headache 20 months
after epidural anesthesia. She developed a lumbar dural fistula of CSF confirmed
in myelography CT scanning and treated successfully with epidural blood patch.
PMID- 29636925
TI - A case report of chromosome 17q22-qter trisomy with distinct clinical
presentation and review of the literature.
AB - Terminal 17q trisomy is very rare but a recognizable genetic syndrome. The
majority of cases reported are inherited from a balanced translocation carrier.
This syndrome involves many organs and the severity ranges from mild to severe
depending on the size of the 17q gain.
PMID- 29636926
TI - Giant cell myocarditis causing refractory ventricular tachycardia in a pediatric
patient.
AB - Giant cell myocarditis should be considered in all pediatric patients with
refractory ventricular arrhythmia. Endomyocardial biopsy should be obtained to
confirm the diagnosis of giant cell myocarditis.
PMID- 29636927
TI - Low-pitch peripheral systolic murmur associated with pulmonary embolism in the
acute phase: a report of two cases.
AB - Acute pulmonary embolism with significant right ventricular strain could be
associated with a low-pitch peripheral systolic murmur radiating to the axillae.
PMID- 29636928
TI - Bilateral bullous keratopathy secondary to melting keratitis in a Suri alpaca
(Vicugna pacos).
AB - An young alpaca was evaluated for bilateral progressive melting corneal ulcers
and developped secondary bullous keratopathy during hospitalization. The tragic
progression of melting ulcers in both eyes observed in our case leads us to
recommend a rapid intensive medical therapy in young and debilitated alpacas
presenting a corneal ulcer.
PMID- 29636929
TI - PostOperative ST-segment elevation: not a blocked coronary artery, then what?
AB - ST-segment elevation is well known for its diagnostic value for transmural
myocardial infarction due to acute thrombotic occlusion of a coronary artery, and
often requires emergency reperfusion therapy. However, ST segment is by no means
pathognomonic for acute coronary events. Here, we report a case of ST-segment
elevation after hepatectomy secondary to an unusual etiology.
PMID- 29636930
TI - Frontline brentuximab vedotin in breast implant-associated anaplastic large-cell
lymphoma.
AB - We report a woman who developed BIA-ALCL 9 years after saline implant placement.
The lymphoma manifested as a mass lesion associated with axillary
lymphadenopathy. She was successfully treated with brentuximab vedotin with
minimal toxicity. Brentuximab vedotin may be a promising frontline therapeutic
modality for patients with BIA-ALCL.
PMID- 29636931
TI - A superelderly case of TAFRO syndrome treated effectively using corticosteroid
hormones.
AB - Peripheral lymphocyte subsets may be less time-consuming and are a prognostic
tool for managing thrombocytopenia, anasarca, myelofibrosis, renal dysfunction,
and organomegaly (TAFRO) syndrome. Here, we report a superelderly case of plasma
cell type TAFRO syndrome treated effectively using corticosteroid hormones.
PMID- 29636932
TI - Successful treatment of refractory TAFRO syndrome with elevated vascular
endothelial growth factor using thyroxine supplements.
AB - Although the clinical significance of hypothyroidism in TAFRO syndrome is
unknown, vascular endothelial growth factor (VEGF) levels decreased with
improvements in the condition of our refractory TAFRO cases after thyroxine
supplement therapy. Our results indicate that elevated VEGF levels are a
potential factor in the pathogenesis and anasarca of TAFRO syndrome with
hypothyroidism.
PMID- 29636933
TI - Fever in a transplant recipient: think beyond infection.
AB - Immune reconstitution inflammatory syndrome (IRIS) is an exaggerated inflammatory
cascade initiated in response to immune recovery during the resolution of an
infection. Reduction in calcineurin inhibitor levels in organ transplant
recipients due to enhanced metabolism from interaction with rifampin can
predispose these individuals to develop IRIS during the treatment of tuberculosis
and mimic sepsis.
PMID- 29636934
TI - Bladder squamous cell cancer accompanied by Trousseau's syndrome: a case report.
AB - The association between thrombosis and cancer has been recognized since
Trousseau's report in 1865. We present a case of bladder squamous cell carcinoma
associated with multiple cerebral infarctions. This patient was diagnosed as
having Trousseau's syndrome and received radiotherapy for bladder cancer
treatment, along with anticoagulation therapy.
PMID- 29636935
TI - Neonatal adrenal findings: significance and diagnostic approach. Description of
two cases.
AB - Abnormal adrenal findings such as hemorrhage or calcifications in the neonate can
stem from a variety of etiologies. Clinical presentation can vary significantly
based on the degree of hemorrhage or the associated condition. Thorough work-up
is important to rule out critical underlying conditions as well as adrenal
insufficiency.
PMID- 29636936
TI - Human Appeasing Pheromone (HAP) influence on behavior and psychopathological
residual symptoms of patients with complex psychiatric disorders.
AB - This article is a case-report series showing the effectiveness of a three-month
exposure to a synthetic analogue of Human Appeasing Pheromone as add-on strategy
to psychopharmacological treatment on behavioral and residual symptoms of three
patients suffering from severe psychiatric disorders with complex clinical
pictures.
PMID- 29636937
TI - Epiconus syndrome induced only in the erect standing position in a patient with
L1 compression fracture: a representative case report.
AB - In daily practice, when CT or MRI does not show a pathological lesion in a
patient with persistent abnormal neurological signs, it is important to obtain
imaging studies of the spine in dynamic position.
PMID- 29636938
TI - Adult intussusceptions induced by a terminal ileum diverticulum: a case report.
AB - We herein report a case of adult intussusceptions induced by a terminal ileum
diverticulum. Histological examination confirmed a terminal ileum diverticulum
full of feces, and it was considered an infiltrated region. The clinical
characteristics of previously reported adult intussusceptions are also discussed,
including jejunoileal diverticulum and surgical management.
PMID- 29636939
TI - Malignant transformation of phyllodes tumor: a case report and review of
literature.
AB - Malignant phyllodes may transform from benign phyllodes; low-aggressive malignant
phyllodes tumor is manageable by locally wide excision.
PMID- 29636940
TI - A point mutation in Phe71Ser in glycoprotein IX as a genetic cause of Bernard
Soulier syndrome: case report.
AB - We need to be aware of rare causes of persistent thrombocytopenia as Bernard
Soulier syndrome (BSS). When BSS is suspected based on family history and giant
platelets, genetic test for mutations of GPIbIXV is necessary. Management varies
once you recognize the cause. Platelets transfusion and antifibrinolytics are the
mainstay of therapy.
PMID- 29636941
TI - Unusual presentation of oral pyogenic granulomas: a review of two cases.
AB - Pyogenic granuloma is a benign vascular neoplasm of the oral cavity that usually
presents as a small nodular lesion, the gingiva being the commonest site.
Occasionally it occurs at uncommon sites with unusual sizes. Here we describe two
cases of oral pyogenic granulomas that had an unusual presentation.
PMID- 29636942
TI - A vascular anomaly of the iliac artery in a patient with VATER association.
AB - In patients with VATER association, some have vascular anomaly that makes
procedure difficult. Pretreatment CT angiography should be necessary for the
patients with VATER association's feature.
PMID- 29636943
TI - Systemic thrombolysis and endovascular thrombectomy in severe acute ischemic
stroke after dabigatran reversal with idarucizumab.
AB - Patients presenting with an acute ischemic stroke despite dabigatran therapy
(last intake <24 h or unknown) should be evaluated for reversal by idarucizumab,
making them eligible for safe and effective intravenous thrombolysis. It has been
shown to be feasible, well-tolerated, and easy to manage in an emergency room or
stroke unit.
PMID- 29636944
TI - Successful management of extremely high-output refractory congenital chylothorax
with chemical pleurodesis using 4% povidone-iodine and propranolol: a case
report.
AB - First-line therapy for congenital chylothorax is conservative treatment. However,
surgical intervention or chemical pleurodesis is required for refractory cases.
With all the concerns regarding its complications, povidone-iodin provided a
successful management for a high-output congenital chylothorax. However, renal
and thyroid function must be monitored during treatment.
PMID- 29636945
TI - Pacemaker implantation in a challenging anatomy: isolated persistent left
superior vena cava and azygos continuation of interrupted inferior vena cava.
AB - Isolated persistent left superior vena cava (SVC) in the absence of right SVC is
a rare congenital variant of thoracic venous drainage with the left subclavian
and jugular veins that drain into the right atrium through the coronary sinus.
Inferior vena cava interruption with azygos continuation is another congenital
anomaly resulting in venous drainage of the lower extremities via a typically
dilated azygos vein. Although both variants are generally asymptomatic and
incidentally detected, these can have clinical implications in specific
circumstances and in particular during device implantation. We report a case of
pacemaker implantation in which both anatomical variants were present.
PMID- 29636946
TI - A case of patient with renal lupus with an initial presentation of hemolytic
uremic syndrome triggered by streptococcal infection.
AB - Systemic lupus erythematosus (SLE) is a systemic disease that is presented in a
myriad of ways. Renal involvement is common in SLE and usually presents
clinically as glomerulonephritis. We describe patients with SLE presented
initially with hemolytic uremic syndrome which is a distinctive initial
presentation.
PMID- 29636947
TI - Diagnostic challenges in a patient with an occult insulinoma:68 Ga-DOTA-exendin-4
PET/CT and 68Ga-DOTATATE PET/CT.
AB - Despite growing evidence for GLP-1R molecular-based imaging, successful
localization of insulinomas may require the use of multiple imaging modalities.
Not all benign insulinomas express the GLP-1R as expected. Our case demonstrates
that there is a still an important role for traditional methods for the
anatomical localization of an insulinoma.
PMID- 29636948
TI - Durable treatment response of relapsing CNS plasmacytoma using intrathecal
chemotherapy, radiotherapy, and Daratumumab.
AB - CNS myelomatous involvement is a rare complication of multiple myeloma with
dismal outcome. This disease's optimal treatment is unclear. Combined approach of
systemic therapy, radiotherapy, and intrathecal injections chemotherapy should be
considered and autologous stem cell transplant consolidation is offered to
eligible patients. The role of Daratumumab in this disease deserves further
evaluation.
PMID- 29636949
TI - Bilaterally enlarged adrenal glands without obvious cause: need for a
multidisciplinary diagnostic work-up.
AB - Bilateral enlarged adrenal glands are rare, and as diagnostic delay may have
serious consequences for the patient, we recommend a multidisciplinary approach
of specialists in the field of endocrinology, oncology, radiology, and clinical
chemistry prior to the start of the diagnostic work-up.
PMID- 29636950
TI - Observational study of laryngoscopy plus flow-volume loops during exercise.
AB - Laryngoscopy is the gold standard to diagnose exercise-induced laryngeal
obstruction, though inspiratory flow-volume loop may provide a clue. We combined
tidal flow-volume loop analysis plus laryngoscopy during exercise and found that
cigar-shaped - not flattened - inspiratory loops are associated with obstruction.
Pursed-lip breathing slows inhalation thereby reducing vocal fold adduction.
PMID- 29636951
TI - Detection of Taenia saginata infection mimicking Crohn's disease using video
capsule endoscopy.
AB - Capsule endoscopy was used to detect intestinal Taenia saginata infection in a 19
year-old man. The patient was initially believed to have Crohn's disease due to a
notable family history of the disease. Capsule endoscopy is a valuable tool for
diagnosing tapeworm infection even when Crohn's disease is suspected.
PMID- 29636952
TI - Invasive tracheobronchial aspergillosis developed during radioimmunotherapy for
malignant lymphoma.
AB - Invasive pulmonary aspergillosis (IPA) often occurs during the treatment of
malignant lymphoma. However, invasive tracheobronchial aspergillosis (ITBA) is a
rare form of IPA. Particularly, due to the decrease in immunity associated with
chemotherapy, it is difficult to diagnose ITBA only by CT imaging and serological
findings. Pathologic diagnosis by bronchoscopy is important.
PMID- 29636953
TI - Angioimmunoblastic T-cell lymphoma: a rare subtype of peripheral T-cell lymphoma.
AB - Angioimmunoblastic T-cell lymphoma (AITL) is a rare form of NHL and usually
presents in the late stage due to the atypical laboratory findings.
Immunohistochemistry of the lymph node in AITL is characterized by positive CD2,
CD3, CD4, CD10, CXCL-13, PD1 often BCL-6 and CD20 positive. Meshworks of
follicular dendritic cells are seen outside follicles with CD21 and CD23 stains.
EBV can be often positive as well. Autologous transplantation should be offered
in the first remission as poor outcome is reported with anthracycline-containing
regimens.
PMID- 29636954
TI - Simultaneous finding of chronic lymphocytic leukemia and residual hairy cell
leukemia using a lymphocyte-binding anti-CD antibody microarray.
AB - The morphologic diagnosis of hairy cell leukemia coexisting with another
lymphoproliferative disorder is hindered by the small size of hairy cell
population. It can be simplified by presorting peripheral blood mononuclear cell
using an anti-CD antibody microarray on transparent support (including anti
CD11c, CD25, CD103, and CD123) before their morphology analysis.
PMID- 29636955
TI - Intrasinusoidal pattern of bone marrow infiltration by hepatosplenic T-cell
lymphoma.
AB - Hepatosplenic T-cell lymphoma is a rare, aggressive form of extranodal lymphoma,
which frequently involves the bone marrow. An intrasinusoidal pattern of
infiltration is characteristic of the disease and is often best appreciated on
immunohistochemical staining. Bone marrow biopsy can be a useful diagnostic tool.
PMID- 29636956
TI - Coccidioides immitis soft tissue infection mimicking pseudofolliculitis barbae.
AB - Endemic fungal infections can present atypically and should be considered in the
differential diagnosis of any soft tissue infection not responding appropriately
to antibiotic therapy. Diagnosis can be confirmed with a biopsy. Most fungal soft
tissue infections require extended duration of treatment.
PMID- 29636957
TI - Parapelvic cysts mimicking hydronephrosis.
AB - Point-of-care renal ultrasonography performed by physicians at bedside assists in
rapid evaluation of hydronephrosis, nephrolithiasis and other structural
abnormalities, and guides management. As such, it is important to differentiate
between various renal pathologies that can mimic one another and herein, we
present a case where parapelvic cysts mimicked hydronephrosis.
PMID- 29636958
TI - Renal cyst infection: a diagnostic dilemma.
AB - Cyst infection is a common complication of autosomal dominant polycystic kidney
disease. It presents with vague clinical features and imaging findings which make
the diagnosis challenging. Imaging findings can be misinterpreted as renal cell
carcinoma, and biopsy of the lesion is often required for definitive diagnosis.
PMID- 29636959
TI - Pneumothorax secondary to pulmonary alveolar microlithiasis.
AB - Pulmonary alveolar microlithiasis (PAM) is a rare impairment of pulmonary
phosphate clearance that leads to gradual precipitation of intra-alveolar calcium
phosphate microliths. There is often a striking difference between alarming
clinical imaging and a relatively well patient. Pneumothorax in PAM often only
respond to surgical intervention.
PMID- 29636960
TI - Massive pericardial effusion caused by hypothyroidism.
AB - Although mild pericardial effusion is a usual finding in patients with
hypothyroidism, massive pericardial effusion or pericardial tamponade is rare and
customarily related to severe hypothyroidism. The diagnosis of hypothyroidism
should be considered in the differential of patients presenting with unexplained
pericardial effusion, even when signs and symptoms of hypothyroidism are
nonexistent.
PMID- 29636961
TI - Subarachnoid hemorrhage secondary to cerebral venous sinus thrombosis.
AB - Subarachnoid hemorrhage as a presentation of cerebral venous sinus thrombosis
(CVST) is a rare but recognized phenomenon. A high index of suspicion among
clinicians and an awareness of subtle CT features can avoid delayed diagnosis of
underlying CVST [Eur J Neurol., 17, 2010, 1249]. Prompt but careful
anticoagulation can prevent significant associated morbidity and mortality.
PMID- 29636963
TI - Milk fistula following puerperal breast abscess.
AB - We present the first reported video of milk fistula. Milk fistula is a rare
complication secondary to abscess or breast intervention in lactating women. It
is a clinical diagnosis and the treatment is usually conservative, which included
cessation of breastfeeding, with a good prognosis.
PMID- 29636962
TI - Blastic plasmacytoid dendritic cell neoplasm.
AB - Blastic plasmacytoid dendritic cell neoplasm is an aggressive neoplasm with a
median survival of only a few months despite treatment. An exhaustive
immunohistochemical workup is required to differentiate it from myeloid sarcoma
and extranodal NK/T cell lymphoma. Treatment is with induction using a regimen
utilized for leukemia. Allogeneic hematopoietic stem cell transplantation is
recommended for those who achieve remission following induction.
PMID- 29636964
TI - Quantifying and reducing statistical uncertainty in sample-based health program
costing studies in low- and middle-income countries.
AB - Objectives: In many low- and middle-income countries, the costs of delivering
public health programs such as for HIV/AIDS, nutrition, and immunization are not
routinely tracked. A number of recent studies have sought to estimate program
costs on the basis of detailed information collected on a subsample of
facilities. While unbiased estimates can be obtained via accurate measurement and
appropriate analyses, they are subject to statistical uncertainty. Quantification
of this uncertainty, for example, via standard errors and/or 95% confidence
intervals, provides important contextual information for decision-makers and for
the design of future costing studies. While other forms of uncertainty, such as
that due to model misspecification, are considered and can be investigated
through sensitivity analyses, statistical uncertainty is often not reported in
studies estimating the total program costs. This may be due to a lack of
awareness/understanding of (1) the technical details regarding uncertainty
estimation and (2) the availability of software with which to calculate
uncertainty for estimators resulting from complex surveys. We provide an overview
of statistical uncertainty in the context of complex costing surveys, emphasizing
the various potential specific sources that contribute to overall uncertainty.
Methods: We describe how analysts can compute measures of uncertainty, either via
appropriately derived formulae or through resampling techniques such as the
bootstrap. We also provide an overview of calibration as a means of using
additional auxiliary information that is readily available for the entire
program, such as the total number of doses administered, to decrease uncertainty
and thereby improve decision-making and the planning of future studies. Results:
A recent study of the national program for routine immunization in Honduras shows
that uncertainty can be reduced by using information available prior to the
study. This method can not only be used when estimating the total cost of
delivering established health programs but also to decrease uncertainty when the
interest lies in assessing the incremental effect of an intervention. Conclusion:
Measures of statistical uncertainty associated with survey-based estimates of
program costs, such as standard errors and 95% confidence intervals, provide
important contextual information for health policy decision-making and key inputs
for the design of future costing studies. Such measures are often not reported,
possibly because of technical challenges associated with their calculation and a
lack of awareness of appropriate software. Modern statistical analysis methods
for survey data, such as calibration, provide a means to exploit additional
information that is readily available but was not used in the design of the study
to significantly improve the estimation of total cost through the reduction of
statistical uncertainty.
PMID- 29636965
TI - "What Cannot be Missed": a New Service of Arrhythmia and Electrophysiology
Review.
PMID- 29636966
TI - Unmissable Papers, 2017.
PMID- 29636967
TI - Non-invasive Cardiac Radiation for Ablation of Ventricular Tachycardia: a New
Therapeutic Paradigm in Electrophysiology.
AB - Non-invasive ablation of cardiac tissue to control ventricular tachycardia (VT)
is a novel therapeutic consideration in the management of ventricular arrhythmias
associated with structural heart disease. The technique involves the use of
stereotactic radiotherapy delivered to VT substrates. Although invasive mapping
can be used to identify the target, the use of non-invasive ECG and imaging
techniques combined with multi-electrode body-surface ECG recordings offers the
potential of a completely non-invasive approach. Early case series have
demonstrated a consistent decrease in VT burden and sufficient early safety to
allow more detailed multicenter studies. Such studies are currently in progress
to further evaluate this promising technology.
PMID- 29636968
TI - How to Prevent, Detect and Manage Complications Caused by Cryoballoon Ablation of
Atrial Fibrillation.
AB - Atrial fibrillation is the most common cardiac arrhythmia and the prevalence is
increasing every year. Patients who fail to maintain sinus rhythm with use of
anti-arrhythmic drug therapy are referred for catheter ablation. Cryoballoon (CB)
ablation has emerged as an effective and alternative treatment option to
traditional point-by-point radiofrequency ablation, but there can be
complications. This article reviews the incidence, presentation, risk factors,
management and preventative strategies of three major complications associated
with CB ablation: phrenic nerve injury, atrial oesophageal fistula and bronchial
injury. Although these complications are rare, electrophysiologists should
institute measures to identify high-risk patients, implement best-practice
techniques to minimise risks and maintain a high index of suspicion to recognise
the complications quickly and implement correct treatment strategies.
PMID- 29636969
TI - Oesophageal Injury During AF Ablation: Techniques for Prevention.
AB - Atrial fibrillation remains the most common arrhythmia worldwide, with pulmonary
vein isolation (PVI) being an essential component in the treatment of this
arrhythmia. In view of the close proximity of the oesophagus with the posterior
wall of the left atrium, oesophageal injury prevention has become a major concern
during PVI procedures. Oesophageal changes varying from erythema to fistulas have
been reported, with atrio-oesophageal fistulas being the most feared as they are
associated with major morbidity and mortality. This review article provides a
detailed description of the risk factors associated with oesophageal injury
during ablation, along with an overview of the currently available techniques to
prevent oesophageal injury. We expect that this state of the art review will
deliver the tools to help electrophysiologists prevent potential oesophageal
injuries, as well as increase the focus on research areas in which evidence is
lacking.
PMID- 29636971
TI - Systematic Screening for Atrial Fibrillation in the Community: Evidence and
Obstacles.
AB - With an ageing population globally, the burden of atrial fibrillation (AF) and
its consequent complication of stroke and risk of mortality will continue to
increase. Although opportunistic screening for AF by pulse check or ECG rhythm
strip for people >65 years of age is currently recommended, data are now emerging
that demonstrate the possible benefits of systematic community screening. Such
screening is capable of identifying previously undiagnosed AF in 0.5-3.0 % of all
those screened. The effectiveness of screening programmes will be markedly
weakened by the lack of a structured downstream management pathway, making it a
mandatory component in any AF screening programme for the general population.
Different tools, especially smartphone-based devices, have made AF screening in
the community more feasible. However, the sensitivities and positive predictive
values of the current versions of automated diagnostic algorithms for AF have to
be improved further to increase the cost-efficiency of screening programmes.
PMID- 29636970
TI - Asymptomatic Ventricular Pre-excitation: Between Sudden Cardiac Death and
Catheter Ablation.
AB - Debate about the best clinical approach to the management of asymptomatic
patients with ventricular pre-excitation and advice on whether or not to
invasively stratify and ablate is on-going. Weak evidence about the real risk of
sudden cardiac death and the potential benefit of catheter ablation has probably
prevented the clarification of action in this not infrequent and sometimes
conflicting clinical situation. After analysing all available data, real evidence
based medicine could be the alternative strategy for managing this group of
patients. According to recent surveys, most electrophysiologists invasively
stratify. Based on all accepted risk factors - younger age, male, associated
structural heart disease, posteroseptal localisation, ability of the accessory
pathway to conduct anterogradely at short intervals of <=250 milliseconds and
inducibility of sustained atrioventricular re-entrant tachycardia and/or atrial
fibrillation - a shared decisionmaking process on catheter ablation is proposed.
PMID- 29636972
TI - Atrial Arrhythmias in Pulmonary Hypertension: Pathogenesis, Prognosis and
Management.
AB - Atrial arrhythmias, including atrial fibrillation and atrial flutter, are common
in patients with pulmonary hypertension and are closely associated with clinical
decompensation and poor clinical outcomes. The mechanisms of arrhythmogenesis and
subsequent clinical decompensation are reviewed. Practical implications and
current evidence for the management of atrial arrhythmias in patients with
pulmonary hypertension are summarised.
PMID- 29636973
TI - Drivers of Atrial Fibrillation: Theoretical Considerations and Practical
Concerns.
AB - Understanding the mechanisms responsible for driving AF is key to improving the
procedural success for AF ablation. In this review, we look at some of the
proposed drivers of AF, the disagreement between experts and the challenges
confronted in attempting to map AF. Defining a 'driver' is also controversial,
but for the purposes of this review we will consider an AF driver to be either a
focal or localised source demonstrating fast, repetitive activity that propagates
outward from this source, breaking down in to disorganisation further away from
its origin.
PMID- 29636975
TI - Unravelling the Mysteries of the Human AV Node.
PMID- 29636974
TI - The Significance of Drug-Drug and Drug-Food Interactions of Oral Anticoagulation.
AB - Vitamin K antagonists (VKAs) such as warfarin are the most commonly prescribed
oral anticoagulants worldwide. However, factors affecting the pharmacokinetics of
VKAs, such as food and drugs, can cause deviations from their narrow therapeutic
window, increasing the bleeding or thrombosis risk and complicating their long
term use. The use of direct oral anticoagulants (DOACs) offers a safer and more
convenient alternative to VKAs. However, it is important to be aware that plasma
levels of DOACs are affected by drugs that alter the cell efflux transporter P
glycoprotein and/or cytochrome P450. In addition to these pharmacokinetic-based
interactions, DOACs have the potential for pharmacodynamic interaction with
antiplatelet agents and non-steroidal anti-inflammatory drugs. This is an
important consideration in patient groups already at high risk of bleeding, such
as patients with renal impairment.
PMID- 29636976
TI - Hybrid Approach for Atrial Fibrillation Ablation: the Jury is Still Out.
PMID- 29636978
TI - Maternal iron status during pregnancy and respiratory and atopic outcomes in the
offspring: a Mendelian randomisation study.
AB - Introduction: Limited evidence from birth cohort studies suggests that lower
prenatal iron status may be a risk factor for childhood respiratory and atopic
outcomes, but these observational findings may be confounded. Mendelian
randomisation (MR) can potentially provide unconfounded estimates of causal
effects by using common genetic variants as instrumental variables. We aimed to
study the relationship between prenatal iron status and respiratory and atopic
outcomes in the offspring using MR. Methods: In the Avon Longitudinal Study of
Parents and Children birth cohort, we constructed four maternal genotypic risk
scores by summing the total number of risk alleles (associated with lower iron
status) across single nucleotide polymorphisms known to be associated with at
least one of four iron biomarkers (serum iron, ferritin, transferrin and
transferrin saturation). We used MR to study their associations with respiratory
and atopic outcomes in children aged 7-9 years (n=6002). Results: When analyses
were restricted to mothers without iron supplementation during late pregnancy,
negative associations were found between the maternal transferrin saturation
score and childhood forced expiratory volume in 1 s and forced vital capacity
(difference in age, height and gender-adjusted SD units per SD increase in
genotypic score: -0.05 (-0.09, -0.01) p=0.03, and -0.04 (-0.08, 0.00) p=0.04,
respectively). Conclusion: Using MR we have found weak evidence suggesting that
low maternal iron status during pregnancy may cause impaired childhood lung
function.
PMID- 29636977
TI - Electrical dynamics of isolated cerebral and skeletal muscle endothelial tubes:
Differential roles of G-protein-coupled receptors and K+ channels.
AB - Electrical dynamics of freshly isolated cerebral endothelium have not been
determined independently of perivascular nerves and smooth muscle. We tested the
hypothesis that endothelium of cerebral and skeletal muscle arteries
differentially utilizes purinergic and muscarinic signaling pathways to activate
endothelium-derived hyperpolarization. Changes in membrane potential (Vm) were
recorded in intact endothelial tubes freshly isolated from posterior cerebral and
superior epigastric arteries of male and female C57BL/6 mice (age: 3-8 months).
Vm was measured in response to activation of purinergic (P2Y) and muscarinic (M3)
receptors in addition to small- and intermediate-conductance Ca2+-activated K+
(SKCa/IKCa) and inward rectifying K+ (KIR) channels using ATP (100 MUmol.L-1),
acetylcholine (ACh; 10 MUmol.L-1), NS309 (0.01-10 MUmol.L-1), and 15 mmol.L-1
KCl, respectively. Intercellular coupling was demonstrated via transfer of
propidium iodide dye and electrical current (+/-0.5-3 nA) through gap junctions.
With similarities observed across gender, peak hyperpolarization to ATP and ACh
in skeletal muscle endothelial tubes was ~twofold and ~sevenfold higher,
respectively, vs cerebral endothelial tubes, whereas responses to NS309 were
similar (from resting Vm ~-30 mV to maximum ~-80 mV). Hyperpolarization (~8 mV)
occurred during 15 mmol.L-1 KCl treatment in cerebral but not skeletal muscle
endothelial tubes. Despite weaker hyperpolarization during endothelial GPCR
stimulation in cerebral vs skeletal muscle endothelium, the capability for robust
SKCa/IKCa activity is preserved across brain and skeletal muscle. As vascular
reactivity decreases with aging and cardiovascular disease, endothelial K+
channel activity may be calibrated to restore blood flow to respective organs
regardless of gender.
PMID- 29636979
TI - British Thoracic Society Quality Standards for acute non-invasive ventilation in
adults.
AB - Introduction: The purpose of the quality standards document is to provide
healthcare professionals, commissioners, service providers and patients with a
guide to standards of care that should be met for the provision of acute non
invasive ventilation in adults together with measurable markers of good practice.
Methods: Development of British Thoracic Society (BTS) Quality Standards follows
the BTS process of quality standard production based on the National Institute
for Health and Care Excellence process manual for the development of quality
standards. Results: 6 quality statements have been developed, each describing a
standard of care for the provision of acute non-invasive ventilation in the UK,
together with measurable markers of good practice. Conclusion: BTS Quality
Standards for acute non-invasive ventilation in adults form a key part of the
range of supporting materials that the Society produces to assist in the
dissemination and implementation of guideline's recommendations.
PMID- 29636980
TI - Posttransplant Lymphoproliferative Disorder in Adults Receiving Kidney
Transplantation in British Columbia: A Retrospective Cohort Analysis.
AB - Background: Posttransplant lymphoproliferative disorder (PTLD) is a major
complication following kidney transplantation. Objective: We undertook this study
to characterize PTLD in kidney transplant patients in British Columbia with
regard to incidence, patient and graft survival, histological subtypes, treatment
modalities, and management of immunosuppression. Design: Retrospective cohort
analysis. Setting: British Columbia. Patients: All adult patients who underwent
kidney transplantation in British Columbia between January 1, 1996, and December
31, 2012, were included. Patients less than 18 years of age at the time of first
transplant and multiple organ transplant recipients were excluded from analysis.
Measurements: Patients with lymphoproliferative disorders that occurred
subsequent to kidney transplantation were considered to have developed PTLD.
Methods: Cases of PTLD were identified by cross-referencing data abstracted from
the provincial transplant agency's clinical database with the provincial cancer
agency's lymphoma registry. Patients were followed up for the development of PTLD
until December 31, 2012, and for outcomes of death and graft failure until
December 31, 2014. Data collection was completed via an electronic chart review.
Results: Of 2217 kidney transplant recipients, 37 (1.7%) developed PTLD. Nine
cases were early-onset PTLD, occurring within 1 year of transplant; of these
cases, 6 were known/presumed Epstein-Barr virus mismatch, compared with only 2 of
28 late-onset cases. Patient survival for early-onset PTLD was 100% at 2 years
post diagnosis. Late-onset PTLD had survival rates of 71.4% and 67.9% at 1 and 2
years, respectively. PTLD was associated with significantly decreased patient
survival (P = .031) and graft survival (uncensored for death, P = .017), with
median graft survival of PTLD and non-PTLD patients being 9.5 and 16 years,
respectively. Immunosuppressant therapy was reduced in the majority of patients;
additional therapies included rituximab monotherapy, CHOP-R, radiation, and
surgery. Limitations: Limitations to this study include its retrospective nature
and the unknown adherence of patients to prescribed immunosuppressant regimens.
In addition, cumulative doses of immunosuppression received and the degree of
immunosuppression reduction for PTLD management were not effectively captured.
Conclusions: The incidence of PTLD in British Columbia following kidney
transplantation was low and consistent with rates reported in the literature. The
incidence of late-onset PTLD and its association with reduced patient and graft
survival warrant further analysis of patients' long-term immunosuppression.
PMID- 29636981
TI - Perceived Benefits and Challenges of a Risk-Based Approach to Multidisciplinary
Chronic Kidney Disease Care: A Qualitative Descriptive Study.
AB - Background: The kidney failure risk equation (KFRE) provides an estimate of risk
of progression to kidney failure, and may guide clinical care. Objective: We
aimed to describe patient, family, and health care provider's perspectives of the
perceived benefits and challenges of using a risk-based approach to guide care
delivery for patients with advanced chronic kidney disease (CKD), and refine
implementation based on their input. Methods: We used qualitative methodology to
explore perceived benefits and challenges of implementing a risk-based approach
(using the KFRE) to determine eligibility for multidisciplinary CKD care in
Southern Alberta. We obtained perspectives from patients and families through
focus groups, as well as input from health care providers through interviews and
open-ended responses from an online survey. Twelve patients/family members
participated in 2 focus groups, 16 health care providers participated in an
interview, and 40 health care providers responded to the survey. Results:
Overall, participants felt that a KFRE-based approach had the potential to
improve efficiency of the clinics by targeting care to patients at highest risk
of kidney failure; however, they also expressed concerns about the impact of loss
of services for lower risk individuals. Participants also articulated concerns
about a perceived lack of capacity for adequate CKD patient care in the
community. Our implementation strategy was modified as a result of participants'
feedback. Conclusions: We identified benefits and challenges to implementation of
a risk-based approach to guide care of patients with advanced CKD. Based on these
results, our implementation strategy has been modified by removing the category
of referral back to primary care alone, and instead having that decision made
jointly by nephrologists and patients among low-risk patients.
PMID- 29636982
TI - Crossing barriers: a multidisciplinary approach to children and adults with young
onset movement disorders.
AB - Background: Diagnosis of less common young-onset movement disorders is often
challenging, requiring a broad spectrum of skills of clinicians regarding
phenotyping, normal and abnormal development and the wide range of possible
acquired and genetic etiologies. This complexity often leads to considerable
diagnostic delays, paralleled by uncertainty for patients and their families.
Therefore, we hypothesized that these patients might benefit from a
multidisciplinary approach. We report on the first 100 young-onset movement
disorders patients who visited our multidisciplinary outpatient clinic. Methods:
Clinical data were obtained from the medical records of patients with disease
onset before age 18 years. We investigated whether the multidisciplinary team,
consisting of a movement disorder specialist, pediatric neurologist, pediatrician
for inborn errors of metabolism and clinical geneticist, revised the movement
disorder classification, etiological diagnosis, and/or treatment. Results: The
100 referred patients (56 males) had a mean age of 12.5 +/- 6.3 years and mean
disease duration of 9.2 +/- 6.3 years. Movement disorder classification was
revised in 58/100 patients. Particularly dystonia and myoclonus were recognized
frequently and supported by neurophysiological testing in 24/29 patients.
Etiological diagnoses were made in 24/71 (34%) formerly undiagnosed patients,
predominantly in the genetic domain. Treatment strategy was adjusted in 60
patients, of whom 43 (72%) reported a subjective positive effect. Conclusions:
This exploratory study demonstrates that a dedicated tertiary multidisciplinary
approach to complex young-onset movement disorders may facilitate phenotyping and
improve recognition of rare disorders, with a high diagnostic yield and minimal
diagnostic delay. Future studies are needed to investigate the cost-benefit ratio
of a multidisciplinary approach in comparison to regular subspecialty care.
PMID- 29636984
TI - Thiazide diuretic-caused hyponatremia in the elderly hypertensive: will a bottle
of Nepro a day keep hyponatremia and the doctor away? Study protocol for a proof
of-concept feasibility trial.
AB - Background: Hypertension is the most common modifiable risk factor for
cardiovascular disease, with an increasing prevalence with age, but with easily
available medications to control it. Adverse effects of these medications do
limit their use, in particular hyponatremia due to thiazide and thiazide-like
diuretics. This is more common in the elderly patients due to a combination of
inadequate protein intake and impaired urinary dilution capability, made worse by
additional thiazide use. Limiting free water intake and increasing protein intake
are often not successful resulting in thiazide avoidance. Daily protein
supplement is a potential option in this clinical scenario. We describe the
protocol for a feasibility study to explore this option. Methods: This is a
single-arm, prospective, open-label proof-of-concept trial, including elderly
patients with thiazide diuretic-induced hyponatremia. Forty patients will be
enrolled and receive a bottle of a protein supplement daily, providing 120 mmol
of solutes and permitting an extra 163 mL free water loss, for 4 weeks. The main
outcome measures will be (1) feasibility for enrollment, (2) safety of the
intervention, and (3) potential efficacy of the intervention in improving
hyponatremia. Secondary outcome measures will include changes in urine
osmolality, body weight, and urea measurements. Discussion: Thiazide diuretic
induced hyponatremia is an important adverse effect, with significant clinical
impact, such as delirium and falls, and limits the use of these potent
antihypertensive agents. There are little data on the effect or safety of protein
supplementation and also on whether a trial of this is feasible. The results of
this proof-of-concept feasibility trial will help plan and execute a larger
definitive trial to test protein supplementation as an effective strategy in this
condition. Trial registration: The trial is registered with Clinical trials,
registration identifier: NCT02614807.
PMID- 29636983
TI - A 6-week hip muscle strengthening and lumbopelvic-hip core stabilization program
to improve pain, function, and quality of life in persons with patellofemoral
osteoarthritis: a feasibility pilot study.
AB - Background: Patellofemoral joint (PFJ) osteoarthritis (OA) is prevalent in middle
aged and older adults. Despite this, there are minimal studies which have
examined conservative interventions for PFJ OA. Weakness of proximal lower
extremity muscles is associated with PFJ OA. It is unknown if a hip muscle
strengthening and lumbopelvic-hip core stabilization program will improve
symptoms and function in persons with PFJ OA. This study examined the feasibility
and impact of a 6-week hip muscle strengthening and core stabilization program on
pain, symptoms, physical performance, peak muscle torques, and quality of life in
persons with PFJ OA. Methods: Ten females with PFJ OA and ten age- and sex
matched controls participated in baseline tests. PFJ OA participants attended ten
twice-a-week hip strengthening and core stabilization exercise sessions. Outcome
measures included questionnaires, the Timed-Up-and-Go, and peak isometric torque
of hip and quadriceps muscles. Data were tested for normality; parametric and non
parametric tests were used as appropriate. Results: At baseline, the PFJ OA group
had significantly worse symptoms, slower Timed-Up-and-Go performance, and lower
muscle torques than control participants. PFJ OA group adherence to supervised
exercise sessions was adequate. All PFJ OA participants attended at least nine
exercise sessions. Five PFJ OA participants returned 6-month follow-up
questionnaires, which was considered fair retention. The PFJ OA participants'
self-reported pain, symptoms, function in daily living, function in sport, and
quality of life all improved at 6 weeks (P < 0.05). Timed-Up-and-Go time score
improved at 6 weeks (P = 0.005). Peak hip external rotator torque increased (P =
0.01). Improvements in pain and self-reported function were no longer significant
6 months following completion of the intervention. Conclusions: PFJ OA
participants were adherent to the supervised sessions of the intervention.
Improvement in symptoms, physical performance, and muscle torque were found after
6 weeks. Participant retention at 6 months was fair, and significant changes were
no longer present. Our findings suggest that a hip strengthening and core
stabilization program may be beneficial to improve symptoms, function, and
physical performance in persons with PFJ OA. Future studies are needed, and
additional measures should be taken to improve long-term adherence to exercise.
Trial registration: ClinicalTrials.gov NCT02825238. Registered 6 July 2016
(retrospectively registered).
PMID- 29636986
TI - Differences in self-reported weekend catch up sleep between children and
adolescents with and without primary hypertension.
AB - Background: The data on the association of sleep duration and blood pressure in
the pediatric age group have been mixed and most studies have focused on weekday
sleep duration. The purpose of this study was to compare the weekday and weekend
sleep patterns between children and adolescents with newly diagnosed primary
hypertension and a normotensive control group. Methods: Children and adolescents
from a pediatric nephrology clinic, aged 6-18 years with newly diagnosed primary
hypertension were compared to an age and sex matched normotensive control group
from a general pediatric clinic. The questions about bed time and getting out of
bed times from the Pediatric Sleep Questionnaire (PSQ) were used to obtain
weekday and weekend bed time, getting out of bed time and sleep duration. The
Pediatric Daytime Sleepiness Scale (PDSS) was used to assess subjective
sleepiness. Results: In both groups of 60 subjects each, weekday total sleep time
was similar. Subjects in both groups went to bed later and woke up later on the
weekends. However, in the hypertensive group, weekend getting out of the bed time
was earlier (8:52 AM +/-93 min vs. 9:36 AM +/-88 min, p = 0.013) and weekend
catchup sleep was about 40 min less (62.8 +/- 85.5 vs. 102.7 +/- 84.9, p =
0.035). Hypertensive children perceived less subjective sleepiness (PDSS scores
8.28 +/- 4.88 vs. 10.63 +/- 5.41, p = 0.007). The p values were calculated after
adjusting for body mass index (BMI), race, daytime nap, caffeine use, sleep
related breathing disorder (SRBD) scale and periodic limb movement of sleep
(PLMS) scale subcomponents of the PSQ. Conclusions: Hypertensive children
obtained less weekend catch up sleep and reported less subjective sleepiness
compared to the control group. More weekend sleep may potentially mitigate the
effect of weekday sleep deprivation on blood pressure.
PMID- 29636985
TI - Recent trends in bioinks for 3D printing.
AB - Background: The worldwide demand for the organ replacement or tissue regeneration
is increasing steadily. The advancements in tissue engineering and regenerative
medicine have made it possible to regenerate such damaged organs or tissues into
functional organ or tissue with the help of 3D bioprinting. The main component of
the 3D bioprinting is the bioink, which is crucial for the development of
functional organs or tissue structures. The bioinks used in 3D printing
technology require so many properties which are vital and need to be considered
during the selection. Combination of different methods and enhancements in
properties are required to develop more successful bioinks for the 3D printing of
organs or tissue structures. Main body: This review consists of the recent state
of-art of polymer-based bioinks used in 3D printing for applications in tissue
engineering and regenerative medicine. The subsection projects the basic
requirements for the selection of successful bioinks for 3D printing and
developing 3D tissues or organ structures using combinations of bioinks such as
cells, biomedical polymers and biosignals. Different bioink materials and their
properties related to the biocompatibility, printability, mechanical properties,
which are recently reported for 3D printing are discussed in detail. Conclusion:
Many bioinks formulations have been reported from cell-biomaterials based bioinks
to cell-based bioinks such as cell aggregates and tissue spheroids for tissue
engineering and regenerative medicine applications. Interestingly, more tunable
bioinks, which are biocompatible for live cells, printable and mechanically
stable after printing are emerging with the help of functional polymeric
biomaterials, their modifications and blending of cells and hydrogels. These
approaches show the immense potential of these bioinks to produce more complex
tissue/organ structures using 3D bioprinting in the future.
PMID- 29636987
TI - Consensus on management of metastatic colorectal cancer in Central America and
the Caribbean: San Jose, Costa Rica, August 2016.
AB - Colorectal cancer (CRC) is the third most common cancer in men and the second
most common in women worldwide. In Latin America and the Caribbean, it has a
mortality of 56%. The median overall survival for patients with metastatic
colorectal cancer (mCRC) is currently estimated as ~30 months, which has
substantially improved through strategic changes in treatment and in the
management of patients. As opposed to other metastatic cancers where first-line
regimens are often determined, mCRC requires special attention because there is
controversy in the possible combinations of the available drugs and the different
periods of duration for each patient. Each combination must seek to be effective
and to generate the minimum adverse effects as possible. Instead of giving the
first-line regimen until the tumour progresses, treatment is often
individualised. Furthermore, up to 60% of colorectal tumours are considered non
mutated or wild-type CRC. Not harbouring mutations in the RAS family of genes or
mutations in the signalling pathways of the epidermal growth factor receptor
causes a null response to anti-epidermal growth factor receptor antibody therapy,
which implies even more complex considerations regarding its management. The
primary objective of this consensus is to address the main scenarios of mCRC in
order to warrant the most appropriate therapeutic intervention for these patients
in the Central American and the Caribbean (CAC) region. This can lead to better
clinical outcomes as well as quality of life for palliative patients. This
document includes the formal expert consensus recommendations for scenarios of
mutated and non-mutated mCRC, including synchronous or metachronous disease,
management of mCRC with liver and lung metastasis, resectable, potentially
resectable or non-resectable tumours and local in the CAC context.
PMID- 29636988
TI - Four distinct immune microenvironment subtypes in gastric adenocarcinoma with
special reference to microsatellite instability.
AB - Introduction: Programmed death-ligand 1 (PD-L1) can be overexpressed in tumours
other than Epstein-Barr virus (EBV)-positive (EBV+) or microsatellite instability
high (MSI-H) gastric cancer (GC) subtypes. We aimed to determine the tumour
immune microenvironment (TME) classification of GC to better understand tumour
immune interactions and help patient selection for future immunotherapy with
special reference to MSI-H. Methods: Immunohistochemistry (IHC) for PD-L1 and
CD8+ T cells in three distinct subtypes of GC (43 EBV+, 79 MSI-H and 125 EBV
/MSS) were performed and analysed. In 66 MSI-H GC, mutation counts were compared
with PD-L1 expression and survival of the patients. Results: GC TME divided by PD
L1 IHC and tumour-infiltrating lymphocytes (TIL) measured by intratumoural CD8
density showed: (1) about 40% of GC are type I (PD-L1+/TIL+) consisting ~70% of
MSI-H or EBV+ GC, and ~15% of EBV-/microsatellite stable (MSS) GC patients show
the best survival in both disease-free (HR 2.044) and overall survival (HR
1.993); this type would respond to a checkpoint blockade therapy; (2) almost 30%
of GC are type II (PD-L1-/TIL-) with the worst survival; (3) approximately 10% of
GC are type III (PD-L1+/TIL-); and (4) up to 20% are type IV (PD-L1-/TIL+) and,
unexpectedly, ~25% of EBV+ or MSI-H GC are within this subtype. In MSI-H GC,
frequent frameshift mutations were observed in ARID1A, RNF43, NF1, MSH6, BRD3,
NCOA3, BCORL1, TNKS2 and NPM1 and the numbers of frameshift mutation correlated
significantly with PD-L1 expression (P<0.05). Discussion: GC can be classified
into four TME types based on PD-L1 and TIL, and numbers of frameshift mutation
correlate well with PD-L1 expression in MSI-H GC.
PMID- 29636990
TI - Recent advances in adjuvant therapy for patients with melanoma.
PMID- 29636989
TI - Comprehensive molecular screening by next generation sequencing reveals a
distinctive mutational profile of KIT/PDGFRA genes and novel genomic alterations:
results from a 20-year cohort of patients with GIST from north-western Greece.
AB - Introduction: Gastrointestinal stromal tumours (GIST) are mesenchymal neoplasms
that usually carry an activating mutation in KIT or platelet-derived growth
factor receptor alpha (PDGFRA) genes with predictive and prognostic significance.
We investigated the extended mutational status of GIST in a patient population of
north-western Greece in order to look at geopraphic/genotypic distinctive traits.
Patient and methods: Clinicopathological and molecular data of 38 patients
diagnosed from 1996 to 2016 with GIST in the region of Epirus in Greece were
retrospectively assessed. Formalin-fixed paraffin-embedded tumours were
successfully analysed for mutations in 54 genes with oncogenic potential. Next
generation sequencing was conducted by using the Ion AmpliSeqCancer Hotspot Panel
V.2 for DNA analysis (Thermofisher Scientific). Results: Among 38 tumours, 24
(63.16%) and seven (18.42%) of the tumours harboured mutations in the KIT and
PDGFRA genes, respectively, while seven (18.42%) tumours were negative for either
KIT or PDGFRA mutation. No mutations were detected in five (13.16%) cases.
Concomitant mutations of BRAF and fibroblast growth factor receptor 3 (FGFR3)
genes were observed in two patients with KIT gene mutation. Two patients with
KIT/PDGFRA wild-type GIST had mutations in either KRAS or phosphatidylinositol
4,5-bisphosphate 3-kinase catalytic subunit alpha (PIK3CA) genes. There was no
significant survival difference regarding the exonic site of mutation in either
KIT or PDGFRA gene. The presence of a mutation in pathway effectors downstream of
KIT or PDGFRA, such as BRAF, KRAS or PIK3CA, was associated with poor prognosis.
Adverse prognosticators were also high mitotic index and the advanced disease
status at diagnosis. Conclusions: We report comparable incidence of KIT and
PDGFRA mutation in patients with GIST from north-western Greece as compared with
cohorts from other regions. Interestingly, we identified rare mutations on RAS,
BRAF and PIK3CA genes in patients with poor prognosis.
PMID- 29636992
TI - Controversies in oncology: which adjuvant endocrine therapy is to be given to
premenopausal patients with hormone receptor-positive breast cancer?
PMID- 29636991
TI - Relevance of a molecular tumour board (MTB) for patients' enrolment in clinical
trials: experience of the Institut Curie.
AB - Background: High throughput molecular screening techniques allow the
identification of multiple molecular alterations, some of which are actionable
and can be targeted by molecularly targeted agents (MTA). We aimed at evaluating
the relevance of using this approach in the frame of Institut Curie Molecular
Tumor Board (MTB) to guide patients with cancer to clinical trials with MTAs.
Patients and methods: We included all patients presented at Institut Curie MTB
from 4 October 2014 to 31 October 2017. The following information was extracted
from the chart: decision to perform tumour profiling, types of molecular
analyses, samples used, molecular alterations identified and those which are
actionable, and inclusion in a clinical trial with matched MTA. Results: 736
patients were presented at the MTB. Molecular analyses were performed in 442
patients (60%). Techniques used included next-generation sequencing, comparative
genomic hybridisation array and/or other techniques including
immunohistochemistry in 78%, 51% and 58% of patients, respectively. Analyses were
performed on a fresh frozen biopsy in 91 patients (21%), on archival tissue
(fixed or frozen) in 326 patients (74%) and on both archival and fresh frozen
biopsy in 25 patients (6%). At least one molecular alteration was identified in
280 analysed patients (63%). An actionable molecular alteration was identified in
207 analysed patients (47%). Forty-five analysed patients (10%) were enrolled in
a clinical trial with matched MTA and 29 additional patients were oriented and
included in a clinical trial based on a molecular alteration identified prior to
the MTB analysis. Median time between date of specimen reception and molecular
results was 28 days (range: 5-168). Conclusions: The implementation of an MTB at
Institut Curie enabled the inclusion of 10% of patients into a clinical trial
with matched therapy.
PMID- 29636994
TI - Targeting autophagy in chemotherapy-resistant of hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is a malignant tumor with poor prognosis. Surgical
resection is recommended for very early-stage and early-stage HCC, but HCC is
still prone to recurrence and metastasis after surgery. Furthermore, treatment
options for intermediate- and advanced-stage HCC are relatively limited. Systemic
therapy is the preferred method to kill residual cancer cells after surgery and
prolong survival time of inoperable patients, but most cases are insensitive to
chemotherapeutic agents, restricting widespread clinical application of systemic
therapy. Many studies have found that various chemotherapeutic drugs for HCC
treatment can increase autophagic flux of HCC cells, and it may be related with
enhancing drug resistance and promoting cell survival. However, enhancement of
autophagic flux may also induce tumor cell death in some cases, leading to marked
inconsistency across studies. Here we reviewed the mechanisms underlying the
increase in autophagic flux in HCC cells induced by chemotherapeutic drugs and
examined the contributions of autophagy and related pathways to chemotherapy drug
resistance. Our aim was to identify potential autophagy-related targets for
improving the sensitivity of HCC to chemotherapeutic drugs.
PMID- 29636995
TI - Anticancer efficacies of arsenic disulfide through apoptosis induction, cell
cycle arrest, and pro-survival signal inhibition in human breast cancer cells.
AB - Arsenic disulfide, a major effective component of realgar, has been investigated
for its anti-cancer potential and shown to have therapeutic efficacies in
hematological and some solid tumors. However, its effect against breast cancer is
rarely reported. In this study, we investigated the anti-cancer effects of As2S2
in human breast cancer cell lines MCF-7 and MDA-MB-231, and further elucidated
its underlying mechanisms. As2S2 significantly inhibited cell viabilities,
induced apoptosis, and led to cell cycle arrest in both cell lines with a dose-
and time-dependent manner. As2S2 upregulated pro-apoptotic proteins like p53 and
PARP in MCF-7 cells. Besides, As2S2 downregulated anti-apoptotic proteins like
Bcl-2 and Mcl-1, as well as cell cycle-related proteins cyclin A2 and cyclin D1
in both cell lines. Of note, the expression level of cyclin B1 was downregulated
in MCF-7 cells, whereas, upregulated in MDA-MB-231 cells. Moreover, As2S2
significantly inhibited the pro-survival signals in PI3K/Akt pathway in both cell
lines. In conclusion, As2S2 inhibited cell viabilities, induced apoptosis and
cell cycle arrest in both MCF-7 and MDA-MB-231 cell lines by regulating the
expression of key proteins involved in related pathways. These results provide
fundamental insights into the clinical application of As2S2 for treatment of
patients with breast cancer.
PMID- 29636993
TI - Circulating biomarkers for early diagnosis of pancreatic cancer: facts and hopes.
AB - Pancreatic cancer (PC) is characterized by extremely high mortality and poor
prognosis, which are largely ascribed to difficulties in early diagnosis and
limited therapeutics. Although there is a sufficient window for intervention
before preneoplastic lesions progress to invasive disease, effective early
detection of PC remains difficult using current biomarkers and imaging
techniques. Biomarkers with satisfactory diagnostic efficacy and convenient
analysis methods are urgently required. In this review, we summarized recent
advances in the identification of biomarkers in circulation for early detection
of PC. A number of novel circulating biomarkers, such as metabolites, cell-free
DNA (cfDNA), noncoding RNA, and exosomes, that show promising diagnostic value
have been discovered using advances in sequencing techniques and "omics"
analyses. Panels comprising several biomarkers may also exhibit better diagnostic
performance. In the future, we need more efficient circulating biomarkers for the
identification of noninvasive precursor lesions and early disease. Collaborative
large-scale studies are also required to show the clinical validity and
applicability of potential biomarkers.
PMID- 29636996
TI - BCL7B, a predictor of poor prognosis of pancreatic cancers, promotes cell
motility and invasion by influencing CREB signaling.
AB - The functions of B-cell CLL/lymphoma 7B (BCL7B) are unknown and the protein lacks
any known functional domains. The aim of this study was to investigate the role
of BCL7B in the motility and invasiveness of pancreatic cancer cells.
Immunohistochemistry was performed to determine whether high BCL7B expression in
human pancreatic cancer tissues is correlated with poor prognosis. High BCL7B
expression was an independent predictor of worse overall survival of pancreatic
cancer patients. Immunocytochemistry showed that BCL7B was accumulated in cell
protrusions of migrating pancreatic cancer cells. Knockdown of BCL7B inhibited
the motility and invasiveness of pancreatic cancer cells through a decrease in
cell protrusions. Phosphoprotein array analysis was performed to determine BCL7B
associated intracellular signaling pathways. Suppression of BCL7B increased
phosphorylated CREB expression in pancreatic cancer cells, and knockdown of CREB
promoted the motility and invasiveness by increasing cell protrusions. The
combined data suggest that BCL7B promotes pancreatic cancer cell motility and
invasion through a signaling pathway that involves dephosphorylation of CREB.
PMID- 29636997
TI - MicroRNA-337 regulates the PI3K/AKT and Wnt/beta-catenin signaling pathways to
inhibit hepatocellular carcinoma progression by targeting high-mobility group AT
hook 2.
AB - MicroRNAs (miRNAs) serve as major regulators during the tumorigenesis and tumor
development of hepatocellular carcinoma (HCC). In addition, miRNAs may serve as
new promising biomarkers for the diagnosis and prognosis and as effective
therapeutic targets for patients with this malignancy. Therefore, understanding
the association between miRNAs and HCC may be beneficial to discover novel
therapeutic approaches towards diagnosis and treatments. Results of this study
showed that miRNA-337 (miR-337) was markedly downregulated in HCC tissues and
cell lines. Decreased miR-337 expression was significantly associated with the
TNM stage and lymph node metastasis of HCC. Ectopic expression of miR-337
prohibited the proliferation, colony formation, migration, and invasion of HCC
cells. It also promoted the apoptosis in vitro and reduced the tumor growth in
vivo of these cells. High-mobility group AT-hook 2 (HMGA2) was identified as a
direct target gene of miR-337 in HCC through a series of experiments. HMGA2 was
significantly overexpressed in HCC tissues and negatively correlated with miR-337
expression. Moreover, the functions of HMGA2 inhibition were similar to those
induced by miR-337 in HCC. Restored HMGA2 expression rescued the tumor
suppressive roles of miR-337 overexpression in HCC. Furthermore, miR-337
overexpression inhibited the activation of PI3K/AKT and Wnt/beta-catenin
signaling pathways in HCC both in vitro and in vivo. This study demonstrated that
miR-337 may play tumor-suppressing roles in HCC, at least partly, via directly
targeting HMGA2 and inhibiting the PI3K/AKT and Wnt/beta-catenin signaling
pathways. Therefore, miR-337 may be a novel and effective target for the
therapeutic treatment of patients with HCC.
PMID- 29636998
TI - EZH2 promotes migration and invasion of triple-negative breast cancer cells via
regulating TIMP2-MMP-2/-9 pathway.
AB - Triple-negative breast cancer (TNBC) has a higher potential for invasion and
metastasis than other types of breast cancer. Enhancer of zeste homolog 2 (EZH2)
is the catalytic core protein in the polycomb repressive complex 2 (PRC2), which
catalyzes the trimethylation of histone H3 at lysine 27 (H3K27me3) and mediates
gene silencing of the target genes that are involved in fundamental cellular
processes, such as the cell fate decision, cell cycle regulation, senescence,
cell differentiation, and cancer formation. A consistent association between TNBC
metastasis and EZH2 has not been confirmed. The aim of this study was to
investigate the role of EZH2 in the regulation of tissue inhibitor of
metalloproteinase (TIMPs) and matrix metalloproteinases (MMPs) to promote
metastasis of TNBC cells and to characterize the metastasis-associated genes
regulated by EZH2 in TNBC cells. We found that high levels of EZH2 expression
induce repression of TIMP2 transcription, leading to increased activity of MMP-2
and MMP-9 and thus to increased invasive activity of TNBC cells.
PMID- 29637000
TI - Expression profiles analysis identifies a novel three-mRNA signature to predict
overall survival in oral squamous cell carcinoma.
AB - Oral squamous cell carcinoma (OSCC) remains to be a challenging public health
problem worldwide. However, the underlying molecular mechanism regulating the
carcinogenesis of OSCC is poorly known. Gene expression profiles of GSE13601,
GSE30784, GSE37991 and The Cancer Genome Atlas (TCGA) head and neck cancer were
downloaded from gene expression omnibus (GEO) and TCGA database respectively. R
software and bioconductor packages were used to compare and identify the
differentially expressed genes (DEGs) between OSCC tissues and normal controls.
The common DEGs were then subjected to gene ontology (GO) enrichment analysis,
ingenuity pathway analysis (IPA), protein-protein interaction (PPI) network
analysis as well as survival analysis. A total of 76 up- and 102 down-regulated
DEGs were identified. Functional analysis revealed that these DEGs were
associates with increased oncostatin M signaling, cell diapedesis and
extravasation as well as reduced calcium signaling and loss of adherens junctions
and tight junctions. A set of robust prognostic signatures including PLAU, CLDN8
and CDKN2A were identified from DEGs and could predict overall survival in OSCC
patients from TCGA cohort. This three-gene signature was further successfully
validated as a prognostic marker for overall survival prediction in another
independent cohort GSE41613. In conclusion, our study has identified a registry
of novel genes and pathways that play important roles in regulating the
initiation and development of OSCC. A set of robust molecular signature is
identified for prognostic prediction, which will provide useful guidance for
therapeutic applications.
PMID- 29636999
TI - microRNA-532 suppresses the PI3K/Akt signaling pathway to inhibit colorectal
cancer progression by directly targeting IGF-1R.
AB - Substantial evidence has shown that numerous microRNAs (miRNAs) are deregulated
in colorectal cancer (CRC) and that their dysregulation is involved in CRC
formation and progression. miRNA-based targeted therapy that inhibits or restores
expression may be a promising therapeutic approach for anti-cancer therapy.
Therefore, a comprehensive investigation of the mechanisms underlying CRC
occurrence and development may help identify effective therapeutic targets for
the therapy of CRC, thus improving the prognosis of patients with this disease.
This study showed that miRNA-532 (miR-532) was significantly down-regulated in
CRC tissues and cell lines. Low miR-532 expression strongly correlated with
aggressive clinicopathological characteristics, including tumor size, lymphatic
metastasis and TNM stage. Exogenous expression of miR-532 restricted cell
proliferation, colony formation, migration and invasion; promoted cell apoptosis
in vitro; and reduced tumor growth in vivo. Mechanistically, insulin-like growth
factor 1 receptor (IGF-1R) was determined to be a novel direct target gene of miR
532 in CRC. In clinical CRC tissues, the expression of miR-532 was inversely
correlated with that of IGF-1R, which was clearly overexpressed in CRC tissues.
Furthermore, IGF-1R silencing simulated the tumor-suppressing roles of miR-532 in
CRC. Moreover, recovered IGF-1R expression antagonized the inhibitory effects of
miR-532 overexpression on CRC cells. Notably, miR-532 overexpression inhibited
activation of the PI3K/Akt signaling pathway in CRC, both in vitro and in vivo.
These results indicate that miR-532 plays an important role in CRC development,
partly by directly targeting IGF-1R and regulating the PI3K/Akt signaling
pathway. Thus, the miR-532/IGF-1R axis has clinical significance in the therapy
of patients with CRC.
PMID- 29637001
TI - Highly expressed histone deacetylase 5 promotes the growth of hepatocellular
carcinoma cells by inhibiting the TAp63-maspin pathway.
AB - Aberrant expression of histone deacetylases (HDACs) has been detected in a
variety of cancers, which disrupts the balance between cell proliferation and
apoptosis in favor of continuous growth. A previous study demonstrated that HDAC5
contributes to the proliferation of hepatocellular carcinoma (HCC) cells, but a
clear understanding of the mechanism has not yet been provided. In the present
work, we found that the levels of HDAC5 were significantly higher in HCC tissues
and cells than in adjacent tissues and normal hepatic cells. In addition,
knockdown of HDAC5 attenuated the proliferation of Hep3B and HepG2 cells. Through
profiling the expressions of proliferation and apoptosis-related genes in Hep3B
cells following HDAC5 knockdown, p63 and maspin were found obviously up-regulated
in HDAC5-deprived cells compared with the control. Further investigations
confirmed that HDAC5 knockdown induced TAp63 expression in HCC cells, accompanied
with increased H3K9 acetylation at the TAp63 promoter. Overexpression of TAp63
led to proliferation inhibition by inducing cell cycle arrest. Additionally,
TAp63 that was required for the maspin upregulation resulted from HDAC5
knockdown. Phenotype experiments showed that interrupting either TAp63 or maspin
recovered the proliferative and tumorigenic capabilities of HCC cells with HDAC5
knockdown. Clinical analysis showed that HDAC5 was negatively correlated with
TAp63 and maspin in HCC tissues. In addition, a high level of HDAC5 as well as a
low level of TAp63 or maspin predicted poor survival in HCC patients. Taken
together, this study proposes the existence of an aberrant HDAC5-TAp63-maspin
pathway conferring HCC progression through proliferation induction, which
suggests novel intervention targets for the disease.
PMID- 29637002
TI - MiR-216a exerts tumor-suppressing functions in renal cell carcinoma by targeting
TLR4.
AB - MiR-216a, a tumor-related microRNA (miRNA), has been reported to be implicated in
the tumorigenesis and progression of diverse types of human malignancies;
however, its role in renal cell carcinoma (RCC) remains unclear. This study aimed
to explore the biological role of miR-216a in RCC and clarify the potential
mechanisms involved. In the present study, miR-216a was found to be significantly
down-regulated in both RCC tissues and cell lines. Functional studies
demonstrated that enhanced expression of miR-216a suppressed RCC cell
proliferation, migration and invasion in vitro, inhibited tumor growth in vivo,
and induced RCC cell cycle arrest and apoptosis. Moreover, the tumor-suppressing
effects of miR-216a in RCC were abrogated by the miR-216a inhibitor treatment.
Notably, toll-like receptor 4 (TLR4) was downregulated by miR-216a via direct
binding to its 3' untranslated region in RCC cells. Furthermore, TLR4 expression
was discovered to be markedly up-regulated and inversely correlated with miR-216a
expression in RCC tissues. Mechanistic studies revealed that restoring the
expression of TLR-4 alleviated miR-216a-induced inhibitory effects on
proliferation, migration and invasion of RCC cells. Taken together, these
findings suggest that miR-216a functions as a tumor suppressor in RCC by directly
targeting TLR4 and that miR-216a might be a novel therapeutic target for RCC.
PMID- 29637003
TI - TGF-beta1 expression in regulatory NK1.1-CD4+NKG2D+ T cells dependents on the
PI3K-p85alpha/JNK, NF-kappaB and STAT3 pathways.
AB - NK1.1-CD4+NKG2D+ cells exert their immune-regulatory function in tumor as an
unconventional regulatory T cell subset through the production of TGF-beta1;
however, the molecular mechanisms involving with the activation of nuclear
factors for TGF-beta1 transcription remain unclear. Here we determined that the
PI3K-p85alpha subunit was specifically activated in NK1.1-CD4+NKG2D+ cells
following an 8-hour stimulation by sRAE-1 or alpha-CD3/sRAE-1, subsequently
leading to the activation of PI3K-p110, Akt, and JNK. On the contrary, alpha
CD3/alpha-CD28 stimulation did not induce the activation of PI3K-p85 and JNK.
Consequently, activation of the nuclear transcription factor AP-1 as a
consequence of JNK activation regulated TGF-beta1 expression in NK1.1-CD4+NKG2D+
cells. Furthermore, activation of NF-kappaB in NK1.1-CD4+NKG2D+ cells resulted
from both protein kinase C activation downstream of TCR/CD3 signaling and PI3K
activation induced by NKG2D engagement. The STAT3-Y705 phosphorylation, as
activated by PI3K, under stimulations of the sRAE-1 or alpha-CD3/sRAE-1 also
contributed to the TGF-beta1 expression in NK1.1-CD4+NKG2D+ cells. Moreover, ChIP
assay confirmed that STAT3 was capable of binding with the promoter regions of
TGF-beta1. In conclusion, our data showed that the TGF-beta1 transcription in
NK1.1-CD4+NKG2D+ cells induced by sRAE-1 or alpha-CD3/sRAE-1 was involved with
the AP-1, NF-kappaB, and STAT3 signaling pathways; therefore, regulation of AP-1,
NF-kappaB, and STAT3 activation may play important roles in the development and
function of NK1.1-CD4+NKG2D+ cells.
PMID- 29637004
TI - Cholangiocarcinoma: molecular imaging-guided radiofrequency hyperthermia-enhanced
intratumoral herpes simplex virus thymidine kinase gene therapy.
AB - We investigated the feasibility of using radiofrequency hyperthermia (RFH) to
enhance green fluorescent protein (GFP)/herpes simplex virus thymidine kinase
(HSV-TK)/ganciclovir (GCV) gene therapy of cholangiocarcinoma. Cholangiocarcinoma
cells and mice with cholangiocarcinoma were treated by (i) GFP/HSV-TK/plasmid
combined with RFH at 42 degrees C, followed by ganciclovir administration; (ii)
HSV-TK alone; (iii) RFH alone; and (iv) saline. The therapeutic effects among
different treatments were evaluated by bioluminescent optical imaging and
ultrasound imaging. For the technical validation, GFP/HSV-TK/plasmid was
intrabiliarily injected into pig common bile duct (CBD) walls using a needle
integrated balloon catheter with or without RFH enhancement. GFP gene expression
was evaluated by optical imaging, which was correlated with histology. The
results show that combination therapy of HSV-TK plus RFH significantly induced
lower cell viabilities and decreased bioluminescence signals compared the other
three groups, which were further confirmed by the tumor volume decrease with
combination therapy, as measured by ultrasound imaging. Optical imaging of CBD
tissues demonstrated an increased GFP expression in the group with RFH
enhancement, compared that with non-RFH treatment. We concluded that intratumoral
RFH can enhance the therapeutic effect of GFP/HSV-TK/plasmid on
cholangiocarcinoma, which may open new avenues for effective treatment of this
deadly disease.
PMID- 29637005
TI - YY1 and HDAC9c transcriptionally regulate p38-mediated mesenchymal stem cell
differentiation into osteoblasts.
AB - Mesenchymal stem cells (MSCs) have a high self-renewal potential and can
differentiate into various types of cells, including adipocytes, osteoblasts, and
chondrocytes. Previously, we reported that the enhancer of zeste homolog 2
(EZH2), the catalytic component of the Polycomb-repressive complex 2, and HDAC9c
mediate the osteogenesis and adipogenesis of MSCs. In the current study, we
identify the role of p38 in osteogenic differentiation from a MAPK antibody array
screen and investigate the mechanisms underlying its transcriptional regulation.
Our data show that YY1, a ubiquitously expressed transcription factor, and HDAC9c
coordinate p38 transcriptional activity to promote its expression to facilitate
the osteogenic potential of MSCs. Our results show that p38 mediates osteogenic
differentiation, and this has significant implications in bone-related diseases,
bone tissue engineering, and regenerative medicine.
PMID- 29637006
TI - Energy stress-induced lncRNA HAND2-AS1 represses HIF1alpha-mediated energy
metabolism and inhibits osteosarcoma progression.
AB - During recent years, long noncoding RNAs (lncRNAs) have been recognized as key
regulators in the development and progression of human cancers, however, their
roles in osteosarcoma metabolism are still not well understood. The present study
aims to investigate the expression profiles and potential modulation of specific
lncRNA(s) in osteosarcoma metabolism. The high-throughput Hiseq sequencing was
performed to screen for abnormally expressed lncRNAs in osteosarcoma cells
cultured under glucose starvation condition, and lncRNA HAND2-AS1 was eventually
identified as one that was significantly up-regulated when compared with normal
cultured cells. Mechanistic investigations indicated that knockdown of HAND2-AS1
abrogated the energy stress-induced effect on cell apoptosis and proliferation,
and promoted osteosarcoma progression. Moreover, knockdown of HAND2-AS1 promoted
glucose uptake, lactate production, and the expression level of a serious of
enzymes that involved in energy metabolism. Subsequently, RNA pull-down and RNA
immuneprecipitation revealed that, upon energy stress, HAND2-AS1 regulated
osteosarcoma metabolism through sequestering FBP1 from binding to HIF1alpha,
thereby releasing HIF1alpha expression and promoting the protein level. Taken
together, our integrated approach reveals a regulatory mechanism by lncRNA HAND2
AS1 to control energy metabolism and tumor development in osteosarcoma. Thus,
HAND2-AS1 may be a potential biomarker and therapeutic target for the repression
of osteosarcoma metabolism.
PMID- 29637007
TI - A feedback loop consisting of RUNX2/LncRNA-PVT1/miR-455 is involved in the
progression of colorectal cancer.
AB - Long non-coding RNAs (lncRNAs) have been shown to participate in cancer
progression. In the present study, we explored the potential roles of lncRNA-PVT1
in the development process of colorectal cancer (CRC) via miR-455. We found that
PVT1 is up-regulated in human CRC tissues compared to adjacent normal tissues. A
functional study showed that the silencing of PVT1 expression by siRNAs inhibited
cell proliferation, migration and invasion, whereas the overexpression of PVT1
accelerated cell proliferation, migration and invasion in vitro. A mechanistic
study indicated PVT1 regulated the growth of CRC tumors by acting as a competing
endogenous RNAs (ceRNA) and negatively regulated miR-455. Furthermore, we
discovered that RUNX2, a functional transcription factor in CRC, up-regulated
PVT1 expression. Therefore, our study suggested that the RUNX2/PVT1/miR-455
regulatory axis plays an important role in CRC tumorigenesis and may be a
therapeutic target for the treatment of CRC.
PMID- 29637008
TI - Overexpression of golgi membrane protein 1 promotes non-small-cell carcinoma
aggressiveness by regulating the matrix metallopeptidase 13.
AB - Non-small-cell carcinoma (NSCLC) is one of the most lethal malignancies of lung
cancers and its prognosis remains dismal due to the paucity of effective
therapeutic targets. Recent reports show that Golgi membrane protein 1 (GOLM1) is
highly expressed in a variety of tumor cells, functions as a negative regulator
of T cells and then promotes tumor progression. However, its expression and role
in NSCLC remain unclear. Herein, we showed that GOLM1 was markedly up-regulated
in NSCLC cell lines and clinical tissues. Clinically, NSCLC patients with high
expression of GOLM1 had shorter overall survival (OS) and high GOLM1 expression
in tumor samples was significantly related to malignant phenotype, such as lymph
node metastasis and high tumor stage. Ectopic expression of GOLM1 in NSCLC cells
induced epithelial-to-mesenchymal transition (EMT) and promoted proliferation,
migration, and invasion of NSCLC cells in vitro. Furthermore, GOLM1
overexpressing significantly promoted the tumorigenicity of NSCLC cells in vivo
whereas silencing endogenous GOLM1 caused an opposite outcome. Moreover, we
demonstrated that GOLM1 enhanced NSCLC aggressiveness by activating matrix
metalloproteinase-13 (MMP13) signaling. Together, our results provided new
evidence that GOLM1 overexpression promoted the progression of NSCLC and might
represent a novel therapeutic target for its treatment.
PMID- 29637009
TI - Epidemiology of the Zika Virus Outbreak in the Cabo Verde Islands, West Africa.
AB - Introduction: The Zika virus (ZIKV) outbreak in the island nation of Cabo Verde
was of unprecedented magnitude in Africa and the first to be associated with
microcephaly in the continent. Methods: Using a simple mathematical framework we
present a first epidemiological assessment of attack and observation rates from
7,580 ZIKV notified cases and 18 microcephaly reports between July 2015 and May
2016. Results: In line with observations from the Americas and elsewhere, the
single-wave Cabo Verdean ZIKV epidemic was characterized by a basic reproductive
number of 1.85 (95% CI, 1.5 - 2.2), with overall the attack rate of 51.1% (range
42.1 - 61.1) and observation rate of 2.7% (range 2.29 - 3.33). Conclusion:
Current herd-immunity may not be sufficient to prevent future small-to-medium
epidemics in Cabo Verde. Together with a small observation rate, these results
highlight the need for rapid and integrated epidemiological, molecular and
genomic surveillance to tackle forthcoming outbreaks of ZIKV and other
arboviruses.
PMID- 29637010
TI - A Nosocomial Outbreak of Clinical Sepsis in a Neonatal Care Unit (NCU) in Port-Au
Prince Haiti, July 2014 - September 2015.
AB - Introduction: Between July 2014 and September 2015, a neonatal care unit (NCU) in
Port Au Prince, Haiti, experienced an outbreak of sepsis, most probably due to
nosocomial transmission of Extended Beta Lactamase (ESBL) producing gram negative
bacteria, included Klebsiella pneumoniae. Methods: We describe the
epidemiological and microbiological activities performed as part of the outbreak
investigation and the control measures implemented throughout this period.
Results: During the study period 257 cases of sepsis were reported, of which 191
died. The case fatality decreased from 100% in July 2014 to 24% in September 2015
and could be attributed to an improvement in clinical management and strengthened
infection prevention and control measures. Risk factors identified to be
associated with having late onset sepsis (sepsis onset >48 hours after
birth)(n=205/257, 79. included: all categories of birthweight lower than <2500g
(p=<0.0001) and all categories of gestational age younger than 36 weeks
(p=0.0002). Microbiological investigations confirmed that out of 32 isolates
(N=55; 58%) that were positive for gram negative bacteria, 27 (89%) were due to
K. pneumoniae and most of these were from single MLST type (ST37). Discussion :
This outbreak highlighted the importance of epidemiological and microbiological
surveillance during an outbreak of sepsis in a NCU in a low resource setting,
including regular point prevalence surveys.
PMID- 29637012
TI - Tele-yoga for Chronic Pain: Current Status and Future Directions.
AB - Pain is a pervasive, debilitating disorder that is resistant to long-term
pharmacological interventions. Although psychological therapies such as cognitive
behavior therapy demonstrate moderate efficacy, many individuals continue to have
ongoing difficulties following treatment. There is a current trend to establish
complementary and integrative health interventions for chronic pain, for which
yoga has been found to have exciting potential. Nevertheless, an important
consideration within the field is accessibility to adequate care. Telehealth can
be used to provide real-time interactive video conferencing leading to increased
access to health care for individuals located remotely or who otherwise have
difficulty accessing services, perhaps through issues of mobility or proximity of
adequate services. This article assesses the current status and feasibility of
implementing tele-yoga for chronic pain. Methodological limitations and
recommendations for future research are discussed.
PMID- 29637013
TI - Leadless Pacemaker: Report of the First Experience in Hawai'i.
AB - We had the opportunity to implant the first leadless pacemakers in Hawai'i. This
device represents a major change in pacemaker technology. This is a report of the
first five cases and a review of the literature. All these devices were implanted
via femoral venous access (versus conventional upper chest
axillary/subclavian/cephalic routes), with an unique fixation mechanism allowing
direct attachment to the ventricular myocardium (dispensing the usage of long
transvenous electrode leads). The miniature generator can is over an order of
magnitude smaller and lighter than the currently available ones. This article
provides an understanding of the device design, implantation technique, the
advantages and limitations, and the potential of this new pacemaker.
PMID- 29637011
TI - Neurocysticercosis in Pregnancy.
AB - The normal physiologic changes during pregnancy contribute to nutritional,
metabolic, and immunologic adjustments, which can have an impact on the
presentation of several diseases. New onset seizures during pregnancy and the
postpartum can be attributed to several etiologies. Patient demographic data as
well as personal and social histories are key in determining the etiology of new
onset seizures. Neurocysticercosis (NCC), a commonly overlooked etiology, must be
included in the differential diagnosis of patients with new onset seizures coming
from NCC endemic areas. The diagnosis is based on a combination of clinical
findings, exposure history, imaging, and serology. We present two cases of
patients with NCC that became symptomatic during pregnancy or postpartum period.
We will review the epidemiology, clinical manifestations, and management of NCC
in pregnancy.
PMID- 29637014
TI - Chuukese Patients, Dual Role Interpreters, and Confidentiality: Exploring Clinic
Interpretation Services for Reproductive Health Patients.
AB - This exploratory study analyzes limited English proficient (LEP) Chuukese
patients' perspectives on dual-role interpreters in Guam and Chuuk. Methods
included ethnographic observations of encounters with health care workers (HCWs)
and 225 female Chuukese patients seeking reproductive healthcare in community
health clinics: 126 women in Guam and 99 women in Chuuk. Ethnographic
observations were supplemented by semi-structured interviews with 26 HCWs, and
life history interviews with 15 Chuukese transnational migrant women. Notes from
interview transcripts and observations were analyzed using critical interpretive
and grounded theory. Findings demonstrated that Chuukese LEP patients need and at
times want interpreters in order to understand their healthcare visits. In the
absence of professional interpreters, ad-hoc interpreters (family interpreters
and employees of the clinic) are an important resource. However, social and
cultural concerns with community confidentiality influenced patient trust of
staff interpreters. This lack of trust can limit access to health care overall,
as some patients may avoid seeking care to prevent their confidential health
information being disclosed. These complexities in interpretation must be
considered in order for clinics to provide optimal care for the communities they
serve.
PMID- 29637015
TI - Medical School Hotline: New Research Grants Awarded in AY 2016-2017 at the John
A. Burns School of Medicine.
PMID- 29637016
TI - Insights in Public Health: Recognize, Retreat, and Report: Education and
Community Partnerships Essential to Injury Prevention from Unexploded Ordnance
across Hawaii's WWII Training Grounds.
PMID- 29637018
TI - Density and population viability of coastal marten: a rare and geographically
isolated small carnivore.
AB - Pacific martens (Martes caurina humboldtensis) in coastal forests of Oregon and
northern California in the United States are rare and geographically isolated,
prompting a petition for listing under the Endangered Species Act. If listed,
regulations have the potential to influence land-use decisions on public and
private lands, but no estimates of population size, density, or viability of
remnant marten populations are available for evaluating their conservation
status. We used GPS and VHF telemetry and spatial mark-resight to estimate home
ranges, density, and population size of Pacific martens in the Oregon Dunes
National Recreation Area, central coast Oregon, USA. We then estimated population
viability at differing levels of human-caused mortality (e.g., vehicle
mortality). Marten home ranges were small on average (females = 0.8 km2, males
1.5 km2) and density (1.13 martens/1 km2) was the highest reported for North
American populations (M. caurina, M. americana). We estimated 71 adult martens
(95% CRI [41-87]) across two subpopulations separated by a large barrier (Umpqua
River). Using population viability analysis, extinction risk for a subpopulation
of 30 martens, approximately the size of the subpopulation south of the Umpqua
River, ranged from 32% to 99% with two or three annual human-caused mortalities
within 30 years. Absent population expansion, limiting human-caused mortalities
will likely have the greatest conservation impact.
PMID- 29637019
TI - Including autapomorphies is important for paleontological tip-dating with
clocklike data, but not with non-clock data.
AB - Tip-dating, where fossils are included as dated terminal taxa in Bayesian dating
inference, is an increasingly popular method. Data for these studies often come
from morphological character matrices originally developed for non-dated, and
usually parsimony, analyses. In parsimony, only shared derived characters
(synapomorphies) provide grouping information, so many character matrices have an
ascertainment bias: they omit autapomorphies (unique derived character states),
which are considered uninformative. There has been no study of the effect of this
ascertainment bias in tip-dating, but autapomorphies can be informative in model
based inference. We expected that excluding autapomorphies would shorten the
morphological branchlengths of terminal branches, and thus bias downwards the
time branchlengths inferred in tip-dating. We tested for this effect using a
matrix for Carboniferous-Permian eureptiles where all autapomorphies had been
deliberately coded. Surprisingly, date estimates are virtually unchanged when
autapomorphies are excluded, although we find large changes in morphological rate
estimates and small effects on topological and dating confidence. We hypothesized
that the puzzling lack of effect on dating was caused by the non-clock nature of
the eureptile data. We confirm this explanation by simulating strict clock and
non-clock datasets, showing that autapomorphy exclusion biases dating only for
the clocklike case. A theoretical solution to ascertainment bias is computing the
ascertainment bias correction (Mkparsinf), but we explore this correction in
detail, and show that it is computationally impractical for typical datasets with
many character states and taxa. Therefore we recommend that palaeontologists
collect autapomorphies whenever possible when assembling character matrices.
PMID- 29637020
TI - 2D versus 3D real time ultrasound with live xPlane imaging to visualize aortic
and ductal arches: comparison between methods.
AB - Background: The diagnosis of congenital heart defects is challenging, especially
for what concerns conotruncal anomalies. Indeed, although the screening
techniques of fetal cardiac anomalies have greatly improved, the detection rate
of conotruncal anomalies still remains low due to the fact that they are
associated with a normal four-chamber view. Therefore, the study aimed to compare
real-time three-dimensional echocardiography with live xPlane imaging with two
dimensional (2D) traditional imaging in visualizing ductal and aortic arches
during routine echocardiography of the second trimester of gestation. Methods:
This was an observational prospective study including 114 women with
uncomplicated, singleton pregnancies. All sonographic studies were performed by
two different operators, of them 60 by a first level operator, while 54 by a
second level operator. A subanalysis was run in order to evaluate the feasibility
and the time needed for the two procedures according to fetal spine position and
operator's experience. Results: The measurements with 2D ultrasound were
performed in all 114 echocardiographies, while live xPlane imaging was feasible
in the 78% of the cases, and this was mainly due to fetal position. The time
lapse needed to visualize aortic and ductal arches was significantly lower when
using 2D ultrasound compared to live xPlane imaging (29.56 +/- 28.5 s vs. 42.5 +/
38.1 s, P = 0.006 for aortic arch; 22.14 +/- 17.8 s vs. 37.1 +/- 33.8 s, P =
0.001 for ductal arch), also when performing a subanalysis according to
operators' experience (P < 0.05 for all comparisons). Feasibility of live xPlane
proved to be correlated with the position of the fetal spine and the operator's
experience. Discussion: To find a reproducible and standardized method to detect
fetal heart defects may bring a great benefit for both patients and operators. In
this scenario live xPlane imaging is a novel method to visualize ductal and
aortic arches. We found that the position of the fetal spine may affect the
feasibility of the method since, when the fetal back is anterior or transverse,
the visualization of the correct view of three-vessels and trachea in order to
set the reference line properly becomes more challenging. In addition, the fetal
spine position influences the duration of the ultrasound examination. Regarding
operator's skills and experience, in our study a first level operator was able to
perform the complete 2D and xPlane examination in a lower number of cases
compared to second level operators. In addition, the time required for the
complete examination was higher for first level operators. This means that this
technique is based on an adequate operators' expertise.
PMID- 29637021
TI - Year-round monitoring reveals prevalence of fatal bird-window collisions at the
Virginia Tech Corporate Research Center.
AB - Collisions with glass are a serious threat to avian life and are estimated to
kill hundreds of millions of birds per year in the United States. We monitored 22
buildings at the Virginia Tech Corporate Research Center (VTCRC) in Blacksburg,
Virginia, for collision fatalities from October 2013 through May 2015 and
explored possible effects exerted by glass area and surrounding land cover on
avian mortality. We documented 240 individuals representing 55 identifiable
species that died due to collisions with windows at the VTCRC. The relative risk
of fatal collisions at all buildings over the study period were estimated using a
Bayesian hierarchical zero-inflated Poisson model adjusting for percentage of
tree and lawn cover within 50 m of buildings, as well as for glass area. We found
significant relationships between fatalities and surrounding lawn area (relative
risk: 0.96, 95% credible interval: 0.93, 0.98) as well as glass area on buildings
(RR: 1.30, 95% CI [1.05-1.65]). The model also found a moderately significant
relationship between fatal collisions and the percent land cover of ornamental
trees surrounding buildings (RR = 1.02, 95% CI [1.00-1.05]). Every building
surveyed had at least one recorded collision death. Our findings indicate that
birds collide with VTCRC windows during the summer breeding season in addition to
spring and fall migration. The Ruby-throated Hummingbird (Archilochus colubris)
was the most common window collision species and accounted for 10% of deaths.
Though research has identified various correlates with fatal bird-window
collisions, such studies rarely culminate in mitigation. We hope our study brings
attention, and ultimately action, to address this significant threat to birds at
the VTCRC and elsewhere.
PMID- 29637022
TI - Longitudinal analysis of raccoon rabies in West Virginia, 2000-2015: a
preliminary investigation.
AB - Animal borne rabies virus is a source of infection in humans, and raccoons
(Procyon lotor) are the primary terrestrial reservoir in West Virginia (WV). To
assess the behavior and status of raccoon variant rabies virus (RRV) cases in WV,
a longitudinal analysis for the period 2000-2015 was performed, using data
provided by the state Bureau of Public Health. The analytic approach used was
negative binomial regression, with exclusion of those counties that had not
experienced RRV cases in the study period, and with further examination of those
counties where oral rabies vaccine (ORV) baits had been distributed as compared
with non-ORV counties. These analyses indicated that there had been a reduction
in numbers of RRV positive animals over the study period, predominantly due to a
decrease in raccoon infections. Non-raccoon hosts did not appear to have a
similar decline, however. The rates of decline for the ORV zone were found to be
significantly greater as compared to the non-ORV area. The study was limited by
the lack of data for season or point location of animal collection, and by lack
of surveillance effort data. Even so, this study has implications for the
preventive measures currently being implemented, including expanded vaccination
effort in domestic animals. Spatial analyses of RRV and further examination of
the virus in non-raccoon hosts are warranted.
PMID- 29637023
TI - Reinvestigating an enigmatic Late Cretaceous monocot: morphology, taxonomy, and
biogeography of Viracarpon.
AB - Angiosperm-dominated floras of the Late Cretaceous are essential for
understanding the evolutionary, ecological, and geographic radiation of flowering
plants. The Late Cretaceous-early Paleogene Deccan Intertrappean Beds of India
contain angiosperm-dominated plant fossil assemblages known from multiple
localities in central India. Numerous monocots have been documented from these
assemblages, providing a window into an important but poorly understood time in
their diversification. One component of the Deccan monocot diversity is the genus
Viracarpon, known from anatomically preserved infructescences. Viracarpon was
first collected over a century ago and has been the subject of numerous studies.
However, resolution of its three-dimensional (3D) morphology and anatomy, as well
as its taxonomic affinities, has remained elusive. In this study we investigated
the morphology and taxonomy of genus Viracarpon, combining traditional
paleobotanical techniques and X-ray micro-computed tomography (MUCT). Re
examination of type and figured specimens, 3D reconstructions of fruits, and
characterization of structures in multiple planes of section using MUCT data
allowed us to resolve conflicting interpretations of fruit morphology and
identify additional characters useful in refining potential taxonomic affinities.
Among the four Viracarpon species previously recognized, we consider two to be
valid (Viracarponhexaspermum and Viracarponelongatum), and the other two to be
synonyms of these. Furthermore, we found that permineralized infructescences of
Coahuilocarpon phytolaccoides from the late Campanian of Mexico correspond
closely in morphology to V. hexaspermum. We argue that Viracarpon and
Coahuilocarpon are congeneric and provide the new combination, Viracarpon
phytolaccoides (Cevallos-Ferriz, Estrada-Ruiz & Perez-Hernandez) Matsunaga, S.Y.
Smith, & Manchester comb. nov. The significant geographic disjunction between
these two occurrences indicates that the genus Viracarpon was widespread and may
be present in other Late Cretaceous assemblages. Viracarpon exhibits character
combinations not present in any extant taxa and its affinities remain unresolved,
possibly representing an extinct member of Alismatales. The character mosaic
observed in Viracarpon and the broad distribution of the genus provide new data
relevant to understanding early monocot evolution and suggest that the (thus far)
largely invisible Late Cretaceous monocot diversification was characterized by
enigmatic and/or stem taxa.
PMID- 29637024
TI - Genomic analyses of Northern snakehead (Channa argus) populations in North
America.
AB - Background: The introduction of northern snakehead (Channa argus; Anabantiformes:
Channidae) and their subsequent expansion is one of many problematic biological
invasions in the United States. This harmful aquatic invasive species has become
established in various parts of the eastern United States, including the Potomac
River basin, and has recently become established in the Mississippi River basin
in Arkansas. Effective management of C. argus and prevention of its further
spread depends upon knowledge of current population structure in the United
States. Methods: Novel methods for invasive species using whole genomic scans
provide unprecedented levels of data, which are able to investigate fine scale
differences between and within populations of organisms. In this study, we
utilize 2b-RAD genomic sequencing to recover 1,007 single-nucleotide polymorphism
(SNP) loci from genomic DNA extracted from 165 C. argus individuals: 147
individuals sampled along the East Coast of the United States and 18 individuals
sampled throughout Arkansas. Results: Analysis of those SNP loci help to resolve
existing population structure and recover five genetically distinct populations
of C. argus in the United States. Additionally, information from the SNP loci
enable us to begin to calculate the long-term effective population size ranges of
this harmful aquatic invasive species. We estimate long-term Ne to be 1,840,000
18,400,000 for the Upper Hudson River basin, 4,537,500-45,375,000 for the Lower
Hudson River basin, 3,422,500-34,225,000 for the Potomac River basin, 2,715,000
7,150,000 for Philadelphia, and 2,580,000-25,800,000 for Arkansas populations.
Discussion and Conclusions: This work provides evidence for the presence of more
genetic populations than previously estimated and estimates population size,
showing the invasive potential of C. argus in the United States. The valuable
information gained from this study will allow effective management of the
existing populations to avoid expansion and possibly enable future eradication
efforts.
PMID- 29637025
TI - The neglected bee trees: European beech forests as a home for feral honey bee
colonies.
AB - It is a common belief that feral honey bee colonies (Apis mellifera L.) were
eradicated in Europe through the loss of habitats, domestication by man and
spread of pathogens and parasites. Interestingly, no scientific data are
available, neither about the past nor the present status of naturally nesting
honeybee colonies. We expected near-natural beech (Fagus sylvatica L.) forests to
provide enough suitable nest sites to be a home for feral honey bee colonies in
Europe. Here, we made a first assessment of their occurrence and density in two
German woodland areas based on two methods, the tracing of nest sites based on
forager flight routes (beelining technique), and the direct inspection of
potential cavity trees. Further, we established experimental swarms at forest
edges and decoded dances for nest sites performed by scout bees in order to study
how far swarms from beekeeper-managed hives would potentially move into a forest.
We found that feral honey bee colonies regularly inhabit tree cavities in near
natural beech forests at densities of at least 0.11-0.14 colonies/km2. Colonies
were not confined to the forest edges; they were also living deep inside the
forests. We estimated a median distance of 2,600 m from the bee trees to the next
apiaries, while scout bees in experimental swarms communicated nest sites in
close distances (median: 470 m). We extrapolate that there are several thousand
feral honey bee colonies in German woodlands. These have to be taken in account
when assessing the role of forest areas in providing pollination services to the
surrounding land, and their occurrence has implications for the species'
perception among researchers, beekeepers and conservationists. This study
provides a starting point for investigating the life-histories and the ecological
interactions of honey bees in temperate European forest environments.
PMID- 29637026
TI - Predicting Pinus monophylla forest cover in the Baja California Desert by remote
sensing.
AB - The Californian single-leaf pinyon (Pinus monophylla var. californiarum), a
subspecies of the single-leaf pinyon (the world's only one-needled pine),
inhabits semi-arid zones of the Mojave Desert (southern Nevada and southeastern
California, US) and also of northern Baja California (Mexico). This tree is
distributed as a relict subspecies, at elevations of between 1,010 and 1,631 m in
the geographically isolated arid Sierra La Asamblea, an area characterized by
mean annual precipitation levels of between 184 and 288 mm. The aim of this
research was (i) to estimate the distribution of P. monophylla var. californiarum
in Sierra La Asamblea by using Sentinel-2 images, and (ii) to test and describe
the relationship between the distribution of P. monophylla and five topographic
and 18 climate variables. We hypothesized that (i) Sentinel-2 images can be used
to predict the P. monophylla distribution in the study site due to the finer
resolution (*3) and greater number of bands (*2) relative to Landsat-8 data,
which is publically available free of charge and has been demonstrated to be
useful for estimating forest cover, and (ii) the topographical variables aspect,
ruggedness and slope are particularly important because they represent important
microhabitat factors that can determine the sites where conifers can become
established and persist. An atmospherically corrected a 12-bit Sentinel-2A MSI
image with 10 spectral bands in the visible, near infrared, and short-wave
infrared light region was used in combination with the normalized differential
vegetation index (NDVI). Supervised classification of this image was carried out
using a backpropagation-type artificial neural network algorithm. Stepwise
multiple linear binominal logistical regression and Random Forest classification
including cross validation were used to model the associations between
presence/absence of P. monophylla and the five topographical and 18 climate
variables. Using supervised classification of Sentinel-2 satellite images, we
estimated that P. monophylla covers 6,653 +/- 319 ha in the isolated Sierra La
Asamblea. The NDVI was one of the variables that contributed most to the
prediction and clearly separated the forest cover (NDVI > 0.35) from the other
vegetation cover (NDVI < 0.20). Ruggedness was the most influential environmental
predictor variable, indicating that the probability of occurrence of P.
monophylla was greater than 50% when the degree of ruggedness terrain ruggedness
index was greater than 17.5 m. The probability of occurrence of the species
decreased when the mean temperature in the warmest month increased from 23.5 to
25.2 degrees C. Ruggedness is known to create microclimates and provides shade
that minimizes evapotranspiration from pines in desert environments.
Identification of the P. monophylla stands in Sierra La Asamblea as the most
southern populations represents an opportunity for research on climatic tolerance
and community responses to climate variability and change.
PMID- 29637027
TI - Expression profiles of TRPV1, TRPV4, TLR4 and ERK1/2 in the dorsal root
ganglionic neurons of a cancer-induced neuropathy rat model.
AB - Background: The spread of tumors through neural routes is common in several types
of cancer in which patients suffer from a moderate-to-severe neuropathy, neural
damage and a distorted quality of life. Here we aim to examine the expression
profiles of transient receptor potential vanilloid 1 (TRPV1) and of transient
receptor potential vanilloid 4 (TRPV4), toll-like receptor 4 (TLR4) and
extracellular signal-regulated kinase (ERK1/2), and to assess the possible
therapeutic strategies through blockade of transient receptor potential (TRP)
channels. Methods: Cancer was induced within the sciatic nerves of male
Copenhagen rats, and tissues from dorsal root ganglia (DRG) were collected and
used for measurements of immunofluorescence and Western blotting. The TRPV1
antagonist capsazepine, the selective TRPV4 antagonist HC-067047 and the calcium
ions inhibitor ruthenium red were used to treat thermal and/or mechanical
hyperalgesia. Results: Transient receptor potential vanilloid 1 showed a lower
expression in DRGs on days 7 and 14. The expression of TRPV4, TLR4 and ERK1/2
showed an increase on day 3 then a decrease on days 7 and 14. TRPV1 and TLR4 as
well as TRPV4 and ERK1/2 co-existed on the same neuronal cells. The neuropathic
pain was reversed in dose-dependent manners by using the TRP antagonists and the
calcium ions inhibitor. Conclusion: The decreased expression of TRPV1 and TRPV4
is associated with high activation. The increased expression of TLR4 and ERK1/2
reveals earlier immune response and tumor progression, respectively, and their
ultimate decrease is an indicator of nerve damage. We studied the possible role
of TRPV1 and TRPV4 in transducing cancer-induced hyperalgesia. The possible
treatment strategies of cancer-induced thermal and/or mechanical hyperalgesia
using capsazepine, HC-067047 and ruthenium red are examined.
PMID- 29637028
TI - Surface display for metabolic engineering of industrially important acetic acid
bacteria.
AB - Acetic acid bacteria have unique metabolic characteristics that suit them for a
variety of biotechnological applications. They possess an arsenal of membrane
bound dehydrogenases in the periplasmic space that are capable of regiospecific
and enantioselective partial oxidations of sugars, alcohols, and polyols. The
resulting products are deposited directly into the medium where they are easily
recovered for use as pharmaceutical precursors, industrial chemicals, food
additives, and consumer products. Expression of extracytoplasmic enzymes to
augment the oxidative capabilities of acetic acid bacteria is desired but is
challenging due to the already crowded inner membrane. To this end, an original
surface display system was developed to express recombinant enzymes at the outer
membrane of the model acetic acid bacterium Gluconobacter oxydans. Outer membrane
porin F (OprF) was used to deliver alkaline phosphatase (PhoA) to the cell
surface. Constitutive high-strength p264 and moderate-strength p452 promoters
were used to direct expression of the surface display system. This system was
demonstrated for biocatalysis in whole-cell assays with the p264 promoter having
a twofold increase in PhoA activity compared to the p452 promoter. Proteolytic
cleavage of PhoA from the cell surface confirmed proper delivery to the outer
membrane. Furthermore, a linker library was constructed to optimize surface
display. A rigid (EAAAK)1 linker led to the greatest improvement, increasing PhoA
activity by 69%. This surface display system could be used both to extend the
capabilities of acetic acid bacteria in current biotechnological processes, and
to broaden the potential of these microbes in the production of value-added
products.
PMID- 29637030
TI - An Alternative Myoelectric Pattern Recognition Approach for the Control of Hand
Prostheses: A Case Study of Use in Daily Life by a Dysmelia Subject.
AB - The functionality of upper limb prostheses can be improved by intuitive control
strategies that use bioelectric signals measured at the stump level. One such
strategy is the decoding of motor volition via myoelectric pattern recognition
(MPR), which has shown promising results in controlled environments and more
recently in clinical practice. Moreover, not much has been reported about daily
life implementation and real-time accuracy of these decoding algorithms. This
paper introduces an alternative approach in which MPR allows intuitive control of
four different grips and open/close in a multifunctional prosthetic hand. We
conducted a clinical proof-of-concept in activities of daily life by constructing
a self-contained, MPR-controlled, transradial prosthetic system provided with a
novel user interface meant to log errors during real-time operation. The system
was used for five days by a unilateral dysmelia subject whose hand had never
developed, and who nevertheless learned to generate patterns of myoelectric
activity, reported as intuitive, for multi-functional prosthetic control. The
subject was instructed to manually log errors when they occurred via the user
interface mounted on the prosthesis. This allowed the collection of information
about prosthesis usage and real-time classification accuracy. The assessment of
capacity for myoelectric control test was used to compare the proposed approach
to the conventional prosthetic control approach, direct control. Regarding the
MPR approach, the subject reported a more intuitive control when selecting the
different grips, but also a higher uncertainty during proportional continuous
movements. This paper represents an alternative to the conventional use of MPR,
and this alternative may be particularly suitable for a certain type of amputee
patients. Moreover, it represents a further validation of MPR with dysmelia
cases.
PMID- 29637029
TI - Histogram-Based Features Selection and Volume of Interest Ranking for Brain PET
Image Classification.
AB - Positron emission tomography (PET) is a molecular medical imaging modality which
is commonly used for neurodegenerative diseases diagnosis. Computer-aided
diagnosis, based on medical image analysis, could help quantitative evaluation of
brain diseases such as Alzheimer's disease (AD). A novel method of ranking the
effectiveness of brain volume of interest (VOI) to separate healthy control from
AD brains PET images is presented in this paper. Brain images are first mapped
into anatomical VOIs using an atlas. Histogram-based features are then extracted
and used to select and rank VOIs according to the area under curve (AUC)
parameter, which produces a hierarchy of the ability of VOIs to separate between
groups of subjects. The top-ranked VOIs are then input into a support vector
machine classifier. The developed method is evaluated on a local database image
and compared to the known selection feature methods. Results show that using AUC
outperforms classification results in the case of a two group separation.
PMID- 29637031
TI - Pain Perception and the Opioid Receptor Delta 1.
AB - Genetic factors play an integral role in the perception of pain, and studies have
only recently begun to explore the degree to which these factors affect clinical
decisions. The process of prescribing opioids is greatly influenced by an
individual's pain perception, which can vary based on several factors including
genetic variation. Opioid receptor delta 1 (OPRD1) plays a significant role in
the perception of both pain and its relief via opioids, and it shows significant
variability between individuals. Herein, we discuss the nature of the OPRD1
receptor and the value of further research into its effects, particularly in the
realm of pain management.
PMID- 29637032
TI - Sleep Abnormalities Among Patients With and Without Diabetes Using Pittsburg
Sleep Quality Index and Epworth Sleepiness Scale.
AB - Introduction Diabetes has a great influence on sleep patterns. Several hormonal
mechanisms are disrupted in patients with diabetes and, hence, affect their sleep
patterns. Sleep disturbances further worsen the state of the disease itself.
Method In this cross-sectional study, we collected data from 50 healthy adults
and 50 patients diagnosed with type 2 diabetes mellitus without comorbidities.
Study participants were asked to complete the Pittsburgh Sleep Quality Index
(PSQI) and Epworth Sleepiness Scale (ESS) surveys. Results The mean PSQI score
was 8.64 +/- 3.96 for patients with type 2 diabetes and 4.24 +/- 2.72 for
patients without diabetes. The mean Epworth Sleepiness score was 6.3 +/- 5.29
among patients with diabetes and 1.94 +/- 2.34 for patients without diabetes.
Conclusion The early diagnosis and management of sleep problems can help maintain
target blood glucose levels and may help impede the future development of
complications.
PMID- 29637033
TI - Metformin-Induced Lactic Acidosis: A Case Study.
AB - Metformin is the first line management for patients with Type 2 diabetes
mellitus. Metformin-induced lactic acidosis (MALA) is a severe side effect of
metformin in high doses. However, there have not been many reported cases of
MALA. The threshold metformin concentration needed to induce lactic acidosis is
still not fully understood. It is important for physicians to measure metformin
levels upon admission in Type 2 diabetes patients who take metformin and present
with suspected lactic acidosis. We present a case of a 40-year-old Caucasian male
who presented with severe lactic acidosis shortly after overdosing on metformin.
PMID- 29637034
TI - Lymphocytic Esophagitis: A Rare Disease on the Rise.
AB - Lymphocytic esophagitis is a rare, poorly understood disease. This case report
presents a patient with a history of squamous cell carcinoma of the tongue who
presented with dysphagia. He received esophageal dilation that unfortunately
resulted in perforation. Biopsies showed lymphocytic esophagitis. There are very
few cases in the literature describing perforation in lymphocytic esophagitis. In
addition, management and treatment have been challenging for physicians; however,
this case represents a complete symptomatic improvement in four to six weeks with
a proton pump inhibitor.
PMID- 29637035
TI - Curated Collections for Educators: Five Key Papers about Residents as Teachers
Curriculum Development.
AB - The Accreditation Council for Graduate Medical Education (ACGME) requires
residency programs to prepare residents to teach and assess medical students and
other learners. In order to achieve this, many programs develop formal residents
as teachers (RAT) curricula. Medical educators may seek the guidance of
previously published literature during the development of RAT programs at their
institutions. The authors sought to identify key articles published on the
subject of RAT programs over the last 10 years. The authors utilized a formal
literature search with the help of a medical librarian and identified additional
articles from virtual discussions among the author group and an open call for
articles on Twitter using the hashtag #MedEd. Virtual discussions occurred within
an online community of practice, the Academic Life in Emergency Medicine (ALiEM)
Faculty Incubator. The lead author conducted a four-round modified Delphi process
among the author group in order to narrow the broad article list to five key
articles on RAT programs. The authors summarize each article and provide
considerations for junior faculty as well as faculty developers. Curriculum
development and program evaluation should utilize established frameworks and
evidence-based approaches. The papers identified by this Delphi process will help
faculty use best practices when creating or revising new RAT curriculum. In
addition, faculty tasked with guiding junior faculty in this process or creating
faculty development programs around curriculum development will find these
articles to be a great resource for building content.
PMID- 29637036
TI - A Mild Version of Danon Disease Caused by a Newly Recognized Mutation in the
Lysosome-associated Membrane Protein-2 Gene.
AB - We present the case of a patient with dilated cardiomyopathy caused by a novel
mutation in the lysosome-associated membrane protein-2 (LAMP-2) gene. Patients
with pathogenic mutations of this gene typically suffer from Danon disease - a
condition that leads to cognitive decline, severe skeletal myopathy, and severe
hypertrophic cardiomyopathy. Our patient's presentation and clinical course,
however, is different and much less severe than other patients with this disease.
He did not suffer from neurologic and musculoskeletal complications. He is also
possibly the longest-known survivor of this disease without a heart transplant.
This disease is unfamiliar to many physicians, and our case highlights the
importance of an awareness of this disorder, particularly because of its
implications for both the patient and his family.
PMID- 29637037
TI - Determining the Optimal Length and Safety of Pedicle Screws in the T12 Vertebra:
A Morphometric Study.
AB - INTRODUCTION: Despite the developments in implant technology and imaging methods
and the advances in surgical techniques, there are still potential problems and
complications of transpedicular screw application. This is a morphometric study
to examine the proximity of the T12 vertebra to the thoracic aorta. Our aim was
to define the appropriate length of the pedicle screw to be used in the 12th
thoracic vertebra, using computed tomography (CT) data. METHODS: Randomly
selected cases from the same ethnic group in a specific age group were examined
in terms of the length from the anterior vertebral body and the screw entry point
of the T12 vertebra to the thoracic aorta. In light of these data, a statistical
analysis was made for the selection of the most appropriate screw length.
RESULTS: A statistically significant difference was detected in the distance from
the T12 left screw entry point to the aorta between males and females (p=0.001).
No statistically significant correlation was found between age and the distance
between the left screw entry point and the aorta (p=0.105). Also, no
statistically significant difference was detected between the T12 vertebral body
aorta distance in males and in females (p=0.212). The relationship between the
shortest aorta-vertebral body distance and age was not statistically significant
(p=0.7). Similarly, there was no statistically significant difference between the
left screw entry point-aorta distance and the aorta-vertebral body shortest
distance (p=0.731). CONCLUSIONS: Significant differences were observed between
males and females in terms of the distance between the T12 vertebra left screw
entry point and the thoracic aorta (p=0.001). Thus, we can assert the need for
the preoperative evaluation of patients with computed tomography in selecting the
appropriate screw length and avoiding complications.
PMID- 29637038
TI - Clinicopathological Behavior and Oncological Outcomes of Malignant Parotid Tumors
in a Pakistani Population.
AB - Introduction The incidence of salivary gland tumors is influenced by geographical
and racial factors resulting in diverse histology. While salivary gland tumors
account for a low proportion of head and neck cancers, most malignant tumors of
the salivary gland are located in the parotid gland. The goals of this study are
to describe the clinicopathological behavior of malignant parotid tumors and
explore oncological outcomes related to survival in our Pakistani tertiary care
cancer hospital. Methods We conducted a retrospective analysis of 209 patients
diagnosed with malignant parotid tumors from 2004 to 2016. Data such as
demographics, age, gender, histology, grade, clinical and pathological stage,
surgical treatment types and adjuvant modalities used were analyzed using SPSS
software version 20. We used Kaplan Meier curves to analyze survival data.
Results The median patient age at diagnosis was 40 years, and the ratio of men to
women was 1.2:1. Mucoepidermoid carcinoma was the most common histological
variant (with a 50% incidence rate) followed by adenoid cystic carcinoma (13%),
and adenocarcinoma (10%). Histology has further categorized these malignant
tumors into low (34%), intermediate (28%), and high (21% ) grades. The American
Joint Committee on Cancer, seventh edition, clinical staging was Stage I (21%),
II (28%), III (15%), and IV (34%). The 5-year survival was 68%, and the 10-year
survival was 45%. Conclusion Mucoepidermoid carcinoma is the most common
malignant parotid histology in our patient population. Advanced age, increased T
stage (size > 4 cm), high-grade histology, and cervical nodal involvement
decrease overall survival. Open biopsies, piecemeal excisions, and delayed
presentation for radiotherapy post-surgery may also have role in adverse outcomes
in these malignancies.
PMID- 29637039
TI - Catheter-Related Candida Endocarditis on the Right Atrial Septum - A Case Report.
AB - The use of subcutaneous catheter devices has increased over the past two decades
along with its associated infections. One of the complications is infective
endocarditis (IE), which usually occurs on the valves of the heart. However, IE
can rarely occur on the atrial septal wall. The most common pathogens associated
with catheter-related IE are staphylococcus bacteria, and it is rarely caused by
fungi. We present a case of a 75-year-old Caucasian female with infective
endocarditis located on the right side of the atrial septum, caused by Candida
albicans due to the use of a subcutaneous catheter port. We will discuss the
diagnostic criteria and treatment plan for this patient and other treatment
options available for these cases. To our knowledge, a similar case was reported
in Brazil, but this is the first reported case in the United States of catheter
related infective endocarditis of the right atrial septal wall due to Candida
albicans.
PMID- 29637040
TI - Atypical Colonoscopic Presentation of Lymphocytic Colitis Mimicking Hyperplastic
Polyposis Syndrome.
AB - Lymphocytic colitis is a chronic inflammatory disease of colon usually presented
in middle age female as chronic watery diarrhea. Diagnosis is made on biopsy as
colonoscopy usually revealed normal appearing colonic mucosa. We present here an
unusual case of a 25-year-old female with past medical history of asthma was
evaluated for one year of non-bloody watery diarrhea. The symptoms started after
a course of antibiotics for upper respiratory tract infection a year back. The
serum chemistries, including liver enzymes, were unremarkable. Stool culture,
ova, and parasites were unremarkable. Stool Clostridium difficile was also
negative. Celiac disease antibodies were unremarkable. Stool occult blood test
was positive. The patient underwent colonoscopy for the evaluation of chronic
diarrhea and revealed multiple polyps throughout the colon with inflamed surfaces
which were biopsied. The concern was for hyperplastic polyposis syndrome. Genetic
testing for adenomatous polyposis gene was done and came back negative. Biopsy
from polyps revealed lymphocytic colitis. The patient was started on budesonide
which resulted in marked improvement in her symptoms. Our case highlighted an
atypical endoscopic finding of lymphocytic colitis which mimic hyperplastic
polyposis syndrome.
PMID- 29637041
TI - Delayed Splenic Rupture Resulting in Massive Intraperitoneal Hemorrhage Post
Ambulatory-Related Injury.
AB - Delayed splenic rupture, once thought to be unusual, but now growing in
incidence, is an issue that could potentiate severe morbidity and mortality to
patients, regardless of the severity of the trauma. This case report presents one
instance of delayed splenic rupture following minor trauma and discusses the need
for further investigation in the management of this condition. A middle-aged,
hypotensive male presented to the emergency department (ED) with signs of an
acute abdomen following a syncopal episode, with successful resuscitation upon
arrival. Computed tomography (CT) revealed fluid in the gastrohepatic ligament,
as well as the right pericolic gutter, along with findings suggestive of a
perforated peptic ulcer. General surgery was consulted, and an exploratory
laparotomy was performed. However, during exploration, no such perforation was
found. A large amount of blood was visualized in the left upper quadrant. The
spleen was mobilized, and inspection revealed a posterior rupture, resulting in a
splenectomy and subsequent hemostasis by the surgical team. The post-operative
period was uneventful, with the patient revealing a previous fall onto a concrete
floor two weeks prior to presentation to the ED. A pathological examination of
the spleen revealed capsular tear with focal congestion and hemorrhage. It is the
goal of this report to highlight the morbidity and mortality that occur after
delayed splenic rupture, even with minor traumatic events. Current guidelines
suggest nonoperative management of splenic injury in stable patients with low
grade splenic injuries after blunt abdominal trauma. However, with the varied
presentation and difficulty in the assessment of delayed splenic rupture,
patients may be exposed to undue risks with current recommendations on the
management of splenic injuries. Further research is needed to find the best
practice in managing, and possibly preventing, delayed splenic rupture in
patients presenting with an acute abdomen or abdominal trauma.
PMID- 29637042
TI - The impact of cloud vertical profile on liquid water path retrieval based on the
bispectral method: A theoretical study based on large-eddy simulations of shallow
marine boundary layer clouds.
AB - Passive optical retrievals of cloud liquid water path (LWP), like those
implemented for Moderate Resolution Imaging Spectroradiometer (MODIS), rely on
cloud vertical profile assumptions to relate optical thickness (tau) and
effective radius (re ) retrievals to LWP. These techniques typically assume that
shallow clouds are vertically homogeneous; however, an adiabatic cloud model is
plausibly more realistic for shallow marine boundary layer cloud regimes. In this
study a satellite retrieval simulator is used to perform MODIS-like satellite
retrievals, which in turn are compared directly to the large-eddy simulation
(LES) output. This satellite simulator creates a framework for rigorous
quantification of the impact that vertical profile features have on LWP
retrievals, and it accomplishes this while also avoiding sources of bias present
in previous observational studies. The cloud vertical profiles from the LES are
often more complex than either of the two standard assumptions, and the favored
assumption was found to be sensitive to cloud regime (cumuliform/stratiform).
Confirming previous studies, drizzle and cloud top entrainment of dry air are
identified as physical features that bias LWP retrievals away from adiabatic and
toward homogeneous assumptions. The mean bias induced by drizzle-influenced
profiles was shown to be on the order of 5-10 g/m2. In contrast, the influence of
cloud top entrainment was found to be smaller by about a factor of 2. A
theoretical framework is developed to explain variability in LWP retrievals by
introducing modifications to the adiabatic re profile. In addition to analyzing
bispectral retrievals, we also compare results with the vertical profile
sensitivity of passive polarimetric retrieval techniques.
PMID- 29637043
TI - The Effect of Intravenous Infusion of Dexmedetomidine to Prevent Bleeding During
Functional Endoscopic Sinus Surgery: A Clinical Trial.
AB - Background: Bleeding during surgery can lead to serious complications. Methods
and drugs to control bleeding are always important both for the surgeon and
anesthesiologist, especially in endoscopic procedures. A lot of efforts are made
to optimize the surgical conditions for functional endoscopic sinus surgery.
Induced hypotension is widely advocated to prevent bleeding and consequently to
improve the quality of an operation . Amongst the pharmacological agents,
dexmedetomidine is the most recently introduced drug to provide hypotensive
anesthesia during functional endoscopic sinus surgery. Objectives: The current
study aimed at investigating the effects of intravenous infusion of
dexmedetomidine on bleeding, nausea, awakening time, and other intravenous
anesthetic doses during functional endoscopic sinus surgery. Methods: Sixty
patients aged 16 to 60 years with American society of anesthesiologists (ASA)
class I or II in Imam Khomeini hospital of Ahvaz, Iran, who were the candidate
for the elective functional endoscopic sinus surgery were enrolled in the current
double-blind clinical trial. They were randomly divided into 2 groups: group D
(receiving dexmedetomidine), and group N (receiving normal saline). Sampling was
based on the block randomization method. In group D, a 1-MUg/kg dexmedetomidine
was injected during 10 minutes just before the induction. Then, 0.5 ug/ kg/ hour
infusion was started. Both groups had the same induction and maintenance method
as well as the drugs administered for general anesthesia induction. For
maintenance, the patients received O2 50%: N2O 50% and 100 MUg/kg/minute of
propofol and 0.2 MUg/kg/minute of remifentanil. In group N, instead of
dexmedetomidine in bolus and maintenance, normal saline was used with the same
volume. Mean arterial pressure was maintained between 65 to 75 mmHg. The
incidence of bleeding, nausea and vomiting after surgery, the amount of
maintenance drugs, and awakening time were recorded in a checklist. Results: The
intravenous use of dexmedetomidine significantly reduced the amount of bleeding
(P < 0.0001); in addition, the need for opioids (P < 0.0001) and intravenous
anesthetics significantly decreased (P = 0.001). Awakening time was significantly
longer (P = 0.001), but its effect on postoperative nausea and vomiting was not
significant (P = 0.052). Conclusions: The current study showed that although
propofol and remifentanil compounds can control hemodynamic state, but
intravenous infusion of dexmedetomidine during the functional endoscopic sinus
surgery reduced the amount of bleeding more significantly. It also reduced the
dosage of maintenance drugs.
PMID- 29637044
TI - Which Ultrasound-Guided Sciatic Nerve Block Strategy Works Faster? Prebifurcation
or Separate Tibial-Peroneal Nerve Block? A Randomized Clinical Trial.
AB - Background: Peripheral nerve block is an accepted method in lower limb surgeries
regarding its convenience and good tolerance by the patients. Quick performance
and fast sensory and motor block are highly demanded in this method. The aim of
the present study was to compare 2 different methods of sciatic and tibial
peroneal nerve block in lower limb surgeries in terms of block onset. Methods: In
this clinical trial, 52 candidates for elective lower limb surgery were randomly
divided into 2 groups: sciatic nerve block before bifurcation (SG; n = 27) and
separate tibial-peroneal nerve block (TPG; n = 25) under ultrasound plus nerve
stimulator guidance. The mean duration of block performance, as well as complete
sensory and motor block, was recorded and compared between the groups. Results:
The mean duration of complete sensory block in the SG and TPG groups was 35.4 +/-
4.1 and 24.9 +/- 4.2 minutes, respectively, which was significantly lower in the
TPG group (P = 0.001). The mean duration of complete motor block in the SG and
TPG groups was 63.3 +/- 4.4 and 48.4 +/- 4.6 minutes, respectively, which was
significantly lower in the TPG group (P = 0.001). No nerve injuries, paresthesia,
or other possible side effects were reported in patients. Conclusions: According
to the present study, it seems that TPG shows a faster sensory and motor block
than SG.
PMID- 29637045
TI - Commentary: Induction of Dormancy in Hypoxic Human Papillomavirus-Positive Cancer
Cells.
PMID- 29637046
TI - Endotyping of Chronic Rhinosinusitis With and Without Polyp Using Transcription
Factor Analysis.
AB - Inflammation of the nose and paranasal sinus or rhinosinusitis (RS) is a
significant global health problem that is both very common and very costly to
treat. Previous reports reveal variability in histology and mechanism of
inflammation in patients with chronic rhinosinusitis with and without polyp
(CRScNP and CRSsNP, respectively). There are various methods and hypothesis that
try to explain this variability. Accordingly, the aim of this study was to
investigate the incidence of each type of sinonasal inflammation among patients
diagnosed with CRScNP or CRSsNP using transcription factor analysis (TFA). This
study included mucosa specimens from nose/paranasal sinuses from patients with
chronic rhinitis (CR), CRSsNP, or CRScNP that were obtained at the Department of
Otorhinolaryngology, Faculty of Medicine Siriraj Hospital, Mahidol University,
Bangkok, Thailand during the June 2009 to May 2012 study period. TFA was employed
to measure the following transcription factors: T-box transcription factor (T
bet) for Th1, GATA binding protein 3 (GATA-3) for Th2, retinoic acid-related
orphan receptor C (RORC) for Th17, and forkhead box P3 (FOXP3) for Treg. Forty
one subjects (22 males, 19 females) were enrolled, with a mean age of 45.93 +/-
13 years. Twenty-six patients were diagnosed with CRScNP, 7 with CRSsNP, and 8
with CR (controls). The majority of CRScNP specimens (76.9%) had eosinophil count
greater than 100 cells/high-power field (HPF). Mean eosinophil count was 930.08
+/- 1,399 cells/HPF (range: 17-5,570). Th2 transcription factor (GATA-3) was
statistically significantly higher in the CRScNP group than in the CRS and
control groups (p < 0.001); whereas, Treg transcription factor (FOXP3) was
statistically significantly lower in the CRScNP group than in the CRSsNP and
control groups (p < 0.001). The transcription factors for Th1 and Th17 (T-bet and
RORC, respectively) were not significantly different among the three groups. The
result of transcription factor analysis revealed hyperfunction of Th2 in patients
with CRScNP, which might result in hypereosinophilic infliltration in the polyps.
One explanation for this finding is the decreased activity of Treg. Although
environment-host interaction is the most probable hypothesis, the etiology of
aberrant adaptive immunity needs to be elucidated.
PMID- 29637047
TI - Epidemiological and Genetic Characteristics of Rabies Virus Transmitted Through
Organ Transplantation.
AB - In January 2016, two patients died of rabies after receiving kidney transplants
from a common organ donor at a hospital in Changsha, Hunan, China. The medical
records, epidemiological data of the organ donor, two kidney and a liver
recipients were reviewed. Intravitam saliva samples of the two kidney recipients
were tested for rabies virus (RABV) using real-time RT-PCR, and the nucleoprotein
(N) gene was amplified and sequenced by Sanger sequencing. Whole genome sequences
were analyzed using next-generation sequencing. The N genes of the two kidney
recipients showed 100% nucleic acid identity. Phylogenetic analysis of the
complete genome, N and glycoprotein (G) genes indicated that the RABV was
homologous with dog isolates from the Hunan province and belong to the China I
lineage, which is widespread in China. The organ donor was a 22-month-old boy who
died from unknown acute progressive encephalitis. After undergoing sub
hypothermia hibernation therapy, rabies-associated symptoms were atypical, and
rabies was neglected because serum RABV-specific antibodies were negative. An
unknown wound on the forehead of the donor was found 2 months before the onset of
symptoms. Based on the clinical, epidemiological, and molecular findings, we
speculated that the RABV initially originated in the donor from a dog bite, and
was then transmitted to the recipients by organ transplantation. An uncertain
exposure history and misdiagnosis played important roles in the spread of the
RABV. Rabies should be considered in patients with acute progressive encephalitis
of unexplained etiology, especially in potential organ donors.
PMID- 29637048
TI - Leptospira interrogans Secreted Proteases Degrade Extracellular Matrix and Plasma
Proteins From the Host.
AB - Leptospires are highly motile spirochetes equipped with strategies for efficient
invasion and dissemination within the host. Our group previously demonstrated
that pathogenic leptospires secrete proteases capable of cleaving and
inactivating key molecules of the complement system, allowing these bacteria to
circumvent host's innate immune defense mechanisms. Given the successful
dissemination of leptospires during infection, we wondered if such proteases
would target a broader range of host molecules. In the present study, the
proteolytic activity of secreted leptospiral proteases against a panel of
extracellular matrix (ECM) and plasma proteins was assessed. The culture
supernatant of the virulent L. interrogans serovar Kennewicki strain Fromm (LPF)
degraded human fibrinogen, plasma fibronectin, gelatin, and the proteoglycans
decorin, biglycan, and lumican. Interestingly, human plasminogen was not cleaved
by proteases present in the supernatants. Proteolytic activity was inhibited by
1,10-phenanthroline, suggesting the participation of metalloproteases. Moreover,
production of proteases might be an important virulence determinant since culture
attenuated or saprophytic Leptospira did not display proteolytic activity against
ECM or plasma components. Exoproteomic analysis allowed the identification of
three metalloproteases that could be involved in the degradation of host
components. The ability to cleave conjunctive tissue molecules and coagulation
cascade proteins may certainly contribute to invasion and tissue destruction
observed upon infection with Leptospira.
PMID- 29637051
TI - Coping with Stress among Pregnant Women with Gestational Diabetes Mellitus.
AB - Introduction: The stress of pregnancy itself, gestational diabetes mellitus (GDM)
that develops during pregnancy is also a stressor, because it can cause serious
maternal and fetal health problems. The aim of this study was to examine the
relationships between the characteristics of pregnant women with GDM and their
styles of coping with stress. Methods: This descriptive cross-sectional study was
conducted on 126 pregnant women with GDM. The sample consisted of patients who
applied to the diabetes mellitus training polyclinic of a training and research
and university hospital in southeastern Turkey, Data were evaluated using
descriptive statistics, Kolmogorov-Smirnov normality tests, Spearman's rho and
Pearson Correlation analysis, the Student's t-test, Mann-Whitney U-test and
Kruskal-Wallis- test by SPSS software (version 13.0). Results: It was determined
that a planned pregnancy, a high educational level, a first pregnancy and weight
gain were important factors in the women with GDM in the study who coped
effectively with stress during pregnancy. Unemployment and a second or subsequent
pregnancy were important factors in the women with GDM< who coped ineffectively
with stress during pregnancy. In addition, it was determined that the hemoglobin
HbA1c levels of the pregnant women with GDM with "optimistic" and "submissive"
approaches towards coping with stress were lower. Conclusion: It was determined
that pregnant women with optimistic and submissive approaches towards coping with
stress had lower HbA1c levels. It is suggested that randomized controlled studies
be conducted to further determine the coping styles of patients with GDM.
PMID- 29637049
TI - Mycobacterium tuberculosis GrpE, A Heat-Shock Stress Responsive Chaperone,
Promotes Th1-Biased T Cell Immune Response via TLR4-Mediated Activation of
Dendritic Cells.
AB - Mycobacterium tuberculosis (Mtb), the causative agent of tuberculosis, is an
extremely successful pathogen with multifactorial ability to control the host
immune response. Insights into the Mtb factors modulating host response are
required for the discovery of novel vaccine antigen targets as well as a better
understanding of dynamic interactions between the bacterial factors and host
cells. Here, we exploited the functional role of Mtb GrpE, a cofactor of heat
shock protein 70 (HSP70), in promoting naive CD4+/CD8+T cell differentiation
toward Th1-type T-cell immunity through interaction with dendritic cells (DCs).
GrpE functionally induced DC maturation by up-regulating the expression of cell
surface molecules (CD80, CD86, and MHC class I and II) and production of several
pro-inflammatory cytokines (TNF-alpha, IL-1beta, IL-6, and IL-12p70) in DCs.
These effects of GrpE in DC activation were initiated upon binding to Toll-like
receptor 4 (TLR4) followed by activation of downstream MyD88-, TRIF-, MAPK-, and
NF-kappaB-dependent signaling pathways. GrpE-activated DCs displayed an excellent
capacity to effectively polarize naive CD4+ and CD8+ T cells toward Th1-type T
cell immunity with the dose-dependent secretion of IFN-gamma and IL-2 together
with increased levels of CXCR3 expression. Notably, GrpE-stimulated DCs induced
the proliferation of GrpE-specific Th1-type effector/memory
CD4+/CD8+CD44highCD62Llow T cells from the spleen of Mtb-infected mice in a TLR4
dependent manner. Collectively, these results demonstrate that GrpE is a novel
immune activator that interacts with DCs, in particular, via TLR4, to generate
Th1-biased memory T cells in an antigen-specific manner. GrpE may contribute to
the enhanced understanding of host-pathogen interactions as well as providing a
rational basis for the discovery of new potential targets to develop an effective
tuberculosis vaccine.
PMID- 29637050
TI - Using Social Cognitive Theory to Predict Medication Compliance Behavior in
Patients with Depression in Southern United States in 2016 in a Cross-Sectional
Study.
AB - Introduction: Depression is a major public health issue. One of the concerns in
depression research and practice pertains to non-compliance to prescribed
medications. The purpose of the study was to predict compliance with medication
use for patients with depression using social cognitive theory (SCT). Based on
this study it was envisaged that recommendations for interventions to enhance
compliance for medication use could be developed for patients with depression.
Methods: The study was conducted using cross sectional design (n=148) in southern
United States with a convenience sample of clinic-based depression patients with
a 37-item valid and reliable questionnaire. Sample size was calculated to be 148
using G*Power (five predictors with a 0.80 power at the 0.05 alpha level and an
estimated effect size of 0.10 with an inflation by 10% for missing data). Social
cognitive theory constructs of expectations, self-efficacy and self-efficacy in
overcoming barriers, self-control, and environment were reified. Data were
analyzed using multiple linear regression and multiple logistic regression
analyses. Results: Self-control for taking medication for depression (P=0.04),
expectations for taking medication for depression (P=0.025), age (P<0.0001) and
race (P=0.04) were significantly related to intent for taking medication for
depression (Adjusted R2 = 0.183). In race, Blacks had lower intent to take
medication for depression. Conclusion: Social cognitive theory is weakly
predictive with low explained variance for taking medication for depression. It
needs to be bolstered by newer theories like integrative model or multi-theory
model of health behavior change for designing educational interventions aimed at
enhancing compliance to medication for depression.
PMID- 29637052
TI - Dietary and Fluid Regime Adherence in Chronic Kidney Disease Patients.
AB - Introduction: Patients with Chronic Kidney Disease (CKD) needs to modify their
lifestyle chiefly focusing on diet and fluid intake as the prognosis of these
patients largely depends on adherence to the recommended nutritional regime. Non
adherence to the suggested diet and fluids regime leads to rapid worsening of the
condition. Methods: Cross sectional survey was conducted to determine the level
of adherence to the dietary and fluids restriction among CKD patients. Inclusion
criteria's was, age between 18- 65 years, patients with CKD for at least 6 months
and received dietary counseling. Consecutive sampling technique was used to
select 100 patients. Data was collected with self-reported Dialysis Diet and
Fluid non adherence Questionnaire (DDFQ). Results: Majority (73%) of the subjects
was males, 64% belongs to 40-60 years age, majority of them were unemployed. Mean
Body Mass Index (BMI) was 20.52 kg/m2, the mean duration of the treatment is 2.15
years and mean fluid intake was 2153ml ml/day and inter-dialytic weight gain was
1.48 kg. Regarding adherence, 20% of them had mild deviation and 69% of them had
moderate deviation from dietary restrictions and similarly 69 % of the
participants had moderate deviation, and 22% of them had mild deviation from
fluid restriction guidelines. Low level of adherence to fluid and diet
restrictions was noted in illiterate patients which was significant P<0.05.
Conclusion: In spite of the dietary counseling, considerable proportions of the
patients were non adherent to the diet and fluid restrictions which necessitate
regular counseling to patient and family members.
PMID- 29637053
TI - The Effect of Two-Staged Warm Compress on the Pain Duration of First and Second
Labor Stages and Apgar Score in Prim Gravida Women: a Randomized Clinical Trial.
AB - Introduction: The aim of this study was to assess the effect of two-stage warm
compress technique on the pain duration of the first and second labor stages and
neonatal outcomes. Methods: The clinical trial was done on 150 women (75 subjects
in each groups) in Shiraz-affiliated hospitals in 2012 A two-staged warm compress
was done for 15-20 minutes in the first and second labor phase (cervical
dilatation of 7 and 10 cm with zero status) while the control group received
hospital routine care. The duration of labor and Apgar score were evaluated.
Results: According to t-test, the average of labor duration was lower in the
intervention group compared to the control group at the second stage. However,
there was no significant difference for labor duration at the first stage and the
first and fifth minute Apgar score. Conclusion: According to the result, this
intervention seems a good method for decreasing labor duration at the second
stage of parturition.
PMID- 29637054
TI - Concerns of Parents with or Raising Adolescent Children: a Qualitative Study of
Iranian Families.
AB - Introduction: Adolescence is a challenging period and cultural background plays
an important role in families with adolescent. So exploring parents' concerns in
the specific context of Iran may improves nurses' family-based services and helps
to reduce conflicts Iranian families with respect to adolescents. In this paper
we explore perceptions of Iranian parents' concerns in the family with raising
adolescent children. Methods: Participants of this qualitative content analysis
study were 23 parents with adolescents, who were recruited through purposive
sampling. Data collection was done through semi structured in-depth interviews
and analyzed based on Graneheim and Landman's approach. Lincoln and Guba's
criteria were used to ensure the accuracy and strength of the study. Results: The
theme "rebellion against parents' authority" was supported by two categories: (1)
parent-teenage conflict, and (2) difficulty in controlling. As the offspring
enter adolescence, parents feel that their child is going to leave their domain.
Conclusion: Findings from this study showed that the incongruity arises when
traditional family norms fail to adapt to new patterns. Change of social and
cultural norms in developing societies, has led to generational differences in
families. This issue accompanied with adolescence, increases parents' concern. So
we recommend parental educational programs for learning effectively patterns for
resisting internal challenges and communicate with adolescents.
PMID- 29637055
TI - Features of Coping with Disease in Iranian Multiple Sclerosis Patients: a
Qualitative Study.
AB - Introduction: Coping with disease is of the main components improving the quality
of life in multiple sclerosis patients. Identifying the characteristics of this
concept is based on the experiences of patients. Using qualitative research is
essential to improve the quality of life. This study was conducted to explore the
features of coping with the disease in patients with multiple sclerosis. Method:
In this conventional content analysis study, eleven multiple sclerosis patients
from Iran MS Society in Tehran (Iran) participated. Purposive sampling was used
to select participants. Data were gathered using semi structured interviews. To
analyze data, a conventional content analysis approach was used to identify
meaning units and to make codes and categories. Results: Results showed that
features of coping with disease in multiple sclerosis patients consists of (a)
accepting the current situation, (b) maintenance and development of human
interactions, (c) self-regulation and (d) self-efficacy. Each of these categories
is composed of sub-categories and codes that showed the perception and experience
of patients about the coping with disease. Conclusion: Accordingly, a unique set
of features regarding features of coping with the disease were identified among
the patients with multiple sclerosis. Therefore, working to ensure the emergence
of, and subsequent reinforcement of these features in MS patients can be an
important step in improving the adjustment and quality of their lives.
PMID- 29637056
TI - Nurses' Lived Experience of Working with Nursing Students in Clinical Wards: a
Phenomenological Study.
AB - Introduction: Despite being aware of the importance of nurses' role in providing
clinical training to nursing students, studies show that sufficient research has
not yet been conducted on the experience of clinical nurses who are engaged in
training nursing students outside their normal working hours. The present study
aim to describe the experience of these nurses who are training outside their
routine working hours. Methods: This study was conducted using descriptive
phenomenology method. Twelve nurses was participated in this research. Data were
collected using purposive sampling method and face to face interviews based on
nurses' real life experience of students' learning in clinical settings through
answering open-ended questions. Spiegel burg analysis method was used to analyze
the data. Results: The result of data analysis was the derivation of four themes
and eight sub-themes. Themes included "nurses as teaching sources", "changes in
the balance of doing routine tasks", "professional enthusiasm", and "nurses as
students' professional socialization source of inspiration". Sub-themes included
"efficient education", "poor education", "support", "interference in the role,"
"self-efficacy development", "inner satisfaction", "positive imaging" and "being
a model". Conclusion: It is necessary that academic centers plan for teaching
nurses working on a contractual basis in the field of the evaluation method and
various methods of teaching. The findings also suggested the development of
individual self-efficacy in clinical nurses who train students.
PMID- 29637057
TI - Examining Social Health and Its Related Factors among Iranian Medical students.
AB - Introduction: Given the importance of providing social health for students, this
study was conducted to investigate the social health and its related factors
among Iranian students of medical sciences. Methods: This descriptive and cross
sectional study was carried out in 2016. The population of the study included
students of Mazandaran University of Medical Sciences (Sari, Iran). Using random
sampling, we selected 352 students. Eligible students for the study were those
who (i) consented to participate, (ii) agreed to participate fully, and (iii) had
no physical illnesses. Exclusion from the study was due to any event that
prevented them from participating in the study (e.g., the death of a family
member). Then, standardized questionnaire of "Keyes's Social Well-being" and
demographic questionnaire were used in this study to collect data. The data were
tabulated and analyzed by means of SPSS 13.0 for Windows (SPSS Inc., Chicago, IL,
USA), which was used to calculate descriptive and inferential (Two-way ANOVA)
indices. Results: In this study, 202 women and 150 men participated with the mean
age of 23.14 (2.41). The mean score of social health of students was average
92.99 (7.54). About 75.4% of participants had average levels of social health.
Maximum and minimum levels of social health were related to contribution 20.64
(3.65) and social coherence 15.86 (2.12) respectively. There were no differences
in the overall social health score of the students in terms of gender; however,
regarding marital status, place of residence, father's education, and mother's
education, there was a significant difference among students. Conclusion: Given
the importance of social health, it is necessary to have proper planning such as
providing for the requirements of stable marriages for students, good place of
residence to improve the quality of life and enhance their satisfaction with it.
PMID- 29637058
TI - Lethal Area 50 in Patients with Burn Injuries in North West, Iran.
AB - Introduction: In view of their considerably high rates of mortality and
morbidity, burns are still viewed as one of the most important health-threatening
environmental hazards imposing a significant burden on the health care system in
low and middle-income countries. This study seeks to determine the lethal area
fifty percent (LA50) in all burn patients admitted over a period of five years
and the factors influencing mortality in burn injuries. Methods: This study was a
cross-sectional carried out from 2010 to 2014 in Sina Hospital of Tabriz, 1226
participant including 319 women, 346 men, 272 girls, and 289 boys were selected
through stratified sampling. The demographic and clinical data of patients (
their age, gender, burn type, TBSA, the season and consequences of burning) were
all extracted and then analyzed, using descriptive statistics (measures of
central tendency and variability) and inferential statistics(chi-square and
linear regression)at a significance level of 0.05. The LA50 was calculated
through determining the relationship between the total body surface area and
mortality rate (The extent of the body burns measured and recorded based on Lando
Chart in hospitals). Results: The highest (47.6%) and the lowest (3.8%) rates of
burns were observed among those aged below 16 and above 65, respectively. The
majority of the participants were residents of cities (55.4%), married (34.6%),
illiterate (56.6%), and housewives (14.8%). Most burns were caused by accidents
(98.4%) at home (90.6%). Most patients had suffered first- and second-degree
burns (68.4%), with no inhalation damages (99.5%). Hot liquids were the main
culprit in most of the burns (58.7%) and the upper extremities were the most
frequently affected areas (34.8%). There was .99 rise in mortality for every
percent increase in TBSA, and there seemed to be a significant relationship
between the age level and the eventual outcome- the higher the age, the more
likely for the incident to end in death.LA50 was also determined 43.73 percent
for five years. Finally, the study findings showed that female gender, TBSA and
age are associated with death from burn. Conclusion: Given the high LA50 index at
this center, it is of high priority in our country to enhance the public
knowledge and the quality of the care provided for the burn patients. Patients at
risk including women, children, elderly and extensive burns should be considered.
PMID- 29637059
TI - The importance of imaging strategies for pre-clinical and clinical in vivo
distribution of oncolytic viruses.
AB - Oncolytic viruses (OVs) are an emergent and unique therapy for cancer patients.
Similar to chemo- and radiation therapy, OV can lyse (kill) cancer cell directly.
In general, the advantages of OVs over other treatments are primarily: a higher
safety profile (as shown by less adverse effects), ability to replicate,
transgene(s) delivery, and stimulation of a host's immune system against cancer.
The latter has prompted successful use of OVs with other immunotherapeutic
strategies in a synergistic manner. In spite of extended testing in pre-clinical
and clinical setting, using biologically derived therapeutics like virus always
raises potential concerns about safety (replication at non-intended locations)
and bio-availability of the product. Recent advent in in vivo imaging techniques
dramatically improves the convenience of use, quality of pictures, and amount of
information acquired. Easy assessing of safety/localization of the
biotherapeutics like OVs became a new potential weapon in the physician's arsenal
to improve treatment outcome. Given that OVs are typically replicating, in vivo
imaging can also track virus replication and persistence as well as precisely
mapping tumor tissues presence. This review discusses the importance of imaging
in vivo in evaluating OV efficacy, as well as currently available tools and
techniques.
PMID- 29637060
TI - Reconstruction of large oroantral defects using a pedicled buccal fat pad.
AB - Background: Oroantral communicating defects, characterized by a connection
between the maxillary sinus and the oral cavity, are often induced by tooth
extraction, removal of cysts and benign tumors, and resection of malignant
tumors. The surgical defect may develop into an oroantral fistula, with resultant
patient discomfort and chronic maxillary sinusitis. Small defects may close
spontaneously; however, large oroantral defects generally require reconstruction.
These large defects can be reconstructed with skin grafts and vascularized free
flaps with or without bone graft. However, such surgical techniques are complex
and technically difficult. A buccal fat pad is an effective, reliable, and
straightforward material for reconstruction. Case presentation: This report
describes three cases of reconstruction of large oroantral defects, all of which
were covered by a pedicled buccal fat pad. Follow-up photography and radiologic
imaging showed successful closure of the oroantral defects. Furthermore, there
were no operative site complications, and no patient reported postsurgical
discomfort. Conclusion: In conclusion, the use of the pedicled buccal fat pad is
a reliable, safe, and successful method for the reconstruction of large oroantral
defects.
PMID- 29637061
TI - Considerations for Assessing the Appropriateness of High-Cost Pediatric Care in
Low-Income Regions.
AB - It may be difficult to predict the consequences of provision of high-cost
pediatric care (HCC) in low- and middle-income countries (LMICs), and these
consequences may be different to those experienced in high-income countries. An
evaluation of the implications of HCC in LMICs must incorporate considerations of
the specific context in that country (population age profile, profile of disease,
resources available), likely costs of the HCC, likely benefits that can be gained
versus the costs that will be incurred. Ideally, the process that is followed in
decision making around HCC should be transparent and should involve the
communities that will be most affected by those decisions. It is essential that
the impacts of provision of HCC are carefully monitored so that informed
decisions can be made about future provision medical interventions.
PMID- 29637063
TI - Control of Cattle Ticks and Tick-Borne Diseases by Acaricide in Southern Province
of Zambia: A Retrospective Evaluation of Animal Health Measures According to
Current One Health Concepts.
AB - One health thinking for health interventions is increasingly being used to
capture previously unseen stakeholders and impacts across people, animals, and
the environment. The Network for One Health Evaluation (NEOH) proposes a systems
based framework to quantitatively assess integration and highlight the added
value (theory of change) that this approach will bring to a project. This case
study will retrospectively evaluate the pioneering use of a One Health (OH)
approach during an international collaboration (satellite project to tackle
production losses due to tick-borne disease in cattle in Southern Zambia in late
1980s). The objective of the evaluation is twofold: retrospective evaluation the
OH-ness of the satellite project and identification of costs and benefits. Data
for evaluation was recovered from publications, project documents, and witness
interviews. A mixed qualitative and quantitative evaluation was undertaken. In
this case study, a transdisciplinary approach allowed for the identification of a
serious public health risk arising from the unexpected reuse of chemical
containers by the local public against advice. Should this pioneering project not
have been completed then it is assumed this behavior could have had a large
impact on public wellbeing and ultimately reduced regional productivity and
compromised welfare. From the economic evaluation, the costs of implementing this
OH approach, helping to avoid harm, were small in comparison to overall project
costs. The overall OH Index was 0.34. The satellite project demonstrated good OH
operations by managing to incorporate the input across multiple dimensions but
was slightly weaker on OH infrastructures (OH Ratio = 1.20). These quantitative
results can be used in the initial validation and benchmarking of this novel
framework. Limitations of the evaluation were mainly a lack of data due to the
length of time since project completion and a lack of formal monitoring of
program impact. In future health strategy development and execution, routine
monitoring and evaluation from an OH perspective (by utilizing the framework
proposed by NEOH), could prove valuable or used as a tool for retrospective
evaluation of existing policies.
PMID- 29637062
TI - Idiopathic Mast Cell Activation Syndrome With Associated Salicylate Intolerance.
AB - Idiopathic mast cell activation syndrome can be a rare cause for chronic
abdominal pain in children. It remains a diagnosis by exclusion that can be
particularly challenging due to the vast variety of possible clinical
manifestations. We present a 13-year-old boy who suffered from a multitude of
unspecific complaints over a long period of time. In this case, an assessment of
mast cell-derived metabolites and immunohistochemical analysis of bioptic
specimen was worthwhile. After ruling out, primary (oncologic) and secondary
causes for mast cell activation, pharmacologic treatment adapted to the patient's
salicylate intolerance resulted in a major relief of symptoms.
PMID- 29637064
TI - Perceived Obstacles Faced by Diabetes Patients Attending University of Gondar
Hospital, Northwest Ethiopia.
AB - Background: Diabetes mellitus (DM) is a non-communicable, chronic, and
progressive disease that can lead to serious complications and even to premature
death. A closer understanding of the DM patients' specific obstacles will provide
a greater clarity of the factors influencing their disease-related quality of
life and coping with daily life. The study aimed to evaluate the obstacles of DM
patients attending ambulatory clinic of the University of Gondar Hospital (UOGH),
Northwest Ethiopia. Methods: A cross-sectional study was conducted from February
to April 2017 at ambulatory clinic of the UOGH. A validated short version of the
diabetic obstacle questionnaire was used. The internal reliability of the
questionnaire was checked using Cronbach's alpha and was found to be 92.5%. To
determine any association between each of the nine sections of the questionnaire
and age, sex, residence, educational status, and DM type, a binary logistic
regression was performed. Results: The mean age of respondents was 38.69 +/-
15.39 years. Compared with patients with type 1 DM, patients with type 2 DM
reported poorer relationships with medical professionals (adjusted odds ratio
(AOR): 2.191, p-value = 0.027) and less support from families and friends (AOR:
1.913, p-value = 0.049). Patients coming from rural areas (AOR: 2.947, p = 0.002)
and having no formal education (AOR: 2.078, p = 0.029) also received less support
from families and friends. Conclusion: DM patients in UOGH reported several
obstacles related to patients' relationship with health professionals, lack of
support from their friends, lack of knowledge about DM, and lack of motivation to
exercise. Effective efforts should be initiated to improve healthier environment
to educate, care and preventive services for people with DM.
PMID- 29637065
TI - Biosourced Polymetallic Catalysis: A Surprising and Efficient Means to Promote
the Knoevenagel Condensation.
AB - Zn hyperaccumulator (Arabidobsis halleri) and Zn accumulator Salix "Tordis"
(Salix schwerinii * Salix viminalis) have shown their interest in the
phytoextraction of polluted brownfields. Herein, we explore a novel methodology
based on the chemical valorization of Zn-rich biomass produced by these
metallophyte plants. The approach is based on the use of polymetallic salts
derived from plants as bio-based catalysts in organic chemistry. The formed
ecocatalysts were characterized via ICP-MS, X-ray diffraction (XRD), Fourier
transform infrared spectroscopy (FT-IR) in order to precise the chemical
composition, structure, and behavior of the formed materials. The Doebner
Knoevenagel reaction was chosen as model reaction to study their synthetic
potential. Significant differences to usual catalysts such as zinc (II) chloride
are observed. They can principally be related to a mixture of unusual mineral
species. DFT calculations were carried out on these salts in the context of the
Gutmann theory. They allow the rationalization of experimental results. Finally,
these new bio-based polymetallic catalysts illustrated the interest of this
concept for green and sustainable catalysis.
PMID- 29637066
TI - How Diverse Are the Protein-Bound Conformations of Small-Molecule Drugs and
Cofactors?
AB - Knowledge of the bioactive conformations of small molecules or the ability to
predict them with theoretical methods is of key importance to the design of
bioactive compounds such as drugs, agrochemicals, and cosmetics. Using an
elaborate cheminformatics pipeline, which also evaluates the support of
individual atom coordinates by the measured electron density, we compiled a
complete set ("Sperrylite Dataset") of high-quality structures of protein-bound
ligand conformations from the PDB. The Sperrylite Dataset consists of a total of
10,936 high-quality structures of 4,548 unique ligands. Based on this dataset, we
assessed the variability of the bioactive conformations of 91 small molecules
each represented by a minimum of ten structures-and found it to be largely
independent of the number of rotatable bonds. Sixty-nine molecules had at least
two distinct conformations (defined by an RMSD greater than 1 A). For a
representative subset of 17 approved drugs and cofactors we observed a clear
trend for the formation of few clusters of highly similar conformers. Even for
proteins that share a very low sequence identity, ligands were regularly found to
adopt similar conformations. For cofactors, a clear trend for extended
conformations was measured, although in few cases also coiled conformers were
observed. The Sperrylite Dataset is available for download from
http://www.zbh.uni-hamburg.de/sperrylite_dataset.
PMID- 29637067
TI - Comprehensive Adsorption Studies of Doxycycline and Ciprofloxacin Antibiotics by
Biochars Prepared at Different Temperatures.
AB - This paper comparatively investigated the removal efficiency and mechanisms of
rice straw biochars prepared under three pyrolytic temperatures for two kinds of
tetracycline and quinolone antibiotics (doxycycline and ciprofloxacin). The
influencing factors of antibiotic adsorption (including biochar dosage, pH,
background electrolytes, humic acid, initial antibiotics concentration, contact
time, and temperature) were comprehensively studied. The results suggest that
biochars produced at high-temperature [i.e., 700 degrees C (BC700)], have higher
adsorption capacity for the two antibiotics than low-temperature (i.e., 300-500
degrees C) biochars (BC300 and BC500). Higher surface area gives rise to greater
volume of micropores and mesopores, and higher graphitic surfaces of the BC700
contributed to its higher functionality. The maximum adsorption capacity was
found to be in the following order: DOX > CIP. The pi-pi EDA interaction and
hydrogen bonding might be the predominant adsorption mechanisms. Findings in this
study highlight the important roles of high-temperature biochars in controlling
the contamination of tetracycline and quinolone antibiotics in the environment.
PMID- 29637068
TI - Porous Nanocrystalline Silicon Supported Bimetallic Pd-Au Catalysts: Preparation,
Characterization, and Direct Hydrogen Peroxide Synthesis.
AB - Bimetallic Pd-Au catalysts were prepared on the porous nanocrystalline silicon
(PSi) for the first time. The catalysts were tested in the reaction of direct
hydrogen peroxide synthesis and characterized by standard structural and chemical
techniques. It was shown that the Pd-Au/PSi catalyst prepared from conventional
H2[PdCl4] and H[AuCl4] precursors contains monometallic Pd and a range of
different Pd-Au alloy nanoparticles over the oxidized PSi surface. The PdAu2/PSi
catalyst prepared from the [Pd(NH3)4][AuCl4]2 double complex salt (DCS) single
source precursor predominantly contains bimetallic Pd-Au alloy nanoparticles. For
both catalysts the surface of bimetallic nanoparticles is Pd-enriched and
contains palladium in Pd0 and Pd2+ states. Among the catalysts studied, the
PdAu2/PSi catalyst was the most active and selective in the direct H2O2 synthesis
with H2O2 productivity of 0.5 [Formula: see text] at selectivity of 50% and H2O2
concentration of 0.023 M in 0.03 M H2SO4-methanol solution after 5 h on stream at
-10 degrees C and atmospheric pressure. This performance is due to high activity
in the H2O2 synthesis reaction and low activities in the undesirable H2O2
decomposition and hydrogenation reactions. Good performance of the PdAu2/PSi
catalyst was associated with the major part of Pd in the catalyst being in the
form of the bimetallic Pd-Au nanoparticles. Porous silicon was concluded to be a
promising catalytic support for direct hydrogen peroxide synthesis due to its
inertness with respect to undesirable side reactions, high thermal stability, and
conductivity, possibility of safe operation at high temperatures and pressures
and a well-established manufacturing process.
PMID- 29637070
TI - Lack of Detection of Bt Sugarcane Cry1Ab and NptII DNA and Proteins in Sugarcane
Processing Products Including Raw Sugar.
AB - Brazil is the largest sugarcane producer and the main sugar exporter in the
world. The industrial processes applied by Brazilian mills are very efficient in
producing highly purified sugar and ethanol. Literature presents evidence of lack
of DNA/protein in these products, regardless of the nature of sugarcane used as
raw material. Recently CTNBio, the Brazilian biosafety authority, has approved
the first biotechnology-derived sugarcane variety for cultivation, event CTC175
A, which expresses the Cry1Ab protein to control the sugarcane borer (Diatraea
saccharalis). The event also expresses neomycin-phosphotransferase type II
(NptII) protein used as selectable marker during the transformation process.
Because of the high purity of sugar and ethanol produced from genetically
modified sugarcane, these end-products should potentially be classified as "pure
substances, chemically defined," by Brazilian Biosafety Law No. 11.105. If this
classification is to be adopted, these substances are not considered as "GMO
derivatives" and fall out of the scope of Law No. 11.105. In order to assess
sugar composition and quality, we evaluate Cry1Ab and NptII expression in several
sugarcane tissues and in several fractions from laboratory-scale processing of
event CTC175-A for the presence of these heterologous proteins as well as for the
presence of traces of recombinant DNA. The results of these studies show that
CTC175-A presents high expression of Cry1Ab in leaves and barely detectable
expression of heterologous proteins in stalks. We also evaluated the presence of
ribulose-1,5-bisphosphate carboxylase/oxygenase protein and DNA in the fractions
of the industrial processing of conventional Brazilian sugarcane cultivars.
Results from both laboratory and industrial processing were concordant,
demonstrating that DNA and protein are not detected in the clarified juice and
downstream processed fractions, including ethanol and raw sugar, indicating that
protein and DNA are removed and/or degraded during processing. In conclusion, the
processing of conventional sugarcane and CTC175-A Bt event results in downstream
products with no detectable concentrations of heterologous DNA or new protein.
These results help in the classification of sugar and ethanol derived from CTC175
A event as pure, chemically defined substances in Brazil and may relieve
regulatory burdens in countries that import Brazilian sugar.
PMID- 29637071
TI - Correlation of mRNA Expression and Signal Variability in Chronic Intracortical
Electrodes.
AB - Objective: The goal for this research was to identify molecular mechanisms that
explain animal-to-animal variability in chronic intracortical recordings.
Approach: Microwire electrodes were implanted into Sprague Dawley rats at an
acute (1 week) and a chronic (14 weeks) time point. Weekly recordings were
conducted, and action potentials were evoked in the barrel cortex by deflecting
the rat's whiskers. At 1 and 14 weeks, tissue was collected, and mRNA was
extracted. mRNA expression was compared between 1 and 14 weeks using a high
throughput multiplexed qRT-PCR. Pearson correlation coefficients were calculated
between mRNA expression and signal-to-noise ratios at 14 weeks. Main results: At
14 weeks, a positive correlation between signal-to-noise ratio (SNR) and NeuN and
GFAP mRNA expression was observed, indicating a relationship between recording
strength and neuronal population, as well as reactive astrocyte activity. The
inflammatory state around the electrode interface was evaluated using M1-like and
M2-like markers. Expression for both M1-like and M2-like mRNA markers remained
steady from 1 to 14 weeks. Anti-inflammatory markers, CD206 and CD163, however,
demonstrated a significant positive correlation with SNR quality at 14 weeks. VE
cadherin, a marker for adherens junctions, and PDGFR-beta, a marker for
pericytes, both partial representatives of blood-brain barrier health, had a
positive correlation with SNR at 14 weeks. Endothelial adhesion markers revealed
a significant increase in expression at 14 weeks, while CD45, a pan-leukocyte
marker, significantly decreased at 14 weeks. No significant correlation was found
for either the endothelial adhesion or pan-leukocyte markers. Significance: A
positive correlation between anti-inflammatory and blood-brain barrier health
mRNA markers with electrophysiological efficacy of implanted intracortical
electrodes has been demonstrated. These data reveal potential mechanisms for
further evaluation to determine potential target mechanisms to improve
consistency of intracortical electrodes recordings and reduce animal-to
animal/implant-to-implant variability.
PMID- 29637069
TI - Targeting Channels and Transporters in Protozoan Parasite Infections.
AB - Infectious diseases caused by pathogenic protozoa are among the most significant
causes of death in humans. Therapeutic options are scarce and massively
challenged by the emergence of resistant parasite strains. Many of the current
anti-parasite drugs target soluble enzymes, generate unspecific oxidative stress,
or act by an unresolved mechanism within the parasite. In recent years,
collections of drug-like compounds derived from large-scale phenotypic
screenings, such as the malaria or pathogen box, have been made available to
researchers free of charge boosting the identification of novel promising
targets. Remarkably, several of the compound hits have been found to inhibit
membrane proteins at the periphery of the parasites, i.e., channels and
transporters for ions and metabolites. In this review, we will focus on the
progress made on targeting channels and transporters at different levels and the
potential for use against infections with apicomplexan parasites mainly
Plasmodium spp. (malaria) and Toxoplasma gondii (toxoplasmosis), with
kinetoplastids Trypanosoma brucei (sleeping sickness), Trypanosoma cruzi (Chagas
disease), and Leishmania ssp. (leishmaniasis), and the amoeba Entamoeba
histolytica (amoebiasis).
PMID- 29637073
TI - What Do We Know About Component Separation Techniques for Abdominal Wall Hernia
Repair?
AB - Introduction: The component separation technique (CST) was introduced to
abdominal wall reconstruction to treat large, complex hernias. It is very
difficult to compare the published findings because of the vast number of
technical modifications to CST as well as the heterogeneity of the patient
population operated on with this technique. Material and Methods: The main focus
of the literature search conducted up to August 2017 in Medline and PubMed was on
publications reporting comparative findings as well as on systematic reviews in
order to formulate statements regarding the various CSTs. Results: CST without
mesh should no longer be performed because of too high recurrence rates. Open
anterior CST has too high a surgical site occurrence rate and henceforth should
only be conducted as endoscopic and perforator sparing anterior CST. Open
posterior CST and posterior CST with transversus abdominis release (TAR) produce
better results than open anterior CST. To date, no significant differences have
been found between endoscopic anterior, perforator sparing anterior CST and
posterior CST with transversus abdominis release. Robot-assisted posterior CST
with TAR is the latest, very promising alternative. The systematic use of
biologic meshes cannot be recommended for CST. Conclusion: CST should always be
performed with mesh as endoscopic or perforator sparing anterior or posterior
CST. Robot-assisted posterior CST with TAR is the latest development.
PMID- 29637075
TI - Accumulating physical activity in at least 10-minute bouts predicts better lung
function after 3-years in adults with cystic fibrosis.
AB - Achieving physical activity guidelines by undertaking multiple bouts of moderate
vigorous physical activity >=10 min duration, but not shorter periods of
activity, was independently associated with less decline in FEV1over 3 years
among adults with CF http://ow.ly/yk6930ivCV8.
PMID- 29637074
TI - Gaussian-Based Smooth Dielectric Function: A Surface-Free Approach for Modeling
Macromolecular Binding in Solvents.
AB - Conventional modeling techniques to model macromolecular solvation and its effect
on binding in the framework of Poisson-Boltzmann based implicit solvent models
make use of a geometrically defined surface to depict the separation of
macromolecular interior (low dielectric constant) from the solvent phase (high
dielectric constant). Though this simplification saves time and computational
resources without significantly compromising the accuracy of free energy
calculations, it bypasses some of the key physio-chemical properties of the
solute-solvent interface, e.g., the altered flexibility of water molecules and
that of side chains at the interface, which results in dielectric properties
different from both bulk water and macromolecular interior, respectively. Here we
present a Gaussian-based smooth dielectric model, an inhomogeneous dielectric
distribution model that mimics the effect of macromolecular flexibility and
captures the altered properties of surface bound water molecules. Thus, the model
delivers a smooth transition of dielectric properties from the macromolecular
interior to the solvent phase, eliminating any unphysical surface separating the
two phases. Using various examples of macromolecular binding, we demonstrate its
utility and illustrate the comparison with the conventional 2-dielectric model.
We also showcase some additional abilities of this model, viz. to account for the
effect of electrolytes in the solution and to render the distribution profile of
water across a lipid membrane.
PMID- 29637076
TI - Outcome of advanced lung cancer with central airway obstruction versus without
central airway obstruction.
AB - Patients with central airway obstruction (CAO) from advanced lung cancer present
with significant morbidity and are assumed to have lower survival. Hence, they
are offered only palliative support. We asked if patients who have advanced lung
cancer with CAO (recanalised and treated) will behave similarly to those with
advanced lung cancer without CAO. This study was a retrospective review of the
medical records of the patients managed for advanced lung cancer during 2010 and
2015 at our institution. 85 patients were studied. Median survival and 1-, 2- and
5-year survival were 5.8 months, 30.3%, 11.7% and 2.3% versus 9.3 months, 35.7%,
9.6% and 4.7%, respectively, in the CAO and no CAO groups (p=0.30). More patients
presented with respiratory failure (15 (35%) versus none; p=0.0001) and required
assisted mechanical ventilation (10 (23.3%) versus none; p=0.001) in the CAO
group compared with the no CAO group. Fewer patients received chemotherapy in the
CAO group (11 (25.5%)) compared with the no CAO group (23 (54.7%); p=0.008).
There was no difference in survival among patients with advanced lung cancer
whether they presented with CAO or without CAO. Survival was similar to those
without CAO in patients with recanalised CAO despite greater morbidity and lesser
use of chemotherapy, strongly advocating bronchoscopic recanalisation of CAO.
These findings dispel the nihilism associated with such cases.
PMID- 29637077
TI - Mask pressure effects on the nasal bridge during short-term noninvasive
ventilation.
AB - The aim of this study was to assess the influence of different masks, ventilator
settings and body positions on the pressure exerted on the nasal bridge by the
mask and subjective comfort during noninvasive ventilation (NIV). We measured the
pressure over the nasal bridge in 20 healthy participants receiving NIV via four
different NIV masks (three oronasal masks, one nasal mask) at three different
ventilator settings and in the seated or supine position. Objective pressure
measurements were obtained with an I-Scan pressure-mapping system. Subjective
comfort of the mask fit was assessed with a visual analogue scale. The masks
exerted mean pressures between 47.6+/-29 mmHg and 91.9+/-42.4 mmHg on the nasal
bridge. In the supine position, the pressure was lower in all masks (57.1+/-31.9
mmHg supine, 63.9+/-37.3 mmHg seated; p<0.001). With oronasal masks, a change of
inspiratory positive airway pressure (IPAP) did not influence the objective
pressure over the nasal bridge. Subjective discomfort was associated with higher
IPAP and positively correlated with the pressure on the skin. Objective
measurement of pressure on the skin during mask fitting might be helpful for mask
selection. Mask fitting in the supine position should be considered in the
clinical routine.
PMID- 29637072
TI - A Lexicon of DNA Modifications: Their Roles in Embryo Development and the
Germline.
AB - 5-methylcytosine (5mC) on CpG dinucleotides has been viewed as the major
epigenetic modification in eukaryotes for a long time. Apart from 5mC, additional
DNA modifications have been discovered in eukaryotic genomes. Many of these
modifications are thought to be solely associated with DNA damage. However,
growing evidence indicates that some base modifications, namely 5
hydroxymethylcytosine (5hmC), 5-formylcytosine (5fC), 5-carboxylcytosine (5caC),
and N6-methadenine (6mA), may be of biological relevance, particularly during
early stages of embryo development. Although abundance of these DNA modifications
in eukaryotic genomes can be low, there are suggestions that they cooperate with
other epigenetic markers to affect DNA-protein interactions, gene expression,
defense of genome stability and epigenetic inheritance. Little is still known
about their distribution in different tissues and their functions during key
stages of the animal lifecycle. This review discusses current knowledge and
future perspectives of these novel DNA modifications in the mammalian genome with
a focus on their dynamic distribution during early embryonic development and
their potential function in epigenetic inheritance through the germ line.
PMID- 29637079
TI - Immunogenicity and safety of subunit influenza vaccines in pregnant women.
AB - Pregnancy is a condition of modulated immune suppression, so this group of
patients has increased risk of infectious diseases. Trivalent subunit vaccines,
unadjusted Agrippal S1 (group I) and immunoadjuvant Grippol Plus (group II),
containing 5 MUg of actual influenza virus strains, were administered
respectively to 37 and 42 women in the second and third trimester of
physiological pregnancy. The administration of subunit influenza vaccines was
accompanied by the development of local reactions in no more than 10% of
patients, compared with 4.9% of the 41 pregnant women in the placebo group (group
III). Systemic reactions were of a general somatic nature, did not differ between
vaccinated and placebo groups, and were not associated with vaccination.
Physiological births in groups I, II and III were 94.6%, 92.9% and 85.4%,
respectively, and the birth rates of children without pathologies were 91.9%,
90.5% and 80.5%, respectively, and were comparable between groups. Vaccination
stimulated the production of protective antibodies against influenza virus
strains in 64.8-94.5% of patients after immunisation with an unadjusted vaccine
and in 72.5-90.0% of patients after the administration of an immunoadjuvant
vaccine. After 9 months, antibody levels were recorded in 51.3-72.9% in group I
and 54.2-74.2% in group II. Immunisation against influenza in pregnant women
provided a high level of seroprotection and seroconversion. Nevertheless, the
level of seroprotection against the influenza strain A(H3N2, Victoria) was
slightly lower in the group immunised with an unadjusted vaccine compared to
those vaccinated with the immunoadjuvant vaccine.
PMID- 29637078
TI - Noninvasive ventilation in stable hypercapnic COPD: what is the evidence?
AB - Long-term noninvasive ventilation (NIV) to treat chronic hypercapnic respiratory
failure is still controversial in severe chronic obstructive pulmonary disease
(COPD) patients. However, with the introduction of high-intensity NIV, important
benefits from this therapy have also been shown in COPD. In this review, the
focus will be on the arguments for long-term NIV at home in patients with COPD.
The rise of (high-intensity) NIV in COPD and the randomised controlled trials
showing positive effects with this mode of ventilation will be discussed.
Finally, the challenges that might be encountered (both in clinical practice and
in research) in further optimising this therapy, monitoring and following
patients, and selecting the patients who might benefit most will be reviewed.
PMID- 29637080
TI - Risk of tuberculosis transmission among healthcare workers.
AB - Data from a prospective molecular-epidemiological study (1997-2015) of patients
with culture-confirmed tuberculosis in Hamburg, Germany, were evaluated to assess
the occupational risk of Mycobacterium tuberculosis complex transmission in a low
incidence setting. Isolates of M. tuberculosis complex were genotyped using
IS6110 restriction fragment length polymorphism analysis. Results of structured
questionnaires, geographical mapping and additional patient interviews were used
for confirming epidemiological links. Out of the 2393 cases, 918 (38.4%) were
classified into 224 clusters comprising 2-70 patients per cluster. Among the 918
cluster members, epidemiological links could be confirmed in 340 (37.0%)
patients. In total, 55 (2.3%) patients were healthcare workers; 26 healthcare
workers remained unclustered, but 29 healthcare workers belonged to cluster
groups. Conventional contact tracing performed before genotyping to identify
sources of the reported index cases detected only 73 (3.1%) patients. Logistic
regression analysis confirmed work in the healthcare sector as strongest
predictor for clustering of patients with verified epidemiological links (odds
ratio (OR) 3.1, 95% CI 1.6-5.9), followed by alcoholism (OR 2.3, 95% CI 1.7-3.2)
and sputum smear positivity (OR 1.8, 95% CI 1.4-2.3). Immigrants were more likely
to be cluster nonmembers (OR 0.3, 95% CI 0.3-0.5). Recent transmission in Hamburg
within the 19-year study period was found to be strongly associated with working
in a healthcare facility. Although clusters also include many "imported" strains
from abroad or regional highly prevalent M. tuberculosis strains with no evident
epidemiological connection, routine molecular-epidemiological survey is
indispensable to optimising and controlling the effectiveness of TB control
strategies in German healthcare settings.
PMID- 29637081
TI - Mental health history-a contributing factor for poorer outcomes in burn
survivors.
AB - Background: A pre-morbid mental health history is common in patients with severe
burn injuries. This creates challenges in providing rehabilitation. The aim of
this study is to cross examine the possible impact of psychological co
morbidities on outcomes. Methods: A notes audit was carried out examining
patients that were admitted to Concord Hospital Burns Unit in a 3-year period
(2010-2012). Patients with total body surface area (TBSA) of 20% or greater and
aged between 16 and 50 years were included. Subjects were divided into a mental
health group and a control group. SPSS version 21 statistic program was used for
analysis the data. Results: Data collected included length of stay, time to
achieve independence, %TBSA, types of burns and surgery required. Results of 69
files showed that the average length of stay per %TBSA was nearly double in the
patients with a mental health problem (1.47 vs 0.88). They also had a higher rate
of re-graft (52% vs 22%) due to infection and poor nutrition. The average time
for patients to achieve independence in daily living activity was significantly
higher (p = 0.046) in the mental health group (36.2 days) versus the control
group (24.1 days). Conclusion: Patients with a mental health history may have
poorer general health. This may result in a higher failure rate of grafting,
leading to a requirement of re-graft. Hence, it took a longer time to achieve
independence, as well as a longer hospital stay. A mental health history in burn
survivors can be a contributing factor for poorer outcomes in the adult
population.
PMID- 29637082
TI - Quantitative Anatomic Analysis of the Medial Ulnar Collateral Ligament Complex of
the Elbow.
AB - Background: A more detailed assessment of the anatomy of the entire medial ulnar
collateral ligament complex (MUCLC) is desired as the rate of medial elbow
reconstruction surgery continues to rise. Purpose: To quantify the anatomy of the
MUCLC, including the anterior bundle (AB), posterior bundle (PB), and transverse
ligament (TL). Study Design: Descriptive laboratory study. Methods: Ten unpaired,
fresh-frozen cadaveric elbows underwent 3-dimensional (3D) digitization and
computed tomography with 3D reconstruction. Ligament footprint areas and
geometries, distances to key bony landmarks, and isometry were determined. A
surgeon digitized the visual center of each footprint, and this location was
compared with the geometric centroid calculated from the outline of the digitized
footprint. Results: The mean surface area of the AB was 324.2 mm2, with an origin
footprint of 32.3 mm2 and an elongated insertional footprint of 187.6 mm2
(length, 29.7 mm). The mean area of the PB was 116.6 mm2 (origin, 25.9 mm2;
insertion, 15.8 mm2), and the mean surface area of the TL was 134.5 mm2 (origin,
21.2 mm2; insertion, 16.7 mm2). The geometric centroids of all footprints could
be predicted within 0.8 to 1.3 mm, with the exception of the AB insertion
centroid, which was 7.6 mm distal to the perceived center at the apex of the
sublime tubercle. While the PB remained relatively isometric from 0 degrees to
90 degrees of flexion (P = .606), the AB lengthened by 2.2 mm (P < .001).
Conclusion: Contrary to several historical reports, the insertional footprint of
the AB was larger, elongated, and tapered. The TL demonstrated a previously
unrecognized expansive soft tissue insertion directly onto the AB, and additional
analysis of the biomechanical contribution of this structure is needed. Clinical
Relevance: These findings may serve as a foundation for future study of the MUCLC
and help refine current surgical reconstruction techniques.
PMID- 29637084
TI - Medicaid Health Insurance Status Limits Patient Accessibility to Rehabilitation
Services Following ACL Reconstruction Surgery.
AB - Background: In the senior author's (X.L.) orthopaedic sports medicine clinic in
the United States (US), patients appear to have difficulty finding physical
therapy (PT) practices that accept Medicaid insurance for postoperative
rehabilitation. Purpose: To determine access to PT services for privately insured
patients versus those with Medicaid who underwent anterior cruciate ligament
(ACL) reconstruction in the largest metropolitan area in the state of
Massachusetts, which underwent Medicaid expansion as part of the Affordable Care
Act. Study Design: Cross-sectional study. Methods: Locations offering PT services
were identified through Google, Yelp, and Yellow Pages internet searches. Each
practice was contacted and queried about health insurance type accepted (Medicaid
[public] vs Blue Cross Blue Shield [private]) for postoperative ACL
reconstruction rehabilitation. Additional data collection points included time to
first appointment, reason for not accepting insurance, and ability to refer to a
location accepting insurance type. Median income and percentage of households
living in poverty were also noted through US Census data for the town in which
the practice was located. Results: Of the 157 PT locations identified, contact
was made with 139 to achieve a response rate of 88.5%. Overall, 96.4% of
practices took private insurance, while 51.8% accepted Medicaid. Among those
locations that did not accept Medicaid, only 29% were able to refer to a clinic
that would accept it. "No contract" was the most common reason why Medicaid was
not accepted (39.4%). Average time to first appointment was 5.8 days for
privately insured patients versus 8.4 days for Medicaid patients (P = .0001).
There was no significant difference between clinic location (town median income
or poverty level) and insurance type accepted. Conclusion: The study results
reveal that 43% fewer PT clinics accept Medicaid as compared with private
insurance for postoperative ACL reconstruction rehabilitation in a large
metropolitan area. Furthermore, Medicaid patients must wait significantly longer
for an initial appointment. Access to PT care is still limited despite the
expansion of Medicaid insurance coverage to all patients in the state.
PMID- 29637083
TI - Osseous Vascularity of the Medial Elbow After Ulnar Collateral Ligament
Reconstruction: A Comparison of the Docking and Modified Jobe Techniques.
AB - Background: Although vascularity plays a critical role in healing after ulnar
collateral ligament (UCL) reconstruction, intraosseous blood flow to the medial
epicondyle (ME) and sublime tubercle remains undefined. Purpose: To quantify
vascular disruption caused by tunnel drilling with the modified Jobe and docking
techniques for UCL reconstruction. Study Design: Controlled laboratory study.
Methods: Eight matched pairs (16 specimens) of fresh-frozen cadaveric upper
extremities were randomized to 1 of 2 study groups: docking technique or modified
Jobe technique. One elbow in each pair underwent tunnel drilling by the assigned
technique, while the contralateral elbow served as a control. Pregadolinium and
postgadolinium magnetic resonance imaging were performed to quantify intraosseous
vascularity within the ME, trochlea, and proximal ulna. Three-dimensional
computed tomography (CT) and gross dissection were performed to assess terminal
vessel integrity. Results: Ulnar tunnel drilling had minimal impact on
vascularity of the proximal ulna, with maintenance of >95% blood flow for each
technique. Perfusion in the ME was reduced 14% (to 86% of baseline) for the
docking technique and 60% (to 40% of baseline) for the modified Jobe technique
(mean difference, 46%; P = .029). Three-dimensional CT and gross dissection
revealed increased disruption of small perforating vessels of the posterior
aspect of the ME for the modified Jobe technique. Conclusion: Although tunnel
drilling in the sublime tubercle appears to have a minimal effect on intraosseous
vascularity of the proximal ulna, both the docking and modified Jobe techniques
reduce flow in the ME. This reduction was 4 times greater for the modified Jobe
technique, and these findings have important implications for UCL reconstruction
surgery. Clinical Relevance: As the rate of revision UCL reconstructions
continues to rise, investigation into causes for failure of primary surgery is
needed. One potential cause is poor tendon-to-bone healing due to inadequate
vascularity. This study quantifies the amount of vascular insult that is incurred
in the ME during UCL reconstruction. While vascular insult is only one of many
factors that affects the surgical success rate, surgeons performing this
procedure should be mindful of this potential for vascular disruption.
PMID- 29637085
TI - Clinical Outcomes and Return to Sport After Arthroscopic Anterior, Posterior, and
Combined Shoulder Stabilization.
AB - Background: Glenohumeral instability is a common abnormality, especially among
athletes. Previous studies have evaluated outcomes after arthroscopic
stabilization in patients with anterior or posterior shoulder instability but
have not compared outcomes between groups. Purpose: To compare return-to-sport
and other patient-reported outcomes in patients after primary arthroscopic
anterior, posterior, and combined anterior and posterior shoulder stabilization.
Study Design: Cohort study; Level of evidence, 3. Methods: Patients who underwent
primary arthroscopic anterior, posterior, or combined anterior and posterior
shoulder stabilization were contacted at a minimum 2-year follow-up. Patients
completed a survey that consisted of return-to-sport outcomes as well as the
Western Ontario Shoulder Instability Index (WOSI), Single Assessment Numeric
Evaluation (SANE), American Shoulder and Elbow Sur'geons (ASES) score, and
Shoulder Activity Scale. Results: A total of 151 patients were successfully
contacted (anterior: n = 81; posterior: n = 22; combined: n = 48) at a mean
follow-up of 3.6 years. No significant differences were found between the groups
with regard to age at the time of surgery or time to follow-up. No significant
differences were found between the groups in terms of WOSI (anterior: 76;
posterior: 70; combined: 78; P = .28), SANE (anterior: 87; posterior: 85;
combined: 87; P = .79), ASES (anterior: 88; posterior: 83; combined: 91; P =
.083), or Shoulder Activity Scale (anterior: 12.0; posterior: 12.5; combined:
12.5; P = .74) scores. No significant difference was found between the groups in
terms of the rate of return to sport (anterior: 73%; posterior: 68%; combined:
75%; P = .84). Conclusion: Athletes undergoing arthroscopic stabilization of
anterior, posterior, or combined shoulder instability can be expected to share a
similar prognosis. High patient-reported outcome scores and moderate to high
rates of return to sport were achieved by all groups.
PMID- 29637086
TI - Outsourcing of Academic Clinical Laboratories: Experiences and Lessons From the
Association of Pathology Chairs Laboratory Outsourcing Survey.
AB - American hospitals are increasingly turning to service outsourcing to reduce
costs, including laboratory services. Studies of this practice have largely
focused on nonacademic medical centers. In contrast, academic medical centers
have unique practice environments and unique mission considerations. We sought to
elucidate and analyze clinical laboratory outsourcing experiences in US academic
medical centers. Seventeen chairs of pathology with relevant experience were
willing to participate in in-depth interviews about their experiences.
Anticipated financial benefits from joint venture arrangements often eroded after
the initial years of the agreement, due to increased test pricing, management
fees, duplication of services in support of inpatients, and lack of incentive for
utilization control on the part of the for-profit partner. Outsourcing can
preclude development of lucrative outreach programs; such programs were
successfully launched in several cases after joint ventures were either avoided
or terminated. Common complaints included poor test turnaround time and problems
with test quality (especially in molecular pathology, microbiology, and flow
cytometry), leading to clinician dissatisfaction. Joint ventures adversely
affected retention of academically oriented clinical pathology faculty, with
adverse effects on research and education, which further exacerbated clinician
dissatisfaction due to lack of available consultative expertise. Resident
education in pathology and in other disciplines (especially infectious disease)
suffered both from lack of on-site laboratory capabilities and from lack of
teaching faculty. Most joint ventures were initiated with little or no input from
pathology leadership, and input from pathology leadership was seen to have been
critical in those cases where such arrangements were declined or terminated.
PMID- 29637087
TI - Scientific authorship and collaboration network analysis on malaria research in
Benin: papers indexed in the web of science (1996-2016).
AB - Background: To sustain the critical progress made, prioritization and a
multidisciplinary approach to malaria research remain important to the national
malaria control program in Benin. To document the structure of the malaria
collaborative research in Benin, we analyze authorship of the scientific
documents published on malaria from Benin. Methods: We collected bibliographic
data from the Web Of Science on malaria research in Benin from January 1996 to
December 2016. From the collected data, a mulitigraph co-authorship network with
authors representing vertices was generated. An edge was drawn between two
authors when they co-author a paper. We computed vertex degree, betweenness,
closeness, and eigenvectors among others to identify prolific authors. We further
assess the weak points and how information flow in the network. Finally, we
perform a hierarchical clustering analysis, and Monte-Carlo simulations. Results:
Overall, 427 publications were included in this study. The generated network
contained 1792 authors and 116,388 parallel edges which converted in a weighted
graph of 1792 vertices and 95,787 edges. Our results suggested that prolific
authors with higher degrees tend to collaborate more. The hierarchical clustering
revealed 23 clusters, seven of which form a giant component containing 94% of all
the vertices in the network. This giant component has all the characteristics of
a small-world network with a small shortest path distance between pairs of three,
a diameter of 10 and a high clustering coefficient of 0.964. However, Monte-Carlo
simulations suggested our observed network is an unusual type of small-world
network. Sixteen vertices were identified as weak articulation points within the
network. Conclusion: The malaria research collaboration network in Benin is a
complex network that seems to display the characteristics of a small-world
network. This research reveals the presence of closed research groups where
collaborative research likely happens only between members. Interdisciplinary
collaboration tends to occur at higher levels between prolific researchers.
Continuously supporting, stabilizing the identified key brokers and most
productive authors in the Malaria research collaborative network is an urgent
need in Benin. It will foster the malaria research network and ensure the
promotion of junior scientists in the field.
PMID- 29637089
TI - Child health: what should be done?
PMID- 29637090
TI - Substandard medicines: a greater problem than counterfeit medicines?
PMID- 29637088
TI - Appendectomy versus non-operative treatment for acute uncomplicated appendicitis
in children: study protocol for a multicentre, open-label, non-inferiority,
randomised controlled trial.
AB - Background: Appendectomy is considered the gold standard treatment for acute
appendicitis. Recently the need for surgery has been challenged in both adults
and children. In children there is growing clinician, patient and parental
interest in non-operative treatment of acute appendicitis with antibiotics as
opposed to surgery. To date no multicentre randomised controlled trials that are
appropriately powered to determine efficacy of non-operative treatment
(antibiotics) for acute appendicitis in children compared with surgery
(appendectomy) have been performed. Methods: Multicentre, international,
randomised controlled trial with a non-inferiority design. Children (age 5-16
years) with a clinical and/or radiological diagnosis of acute uncomplicated
appendicitis will be randomised (1:1 ratio) to receive either laparoscopic
appendectomy or treatment with intravenous (minimum 12 hours) followed by oral
antibiotics (total course 10 days). Allocation to groups will be stratified by
gender, duration of symptoms (> or <48 hours) and centre. Children in both
treatment groups will follow a standardised treatment pathway. Primary outcome is
treatment failure defined as additional intervention related to appendicitis
requiring general anaesthesia within 1 year of randomisation (including recurrent
appendicitis) or negative appendectomy. Important secondary outcomes will be
reported and a cost-effectiveness analysis will be performed. The primary outcome
will be analysed on a non-inferiority basis using a 20% non-inferiority margin.
Planned sample size is 978 children. Discussion: The APPY trial will be the first
multicentre randomised trial comparing non-operative treatment with appendectomy
for acute uncomplicated appendicitis in children. The results of this trial have
the potential to revolutionise the treatment of this common gastrointestinal
emergency. The randomised design will limit the effect of bias on outcomes seen
in other studies. Trial registration number: clinicaltrials.gov: NCT02687464.
Registered on Jan 13th 2016.
PMID- 29637091
TI - A protocol for quality improvement programme to reduce central line-associated
bloodstream infections in NICU of low and middle income country.
AB - Introduction: Central line-associated bloodstream infections (CLABSI) are the
most important cause of morbidity and mortality in critically ill patients.
Evidence-based interventions when used in form of a bundle have proven to
decrease CLABSI. Our unit has a high CLABSI rate (9/1000 central line days).
Therefore, we intend to introduce evidence-based CLABSI prevention package in our
practice to improve CLABSI rates in our NICU within limited resources. Methods
and analysis: The study will be conducted using preanalysis and postanalysis
design from January 2016 to December 2017. It is going to be conducted in three
phases with phase I being the preimplimentation phase where retrospective data
will be collected. Phase II, implementation phase, where the CLABSI prevention
package will be introduced and phase III will be follow-up to see the impact.
Primary outcome will be reduction in CLABSI rates. Analysis plan and reporting:
For all three phases, descriptive analysis will be performed. Nominal data will
be presented as mean+/-SD, whereas categorical data will be presented as
frequencies and percentages. To compare the effect of intervention we will use
independent sample t-test for continuous outcomes, whereas Chi2 test will be used
for categorical outcomes. Relative risk ratios, 95% CI, and p values will be
determined. Incidence density will be calculated and Poisson regression will be
used to determine factors associated with incidence of CLABSI. Microbiological
profiles and antimicrobial resistance pattern will be reported as pan sensitive,
multidrug-resistant organism and carbapenem-resistant organism. SQUIRE V.2.0
guidelines will be used for manuscript writing and reporting.
PMID- 29637092
TI - Pressure-related flow rates for continuous renal replacement therapy in very
small children: an in vitro study.
AB - Objective: Continuous renal replacement therapy (CRRT) is extremely challenging
in very small children, as most CRRT intravascular access devices are too large.
We aimed to quantify flow rates through several alternative intravascular
devices. Design: Experimental in vitro study simulating CRRT. Setting: Whole milk
and equine blood were used as human blood substitutes due to similar viscosity.
Milk under gravity pressure was run through a standard CRRT circuit. Equine blood
was run through a working CRRT machine. Subjects: Eight intravenous access
devices used in paediatrics, with a variety of connectors. Interventions: Devices
were tested with milk for flow between 50 and 200 mm Hg pressure, and with blood
for pressure at flows between 20 and 50 mL/min. Main outcome measures: Flows at
each input pressure with milk, and pressures at each flow rate with blood.
Results: With both experimental systems, 8Fr and 6.5Fr haemodialysis catheters,
and 18G and 20G cannulae allowed excellent low pressure flow rates. 5Fr triple
central catheter, 5Fr and 4Fr umbilical venous catheter, and 5Fr haemodialysis
catheters did not allow flows at reasonable pressures for CRRT. A three-way tap
did not impede flows, but a needle-free valve did. Flows increased with pressure
non-linearly, presumably due to increasing turbulence. Conclusions: In very small
patients needing CRRT, where large haemodialysis catheters cannot be used due to
the patient size, we advise the use of two 18G cannulae in different sites. A
three-way tap can be added, but not any other connectors. In vitro this system
gave suitable flow rates with some flexibility for in vivo variations.
PMID- 29637093
TI - Setting up and running a paediatric emergency department in a hospital in Malawi:
15 years on.
AB - Paediatric emergency care is not recognised as a specialty in many countries in
Africa but is being practised increasingly. Setting up a paediatric emergency
care unit takes time and often involves trial and error. Here we describe the
start of the paediatric emergency department in Blantyre, Malawi, a low-income
country and how it has continued to evolve over 15 years, in the hope that our
experience will inform and assist others who are already developing their own
emergency unit or wishing to do so.
PMID- 29637094
TI - Development of a core outcome set for clinical trials in childhood constipation:
a study using a Delphi technique.
AB - Objective: Patients, their parents and healthcare professionals (HCPs) have a
different perception regarding the symptoms of functional constipation (FC).
Consequently, a lack of agreement exists on definitions and outcomes used in
therapeutic trials of FC. Therefore, our aim was to develop a core outcome set
(COS) for FC for children aged 0-1 year and 1-18 years. Design and setting:
Prospective study design: primary, secondary and tertiary care settings. Methods:
This COS was developed using a Delphi technique. First, HCPs, parents of children
with FC and patients aged >=12-18 years were asked to list up to five outcomes
they considered relevant in the treatment of FC. Outcomes mentioned by >10% of
participants were included in a shortlist. In the next phase, outcomes on this
shortlist were rated and prioritised by HCPs, parents and patients. Outcomes with
the highest scores were included in a draft COS. In a face-to-face expert
meeting, the final COS was determined. Results: The first phase was completed by
109 HCPs, 165 parents and 50 children. Fifty HCPs, 80 parents and 50 children
completed the subsequent phase. The response rate was between 63% and 100% in
both steps. The final COS for all ages consisted of: defecation frequency, stool
consistency, painful defecation, quality of life, side effects of treatment,
faecal incontinence, abdominal pain and school attendance. Conclusion: The use of
this COS for FC will decrease study heterogeneity and improve comparability of
studies. Therefore, researchers are recommended to use this COS in future
therapeutic trials on childhood FC.
PMID- 29637095
TI - Survey of nutritional practices during therapeutic hypothermia for hypoxic
ischaemic encephalopathy.
AB - Objective: To evaluate current nutritional practices during and after therapeutic
hypothermia (TH) for infants with hypoxic-ischaemic encephalopathy (HIE) in UK
neonatal units. Study design: Email survey of neonatal clinicians. Setting: UK
neonatal units providing active TH. Patients: Neonates cooled for HIE. Methods:
Email survey including questions regarding the timing of starting enteral feeds,
volumes, frequency and parenteral nutrition (PN) use and availability of
guidelines. Results: Forty-nine responses were received (49/69, 71%). The rate of
enteral feeding during TH and rewarming was 59% (29/49). There was a significant
linear trend for the increase in the proportion of units starting enteral feeds
(p=0.001) during TH. As compared with post-TH period, significantly lower milk
volumes were started during TH (median (range): 7.5 mL/kg/day (1.5-24) vs 17.5
mL/kg/day (7.5-30), p=0.0004). During TH, breast milk was primarily used by 52%
of units predominantly as 2-3 hourly feeds, and volumes were increased as
tolerated in 55% of units. Only 29% (14/49) of units used PN, with 86% (12/14) of
those offering enteral feeds during PN. Guidelines for feeding during TH were
available in 31% (15/49) of units. Conclusions: Many neonatal clinicians offer
enteral feeds predominantly using expressed breast milk, with or without PN,
during TH, although with huge variability. The heterogeneity in the nutritional
practice underscores the need for assessing the safety of both enteral and
parenteral feeding during TH.
PMID- 29637096
TI - Plasma citrate concentration: a possible biomarker for glaucoma in children.
AB - Objectives: The main aim of the present study was to examine a possible role of
plasma and urine citrate levels as glaucoma indicators in school-aged children
with glaucoma diagnosis. Patients: 34 school-aged children with a glaucoma
diagnosis (mean age 15.69+/-1.86 years) were qualified for the study group and 34
patients with no ophthalmological ailments were qualified for the control group
(mean age 16.1+/-1.98 years). Plasma and urine citrate levels in the study and
the control group (Kruskal-Wallis test) were compared. Results: Plasma citrate
levels in the study (16.33+/-4.51 mg/L) and the control group (19.11+/-3.66 mg/L)
were different; the statistical significance (p=0.0036). Plasma citrate
concentrations were significantly lower in the study group in comparison with the
control group. There were no statistically important differences between the
study group (291.12+/-259.13 mg/24 hours; 275.82+/-217.57 mg/g) and the control
group (434.88+/-357.66 mg/24 hours; 329.81+/-383.27 mg/g) including urine citrate
level (p=0.052) and urine citrate to creatine ratio (p=0.4667). Conclusion:
Plasma citrate concentration might be considered as glaucoma biomarker in
paediatric population.
PMID- 29637097
TI - Physiological stress responses in infants at 29-32 weeks' postmenstrual age
during clustered nursing cares and standardised neurobehavioural assessments.
AB - Objective: To compare the physiological stress responses of infants born <30
weeks' gestational age when undergoing clustered nursing cares with standardised
neurobehavioural assessments in neonatal nurseries. Design/methods: Thirty-four
infants born <30 weeks' gestation were recruited from a tertiary neonatal
intensive care unit. Heart rate (HR) and oxygen saturation were recorded during
clustered nursing cares and during standardised neurobehavioural assessments
(including the General Movements Assessment, Hammersmith Neonatal Neurological
Examination and Premie-Neuro Assessment). Two assessors extracted HR and oxygen
saturations at 5 s intervals, with HR instability defined either as tachycardia
(HR >180 beats per minute (bpm)) or bradycardia (HR <100 bpm). Oxygen
desaturations were defined as SpO2<90%. Physiological stability was compared
between nursing cares and neurobehavioural assessments using linear (for
continuous outcomes) and logistic (HR instability and oxygen desaturation)
regression. Results: Compared with clustered nursing cares HR was lower (mean
difference -5.9 bpm; 95% CI -6.5 to 5.3; P<0.001) and oxygen saturation higher
(mean difference 2.4%; 95% CI 2.1% to 2.6%; P<0.001) during standardised
neurobehavioural assessments. Compared with clustered nursing cares
neurobehavioural assessments were also associated with reduced odds of
tachycardia (OR 0.44, 95% CI 0.22 to 0.86), HR instability (OR 0.43, 95% CI 0.22
to 0.85) and oxygen desaturation (OR 0.43, 95% CI 0.26 to 0.70). Conclusions:
Standardised neurobehavioural assessments are associated with less physiological
stress than clustered nursing cares in infants aged 29-32 weeks' postmenstrual
age, and are therefore possible without causing undue physiological disturbance
in medically stable infants.
PMID- 29637098
TI - Responding to the changing burden of disease for children and adolescents in
modern Britain: the RCPCH State of Child Health Report 2017.
AB - The Royal College of Paediatrics and Child Health published the State of Child
Health (SOCH) 2017, summarising the changing burden of disease in UK children and
young people (CYP) over the past 20 years. These data show clearly that the three
great challenges of our time for CYP are obesity, mental health problems and the
impact of poverty and inequality on health. In this review, we summarise key
findings from SOCH 2017 and outline key responses the UK must make to improve
health and well-being for its CYP.
PMID- 29637099
TI - Non-pathological bilious vomiting complicating therapeutic hypothermia for
hypoxic ischaemic encephalopathy in neonates: a retrospective cohort study.
AB - Objective: Therapeutic hypothermia (TH) for moderate-to-severe neonatal hypoxic
ischaemic encephalopathy (HIE) is generally described as safe. We performed this
study to determine the incidence of bilious vomiting or bilious drainage (BVD)
attributable to TH in this population. Design: A single-centre, retrospective
cohort study. Setting: Neonatal and paediatric intensive care units (NICU and
PICU) of a single tertiary care centre. Patients: All newborns with HIE who met
criteria for TH between 2009 and 2014. Interventions: Cases were matched 1:1 for
unit of care (NICU vs PICU), gestational age, gender, and Sarnat score with
historic controls who did not receive TH. Groups were compared with Pearson's
Chi2 analysis. Relative risk was calculated, and ORs were used to allow
regression analysis. Results: Forty-seven patients met all inclusion criteria.
The incidence of BVD in patients who received TH was 26%. The group exposed to TH
was more likely to experience BVD compared with the control group with a relative
risk of 6.0(95% CI 1.4 to 25.4), even after accounting for improper or unchecked
nasogastric position, opioids and muscle relaxant use, OR=7.8(95% CI 1.4 to
43.3), and when positive blood culture was included in the regression model,
OR=11.6(95% CI 1.2 to 115.0). Three patients underwent investigation and no
patients had surgical pathology. Conclusion: TH appears to be associated with non
pathological bilious vomiting or gastric drainage. Further prospective data are
needed to identify the patients in whom investigation and intervention may be
avoided.
PMID- 29637100
TI - Parent views on the content and potential impact of respiratory tract infection
surveillance information: semistructured interviews to inform future research.
AB - Objectives: This study explored the potential value of real-time information
regarding respiratory tract infections (RTIs) circulating in the community by
eliciting parent views on illustrative surveillance information and its possible
impact on primary care consultations. Design: Semistructured interviews were
conducted with parents of children (>3 months-15 years). Participants were
presented with example information on circulating viruses, symptoms and symptom
duration and asked about its potential impact on perceptions of child illness and
management practices. Interviews were analysed using the framework method.
Setting: Parents participating in a cohort study were selected purposefully using
index of multiple deprivation and child age. Participants: 30 mothers of children
(>3 months-15years). Results: Parents anticipated using the information to inform
lay diagnoses particularly when child symptoms were severe and thought normal
symptom duration awareness might extend the time prior to seeking medical advice,
but it also may encourage consultations when symptoms exceed the given duration.
The information was not expected to change consultation behaviour if parents felt
their child needed a medical evaluation and they felt unable to manage the
symptoms. Most parents felt that the information could provide reassurance that
could reduce intention to consult, but some felt it could raise concerns, by
heightening awareness of circulating viruses. Lastly, parents wanted advice about
protecting children from circulating viruses and felt that general practitioners
using the information to diagnose child RTIs with greater certainty was
acceptable. Conclusions: Diverse responses to the surveillance information were
elicited, and there was some support for the intended outcomes. This study has
important implications for the design of interventions to modify consulting
behaviour. Future piloting to measure behaviour change in response to infection
surveillance information are needed.
PMID- 29637101
TI - Retrospective review of paediatric case reports of Stevens-Johnson syndrome and
toxic epidermal necrolysis with lamotrigine from an international
pharmacovigilance database.
AB - Objectives: This study aims to characterise paediatric reports with lamotrigine
(LTG) and Stevens-Johnson syndrome or toxic epidermal necrolysis (SJS/TEN), and
to explore whether potential risk factors can be identified. Design: This is a
retrospective review of suspected adverse drug reaction (ADR) reports. Reported
time from LTG start to SJS/TEN onset, indication for use and dose was explored.
To identify potential risk groups, report features (eg, ages, patient sex, co
reported drugs) for LTG and SJS/TEN were contrasted with two reference groups in
the same database, using shrinkage logOR. Setting: Reports were retrieved from
VigiBase, the WHO global database of individual case safety reports, in January
2015. Patients: Data for patients aged <=17 years old were extracted. Results:
There were 486 reports of SJS/TEN in LTG-treated paediatric patients. Ninety
seven per cent of the cases with complete information on time to onset of SJS/TEN
occurred within 8 weeks of initiation of LTG therapy. The median time to onset
was 15 days (IQR: 10-22 days). The proportion of SJS/TEN with LTG and valproic
acid (VPA) co-reporting was significantly more than non-cutaneous ADRs (43% vs
19%, (logOR: 1.60 (99% CI: 1.33 to 1.84)). Conclusions: The results suggest that
VPA co-medication with LTG therapy is a risk factor for SJS/TEN in the paediatric
population. Although this relationship has been identified from individual case
reports, this is the first supportive study from a large compilation of cases.
SJS/TEN risk is highest in first 8 weeks of treatment with LTG in children and
clinicians should be aware of this risk during this period.
PMID- 29637102
TI - Multicentre prospective observational study of feeding practices in 30-33 weeks
preterm infants.
AB - Background: Current evidence supports progressive feeding in preterm infants. Due
to lower necrotising enterocolitis risk, recent studies suggest starting total
enteral feeding from birth in 30-33 weeks preterm infants. The feasibility of
this practice is unclear. Aim: Explore feeding practices in 30-33 weeks preterm
infants. Design: Prospective, multicentre, observational study recruiting 10
consecutive 30-33 weeks preterm infants from each of the eight UK hospitals.
Results: Eighty infants received their first feed at median of 24 hours,
achieving total enteral (without intravenous nutrition) and full feeds (>=150
ml/kg/day) at median of 5 and 8 days, respectively. Eleven infants who achieved
total enteral feeding within 24 hours after birth achieved full feeds earlier
(p=0.02) with shorter hospital stay (p=0.009) but were also of older gestation
(p=0.004). Conclusion: Current early feeding approaches in 30-33 weeks preterm
infants were found to be conservative. Total enteral feeding from birth is
possible in these infants but further studies are needed.
PMID- 29637103
TI - An international survey of management of pain and sedation after paediatric
cardiac surgery.
AB - Objective: The mainstay of pain treatment after paediatric cardiac surgery is the
use of opioids. Current guidelines for its optimal use are based on small, non
randomised clinical trials, and data on the pharmacokinetics (PK) and
pharmacodynamics (PD) of opioids are lacking. This study aims at providing an
overview of international hospital practices on the treatment of pain and
sedation after paediatric cardiac surgery. Design: A multicentre survey study
assessed the management of pain and sedation in children aged 0-18 years after
cardiac surgery. Setting: Pediatric intensive care units (PICU)of 19 tertiary
children's hospitals worldwide were invited to participate. The focus of the
survey was on type and dose of analgesic and sedative drugs and the tools used
for their pharmacodynamic assessment. Results: Fifteen hospitals (response rate
79%) filled out the survey. Morphine was the primary analgesic in most hospitals,
and its doses for continuous infusion ranged from 10 to 60 mcg kg-1 h-1 in
children aged 0-36 months. Benzodiazepines were the first choice for sedation,
with midazolam used in all study hospitals. Eight hospitals (53%) reported
routine use of sedatives with pain treatment. Overall, type and dosing of
analgesic and sedative drugs differed substantially between hospitals. All
participating hospitals used validated pain and sedation assessment tools.
Conclusion: There was a large variation in the type and dosing of drugs employed
in the treatment of pain and sedation after paediatric cardiac surgery. As a
consequence, there is a need to rationalise pain and sedation management for this
vulnerable patient group.
PMID- 29637104
TI - Developing, implementing and disseminating a core outcome set for neonatal
medicine.
AB - Background: In high resource settings, 1 in 10 newborn babies require admission
to a neonatal unit. Research evaluating neonatal care involves recording and
reporting many different outcomes and outcome measures. Such variation limits the
usefulness of research as studies cannot be compared or combined. To address
these limitations, we aim to develop, disseminate and implement a core outcome
set for neonatal medicine. Methods: A steering group that includes parents and
former patients, healthcare professionals and researchers has been formed to
guide the development of the core outcome set. We will review neonatal trials
systematically to identify previously reported outcomes. Additionally, we will
specifically identify outcomes of importance to parents, former patients and
healthcare professionals through a systematic review of qualitative studies.
Outcomes identified will be entered into an international, multi-perspective
eDelphi survey. All key stakeholders will be invited to participate. The Delphi
method will encourage individual and group stakeholder consensus to identify a
core outcome set. The core outcome set will be mapped to existing, routinely
recorded data where these exist. Discussion: Use of a core set will ensure
outcomes of importance to key stakeholders, including former patients and
parents, are recorded and reported in a standard fashion in future research.
Embedding the core outcome set within future clinical studies will extend the
usefulness of research to inform practice, enhance patient care and ultimately
improve outcomes. Using routinely recorded electronic data will facilitate
implementation with minimal addition burden. Trial registration number: Core
Outcome Measures in Effectiveness Trials (COMET) database: 842 (www.comet
initiative.org/studies/details/842).
PMID- 29637105
TI - Supply of unlicensed medicines to children: semi-structured interviews with
carers.
AB - Objectives: To explore the experiences of parents and carers relating to the
supply of unlicensed medicines for their child after discharge from hospital.
Methods: Semi-structured interviews were conducted with 15 parents and carers of
children who were newly prescribed an unlicensed medicine. Interviews were
conducted at least 4 weeks after the child's discharge from hospital. Qualitative
thematic analysis of the data was carried out. Results: Problems were frequently
encountered by parents when attempting to obtain further supplies of their
child's unlicensed medicine. Problems included general practitioners (GPs)
refusing to prescribe the medicine, GPs prescribing a dose or formulation that
differed to what had been prescribed previously, pharmacists who were unable to
source a suitable medicine, medicines that were not labelled with administration
instructions and delays in obtaining the medicine. Action or intervention by the
parent was often required to overcome the problems faced. The necessity of these
actions or interventions, and the implication of not succeeding, frequently
caused parents anxiety, frustration and dissatisfaction. Conclusions: Strategies
for improving the process of medicine supply during the transition between
secondary and primary care are necessary and must involve greater communication
among healthcare professionals and carers. GPs and community pharmacists should
have access to greater support and guidance to facilitate the safe prescribing
and supply of unlicensed medicines. Parents and carers should be informed about
the process to ensure understanding, create empowerment and to build
relationships between them and the professionals responsible for the care of
their child.
PMID- 29637106
TI - Cost of assessing a child for possible autism spectrum disorder? An observational
study of current practice in child development centres in the UK.
AB - Objective: UK guidelines recommend that diagnosis of autism in children requires
assessment by a multidisciplinary team. With growing numbers of referrals for
assessment, diagnostic services have been under increasing pressure to meet the
level of need. This study aimed to explore the number of hours of professional
time required to complete such an assessment based on current practice in
secondary care child development centres across the UK, and from this we
calculate the cost of assessment. Design: An online questionnaire, using
SurveyMonkey.com, was sent to 20 child development centres asking them to
retrospectively record team members involved at each stage of assessment and time
taken, including report writing and administration for a typical assessment.
Costs were estimated based on the hourly rate for each team member, including
salary, on-costs and trust overheads. Results: 12 questionnaires (60%) were
returned. 10 centres adopted a two-stage approach to assessment with an initial
'screening' clinic determining whether the child needed to proceed to full
multidisciplinary assessment. Median professional time involved was 13 hours (IQR
9.6-15.5 hours). This resulted in a median cost of L809 ($1213, based on
conversion rate L1 equal to US$1.5 (November 2015)), (IQR L684-L925) ($1026
$1388)). Implications: This study confirms that multidisciplinary diagnostic
assessment of a child with possible autism requires significant professional
time, with staff costs of approximately L800 ($1200) per child. This does not
include costs of intervention, parent psychological education, investigation and
assessment and management of comorbidities. If growing waiting times for
diagnostic assessment are to be avoided, funding for diagnostic services needs to
reflect the human resources required and the resulting costs of that assessment.
PMID- 29637107
TI - Inequalities in child health in India.
AB - India is a lower-middle-income country with one of the fastest growing economies
in the world. Despite improvements in its economy, it has a high child mortality
rate, with significant differences in child mortality both between and within
different states. Poverty, malnutrition and poor sanitation are major problems
for many Indians and are a major contributor to child mortality. More than 40%
children are malnourished or stunted. Healthcare provision is poor, and many
families, especially in rural areas, have major difficulties in accessing
healthcare. Kerala has the lowest child mortality rates in India. This has been
achieved by reducing poverty, malnutrition and inequalities. The provision of
universal education alongside universal access to healthcare has demonstrated
that child mortality rates could be reduced. India could significantly reduce its
child mortality by following the example of Kerala.
PMID- 29637108
TI - Impact of perinatal asphyxia on parental mental health and bonding with the
infant: a questionnaire survey of Swiss parents.
AB - Objective: To compare current mental health symptoms and infant bonding in
parents whose infants survived perinatal asphyxia in the last 2 years with
control parents and to investigate which sociodemographic, obstetric and neonatal
variables correlated with parental mental health and infant bonding in the
asphyxia group. Design: Cross-sectional questionnaire survey of parents whose
children were registered in the Swiss national Asphyxia and Cooling register and
of control parents (Post-traumatic Diagnostic Scale, Hospital Anxiety and
Depression Scale, Mother-to-Infant Bonding Scale). Results: The response rate for
the asphyxia group was 46.5%. Compared with controls, mothers and fathers in the
asphyxia group had a higher frequency of post-traumatic stress disorder (PTSD)
symptoms (p<0.001). More mothers (n=28, 56%) had a symptom diagnosis of either
full or partial PTSD than controls (n=54, 39%) (p=0.032). Similarly, more fathers
(n=31, 51%) had a symptom diagnosis of either partial or full PTSD than controls
(n=19, 33%) (p=0.034). Mothers reported poorer bonding with the infant (p=0.043)
than controls. Having a trauma in the past was linked to more psychological
distress in mothers (r=0.31 (95% CI 0.04 to 0.54)) and fathers (r=0.35 (95% CI
0.05 to 0.59)). For mothers, previous pregnancy was linked to poorer bonding
(r=0.41 (95% CI 0.13 to 0.63)). In fathers, therapeutic hypothermia of the infant
was related to less frequent PTSD symptoms (r=-0.37 (95% CI -0.61 to -0.06)) and
past psychological difficulties (r=0.37 (95% CI 0.07 to 0.60)) to more
psychological distress. A lower Apgar score was linked to poorer bonding (r=-0.38
(95% CI -0.64 to -0.05)). Conclusions: Parents of infants hospitalised for
perinatal asphyxia are more at risk of developing PTSD than control parents.
PMID- 29637109
TI - Variation in the management of SSRI-exposed babies across England.
AB - Background: Depression in pregnancy is commonly treated using selective serotonin
reuptake inhibitors (SSRIs). A possible withdrawal syndrome following in utero
exposure has been reported, but there is currently no UK guidance on the
management of these neonates. Methods: All 160 neonatal units in England were
asked for information regarding their management of SSRI-exposed babies. Results:
Responses were obtained from 112/160 (70%) units. Only four units had a specific
protocol for managing SSRI-exposed neonates. Twenty-one units (19%) conduct
routine observations on exposed neonates, while 37 units (33%) would consider
treating babies with signs of withdrawal or toxicity. Conclusions: Very few
neonatal units in England have specific guidelines for the management of babies
exposed to SSRIs in utero, with practice varying greatly between units. Further
research is needed on the outcomes of SSRI-exposed babies to support development
of standardised evidence-based guidelines to ensure all mothers and babies
receive similar high-quality care.
PMID- 29637110
TI - Is arterial stiffening associated with adiposity, severity of obesity and other
contemporary cardiometabolic markers in a community sample of adolescents with
obesity in the UK?
AB - Background: Cardiovascular disease (CVD) prediction is problematic within groups
of obese adolescents as measures such as adiposity and metabolic markers lack
validation. Pulse wave velocity (PWV), a proxy for arterial stiffening, is a
potential way to contemporaneously capture adolescents at greater risk of CVD.
Objectives: To investigate associations between PWV and 1) adiposity and 2) other
conventional metabolic factors in a community sample of (>95th centile body mass
index (BMI)). Design and setting: Cross-sectional measurement and analysis in a
hospital-based research centre drawn from a community sample of adolescents
recruited to an obesity intervention at baseline. Patients: 174 adolescents (12
19 years) with obesity (>95th centile BMI). 37% were male, while 66 (38%) were
white, 53 (30%) black, 36 (21%) South Asian, 19 (11%) mixed/other. Participants
with endocrine, genetic causes of obesity and chronic medical conditions
(excluding asthma) were excluded. Measures: BMI z-score (zBMI), waist z-score,
fat mass index (FMI: measured using bioimpedance), sagittal abdominal dimension
(SAD), cardiometabolic blood tests and resting blood pressure (BP) were
collected. Carotid-radial PWV was measured by a single operator. Results: PWV was
associated with age but not pubertal stage. PWV was positively associated with
adiposity (zBMI: coefficient 0.44 (95% CI 0.08 to 0.79); FMI: coefficient 0.05
(95% CI 0.00 to 0.10); waist z-score: coefficient 0.27 (95% CI 0.00 to 0.53);
SAD: coefficient 0.06 (95% CI: 0.00 to 0.12)). There was no association between
PWV and BP, and few associations with cardiometabolic bloods. Associations
between PWV and adiposity measures were robust to adjustment in multivariable
models except for SAD. Participants with zBMI >2.5 SD and >3.5 SD had greater
average PWV but overlap between groups was large. Conclusions: In our sample,
increasing adiposity was positively associated with arterial stiffness, however
partitioning by severity was not reliable. Lack of associations between BP,
cardiometabolic bloods and arterial stiffness questions the reliability of these
factors for predicting CVD risk in adolescents with obesity.
PMID- 29637111
TI - Body image: a survey of children in Caribbean Bonaire.
AB - Background: In Bonaire, the prevalence of overweight and obesity is twice as high
compared with Northern Europe but similar to other Caribbean Islands and the USA.
Having a realistic body image may be an important tool in the battle against
childhood obesity. Previous studies have demonstrated associations between having
a realistic body image and efforts to control weight. The aim of the study was to
explore the body image of children in Bonaire. Methods: In a cross-sectional
study from March to May 2015 in Bonaire, weight and height were measured in all
children aged 10-14 years attending school. Body mass index (kg/m2) was
classified according to the International Obesity Task Force. The children were
asked about their body image using a validated questionnaire. Results: Body mass
index was measured in 939 of 1029 (91.3%) children aged 10-14 years (51.5% boys)
in Bonaire. Of all children, 9.7% was underweight, 57.6% was normal weight, 32.7%
was overweight (including obesity) and 11.6% was obese. The question pertaining
to body image was completed by 750 of 939 (79.9%) children. Having a realistic
perception of body image varied per weight category from 65% in underweight girls
to 13% in obese boys. The percentage of obese children who underestimate their
weight is high (boys 87%, girls 77%). Conclusions: In many children in Caribbean
Bonaire, perceived body image is not in agreement with actual weight status. This
applies especially to obese children. Disagreement between perceived body image
and actual weight status may prevent weight management in overweight children.
Future research is needed to elucidate determinants of disagreement between body
image and actual weight status.
PMID- 29637112
TI - Effectiveness of transcutaneous bilirubin measurement in managing neonatal
jaundice in postnatal ward of a tertiary care hospital in Pakistan.
AB - Introduction: Neonatal jaundice is a common cause of concern in immediate newborn
period for parents as well as for the caregivers. Babies with visible jaundice
are identified by the healthcare provider, and blood samples are sent for
confirmation. Clinical expertise varies from person to person and may lead to
sending excessive blood sampling. Obtaining blood bilirubin samples is a painful
procedure; it predisposes the baby to infections and requires skilled health
personnel. Moreover, laboratory tests are costly and time consuming, leading to
unnecessary delays in commencing phototherapy and discharge from hospital.
Transcutaneous bilirubinometer has been in use for a long time as screening tool
in postnatal wards. With passage of time, its accuracy and validity have improved
tremendously. Methodology: We aim to implement a quality improvement initiative
to reduce the number of blood bilirubin samples using transcutaneous bilirubin
(TcBR) nomogram in full-term, low-risk babies who are born at our hospital and
are admitted in postnatal ward after birth. Using preanalysis and postanalysis
study design, this study will be performed in two phases of 6 months each. Data
regarding total number of admissions in postnatal wards, demographics, serum
bilirubin(TSBR) samplings and need for phototherapy will be recorded in both
phases. TcBR will be done and recorded in postimplementation phase. Analysis and
results: Comparisons between the two groups will be made. Primary outcome will be
reduction in blood bilirubin samples for TSBR after the implementation of TcBr
protocol. The proportion of infants having TSBR performed in both periods will be
compared. Crude sampling cost of TSBR will be obtained from laboratory, and cost
comparison between two phases will be done to look for difference.
PMID- 29637113
TI - Probiotics for the prevention of surgical necrotising enterocolitis: systematic
review and meta-analysis.
AB - Aim of the study: Probiotic administration to preterm infants has the potential
to prevent necrotising enterocolitis (NEC). Data from randomised controlled
trials (RCT) are conflicting but meta-analyses seem to support this intervention.
To date, these analyses have not focused on surgical NEC. We aimed to determine
the effect of probiotic administration to preterm infants on prevention of
surgical NEC. Methods: A systematic review of RCTs of probiotic administration to
preterm infants was performed. Studies were included if RCT outcomes included any
of (1) Bell's stage 3 NEC; (2) surgery for NEC; and (3) deaths attributable to
NEC. Article selection and data extraction were performed independently by two
authors; conflicts were adjudicated by a third author. Data were meta-analysed
using Review Manager V.5.3. A random effects model was decided on a priori
because of the heterogeneity of study design; data are risk ratio (RR) with 95%
CI. Main results: Thirty-five RCTs reported NEC as an outcome. Seventeen reported
surgical NEC; all RCTs were included. A variety of probiotic products was
administered across studies. Description of surgical NEC in most studies was
poor. Only 6/16 specifically reported incidence of surgery for NEC, 12/17 Bell's
stage 3 and 13/17 NEC-associated mortality. Although there was a trend towards
probiotic administration reducing stage 3 NEC, this was not significant (RR 0.74
(0.52-1.05), p=0.09). There was no effect of probiotics on the RR of surgery for
NEC (RR 0.84 (0.56-1.25), p=0.38). Probiotics did, however, reduce the risk of
NEC-associated mortality (RR 0.56 (0.34-0.93), p=0.03). Conclusion: Despite 35
RCTs on probiotic prevention of NEC, evidence for prevention of surgical NEC is
not strong, partly due to poor reporting. In studies included in this meta
analysis, probiotic administration was associated with a reduction in NEC-related
mortality.
PMID- 29637114
TI - Intrapartum intravenous fluids for caesarean delivery and newborn weight loss: a
retrospective cohort study.
AB - Objective: To examine weight loss (WL) and excess weight loss (EWL) among
newborns of caesarean delivery, comparing colloids plus crystalloids versus
crystalloids only. Also, to examine different doses of intrapartum intravenous
fluids on WL and EWL. Design: Comparative safety retrospective cohort study.
Setting: University Teaching Hospital, Moncton, Canada. Patients: Mothers exposed
to intravenous fluids with caesarean delivery between 2008 and 2016.
Interventions: Exposure to colloids plus crystalloids was compared with
crystalloids only, and dose-response analyses were performed for colloids,
crystalloids and total intravenous fluids doses. Linear and logistic regression
models were used, adjusting for potential confounders. Main outcome measures:
Infants' WL was measured at days 1, 2 and 3 post partum, and EWL defined as loss
of >7% of birth weight. Results: From 801 mother-infant pairs, 176 were exposed
to colloids plus crystalloids and 625 were exposed to crystalloids only (overall
mean birth weight=3416 g, EWL=2%, 41.4% and 55.5% on days 1, 2 and 3,
respectively). No significant difference in newborns' WL was observed on any of
the days assessed. Adjusted OR (95% CI) of EWL was 1.0 (0.3 to 3.3) at 24 hours,
1.0 (0.7 to 1.5) at 48 hours and 1.4 (0.9 to 2.2) at 72 hours. No dose-response
relationship was detected with type-specific and total intravenous fluids
exposures. Conclusions: The risk of EWL was similar with colloids plus
crystalloids and crystalloids only, suggesting that both therapeutic options can
be considered during caesarean delivery. The absence of dose-response
relationships adds confirmatory evidence to the intravenous fluids safety
profiles.
PMID- 29637115
TI - Laboratory reference intervals in the assessment of iron status in young
children.
AB - Objectives: The primary objective was to establish reference intervals for
laboratory tests used to assess iron status in young children using the Clinical
and Laboratory Standards Institute guidelines. A secondary objective was to
compare the lower limit of the reference interval with the currently recommended
cut-off value for haemoglobin and serum ferritin in children 1-3 years of age.
Methods: Blood samples were obtained from healthy children recruited during
scheduled health supervision visits with their primary care physician. For our
primary objective, outliers were removed; age partitions were selected and
analysis of variance and pairwise comparisons were made between adjacent
partitions; reference intervals and 90% CIs were calculated. For our secondary
objective, we determined the proportion of children misclassified using the lower
limit reference interval compared with the cut-off value. Results: Samples from
2305 male and 2029 female participants (10 days to 10.6 years) were used to
calculate age and sex-specific reference intervals for laboratory tests of iron
status. There were statistically significant differences between adjacent age
partitions for most analytes. Approximately 10% of children 1-3 years of age were
misclassified (underestimated) using the lower limit of the reference intervals
rather than the currently recommended cut-off values for haemoglobin and serum
ferritin. Implications and relevance: Clinical laboratories may consider adopting
published paediatric reference intervals. Reference intervals may misclassify
(underestimate) children with iron deficiency as compared with currently
recommended cut-off values. Future research on decision limits derived from
clinical studies of outcomes is a priority.
PMID- 29637117
TI - Management of anaphylaxis in children: a survey of parents and school personnel
in Qatar.
AB - Background: Allergies are a growing health concern with a significant impact on
quality of life and healthcare costs. It is critical to develop an appropriate
care plan to deal with children's allergies. This study aimed to assess and
compare the knowledge and perception of families and school personnel caring for
children with history of anaphylaxis who were prescribed the epinephrine
autoinjector (EpiPen). The study also examined the underlying reasons for any
observed knowledge gaps. Methods: A cross-sectional study of 128 families and 50
corresponding school personnel caring for children at risk of anaphylaxis who had
been prescribed the EpiPen was conducted. The primary outcome was to identify any
knowledge deficiency within family and school personnel and the reasons behind
knowledge gaps. Results: Of the 128 screened schools, 30 (23%) were not informed
by parents about their pupils' risk of anaphylaxis. Importantly, 113 (88%) of
families and 42 (84%) of schools were unable to recognise the symptoms of
anaphylaxis. Also, 67 (52%) of families and 22 (44%) of schools were not aware
that a child should ideally have two EpiPen in case of a severe allergic
reaction. The EpiPen had been used by 18 (14%) families and 5 (6%) schools.
Discussion: Communication among families and school personnel regarding
anaphylaxis was suboptimal. Both parents and school personnel lacked key
information in allergy management. Managing a child at risk of anaphylaxis
requires effective communication among healthcare professionals, families and
schools. There is an urgent need to improve knowledge of anaphylaxis and its
management among families and school caregivers.
PMID- 29637116
TI - Swim drink study: a randomised controlled trial of during-exercise rehydration
and swimming performance.
AB - Objective: To determine whether during-exercise rehydration improves swimming
performance and whether sports drink or water have differential effects on
performance. Design: Randomised controlled multiple crossover trial. Setting: A
UK competitive swimming club. Subjects: 19 club-level competitive swimmers,
median age (range) 13 (11-17) years. Interventions: Subjects were scheduled to
drink ad libitum commercial isotonic sports drink (3.9 g sugars and 0.13 g salt
per 100 mL) or water (three sessions each) or no drink (six sessions) in the
course of twelve 75 min training sessions, each of which was followed by a 30 min
test set of ten 100 m maximum-effort freestyle sprints each starting at 3 min
intervals. Main outcome measure: Times for the middle 50 m of each sprint
measured using electronic timing equipment in a Federation Internationale de
Natation (FINA)-compliant six-lane 25 m competition swimming pool. Randomisation:
Software-generated individual random session order in sealed envelopes. Analysis
subset of eight sessions randomly selected by software after data collection
completed. Masking: Participants blind to drink allocation until session start.
Results: In the analysis data set of 1118 swims, there was no significant
difference between swim times for drinking and not drinking nor between drinking
water or a sports drink. Mean (SEM) 50 m time for no-drink swims was 38.077
(0.128) s and 38.105 (0.131) s for drink swims, p=0.701. Mean 50 m times were
38.031 (0.184) s for drinking sports drink and 38.182 (0.186) s for drinking
water, p=0.073. Times after not drinking were 0.027 s faster than after drinking
(95% CI 0.186 s faster to 0.113 s slower). Times after drinking sports drink were
0.151 s faster than after water (95% CI 0.309 s faster to 0.002 s slower). Mean
(SEM) dehydration from exercise was 0.42 (0.11)%. Conclusions: Drinking water or
sports drink over 105 min of sustained effort swimming training does not improve
swimming performance. Trial registration: ISRCTN: 49860006.
PMID- 29637118
TI - Task-specific gross motor skills training for ambulant school-aged children with
cerebral palsy: a systematic review.
AB - Objectives: The primary objective is to systematically evaluate the evidence for
the effectiveness of task-specific training (TST) of gross motor skills for
improving activity and/or participation outcomes in ambulant school-aged children
with cerebral palsy (CP). The secondary objective is to identify motor learning
strategies reported within TST and assess relationship to outcome. Design:
Systematic review. Method: Relevant databases were searched for studies
including: children with CP (mean age >4 years and >60% of the sample ambulant);
TST targeting gross motor skills and activity (skill performance, gross motor
function and functional skills) and/or participation-related outcomes. Quality of
included studies was assessed using standardised tools for risk of bias, study
design and quality of evidence across outcomes. Continuous data were summarised
for each study using standardised mean difference (SMD) and 95% CIs. Results:
Thirteen studies met inclusion criteria: eight randomised controlled trials
(RCTs), three comparative studies, one repeated-measures study and one single
subject design study. Risk of bias was moderate across studies. Components of TST
varied and were often poorly reported. Within-group effects of TST were positive
across all outcomes of interest in 11 studies. In RCTs, between-group effects
were conflicting for skill performance and functional skills, positive for
participation-related outcomes (one study: Life-HABITS performance SMD=1.19, 95%
CI 0.3 to 2.07, p<0.001; Life-HABITS satisfaction SMD=1.29, 95% CI 0.40 to 2.18,
p=0.001), while no difference or negative effects were found for gross motor
function. The quality of evidence was low-to-moderate overall. Variability and
poor reporting of motor learning strategies limited assessment of relationship to
outcome. Conclusions: Limited evidence for TST for gross motor skills in ambulant
children with CP exists for improving activity and participation-related outcomes
and recommendations for use over other interventions are limited by poor study
methodology and heterogeneous interventions. Registration: PROSPERO
ID42016036727.
PMID- 29637119
TI - Infrared thermography in paediatrics: a narrative review of clinical use.
AB - Background: Infrared thermography (IRT) has been used in adult medicine for
decades, but recent improvements in quality of imaging and increasing computer
processing power have allowed for a diversification of clinical applications. The
specific usage of IRT in a paediatric population has not been widely explored, so
this article aims to summarise the available literature in this area. IRT
involves the non-contact, accurate measurement of skin surface temperature to
identify temperature changes suggesting disease. IRT could well have unique
applications in paediatric medicine. Methods: Electronic searches were performed
independently by two authors, using the databases of MEDLINE (via Web of
Science), the Cochrane Library, CINAHL (EBSCO) and Scopus, including articles
published from 1990 to July 2016. The search strategy that was used aimed to
include articles that covered the topics of IRT and children, including studies
with participants 18 years old or younger. Articles were screened by title and
abstract by two authors. Meta-analysis was not performed due to the marked
heterogeneity in applications, study design and outcomes: this is a narrative
summary of the available literature. Results: IRT has been shown to be an
effective additional diagnostic tool in a number of different paediatric
specialties, namely in fracture screening, burns assessment and neonatal
monitoring. Small measurable skin temperature changes can effectively add to the
clinical picture, while computer-tracking systems can be reliably used to focus
investigations on particular areas of the body. Conclusion: Throughout this
review of the available literature, there has been a general consensus that this
non-invasive, non-irradiating and relatively inexpensive technology may well have
a place in the management of paediatric patients in the future.
PMID- 29637120
TI - Validation of a classification system for treatment-related mortality in children
with cancer.
AB - Background: Death not directly due to cancer has been termed 'treatment-related
mortality' (TRM). Appreciating the differences between TRM and disease-related
death is critical in directing strategies to improve supportive care,
interventions delivered or disease progression. Recently, a global collaboration
developed and validated a consensus-based classification tool and attribution
system. Objectives: To evaluate the reliability of the newly developed consensus
based definition of TRM and explore the use of the cause-of-death attribution
system outside the centre it was initially validated (Toronto, Canada). In the
initial study, reviewers listed multiple causes of death. In this study,
reviewers identified a primary cause for simplicity. Setting: The paediatric
haematology and oncology department at Leeds Teaching Hospital in Leeds, UK.
Participants: Two consultants and two clinical research associates (CRAs).
Methods: Thirty medical records of the most recent deaths in children with
cancer, 2 and 4 weeks prior to death, were anonymised and presented to the
participants. Reviewers independently classified deaths as 'treatment related
mortality' or 'not treatment related' according to the algorithm developed. When
TRM occurred, reviewers applied the cause-of-death attribution system to identify
the primary cause of death. Inter-relater reliability was assessed using the
kappa statistic (k). Main outcome: Inter-relater reliability between CRA and
consultants. Results: Reliability of the classification was deemed 'very good'
between CRA and consultants (k=0.86, 95% CI 0.72 to 0.97). Ten deaths were
classified as TRM, of which infection was the most frequent cause identified.
Reviewers disagreed on the primary cause of death (eg, respiratory vs infection)
when applying the cause-of-death attribution system in six cases and probable and
possible causes in four cases. The study identified how the algorithm may not
detect TRM in patients receiving non-curative therapy. Conclusions: The
classification and cause of death attribution system could be implemented in
different healthcare settings. Adaptation of the classification tool in patients
receiving non-curative interventions and the cause of death attribution system
should be considered.
PMID- 29637121
TI - Improved oxygen systems in district hospitals in Lao PDR: a prospective field
trial of the impact on outcomes for childhood pneumonia and equipment
sustainability.
AB - Background: Hypoxaemia is a common and potentially fatal complication of many
childhood, newborn and maternal conditions but often not well recognised or
managed in settings where resources are limited. Oxygen itself is often
inaccessible due to cost or logistics. This paper describes implementation of
oxygen systems in Lao district hospitals, clinical outcomes after 24 months and
equipment outcomes after 40 months postimplementation. Methods: A prospective
field trial was conducted in 20 district hospitals, including 10 intervention
hospitals that received oxygen concentrators and 10 control hospitals. Equipment
outcomes were evaluated at baseline, 12, 24 and 40 months. Clinical outcomes of
children under 5 years of age with pneumonia were evaluated using a before-and
after controlled study design with information retrospectively collected from
medical records. Results: Fourteen (37%), 7 (18%) and 12 (34%) of 38
concentrators required repair at 12, 24 and 40 months, respectively. The
proportion of children discharged well increased in intervention (90% (641/712)
to 95.2% (658/691)) and control hospitals (87.1% (621/713) to 92.1% (588/606)).
In intervention hospitals, case fatality rates for childhood pneumonia fell from
2.7% (19/712) preintervention to 0.80% (6/691) postintervention with no change in
control hospitals (1.7% (12/713) preintervention and 2.3% (14/606)
postintervention). Conclusion: Medium-term sustainability of oxygen concentrators
in hospitals accompanied by reduced case fatality for childhood pneumonia has
been demonstrated in Lao PDR. Significant local engineering capacity to address
multiple causes of equipment malfunction was critical. The ongoing requirements
and fragile structures within the health system remain major risks to long-term
sustainability.
PMID- 29637123
TI - Armed conflict and child mental health.
PMID- 29637122
TI - Protocol for a randomised control trial of bisphosphonate (zoledronic acid)
treatment in childhood femoral head avascular necrosis due to Perthes disease.
AB - Introduction: Perthes disease (PD) is an idiopathic disorder presenting with
avascular necrosis to the femoral head, which frequently results in flattening.
Long-term function is directly related to the subsequent femoral head sphericity.
Current treatment includes mechanical modalities and surgical procedures, which
are therapeutic but are not uniformly able to prevent collapse. The use of the
nitrogen-containing bisphosphonate zoledronic acid (ZA) to inhibit osteoclastic
bone resorption is aimed at preserving femoral head strength, reducing collapse
and thus maintaining shape. The proposed multicentre, prospective, randomised
controlled trial intends to evaluate the efficacy of ZA treatment in PD. Methods
and analysis: An open-label randomised control trial recruiting 100 children (50
each treatment arm) 5 to 16 years old with unilateral PD. Subjects are randomly
assigned to either (a) ZA and standard care or (b) Standard care. The primary
outcome measure is deformity index (DI), a radiographic parameter of femoral head
roundness assessed at 24 months, following 12 months of ZA treatment (3-monthly
doses of ZA 0.025 mg/kg at baseline, 3, 6, 9 and 12 months) plus 12 months
observation (group A) or 24 months of observation (group B). Secondary outcome
measures are femoral head subluxation, Faces Pain scale, Harris hip score and
quality of life. Assessments are made at baseline, 3 monthly during the first
year of follow-up and then 6 monthly, until the 24th month. Ethics and
dissemination: The study commenced following the written approval from the Human
Research Ethics Committee. Safety considerations regarding the effects of ZA are
monitored which include the subject's symptomatology, mineral status, bone mass
and turnover activity, and metaphyseal modelling. Data handling plan requires
that all documents, clinical information, biological samples and investigation
results will be held in strict confidence by study investigators to preserve its
safety and confidentiality. Trial registration number: Australian and New Zealand
Clinical Trials ACTRN12610000407099, pre-results.
PMID- 29637124
TI - Anti-epileptic drug utilisation in paediatrics: a systematic review.
AB - Objectives: This study aims to determine global anti-epileptic drug (AED)
utilisation prevalence and describe utilisation trends in different countries.
Methods: Databases Embase (1980-May 2017), Medline (1946-May 2017) and PubMed
were searched for original research on AED utilisation. All paediatric national
or regional database studies and surveys were included. Results: Twenty-one
studies were identified. Five were excluded from the analysis as the data were
collected before 2005, leaving 16 studies. Monotherapy regimen varied between 58%
and 94% in different countries. In several of the studies, sodium valproate was
the most frequently prescribed AED. However, there is a trend towards increasing
utilisation of new-generation AEDs, particularly levetiracetam, in some
countries. Conclusion: Monotherapy was used in 58%-94%of patients. There is
increasing utilisation of the new-generation AEDs, in particular lamotrigine,
levetiracetam and topiramate. Old-generation AEDs are still used in the majority
of patients. There is a need for up-to-date studies to determine the prevalence
of AEDs in children.
PMID- 29637125
TI - Retrospective audit of guidelines for investigation and treatment of
bronchiolitis: a French perspective.
AB - Introduction: As the most recent French bronchiolitis guidelines were published
in 2000, there is a current overinvestigation and an overtreatment of infants
hospitalised with bronchiolitis in France. In 2012, the Group of Pediatric
University Hospitals in Western France ('HUGO') proposed new evidence-based
clinical practice guidelines in keeping with the latest international guidelines.
We hypothesise that the implementation of these guidelines contributed to the
quality improvement of the management of bronchiolitis in our hospital. The aim
of this study was to determine the impact of these guidelines on the management
of bronchiolitis inpatients. Methods: This retrospective before/after study
design was conducted in the general paediatric unit of a tertiary care French
hospital, looking at 1 year before (ie, the winter of 2011-2012) and 1 year after
(ie, the winter of 2013-2014) the implementation of the guidelines. Two hundred
and eighty bronchiolitis inpatients, all less than 1 year of age, 115 in 2011
2012 and 165 in 2013-2014, were included. The primary outcome we sought to
evaluate was the proportion of children administered a diagnostic test associated
with a treatment not routinely recommended by the guidelines. As balancing
measures, we evaluated the length of stay, the intensive care unit transfer and
the readmission rates. Results: Following implementation of the guidelines, use
of any given treatment associated with a diagnostic test was reduced by 66%
(p<0.001). There were major decreases in the use of chest X-ray (86%vs26%,
p<0.001), antibiotics (38%vs13%, p<0.001) and corticosteroids (10%vs3%, p=0.011).
Balancing measures were not significantly different. Conclusions: HUGO guidelines
were effective at reducing the administration of unnecessary diagnostic tests and
medications. This study was the first step in convincing French paediatricians to
streamline their practices until updated national guidelines are published.
PMID- 29637126
TI - Assessment of developmental outcome in very low birth weight infants in Southern
Africa using the Bayley Scales of Infant Development (III).
AB - Objectives: The study aimed to compare the developmental outcome of very low
birth weight infants with a group of normal-term controls in a tertiary hospital
in sub-Saharan Africa. Design: A group of 105 very low birth weight infants were
assessed at a mean age of 17.6 months (95% CI 16.7 to 18.6) using the Bayley
Scales of Infant Development, Third Edition, and compared with a group of normal
term controls at the same mean age. Results: Seven of the study infants (7%) had
developmental delay (a score below 70), compared with none in the control group
(p=0.04). Three of the seven study infants were delayed on all three subscales,
one of whom had cerebral palsy. A further 34% of the study infants were 'at risk'
of developmental delay (a score below 85). There was no difference in the mean
composite score between the study group and controls for the cognitive (p=0.56),
motor (p=0.57) or language (p=0.66) subscales. There was no difference in mean
composite scores on all subscales between infants who were appropriate for
gestational age and those who were small for gestational age. Cognitive and motor
scores remained stable in paired assessments of study infants before and after 1
year of age; language scores decreased significantly (p<0.001). Mechanical
ventilation was the only risk factor significantly associated with a cognitive
score below 85 in study infants. Conclusion: Very low birth weight infants in sub
Saharan Africa are at risk of developmental delay and require long-term
neurodevelopmental follow-up.
PMID- 29637127
TI - Peripheral blood RNA gene expression in children with pneumococcal meningitis: a
prospective case-control study.
AB - Introduction: Invasive pneumococcal disease (IPD), caused by Streptococcus
pneumoniae, is a leading cause of pneumonia, meningitis and septicaemia
worldwide, with increased morbidity and mortality in HIV-infected children.
Objectives: We aimed to compare peripheral blood expression profiles between HIV
infected and uninfected children with pneumococcal meningitis and controls, and
between survivors and non-survivors, in order to provide insight into the host
inflammatory response leading to poorer outcomes. Design and setting: Prospective
case-control observational study in a tertiary hospital in Malawi. Participants:
Children aged 2 months to 16 years with pneumococcal meningitis or pneumonia.
Methods: We used the human genome HGU133A Affymetrix array to explore differences
in gene expression between cases with pneumococcal meningitis (n=12) and
controls, and between HIV-infected and uninfected cases, and validated gene
expression profiles for 34 genes using real-time quantitative PCR (RT-qPCR) in an
independent set of cases with IPD (n=229) and controls (n=13). Pathway analysis
was used to explore genes differentially expressed. Results: Irrespective of
underlying HIV infection, cases showed significant upregulation compared with
controls of the following: S100 calcium-binding protein A12 (S100A12); vanin-1
(VNN1); arginase, liver (ARG1); matrix metallopeptidase 9 (MMP9); annexin A3
(ANXA3); interleukin 1 receptor, type II (IL1R2); CD177 molecule (CD177);
endocytic adaptor protein (NUMB) and S100 calcium-binding protein A9 (S100A9),
cytoskeleton-associated protein 4 (CKAP4); and glycogenin 1 (GYG1). RT-qPCR
confirmed differential expression in keeping with microarray results. There was
no differential gene expression in HIV-infected compared with HIV-uninfected
cases, but there was significant upregulation of folate receptor 3 (FOLR3),
S100A12 in survivors compared with non-survivors. Conclusion: Children with IPD
demonstrated increased expression in genes regulating immune activation,
oxidative stress, leucocyte adhesion and migration, arginine metabolism, and
glucocorticoid receptor signalling.
PMID- 29637128
TI - Effect of socioeconomic conditions on frequent complaints of pain in children:
findings from the UK Millennium Cohort Study.
AB - Background: Frequent complaints of pain (FCP) are common in high-income
countries, affecting about 25% of children, and may have significant adverse
consequences including prolonged school absence and disability. Most FCP are
unexplained, and the aetiology is poorly understood. This study aimed to identify
risk factors for FCP and explore how risk factors explain variation in pain
reporting by childhood socioeconomic conditions (SECs). Methods: Analysis of the
UK Millennium Cohort Study, including 8463 singleton children whose parents
provided data throughout the study. At 11 years, mothers were asked whether their
child frequently complains of pain. Risk ratios (RR) and 95% CIs for FCP were
estimated using Poisson regression, according to maternal education. Other risk
factors were explored to assess if they attenuated any association between FCP
and SECs. Results: 32.3% of children frequently complained of pain. Children of
mothers with no educational qualifications were more likely to have FCP than
children of mothers with higher degrees (RR 2.06, 95% CI 1.64 to 2.59) and there
was a clear gradient across the socioeconomic spectrum. Female sex, fruit
consumption, childhood mental health and maternal health measures were associated
with childhood FCP in univariable and multivariable analyses. Inclusion of these
factors within the model attenuated the RR by 17% to 1.70 (95% CI 1.36 to 2.13).
Conclusion: In this representative UK cohort, there was a significant excess of
FCP reported in less advantaged children that was partially attenuated when
accounting for indicators of parental and childhood mental health. Addressing
these factors may partially reduce inequalities in childhood FCP.
PMID- 29637129
TI - Parental characteristics and functional constipation in children: a cross
sectional cohort study.
AB - Objective: To evaluate personality, psychological health, physical health and
childrearing practices in mothers and fathers of children with functional
constipation (FC) compared with mothers and fathers of healthy controls. Design:
Cross-sectional cohort study. Setting: Outpatient paediatric gastroenterology
clinic at a tertiary hospital in the Netherlands. Patients: Parents of children
(4-16 years) presenting with FC were included between January 2010 and August
2012. Participating parents were asked to recruit parents of another child of the
same age without FC as their own controls. Data of 116 mothers and 115 fathers of
127 children with FC, and 84 mothers and 73 fathers of 91 children without FC
were collected. Main outcome measures: Parental characteristics were evaluated by
using the NEO Five-Factor Inventory to assess personality, the Brief Symptom
Inventory and Physical Symptom Checklist to assess psychological and physical
health and the Ghent Parental Behavior Scale to assess childrearing practices.
Results: Mothers of constipated children had significant higher scores on the
neuroticism personality factor and reported higher rates of overall psychological
distress and depression. Both mothers and fathers of children with FC reported
significant more physical symptoms than parents of children without FC. Mothers
of children with FC showed more positive childrearing practices compared with
controls. Conclusions: Personality, psychological and physical health, and
childrearing practices differ significantly between parents of children with FC
and parents of control subjects. Parental factors should be taken into account
when evaluating children with FC.
PMID- 29637130
TI - How can general paediatric training be optimised in highly specialised tertiary
settings? Twelve tips from an interview-based study of trainees.
AB - Objectives: Both general and subspecialty paediatric trainees undertake
attachments in highly specialised tertiary hospitals. Trainee feedback suggests
that mismatches in expectations between trainees and supervisors and a perceived
lack of educational opportunities may lead to trainee dissatisfaction in such
settings. With the 'Shape of Training' review (reshaping postgraduate training in
the UK to focus on more general themes), this issue is likely to become more
apparent. We wished to explore the factors that contribute to a positive
educational environment and training experience and identify how this may be
improved in highly specialised settings. Methods: General paediatric trainees
working at all levels in subspecialty teams at a tertiary hospital were recruited
(n=12). Semistructured interviews were undertaken to explore the strengths and
weaknesses of training in such a setting and how this could be optimised.
Appreciative inquiry methodology was used to identify areas of perceived best
practice and consider how these could be promoted and disseminated. Results:
Twelve best practice themes were identified: (1) managing expectations by
acknowledging the challenges; (2) educational contracting to identify learning
needs and opportunities; (3) creative educational supervision; (4) centralised
teaching events; (5) signposting learning opportunities; (6) curriculum-mapped
pan-hospital teaching programmes; (7) local faculty groups with trainee
representation; (8) interprofessional learning; (9) pastoral support systems;
(10) crossover weeks to increase clinical exposure; (11) adequate clinical
supervision; and (12) rota design to include teaching and clinic time.
Conclusions: Tertiary settings have strengths, as well as challenges, for general
paediatric training. Twelve trainee-generated tips have been identified to
capitalise on the educational potential within these settings. Trainee feedback
is essential to diagnose and improve educational environments and appreciative
inquiry is a useful tool for this purpose.
PMID- 29637131
TI - Advance care planning for adolescent patients with life-threatening neurological
conditions: a survey of Japanese paediatric neurologists.
AB - Objective: To evaluate current attitudes and barriers to advance care planning
for adolescent patients with life-threatening conditions among paediatric
neurologists. Design: Cross-sectional study. A self-reported questionnaire was
administered to assess the practice of advance care planning, advance directives
and barriers to advance care planning for adolescent patients with life
threatening conditions. All board-certified paediatric neurologists in Japan were
surveyed and those who had experience in taking care of adolescent patients with
decision-making capacity were analysed. We compared the results with those of
paediatric haematologists reported previously. Results: In total, 186 paediatric
neurologists were analysed. If the patient's prognosis was <3 months, only about
30% of paediatric neurologists reported having discussions with patients, such as
'do not attempt resuscitation' orders (28%) and ventilator use (32%), whereas
more than 70% did discuss these topics with patients' families. About half of the
paediatric neurologists did not discuss advance directives at the end of life
with their patients, whereas over 75% did discuss advance directives with
patients' families. Compared with paediatric haematologists, paediatric
neurologists had more end-of-life discussions with patients, such as where
treatment and care will take place, do not attempt resuscitation orders, and the
use of a ventilator, if the patient's prognosis was >1 year. Conclusion: About
half or less of the paediatric neurologists discussed advance care planning and
advance directives with their adolescent patients who had life-threatening
conditions, even if the patient's prognosis was <3 months. They tended to discuss
advance care planning and advance directives more with families than with
patients themselves.
PMID- 29637132
TI - Improving communication between staff and disabled children in hospital wards:
testing the feasibility of a training intervention developed through intervention
mapping.
AB - Objective: To develop and test the feasibility of a novel parent-inspired
training intervention for hospital ward staff to improve communication with
disabled children when inpatients. Design: Training content and delivery
strategies were informed by the iterative process of Intervention Mapping and
developed in collaboration with parents of disabled children. Setting: UK
University Hospital children's ward. Subjects: 80 medical, nursing, allied health
professionals, clerical and housekeeping staff on a children's ward. Methods:
Themes identified in previous qualitative research formed the basis of the
training. Learning objectives included prioritising communication, cultivating
empathy, improving knowledge and developing confidence. Participant feedback was
used to refine content and delivery. Intervention documentation adheres to the
Template for Intervention Description and Replication checklist. Results:
Highlighting mandated National Health Service policies and involving the hospital
Patient and Carer Experience Group facilitated management support for the
training. Eighty staff participated in one of four 1-hour sessions. A paediatric
registrar and nurse delivered sessions to mixed groups of staff. General feedback
was very positive. The intervention, fully documented in a manual, includes
videos of parent carers discussing hospital experiences, interactive tasks, small
group discussion, personal reflection and intention planning. Generic and local
resources were provided. Conclusion: It was feasible to deliver this new
communication training to hospital ward staff and it was positively received.
Early feedback was encouraging and indicates a commitment to behaviour change.
Further piloting is required to establish the transferability of the intervention
to other hospitals, followed by consideration of downstream markers to evaluate
the effects on disabled children's inpatient experience. Organisational and
cultural change is required to support individual behaviour change.
PMID- 29637133
TI - Survey of antiobesity drug prescribing for obese children and young people in UK
primary care.
AB - Objectives: Antiobesity drug (AOD) prescribing in children and young people (CYP)
in primary care is rising with high rates of discontinuation. Little is known
about prescribing in this group in terms of patient demographics and
comorbidities, reasons for initiation and discontinuation, or adherence to
national guidelines. Design: Questionnaire survey to general practitioners (GPs)
identified using a nationally representative primary care database covering 6% of
UK population. Setting: UK-wide primary care. Participants: Patients were
eligible if prescribed an AOD aged <=18 years between 2010 and 2012. A total of
151 patients from 108 unique practices were identified via national prescribing
database, with responses for 119 patients (79%) from 84 practices; 94 of 119
(79%) were eligible for inclusion. Primary and secondary outcomes: Survey of GP
prescribing habits of AODs to CYP. We audited orlistat usage against the National
Institute for Health and Care Excellence (NICE) guidance. Results: 47% were
prescribed metformin, 59% orlistat and 5% both drugs. Orlistat was largely
prescribed by GPs independently (49/55 prescriptions, 89%) and metformin by GPs
on specialist recommendation (12/44, 27%). Orlistat was largely prescribed in
those over 16 years of age without physical comorbidities. Metformin was
initiated for treatment of polycystic ovarian syndrome (70%), insulin resistance
(25%) and impaired glucose control (9%). Median supply of metformin was 10.5
months (IQR 4-18.5 months) and 2.0 months (1.0-4.0) for orlistat (p<=0.001). Drug
terminations were largely due to families not requesting repeat prescriptions.
NICE guidance adherence was low; 17% of orlistat prescriptions were initiated by
specialists, and 56% had evidence of obesity-related comorbidity. GPs reported
lower confidence in prescribing AOD to CYP compared with adults (10-point Likert
score median 3 vs 8, p<0.001). Conclusions: Prescribing of AOD in primary care is
challenging with low adherence to NICE guidance. Further work is needed to better
support GPs in the use of AOD in CYP.
PMID- 29637135
TI - Efficacy of an interventional educational programme in mitigating post-traumatic
stress in parents who have witnessed a febrile seizure: a pilot before-and-after
study.
AB - Objectives: To measure post-traumatic stress in parents who have witnessed their
child's first simple febrile seizure and to assess the impact of workshops where
information is dispensed, proper reactions are demonstrated and dialogue is
encouraged on the mitigation of parental stress. Design: A pilot before-and-after
study, with control group, using self-reported measures from the Impact of Event
Scale-Revised. Setting: Data from two French participating centres. Participants:
A total of 50 parents who witnessed their child's first simple febrile seizure.
Intervention: Parents selected themselves into either group 1: attending a
workshop (intervention group), or group 2: no further management (control group).
Primary and secondary outcome measures: (1) Parental post-traumatic stress was
assessed by the Impact of Event Scale-Revised a minimum of 4 weeks after the
seizure (before any workshop if applicable). A high risk of developing post
traumatic stress disorder was indicated by a score >=33. (2) To assess the
efficacy of workshops on the mitigation of parental stress, all parents self
completed the Impact of Event Scale-Revised a second time at a minimum of 10
weeks after the seizure (after any workshop if applicable). Results: Four weeks
after the seizure, 76% of the total parents presented an Impact of Event Scale
Revised score >=33. At 10 weeks after the seizure, the scores were 18.1 points
lower (95% CI 11.66 to 24.61, P<0.0001) in group 1 versus only 5.51 points lower
(95% CI 2.76 to 8.27, P=0.0003) in group 2 (intragroup comparison), and were
significantly lower in group 1 compared with group 2 (intergroup comparison),
P=0.02. Conclusions: Parents who have witnessed their child's first simple
febrile seizure are at high risk of developing post-traumatic stress disorder 4
weeks after the seizure. Our innovative workshops are associated with a
significant mitigation of the parental post-traumatic stress.
PMID- 29637134
TI - Burden of severe neonatal jaundice: a systematic review and meta-analysis.
AB - Context: To assess the global burden of late and/or poor management of severe
neonatal jaundice (SNJ), a common problem worldwide, which may result in death or
irreversible brain damage with disabilities in survivors. Population-based data
establishing the global burden of SNJ has not been previously reported.
Objective: Determine the burden of SNJ in all WHO regions, as defined by clinical
jaundice associated with clinical outcomes including acute bilirubin
encephalopathy/kernicterus and/or exchange transfusion (ET) and/or jaundice
related death. Data sources: PubMed, Scopus and other health databases were
searched, without language restrictions, from 1990 to 2017 for studies reporting
the incidence of SNJ. Study selection/data extraction: Stratification was
performed for WHO regions and results were pooled using random effects model and
meta-regression. Results: Of 416 articles including at least one marker of SNJ,
only 21 reported estimates from population-based studies, with 76% (16/21) of
them conducted in high-income countries. The African region has the highest
incidence of SNJ per 10 000 live births at 667.8 (95% CI 603.4 to 738.5),
followed by Southeast Asian, Eastern Mediterranean, Western Pacific, Americas and
European regions at 251.3 (132.0 to 473.2), 165.7 (114.6 to 238.9), 9.4 (0.1 to
755.9), 4.4 (1.8 to 10.5) and 3.7 (1.7 to 8.0), respectively. The incidence of ET
per 10 000 live births was significantly higher for Africa and Southeast Asian
regions at 186.5 (153.2 to 226.8) and 107.1 (102.0 to 112.5) and lower in Eastern
Mediterranean (17.8 (5.7 to 54.9)), Americas (0.38 (0.21 to 0.67)), European
(0.35 (0.20 to 0.60)) and Western Pacific regions (0.19 (0.12 to 0.31). Only 2
studies provided estimates of clear jaundice-related deaths in infants with
significant jaundice [UK (2.8%) and India (30.8%). Conclusions: Limited but
compelling evidence demonstrates that SNJ is associated with a significant health
burden especially in low-income and middle-income countries.
PMID- 29637136
TI - Medicines in schools: a cross-sectional survey of children, parents, teachers and
health professionals.
AB - Objectives: To describe how individual schools manage medicines and strategies
for implementation of guidance, to determine the nature of problems perceived by
children, parents, teachers and healthcare professionals (HCPs) in relation to
medicines management in schools and to highlight differences between these
perceptions. Design: A cross-sectional survey study in which questionnaires were
completed by children, their parents and carers, groups of HCPs and head
teachers. Results: There were 158 respondents to this survey. The management of
medicines varies between schools and this reflects how policy guidance is
interpreted and is revealed by the differences in experience described. Head
teachers acknowledge that there is a lack of expertise about medicines among
their staff and they rely on interpretation of and adherence to policy and
procedure and compliance with training was used as a measure of good medicines
management. There are inconsistencies in how information about medicines is
communicated between the healthcare team, families and schools, and there is
evidence that this communication is not always timely or effective. This results
in problems with medicines at school. Parents emphasised the need for staff at
school to understand their child's condition and their medicines. Conclusions:
There are differences between how individual schools manage medicines and
interpret policy guidance and discrepancies between the views of each stakeholder
group. There is some evidence that medicines management does not always meet the
needs of children and their families. Fewer than half of parents and HCPs are
satisfied with how medicines are dealt with in schools.
PMID- 29637137
TI - Perspectives of adolescents on decision making about participation in a biobank
study: a pilot study.
AB - Objectives: To be able to truly involve adolescents in decision making about
clinical research participation, we need more insight in the perspective of
adolescents themselves. To this end, adolescents in an ongoing biobank study were
consulted to test a tentative decision assessment tool. Methods: The perspectives
of adolescents (n=8) concerning participation in decision making for research
participation were explored in interviews with a tentative tool, which covered
six topics: information material usage, understanding, disease perceptions,
anxiety, decision-making process and role sharing. Results: All adolescents
unequivocally expressed the desire to be involved in decision making, but also
wanted advice from their parents. The extent of the preferred role of adolescent
versus parents varied between individuals. In decision making, adolescents relied
on parents for information. More than half hardly used the information material.
Conclusions: Adolescents in our study preferred a shared decision-making process.
The extent of sharing varied between individuals. The decision assessment tool
was a fruitful starting point to discuss adolescents' perspectives and may aid in
tailoring the situation to the individual to achieve optimal participation
practices. Implications: Consulting adolescents about their preferences
concerning decision making using the tool will facilitate tailoring of the shared
decision-making process and optimising the developing autonomy of minors.
PMID- 29637138
TI - Child homicide perpetrators worldwide: a systematic review.
AB - Objective: This study aims to describe child homicide perpetrators and estimate
their global and regional proportion to inform prevention strategies to reduce
child homicide mortality worldwide. Design: A systematic review of 9431 studies
derived from 18 databases led to the inclusion of 126 studies after double
screening. All included studies reported a number or proportion of child
homicides perpetrators. 169 countries and homicide experts were surveyed in
addition. The median proportion for each perpetrator category was calculated by
region and overall and by age groups and sex. Results: Data were obtained for 44
countries. Overall, parents committed 56.5% (IQR 23.7-69.6) of child homicides,
58.4% (0.0-66.7) of female and 46.8% (14.1-63.8) of male child homicides.
Acquaintances committed 12.6% (5.9-31.3) of child homicides. Almost a tenth (9.2%
(IQR 0.0-21.9) of child homicides had missing information on the perpetrator. The
largest proportion of parental homicides of children was found in high-income
countries (64.2%; 44.7-71.8) and East Asia and Pacific Region (61.7%; 46.7-78.6).
Parents committed the majority (77.8% (61.5-100.0)) of homicides of children
under the age of 1 year. For adolescents, acquaintances were the main group of
homicide perpetrators (36.9%, 6.6-51.8). There is a notable lack of studies from
low-income and middle-income countries and children above the age of 1 year.
Conclusion: Children face the highest risk of homicide by parents and someone
they know. Increased investment into the compilation of routine data on child
homicide, and the perpetrators of this homicide is imperative for understanding
and ultimately reducing child homicide mortality worldwide. Trial registration
number: PROSPERO registration number: CRD42015030125.
PMID- 29637139
TI - Access to healthcare for children in Palestine.
AB - Currently, 5 million Palestinian refugees live in Palestine, Jordan, Syria and
Lebanon and around 40% of these are children. Mortality rates for Palestinian
children are comparable to neighbouring Arab countries but the speed of reduction
has faltered in recent years. Morbidity is greatly affected by the occupation
which has increased violence towards children, mental health problems and poor
nutrition, particularly in Gaza which is experiencing a health crisis. Access to
healthcare for children in Palestine is constrained as a result of the
requirement for visas to travel into Jerusalem where specialist hospitals are
sited, by difficulties with ambulance transfers, by shortages of equipment in
hospitals and by lack of trained staff. Palestinian health workers are developing
new initiatives in healthcare and show a high level of resilience, despite the
very considerable stress affecting most citizens.
PMID- 29637140
TI - Protocol for a prospective observational study of adverse drug reactions of anti
epileptic drugs in children in the UK.
AB - Background: Epilepsy is a common chronic disease of children that can be treated
with anti-epileptic drugs (AEDs). AEDs, however, have significant side effects.
Newer AEDs are thought to have fewer side effects. There have, however, been few
comparative studies of AED toxicity. The aim is to compare the safety profile of
the most frequently used AEDs by performing a multicentre prospective cohort
study. This protocol describes the planned study. Design: A multicentre
prospective cohort study of children on AED treatment in hospitals across the UK.
Ethical approval will be obtained. Sample size: Three thousand children on
treatment for epilepsy will be recruited from paediatric clinics. It is expected
that this sample size will have the potential to compare toxicity between the
most frequently used AEDs. Duration of study: 24 months. Outcome measure: Adverse
drug reactions (ADRs) to AEDs. These will be identified by the use of a validated
questionnaire, the Paediatric Epilepsy Side Effect Questionnaire. They will be
evaluated using the Naranjo algorithm. Preventability will be assessed using the
Schumock and Thornton scale. Discussion: Toxicity of individual AEDs when given
as monotherapy and polytherapy will be determined. Additionally, discontinuation
rates due to ADRs will be determined. The data will assist clinicians in choosing
AEDs with the least toxicity.
PMID- 29637141
TI - Bowel preparation for elective procedures in children: a systematic review and
meta-analysis.
AB - Objective: Reviews have investigated preparation for colonoscopy, but not for
surgery, They are also often limited to patients up to 16 years, despite many
paediatric gastroenterologists caring for older patients. We carried out a
systematic review investigating the optimum bowel preparation agents for all
indications in children and young people. Design: A Cochrane format systematic
review of randomised controlled trials (RCTs). Data extraction and assessment of
methodological quality were performed independently by two reviewers.
Methodological quality was assessed using the Cochrane risk of bias tool.
Patients: Young people requiring bowel preparation for any elective procedure, as
defined by the primary studies. Interventions: RCTs comparing bowel preparation
with placebo or other interventions. Main outcome measures: Adequacy of bowel
preparation, tolerability and adverse events. Results: The search yielded 2124
results and 15 randomised controlled studies (n=1435)but heterogeneity limited
synthesis. Meta-analysis of two studies comparing polyethylene glycol (PEG) with
sodium phosphate showed no difference in the quality of bowel preparation (risk
ratio (RR) 1.27(95% CI 0.66 to 2.44)). Two studies comparing sodium
picosulfate/magnesium citrate with PEG found no difference in bowel preparation
but significantly higher number of patients needing nasogastric tube insertion in
the polyethylene glycol-electrolyte lavage solution (RR 0.04(95% CI 0.01 to
0.18), 45 of 117 in PEG group vs 2 of 121 in sodium picosulfate group). Meta
analysis of three studies (n=241) found no difference between PEG and sennasoids
(RR 0.73(95% CI 0.31 to 1.71)). Conclusions: The evidence base is clinically
heterogeneous and methodologically at risk of bias. There is evidence that all
regimens are equally effective. However, sodium picosulfate was better tolerated
than PEG. Future research is needed with all agents and should seek to consider
safety and tolerability as well as efficacy.
PMID- 29637142
TI - A protocol for whole-exome sequencing in newborns with congenital deafness: a
prospective population-based cohort.
AB - Introduction: The aetiology of congenital hearing loss is heterogeneous, and in
many infants a genetic cause is suspected. Parents face a diagnostic odyssey when
searching for a cause of their infant's hearing loss. Through the Melbourne
Genomics Health Alliance, a prospective cohort of infants will be offered whole
exome sequencing (WES) with targeted analysis in conjunction with chromosome
microarray to determine the genetic causes of congenital hearing loss. Parents
will also be offered the opportunity to receive additional results from their
infant's WES. Methods: Eligible infants will be identified through the Victorian
Infant Hearing Screening Program and offered an appointment in a paediatrician
run clinic, a genetics assessment and enrolment in the Victorian Childhood
Hearing Impairment Longitudinal Databank. If parents consent to WES, genes
causing deafness will be analysed and they can choose to obtain additional
findings. For the additional results component, a modified laboratory protocol
has been designed for reporting of results in the absence of a relevant
phenotype. Parents' experience of being offered WES will be evaluated using
surveys. Discussion: This project will provide descriptive analysis of the
genetic aetiology of congenital hearing loss in this cohort and may provide data
on genotype-phenotype correlations. Additionally, choices regarding additional
findings will be analysed. Participants will represent a diverse cross section of
the population, increasing the ability to generalise results beyond the study
group. Evaluation surveys will allow analysis of preferences around counselling,
usefulness of a decision aid and adequacy of information provision.
PMID- 29637143
TI - Analysis of acute presentations for child protection medical assessments in a
large, culturally diverse metropolitan setting.
AB - Objective: South Western Sydney has a large culturally diverse population with
many vulnerable subgroups; little is known about the health and social outcomes
following acute child maltreatment assessments. We aimed to describe acute
presentations of maltreatment in South Western Sydney-including examination
findings of the assessment, determine health and social outcomes for children
following medical assessment, to inform service development. Design: We gathered
data from the acute child protection database on all children <16 years referred
for physical abuse and neglect and/or sexual abuse assessment between 2013 and
2015 to one hospital service. We reviewed clinical records of the children
assessed, using the reports to classify findings of the examination. We performed
simple descriptive analysis on the data. Results: There were 304 children
referred, 279 seen for acute assessment. Most (72%) were female, 204 (73%)
referrals were for sexual abuse, 75 (27%) were for physical abuse and neglect.
There were age, gender and ethnicity differentials depending on type of
maltreatment presentation. Twelve per cent of sexual and 19% of physical abuse
cases were found not to be abuse related. Unmet medical, developmental and
behavioural concerns were identified in the majority (54%) assessed. Just under
half (48%) of all children went home with families with no statutory agency
support; those seen for physical abuse were more likely to be placed in care
(p<0.001). Conclusions: Children assessed for child maltreatment had a range of
health and social concerns identified, needing further intervention.
Comprehensive medical assessments have a critical role to play in child
protection assessments.
PMID- 29637144
TI - Effectiveness of preformed foot orthoses in reducing lower limb pain, swollen and
tender joints and in improving quality of life and gait parameters in children
with juvenile idiopathic arthritis: a randomised controlled trial (Protocol).
AB - Background: Many children and adolescents with juvenile idiopathic arthritis
experience lower limb problems which may lead to physical disabilities
significantly impacting on their quality of life and symptoms. Emerging evidence
has identified the effective role of podiatry in the management of juvenile
idiopathic arthritis, suggesting the clinical benefit of different orthotic
therapies. Methods: This study will be a parallel-group designed, multicentre,
randomised controlled trial, aiming to recruit 66 children and adolescents with
juvenile idiopathic arthritis aged between 5 and 18 years. Those recruited will
need to be diagnosed according to the International League of Associations for
Rheumatology criteria, and present with lower limb joint pain, swelling and/or
tenderness. Participants will be recruited from three outpatient hospital clinics
in New South Wales, Australia. Participants will be randomly allocated to receive
a trial or control intervention. The trial group will be prescribed a customised
preformed foot orthoses; instead, the control group will receive a flat 1 mm
insole with no corrective modifications. Primary outcome measure recorded will be
pain. Secondary outcomes will be quality of life, foot disability, swollen and
tender joint count and gait parameters (such as plantar pressures, walking speed,
stance and swing time). The allocated foot orthoses will be worn for 12 months,
with data collected at baseline, 4 weeks, 3, 6 and 12 months intervals. Group
allocation will be concealed and all analyses will be carried out on an intention
to treat. Discussion: The purpose of this trial is to explore the efficacy of a
cost-effective, non-invasive podiatric intervention that will be prescribed at
the initial biomechanical consultation. This approach will promote early clinical
intervention, which is the gold standard in paediatric rheumatology. Furthermore,
this study has the potential to provide new evidence for the effectiveness of a
mechanical intervention alone to reduce swollen and tender joints in juvenile
idiopathic arthritis. Trial registration number: This clinical trial has been
registered with the Australian New Zealand Clinical Trials Registry:
ACTRN12616001082493p. Ethics for this randomised controlled trial has been
approved (16/09/21/4.03).
PMID- 29637145
TI - Osteonecrosis in patients with acute lymphoblastic leukaemia: a national
questionnaire study.
AB - Objectives: To establish prevalence, management and long-term outcomes of
osteonecrosis (ON) in young people diagnosed with acute lymphoblastic leukaemia
(ALL) between 2003 and 2011. Design setting participants: This study assessed ON
in 3113 patients aged 1-24 years who participated in the UK national leukaemia
study UKALL 2003. UKALL 2003 recruited patients in 40 UK hospitals between 2003
and 2011 and included patients between ages 1 and 25 diagnosed with ALL. Results:
170 patients were diagnosed with ON, giving a prevalence of 5.5%. The
multivariable analysis showed that the risk of ON was highest for children aged
between 10 and 20 years (ages 10-15 years, OR 23.7, 95% CI 14.8 to 38.0; ages 16
20 years, OR 22.5, 95% CI 12.7 to 39.8, compared with age <10 years). Among
ethnic groups, Asian patients had the highest risk of ON (OR 1.92, 95% CI 1.1 to
3.6, compared with White patients). Eighty-five per cent of patients with ON had
multifocal ON. Thirty-eight per cent of patients with ON required surgery and 19%
of patients with ON required a hip replacement. Fifteen per cent of patients who
had surgery still describe significant disability or use of a wheelchair.
Conclusions: ON has considerable morbidity for patients being treated for ALL,
with a high burden of surgery. Age and ethnicity were found to be the most
significant risk factors for development of ON, with Asian patients and patients
aged 10-20 years at diagnosis of ALL at greatest risk. These results will help
risk stratify patients at diagnosis of ALL, and help tailor future prospective
studies in this area.
PMID- 29637146
TI - Audit of child maltreatment medical assessments in a culturally diverse,
metropolitan setting.
AB - Objective: Child maltreatment (CM) is a major public health problem globally.
While there is evidence for the value of medical examination in the assessment of
CM, little is known about the quality of clinical assessments for CM. South
Western Sydney (SWS) has a large metropolitan population with many vulnerable
subgroups. We aimed to describe acute presentations of CM in SWS over a 3-year
period-with a focus on the quality of the clinical assessments. We wanted to
determine whether the cases assessed fulfilled established minimum standards for
clinical assessment of CM and whether the assessments were performed in a child
friendly manner. Design: We gathered data from the acute child protection
database on all children <16 years referred for assessment between 2013 and 2015.
We performed simple descriptive analysis on the data. We measured the assessment,
report writing and follow-up against criteria for minimum standards for CM
assessments, and identified whether assessments were child-friendly from
available clinical information. Results: There were 304 children referred; 279
seen for acute assessment; most (73%) were for sexual abuse, 75 (27%) were for
physical abuse/neglect. Over half the assessments identified other health
concerns; joint assessments performed by paediatric and forensic doctors were
better at identifying these health concerns than solo assessments. Most
assessments were multidisciplinary and used protocols; half were not followed up;
a third were performed after-hours and a third had no carer present during
assessments. Conclusions: We identified strengths and weaknesses in current CM
assessments in our service. Locally relevant standards for CM assessments are
achievable in the acute setting, more challenging is addressing appropriate
medical and psychosocial follow-up for these children. While we have established
baseline domains for measuring a child-friendly approach to CM assessments, more
should be done to ensure these vulnerable children are assessed in a timely,
child-friendly manner, with appropriate follow-up.
PMID- 29637147
TI - Congenital heart disease and the prevalence of underweight and obesity from age 1
to 15 years: data on a nationwide sample of children.
AB - Objective: We examined the prevalence of underweight and obesity in children with
congenital heart disease (CHD) compared with the general population. Methods:
Using the Danish National Patient Registry, we identified individuals born and
diagnosed with CHD in Denmark during 1996-2012 who were alive at 1 year of age. A
unique personal identifier enabled identification of CHD subjects, as well as
birth year and sex-matched (1:10) general population controls. The Children's
Database has recorded height and weight measured by clinical providers at
preventive health checks offered to all children in Denmark. Data recording were
made mandatory in 2011. Obesity was defined as body mass index (BMI) above the
95thpercentile and underweight as BMI below the fifth percentile for age and sex.
We determined the prevalence of underweight and obesity at study subjects' first
height and weight recording. For those underweight at age 1 year, prevalence of
underweight and obesity at later recordings was assessed. Results: We identified
9194 children with CHD of which 2679 (29%) had at least one recording of height
and weight. The control cohort demonstrated a similar portion of anthropometric
data: 30 047 (31%) of 96 585 controls. The prevalence of underweight and obesity
at CHD study subjects' first height and weight recording was 9.7% (95% CI 8.7 to
11) and 4.1% (95% CI 3.4 to 4.9), respectively. Among individuals with CHD who
were underweight at age 1 year (n=78), 51 (65%) had additional BMI recordings
between ages 2 and 5 years with a subsequently diminished prevalence of
underweight of 27% (95% CI 20 to 35) and none were obese. Conclusion: The CHD
population had an increased prevalence of underweight compared with the general
population. Data indicated potential for BMI normalisation among those initially
underweight at age 1 year. The prevalence of obesity in children with CHD was
comparable with that of the general population.
PMID- 29637148
TI - Pilot evaluation of parental and professional views regarding consent in neonatal
medicine by telephone interviews and questionnaires.
AB - Objective: The objectives of the study were to determine (1) parental and
professional views regarding the type of consent required for common neonatal
interventions and (2) whether there has been a change in professional
understanding regarding the requirements of consent since the last UK survey in
2003. Design: Cohort study of (1) parents of babies admitted to a single-centre
tertiary neonatal unit and (2) healthcare professionals. Methods: The views of 8
parents of former neonatal patients and 69 neonatal professionals were sought
using online and telephone survey methodology regarding 20 neonatal interventions
and whether implied consent, explicit verbal consent or explicit written consent
should be obtained. Results: Agreement, defined as both parental and professional
consensus on the type of consent required, was present in 12/20 of the
interventions. Comparison between professional views in 2003 demonstrated a
change regarding type of consent for 50% of interventions with a shift towards
obtaining explicit written consent certain treatments. Conclusions: The study
indicates areas of consensus that exist between parents and professionals
regarding consent for common neonatal interventions and a change in professional
views regarding consent since the last UK survey in 2003. These data might help
inform the development of national guidance for how professionals should obtain
consent in neonatology.
PMID- 29637149
TI - Social stigmatisation in late identified patients with disorders of sex
development in Indonesia.
AB - Objectives: To assess social stigmatisation related to atypical appearance of the
body, including, but not limited to the external genitalia, among Indonesian
patients with a disorder of sex development (DSD). Until recently, diagnostic
evaluation, information about the underlying causes of DSD and treatment options
were sparsely available for these patients. Methods: Eighty-one parents of
children and adolescents with DSD (aged 6-17 years) and 34 adult patients with
DSD (aged 18-41 years) completed the Social Stigmatisation Scale towards DSD, an
instrument developed to assesses the frequency of stigmatisation and the level of
stress associated with these experiences. Open-ended questions investigated
detailed information on stigmatisation as well as parents' and patients'
emotional and behavioural reactions to these experiences. Differences in
stigmatisation were explored across sex of rearing, gender change history,
treatment status and DSD characteristics that could be easily identified by
others (e.g., masculinisation of the body in women). Results: Social
stigmatisation was reported by patients with atypical appearance of their
genitalia, atypical appearance of their body aside from their genitals, among
those who displayed cross-gender behaviour and those who changed gender. Among
participants reared as women and among children and adolescents who changed
gender, social stigmatisation was associated with ostracism, depressive symptoms
and social isolation. Conclusions: Patients unable to conceal their condition
(those with visible physical atypicality and those who changed gender)
experienced social stigmatisation. Stigmatisation was stressful and related to
isolation and withdrawal from social interaction. Education about DSD, self
empowerment and medical interventions to prevent atypical physical development
may remove barriers to acceptance by others for affected individuals.
PMID- 29637150
TI - Understanding the needs of professionals who provide psychosocial care for
children and adults with disorders of sex development.
AB - Objective: Disorders in sex development (DSD) can be treated well medically, but
families will encounter many psychosocial challenges. Promoting counselling to
facilitate acceptance and coping is important yet equality of access is unknown.
This study investigated the modalities of psychosocial care provided in centres
of DSD care. Methods: An international survey conducted among 93 providers of
psychosocial care, identified through clinical networks, registries and
professional forums. Results: Forty-six respondents from 22 different countries
filled out the survey (49%). Most respondents (78%) were based in hospital-based
expert teams. Referrals came from paediatric endocrinologists (76%),
gynaecologists (39%) and paediatric urologists (37%). Psychological counselling
was most frequently given to parents (74%), followed by children (39%),
adolescents (37%) and adults (11%) and was most frequently focused on coping and
acceptance of DSD (54%), education (52%), the atypical body (39%) and genital
(41%), decisions on genital surgery (33%), complications with sexual intercourse
(29%), disclosure (28%) and acceptance of infertility (11%). Respondents most
frequently observed DSD related confusion about gender (54%), acceptance of cross
gender behaviour (50%), anxiety (43%) and sadness and depression (38%).
Conclusions: Most psychosocial care is provided to parents. It is assumed that
parental support is important as acceptance is conditional to become affectionate
caretakers. Although it may be more difficult for youngsters to communicate about
their condition and treatment, providing opportunity to bring up issues that are
important for them, is imperative. Clinicians and parents should be aware that
parental and patients' interests may not correspond completely. Psychosocial
management should also include transition and adult care.
PMID- 29637151
TI - Factors influencing maternal decision-making for the infant sleep environment in
families at higher risk of SIDS: a qualitative study.
AB - Objective: Qualitative interviews with mothers of babies at higher risk of sudden
infant death syndrome (SIDS) were carried out to understand their views and
decision-making process on the infant sleep environment and safe sleep messages.
Design and setting: Twenty semi-structured interviews were conducted between
February and November 2014 in deprived areas of Bristol, UK. Mothers were asked
about their decision-making for the infant sleep environment and safe sleep
messages including infant sleep position, co-sleeping, smoking, dummy use,
feeding and disrupted routines. The interviews were transcribed, coded and
thematic analysis carried out. Participants: Participants were invited based on
an algorithm developed in a previous SIDS case control study that identified an
increased risk of SIDS from four demographic characteristics: young maternal age,
smoking during pregnancy, three or more children, and a measure of deprivation.
The presence of three, or more characteristics led to being invited to take part
in the qualitative study. Results: Factors influencing mothers' adherence to the
safe sleep messages included previous experience and the credibility of the
advice given. They described disrupted routines that led to risky scenarios with
a belief that occasional risks were acceptable. Where circumstances made
following the advice more difficult they found alternative strategies to reduce
the risk, including the use of movement monitors, regular checking and a belief
that lighter maternal sleep in the presence of a baby was protective.
Conclusions: Safer sleep messages should be tailored to fit with the lived
realities of mothers, especially those at higher risk. The traditional list of
'do's' and 'don'ts' was not well accepted by this group. Interventions that seek
to influence this higher-risk group should acknowledge mothers' own protective
instincts and consider their beliefs and understanding behind the safer sleep
messages if they are to be effective and encourage this group to change.
PMID- 29637152
TI - Continuum of neurobehaviour and its associations with brain MRI in infants born
preterm.
AB - Background: Infants born very preterm (VPT) and moderate-to-late preterm (MLPT)
are at increased risk of long-term neurodevelopmental deficits, but how these
deficits relate to early neurobehaviour in MLPT children is unclear. The aims of
this study were to compare the neurobehavioural performance of infants born
across three different gestational age groups: preterm <30 weeks' gestational age
(PT<30); MLPT (32-36 weeks' gestational age) and term age (>=37 weeks'
gestational age), and explore the relationships between MRI brain abnormalities
and neurobehaviour at term-equivalent age. Methods: Neurobehaviour was assessed
at term-equivalent age in 149 PT<30, 200 MLPT and 200 term-born infants using the
Neonatal Intensive Care UnitNetwork Neurobehavioral Scale (NNNS), the Hammersmith
Neonatal Neurological Examination (HNNE) and Prechtl's Qualitative Assessment of
General Movements (GMA). A subset of 110 PT<30 and 198 MLPT infants had
concurrent brain MRI. Results: Proportions with abnormal neurobehaviour on the
NNNS and the HNNE, and abnormal GMA all increased with decreasing gestational
age. Higher brain MRI abnormality scores in some regions were associated with
suboptimal neurobehaviour on the NNNS and HNNE. The relationships between brain
MRI abnormality scores and suboptimal neurobehaviour were similar in both PT<30
and MLPT infants. The relationship between brain MRI abnormality scores and
abnormal GMA was stronger in PT<30 infants. Conclusions: There was a continuum of
neurobehaviour across gestational ages. The relationships between brain
abnormality scores and suboptimal neurobehaviour provide evidence that
neurobehavioural assessments offer insight into the integrity of the developing
brain, and may be useful in earlier identification of the highest-risk infants.
PMID- 29637153
TI - Digital assessment of the fetal alcohol syndrome facial phenotype: reliability
and agreement study.
AB - Purpose: To examine the three facial features of fetal alcohol syndrome (FAS) in
a cohort of Australian Aboriginal children from two-dimensional digital facial
photographs to: (1) assess intrarater and inter-rater reliability; (2) identify
the racial norms with the best fit for this population; and (3) assess agreement
with clinician direct measures. Methods: Photographs and clinical data for 106
Aboriginal children (aged 7.4-9.6 years) were sourced from the Lililwan Project.
Fifty-eight per cent had a confirmed prenatal alcohol exposure and 13 (12%) met
the Canadian 2005 criteria for FAS/partial FAS. Photographs were analysed using
the FAS Facial Photographic Analysis Software to generate the mean PFL three
point ABC-Score, five-point lip and philtrum ranks and four-point face rank in
accordance with the 4-Digit Diagnostic Code. Intrarater and inter-rater
reliability of digital ratings was examined in two assessors. Caucasian or
African American racial norms for PFL and lip thickness were assessed for best
fit; and agreement between digital and direct measurement methods was assessed.
Results: Reliability of digital measures was substantial within (kappa: 0.70
1.00) and between assessors (kappa: 0.64-0.89). Clinician and digital ratings
showed moderate agreement (kappa: 0.47-0.58). Caucasian PFL norms and the African
American Lip-Philtrum Guide 2 provided the best fit for this cohort. Conclusion:
In an Aboriginal cohort with a high rate of FAS, assessment of facial
dysmorphology using digital methods showed substantial inter- and intrarater
reliability. Digital measurement of features has high reliability and until data
are available from a larger population of Aboriginal children, the African
American Lip-Philtrum Guide 2 and Caucasian (Stromland) PFL norms provide the
best fit for Australian Aboriginal children.
PMID- 29637154
TI - Epidemiological survey of mental health in adolescent school children of Gujarat,
India.
AB - Introduction: Mental health problems in adolescents are inadequately researched
in low-resource settings. We aimed in this study to assess the prevalence of
mental health problems and correlates in school children aged 13-17 years and
compare differences between urban and rural schools in Anand District, Gujarat.
Methods and analysis: A cross-sectional study was conducted in five Gujarati
medium higher secondary schools in Anand, Gujarat. Six hundred and ninety-three
students with equal distribution of boys and girls belonging to 9th to 12th
grades were included in the study. Strengths and Difficulties Questionnaire (SDQ)
was used to assess the mental health status of the students, and total
difficulties scoring was used to categorise participants into normal (0-15) and
high (borderline (16-19) and abnormal (20-40)). Socio-demographic data and
Teenage Screening Questionnaire-Trivandrum (TSQ) were used to assess associated
medical and psychosocial factors. Clearance was obtained from the institutional
ethics committee before conducting the study. Results: 15% participants had a
high SDQ score. Girls had more emotional problems, while the rest of the mental
health problems were more prevalent in boys. Rural children were found to have
more mental health issues. Having an eye problem, scoring <50% in last annual
examinations, failure in examinations, difficulties in studying at home and
difficulties in relationships were associated with high SDQ score. Keeping
physically fit and having friends were associated with normal SDQ score. Logistic
regression model revealed that age, receiving punishment in form of more homework
and difficulty discussing friends with parents increased odds of high SDQ score,
while having friends and after-school entertainment like watching movies
decreased odds of high SDQ score. Conclusions: At least one in eight adolescents
in this study was at risk of mental health problems. SDQ self-report
questionnaire and TSQ survey may be used as a screening modality to identify at
risk students.
PMID- 29637155
TI - Oral paracetamol versus oral ibuprofen for closure of haemodynamically
significant patent ductus arteriosus in preterm neonates (<32 weeks): a blinded,
randomised, active-controlled, non-inferiority trial.
AB - Introduction: Haemodynamically significant patent ductus arteriosus (hsPDA) is a
common cause of mortality and morbidity in preterm infants. Existing medical
therapies with ibuprofen or indomethacin have multiple adverse effects. Hence, an
alternative drug like paracetamol given through oral route with less side effects
need to be tested in an appropriate study design with least risk of bias to
arrive at a conclusion. Methods and analysis: Multisite, randomised, active
controlled, non-inferiority design. The primary objective is to study the
efficacy of oral paracetamol for closure of hsPDA in comparison to oral ibuprofen
in preterm neonates of <32 weeks' gestation. Randomisation web-based and
allocation concealment would be done; the treating team, investigators, outcome
assessors and laboratory personnel would be blinded from the intervention.
Echocardiography images would be coded for independent review. Closure of PDA by
the end of last dose of study drug or earlier would be the study endpoint. A
sample size of 196 neonates would be enrolled with a non-inferiority margin of
15%. Both intention-to-treat and per-protocol analysis will be done to assess the
effect of contamination and protocol violations in the primary outcome. Ethics
and dissemination: The trial would follow international code of ethics for
clinical trial. The trial protocol was approved by the Institute Ethics Committee
of all three centres. All serious adverse events would be reported in detail to
the Institute Ethics Committee. A written informed consent would be obtained from
one of the parents. No plan has been made for dissemination. Trial registration
number: CTRI/2014/08/004805.
PMID- 29637156
TI - Educational intervention to improve intravenous cannulation skills in paediatric
nurses using low-fidelity simulation: Indian experience.
AB - Introduction: Inserting, monitoring and maintaining intravenous access are
essential components of nursing. We evaluated simulation training on a manikin to
improve cannulation skills. Methods: Nursing staff managing paediatric patients
were asked to cannulate NITA Newborn-1800 manikin before and after appropriate
training. Skills were assessed by a single assessor using an objective structured
clinical examination (OSCE) checklist. Four steps were identified as critical. A
score of 8/10 (80%) was considered satisfactory. Knowledge was assessed by 10
questions. A training module consisting of theoretical aspects, PowerPoint
presentations, videos and hands on training over a manikin was conducted. Post
training assessment was done 1 week later. Results: Seventy-five (80.6%) nurses
who completed preassessments and postassessments were assessed for paired
comparisons of knowledge and skill. The majority of the nurses were females, had
contractual appointment, were in their early career phase and from the paediatric
wards. The mean (SD) post-training knowledge score was greater vis-a-vis
pretraining score (7.52 (1.58) vs 5.32 (1.57), P<0.001). A similar result was
observed for total OSCE scores (9.22 (0.66) vs 7.91 (1.11), P<0.001).
Significantly higher proportion of participants exhibited intravenous cannulation
satisfactorily after the training vis-a-vis pretraining assessment (69 (92%) vs
36 (48%), P<0.001). Conclusion: Training using manikin showed improvement in post
training score of intravenous cannulation skill of paediatric nurses; however,
this finding needs further confirmation by a randomised control trial, as our
study does not have a control group.
PMID- 29637157
TI - Influence of health insurance status on paediatric non-Hodgkin's lymphoma
treatment in Kenya.
AB - Objective: Non-Hodgkin's lymphoma (NHL) is the most common childhood malignancy
in sub-Saharan Africa. Survival rates for NHL are higher than 80% in high-income
countries.This study explores treatment outcomes of children with NHL in Kenya, a
sub-Saharan low-income country, and the association between health insurance
status at diagnosis and treatment outcomes. Design: This was a retrospective
medical records study. All children diagnosed with NHL in 2010, 2011 and 2012
were included. Data on treatment outcomes and health insurance status at
diagnosis were collected. Results: Of all 63 patients with NHL, 35% abandoned
treatment, 22% had progressive or relapsed disease, 14% died and 29% had event
free survival. Most patients (73%) had no health insurance at diagnosis.
Treatment outcomes in children with or without health insurance at diagnosis
differed significantly (p=0.005). The most likely treatment outcome in children
with health insurance at diagnosis was event-free survival (53%), whereas in
children without health insurance at diagnosis it was abandonment of treatment
(44%). Crude HR for treatment failure was 3.1 (95% CI 1.41 to 6.60, p=0.005) for
uninsured versus insured children. The event-free survival estimate was
significantly higher in children with health insurance at diagnosis than in
patients without health insurance at diagnosis (p=0.003). Stage of disease at
diagnosis was identified as a confounder of this association (adjusted HR=2.4,
95% CI 0.95 to 6.12, p=0.063). Conclusions: Survival of children with NHL in
Kenya is much lower compared with high-income countries. Abandonment of treatment
is the most common cause of treatment failure. Health insurance at diagnosis was
associated with better treatment outcomes and survival.
PMID- 29637158
TI - Development of a core outcome set to determine the overall treatment success of
acute uncomplicated appendicitis in children: a study protocol.
AB - Introduction: In recent years, there has been growing interest in alternatives to
appendicectomy. In particular, non-operative treatment of appendicitis, with
antibiotics alone, has been proposed as a potential treatment. A small number of
randomised controlled trials (RCTs) in adults and, more recently, children
suggest that antibiotic treatment may be a valid alternative to appendicectomy.
However, there is currently insufficient data to justify its widespread use.
Prior to performing further efficacy studies of the treatment of appendicitis in
children, it is imperative to identify the most relevant outcome measures for
inclusion in the design of comparative studies. This is of particular importance
when evaluating a novel treatment approach since the outcomes of importance may
differ from those commonly reported with traditional therapies.A review of the
relevant literature and electronic resources failed to identify a core outcome
set (COS) for children with appendicitis. We aim to define a COS for the
measurement of treatment interventions in children (<18 years) with acute
appendicitis. Methods and analysis: This project will entail: (1) a systematic
review to identify previously reported acute uncomplicated appendicitis treatment
outcomes; (2) assembly of stakeholder panels (paediatric and adult surgeons,
patients and parents); (3) a three-stage Delphi process; and (4) a final
consensus meeting to complete the COS. Ethics and registration: COS development
is part of CONservative TReatment of Appendicitis in Children - a randomised
controlled Trial (Feasibility) (CONTRACT) study, for which full ethical approval
for CONTRACT has been granted. The COS development study is registered with the
COMET Initiative in May 2017 (http://www.comet
initiative.org/studies/details/987).
PMID- 29637160
TI - Paediatric clinical ethics in Australia and New Zealand: a survey.
AB - Objectives: To quantify the presence, purpose, function, governance and funding
of clinical ethics services (CES) in tertiary paediatric hospitals in Australia
and New Zealand. Design setting and participants: A descriptive, quantitative
survey was conducted across eight paediatric hospitals. Main outcome measures:
Responses from survey questions on the presence, purpose, function, governance
and funding of the CES. Results: Seven of eight tertiary paediatric hospitals
identified access to CES. Regarding purpose and function, all CES provided
clinical case consultation, six of seven provided education and training, six of
seven assisted with organisational policy and guideline development and four of
seven undertook original ethics research. There was wide variation in how case
consultations were conducted, reported and documented. With respect to governance
and funding, all CES reported to their hospital executive and only one CES
reported having a dedicated, although small, budget. Conclusions: Heterogeneity
in the process of case consultation and CES policy content exists across the
organisations studied. There is consistency with the broader values that underpin
CES such as their multidisciplinary nature and level of training required for key
staff. There is an apparent lack of formal budgetary support from health services
for CES activities, with support derived mostly from staff who contribute their
time in addition to their primary roles.
PMID- 29637159
TI - Exploring pneumonia risk factors in Vietnamese infants: a survey of new mothers.
AB - Objective: To understand the prevalence of pneumonia risk factors and perceived
barriers to risk factor reduction among Vietnamese infants. Methods: We conducted
a cross-sectional survey of mothers in the postnatal wards of Da Nang Hospital
for Women and Children in central Vietnam from 10 February 2017 to 24 February
2017. Results: Of 286 mothers surveyed, 259 (91%) initiated breast feeding and
207 (72%) intended to continue exclusive breast feeding for 6 months. No mother
smoked cigarettes, but 42% of fathers did. Mothers' decision not to smoke was
motivated by concerns for their own health and that of their baby. Households
rarely used wood or coal for cooking (6%). Mothers indicated near universal (99%)
uptake of the National Expanded Program of Immunization vaccinations. Few (64;
22%) mothers knew about the pneumococcal conjugate vaccine; 56/64 (88%) indicated
that they would purchase it for their infants. Family members rarely influenced
mothers' decisions about breast feeding or vaccination, except in two instances
where fathers were concerned about vaccine-related adverse effects. Conclusion:
Modifiable pneumonia risk factors were uncommon among newborn babies in central
Vietnam, apart from paternal cigarette smoke exposure. Successful local
implementation of the WHO Essential Newborn Care package as well as high levels
of maternal education and decision autonomy was observed.
PMID- 29637161
TI - Intubating extremely premature newborns: a randomised crossover simulation study.
AB - Objective: Determine whether tracheal intubation of extremely low birthweight
(ELBW) neonates is more successful with a size-0 or size-00 Miller laryngoscope
blade. Design: Randomised crossover simulation study. Setting: Simulated neonatal
intensive care unit environment. Study subjects: Neonatology physicians and nurse
practitioners (n=55). Interventions: Subjects performed four intubations in
succession on a high-fidelity ELBW manikin with size-0 Miller and size-00 Miller
blades from two different manufacturers. The intubation sequence was randomised.
Intubations were recorded and scored for time analysis. Subjects completed
surveys about blade preferences before and after completing the series of
intubations. Main outcome measures: Total laryngoscopy time and first attempt
success in less than 30 s. Results: There was no difference in total laryngoscopy
time (median 23.7 vs 20.6 s) or first attempt success in <30 s (67.3% vs 69.1%)
between the size-0 and size-00 blades. Differences were noted between the same
size blades made by different manufacturers. Among subjects expressing a prestudy
blade size preference, there was no difference in laryngoscopy time or first
attempt success between blades. Regardless of blade size, subjects were less
successful with the first blade in the randomised sequence. Conclusions: Our
findings support the Neonatal Resuscitation Program recommendation identifying
the size-00 blade as optional equipment. Operators need to be aware of design
variations between manufacturers and they may benefit from 'just-in-time'
training with a manikin prior to intubating a live patient.
PMID- 29637162
TI - Breastfeeding disruption during hospitalisation for bronchiolitis in children: a
telephone survey.
AB - Background: Hospitalisation for an acute bronchiolitis might lead to unwanted
weaning off breast feeding for several reasons (respiratory distress, use of
enteral or parenteral feeding, mothers tiredness, among others), yet it has never
been really evaluated or quantified. Methods: We conducted this telephone survey
to evaluate breastfeeding disruption during hospitalisation for bronchiolitis and
try to identify its determining factors for future interventions. This cross
sectional study extends over one epidemic season of bronchiolitis in a tertiary
care hospital. All patients aged 6 months or younger hospitalised with acute
bronchiolitis and receiving at least partial breast feeding were eligible for the
study (n=144). Patients discharged home whose parents accepted to be contacted
for a phone survey were included. Parents were contacted 3 months (range 0.5-6)
after discharge. Results: Eighty-four patients were included in the study. Median
length of hospital stay was 3 days (1; 34), and 27 patients spent some time in
paediatric intensive care unit. Forty-three mothers stated that hospitalisation
modified their breast feeding (17 stopped, 12 switched to partial breast feeding
and 14 reduced without stopping). Mothers stated that the causes of breastfeeding
disturbance were lack of support and advices (n=27) followed by child's
respiratory disease (n=14), logistic hospital difficulties (n=13) and personal
organisation issues (n=4). Conclusion: Admission to hospital with bronchiolitis
may adversely affect breast feeding. Correct advices and support could be a
determining factor, and further studies should focus on preventive interventions.
PMID- 29637163
TI - Hyperglycaemic preterm neonates exhibit insulin resistance and low insulin
production.
AB - Objectives: To explore and compare the relationships between postmenstrual age
(PMA), insulin, C-peptide and blood glucose concentrations (BGC) in
hyperglycaemic and euglycaemic preterm neonates (PMA <30 weeks). Design:
Observational. Setting: Dunedin Hospital Neonatal Intensive Care Unit, New
Zealand. Patients: Preterm neonates were recruited and included nine insulin
treated hyperglycaemic and 20 euglycaemic neonates. Samples for euglycaemic
neonates were obtained from leftover blood, and for insulin-treated neonates,
additional blood was collected at the same time as the patients' routine 4 hourly
blood glucose test over a 24-hour period (six samples). Main outcome measures:
Blood samples were collected, plasma was analysed for insulin and C-peptide and
was measured in temporal association with BGC. Results: The euglycaemic neonates
had a mean PMA (SD) of 28 (1.4) weeks and the insulin-treated neonates had 25.5
(1.8) weeks. C-peptide plasma concentrations were significantly lower (p<0.01) in
the insulin-treated hyperglycaemic neonates (51.7 (100) pmol/L; 200(208) pmol/L)
indicating lower insulin production. Insulin plasma concentrations (r=-0.38), BGC
(r=-0.38), C-peptide plasma concentrations (r=0.36) and insulin/C-peptide ratios
(r=-0.49) were all significantly affected by PMA (p<0.01). As expected, insulin
plasma concentrations were higher in the insulin-treated hyperglycaemic neonates
(156 (161) pmol/L; 93.2 (63.1) pmol/L, p<0.01) confirming that intravenous
exogenous insulin reached these neonates. Conclusions: This study demonstrates
that preterm neonates exhibit insulin resistance, hyperglycaemic neonates have
lower insulin production than euglycaemic neonates and treatment with exogenous
insulin did not appear to suppress insulin production in these neonates.
PMID- 29637164
TI - Financial crises and child health: reflections from Iceland.
PMID- 29637165
TI - Assessing the quality of paediatric antibiotic prescribing by community
paediatricians: a database analysis of prescribing in Lombardy.
AB - Objective: To evaluate the quality of paediatricians' antibiotic prescribing
using administrative databases. Methods: The data source was the database of
reimbursed prescriptions of the Lombardy Region, Italy. Children 1-13 years were
included. An index prescription was defined as the first antibiotic prescription
during a year period (2011) that occurred without previous, recent, antibiotic
prescriptions or hospital or emergency department admissions. The A indicator was
the percentage of children, cared for by paediatricians, receiving amoxicillin at
the index prescription (minimum target 50%). The B indicator was the percentage
of children receiving exclusively non-penicillin antibiotics in unrelated
infection episodes (maximum target 10%). Indicators were evaluated for each
prescriber and geographical area. Results: Overall 424 280 children (cared for by
1164 paediatricians) received an index prescription and were included in the
study. Amoxicillin alone was prescribed at the index prescription only to 23.6%
of children (7.9%-46.3% within different areas of the region).The percentage of
paediatricians who reached the target for the quality indicators was low (12.8% A
indicator; 54.0% B indicator; 11.3% both). Almost half of the paediatricians
(44.5%) showed inadequate quality of antibiotic prescribing, failing to reach the
target for both indicators. Quality of prescribing was about four times worse in
high prescribers and younger paediatricians. A geographical cluster of
paediatricians reaching the target for both indicators was identified. These
paediatricians had, for several years, previously been involved in educational
programme. Conclusions: Quality of prescribing was generally unsatisfactory, but
increased in a group of paediatricians previously involved in educational
interventions and increased with increasing age. Further studies are warranted in
order to validate these promising indicators as a benchmarking tool in other
studies, when diagnosis is unknown.
PMID- 29637166
TI - Investigating the roles and training of paediatric research nurses working across
Europe: a questionnaire-based survey.
AB - Background: The key role played by research nurses in coordinating clinical
trials in a paediatric setting has developed in line with increasing complexities
of trial design. A questionnaire-based survey was conducted to investigate the
training of research nurses involved in paediatric trials across Europe, to
identify potential training needs and compare roles across specialties and
countries. Methods: A structured, cross-sectional questionnaire survey was used,
with the aim of describing and quantifying research nurse experiences. The
questionnaire was designed to cover four main areas of interest: demographics,
training, clinical trial experience and research nurse roles/activities. Results:
The questionnaire was completed by 341 respondents across 45 different
specialties in 20 European countries. A higher percentage of research nurses
within 3 years of taking up post were dissatisfied with the level of training
received (16%), as compared with those in post for 3-6 years (8%) and >6 years
(6%). There was a trend towards a higher percentage of respondents receiving self
funded training in mainland Europe, with reported values of 15%-20%, as compared
with <5% in the UK and Ireland. Only 3% of research nurses prescribed
investigational medicinal products in a clinical trial setting, with contrasting
roles observed between countries. Conclusions: While high levels of training
satisfaction were observed, 67% of respondents felt they would benefit from
additional training in line with frequently changing practices. Currently, low
levels of nurse prescribing are observed in a paediatric clinical trial setting
across Europe. Appropriate research nurse training programmes should be promoted
through national networks across Europe.
PMID- 29637167
TI - Improving case ascertainment of congenital anomalies: findings from a prospective
birth cohort with detailed primary care record linkage.
AB - Background: Congenital anomalies (CAs) are a common cause of infant death and
disability. We linked children from a large birth cohort to a routine primary
care database to detect CA diagnoses from birth to age 5 years. There could be
evidence of underreporting by CA registries as they estimate that only 2% of CA
registrations occur after age 1 year. Methods: CA cases were identified by
linking children from a prospective birth cohort to primary care records. CAs
were classified according to the European Surveillance of CA guidelines. We
calculated rates of CAs by using a bodily system group for children aged 0 to <5
years, together with risk ratios (RRs) with 95% CIs for maternal risk factors.
Results: Routinely collected primary care data increased the ascertainment of
children with CAs from 432.9 per 10 000 live births under 1 year to 620.6 per 10
000 live births under 5 years. Consanguinity was a risk factor for Pakistani
mothers (multivariable RR 1.87, 95% CI 1.46 to 2.83), and maternal age >34 years
was a risk factor for mothers of other ethnicities (multivariable RR 2.19, 95% CI
1.36 to 3.54). Education was associated with a lower risk (multivariable RR 0.78,
95% CI 0.62 to 0.98). Conclusion: 98% of UK CA registrations relate to diagnoses
made in the first year of life. Our data suggest that this leads to incomplete
case ascertainment with a further 30% identified after age 1 year in our study.
Risk factors for CAs identified up to age 1 year persist up to 5 years. National
registries should consider using routine data linkage to provide more complete
case ascertainment after infancy.
PMID- 29637168
TI - Rare disease: a national survey of paediatricians' experiences and needs.
AB - Objective: To describe the experiences of Australian paediatricians while caring
for children with rare diseases, and their educational and resource needs.
Design: A brief online survey was developed and deployed to a representative
sample of 679 paediatricians from the Australian Paediatric Surveillance Unit
database. Results: Of the 679 paediatricians, 242 (36%) completed the survey. The
respondents were representative of all states and territories of Australia, urban
and rural regions, and hospital and private practice. Almost all respondents
(93%) had seen children with one or more of >350 different rare diseases during
their career; 74% had seen a new patient with rare disease in the last 6 months.
The most common problems encountered while caring for patients were: diagnostic
delays (65%), lack of available treatments (40%), clinical guidelines (36%) and
uncertainty where to refer for peer support (35%). Few paediatricians said that
rare diseases were adequately covered during university (40%) or the Fellowship
of the Royal Australasian College of Physicians (50%) training, and 28% felt
unprepared to care for patients with rare diseases. Paediatricians wanted lists
of specialist referral services (82%) and online educational modules about rare
diseases (78%) that could be accessed via one online portal that consolidated
multiple resources. Smartphone applications on rare diseases were favoured by
paediatricians aged <50 years and by female paediatricians. Conclusions: An
online educational portal should be developed and maintained for accuracy and
currency of information to support dissemination of rare disease guidelines,
referral pathways and coordination services relevant to Australian paediatricians
and other health professionals who care for children with rare diseases.
PMID- 29637169
TI - Poor inter-observer agreement in the measurement of respiratory rate in children:
a prospective observational study.
AB - Objective: To determine the inter-observer agreement of a respiratory rate (RR)
count on a child when assessed by three independent observers. Design: The RR of
169 children (age range: 3 days to 15 years) was measured by three independent
observers over a 3-month period. The first RR was taken by different healthcare
professionals (HCPs) from within the hospital using their own preferred method of
measurement. A further count of RR was then taken by two observers from the
research team simultaneously within 30 min of the first measurement, using the
WHO-recommended method of measurement. Results: 507 RR measurements were taken on
169 children. Median RR showed a 4 beats per minute (bpm) difference between the
HCP (median RR 32 bpm) and the researchers (median RR 28 bpm). The 95% limits of
agreement between the first measurement and second and third measurements were
10.2 to 17.7 bpm and -11.4 to 18.7 bpm, respectively. For simultaneous
measurements, the 95% limits of agreement were -7.1 to 7.0 bpm. 81 children had a
RR > 95th centile for their age and an even poorer level of agreement was seen in
these children than in those whose RR was within normal range. In only 27 of
these 81 children (33%) did all three observers agree on the presence of a raised
RR. Conclusions: Inter-observer agreement for the measurement of RR in children
is poor. The effect that this variation has on the clinical assessment and
subsequent management of a child may be significant. These findings highlight the
need for a robust review of our current measurement methods and interpretation of
an important vital sign.
PMID- 29637170
TI - Impact of hypoglycaemia on neurodevelopmental outcomes in hypoxic ischaemic
encephalopathy: a retrospective cohort study.
AB - Background: Low blood glucose levels (BGLs) in infants are known to adversely
affect neurodevelopmental outcomes. However, this risk is not well explored in
infants with hypoxic ischaemic encephalopathy (HIE) that receive therapeutic
hypothermia (TH). Additionally, little information is available on the optimal
BGLs to target in infants with HIE. Aim: To explore the association between
hypoglycaemia and neurodevelopmental outcomes at different BGL thresholds (2.6
and 3.0 mmol/L) in neonates with HIE treated with TH. Methods: Retrospective
cohort study. Clinical information and 2-year neurodevelopmental data using
Bayley Scales of Infant Development, third edition (BSID-III) and disabilities
were recorded for infants born in Western Australia with HIE and treated with TH
between February 2008 and February 2012. Multivariable logistic regression models
explored the association between hypoglycaemia and neurodevelopmental outcomes.
Results: 122 infants underwent a total of 1616 BGL estimations before and during
72 hours of TH. Hypoglycaemia (BGL<2.6 mmol/L) occurred in 38/122 (31%) infants
and 11/122 (9%) had recurrent hypoglycaemia (three or more episodes). Infants
with recurrent hypoglycaemia (<2.6 mmol/L) had significantly lower mean BSID-III
cognitive, language and socioemotional subscale scores. On multivariable
analysis, recurrent hypoglycaemia (<2.6 mmol/L) was associated with increased
odds of death or disability (adjusted OR 8.15; 95% CI 1.31 to 50.58; p=0.024).
Recurrent hypoglycaemia (<3.0 mmol/L) during the first 12 hours of life was also
associated with severe disability among survivors (adjusted OR 11.13; 95% CI 2.06
to 59.89; p=0.005). Conclusions: Early recurrent hypoglycaemia was associated
with increased risk of death or severe disability in neonates undergoing TH for
HIE. Prospective studies are needed to identify the ideal target BGL in this
population.
PMID- 29637171
TI - Probiotics, prematurity and neurodevelopment: follow-up of a randomised trial.
AB - Objective: To determine the impact of one probiotics combination on the
neurodevelopment of very preterm children at 2-5 years corrected gestational age
(CA). Design: Follow-up study of survivors of a double-blinded, placebo
controlled, randomised trial of probiotic effects on late-onset sepsis in very
preterm infants that found reduced necrotising enterocolitis. Setting: 10
tertiary perinatal centres in Australia and New Zealand. Patients: 1099 very
preterm infants born <32 weeks' gestation and weighing <1500 g. Intervention:
Probiotics (Bifidobacterium infantis, Streptococcus thermophilus and
Bifidobacterium lactis) or placebo administered from birth until discharge home
or term CA, whichever came sooner. Main outcome measures: Major
neurodevelopmental impairment comprised any of moderate/severe cerebral palsy
(Gross Motor Function Classification System score 2-5), motor impairment (Bayley
III Motor Composite Scale <-2SD or Movement Assessment Battery for Children <15th
centile if >42 months' CA), cognitive impairment (Bayley-III Composite Cognitive
or Language Scales <-2SD or Wechsler Preschool and Primary Scale of Intelligence
Full Scale Intelligence Quotient <-2SD if >42 months' CA), blindness or deafness.
Results: Outcome data were available for 735 (67%) participants, with 71 deaths
and 664/1028 survivors assessed at a mean age of 30 months. Survival free of
major neurodevelopmental impairment was comparable between groups (probiotics 281
(75.3%) vs placebo 271 (74.9%); relative risk 1.01 (95% CI 0.93 to 1.09)). Rates
of deafness were lower in probiotic-treated children (0.6% vs 3.4%). Conclusion:
Administration of the probiotics combination Bifidobacterium infantis,
Streptococcus thermophilus and Bifidobacterium lactis to very preterm babies from
soon after birth until discharge home or term CA did not adversely affect
neurodevelopment or behaviour in early childhood. Trial registration number:
Australia and New Zealand Clinical Trials Register (ANZCTR):
ACTRN012607000144415.
PMID- 29637173
TI - Fortune favours the brave: composite first-person narrative of adolescents with
congenital heart disease.
AB - Background: An interdisciplinary framework including a narrative element could
allow addressing lack of awareness or excessive anxieties and teasing out
divergences between patients' health status and their expectations. This could be
particularly relevant for adolescents with congenital heart disease (CHD).
Objective: To develop a collective narrative ensuing from a creative activity
involving adolescents with CHD, in order to explore their health perceptions and
expectations. Design: Artist-led workshop process supported by a
multidisciplinary team. Setting and participants: Young people with CHD (n=5, age
17-18 years, two men) were involved in the creative process, which encouraged
them, over two sessions, to elaborate imagery relating to their uniqueness as
individuals and their hearts. On top of creative activities (including self
portraits, embossing, body mapping and creative writing), participants were also
shown their hearts in the form of cardiovascular MRIs and three-dimensional (3D)
models manufactured by means of 3D printing. Methods: A composite first-person
narrative approach was adopted to handle the emerged phenomenological
descriptions and creative outputs, in order to shape a unified story. Results:
The composite first-person narrative highlighted themes central to the patients,
including their interpretation of medical references, their resilience and their
awareness of anatomical complexity. Discussion and conclusions: Exploring the
narrative of adolescents with CHD can offer unique insight into the way they view
their hearts at a crucial stage of their care. An artist-led creative workshop
supported by a multidisciplinary team can be a valuable approach to collect such
narratives from patients and begin exploring them.
PMID- 29637172
TI - Impact of neonatal resuscitation trainings on neonatal and perinatal mortality: a
systematic review and meta-analysis.
AB - Background: Training of birth attendants in neonatal resuscitation is likely to
reduce birth asphyxia and neonatal mortality. We performed a systematic review
and meta-analysis to assess the impact of neonatal resuscitation training (NRT)
programme in reducing stillbirths, neonatal mortality, and perinatal mortality.
Methods: We considered studies where any NRT was provided to healthcare personnel
involved in delivery process and handling of newborns. We searched MEDLINE,
CENTRAL, ERIC and other electronic databases. We also searched ongoing trials and
bibliographies of the retrieved articles, and contacted experts for unpublished
work. We undertook screening of studies and assessment of risk of bias in
duplicates. We performed review according to Cochrane Handbook. We assessed the
quality of evidence using the GRADE approach. Results: We included 20 trials with
1 653 805 births in this meta-analysis. The meta-analysis of NRT versus control
shows that NRT decreases the risk of all stillbirths by 21% (RR 0.79, 95% CI 0.44
to 1.41), 7-day neonatal mortality by 47% (RR 0.53, 95% CI 0.38 to 0.73), 28-day
neonatal mortality by 50% (RR 0.50, 95% CI 0.37 to 0.68) and perinatal mortality
by 37% (RR 0.63, 95% CI 0.42 to 0.94). The meta-analysis of pre-NRT versus post
NRT showed that post-NRT decreased the risk of all stillbirths by 12% (RR 0.88,
95% CI 0.83 to 0.94), fresh stillbirths by 26% (RR 0.74, 95% CI 0.61 to 0.90), 1
day neonatal mortality by 42% (RR 0.58, 95% CI 0.42 to 0.82), 7-day neonatal
mortality by 18% (RR 0.82, 95% CI 0.73 to 0.93), 28-day neonatal mortality by 14%
(RR 0.86, 95% CI 0.65 to 1.13) and perinatal mortality by 18% (RR 0.82, 95% CI
0.74 to 0.91). Conclusions: Findings of this review show that implementation of
NRT improves neonatal and perinatal mortality. Further good quality randomised
controlled trials addressing the role of NRT for improving neonatal and perinatal
outcomes may be warranted. Trial registration number: PROSPERO
2016:CRD42016043668.
PMID- 29637174
TI - Sex differences in child and adolescent physical morbidity: cohort study.
AB - Background: Evidence on sex differences in physical morbidity in childhood and
adolescence is based largely on studies employing single/few physical morbidity
measures and different informants. We describe sex differences in a wide range of
parent/carer-reported physical morbidity measures between ages 4 and 13 years to
determine evidence for a generalised pattern of an emerging/increasing female
'excess'. Methods: Parents/carers (approximately 90% mothers) of the population
based UK ALSPAC cohort provided data on general health, physical
conditions/symptoms and infections in their child approximately annually between
ages 4 and 13. Logistic regression analyses determined the odds of each morbidity
measure being reported in respect of females (vs males) at each age and the sex
by-age interaction, to investigate any changing sex difference with age. Results:
Six measures (general health past year/month, high temperature, rash, eye and ear
infections) demonstrated an emerging female 'excess', and six (earache, stomach
ache, headache, lice/scabies, cold sores, urinary infections) an increasing
female 'excess'; one (breathlessness) showed a disappearing male 'excess'. Just
two showed either an emerging or increasing male 'excess'. Most changes were
evident during childhood (prepuberty). Six measures showed consistent female
'excesses' and four consistent male 'excesses'. Few measures showed no sex
differences throughout this period of childhood/early adolescence. Conclusion:
Sex differences are evident for a wide range of parent-reported physical
morbidity measures in childhood and early adolescence. Far more measures showed
an emerging/increasing female 'excess' than an emerging/increasing male 'excess'.
Further studies are required to examine whether patterns differ across
sociodemographic/cultural groups, and to explain this generalised pattern.
PMID- 29637175
TI - Early preterm nutrition and the urinary metabolome in young adult life: follow-up
of a randomised controlled trial.
AB - Objective: We aimed to test the hypothesis that early diet programmes the
metabolic profile of young adults born preterm. Design: We analysed banked urine
samples obtained at a 20-year follow-up visit from adults that had participated
as neonates in controlled trials involving randomisation within 48 hours of birth
to feeds of preterm formula (PTF), banked breast milk (BBM) or term formula (TF)
for 1 month postnatally. Main outcome measures: We performed proton nuclear
magnetic resonance spectroscopy, analysing spectra by dietary group and sex.
Orthogonal projections to latent structure discriminant analyses was used to
model class differences and identify metabolites contributing to the differences
between groups. Additionally, spectra were correlated with birth weight,
gestational age and weight z score at 2 weeks of age. Results: Of the original
number of 926 trial participants, urine samples were available from 197 (21%)
healthy young adults (42% men) born preterm (mean 30.7+/-2.8 weeks) and
randomised to BBM (n=55; 28 men), TF (n=48; 14 men) and PTF (n=93; 40 men). We
found no significant differences in urinary spectra between dietary groups
including when stratified by sex. Correlation analysis revealed a weak
association between metabolic profile and gestational age that was lost on
controlling for ethanol excretion. Conclusions: We found no evidence that dietary
exposures in the neonatal period influence the metabolic phenotype in young adult
life.
PMID- 29637176
TI - Resuscitating neonates: 65 years after Virginia Apgar.
PMID- 29637177
TI - Prediction of outcomes of extremely low gestational age newborns in Australia and
New Zealand.
AB - Objective: To determine the accuracy of the National Institute of Child Health
and Human Development (NICHD) calculator in predicting death and
neurodevelopmental impairment in Australian and New Zealand infants. Design:
Population-based cohort study. Setting: Australia and New Zealand. Patients:
Preterm infants 22-25 completed weeks gestation. Interventions: Comparison of
NICHD calculator predicted rates of death and death or neurodevelopmental
impairment, with actual rates recorded in the Australian and New Zealand Neonatal
Network cohort. Main outcome measures: Infant death and death or
neurodevelopmental impairment rates. Results: A total of 714 infants were
included in the study. Of these infants, 100 (14.0%) were <24 weeks, 389 (54.5%)
male, 529 (74.1%) were singletons, 42 (5.9%) had intrauterine growth restriction,
563 (78.9%) received antenatal steroids and 625 (87.5 %) were born in a tertiary
hospital. There were 288 deaths (40.3%), 75 infants (10.5%) with neurodevelopment
impairment and 363 (50.8%) with death or neurodevelopmental impairment. The area
under the curve (AUC) for prediction of death and the composite death or
neurodevelopmental impairment by the NICHD calculator in our population was
0.65(95% CI 0.61 to 0.69) and 0.65 (95% CI 0.61 to 0.69), respectively. When
stratified and compared with gestational age outcomes, the AUC did not change
substantially for the outcomes investigated. The calculator was less accurate
with outcome predictions at the extreme categories of predicted outcomes
underestimation of outcomes for those predicted to have the lowest risk (<20%)
and overestimation for those in the highest risk category (>80%). Conclusion: In
our recent cohort of extremely preterm infants, the NICHD model does not
accurately predict outcomes and is marginally better than gestational age based
outcomes.
PMID- 29637178
TI - Preterm infant outcomes in relation to the gestational age of onset and duration
of prelabour rupture of membranes: a retrospective cohort study.
AB - Objective: To determine the hospital outcomes of liveborn infants at 23-31 weeks
following prelabour preterm rupture of membranes (PPROM). Method: A regional
retrospective cohort study of 4454 infants of 23-31 weeks' gestation admitted to
a tertiary neonatal network between 2007 and 2011. Primary outcome was the
composite chronic lung disease (CLD) or mortality at discharge. Results: 225 (5%)
neonates had a history of PPROM occurring prior to 24+0 weeks (Early-PPROM), 829
(19%) had a history of PPROM at or after 24+0 weeks' gestation (Late-PPROM) and
3400 (76%) had no history of PPROM (No-PPROM). In comparison to No-PPROM, Early
PPROM group had higher CLD/mortality in infants born at 23-27 weeks (OR 1.95; 95%
CI 1.34 to 2.85) and 28-31 weeks (OR 4.98; 95% CI 2.99 to 8.28). Within Early
PPROM group, the latency of PPROM >14 days had lower CLD/mortality in comparison
to latency <=14 days (57.6% vs 77%, OR 0.40; 95% CI 0.21 to 0.76). Late-PPROM
group had significantly lower CLD/mortality in comparison to No-PPROM group at 23
27 weeks (OR 0.50; 95% CI 0.37 to 0.69) and 28-31 weeks (OR 0.50; 95% CI 0.36 to
0.71). Within Late-PPROM group, latency >14 days was associated with an increased
CLD/mortality in 28-31 weeks (14.1% vs 5.4%, OR 2.88; 95% CI 1.31 to 6.38).
Conclusions: Early-PPROM prior to 24 weeks' gestation had high incidence of
CLD/mortality even after correcting for gestational age. Late-PPROM at or after
24 weeks had lower CLD/mortality compared with No-PPROM. Latency >14 days in Late
PPROM group at 28-31 week group increased the odds of CLD/mortality.
PMID- 29637179
TI - Associations between birth at, or after, 41 weeks gestation and perinatal
encephalopathy: a cohort study.
AB - Background: Preterm birth causes long-term problems, even for infants born 1 or 2
weeks early. However, less is known about infants born after their due date and
over a quarter of infants are born over 1 week late, and many still remain
undelivered after 2 weeks. The aim of this work is to quantify the risks of
infants developing encephalopathy when birth occurs after the due date, and if
other proposed risk factors modify this relationship. Methods: The dataset
contain information on 4 036 346 infants born in Sweden between 1973 and 2012.
Exposure was defined as birth 7, or more, days after the infants' due date. The
primary outcome was the development of neonatal encephalopathy (defined as
seizures, encephalopathy or brain injury caused by asphyxia or with unspecified
cause). Covariates were selected as presumed confounders a priori. Results: 28.4%
infants were born 1 or more weeks after their due date. An infant's risk of being
born with encephalopathy was higher in the post 41 weeks group in the unadjusted
(OR 1.40 (95% CI 1.32 to 1.49)) and final model (OR 1.38 (95% CI 1.29 to 1.47)),
with the relative odds of encephalopathy increasing by an estimated 20% per week
after the due date, and modified by maternal age (P=0.022). Conclusions:
Singleton infants born at, or after, 41 weeks gestation have lower Apgar scores
and higher risk of developing encephalopathy in the newborn period, and the
association appeared more marked in older mothers. These data could be useful if
provided to women as part of their decision-making.
PMID- 29637180
TI - Public health approaches to safer cycling for children based on developmental and
physiological readiness: implications for practice.
AB - Introduction: Cyclists have a high mortality and morbidity per mile travelled
compared with car occupants, a figure that is likely to increase if campaigns to
increase active travel are successful. Concerns about safety is the leading
factor limiting cycling for children. Objective: This review brings together a
paediatric perspective based on the developmental readiness of children and young
people and a public health approach to reducing injuries, to produce a practical
agenda for improving the safety of cycling for children. Method: Selective
literature review. Results: While most sports realise the importance of practice
and training to create mastery of the game, similar thinking has not been
consistently applied to cycling proficiency, so many children do not have an
opportunity to master cycling before riding on the roads. Conclusions: The aim
should be to minimise road traffic injuries involving children and young people
in ways that create cobenefits for other members of society, increasing
opportunities for active travel, reducing air pollution, creating more green
space to play and reducing dependence on motor vehicles.Changes in legislation
are required now to enable younger children to cycle on pavements while learning
to ride and improvements in road design to separate cyclists from motor vehicles
especially routes to school for older children.
PMID- 29637182
TI - Is it appropriate to use WHO Multicentre Growth Reference Study standards to
assess the growth parameters of Sri Lankan babies? A single-centre cross
sectional study.
AB - Objective: To compare the anthropometric measurements of newborns in a tertiary
care hospital in Sri Lanka, with WHO standards. Methods: Birth weight, length and
occipitofrontal circumference (OFC) of 400 consecutive, term newborns of healthy
mothers were measured in a tertiary care hospital. Results: 400 subjects were
approached and seven were excluded, concluding the study population to 184 boys
and 209 females. Medians of birth weight, length and OFC were 3000 g, 49.95 cm
and 34.15 cm of males and IQRs were 555.00, 2.70 and 1.70, respectively. For
females, the medians of birth weight, length and OFC were 2900 g, 48.9 cm and
34.00 cm with IQRs of 450.00, 2.70 and 1.50, respectively. The two-tailed t-test
revealed that median weights of males (t=9.632) and females (t=12.04) and OFC of
males (t=3.98) were significantly lower than the WHO medians. There was a
significant association of birth weight, with mother's prepregnancy weight, in
males (beta coefficient=12.629 with 95% CI 6.275 to 18.982) and females (beta
coefficient=5.880, 95% CI 1.434 to 10.325). Significant associations of length
(beta coefficient=0.046, 95% CI 0.012 to 0.080) and OFC (beta coefficient=0.033,
95% CI 0.014 to 0.053) with mother's prepregnancy weight in males and length
(beta coefficient=0.084, 95% CI 0.022 to 0.145) and weight (beta
coefficient=10.780, 95% CI 0.93 to 20.629) with maternal age in females were
found. Furthermore, birth weight in males was significantly associated with
maternal height (beta coefficient=10.899, 95% CI 0.552 to 21.247). Education
level, ethnicity and parity showed no significant associations with above
parameters. Conclusion: The median weights of both sexes and OFC in males were
significantly lower than the WHO standards. Island-wide studies are indicated to
evaluate the appropriateness of applying WHO standards to Sri Lankan newborns.
PMID- 29637181
TI - Bronchopulmonary dysplasia-impact of severity and timing of diagnosis on
neurodevelopment of preterm infants: a retrospective cohort study.
AB - Objective: To assess the contribution of the severity of bronchopulmonary
dysplasia (BPD) and the time point of its diagnosis to the prediction of
neurodevelopmental impairment (NDI) at corrected age of 2 years in preterm
infants. Design: Retrospective cohort study. Setting: Level-III perinatal centre.
Patients and outcome measures: Infants born in 2000-2013 with gestational age <30
weeks. BPD was defined as FiO2 >21% for >=28 days and its severity classified as
mild, FiO2=21%; moderate, FiO2 <30% and severe, FiO2 >=30% and/or positive
pressure support. We applied these criteria at two time points: 36 and 40 weeks'
postmenstrual age (PMA). Multivariable regression models were used to estimate
the association (OR (95% CI)) between BPD characteristics and NDI defined as
cognitive or motor development score <2 SD; severe cerebral palsy; deafness and
blindness. Results: Of 610 (81% of cohort) children assessed at 2 years, 357
(58%) had BPD and 98 (16%) had NDI. Neither FiO2 >21% for >=28 days nor mild or
moderate BPD at either 36 or 40 weeks' PMA was associated with NDI, but severe
BPD was (at 36 weeks' PMA 5.6 (2.0 to 16.0) and at 40 weeks' PMA 16.6 (4.6 to
59.9)). Infants with severe BPD at both 36 and 40 weeks' PMA had lower mental
(mean difference -11.4 (-18.5 to -4.3), -25.7(-35.9 to -15.5), respectively) and
motor (-7.8 (-14.9 to -0.6), -20.1(-30.7 to -9.5), respectively), developmental
scores than infants without BPD. Conclusion: In this cohort, severe BPD was a
better independent predictor of NDI at 2 years than mild or moderate BPD. BPD
diagnosed at 40 weeks' PMA might allow better identification of infants at
highest risk for NDI.
PMID- 29637183
TI - Who perpetrates violence against children? A systematic analysis of age-specific
and sex-specific data.
AB - Objective: The epidemiology of violence against children is likely to differ
substantially by sex and age of the victim and the perpetrator. Thus far,
investment in effective prevention strategies has been hindered by lack of
clarity in the burden of childhood violence across these dimensions. We produced
the first age-specific and sex-specific prevalence estimates by perpetrator type
for physical, sexual and emotional violence against children globally. Design: We
used random effects meta-regression to estimate prevalence. Estimates were
adjusted for relevant quality covariates, variation in definitions of violence
and weighted by region-specific, age-specific and sex-specific population data to
ensure estimates reflect country population structures. Data sources: Secondary
data from 600 population or school-based representative datasets and 43
publications obtained via systematic literature review, representing 13 830
estimates from 171 countries. Eligibility criteria for selecting studies:
Estimates for recent violence against children aged 0-19 were included. Results:
The most common perpetrators of physical and emotional violence for both boys and
girls across a range of ages are household members, with prevalence often
surpassing 50%, followed by student peers. Children reported experiencing more
emotional than physical violence from both household members and students. The
most common perpetrators of sexual violence against girls aged 15-19 years are
intimate partners; however, few data on other perpetrators of sexual violence
against children are systematically collected internationally. Few age-specific
and sex-specific data are available on violence perpetration by schoolteachers;
however, existing data indicate high prevalence of physical violence from
teachers towards students. Data from other authority figures, strangers, siblings
and other adults are limited, as are data on neglect of children. Conclusions:
Without further investment in data generation on violence exposure from multiple
perpetrators for boys and girls of all ages, progress towards Sustainable
Development Goals 4, 5 and 16 may be slow. Despite data gaps, evidence shows
violence from household members, peers in school and for girls, from intimate
partners, should be prioritised for prevention. Trial registration number:
PROSPERO 2015: CRD42015024315.
PMID- 29637184
TI - Incidence of infantile Pompe disease in the Maroon population of French Guiana.
AB - Objectives: The aim of this study was to describe the epidemiology of infantile
Pompe disease (IPD) in French Guiana, a French overseas territory, by combining a
retrospective case records study and a prospective anonymous genotyping in a
sample of mothers followed in the two major maternity units of French Guiana.
Methods: We identified 19 newborns with IPD born within a 13-year-period in
French Guiana, corresponding to 1/4528 births. All children were born within the
African-American Maroon (Bushinengue) community originating from slaves who
settled along the Maroni river in the 19th century. We also performed an
anonymised screening for all women in postpartum, in the two main maternity units
of French Guiana. Results: Genetic investigations revealed that all patients with
IPD were homozygotes or compound heterozygotes for two known pathogenic
variations: c.2560C>T p.(Arg854*) that has already been reported in African
Americans and c.1942G>A p.(Gly648Ser), a rare previously considered to be
variant. We identified no heterozygotes among 453 mothers of various ethnicities
in Cayenne, but 15 heterozygotes among 425 mothers (1/27) in Saint-Laurent-du
Maroni (95% CI 1/45 to 1/17), all from the Maroon community, which corresponds to
an expected IPD incidence in Maroons of 1/1727 (95% CI 1/1156 to 1/8100).
Conclusion: The incidence of IPD in the Maroon community is roughly 50 times
higher than elsewhere in the world. The presence of only two different variants
in all affected patients is compatible with a double founder effect in a
relatively small population that has seldom mixed with other regional populations
in the past and therefore has a reduced pool of genotypes.
PMID- 29637185
TI - Thrombospondin-2 predicts response to treatment with intravenous immunoglobulin
in children with Kawasaki disease.
AB - Objective: To investigate the predictive value of thrombospondin-2 (TSP-2) in
assessing the response to intravenous immunoglobulin (IVIG) in children with
acute Kawasaki disease (KD). Methods: This was a cohort study with controls. 71
children with KD were recruited as the case group, including IVIG non-responder
(n=17) and IVIG responder (n=54), and healthy children (n=27) and febrile
children (n=30) were used as control groups. ELISA was used to measure plasma TSP
2 and TSP-1 levels. The rank-sum test was used to compare groups of non-normally
distributed data. Predictive value was evaluated through the receiver operating
characteristic (ROC) curve. Results: Compared with the control groups, the plasma
TSP-2 levels in acute KD were significantly elevated (TSP-2: 31.00 (24.02, 39.28)
vs 21.93 (17.00, 24.73) vs 16.23 (14.00, 19.64) ng/mL, P<0.001). The plasma TSP-2
level in the IVIG non-responder was significantly higher than the responder group
(37.58 (31.86, 43.98) vs 27.84 (21.88, 33.48) ng/mL, P=0.002). When using an ROC
curve to analyse the predictive effect of TSP-2 on non-responsiveness to IVIG
treatment, the area under the curve was 0.752 (0.630, 0.875) (P=0.002). When the
cut-off value for TSP-2 was 31.50 ng/mL, the sensitivity was 82.35%, the
specificity was 64.81%. Conclusion: The plasma TSP-2 level was elevated in acute
KD and it might be a novel predictor for IVIG resistance, which could help guide
clinicians to choose individualised initial therapeutic regimens.
PMID- 29637186
TI - Content uniformity of quartered hydrocortisone tablets in comparison with mini
tablets for paediatric dosing.
AB - Objectives: Children requiring cortisol replacement therapy are often prescribed
hydrocortisone doses of 2.5 mg, but as this is commercially unavailable 10 mg
tablets, with functional break lines, are split commonly in an attempt to deliver
the correct dose. This study aimed to determine the dose variation obtained from
quartered hydrocortisone tablets when different operators performed the splitting
procedure and to ascertain whether better uniformity could be attained from mini
tablets as an alternative formulation. Methods: Hydrocortisone 10 mg tablets were
quartered by four different operators using a standard pill splitter.
Hydrocortisone 2.5 mg mini-tablets (3 mm diameter) were formulated using a wet
granulation method and manufactured using a high-speed rotary press simulator.
The weight and content uniformity of the quartered tablets and mini-tablets were
assessed according to pharmacopoeial standards. The physical strength and
dissolution profiles of the mini-tablets were also determined. Results: More than
half of all quartered 10 mg tablets were outside of the +/-10% of the stated US
Pharmacopoeia hydrocortisone content (mean 2.34 mg, SD 0.36, coefficient of
variation (CV) 15.18%) and more than 40% of the quartered tablets were outside
the European Pharmacopoeia weight variation. Robust mini-tablets (tensile
strengths of >4 MPa) were produced successfully. The mini-tablets passed the
pharmacopoeial weight and content uniformity requirements (mean 2.54 mg, SD 0.04,
CV 1.72%) and drug release criteria during in vitro dissolution testing.
Conclusion: This study confirmed that quartering 10 mg hydrocortisone tablets
produces unacceptable dose variations and that it is feasible to produce 3 mm
mini-tablets containing more accurate doses for paediatric patients.
PMID- 29637187
TI - Patient safety vulnerabilities for children with intellectual disability in
hospital: a systematic review and narrative synthesis.
AB - Purpose: Adults and children with intellectual disability (ID) are vulnerable to
preventable morbidity and mortality due to poor quality healthcare. While poor
quality care has been commonly identified among children with ID, evidence of the
patient safety outcomes for this group is lacking and therefore explored in this
review. Data sources: Systematic searches of six electronic bibliographic
research databases were undertaken from January 2000 to October 2017, in addition
to hand searching. Study selection: Keywords, subject headings and MeSH terms
relating to the experience of iatrogenic harm during hospitalisation for children
with ID were used. Potentially relevant articles were screened against the
eligibility criteria. Non-English language papers were excluded. Data extraction:
Data regarding: author(s), publication year, country, sample, health service
setting, study design, primary focus and main findings related to measures of
quality and safety performance were extracted. Results of data synthesis: Sixteen
studies met the inclusion criteria, with three themes emerging: the impact of the
assumptions of healthcare workers (HCWs) about the child with ID on care quality
and associated safety outcomes; reliance on parental presence during
hospitalisation as a protective factor; and the need for HCWs to possess
comprehensive understanding of the IDs experienced by children in their care, to
scientifically deduce how hospitalisation may compromise their safety, care
quality and treatment outcomes. Conclusion: When HCWs understand and are
responsive to children's individual needs and their ID, they are better placed to
adjust care delivery processes to improve care quality and safety during
hospitalisation for children with ID.
PMID- 29637188
TI - Direct bilirubin levels observed in prolonged neonatal jaundice: a retrospective
cohort study.
AB - Objective: Prolonged neonatal jaundice is common and usually benign; however,
assessment of bilirubin fractions is recommended to determine the need for
further assessment for congenital liver disease, particularly biliary atresia.
The direct (conjugated) bilirubin thresholds currently used are variable and
poorly evidenced. Hence, we aimed to delineate direct bilirubin levels in disease
free neonates with prolonged jaundice. Methods: We performed a retrospective
cohort analysis of split bilirubin levels, and subsequent follow-up, for all
neonates initially assessed in our prolonged neonatal jaundice clinic over 2
years. We plotted centile charts for total, direct and direct-total bilirubin
ratio levels against age at sampling. The association was assessed using linear
regression analysis. Results: Data were collected for 420 neonates (501 blood
samples) across an age range of 10-70 days. No significant liver disease was
found. For each day of older age, total bilirubin fell by 3.72 umol/L (95% CI
2.46 to 5.00) and direct bilirubin fell by 0.39 umol/L (0.18 to 0.59). The ratio
between the two did not change significantly (-0.0006 to +0.0034). The 95th
centile for direct bilirubin was stable at ~25 umol/L. Direct-total bilirubin
ratio was very variable with some 95th centiles >30%. Conclusions: In a
clinically relevant population of disease-free neonates with prolonged jaundice
both the total and the direct bilirubin decreased with age. The absolute direct
bilirubin is more useful clinically than the direct-total bilirubin ratio. Our
results support National Institute for Health and Care Excellence guidance that
conjugated bilirubin >25 umol/L, or even more stringent criteria, constitutes an
appropriate threshold for further investigation for neonatal liver disease.
PMID- 29637189
TI - Changes in survival and neurodevelopmental outcomes of infants born at <25 weeks'
gestation: a retrospective observational study in tertiary centres in Japan.
AB - Objective: To evaluate changes in the outcomes of infants born at <25 weeks'
gestation in the past decade. Design: Retrospective observational study.
Settings: A multicentre database of the Neonatal Research Network, Japan.
Patients: A total of 3318 infants born at 22-24 weeks' gestation between periods
1 (2003-2007) and 2 (2008-2012) from 52 tertiary centres. Main outcome measures:
We compared death and neurodevelopmental impairments (NDIs) at 3 years of age,
including cerebral palsy (CP), visual impairments (VIs), hearing impairments
(HIs) and the developmental quotient (DQ) of the Kyoto Scale of Psychological
Development test <70, between two periods using multivariate logistic regression
analyses adjusted for the centre, gender, multiple gestation, maternal age,
caesarean delivery, antenatal steroid use, pregnancy-related hypertension,
clinical chorioamnionitis, congenital anomalies and birth weight. Results: A
total of 496/1479 infants (34%) in period 1 and 467/1839 (25%) in period 2 died
by 3 years of age (adjusted OR 0.70, 95% CIs 0.59 to 0.83). Follow-up data were
collected from 631 infants (64% of survivors) in period 1 and 832 (61% of
survivors) in period 2. The proportions of CP with Gross Motor Function
Classification System >=2, VI and HI in the infants evaluated were lower, while
that of DQ <70 was higher in period 2 than in period 1. Using multiple
imputations to account for missing data, death or NDI decreased from 54% in
period 1 to 47% in period 2 (0.83, 0.71 to 0.97). Significant decreases were
observed in death or CP (0.65, 0.55 to 0.76), death or VI (0.59, 0.50 to 0.69)
and death or HI (0.69, 0.58 to 0.81), but not in death or DQ <70 (0.91, 0.78 to
1.06). Conclusion: Along with improved survival, CP, VI and HI, but not cognitive
impairments decreased in infants born at <25 weeks' gestation between the two
periods examined in the last decade. Further strategies are needed to reduce
cognitive impairments in these infants.
PMID- 29637190
TI - Protocol for a double blind, randomised placebo-controlled trial using
ondansetron to reduce vomiting in children receiving intranasal fentanyl and
inhaled nitrous oxide for procedural sedation in the emergency department (the
FON trial).
AB - Introduction: Intranasal fentanyl and nitrous oxide (N2O) can be combined to
create a non-parenteral procedural sedation regimen for children in the
paediatric emergency department. This combination of intranasal fentanyl and N2O
provides effective pain relief for more painful procedures, but is associated
with a higher incidence of vomiting than N2O alone. Our aim is to assess whether
ondansetron used preventatively reduces the incidence of vomiting associated with
intranasal fentanyl and N2O for procedural sedation compared with placebo.
Methods and analysis: This study is a double blind, randomised placebo-controlled
superiority trial. This is a single-centre trial of 442 children aged 3-18 years
presenting to a tertiary care Paediatric Emergency Department at the Royal
Children's Hospital (RCH), Melbourne, Australia, requiring procedural sedation
with intranasal fentanyl and N2O. After written consent, eligible participants
are randomised to receive ondansetron or placebo along with intranasal fentanyl,
30-60 min prior to N2O administration. The primary outcome is vomiting during or
up to 1 hour after procedural sedation. Secondary outcomes include: number of
vomits and retching during procedural sedation, vomiting 1-24 hours after
procedural sedation, procedural sedation duration and associated adverse events,
procedure abandonment, parental satisfaction and the value parents place on the
prevention of vomiting. This trial will allow refinement of a non-parenteral
sedation regimen for children requiring painful procedures. Ethics and
dissemination: This study has ethics approval at the RCH, Melbourne, protocol
number 36174. The results from this trial will be submitted to conferences and
published in a peer-reviewed journal. Trial registration number: Australian New
Zealand Clinical Trials Registry (ACTRN12616001213437).
PMID- 29637191
TI - Neonatal outcomes of live-born term singletons in vertex presentation born to
mothers with diabetes during pregnancy by mode of birth: a New South Wales
population-based retrospective cohort study.
AB - Objectives: To investigate the association between the mode of birth and adverse
neonatal outcomes of macrosomic (birth weight >=4000 g) and non-macrosomic (birth
weight <4000 g) live-born term singletons in vertex presentation (TSV) born to
mothers with diabetes (pre-existing and gestational diabetes mellitus (GDM)).
Design: A population-based retrospective cohort study. Setting: New South Wales,
Australia. Patients: All live-born TSV born to mothers with diabetes from 2002 to
2012. Intervention: Comparison of neonatal outcomes by mode of birth (prelabour
caesarean section (CS) and planned vaginal birth resulted in intrapartum CS, non
instrumental or instrumental vaginal birth). Main outcome measures: Five-minute
Apgar score <7, admission to neonatal intensive care unit (NICU) or special care
nursery (SCN) and the need for resuscitation. Results: Among the 48 882 TSV born
to mothers with diabetes, prelabour CS was associated with a significant increase
in the rate of admission to NICU/SCN compared with planned vaginal birth.For TSV
born to mothers with pre-existing diabetes, compared with non-instrumental
vaginal birth, instrumental vaginal birth was associated with increased odds of
the need for resuscitation in macrosomic (adjusted ORs (AOR) 2.6; 95% CI (1.2 to
7.5)) and non-macrosomic TSV (AOR 3.3; 95% CI (2.2 to 5.0)).For TSV born to
mothers with GDM, intrapartum CS was associated with increased odds of the need
for resuscitation compared with non-instrumental vaginal birth in non-macrosomic
TSV (AOR 2.3; 95% CI (2.1 to 2.7)). Instrumental vaginal birth was associated
with increased likelihood of requiring resuscitation compared with non
instrumental vaginal birth for both macrosomic (AOR 2.3; 95% CI (1.7 to 3.1)) and
non-macrosomic (AOR 2.5; 95% CI (2.2 to 2.9)) TSV. Conclusion: Pregnant women
with diabetes, particularly those with suspected fetal macrosomia, need to be
aware of the increased likelihood of adverse neonatal outcomes following
instrumental vaginal birth and intrapartum CS when planning mode of birth.
PMID- 29637192
TI - Societal costs of permanent childhood hearing loss at teen age: a cross-sectional
cohort follow-up study of universal newborn hearing screening.
AB - Objective: To investigate the effects in adolescence of bilateral permanent
childhood hearing loss (PCHL) > 40 dB and of exposure to universal newborn
hearing screening (UNHS) on societal costs accrued over the preceding 12 months.
Design setting participants: An observational cohort study of a sample of 110
adolescents aged 13-20 years, 73 with PCHL and 37 in a normally hearing
comparison group (HCG) closely similar in respect of place and date of birth to
those with PCHL, drawn from a 1992-1997 cohort of 157 000 births in Southern
England, half of whom had been exposed to a UNHS programme. Intervention: Birth
in periods with and without UNHS. Outcome measures: Resource use and costs in the
preceding 12-month period, estimated from interview at a mean age of 16.9 years
and review of medical records. Effects on costs were examined in regression
models. Results: Mean total costs for participants with PCHL and the HCG were L15
914 and L5883, respectively (difference L10 031, 95% CI L6460 to L13 603),
primarily driven by a difference in educational costs. Compared with the HCG,
additional mean costs associated with PCHL of moderate, severe and profound
severity were L5916, L6605 and L18 437, respectively. The presence of PCHL and an
additional medical condition (AMC) increased costs by L15 385 (95% CI L8532 to
L22 238). An increase of one unit in receptive language z-score was associated
with L1616 (95% CI L842 to L2389) lower costs. Birth during periods of UNHS was
not associated with significantly lower overall costs (difference L3594, 95% CI
L2918 to L10 106). Conclusions: The societal cost of PCHL was greater with more
severe losses and in the presence of AMC and was lower in children with superior
language scores. There was no statistically significant reduction in costs
associated with birth in periods with UNHS. Trial registration number:
ISRCTN03307358, pre-results.
PMID- 29637193
TI - Effect of the Fukushima earthquake on weight in early childhood: a retrospective
analysis.
AB - Objective: There have been no reports evaluating the physical growth in early
childhood in Fukushima Prefecture after the Great East Japan Earthquake. We
retrospectively investigated the health examination data in early childhood (aged
0-3 years). Methods: We divided the affected children into respective groups
according to the interval from the disaster to the time of health examination and
age as follows: group I, birth to 3-4 months in boys (1.81 (range, 0-6 months))
and girls (1.79 (range, 0-7 months)); group II, 3-4 months to 6-10 months in boys
(6.37 (range, 3-9 months)) and girls (6.35 (range, 3-9 months)); group III, 6-10
months and 18 months in boys (16.2 (range, 5-22 months)) and girls (16.9 (range,
5-22 months)); and group IV, 18 months to 36-42 months in boys (21.0 (range, 18
24 months)) and girls (21.0 (range, 18-24 months)). Using height and body mass
index, the health status of each group was compared with that of unaffected
controls (ie, children who experienced the disaster after their health
examination at 36-42 months). Results: The change in body mass index between the
health examinations at 18 months and 36-42 months was significantly increased in
group I (95% CI: all boys, 0.192 to 0.276 vs -0.006 to 0.062, P<0.001 and all
girls, 0.108 to 0.184 vs -0.109 to -0.035, P<0.001) and group II (95% CI: all
boys, 0.071 to 0.141 vs -0.006 to 0.062, P=0.002 and all girls, -0.042 to 0.024
vs -0.109 to -0.035, P=0.013). Conclusions: Children who were affected by the
disaster in Fukushima Prefecture in early childhood were overweight. The use of
pre-existing information, such as health examination data, was beneficial for
investigating the physical growth of affected children.
PMID- 29637194
TI - Early childhood risk factors for constipation and soiling at school age: an
observational cohort study.
AB - Objective: Constipation and soiling are common in childhood. This study examines
the comorbidity between childhood constipation and soiling and early childhood
risk factors for these problems. Design: The sample comprised 8435 participants
from the Avon Longitudinal Study of Parents and Children with maternally reported
measures of constipation (six time points between 4 and 10 years) and soiling
(five time points between 4 and 9 years). We used latent class analysis to
extract longitudinal patterns of constipation and soiling. We examined whether
the latent classes are differentially associated with maternally reported risk
factors in early childhood (stool consistency, breast feeding, socioeconomic
background, gestation, birth weight, developmental level and age at initiation of
toilet training) using multinomial logistic regression models. Results: We
extracted four latent classes: 'normative' (74.5%: very low probability of
constipation or soiling), 'constipation alone' (13.2%), 'soiling alone' (7.5%)
and 'constipation with soiling' (4.8%). Hard stools at 21/2 years were associated
with increased odds of constipation alone. Developmental delay at 18 months was
associated soiling alone and constipation with soiling, but not constipation
alone. We found limited evidence of associations with socioeconomic background
and no evidence of associations with age at initiation of toilet training, breast
feeding, gestational age or birth weight. Conclusion: Constipation alone was the
most prevalent pattern in this cohort. Treatment for hard stools in early
childhood is needed to prevent chronic constipation at school age. Constipation
with soiling was less common than soiling alone. Further research is needed into
the causes of non-retentive soiling.
PMID- 29637195
TI - Systemic exertion intolerance disease diagnostic criteria applied on an
adolescent chronic fatigue syndrome cohort: evaluation of subgroup differences
and prognostic utility.
AB - Objective: Existing case definitions for chronic fatigue syndrome (CFS) all have
disputed validity. The present study investigates differences between adolescent
patients with CFS who satisfy the systemic exertion intolerance disease (SEID)
diagnostic criteria (SEID-positive) and those who do not satisfy the criteria
(SEID-negative). Methods: 120 adolescent patients with CFS with a mean age of
15.4 years (range 12-18 years) included in the NorCAPITAL project (ClinicalTrials
ID: NCT01040429) were post-hoc subgrouped according to the SEID criteria based on
a comprehensive questionnaire. The two subgroups were compared across baseline
characteristics, as well as a wide range of cardiovascular, inflammatory,
infectious, neuroendocrine and cognitive variables. Data from 30-week follow-up
were used to investigate prognostic differences between SEID-positive and SEID
negative patients. Results: A total of 45 patients with CFS were SEID-positive,
69 were SEID-negative and 6 could not be classified. Despite the fact that
clinically depressed patients were excluded in the NorCAPITAL project, the SEID
positive group had significantly higher score on symptoms suggesting a mood
disorder (Mood and Feelings Questionnaire): 23.2 vs 13.4, difference 9.19 (95% CI
5.78 to 12.6). No other baseline characteristics showed any group differences.
When accounting for multiple comparisons, there were no statistically significant
differences between the groups regarding cardiovascular, inflammatory,
infectious, neuroendocrine and cognitive variables. Steps per day and Chalder
Fatigue Questionnaire at week 30 showed no differences between the groups.
Conclusion: The findings question the discriminant and prognostic validity of the
SEID diagnostic criteria in adolescent CFS, and suggest that the criteria tend to
select patients with depressive symptoms.
PMID- 29637196
TI - Interventions for reducing unplanned paediatric admissions: an observational
study in one hospital.
AB - Objective: Evidence on how best to intervene to improve paediatric acute care and
therefore reduce unplanned hospital admissions is weak. We describe service
evaluation work at one hospital to assess interventions at critical clinical and
service decision points. Design: We conducted an observational study using
routine daily-collected data (April 2009-December 2015) from a medium-sized
district general hospital in south-west UK, using before-and-after comparisons of
admissions-related data to evaluate two interventions implemented in April and
November 2014, respectively: (1) an advice and guidance (A&G) phone line, where a
senior paediatrician is available for general practitioners (GPs) and emergency
department (ED) and (2) a Short Stay Paediatric Assessment Unit (SSPAU). We
analysed data on all admitted children (<18 years) in the catchment area
(population estimate 27 740 in 2015). Outcomes were GP-referred attendances, ward
admissions, less than 1 day admissions and length of stay. Results: A&G phone
line was associated with a reduction in the mean number of less than 1 day
admissions per month (difference in means before and after intervention -16.6
(95% CI -0.2 to -32.9)) and an increase in overall monthly bed-days (difference
72.5 (95% CI 21.0 to 124.0)), but there was little evidence of a change in GP
referred attendances or ward admissions. SSPAU was associated with a reduction in
the mean number of monthly ward admissions (difference -34.6 (95% CI -21.3 to
48.0)) and less than 1 day admissions (difference in means -21.7 (95% CI -8.4 to
35.1)) and a reduction in the mean number of overall bed-days per month
(difference -50.2 (95% CI -12.1 to -88.3)). Conclusions: Interventions for
reducing time taken to senior clinician review may be effective in better
managing paediatric acute care. Further work should explore results by age,
condition and injury/illness status.
PMID- 29637197
TI - Ultrasound-guided placement of long peripheral cannulas in children over the age
of 10 years admitted to the emergency department: a pilot study.
AB - Objectives: Most children admitted to the emergency department (ED) require
peripheral venous access (PVA), which is often difficult to perform or is
unsuccessful. Ultrasound guidance helps with the placement of peripheral short
cannulas (SC), but it has a limited cannula duration and a high risk of
developing complications. The aim of this study was to compare success rates,
dwell times and complications of peripheral venous long cannulas (LCs) inserted
under ultrasound guidance with those of SCs in children. Methods: We
prospectively studied all children older than 10 years of age admitted to our
paediatric ED requiring PVA for an expected therapy of more than 5 days. In
children with difficult intravenous access (DIVA), after two unsuccessful
attempts of 'blind' placement of SCs, LCs (20 G, 8 cm) were inserted in the deep
veins of arms using ultrasound guidance and the direct Seldinger technique.
Results: LC placement (n=20) was successful in 100% of the cases. LC dwell time
was 9.2+/-6.0 days, and most catheters were electively removed because they were
no longer indicated. SC (n=20) placement showed a shorter dwell time duration,
3.2+/-2.1 days (p<0.0001), with complications occurring in 70% of the cases
compared with 25% of cases in patients with LC (p=0.002). No local or major
infectious complications were reported with LC placement. Conclusions: Ultrasound
guided placement of LC was associated with a low risk of catheter failure and
complications compared with the 'blind' placement of SC. LC placement may be
considered a valid option in patients with DIVA requiring PVA in paediatric ED or
in children who are candidates for infusion therapy expected to last longer than
5 days.
PMID- 29637199
TI - BiOnIC: A Catalog of User Interactions with Biomedical Ontologies.
AB - BiOnIC is a catalog of aggregated statistics of user clicks, queries, and reuse
counts for access to over 200 biomedical ontologies. BiOnIC also provides
anonymized sequences of classes accessed by users over a period of four years. To
generate the statistics, we processed the access logs of BioPortal, a large open
biomedical ontology repository. We publish the BiOnIC data using DCAT and SKOS
metadata standards. The BiOnIC catalog has a wide range of applicability, which
we demonstrate through its use in three different types of applications. To our
knowledge, this type of interaction data stemming from a real-world, large-scale
application has not been published before. We expect that the catalog will become
an important resource for researchers and developers in the Semantic Web
community by providing novel insights into how ontologies are explored, queried
and reused. The BiOnIC catalog may ultimately assist in the more informed
development of intelligent user interfaces for semantic resources through
interface customization, prediction of user browsing and querying behavior, and
ontology summarization. The BiOnIC catalog is available at: http://onto
apps.stanford.edu/bionic.
PMID- 29637200
TI - Interrater agreement and reliability assessment of proximal caries detection
tools in mixed dentition: An in-vivo study.
AB - OBJECTIVE: This in-vivo study was performed to assess the interrater agreement
and reliability of ICDAS (visual), transillumination, radiographic, and laser
fluorescence proximal caries detection tools in between primary and adjacently
erupted permanent molars. METHOD AND MATERIALS: This study was in accordance with
Guidelines for Reporting Reliability and Agreement Studies. Two calibrated
examiners assessed the nonobvious noncavitated apparently sound 100 interproximal
sites using predefined criteria. Interrater agreement was analyzed as proportion
of agreement. Interrater reliability assessment was performed using weighted
kappa statistics and intraclass correlation coefficient. RESULTS: The maximum
interrater agreement was projected with conventional bitewing radiography (97%),
and the minimum with DIAGNOdent pen (84%), with significant difference (P < .001)
in the proportion of agreement. All methods showed substantial interrater
reliability, except fiber-optic transillumination. Maximum interrater reliability
was noticed for ICDAS (International Caries Detection and Assessment System)
method with a weighted kappa value of 0.80 (96% CI, 0.58 - 0.93) followed by
conventional and digital bitewing radiography, with values of 0.74 (95% CI, 0.51
0.96) and 0.73 (95% CI, 0.43 - 0.92) respectively. CONCLUSION: ICDAS and
bitewing radiography seem to be the most reliable methods, with a higher
proportion of agreement between the examiners compared to the other methods
addressed in this study for proximal caries detection.
PMID- 29637198
TI - Hypothermia for encephalopathy in low-income and middle-income countries:
feasibility of whole-body cooling using a low-cost servo-controlled device.
AB - : Although therapeutic hypothermia (TH) is the standard of care for hypoxic
ischaemic encephalopathy in high-income countries, the safety and efficacy of
this therapy in low-income and middle-income countries (LMICs) is unknown. We
aimed to describe the feasibility of TH using a low-cost servo-controlled cooling
device and the short-term outcomes of the cooled babies in LMIC. Design: We
recruited babies with moderate or severe hypoxic ischaemic encephalopathy (aged
<6 hours) admitted to public sector tertiary neonatal units in India over a 28
month period. We administered whole-body cooling (set core temperature 33.5
degrees C) using a servo-controlled device for 72 hours, followed by passive
rewarming. We collected the data on short-term neonatal outcomes prior to
hospital discharge. Results: Eighty-two babies were included-61 (74%) had
moderate and 21 (26%) had severe encephalopathy. Mean (SD) hypothermia cooling
induction time was 1.7 hour (1.5) and the effective cooling time 95% (0.08). The
mean (SD) hypothermia induction time was 1.7 hour (1.5 hour), core temperature
during cooling was 33.4 degrees C (0.2), rewarming rate was 0.34 degrees C (0.16
degrees C) per hour and the effective cooling time was 95% (8%). Twenty-five
(51%) babies had gastric bleeds, 6 (12%) had pulmonary bleeds and 21 (27%) had
meconium on delivery. Fifteen (18%) babies died before discharge from hospital.
Heart rate more than 120 bpm during cooling (P=0.01) and gastric bleeds (P<0.001)
were associated with neonatal mortality. Conclusions: The low-cost servo
controlled cooling device maintained the core temperature well within the target
range. Adequately powered clinical trials are required to establish the safety
and efficacy of TH in LMICs. Clinical trial registration number: NCT01760629.
PMID- 29637201
TI - Cage-like Ta@B complexes (n = 23-28, q = -1-+ 3) in 18-electron configurations
with the highest coordination number of twenty-eight.
AB - Inspired by recent observations of the highest coordination numbers of CN = 10 in
planar wheel-type complexes in D10h Ta@B10- and CN = 20 in double-ring tubular
species in D10d Ta@B20- and theoretical prediction of the smallest endohedral
metalloborospherene D2 Ta@B22- (1) with CN = 22, we present herein the
possibility of larger endohedral metalloborospherenes C2 Ta@B23 (2), C2 Ta@B24+
(3), C2v Ta@B24- (4), C1 Ta@B25 (5), D2d Ta@B26+ (6), C2 Ta@B272+ (7), and C2
Ta@B283+ (8) based on extensive first-principles theory investigations. These
cage-like Ta@Bqn complexes with B6 pentagonal or B7 hexagonal pyramids on their
surface turn out to be the global minima of the systems with CN = 23, 24, 24, 25,
26, 27, and 28, respectively, unveiling the highest coordination number of CN =
28 in spherical environments known in chemistry. Detailed bonding analyses show
that 1-8 as superatoms conform to the 18-electron configuration with a universal
sigma + pi double delocalization bonding pattern. They are effectively stabilized
via spd-pi coordination interactions between the Ta center and etan-Bn ligand
which match both geometrically and electronically. Such complexes may serve as
embryos of novel metal-boride nanomaterials.
PMID- 29637202
TI - Controllable CO2-responsiveness of O/W emulsions by varying the alkane carbon
number of a tertiary amine.
AB - A series of CO2-responsive oil-in-water (O/W) emulsions were prepared by
introducing hydrophobic tertiary amines (TAs) with varying alkane carbon numbers
(ACNs) into the emulsion stabilized by sodium dodecyl benzene sulfonate (SDBS).
TAs are converted to bicarbonate salts upon bubbling of CO2, which can form ion
pairs with SDBS via electrostatic interaction, and then disrupt the stability of
the emulsion. The reversible switch can be triggered by the removal of CO2. The
ACN of TA, the concentration of SDBS/TA, the bubbling time of CO2, and the number
of cycles are taken into account in order to study the controllable mechanism of
these CO2-responsive emulsions. Because of the improved miscibility with oil, the
ion pairs with TAs of larger ACNs can much more easily adhere to the oil phase,
and then speed up the rupture rate of the oil droplets. The corresponding
demulsification process is tracked by studying the interfacial tension, the zeta
potential of the droplets, and microscope snapshots of all the systems. The UV
vis spectrophotometer analysis of the water phase and the 1H-nuclear magnetic
resonance (1H NMR) test are further designed to comprehend the significance of
ACNs and the solubility product of the formed ion pairs.
PMID- 29637203
TI - RbSe3B2O9(OH) and CsSe3B2O9(OH): one dimensional boroselenite-based anionic
frameworks with second harmonic generation properties.
AB - Two new alkali boroselenites RbSe3B2O9(OH) and CsSe3B2O9(OH) have been
synthesized by traditional solid-state reactions. Single-crystal X-ray
diffraction study indicated that they are isostructural and adopt a new type of
structure, which crystallizes in the noncentrosymmetric space group P212121.
Optical diffuse reflectance spectrum studies emphasized that both are indirect
optical transitions with values of 3.79 and 4.17 eV for RbSe3B2O9(OH) and
CsSe3B2O9(OH), respectively. Optical analysis revealed a broad transparency
window in the 0.3-8.5 MUm region for both compounds. In addition, RbSe3B2O9(OH)
featured a relatively weak second-harmonic-generation response, and for
CsSe3B2O9(OH), the response is 0.8-times that of KH2PO4. Theoretical calculations
of band structure, density of state, and linear and nonlinear optical properties
were also performed to get insight into the relationships between electronic
structures and their optical properties.
PMID- 29637204
TI - Sulfur-functionalized three-dimensional graphene monoliths as high-performance
anodes for ultrafast sodium-ion storage.
AB - Sulfur-functionalized graphene monoliths with a high sulfur fraction (16.8 wt%)
were prepared to demonstrate a high capacity (~400 mA h g-1 at 0.1 A g-1) and
ultrafast (~120 mA h g-1 at 5 A g-1) sodium ion storage. The reversible reaction
of -C-Sx-C- with sodium ions contributes to the extra capacity while a 3D
graphene network guarantees high rate capability.
PMID- 29637205
TI - Sulfonate, sulfide and thiolate ligands into an ultrasmall nanocluster:
[Ag40.13Cu13.87S19(tBuS)20(tBuSO3)12].
AB - A novel Ag-Cu bimetallic nanocluster, Ag40.13Cu13.87S19(tBuS)20(tBuSO3)12, has
been synthesized by precise control. The crystal structure reveals that the alloy
cluster consists of a Cu10Ag2S7 core, a M42(tBuS)20(tBuSO3)12 shell and another
12 bare S atoms. The sulfonate ligand is observed in NCs for the first time via
in situ oxidation of thiolate.
PMID- 29637206
TI - N-Heterocyclic carbene-based ruthenium-hydride catalysts for the synthesis of
unsymmetrically functionalized double-decker silsesquioxanes.
AB - Ruthenium-N-heterocyclic carbene complexes with the generic formula
[RuHCl(CO)(NHC)(PCy3)] exhibit a high catalytic activity toward the (E)-selective
silylative coupling of divinyl-substituted double-decker silsesquioxanes with two
distinctly substituted styrenes. This process leads to a novel class of
unsymmetrically functionalized silsesquioxane derivatives.
PMID- 29637207
TI - Making good on a promise: ionic liquids with genuinely high degrees of thermal
stability.
AB - Thermally robust materials have been of interest since the middle of the past
century for use as high temperature structural materials, lubricants, heat
transfer fluids and other uses where thermal stability is necessary or desirable.
More recently, ionic liquids have been described as 'thermally robust,' with this
moniker often originating from their low volatility rather than their innate
stability. As many ionic liquids have vanishingly low vapor pressures, the upper
limit of their liquid state is commonly considered to be their degradation
temperature, frequently reported from TGA measurements. The short duration ramps
often used in TGA experiments can significantly overestimate the temperature at
which significant degradation begins to occur when the compounds are held
isothermal for even a few hours. Here, we review our recent work, and that of
colleagues, in developing thermally robust ionic compounds, primarily
perarylphosphonium and perarylsulfonium bistriflimide salts, in some of which
cation stability exceeds that of the anion. We have used a combination of
molecular design, synthesis, and computational modeling to understand the complex
tradeoffs involving thermal stability, low melting point and other desirable
physicochemical properties.
PMID- 29637208
TI - Tuning the spectral response of ultraviolet organic-inorganic hybrid
photodetectors via charge trapping and charge collection narrowing.
AB - Organic-inorganic hybrid ultraviolet photodetectors with tunable spectral
response are desirable for many different applications. In this work, we blended
poly[bis(4-phenyl)(2,4,6-trimethylphenyl)amine] (PTAA) with ZnO nanoparticles in
weight ratios of 1 : 1 and 2 : 1 to create charge traps within the active layers
for devices with the conventional structure ITO/PEDOT : PSS/PTAA : ZnO/BCP/Al.
Thin (150-200 nm) and thick (1400-1900 nm) active layers were employed to utilize
charge collection narrowing (CCN). Both thickness and composition of the active
layer impacted the spectral tunability of the photoresponse. A single narrow
response peak centered at 420 nm (the PTAA absorption edge) with a full width at
half maximum of 12 nm was achieved from the device with a 1900 nm active layer
and PTAA : ZnO weight ratio of 1 : 1. Decreasing the active layer thickness to
150 nm resulted in a broad spectral response between 320-420 nm with an external
quantum efficiency (EQE) value of 295% under 350 nm illumination and a -1 V bias,
exhibiting photomultiplication via charge trapping and injection even at small
reverse biases. Increasing the weight ratio of PTAA : ZnO to 2 : 1 lowered both
the dark current and photocurrent, eliminated photomultiplication in the thin
device, and diminished the efficacy of CCN to narrow the spectral photoresponse
in the thick device. Transfer matrix method (TMM) and 3-dimensional finite
difference time-domain (3D-FDTD) simulations were performed to understand the
impact of thickness and composition of the active layer on the spectral response
of UV photodetectors in terms of exciton generation rate and electric field
distribution within the devices.
PMID- 29637209
TI - An MTH1-targeted nanosystem for enhanced PDT via improving cellular sensitivity
to reactive oxygen species.
AB - Herein, we developed a strategy to attack the cancer cell defense system against
reactive oxygen species to improve photodynamic therapy efficacy with a
Ce6@MSN@MTH1 siRNA nanosystem, which was demonstrated to improve cellular
sensitivity to reactive oxygen species through suppressing MTH1 protein in cancer
cells.
PMID- 29637210
TI - Stable metal-organic frameworks as a host platform for catalysis and biomimetics.
AB - Recent years have witnessed the exploration and synthesis of an increasing number
of metal-organic frameworks (MOFs). The utilization of stable MOFs as a platform
for catalysis and biomimetics is discussed. This Feature Article will provide
insights into the rational design and synthesis of three types of stable MOF
catalysts on the basis of structural features of MOFs, that is, (i) MOF catalysts
with catalytic sites on metal nodes, (ii) MOF catalysts with catalytic sites
immobilized in organic struts, and (iii) MOF catalysts with catalytic centres
encapsulated in the pores. Then, MOFs used in biomimetics including biomimetic
mineralization, biosensors and biomimetic replication are introduced. Finally, a
discussion on the challenges that must be addressed for successful implementation
of MOFs in catalysis and biomimetics is presented.
PMID- 29637211
TI - Nonlocal rheology of dense granular flow in annular shear experiments.
AB - The flow of dense granular materials at low inertial numbers cannot be fully
characterized by local rheological models; several nonlocal rheologies have
recently been developed to address these shortcomings. To test the efficacy of
these models across different packing fractions and shear rates, we perform
experiments in a quasi-2D annular shear cell with a fixed outer wall and a
rotating inner wall, using photoelastic particles. The apparatus is designed to
measure both the stress ratio MU (the ratio of shear to normal stress) and the
inertial number I through the use of a torque sensor, laser-cut leaf springs, and
particle-tracking. We obtain MU(I) curves for several different packing fractions
and rotation rates, and successfully find that a single set of model parameters
is able to capture the full range of data collected once we account for
frictional drag with the bottom plate. Our measurements confirm the prediction
that there is a growing lengthscale at a finite value MUs, associated with a
frictional yield criterion. Finally, we newly identify the physical mechanism
behind this transition at MUs by observing that it corresponds to a drop in the
susceptibility to force chain fluctuations.
PMID- 29637212
TI - Antibacterial mechanism of silver nanoparticles in Pseudomonas aeruginosa:
proteomics approach.
AB - Silver nanoparticles (AgNPs) are the nanomaterials most widely used as
antimicrobial agents in a range of consumer products, due to the environmental
release of either the AgNPs themselves or silver ions. Although AgNPs appear to
be more potent than silver ions, the mechanism behind the activity is not fully
elucidated yet. The most common mechanism of toxicity of AgNPs proposed to date
is the release of silver ions and/or the particle-specific functions. In this
study, Pseudomonas aeruginosa (a model for Gram-negative bacteria) was treated
with AgNPs, and its proteomic response was comprehensively characterized to
elucidate the antimicrobial mechanism of AgNPs in the microorganism. In total, 59
silver-regulated proteins (27 up-regulated and 32 down-regulated proteins) and 5
silver-binding proteins were identified. Bioinformatic analysis revealed that
interference with the cell-membrane function and generation of intracellular
reactive oxygen species (ROS) were the main pathways for the antibacterial
effect. The pattern of membrane proteins regulated by AgNPs was similar to that
found for silver ions. In addition, the same silver-binding proteins were
obtained with both AgNPs and silver ions, which indicated that AgNPs probably
affect the cell membrane and react with proteins by releasing silver ions. The
elevation of intracellular ROS relative to that with silver ions confirmed
oxidative damage caused by AgNPs, which may be ascribed to the nano
characteristics and higher uptake efficiency of the particles. These results
demonstrate that the antimicrobial activity of AgNPs is due to the synergistic
action of release of dissolved silver ions and particle-specific effects. The
proteomic analysis of silver-binding and silver-regulated proteins in the present
study provides insight into the mechanism of antimicrobial activity of such
nanomaterials.
PMID- 29637213
TI - Translating MOF chemistry into supramolecular chemistry: soluble coordination
nanofibers showing efficient photon upconversion.
AB - A method for synthesizing coordination nanofibers by extracting the structural
motifs of metal-organic frameworks (MOFs) is demonstrated. In these soluble
nanofibers, multiple chromophores with largely different sizes and shapes can be
arranged at desired compositions, and excited triplet energy migrates among the
densely assembled chromophore arrays, showing an efficient photon upconversion
even at very low concentration.
PMID- 29637214
TI - Influence of geometric design characteristics on primary stability of orthodontic
miniscrews.
AB - OBJECTIVE: Aim of the present study was to investigate the influence of geometric
design characteristics on primary stability of orthodontic miniscrews. MATERIALS
AND METHODS: Forty self-drilling miniscrews with different geometric design
characteristics were divided into the following groups (n = 10): group I-Tomas(r)
(Dentaurum, Germany), group II-AbsoAnchor(r) (Dentos, Korea), group III-HUBIT(r)
miniscrew (HUBIT, Korea), group IV-Creative(r) (China). The four types were
conical miniscrews with 1.6 mm diameter and 6.0 mm length. The miniscrews were
manually inserted perpendicular to cow ribs until the full thread length was
reached with the help of a 1.3 mm predrilled pilot hole. Each miniscrew was
evaluated using scanning electron microscope. Linear and angular measurements
were taken using Photoshop CS3 software. Miniscrew stability was measured by the
Periotest(r) and pullout test. RESULTS: All linear and angular measurements of
the geometric characteristics showed significant differences between the four
groups (p <= 0.001). Results of the pullout test showed significant differences
between the four groups (p <= 0.001), while the Periotest(r) values showed no
significant differences (p = 0.122). A multiple linear regression analysis
revealed the significant predictors for higher pullout: a larger flank, a higher
value for the thread angle, lead angle, and apical face angle (p <= 0.001).
CONCLUSIONS: Orthodontic miniscrews' geometric design characteristics
significantly affected the primary stability. Larger pitch width, flank, thread
angle, apical face angle, and/or lead angle led to higher primary stability.
Smaller a thread shape factor (TSF) also improved primary stability. Varying
these characteristics may enhance miniscrew design.
PMID- 29637215
TI - [Cost-effectiveness analysis of blue light cystoscopy with hexylaminolevulinate
in transurethral resection of the bladder].
AB - BACKGROUND: Photodynamic diagnosis using the optical imaging agent
hexaminolevulinate (HAL, Hexvix(r), Ipsen Pharma GmbH, Ettlingen, Germany) as an
adjunct to white light cystoscopy (WLC) during the initial transurethral
resection of bladder tumours (TURB) improves the detection rate of bladder cancer
and leads to fewer recurrences. OBJECTIVES: A cost-effectiveness analysis was
carried out in order to calculate the consequences for the German healthcare
system. METHODS: We combined a short-term decision tree and a Markov model to
evaluate outcomes over a long period of time. The alternatives investigated were
HAL-assisted blue light cystoscopy (BLC) as adjunct to WLC (HAL + BLC/WLC)
compared with WLC alone in patients undergoing TURB. RESULTS: HAL + BLC/WLC
compared to WLC alone was associated with 0.07 incremental quality-adjusted life
years (QALYs) and cost savings of 537 ? per patient. CONCLUSION: HAL + BLC/WLC
compared with WLC alone resulted in both cost savings and improved patient
outcome rendering it the "dominant" strategy.
PMID- 29637216
TI - [Advanced bladder cancer : From chemo- to immunotherapy].
AB - In November 2016, the results of a phase III clinical trial with the protein cell
death (PD)-1 inhibitor pembrolizumab for second-line treatment of metastatic
urothelial carcinoma were published and showed an overall survival benefit in
comparison with conventional chemotherapy with vinflunine, docetaxel, or
paclitaxel. In a similar trial the PD-L1 antibody atezolizumab showed no
significant benefit in comparison to chemotherapy in the subgroup of PD-L1
positive patients and, thus, missed its primary endpoint. For other PD-1/PD-L1
directed substances, large phase I/II trials reported data concerning response
rates and overall survival. This substance class will most likely become the new
treatment standard in second-line treatment of metastatic urothelial cancer.
Currently, PD-1/PD-L1 inhibitors are also being tested within randomized phase
III trials for first-line treatment using different approaches either as a
monotherapy or a combination with conventional chemotherapy or cytotoxic T
lymphocyte-associated protein (CTLA)-4 inhibitors. Whereas data from single-arm
phase II clinical trials have already been published, preliminary phase III data
are expected in 2018.
PMID- 29637217
TI - ["Stay and play" in football : Art of keeping players fit to play].
AB - Many publications about the treatment and return to play after severe football
injuries are available from the scientific literature, particularly about
injuries requiring surgery. In contrast, less severe football injuries, such as
muscle strains, ankle sprains and contusions, are less well addressed in the
literature although these represent the most frequent type of injury.
Additionally, such reports often have a low level of evidence and guidelines on
treatment and the return to play process are very rare. Thus, the time away from
football and the timing of return to play after minor injuries depends on the
experience and skills of the responsible medical team. To achieve the aim of stay
and play on the field, the medical team should be highly knowledgeable in
interdisciplinary football medicine, prevention strategies, first aid on the
field, clinical and manual diagnostics, tissue regeneration, symptomatic and
conservative treatment as well as in return to play decision-making. No consensus
exists on stay and play procedures neither on the practical level nor on the
scientific level regarding injury definition, the diagnostic and treatment
options and stay and play criteria. Therefore, this article presents the first
steps for assessing stay and play strategies after minor injuries to standardize
and improve practical routine, education and scientific research.
PMID- 29637218
TI - [The care of relatives and ICU teams during a crisis].
AB - Families find themselves in an exceptional situation after the sudden death of
someone close. Anxiety, aggression, rage, incomprehension, and distraction are
only a few feelings of the concerned people which intensive care staff must take
care of. Crisis intervention, developed in the middle of the last century, offers
a framework with its concepts for the healthcare staff of how to work with the
bereaved people during the first few hours. The BASIS model is a sort of
counseling technique that guides nurses and physicians: bonding and urging the
acceptance of the facts, providing structure and information, and securing backup
support networks. Professionals who offer help need a high level of empathy and
compassion for their work. But it is essential to offer help only in situations
where advice is possible. Otherwise, physicians and nurses are at high risk to
develop compassion fatigue. The right training, advanced education, and
supervision are necessary, so that healthcare professionals can support people in
crisis.
PMID- 29637219
TI - [Community-acquired pneumonia].
AB - Community-acquired pneumonia (CAP) is a frequent and potentially fatal disorder.
Due to the notably high mortality within the first days, the immediate initiation
of rational diagnostic pathways and treatment is of tremendous prognostic impact.
In this review article, the current German guideline on the diagnosis and therapy
of CAP is presented. Special focus is put on structured patient management based
on the individual risk for early identification of critically ill patients. In
particular, risk assessment directly influences rational diagnostics and adequate
therapy. New recommendations concerning preventive strategies are also discussed
in this article.
PMID- 29637220
TI - Gout as a risk factor for osteoporosis: response to comments by Kostev.
PMID- 29637221
TI - Association between gout and osteoporosis risk in the United Kingdom.
PMID- 29637222
TI - Innovation in Cell Banking, Expansion, and Production Culture.
AB - Cell culture-based production processes enable the development and commercial
supply of recombinant protein products. Such processes consist of the following
elements: thaw and initiation of culture, seed expansion, and production culture.
A robust cell source storage system in the form of a cell bank is developed and
cells are thawed to initiate the cell culture process. Seed culture expansion
generates sufficient cell mass to initiate the production culture. The production
culture provides an environment where the cells can synthesize the product and is
optimized to deliver the highest possible product concentration with acceptable
product quality. This chapter describes the significant innovations made in these
process elements and the resulting improvements in the overall efficiency,
robustness, and safety of the processes and products.
PMID- 29637223
TI - Next Generation Biopharmaceuticals: Product Development.
AB - Therapeutic proteins show a rapid market growth. The relatively young biotech
industry already represents 20 % of the total global pharma market. The biotech
industry environment has traditionally been fast-pasted and intellectually
stimulated. Nowadays the top ten best selling drugs are dominated by monoclonal
antibodies (mABs).Despite mABs being the biggest medical breakthrough in the last
25 years, technical innovation does not stand still.The goal remains to preserve
the benefits of a conventional mAB (serum half-life and specificity) whilst
further improving efficacy and safety and to open new and better avenues for
treating patients, e.g., improving the potency of molecules, target binding,
tissue penetration, tailored pharmacokinetics, and reduced adverse effects or
immunogenicity.The next generation of biopharmaceuticals can pose specific
chemistry, manufacturing, and control (CMC) challenges. In contrast to
conventional proteins, next-generation biopharmaceuticals often require
lyophilization of the final drug product to ensure storage stability over shelf
life time. In addition, next-generation biopharmaceuticals require analytical
methods that cover different ways of possible degradation patterns and pathways,
and product development is a long way from being straight forward. The element of
"prior knowledge" does not exist equally for most novel formats compared to
antibodies, and thus the assessment of critical quality attributes (CQAs) and the
definition of CQA assessment criteria and specifications is difficult, especially
in early-stage development.
PMID- 29637224
TI - [Rhinophyma : Successful treatment with low-dose oral isotretinoin].
AB - Rhinophyma is a form of rosacea and is often cosmetically disfiguring. There are
various therapeutic ablation modalities. Surgery is often associated with down
time and side-effects. We describe successful treatment with low-dose
isotretinoin as a safe alternative with a lower risk of complications. We also
discuss the advantages and disadvantages of various therapeutic modalities.
PMID- 29637225
TI - [Phlebological emergencies].
AB - BACKGROUND: Deep and superficial vein thromboses as well as variceal hemorrhages
are emergencies. The risk of short- and long-term morbidity and mortality in vein
thromboses is increased. Variceal hemorrhage harbors the risk of massive blood
loss. Prompt and adequate therapy of these diseases is therefore essential.
OBJECTIVES: Our aim was to give an overview of these phlebological emergencies.
MATERIALS AND METHODS: Based on the current guidelines and supplemented by a
selective literature search in PubMed, we summarize the most important aspects
for clinical practice. RESULTS: In deep vein thrombosis, therapeutic
anticoagulation and compression therapy are indicated for a duration of at least
3-6 months. A shorter duration is associated with a considerably increased
recurrence rate. Basic measures in superficial vein thrombosis comprise
mobilization, cooling, and compression. In case of tenderness on palpation, non
steroidal anti-inflammatory drugs are recommended. Indication for anticoagulation
and its dose depends on length of the thrombus and distance of the proximal part
of the thrombus towards the deep veins. Variceal hemorrhage is a complication of
advanced varicosis and can usually be handled with simple measures such as
elevation of the extremity and compression. CONCLUSIONS: Prompt diagnosis and
therapy is essential in superficial and deep vein thrombosis to prevent short
term complications such as pulmonary embolism and growth of the thrombus as well
as long-term complications such as postthrombotic syndrome with secondary
varicosis and chronic leg ulcer. After the occurrence of varicophlebitis or
variceal hemorrhage, treatment of varicosis is indicated to prevent recurrences.
PMID- 29637227
TI - [Complex challenges require comprehensive solutions].
PMID- 29637226
TI - Altered Profiles of Gut Microbiota in Klebsiella pneumoniae-Induced Pyogenic
Liver Abscess.
AB - Intestinal microbiota plays a crucial role in preventing the colonization and
invasion by pathogens, and disruption of microbiota may cause opportunistic
infections and diseases. Pathogens often have strategies to escape from the
colonization resistance mediated by microbiota, but whether they also modulate
the microbiota composition is still a topic of investigation. In the present
study, we addressed this question using an opportunistic pathogen, Klebsiella
pneumoniae serotype K1, which is known to cause pyogenic liver abscess (KLA) in
about 30% of mice. We examined the effect of K. pneumoniae infection on cecal
microbiota composition by performing high-throughput 454 pyrosequencing of the
hypervariable V3-V4 regions of bacterial 16S rRNA gene. Our data revealed that K.
pneumoniae inoculation substantially changed the cecal microbiota composition
when analyzed at the phylum, order, and family levels. Most strikingly, the KLA
infected mice had significantly increased abundance of Bacteroidales and
Enterobacteriales and decreased abundance of Lactobacillales and Eggerthellales.
Furthermore, by comparing the infected mice with or without KLA disease symptoms,
we identified specific microbiota changes associated with the KLA disease
induction. Especially, the KLA group had dramatically decreased sequence
identical to Lactobacillus compared with non-KLA mice. These findings suggest
that the pathogenic process of KLA infection may involve alteration of microbiota
compositions, particularly reduction in Lactobacillus.
PMID- 29637229
TI - Effect of levosimendan in patients with acute decompensated heart failure : A
meta-analysis.
AB - BACKGROUND: Acute decompensated heart failure (ADHF) is associated with high
morbidity and mortality. Intravenous inotropic agents play an important role in
treating ADHF. Relatively small clinical studies have evaluated the effects of
levosimendan, a positive inotropic agent with calcium-sensitizing effects, in
ADHF. The present meta-analysis pooled these studies to assess the clinical
efficacy of levosimendan in ADHF. MATERIALS AND METHODS: The PubMed, MEDLINE,
Cochrane Library, and ClinicalTrials.com databases were systematically searched
for prospective clinical studies published in English up to May 2017 on effects
of levosimendan alone or versus other agents (placebo [glucose], dopamine,
furosemide) on left ventricular ejection fraction (LVEF), brain natriuretic
peptide (BNP) level, and heart rate (HR) in patients with ADHF. RESULTS: Seven
articles were selected with 132 patients for levosimendan and 125 patients for
control groups. Compared with controls (except dopamine) or after vs. before use,
levosimendan was associated with a significantly reduced BNP level (standardized
mean difference [SMD]: -0.70; 95% confidence interval [CI]: -0.92, -0.48; p =
0.000; I2 = 22.0%), as well as improved LVEF (SMD: 0.47; 95%CI: 0.12, 0.81; p =
0.008; I2 = 63.3%) and increased HR (SMD: 0.39; 95% CI: 0.15, 0.63; p = 0.002; I2
= 23.6%) when comparing after vs. before use but not in comparisons to controls.
CONCLUSION: In the present meta-analysis, levosimendan infusion in patients with
ADHF appeared to reduce BNP regardless of the comparator (except for dopamine),
and also improve LVEF and increase HR in after vs. before use comparisons but not
compared to controls. Future larger studies on the benefit of levosimendan in
ADHF patients are warranted.
PMID- 29637230
TI - Contrast agent dose and slow/no-reflow in percutaneous coronary interventions : A
case-control study of patients with non-ST-segment elevation acute coronary
syndromes.
AB - BACKGROUND: The angiographic slow/no-reflow phenomenon after primary percutaneous
coronary intervention carries a poor prognosis for patients with non-ST-segment
elevation acute coronary syndrome (NSTEACS). There is evidence that contrast
agents cause endothelial dysfunction, myocardial cell damage, and coronary
spasms. We hypothesized that the contrast agent dose may be related to slow/no
reflow in patients with NSTEACS undergoing percutaneous transluminal coronary
angioplasty and stent (PTCA + stent). PATIENTS AND METHODS: We enrolled 3369
patients with NSTEACS who underwent PTCA + stent only in the culprit vessel for
the first time from September 2007 to May 2017 in this study. Coronary blood flow
of <=TIMI grade 2 after PTCA + stent was defined as slow/no-reflow. The
relationship between the contrast agent dose and slow/no-reflow phenomenon was
analyzed by multivariate conditional logistic regression and smooth curve
fitting. RESULTS: In multivariable conditional logistic regression analysis, the
contrast agent dose was found to be an independent risk factor for slow/no-reflow
after adjusting for the number of stents and the thrombus burden (OR: 1.0112; 95%
CI: 1.0049-1.0176; p < 0.0001), and after adjusting for type 2 diabetes mellitus,
NSTEACS risk stratification, application of platelet glycoprotein (GP) IIB/IIIA
receptor antagonists, type of contrast agent, number of balloon dilatations,
number of stents, and thrombus burden (OR: 1.0113; 95% CI: 1.0036-1.0191; p =
0.004). Further, the risk of slow/no-reflow increased significantly with the
contrast agent level up to the inflection point of 160 ml. CONCLUSION: The
contrast agent dose may be a risk factor for slow/no-reflow phenomenon after PTCA
+ stent in patients with NSTEACS. When the dose was greater than 160 ml, the risk
of slow/no-reflow increased significantly.
PMID- 29637231
TI - Minimally invasive direct coronary bypass surgery via distal mini-sternotomy :
Promising clinical results with anaortic, multivessel, all-arterial technique.
AB - BACKGROUND: Minimally invasive direct coronary artery bypass grafting (MIDCAB)
was developed to decrease perioperative morbidity, some of which may be related
to the use of cardiopulmonary bypass and to cross-clamping of the aorta. We
report our initial experience with multivessel MIDCAB via distal mini-sternotomy
(DIMS). DIMS is performed to gain access to the left and right internal thoracic
arteries and to reach the left anterior descending coronary artery (LAD),
diagonal branches, and right coronary artery (RCA). METHODS: Between January 2016
and January 2017, 12 patients with significant coronary artery disease of the LAD
and the RCA underwent multivessel, all-arterial MIDCAB through a distal midline
skin incision from the fourth intercostal space to the xyphoid process, with L-
or T-shaped division of the sternum. The mean age of the patients was 61.5 +/-
5.2 years (range: 52-71 years). RESULTS: We performed all-arterial
revascularization using the left internal mammary artery in 12 patients, the
radial artery in ten, and the right internal mammary artery in two patients. The
mean number of grafts per patient was 2.08 +/- 0.4 (range: 2-3). The mean length
of the skin incision was 8.5 +/- 1.3 cm (range: 7-11 cm). There was no
perioperative ischemia, postoperative bleeding, or arrhythmia events. No
postoperative cognitive dysfunction occurred. The mean hospital stay was 5.6
days. No major adverse cardiac events (MACE) occurred at the 12-month follow-up.
At follow-up, all patients were in New York Heart Association class I and there
were no wound complications. CONCLUSION: Although MIDCAB-DIMS is technically more
demanding than conventional procedures and our experience is limited, we conclude
that this technique can be used safely in selected patients, with promising 12
month follow-up results.
PMID- 29637228
TI - Engineering CRISPR/Cpf1 with tRNA promotes genome editing capability in mammalian
systems.
AB - CRISPR/Cpf1 features a number of properties that are distinct from CRISPR/Cas9
and provides an excellent alternative to Cas9 for genome editing. To date, genome
engineering by CRISPR/Cpf1 has been reported only in human cells and mouse
embryos of mammalian systems and its efficiency is ultimately lower than that of
Cas9 proteins from Streptococcus pyogenes. The application of CRISPR/Cpf1 for
targeted mutagenesis in other animal models has not been successfully verified.
In this study, we designed and optimized a guide RNA (gRNA) transcription system
by inserting a transfer RNA precursor (pre-tRNA) sequence downstream of the gRNA
for Cpf1, protecting gRNA from immediate digestion by 3'-to-5' exonucleases.
Using this new gRNAtRNA system, genome editing, including indels, large fragment
deletion and precise point mutation, was induced in mammalian systems, showing
significantly higher efficiency than the original Cpf1-gRNA system. With this
system, gene-modified rabbits and pigs were generated by embryo injection or
somatic cell nuclear transfer (SCNT) with an efficiency comparable to that of the
Cas9 gRNA system. These results demonstrated that this refined gRNAtRNA system
can boost the targeting capability of CRISPR/Cpf1 toolkits.
PMID- 29637232
TI - [Low-threshold consulting services for dementia : Quality criteria from a
provider's point of view].
AB - BACKGROUND: Low-threshold consulting services are becoming increasingly more
important as an addition to outpatient and inpatient services. OBJECTIVE: What
quality criteria do providers of low-threshold consulting services for family
caregivers of people with dementia have? MATERIAL AND METHODS: Telephone
interviews with 36 providers of family counseling, support groups, care course.
Qualitative content analysis according to Mayring complemented by a literature
search. RESULTS: The quality criteria of the providers are based on frequent,
ongoing, daily life-oriented, family-oriented, relief-providing, professional,
and cross-linked services. CONCLUSION: Increased cooperation and coordination of
all involved stakeholders is necessary to improve the knowledge and utilization
of the services and the qualitative orientation.
PMID- 29637233
TI - [Quality of life among older informal caregivers of people with dementia].
AB - BACKGROUND: In Germany, informal caregiving becomes an increasingly important
issue especially for people with dementia. Spouses often provide nursing care due
to the limited daily living skills of people with dementia. This leads to a wide
range of caregiver burden and decreased quality of life (QoL). Analyses on the
relation between QoL and caregiver burden of older informal caregivers in Germany
are rare. OBJECTIVE: The following research questions were assessed: (1) Does
caregivers' QoL differ from that of the older general population?; (2) Which
sociodemographic, health- and care-related characteristics affect caregivers'
QoL? MATERIAL AND METHODS: For this study, two samples (aged 60 years and older)
were recruited: informal caregivers (n = 119) and a representative sample of
older non-caregivers in the general population (n = 1133). Linear regression
analyses were applied to examine the effects of sociodemographic, health and care
related variables on the QoL of older informal caregivers of people with
dementia. RESULTS: Older informal caregivers reported a significantly lower QoL
compared to older non-caregivers in the general population. Especially the
domains autonomy, activities in the past, the present and the future as well as
intimacy were negatively associated with caregivers' QoL. CONCLUSION: The results
of the study highlighted caregivers' need for assistance. Due to demographic
changes, tailored support services should be based on older caregivers' needs.
PMID- 29637235
TI - Correction to: Unconstrained total knee arthroplasty in significant valgus
deformity: a modified surgical technique to balance the knee and avoid
instability.
AB - The author would like to correct the following errors in the publication of the
original article.
PMID- 29637234
TI - [Informal care for dementia according to type of service].
AB - BACKGROUND: Dementia is a major challenge for society and its impact will grow in
the future. Informal care is an essential part of dementia care. Previous studies
considered informal care as a whole and not by its components. OBJECTIVE: We
aimed to assess the degree of association between specific informal care services
and dementia. MATERIAL AND METHODS: This analysis is based on data from the
seventh wave of the AgeCoDe/AgeQualiDe study. Dementia was diagnosed based on the
DSM-IV criteria. Severity of dementia was assessed and categorized by means of
the Clinical Dementia Rating and eight individual informal care services were
considered. Logistic regression models were used to assess associations. RESULTS:
Of the 864 participants 18% suffered from dementia (very mild: 4%; mild: 6%;
moderate: 5%; severe: 3%). All informal care services were significantly
associated with dementia, with an emphasis on "supervision", "regulation of
financial matters" and "assistance in the intake of medication". Considering
different degrees of dementia severity, similar results arose from the analyses.
All three aforementioned services showed a pronounced association with all
degrees of dementia severity, except for supervision and very mild dementia.
CONCLUSION: The provision of all types of informal care services is associated
with dementia. The association is pronounced for services that can be more easily
integrated into the daily routines of the informal caregiver. Policy makers who
plan to integrate informal care into the general care arrangements for dementia
should consider this.
PMID- 29637236
TI - Distinct extra-articular invasion patterns of diffuse pigmented villonodular
synovitis/tenosynovial giant cell tumor in the knee joints.
AB - PURPOSE: Pigmented villonodular synovitis (PVNS)/tenosynovial giant cell tumor
(TGCT) is a benign, proliferative lesion of the synovium, the bursa, and the
tendon sheath. Little is known about the anatomical distribution pattern of
diffuse extra-articular PVNS/TGCT around the knee joint. In this retrospective
study, anatomical distribution of PVNS/TGCT using magnetic resonance imaging
(MRI) and arthroscopy was analyzed. METHODS: This study was designed as a
retrospective, observational cross-sectional study based on MRI and arthroscopy.
Twenty-four PVNS/TGCT patients (24 knees) who underwent arthroscopic or posterior
open surgery between 2009 and 2016 were enrolled. Of these, eight intra-articular
and 16 diffuse extra-articular PVNS/TGCT of the knee were classified. The
anatomical locations of the PVNS/TGCT masses were determined with a newly devised
mapping scheme. Analysis was performed on the prevalence of each compartment and
agreement rates between each compartment. RESULTS: The point prevalence of intra
articular posterior compartment was higher in diffuse extra-articular PVNS/TGCT
group compared with intra-articular PVNS/TGCT group. The point prevalence of
diffuse PVNS/TGCT was most prevalent in the extra-articular posterolateral
compartment (12 out of 16 diffuse extra-articular PVNS/TGCT patients, 75%) and
second most common in the below to joint capsule compartment (11 out of 16,
68.8%). The agreement rate was the highest between intra-articular posterolateral
and extra-articular posterolateral compartments (75%). CONCLUSION: Extra
articular invasion of diffuse PVNS/TGCT occurred in specific patterns in the knee
joint. Extra-articular lesions were always accompanied by lesions in intra
articular compartments. In particular, lesions in the intra-articular posterior
compartments were observed in all of the diffuse extra-articular PVNS/TGCT
patients. The point prevalence of diffuse extra-articular PVNS/TGCT for each
compartment was the highest [12 out of 16 (75%)] in extra-articular
posterolateral compartment. In contrast, invasion to the extra-articular
posteromedial side was less frequent [5 out of 16 (31.3%)] than to the extra
articular posterolateral side. Knowing where the lesions frequently occur may
provide important information for deciding the timing, method, and extent of
surgery. LEVEL OF EVIDENCE: Level IV.
PMID- 29637237
TI - [Three cases of severe chronic diarrhea with a rare cause and a simple therapy].
AB - We report three cases of severe olmesartan-associated chronic diarrhea with
weight loss and malassimilation syndrome. Histologically, a sprue-like
enteropathy was diagnosed in each case, while serological tests for celiac
disease were negative. After stopping the medication, symptoms improved within a
few days. Histologically, remission was documented after 3 months. Olmesartan
associated enteropathy is an underestimated entity and an important differential
diagnosis in patients with chronic diarrhea.
PMID- 29637238
TI - [Comorbidities of heart failure: sleep apnea].
AB - BACKGROUND: Since sleep apnea often occurs in heart failure, physicians regularly
need to decide whether further diagnostic procedures and/or treatment are
required. OBJECTIVES: Which types of sleep apnea occur in heart failure patients?
When is treatment needed? Which treatments and treatment goals are appropriate?
MATERIALS AND METHODS: Clinical trials and guidelines as well as their
implementation in clinical practice are discussed. RESULTS: At least 40% of
patients with heart failure, both with reduced and preserved left ventricular
ejection fraction (HFrEF and HFpEF, respectively), suffer from relevant sleep
apnea. In heart failure patients both obstructive and central sleep apnea are
associated with increased mortality. In HFrEF as well as in HFpEF patients with
obstructive sleep apnea, treatment with continuous positive airway pressure
(CPAP) achieves symptomatic and functional improvements. In patients with HFpEF,
positive airway pressure treatment of central sleep apnea may be beneficial. In
patients with HFrEF and left ventricular ejection fraction <=45%, adaptive
servoventilation is contraindicated. CONCLUSIONS: Sleep apnea is highly prevalent
in heart failure patients and its treatment in specific patient groups can
improve symptoms and functional outcomes. Thus, testing for sleep apnea is
recommended.
PMID- 29637239
TI - [Cachexia and sarcopenia in chronic heart failure : Change in muscle strength and
muscle structure].
AB - Alterations to the body composition, i.e. the makeup of skeletal muscle, fat and
bone density, are frequent in heart insufficiency. Their prevalence and clinical
consequences are often underestimated. Cachexia is recognized as a complex
multifactorial syndrome in chronic diseases that leads to weight loss. This point
constitutes the essential differential criterion from sarcopenia. Cachexia is
defined as a non-edematous weight loss of more than 5% within 12 months or less.
Cachexia means weight loss, while sarcopenia means loss of muscle mass without
weight loss because the functional muscle can be replaced by adipocytes.
Sarcopenia is defined as a skeletal muscle mass index (SMMI) of at least 2
standard deviations below the mean value of a healthy young reference group
between 20 and 30 years of the same sex and ethnic background. At the same time
the walking speed is reduced to 1 m/s or the distance covered in a 6-min walk is
<400m. The determination of loss of muscle mass should be carried out by whole
body scanning, ideally with dual-energy x-ray absorptiometry. A reliable and
simple method for measurement of performance capability is the short physical
performance battery (SPPB) test. The treatment of sarcopenia and cardiac cachexia
in patients with heart insufficiency is still a great challenge. Power and
endurance training, nutritional supplementation and drug therapy are possible
therapeutic approaches; however, the study situation is unsatisfactory.
PMID- 29637241
TI - [Abscess of unusual origin in the upper abdomen of a youth].
PMID- 29637240
TI - [Symptomatic hypocalcemia after thyroidectomy : Prevention by a combination of
prophylaxis and risk-adapted substitution].
AB - BACKGROUND: Treatment of hypocalcemia after thyroidectomy consists of an
individual substitution, prophylaxis or a daily administration of calcium/vitamin
D3. OBJECTIVE: Does prophylactic therapy combined with a risk-adapted
substitution of calcium and vitamin D3 reduce symptomatic hypocalcemia compared
to individual substitution? MATERIAL AND METHODS: After implementation of a new
algorithm, patient data were prospectively documented and analyzed compared to a
historical patient population. The algorithm consisted of a single prophylactic
i. v. administration of calcium (1 g calcium gluconate 10% in 250 ml saline) and
a risk-adapted oral administration of calcium and vitamin D3 after surgery.
Patients without risk (parathyroid hormone, PTH > 15 pg/ml) were not treated.
Patients with a low risk (PTH >= 6 <= 15 pg/ml and Ca > 2.0 mmol/l) received 3 g
calcium, patients with a high risk (PTH >= 6 <= 15 pg/ml and Ca < 2.0 mmol/l)
received 3 g calcium and 2 * 0.5 ug vitamin D3 and patients with a very high risk
(PTH < 6 pg/ml) got 4 g calcium and 2 * 0.5 ug vitamin D3. RESULTS: In this study
415 patients were included (230 prospectively and 185 retrospectively). Serum
calcium of patients with individual substitution increased significantly at day 1
(p = 0.0001) and the number of patients with critical hypocalcemia (Ca < 2.0
mmol/l) decreased by half (27% vs. 12.2%; p = 0.0001). There was a significantly
lower rate of symptomatic patients (24.9% vs 13.0%; p = 0.002) and a clear
reduction of patients with a prolonged hospitalization (10.8% vs. 6.5%; p =
0.08). The rate of permanent hypocalcemia was comparable (2.2% vs. 2.1%). In the
risk groups there was a significantly different rate of hypocalcemia: patients
without risk (n = 170) in 2.2%, patients with low risk (n = 36) in 25%, patients
with high risk (n = 13) in 69.2% and patients with very high risk (n = 11) in
71%. CONCLUSION: This new treatment regimen is practicable, significantly lowers
the symptoms, also in comparison to the literature and shows a clear
differentiation between the risk groups.
PMID- 29637242
TI - [Transoral thyroid and parathyroid surgery : Implementation and evaluation of the
transoral endoscopic technique via the vestibular approach (TOETVA)].
AB - BACKGROUND: More than 10 years ago various research groups in Germany first
reported the possibility of transoral surgery of the thyroid and parathyroid
glands. These were developed and evaluated within the framework of natural
orifice transluminal endoscopic surgery (NOTES). While development of these
innovative and new techniques that enabled surgery without visible scars did not
become well accepted in Europe and America, it led to an optimized transoral
endoscopic thyroidectomy vestibular approach (TOETVA), which was implemented
particularly in Asia. We report the preparation, step-by-step implementation, and
first promising results for TOETVA of an international surgical cooperation.
MATERIAL AND METHODS: A Thai-Austrian-German cooperation was started in June
2017. Between June and November 2017 the first 10 TOETVA procedures were
performed in female and male patient(s) presenting with single thyroid nodules,
sporadic primary hyperparathyroidism and solitary parathyroid adenoma or
thyroglossal duct cysts. The TOETVA technique was performed using 3 laparoscopic
ports inserted at the oral vestibule and a CO2 insufflation pressure at 6-8 mm
Hg. Each surgery was performed using laparoscopic instruments and ultrasonic or
bipolar devices. Surgical outcome, complications and conversions to an open
technique were recorded. RESULTS: Of the patients six presented with single
thyroid nodules, two patients had multinodular goitre with scintigraphically cold
nodules and multifocal hyperfunctioning thyroid tissue, one patient suffered from
sporadic primary hyperparathyroidism with a left sided parathyroid adenoma and
one patient suffered from a thyroglossal duct cyst. No conversion to conventional
open surgery was necessary. Average tumor size was 3.1 cm (range 1-4 cm). There
was no relevant loss of blood or subsequent bleeding. No temporary or permanent
hoarseness or mental nerve injury occurred, while transient hypoparathyroidism
was evident after successful parathyroid resection. Of the patients five
developed a slight postoperative hematoma in the submandibular region. No
infections or disorders of wound healing occurred. CONCLUSION: The TOETVA
technique is feasible and safe in selected patients; however, further prospective
studies are necessary to evaluate the value of the procedure, risks and long-term
results.
PMID- 29637243
TI - [Surgical strategies for small sporadic neuroendocrine pancreatic tumors].
AB - Small (<2 cm) sporadic neuroendocrine pancreatic neoplasms (pNENs) are a
heterogeneous group of neoplasms, which can be separated into functionally active
or non-functional tumors. Functionally active pNENs release various hormones,
such as insulin, gastrin, glucagon and vasoactive intestinal hormones and
therefore lead to severe symptoms independent of their size. The main symptoms
include hypoglycemia, coma (insulinoma), diarrhea (gastrinoma, VIPoma),
intestinal ulcers (gastrinoma) and hyperglycemia (glucagonoma). Asymptomatic
inactive pNENs do not cause a hormonal syndrome even though in
immunohistochemistry they are positive for various hormones and endocrine markers
(e.g. chromogranin and synaptophysin). Non-active small pNENs are increasingly
being found because of the widespread use of high-resolution imaging and are
named incidental pNENs. The current therapy algorithms of small functionally
active and non-functional pNENs are very different and include besides non
operative management and surveillance (wait and see strategy) of non-active pNENs
(G1, low G2), all minimally invasive, open and robotic techniques of pancreas
resection. Until today almost all recommendations of surgical and nonsurgical
therapy algorithms have been established based on retrospective data.
PMID- 29637245
TI - ?
PMID- 29637246
TI - ?
PMID- 29637244
TI - [Hyperspectral imaging of gastrointestinal anastomoses].
AB - INTRODUCTION: Anastomotic insufficiency (AI) remains the most feared surgical
complication in gastrointestinal surgery, which is closely associated with a
prolonged inpatient hospital stay and significant postoperative mortality.
Hyperspectral imaging (HSI) is a relatively new medical imaging procedure which
has proven to be promising in tissue identification as well as in the analysis of
tissue oxygenation and water content. Until now, no data exist on the in vivo HSI
analysis of gastrointestinal anastomoses. METHODS: Intraoperative images were
obtained using the TIVITATM tissue system HSI camera from Diaspective Vision GmbH
(Pepelow, Germany). In 47 patients who underwent gastrointestinal surgery with
esophageal, gastric, pancreatic, small bowel or colorectal anastomoses, 97
assessable recordings were generated. Parameters obtained at the sites of the
anastomoses included tissue oxygenation (StO2), the tissue hemoglobin index
(THI), near-infrared (NIR) perfusion index, and tissue water index (TWI).
RESULTS: Obtaining and analyzing the intraoperative images with this non-invasive
imaging system proved practicable and delivered good results on a consistent
basis. A NIR gradient along and across the anastomosis was observed and,
furthermore, analysis of the tissue water and oxygenation content showed specific
changes at the site of anastomosis. CONCLUSION: The HSI method provides a non
contact, non-invasive, intraoperative imaging procedure without the use of a
contrast medium, which enables a real-time analysis of physiological anastomotic
parameters, which may contribute to determine the "ideal" anastomotic region. In
light of this, the establishment of this methodology in the field of visceral
surgery, enabling the generation of normal or cut off values for different
gastrointestinal anastomotic types, is an obvious necessity.
PMID- 29637247
TI - [Early stage carcinoma in the hepatopancreaticobiliary region].
PMID- 29637248
TI - ?
PMID- 29637249
TI - The location of the peroneus longus tendon in the cuboid groove: sonographic
study in various positions of the ankle-foot in asymptomatic volunteers.
AB - OBJECTIVE: To evaluate the normal location of the peroneus longus tendon (PL) in
the cuboid groove in various ankle-foot positions by ultrasonography in
asymptomatic volunteers. MATERIALS AND METHODS: Ultrasonographic assessment of
the PL in the cuboid groove was performed in 20 feet of ten healthy volunteers.
Each PL was examined in five ankle-foot positions (i.e., neutral, dorsiflexion,
plantar-flexion, supination, and pronation). The PL location was qualitatively
categorized as "inside" when the PL was entirely within the cuboid groove, as
"overlying" when some part of the PL was perched on the cuboid tuberosity, and as
"outside" when the PL was entirely on the cuboid tuberosity. For quantitative
evaluation of the PL location, the distance between the PL and the cuboid groove
was measured. The width of the cuboid groove was measured in the neutral
position. RESULTS: The PL location did not significantly change with changes in
the ankle-foot position. Qualitatively, an "overlying" PL was the most common
type, regardless of the ankle-foot position. "Inside" PLs were found in only 35,
20, 30, 25, and 35% of feet in neutral, dorsiflexion, plantar-flexion,
supination, and pronation positions, respectively. The quantitative PL location
was also not significantly different among all ankle-foot positions and it was
significantly negatively correlated with the cuboid groove width. CONCLUSIONS: In
healthy volunteers, 65% or more of the PLs were partially or completely located
outside of the cuboid groove, regardless of the ankle-foot position. The PL
location relative to the cuboid groove was related to the cuboid groove width.
PMID- 29637250
TI - Verticality perception reveals a vestibular deficit in adolescents with
idiopathic scoliosis.
AB - Adolescent idiopathic scoliosis (AIS) is a three-dimensional spine deformation
with elusive aetiopathogenesis. One appealing hypothesis points to its neurologic
origin with an emphasis on a vestibular impairment. In the present study, we
explored the hypothesis of a vestibular deficit accompanying AIS by assessing
differences in the subjective estimation of the gravitational vertical between
adolescents with idiopathic scoliosis (n = 10, age 11-16 years, Cobb's angle > 15
degrees ) and healthy age-matched controls (n = 10). Group participants actively
controlled the verticality of a visual line in two visual conditions (eyes open
visual feedback and eyes closed-no visual feedback) and using three different
segments (hand, head, and trunk). An electromagnetic tracking sensor (Nest of
Birds, Ascension Ltd., USA, 60 Hz), attached either to a hand-held rod, the head,
or the upper trunk, measured the line's deviation from the gravitational vertical
that was reflected in two measures, the mean absolute and variable error. The
head's medio-lateral tilt when estimating verticality with the hand was also
registered. Analysis revealed that adolescents with idiopathic scoliosis made a
greater error than control participants when estimating verticality with the head
and eyes closed. In addition, they adopted a significantly greater head tilt when
estimating the vertical by controlling the hand-held rod, regardless of the
availability of vision. The error in the earth vertical was greater when the
estimate was performed in the absence of vision. Results suggest a malfunction of
the vestibular system and/or a sensorimotor integration impairment in patients
with AIS, while vision compensates for the observed deficit in estimating the
earth vertical.
PMID- 29637251
TI - Proteomic approaches beyond expression profiling and PTM analysis.
AB - Essentially, all cellular functions are executed by proteins. Different
physiological and pathological conditions dynamically control various properties
of proteins, including expression levels, post-translational modifications
(PTMs), protein-protein interactions, enzymatic activity, etc. Thus far, the vast
majority of proteomic efforts have been focused on quantitative profiling of
protein abundance/expression and their PTMs. In this article, we review some
recent exciting progress in the development of proteomic approaches to examine
protein functions from perspectives other than expression levels and PTMs.
Specifically, we discuss advancements in proximity-based labeling, analysis of
protein termini and newly synthesized proteins, and activity-based protein
profiling.
PMID- 29637253
TI - A Shift in Focus.
PMID- 29637254
TI - [Paraneoplastic syndromes in rheumatology].
AB - Rheumatic paraneoplastic syndromes are paraneoplastic arthritis, palmar fasciitis
and polyarthritis syndrome, remitting seronegative symmetrical synovitis with
pitting edema, pancreatic panniculitis with polyarthritis, paraneoplastic
vasculitis, cancer-associated myositis, hypertrophic osteoarthropathy (Marie
Bamberger disease) and tumor-induced osteomalacia. Typical clinical
manifestations, pathogenesis, prognosis, and treatment of this entity are
presented. Knowledge of these disease entities can lead to timely diagnosis of
the underlying malignant disease and to a higher probability of a cure. Response
of the paraneoplastic inflammatory manifestations to corticosteroids, non
steroidal anti-inflammatory drugs or immunosuppressants is often insufficient.
Curative removal of the malignant disease is crucial for the course of the
paraneoplastic syndrome. When a paraneoplastic etiology of rheumatic symptoms is
suspected, a step-wise diagnostic strategy is advisable.
PMID- 29637255
TI - OCTA vessel density changes in the macular zone in glaucomatous eyes.
AB - PURPOSE: To evaluate whether macular optical coherence tomography angiography
(OCTA) can detect altered vessel density (VD) in the superficial and deep
vascular plexus in glaucomatous eyes and to compare the diagnostic utility of the
individual VD parameters. METHODS: The macular VD of 135 eyes, comprising 85 eyes
diagnosed with glaucoma and 50 healthy control eyes, was examined using two OCTA
devices (AngioPlex-Zeiss Meditec, Inc., Dublin, CA, USA, and AngioVue-OptoVue,
Inc., Fremont, CA, USA). All study participants had neither vascular pathology,
diabetes, nor vasoactive medication. The macular VD was measured at two different
levels of segmentation (superficial [SL] and deep [DL] retinal vascular plexus)
with a 6 * 6-mm macula scan, and VD was correlated with various structural and
functional measurements. In order to test the accuracy of differentiation between
eyes with and without glaucoma, we calculated the receiver operating
characteristic (ROC) curve and the area under the curve (AUC). RESULTS: Macular
VD was significantly lower in both SL and DL in glaucomatous eyes than in healthy
eyes (p = SL < 0.0001; DL = 0.009). There was no significant difference in VD
between the SL and the DL (p = 6.60 . 10-18). The greatest reduction of VD in
glaucomatous eyes was found in the inferior macular sector. There was no
correlation of VD with age or refractive error but moderate to high correlation
with intraocular pressure, time of initial diagnosis, mean deviation, ganglion
cell complex, peripapillary retinal nerve fiber layer thickness, cup to disc
ratio, and rim area. Among the 14 individual features of macular VD, whole VD in
the SL had the best diagnostic accuracy (77.6%) as measured by the area under the
ROC. CONCLUSION: OCTA detects glaucomatous damage by measuring the macular vessel
density in the superficial and deep retinal vascular plexus. It can be an
additional diagnostic tool to detect glaucoma independently of the optic nerve.
PMID- 29637252
TI - FDG-PET/CT(A) imaging in large vessel vasculitis and polymyalgia rheumatica:
joint procedural recommendation of the EANM, SNMMI, and the PET Interest Group
(PIG), and endorsed by the ASNC.
AB - Large vessel vasculitis (LVV) is defined as a disease mainly affecting the large
arteries, with two major variants, Takayasu arteritis (TA) and giant cell
arteritis (GCA). GCA often coexists with polymyalgia rheumatica (PMR) in the same
patient, since both belong to the same disease spectrum. FDG-PET/CT is a
functional imaging technique which is an established tool in oncology, and has
also demonstrated a role in the field of inflammatory diseases. Functional FDG
PET combined with anatomical CT angiography, FDG-PET/CT(A), may be of synergistic
value for optimal diagnosis, monitoring of disease activity, and evaluating
damage progression in LVV. There are currently no guidelines regarding PET
imaging acquisition for LVV and PMR, even though standardization is of the utmost
importance in order to facilitate clinical studies and for daily clinical
practice. This work constitutes a joint procedural recommendation on FDG
PET/CT(A) imaging in large vessel vasculitis (LVV) and PMR from the
Cardiovascular and Inflammation & Infection Committees of the European
Association of Nuclear Medicine (EANM), the Cardiovascular Council of the Society
of Nuclear Medicine and Molecular Imaging (SNMMI), and the PET Interest Group
(PIG), and endorsed by the American Society of Nuclear Cardiology (ASNC). The aim
of this joint paper is to provide recommendations and statements, based on the
available evidence in the literature and consensus of experts in the field, for
patient preparation, and FDG-PET/CT(A) acquisition and interpretation for the
diagnosis and follow-up of patients with suspected or diagnosed LVV and/or PMR.
This position paper aims to set an internationally accepted standard for FDG
PET/CT(A) imaging and reporting of LVV and PMR.
PMID- 29637256
TI - Behavioral, emotional and social functioning in children born with congenital
diaphragmatic hernia.
AB - PURPOSE: The aim was to investigate social competence and behavioral and
emotional problems in children and adolescents born with CDH. METHODS: All
children born with CDH, treated in Stockholm 1990-2009, were invited to
participate. After written consent, the Child Behavior Checklist or Adult Self
Report questionnaires were sent to participants. Of the 145 long-term survivors,
51% returned a completed questionnaire. Both the syndrome and competence scales
were used and open-ended questions were analyzed with manifest content analysis.
RESULTS: All parents of children aged 1.5-5 years and 90% of parents of children
aged 6-18 years reported a normal range on the syndrome scale. Five parents
indicated internalizing, but none externalizing behavior. All young adults
achieved a normal score on the syndrome scale. Eighty-five percent had normal
school achievement, 79% had normal social scores and 40% had normal activity
levels. Significantly fewer boys (23%) were in the normal activity range compared
with 67% of girls. CONCLUSIONS: The vast majority of all parents of children born
with CDH scored no behavioral or emotional problems, furthermore, they reported
normal social and school competence. However, the activity levels seemed to be
reduced in children born with CDH.
PMID- 29637258
TI - Spatial transformation abilities and their relation to later mathematics
performance.
AB - Using a longitudinal approach, this study investigated the relational structure
of different spatial transformation skills at kindergarten age, and how these
spatial skills relate to children's later mathematics performance. Children were
tested at three time points, in kindergarten, first grade, and second grade (N =
119). Exploratory factor analyses revealed two subcomponents of spatial
transformation skills: one representing egocentric transformations (mental
rotation and spatial scaling), and one representing allocentric transformations
(e.g., cross-sectioning, perspective taking). Structural equation modeling
suggested that egocentric transformation skills showed their strongest relation
to the part of the mathematics test tapping arithmetic operations, whereas
allocentric transformations were strongly related to Numeric-Logical and Spatial
Functions as well as geometry. The present findings point to a tight connection
between early mental transformation skills, particularly the ones requiring a
high level of spatial flexibility and a strong sense for spatial magnitudes, and
children's mathematics performance at the beginning of their school career.
PMID- 29637257
TI - Accuracy and impact of prenatal diagnosis in infants with omphalocele.
AB - BACKGROUND: Associated anomalies in omphalocele are common, but to which extent
these anomalies are diagnosed before or after birth is less well documented. AIM:
To investigate the different types of associated anomalies, long-term survival
and the extent whether these are diagnosed pre- or postnatally in children with a
prenatal diagnosis of omphalocele at a single institution. MATERIALS AND METHODS:
Retrospective review of all pregnancies with omphalocele managed and/or born at
our institution between 2006 and 2016. RESULTS: A total of 42 cases with
prenatally diagnosed omphalocele were identified. Of those 14 (31%) decided to
terminate the pregnancy (TOP). Of the remaining 28 that continued, 12 were giant
omphaloceles. The overall mortality rate was 18, 25% for giant and 12% for non
giant omphaloceles. 64% had associated anomalies. Only 1/3 of these anomalies is
diagnosed prenatally. CONCLUSION: The rate of associated malformations that are
diagnosed postnatally is high, but the majority was malformations with a minor
clinical significance or impact on future health. Beckwith-Wiedemann syndrome was
present only in cases of non-giant omphalocele in our cohort.
PMID- 29637259
TI - The protective effects of acute cardiovascular exercise on the interference of
procedural memory.
AB - Numerous studies have reported a positive impact of acute exercise for procedural
skill memory. Previous work has revealed this effect, but these findings are
confounded by a potential contribution of a night of sleep to the reported
exercise-mediated reduction in interference. Thus, it remains unclear if exposure
to a brief bout of exercise can provide protection to a newly acquired motor
memory. The primary objective of the present study was to examine if a single
bout of moderate-intensity cardiovascular exercise after practice of a novel
motor sequence reduces the susceptibility to retroactive interference. To address
this shortcoming, 17 individuals in a control condition practiced a novel motor
sequence that was followed by test after a 6-h wake-filled interval. A separate
group of 17 individuals experienced practice with an interfering motor sequence
45 min after practice with the original sequence and were then administered test
trials 6 h later. One additional group of 12 participants was exposed to an acute
bout of exercise immediately after practice with the original motor sequence but
prior to practice with the interfering motor sequence and the subsequent test. In
comparison with the control condition, increased response times were revealed
during the 6-h test for the individuals that were exposed to interference. The
introduction of an acute bout of exercise between the practice of the two motor
sequences produced a reduction in interference from practice with the second task
at the time of test, however, this effect was not statistically significant.
These data reinforce the hypothesis that while there may be a contribution from
exercise to post-practice consolidation of procedural skills which is independent
of sleep, sleep may interact with exercise to strengthen the effects of the
latter on procedural memory.
PMID- 29637261
TI - Dr. Edward Hacskaylo: a memoir and tribute.
AB - Edward Hacskaylo was a pioneer in many aspects of mycorrhiza research and
significantly influenced our understanding of the physiology and ecology of
mycorrhizas. This memoir highlights Dr. Hacskaylo's many contributions and his
impact on mycorrhiza research, especially through his encouragement and mentoring
of young scientists.
PMID- 29637262
TI - Radiofrequency needle for transseptal puncture is associated with lower incidence
of thromboembolism during catheter ablation of atrial fibrillation: propensity
score-matched analysis.
AB - Atrial fibrillation (AF) ablation requires transseptal puncture to access the
left atrium. Recently, a radiofrequency (RF) needle was developed. The purpose of
this study was to compare the incidence of MRI-confirmed acute cerebral embolism
(ACE) during AF ablation procedures performed with RF needle versus mechanical
needle transseptal puncture. This study consisted of 383 consecutive patients who
underwent catheter ablation for AF that required transseptal puncture with
mechanical or radiofrequency transseptal needles. Of those, 232 propensity score
matched patients (116 with each needle type) were included in the analysis. All
patients had cerebral MRI performed 1 or 2 days after the procedure. Baseline
characteristics were similar between the two groups. Total procedure time was
significantly shorter in Group RF than Group non-RF (167 +/- 50 vs. 181 +/- 52
min, P = 0.01). ACE was detected by MRI in 59 (25%) patients. All patients with
ACE were asymptomatic. Incidence of ACE was lower in Group RF than Group non-RF
(19 vs. 32%, P = 0.02). B-type natriuretic peptide level was higher in the
patients with ACE as compared to those without ACE (65.2 +/- 68.7 vs. 44.7 +/-
55.1 pg/ml, P = 0.02). In multivariable analysis, the use of RF needle and BNP
level was related to the incidence of ACE (OR = 0.499, 95% CI 0.270-0.922, P =
0.03 and OR = 1.005, 95% CI 1.000-1.010, P = 0.03). Use of RF needle for
transseptal puncture was associated with lower total procedure time and risk of
ACE during catheter ablation of AF.
PMID- 29637264
TI - Requesting spinal MRIs effectively from primary care referrals.
AB - OBJECTIVE: To define if MRI scans can accurately be requested based on
information provided in the primary care referral and, therefore, streamline the
patient journey. The demand for outpatient spinal appointments significantly
exceeds our services' ability to provide efficient, high-quality patient care.
Currently, magnetic resonance imaging (MRI) of the spine is requested following
first consultation. METHODS: During routine vetting of primary care referral
letters, three consultant spinal surgeons recorded how likely they thought each
patient would be to have an MRI scan. Following the first consultation with the
spinal service, the notes of each patient were reviewed to see if an MRI was
requested. We measured the positive predictive value (PPV), negative predictive
value (NPV), sensitivity and specificity of ordering MRI scans based on primary
care referral letters. RESULTS: 149 patients were included [101 females, 48
males, mean age 49 (16-87)]. There were 125 routine, 21 urgent, and 3 'urgent
suspected cancer' referrals. The PPV of ordering MRIs before first consultation
was 84%, NPV was 56% with the sensitivity and specificity being 82 and 59%,
respectively. Ordering MRIs during initial vetting could shorten the patient
journey with potential socioeconomic benefits. CONCLUSIONS: MRI scans can be
effectively ordered based on the information provided by the primary care
referral letter. Requesting MRI scans early in the patient journey can save
considerable time, improve care, and deliver cost savings. These slides can be
retrieved under Electronic Supplementary Material.
PMID- 29637263
TI - Mining of favorable alleles for lodging resistance traits in rice (oryza sativa)
through association mapping.
AB - MAIN CONCLUSION: Fourteen new quantitative trait loci (QTLs) and ten favorable
alleles were identified for lodging resistance traits in a natural population of
rice. Parental combinations were designed to improve lodging resistance. Lodging
is one of the most critical constraints to rice yield, and therefore, mining
favorable alleles for lodging resistance traits is imperative for the advancement
of cultivated rice and selection for market demand. This investigation was
performed on a selected sample of 521 rice cultivars using 262 SSR markers in
2016 and 2017. Lodging resistance traits were evaluated by plant height (PH),
stem length (SL), stem diameter (SD), anti-thrust per stem (AT/S), and stem index
(SI), with AT/S, used as the lodging resistance index. A genome-wide association
map was generated by combining phenotypic and genotypic data. Eight
subpopulations were found by structure software, and the linkage disequilibrium
(LD) ranged from 30 to 80 cM. Identification of 68 marker-trait associations
(MTAs) linking in 64 SSR markers for five traits was done. QTL were detected,
including 15 for PH, 14 for SL, 14 for SD, 7 for AT/S, and 18 for SI. A number of
favorable alleles were also discovered, including 22, 24, 19, 12, and 28 alleles
for PH, SL, SD, AT/S, and SI, respectively. These favorable alleles might be used
to design parental combinations, and the predictable results found by relieving
the favorable alleles per QTL. The accessions containing favorable alleles for
lodging resistant traits mined in this study could be useful for breeding
superior rice cultivars.
PMID- 29637260
TI - 90 years of monoamine oxidase: some progress and some confusion.
AB - It would not be practical to attempt to deal with all the advances that have
informed our understanding of the behavior and functions of this enzyme over the
past 90 years. This account concentrates key advances that explain why the
monoamine oxidases remain of pharmacological and biochemical interest and on some
areas of continuing uncertainty. Some issues that remain to be understood or are
in need of further clarification are highlighted.
PMID- 29637266
TI - Implementation of medical scribes in an academic urology practice: an analysis of
productivity, revenue, and satisfaction.
AB - PURPOSE: Pressure on physicians to increase productivity is rising in parallel
with administrative tasks, regulations, and the use of electronic health records
(EHRs). Physician extenders and clinical pathways are already in use to increase
productivity and reduce costs and burnout, but other strategies are required. We
evaluated whether implementation of medical scribes in an academic urology clinic
would affect productivity, revenue, and patient/provider satisfaction. METHODS:
Six academic urologists were assigned scribes for 1 clinic day per week for 3
months. Likert-type patient and provider surveys were developed to evaluate
satisfaction with and without scribes. Matched clinic days in the year prior were
used to evaluate changes in productivity and physician/hospital charges and
revenue. RESULTS: After using scribes for 3 months, providers reported increased
efficiency (p value = 0.03) and work satisfaction (p value = 0.03), while seeing
a mean 2.15 more patients per session (+ 0.96 return visits, + 0.99 new patients,
and + 0.22 procedures), contributing to an additional 2.6 wRVUs, $542 in
physician charges, and $861 in hospital charges per clinic session. At a gross
collection rate of 36%, actual combined revenue was + $506/session, representing
a 26% increase in overall revenue. At a cost of $77/session, the net financial
impact was + $429 per clinic session, resulting in a return-to-investment ratio
greater than 6:1, while having no effect on patient satisfaction scores.
Additionally, with scribes, clinic encounters were closed a mean 8.9 days
earlier. CONCLUSIONS: Implementing medical scribes in academic urology practices
may be useful in increasing productivity, revenue, and provider satisfaction,
while maintaining high patient satisfaction.
PMID- 29637267
TI - Dose reduction in abdominal CT: The road to submillisievert imaging.
AB - This editorial comment refers to the article: Can We Perform CT of the Appendix
with Less than 1 mSv? A De-escalating Dose-simulation Study. Park JH, et al., Eur
Radiol, Dec 7, 2017.
PMID- 29637265
TI - Genotype imputation performance of three reference panels using African ancestry
individuals.
AB - Genotype imputation estimates unobserved genotypes from genome-wide makers, to
increase genome coverage and power for genome-wide association studies.
Imputation has been successful for European ancestry populations in which very
large reference panels are available. Smaller subsets of African descent
populations are available in 1000 Genomes (1000G), the Consortium on Asthma among
African ancestry Populations in the Americas (CAAPA) and the Haplotype Reference
Consortium (HRC). We compared the performance of these reference panels when
imputing variation in 3747 African Americans (AA) from two cohorts (HCV and
COPDGene) genotyped using Illumina Omni microarrays. The haplotypes of 2504
(1000G), 883 (CAAPA) and 32,470 individuals (HRC) were used as reference. We
compared the number of variants, imputation quality, imputation accuracy and
coverage between panels. In both cohorts, 1000G imputed 1.5-1.6* more variants
than CAAPA and 1.2* more than HRC. Similar findings were observed for variants
with imputation R2 > 0.5 and for rare, low-frequency, and common variants. When
merging imputed variants of the three panels, the total number was 62-63 M with
20 M overlapping variants imputed by all three panels, and a range of 5-15 M
variants imputed exclusively with one of them. For overlapping variants,
imputation quality was highest for HRC, followed by 1000G, then CAAPA, and
improved as the minor allele frequency increased. 1000G, HRC and CAAPA provided
high performance and accuracy for imputation of African American individuals,
increasing the number of variants available for subsequent analyses. These panels
are complementary and would benefit from the development of an integrated African
reference panel.
PMID- 29637268
TI - Proteomic pattern of implantative human endometrial fluid in in vitro
fertilization cycles.
AB - PURPOSE: To assess whether there are proteins in endometrial fluid aspirate (EFA)
that predict implantation. METHODS: The population under study consisted of 285
women undergoing embryo transfer (ET). Endometrial fluid aspiration was performed
immediately before ET. Results of proteomic analysis of EFA were compared between
33 cases who achieved pregnancy and 33 who did not. Samples were analysed by 2D
electrophoresis and mass spectrometry. Blood samples were studied by ELISA
Pregnancy rates and maternal complications were compared to those in women
refusing aspiration. RESULTS: We found 23 proteins differentially expressed in
the EFA in conception cycles: 4 up-regulated proteins and 19 down-regulated (FC =
0.31 0.78) (among others, arginase-1, actin B, PARK-7, cofilin-1, stathmin,
annexin-2 and CAPZB). Among the five studied proteins that were differentially
expressed in EFA, none was differentially expressed in serum. The aspiration
procedure had no impact on pregnancy rate. No maternal complications were
reported. CONCLUSIONS: We found a very different protein profile in implantative
cycles, the majority of proteins being down-regulated. This probably reflects a
different endometrial functional status, more favourable to implantation. EFA
proteomic analysis could be a useful tool in the planning ET strategies.
PMID- 29637269
TI - Study on the effects of an oral lactobacilli and lactoferrin complex in women
with intermediate vaginal microbiota.
AB - PURPOSE: In the vagina of healthy reproductive-aged women, several microbial
species maintain a finely tuned mutualistic relationship with the host providing
the first-line of defense against the colonization by opportunistic pathogens,
which are the leading cause of dysbiosis or vaginal infections (bacterial
vaginosis, vulvovaginal candidiasis, and aerobic vaginitis). The use of probiotic
lactobacilli to prevent vaginal infections has a good rationale, and an excellent
safety record, but so far only a few strains have been clinically proven to be
effective, particularly to prevent BV. The aim of the clinical trial was to
evaluate the changes in Nugent score in women with intermediate vaginal
microbiota treated with oral Lactobacillus acidophilus GLA-14 and Lactobacillus
rhamnosus HN001 mixture, in combination with bovine lactoferrin RCXTM
(Respecta(r)) or placebo, for 15 days. METHODS: Vaginal swabs were collected from
each woman at baseline and at the end of probiotic treatment and analyzed by RT
PCR. Both symptoms of abnormal vaginal micorbiota and adverse effects were
assessed throughout the study. RESULTS: The results showed that oral intake of
lactobacilli/lactoferrin mixture led to significant vaginal colonization by L.
acidophilus GLA-14 and L. rhamnosus HN001 showing that both strains can colonize
vagina following oral ingestion. The effect of such colonization is correlated
with the restoration of normal Nugent score (values 0-3) and an improvement of
symptoms of abnormal vaginal micorbiota including itching and discharge.
CONCLUSIONS: Oral consumption of lactobacilli/lactoferrin complex corroborates
the effectiveness of using lactobacilli for supporting vaginal health and
provides a rational basis for future studies on vaginal infections.
PMID- 29637271
TI - Correction to: Systematic assessment of urinary hydroxy-oxo-glutarate for
diagnosis and follow up of primary hyperoxaluria type III.
AB - The unit of the HOG-creatinine ratio presented in this article is calculated in
MUmol/mg creatinine instead of the demonstrated unit of MUmol/MUmol. This applies
to the parameter in the text of the article and the labeling of Figs. 1, 2b and
3c.
PMID- 29637270
TI - Dual JAK1 and STAT3 mutations in a breast implant-associated anaplastic large
cell lymphoma.
PMID- 29637272
TI - COQ2 nephropathy: a treatable cause of nephrotic syndrome in children.
AB - BACKGROUND: Nephrotic syndrome can be caused by a subgroup of mitochondrial
diseases classified as primary coenzyme Q10 (CoQ10) deficiency. Pathogenic COQ2
variants are a cause of primary CoQ10 deficiency and present with phenotypes
ranging from isolated nephrotic syndrome to fatal multisystem disease. CASE
DIAGNOSIS/TREATMENT: We report three pediatric patients with COQ2 variants
presenting with nephrotic syndrome. Two of these patients had normal leukocyte
CoQ10 levels prior to treatment. Pathologic findings varied from mesangial
sclerosis to focal segmental glomerulosclerosis, with all patients having
abnormal appearing mitochondria on kidney biopsy. In two of the three patients
treated with CoQ10 supplementation, the nephrotic syndrome resolved; and at
follow-up, both have normal renal function and stable proteinuria. CONCLUSIONS:
COQ2 nephropathy should be suspected in patients presenting with nephrotic
syndrome, although less common than disease due to mutations in NPHS1, NPHS2, and
WT1. The index of suspicion should remain high, and we suggest that providers
consider genetic evaluation even in patients with normal leukocyte CoQ10 levels,
as levels may be within normal range even with significant clinical disease.
Early molecular diagnosis and specific treatment are essential in the management
of this severe yet treatable condition.
PMID- 29637274
TI - Biomarkers for traumatic brain injury.
PMID- 29637273
TI - The Role of ADAR1 and ADAR2 in the Regulation of miRNA-21 in Idiopathic Pulmonary
Fibrosis.
AB - INTRODUCTION: microRNAs (miRNAs) are small non-coding 1RNAs that post
transcriptionally regulate gene expression. Recent evidence shows that adenosine
deaminases that act on RNA (ADAR) can edit miRNAs. miRNAs are involved in the
development of different diseases, such as idiopathic pulmonary fibrosis (IPF).
In IPF, about 40% of the miRNAs are differentially expressed with respect to
controls. Among these miRNAs, miRNA-21 has been found over-expressed in IPF and
its targets are anti-fibrosing molecules such as PELI1 and SPRY2. The objective
of this study is to determine the role of ADAR1 and 2 on the expression of miRNA
21 in human lung fibroblasts trough quantification of gene expression, protein
levels, and overexpression of ADAR1 and 2. METHODS: Six control and six fibrotic
primary fibroblast cell cultures were used for RNA extraction, ADAR1, ADAR2,
PELI1, SPRY2, miRNA-21, and pri-miRNA-21 expression was measured. Subsequently,
two fibrotic fibroblast cultures were used for overexpression of ADAR1 and ADAR2,
and they were stimulated with TGFbeta1. Real-time PCR and Western blot were
performed. RESULTS: ADAR1 is significantly downregulated in IPF fibroblasts; the
overexpression of ADAR1 and ADAR2 reestablishes the expression levels of miRNA
21, PELI1, and SPRY2 in fibroblasts of patients with IPF. CONCLUSION: These
changes in the processing of miRNAs have great value in pathology diagnosis,
including lung diseases, and play an important role in the understanding of
molecular mechanisms involved in the development of different pathologies, as
well as representing new therapeutic targets.
PMID- 29637276
TI - Combining ecosystem services assessment with structured decision making to
support ecological restoration planning.
AB - Accounting for ecosystem services in environmental decision making is an emerging
research topic. Modern frameworks for ecosystem services assessment emphasize
evaluating the social benefits of ecosystems, in terms of who benefits and by how
much, to aid in comparing multiple courses of action. Structured methods that use
decision analytic-approaches are emerging for the practice of ecological
restoration. In this article, we combine ecosystem services assessment with
structured decision making to estimate and evaluate measures of the potential
benefits of ecological restoration with a case study in the Woonasquatucket River
watershed, Rhode Island, USA. We partnered with a local watershed management
organization to analyze dozens of candidate wetland restoration sites for their
abilities to supply five ecosystem services-flood water retention, scenic
landscapes, learning opportunities, recreational opportunities, and birds. We
developed 22 benefit indicators related to the ecosystem services as well as
indicators for social equity and reliability that benefits will sustain in the
future. We applied conceptual modeling and spatial analysis to estimate indicator
values for each candidate restoration site. Lastly, we developed a decision
support tool to score and aggregate the values for the organization to screen the
restoration sites. Results show that restoration sites in urban areas can provide
greater social benefits than sites in less urban areas. Our research approach is
general and can be used to investigate other restoration planning studies that
perform ecosystem services assessment and fit into a decision-making process.
PMID- 29637275
TI - The molecular characterisation of Cryptosporidium species in relinquished dogs in
Great Britain: a novel zoonotic risk?
AB - Surveillance was conducted to investigate the occurrence of protozoan parasites
of the genus Cryptosporidium in dogs newly admitted to a dog rehoming charity in
London, Great Britain. Voided faecal samples were collected from all new
admissions between 2011 and 2012 during six separate 4-week sampling periods.
Information on host signalment, including age, breed and reason for submission
and faecal consistency, was collected. Polymerase Chain Reaction (PCR) targeting
the 18S ribosomal RNA gene, confirmed by sequencing, was conducted on the faecal
samples to detect Cryptosporidium genomic DNA and determine Cryptosporidium
identity. In total, 677 dogs were included in the study. The prevalence of
Cryptosporidium-positive faecal samples was 4.6% (31/676). There were positive
samples in all of the six sampling periods. Cryptosporidium canis (n = 28), C.
parvum (n = 2) and C. andersoni (n = 1) were identified. Sixty KDa glycoprotein
(gp60) gene amplicon sequencing of the C. parvum samples identified genotypes
IIaA17G1R1 and IIaA15G2R1 for the first time from a dog. There were no
significant associations between signalment data and Cryptosporidium status.
While this was a study of one rehoming shelter, the presence of the potentially
zoonotic C. parvum and C. canis in dogs highlights a public health concern.
Further research is needed to better understand the epidemiology and potential
impacts of Cryptosporidium infection in dogs.
PMID- 29637277
TI - Quantifying the Hydrological Effect of Permitted Water Abstractions across
Spatial Scales.
AB - Water abstraction from rivers and aquifers has considerable potential to alter
flow regimes, thereby influencing the physical, chemical, and ecological well
being of freshwater ecosystems. The economic and social benefits of abstraction
need to be balanced against its potentially deleterious consequences for
hydrologically-driven ecological functions, ecosystem services, cultural values,
and recreation. In New Zealand, recent legislation states that limits for the use
of water resources should be set for all waterbodies to manage the potential
cumulative impacts of abstraction and reduce allocation of the hydrological
resource in over-allocated catchments. These limits must comprise at least a
predefined minimum flow (the flow at which all abstraction must cease) and a
total allocation (the maximum rate of abstraction summed across upstream
abstractions). Over-allocation occurs when the sum of all upstream abstractions
exceeds the total allocation. A national database describing consents to abstract
water was collated. A replicable, transferable, and objective method was applied
to calculate total allocation at the national, catchment, and reach scales across
the entire country. Total allocation for each catchment was expressed by mapping
Weighted Allocation Impact; an index that integrates magnitude and spread of
water resource allocation across entire catchments. Results show that existing
consents have caused over-allocation in several catchments, prompting questions
about how to reduce abstraction in these locations.
PMID- 29637278
TI - Integrating Qualitative and Quantitative Methods in Participatory Modeling to
Elicit Behavioral Drivers in Environmental Dilemmas: the Case of Air Pollution in
Talca, Chile.
AB - The aim of this paper is to contribute to the exploration of environmental
modeling methods based on the elicitation of stakeholders' mental models. This
aim is motivated by the necessity to understand the dilemmas and behavioral
rationales of individuals for supporting the management of environmental
problems. The methodology developed for this paper integrates qualitative and
quantitative methods by deploying focus groups for the elicitation of the
behavioral rationales of the target population, and grounded theory to code the
information gained in the focus groups and to guide the development of a dynamic
simulation model. The approach is applied to a case of urban air pollution caused
by residential heating with wood in central Chile. The results show how the
households' behavior interrelates with the governmental management strategies and
provide valuable and novel insights into potential challenges to the
implementation of policies to manage the local air pollution problem. The
experience further shows that the developed participatory modeling approach
allows to overcome some of the issues currently encountered in the elicitation of
individuals' behavioral rationales and in the quantification of qualitative
information.
PMID- 29637280
TI - Six thousand papers already: "the outcome of a matter is better than its
beginning...".
PMID- 29637279
TI - Active pharmacovigilance in China: recent development and future perspectives.
AB - BACKGROUND: The effectiveness of active pharmacovigilance depends on the specific
methods adopted and the work patterns put into place. Over the past few years,
much attention has been paid to the importance of implementing such systems in
China. However, less has been done to evaluate the state of implementation and
future needs of such systems. METHODS: This paper reviews China's recent
approaches to active pharmacovigilance by examining: (1) information collected
from scientific articles and the websites of the China Food and Drug
Administration and the China National Center for Adverse Drug Reaction
Monitoring, (2) information available on China's spontaneous reporting systems
(SRS) and active pharmacovigilance system, and (3) annual reports and internal
reports on this subject. RESULTS: Areas that improved most meaningfully for
China's active pharmacovigilance in recent years appear to include: (1) quicker
reporting and more intelligent scanning methods for adverse drug reactions
(ADRs), (2) the use of pharmacovigilance approaches to mine electronic hospital
records, and (3) the development of integrated systems including the China
Hospital Pharmacovigilance System and China Sentinel Hospital Alliance Program.
CONCLUSION: Not only has the national online SRS system provided a platform for
passive pharmacovigilance, it has also become an important platform for various
explorations of active pharmacovigilance in China. Quick reporting and
intelligent scanning of ADRs, facilitated by automated ADR detection based on
electronic hospital records, have accelerated to capabilities for active
pharmacovigilance. The outcomes of the programs, such as the China Hospital
Pharmacovigilance System and China Sentinel Hospital Alliance Program, usher in a
new era for China's active pharmacovigilance. These are particularly important to
bridge the information system of sentinel hospitals and ADR research centers, but
gaps remain. Thus, much work needs to be done before a high-level active
pharmacovigilance system is sufficiently mature to ensure drug safety in the
country.
PMID- 29637281
TI - Minimally invasive treatment for osteonecrosis of the femoral head with
angioconductive bioceramic rod.
AB - PURPOSE: To describe the rationale, the surgical technique, and the short-term
follow-up results of a new minimally invasive treatment of osteonecrosis of the
femoral head (ONFH) with an angioconductive bioceramic rod (ABR) implant.
METHODS: Sixty-two patients (72 hips) with ARCO stage IIA-IIIC ONFH treated with
the minimally invasive ABR from January 2012 to December 2016 were reviewed (17
females, 45 males, mean age 44.49). This technique used the angioconductive
properties of the porous implant to repair the necrosis by driving
vascularization from the trochanter to the necrotic area. Patients had a mean
follow-up period of 26.74 months. The outcomes were evaluated by hip joint
survival, radiograph, and the Harris Hip Score (HHS). The complications occurred
during the treatment period were recorded. RESULTS: No serious post-operative
complications occurred during the treatment. The overall joint survival rate was
90.27%, with seven conversions to THA. Improvements were observed in 23 (31.95%)
hips, 24 (33.33%) hips remained stable, and 25 (34.72%) hips had worse results
according to the radiographic evaluation. The mean HHS at the end follow-up
significantly improved compared to the pre-operative mean HHS (82.27 vs 58.14, p
< 0.001). In both radiographic evaluation and HHS, the treatment was more
effective on patients beneath 44 years old (p < 0.05); ARCO stage II compared to
stage III (p < 0.05); and China-Japan Friendship Hospital (CJFH) type C compared
to CJFH type L (p < 0.05). CONCLUSIONS: The minimally invasive treatment of ONFH
with ABR showed promising results in delaying or even terminating the progression
of the necrosis and improving hip function, especially in younger patients and in
the early stages of the disease.
PMID- 29637282
TI - The efficacy and safety of multiple-dose oral tranexamic acid on blood loss
following total hip arthroplasty: a randomized controlled trial.
AB - PURPOSES: To explore the efficacy and safety of multiple-dose oral tranexamic
acid (TXA) on blood loss following primary total hip arthroplasty (THA). METHODS:
A total of 152 patients were randomized into three groups to receive 2 g of oral
TXA two hours pre-operatively (group A), or another bolus of 2 g of oral TXA
four hours post-operatively (group B), or another three boluses of 2 g of oral
TXA four, ten, and 16 hours post-operatively (group C). The primary outcomes were
total blood loss (TBL), hidden blood loss (HBL), and transfusion rate. The
secondary outcomes were haemoglobin (Hb) and haematocrit (Hct) drop, the level of
fibrinolysis parameters (fibrin degradation products, D-dimer), and complications
(thrombotic diseases, stroke, cardiac infarction, and infection). RESULTS: The
mean TBL and HBL in group C were lower than those in group A (p < 0.001 and p <
0.001) and group B (p = 0.012 and p = 0.029). The Hb drop on post-operative day
one (POD1) and POD3 in group C was lower than those in group A (p < 0.001 and p =
0.029) and group B (p < 0.001 and p = 0.004). The difference was similar
regarding Hct drop on POD3 (p < 0.001 and p = 0.014). Moreover, fibrin
degradation products and D-dimer in group C were lower than in groups A and B on
POD1 and POD3 (p < 0.001 and p < 0.001). The incidence of complications such as
venous thromboembolism did not differ significantly among the three groups (p >
0.05). CONCLUSIONS: Multiple boluses of oral TXA could further reduce blood loss,
Hb and Hct drop, and restrain post-operative fibrinolysis in primary THA without
increasing the risk of complications. LEVEL OF EVIDENCE I: Therapeutic study.
PMID- 29637283
TI - Results of the Latarjet coracoid bone block procedure performed by mini invasive
approach.
AB - INTRODUCTION: The coracoid block technique described by Latarjet was modified by
Patte and Walch in order to increase the glenoid surface. Saragaglia further
modified this technique and described a minimally invasive approach which allows
faster post-operative recovery. The aim of this study was to evaluate the medium
term functional and radiological results of this technique. METHODS: This is a
single surgeon cohort of 40 shoulders in 38 patients (32 men, 6 women) with an
average age of 34.5 years operated on between January and December 2014. The skin
incision was 3 to 6 cm long allowing the bony block to be passed under the
subscapularis tendon without sectioning it and to be placed in lying position.
The bone block was fixed with a 6.5 cancellous screw or a 7.0 cannulated screw.
RESULTS: At an average follow-up of 48 months, there were no recurrent
dislocations. The average WOSI score was 42, the average Constant score was 95
corrected to 97% and the average SSV was 97. Visual analogue scores were 0 at
rest and 0.6 with activity. The bone block healed in 92.5% of cases. It was flush
with the edge of the glenoid in 84% of cases, lateralised in 10% and medialised
in 6% of cases. Mean internal rotation power was 12 kg in the operated shoulder
compared with 9 kg in the non-operated shoulder. CONCLUSION: The treatment of
recurrent anterior shoulder instability by mini invasive Latarjet gives excellent
medium-term functional results. The rate of recurrent dislocation in this series
was zero and internal rotation power was well preserved. This is an excellent
alternative to arthroscopic procedures which are yet to demonstrate their
superiority over open surgery.
PMID- 29637284
TI - Social competence and psychopathology in early childhood: a systematic review.
AB - The acquisition of social competence, such as showing prosocial behaviour
(fulfilling others' needs) and social initiative (fulfilling own needs),
constitutes one major developmental task in childhood and adolescence. Previous
research suggests that in middle childhood, impaired social competences are
related to childhood psychopathology, such as externalizing and internalizing
disorders. As the period of preschool age is a particularly important time for
both the development of social competence and early psychopathological symptoms,
we conducted a systematic review to investigate the role of social competence in
relation to early childhood psychopathology. Twenty-one clinical as well as
subclinical studies published prior to September 2016 were included in a
qualitative analysis of the relation between prosocial behaviour, social
initiative, and early externalizing and internalizing symptoms in preschool age
children (age 3-6). Effect sizes for each study were calculated if required
information was available. Our review suggests that from early on in childhood
development, externalizing symptoms are accompanied by prosocial behaviour
deficits such as lower levels of helping or cooperating, whereas internalizing
symptoms may be accompanied by either deficient or excessive levels of prosocial
behaviour. Exhibiting social initiative such as initiating contact with others or
communicating one's own needs seems to be impaired in children with internalizing
symptoms. Implications for current theory and future research are discussed.
PMID- 29637286
TI - The biogenesis of iron-sulfur proteins: from cellular biology to molecular
aspects.
PMID- 29637285
TI - Study of cytokinin transport from shoots to roots of wheat plants is informed by
a novel method of differential localization of free cytokinin bases or their
ribosylated forms by means of their specific fixation.
AB - The aim of the present report was to demonstrate how a novel approach for
immunohistochemical localization of cytokinins in the leaf and particularly in
the phloem may complement to the study of their long-distance transport.
Different procedures of fixation were used to conjugate either cytokinin bases or
their ribosides to proteins of cytoplasm to enable visualization and differential
localization of these cytokinins in the leaf cells of wheat plants. In parallel
to immunolocalization of cytokinins in the leaf cells, we immunoassayed
distribution of free bases of cytokinins, their nucleotides and ribosides between
roots and shoots of wheat plants as well as their presence in phloem sap after
incubation of leaves in a solution supplemented with either trans-zeatin or
isopentenyladenine. The obtained data show ribosylation of the zeatin applied to
the leaves and its elevated level in the phloem sap supported by in vivo
localization showing the presence of ribosylated forms of zeatin in leaf vessels.
This suggests that conversion of zeatin to its riboside is important for the
shoot-to-root transport of zeatin-type cytokinins in wheat. Exogenous
isopentenyladenine was not modified, but diffused from the leaves as free base.
These metabolic differences may not be universal and may depend on the plant
species and age. Although the measurements of cytokinins in the phloem sap and
root tissue is the most defining for determining cytokinin transport, study of
immunolocalization of either free cytokinin bases or their ribosylated forms may
be a valuable source of information for predicting their transport in the phloem
and to the roots.
PMID- 29637287
TI - The effect of chronic stimulation of serotonin receptor type 7 on recognition,
passive avoidance memory, hippocampal long-term potentiation, and neuronal
apoptosis in the amyloid beta protein treated rat.
AB - RATIONALE: Alzheimer's disease (AD) is a neurodegenerative disorder characterized
by memory impairment, neuronal death, and synaptic loss in the hippocampus. Long
term potentiation (LTP), a type of synaptic plasticity, occurs during learning
and memory. Serotonin receptor type 7 (5-HTR7) activation is suggested as a
possible therapeutic target for AD. OBJECTIVE: The aim of the present study was
to examine the effects of chronic treatment with the 5-HTR7 agonist, AS19, on
cognitive function, memory, hippocampal plasticity, amyloid beta (Abeta) plaque
accumulation, and apoptosis in an adult rat model of AD. METHODS: AD was induced
in rats using Abeta (single 1 MUg/MUL intracerebroventricular (icv) injection
during surgery). The following experimental groups were included: control, sham
operated, Abeta + saline (1 MUL icv for 30 days), and Abeta + AS19 (1 MUg/MUL icv
for 30 days) groups. The animals were tested for cognition and memory performance
using the novel object recognition and passive avoidance tests, respectively.
Next, anesthetized rats were placed in a stereotaxic apparatus for electrode
implantation, and field potentials were recorded in the hippocampal dentate
gyrus. Lastly, brains were removed and Abeta plaques and neuronal apoptosis were
evaluated using Congo red staining and TUNEL assay, respectively. RESULTS:
Administration of AS19 in the Abeta rats increased the discrimination index of
the novel object recognition test. Furthermore, AS19 treatment decreased time
spent in the dark compartment during the passive avoidance test. AS19 also
enhanced both the population spike (PS) amplitude and the field excitatory
postsynaptic potential (fEPSP) slope evoked potentials of the LTP components.
Abeta plaques and neuronal apoptosis were decreased in the AS19-treated Abeta
rats. CONCLUSIONS: These results indicate that chronic treatment with a 5-HTR7
agonist can prevent Abeta-related impairments in cognition and memory performance
by alleviating Abeta plaque accumulation and neuronal apoptosis, hence improving
neuronal plasticity. AS19 may be useful as a therapeutic agent for AD.
PMID- 29637288
TI - Effects of cariprazine on extracellular levels of glutamate, GABA, dopamine,
noradrenaline and serotonin in the medial prefrontal cortex in the rat
phencyclidine model of schizophrenia studied by microdialysis and simultaneous
recordings of locomotor activity.
AB - RATIONALE: Aberrant glutamatergic, dopaminergic, and GABAergic neurotransmission
has been implicated in schizophrenia. Cariprazine reverses the behavioral effects
observed in the rat phencyclidine (PCP)-induced model of schizophrenia; however,
little is known about its in vivo neurochemistry. OBJECTIVES: The study aims to
compare the effects of cariprazine and aripiprazole on PCP-induced changes in the
extracellular levels of glutamate, dopamine, serotonin, noradrenaline, and GABA
in the rat medial prefrontal cortex (mPFC), and on locomotor activation. METHODS:
Microdialysis was performed in awake rats with probes placed into the mPFC. Rats
(n = 7/group) received vehicle (saline), cariprazine (0.05, 0.2, or 0.8 mg/kg),
or aripiprazole (3 or 20 mg/kg) via gavage. After 60 min, 5 mg/kg PCP was
administered intraperitoneally (i.p.). Samples were taken before drug
administration, during pretreatment, and after PCP injection. Locomotor activity
recording and microdialysis sampling occurred simultaneously. RESULTS: PCP
treatment increased extracellular levels of all the neurotransmitters tested
except GABA, for which there were no significant changes. Cariprazine and
aripiprazole dose-dependently inhibited the PCP-induced increases of tested
neurotransmitters. Overall effects were significant for higher cariprazine doses
and both aripiprazole doses for glutamate and noradrenaline, for higher
cariprazine doses and 20 mg/kg aripiprazole for dopamine, and for 0.8 mg/kg
cariprazine and 20 mg/kg aripiprazole for serotonin and locomotor activity.
CONCLUSION: Both cariprazine and aripiprazole dose-dependently attenuated PCP
induced hyperlocomotion and acute increases in glutamate, dopamine,
noradrenaline, and serotonin levels in the mPFC; cariprazine was approximately 5
fold more potent than aripiprazole.
PMID- 29637289
TI - Luteibacter pinisoli sp. nov., a casein degrading bacterium isolated from
rhizospheric soil of Pinus koraiensis.
AB - A yellow pigmented, Gram-staining negative, motile and rod-shaped novel bacterial
strain, designated MAH-14T was isolated from rhizospheric soil and was
characterized using a polyphasic approach. The isolated strain was aerobic,
oxidase and catalase were positive, optimum growth temperature and pH were 28-30
degrees C and 6.5, respectively. The novel strain is able to hydrolyze casein,
starch, esculin, gelatin, L-tyrosine, DNA, tween 80, tween 20, L-arginine and 4
nitrophenyl-BD-galactopyranoside. On the basis of 16S rRNA gene sequence
analysis, strain MAH-14T belongs to the genus Luteibacter and is most closely
related to Luteibacter yeojuensis R2A16-10T (98.5%), Luteibacter anthropi CCUG
25036T (98.4%) and Luteibacter rhizovicinus LJ96T (98.3%). In DNA-DNA
hybridization experiments, the DNA relatedness between strain MAH-14T and its
closest phylogenetic neighbor was below 45.0%. The predominant respiratory
quinone and the DNA G + C content of the novel strain were ubiquinone-8 and 63.5
mol%, respectively. The novel strain MAH-14T is able to produce flexirubin-type
pigments. The major cellular fatty acids were C15:0 iso, summed feature 3 (C16:1
omega7c and/or C16:1 omega6c) and summed feature 9 (C17:1 iso omega9c and/or
C16:0 10-methyl). The DNA-DNA hybridization results and results of the genotypic
analysis in combination with chemotaxonomic and physiological data revealed that
strain MAH-14T represented a novel species within the genus Luteibacter, for
which the name Luteibacter pinisoli, is proposed. The type strain is MAH-14T (=
KACC 19298T = CGMCC 1.16227T).
PMID- 29637290
TI - Strand-specific RNA-seq analysis of the Acidithiobacillus ferrooxidans
transcriptome in response to magnesium stress.
AB - Bioleaching is a promising process for 350 million tons Jinchuan low-grade
pentlandite. But, Jinchuan pentlandite has lots of magnesium and high
concentration of Mg2+ is harmful to bioleaching microorganisms. Thus, finding a
way to improve the adaption of microorganisms to Mg2+ is a key for bioleaching.
In the study, we found that oxidizing activity, bioleaching ability and biofilm
formation of A.f were inhibited by Mg2+ stress. In addition, we analyzed mRNA and
small RNA (sRNA) of Acidithiobacillus ferrooxidans (A.f) under Mg2+ stress by
strand-specific RNA-sequencing (ssRNA-seq). After the bioinformatics process,
2475 coding genes were obtained, and there were 33 differential expression genes
(DEGs) in 0.1 M-VS-Con, including 28 down-regulated and 5 up-regulated, whereas
52 DEGs were obtained in 0.5 M-VS-Con, including 28 down-regulated and 24 up
regulated. Gene ontology analysis showed most of DEGs were involved in catalytic
activity, metabolic process and single-organism process. Furthermore, we
identified 636 sRNA and some differential expression sRNA that may respond to
Mg2+ stress. Further analysis of DEGs suggested that Mg2+ stress reduced biofilm
formation perhaps through inhibiting Type IV Pili-related gene expression and
inhibited bacterial activity perhaps through affecting carbon fixation. The study
provided the foundation to understand the mechanisms of Mg2+ resistance in A.f
and may be helpful to improve bioleaching ability for pentlandit.
PMID- 29637291
TI - Efficient methanol-degrading aerobic bacteria isolated from a wetland ecosystem.
AB - Methylotrophs present in the soil play an important role in the regulation of one
carbon compounds in the environment, and thereby aid in mitigating global
warming. The study envisages the isolation and characterization of methanol
degrading bacteria from Kuttanad wetland ecosystem, India. Three methylotrophs,
viz. Achromobacter spanius KUT14, Acinetobacter sp. KUT26 and Methylobacterium
radiotolerans KUT39 were isolated and their phylogenetic positions were
determined by constructing a phylogenetic tree based on 16S rDNA sequences. In
vitro activity of methanol dehydrogenase enzyme, responsible for methanol
oxidation was evaluated and the genes involved in methanol metabolism, mxaF and
xoxF were partially amplified and sequenced. The specific activity of methanol
dehydrogenase (451.9 nmol min-1 mg-1) observed in KUT39 is the highest, reported
ever to our knowledge from a soil bacterium. KUT14 recorded the least activity of
50.15 nmol min-1 mg-1 and is the first report on methylotrophy in A. spanius.
PMID- 29637292
TI - Response to the letter to the editor Systemic inflammatory response in pediatric
central nervous system tumors.
PMID- 29637293
TI - Season structures prokaryotic partners but not algal symbionts in subtropical
hard corals.
AB - Coral reef ecosystems have great economic, social, and ecological value. The
ecological success of coral reef ecosystems critically depends on coral-algal
symbiosis and coral-prokaryotic partnership. However, seasonal changes underlying
these relationships in subtropical hard corals of Hong Kong are poorly studied.
Here, we compared the community changes of algal symbionts and prokaryotic
partners in Platygyra carnosa and Galaxea fascicularis from Hong Kong collected
at two seasonal time points of winter and summer via massively parallel
sequencing of genetic markers and multivariate analysis. The results indicated
that algal symbionts showed no significant changes between the two seasonal time
points but prokaryotic partners changed substantially. Prokaryotic partners
putatively involved in photosynthesis, nitrogen fixation, and sulfur oxidation
increased significantly from winter to summer, while prokaryotic partners
potentially associated with chemosynthesis, ammonia oxidation, and nitrite
oxidation decreased significantly from winter to summer. Dissolved oxygen and pH
served as the main contributors influencing prokaryotic partners in winter, while
temperature, turbidity, and salinity played a dominant role in shaping
prokaryotic partners in summer. The findings of the present study suggest that
season structures prokaryotic partners but not algal symbionts in subtropical
hard corals.
PMID- 29637294
TI - Enzymatic hydrolysis of rapeseed oil by Thermomyces lanuginosus lipase: variation
of continuous and dispersed phase in a slug flow reactor.
AB - This paper takes a look on the effects of mass transport limitation occurring in
hydrolysis of rape seed oil by means of an interfacial activated lipase from
Thermomyces lanuginosus. In order to carry out investigations for process
optimization, the slug flow reactor was chosen in which a large interfacial area
can be generated and the mass transport can be investigated individually for each
phase. The choice of the capillary material determines the dispersed and the
continuous phase. As shown by computational fluid dynamics simulation, the
continuous phase is well mixed due to wall effects. The mixing patterns in the
dispersed phase differ due to viscous forces between the phases. It was found
that, at the same fluid velocities, the conversion in the glass capillary is
higher than in the PTFE capillary. The surface-specific hydrolysis rate is used
for comparison purposes, since the properties of the capillary are different.
Increasing the velocity, the hydrolysis rate can be considerably increased in
comparison to stagnant conditions. Already at a fluid velocity of 1 mm s-1, the
hydrolysis rates increased to 2.3-fold in the glass capillary and moreover by a
factor of 4 in the PTFE capillary.
PMID- 29637295
TI - Second-site prosthetic joint infection in patients with multiple prosthetic
joints.
AB - INTRODUCTION: Prosthetic joint infections (PJIs) are among the most serious
complications in arthroplasty. A second-site PJI in patients with multiple
prosthetic joints increases morbidity, with many requiring further revision
procedures. We aimed to establish why some patients with multiple joints develop
second-site infections. METHODS: Our institution's arthroplasty database was
reviewed from 2004 to 2017. All PJIs were identified, and all patients with more
than one prosthetic joint in situ were included. We recorded risk factors,
causative organisms, number of procedures and length of stay. RESULTS: Forty-four
patients meeting the criteria were identified. Four patients (9.1%) developed
second-site infection. Eight patients (18.2%) developed re-infection of the
primary PJI. Positive MRSA carrier status and PJI of a total knee replacement
were associated with an increased risk of a second episode of infection. Patients
who developed further infection had more frequent admission and longer lengths of
stay than isolated PJIs. DISCUSSION: Higher morbidity and use of hospital
resources are associated with this cohort of patients. PJIs in total knee
replacements and positive MRSA status are associated with higher rates of second
infection. Identifying this vulnerable cohort of patients at an early stage is
critical to ensure measures are taken to reduce the risks of further infection.
PMID- 29637296
TI - Size-related shifts in carbon gain and growth responses to light differ among
rainforest evergreens of contrasting shade tolerance.
AB - Recent work suggests that plant size affects light requirements and carbon
balance of juvenile trees, and such shifts may be greater in light-demanding
species than in their more shade-tolerant associates. To explore the
physiological basis of such shifts, we measured juvenile light interception,
carbon gain and growth of four subtropical Australian rainforest trees differing
in shade tolerance, comparing individuals ranging from 13 to 238 cm in height,
across a wide range of understory environments. We hypothesized that even in a
standardized light environment, increasing sapling size would lead to declines in
net daily carbon gain of foliage and relative growth rates (RGR) of all species,
with declines more pronounced in light-demanding species. Crown architecture of
individuals was recorded using a 3-dimensional digitizer, and the YPLANT program
was used to estimate the self-shaded fraction of each crown and model net carbon
gain. Increased sapling size caused a significant increase in self-shading, and
significant declines in net daily carbon gain and RGR of light-demanding species,
while such ontogenetic variations were minimal or absent in shade-tolerant
species. Additionally, differences in the slope of the relationship between light
and RGR led to crossovers in RGR among shade-tolerant and light-demanding species
at low light. Our results show that the magnitude of ontogenetic variation in net
daily carbon gain and RGR can be substantial and may depend on successional
status, making it unsafe to assume that young seedling performance can be used to
predict or model responses of larger juvenile trees.
PMID- 29637297
TI - Receivers matter: the meaning of alarm calls and competition for nest sites in a
bird community.
AB - Animal communities may constitute information networks where individuals gain
information on predation risk by eavesdropping on alarm calls of other species.
However, communities include species in different trophic levels, and it is not
yet known how the trophic level of the receiver influences the informative value
of a call. Furthermore, no empirical study has yet tested how increased
competition may influence the value of alarm calls for distinct receivers. Here,
we identify the importance of alarm calls emitted by a small owl, the little owl
(Athene noctua), on the structure of a cavity-nesting bird community including
mesopredators and primary prey under variable levels of competition for nest
holes. Competitors sharing top predators with the callers and prey of the callers
interpreted alarm and non-alarm calls differently. Competitors chose
preferentially alarm and non-alarm patches over control patches to breed, while
prey selected alarm patches. In contrast, competition for nest sites affected
habitat selection of prey species more than that of competitors of the callers.
This study provides support for a changing value of alarm calls and competition
for nest sites for distinct receivers related to niche overlapping among callers
and eavesdroppers, therefore, calling attention to possible cascading effects by
the use of information in natural communities.
PMID- 29637298
TI - Pattern separation in the hippocampus: distinct circuits under different
conditions.
AB - Pattern separation is a fundamental hippocampal process thought to be critical
for distinguishing similar episodic memories, and has long been recognized as a
natural function of the dentate gyrus (DG), supporting autoassociative learning
in CA3. Understanding how neural circuits within the DG-CA3 network mediate this
process has received much interest, yet the exact mechanisms behind remain
elusive. Here, we argue for the case that sparse coding is necessary but not
sufficient to ensure efficient separation and, alternatively, propose a possible
interaction of distinct circuits which, nevertheless, act in synergy to produce a
unitary function of pattern separation. The proposed circuits involve different
functional granule-cell populations, a primary population mediates sparsification
and provides recurrent excitation to the other populations which are related to
additional pattern separation mechanisms with higher degrees of robustness
against interference in CA3. A variety of top-down and bottom-up factors, such as
motivation, emotion, and pattern similarity, control the selection of circuitry
depending on circumstances. According to this framework, a computational model is
implemented and tested against model variants in a series of numerical
simulations and biological experiments. The results demonstrate that the model
combines fast learning, robust pattern separation and high storage capacity. It
also accounts for the controversy around the involvement of the DG during memory
recall, explains other puzzling findings, and makes predictions that can inform
future investigations.
PMID- 29637299
TI - A Smooth Esophageal Stricture Causing Dysphagia.
AB - Dysphagia in patients with lung cancer is usually due to direct invasion from
bronchogenic carcinomas or nodal localizations, while metastases from distant
lung neoplasms are considered rare. We report a case of a smooth esophageal
narrowing secondary to intramural metastasis from pulmonary adenocarcinoma in a
patient with no previous history of neoplasia. Since standard linear
echoendoscope could not overpass the malignant stricture, we obtained a
histological diagnosis by fine-needle aspiration biopsy using an echobronchoscope
(EBUS), due to its lower diameter. The EBUS scope represents a valuable tool to
obtain cytological specimens in patients with esophageal strictures.
PMID- 29637300
TI - Single-Cell RNA-Sequencing in Glioma.
AB - PURPOSE OF REVIEW: In this review, we seek to summarize the literature concerning
the use of single-cell RNA-sequencing for CNS gliomas. RECENT FINDINGS: Single
cell analysis has revealed complex tumor heterogeneity, subpopulations of
proliferating stem-like cells and expanded our view of tumor microenvironment
influence in the disease process. Although bulk RNA-sequencing has guided our
initial understanding of glioma genetics, this method does not accurately define
the heterogeneous subpopulations found within these tumors. Single-cell
techniques have appealing applications in cancer research, as diverse cell types
and the tumor microenvironment have important implications in therapy. High cost
and difficult protocols prevent widespread use of single-cell RNA-sequencing;
however, continued innovation will improve accessibility and expand our of
knowledge gliomas.
PMID- 29637301
TI - [Bilateral corneal calcification in ocular graft-versus-host disease].
PMID- 29637303
TI - [Ophthalmologic healthcare utilization of people in need of long-term care :
Analyses of health insurance data of the AOK Baden-Wurttemberg].
AB - INTRODUCTION: Eye diseases causing visual impairment increase with age. Thus,
seeking eye care has a higher probability in older people. In this study, the
rate of utilization of outpatient eye care services in Germany was analyzed. The
analyses focused on older persons and persons in need of either home-based or
facility-based long-term care. METHODS: A descriptive secondary data analysis of
health insurance data of the AOK Baden-Wurttemberg from 2016 was conducted. The
study population comprised all insured persons on 1 January 2016. The cohort of
older persons (60 years+) was further stratified by the type of care (home
based/facility-based) and the level of care (0-3). The utilization of outpatient
eye care services was defined by the reimbursement for an ophthalmologist's
provision of service. RESULTS: While 39.3% of the study population 60+ years old
sought eye care, the utilization rate was lower among people in need of home
based (33.0%) and facility-based care (19.3%). The utilization rates showed
comparable age-dependent patterns, except for persons in need of facility-based
care where rates were similar for all age groups. Utilization rates were
negatively associated with increasing care levels. Only people with care level 0
showed lower utilization rates than people with care level 1. DISCUSSION:
Utilization rates of eye healthcare services among older persons are considerably
influenced by the need of long-term care, by the form of care as well as by the
level of care.
PMID- 29637302
TI - [Treated cases of retinopathy of prematurity in Germany : 5-year data from the
Retina.net ROP registry].
AB - BACKGROUND: Retinopathy of prematurity (ROP) is one of the main reasons for
childhood blindness. The number of infants requiring treatment, however, is low
for individual centers. The Retina.net ROP registry has been founded to allow a
joint analysis of treatment patterns and courses post treatment. OBJECTIVE: This
paper reports treatment patterns over 5 years. MATERIAL AND METHODS: All infants
born between January 2011 and December 2015 who were entered into the treatment
registry by one of the 12 participating centers were analyzed. RESULTS: The data
of 150 infants (292 eyes) were analyzed and ROP 3+ in zone II was the most
prevalent treatment indication. Gestational age and birth weight remained stable
over the years. The treatment patterns, however, changed with anti-VEGF treatment
(bevacizumab or ranibizumab) accounting for only 10% of treated eyes in 2011 but
for 56% and 30% in 2014 and 2015, respectively. Almost all eyes with AP-ROP or
zone I disease received anti-VEGF treatment. Zone II disease was predominantly
treated with laser photocoagulation. Recurrences were more common and appeared
later in the anti-VEGF group compared to the laser group (23%/interval 60 days
vs. 17%/interval 23 days). Perioperative complications were evenly distributed
across treatment groups. CONCLUSION: The data in this analysis represent about 10
15% of treated infants in Germany. The results provide evidence for an increasing
use of anti-VEGF agents for ROP. The data reflect a selection bias for anti-VEGF
treatment in eyes with a more aggressive disease. This needs to be considered
when interpreting data such as disease recurrence rates. The risk for late
recurrences after anti-VEGF treatment is of particular clinical significance.
PMID- 29637304
TI - Intracranial hemorrhage in term neonates.
AB - BACKGROUND: Intracranial hemorrhage (ICH) is an uncommon but important cause of
morbidity and mortality in term neonates; currently, ICH is more frequently
diagnosed because of improved neuroimaging techniques. PURPOSE: The study aims to
evaluate the clinical characteristics and neuroimaging data (pattern, size,
distribution) of neonatal ICH. METHODS: We reviewed MRI data from July 2004 to
June 2015 for 42 term neonates with ICH who were less than 1 month old. We
recorded clinical data and manifestations, mode of delivery, Apgar score at 1 and
5 min, associated hypoxic insult, birth trauma, neurological symptoms, EEG
results, extent and site of hemorrhage, neurosurgical intervention, and
developmental outcomes. The clinical outcome was determined for 27 neonates. Risk
factors were assessed in relation to ICH. RESULTS: A total of 42 neonates who
presented with ICH underwent MR imaging 2 to 22 days postnatally (mean age 9.3
days). The majority of clinical symptoms were present in patients within the
first 24 h of life (n = 31), but symptoms appeared until day 10 postnatally (mean
4.9 days, n = 11). Seizure or seizure-like activity was the most common
presenting symptom (17/42, 40.5%), with apnea seen in another seven infants
(7/42, 16.7%). The majority of infants had a normal prenatal course. Two patients
had antenatally detected hydrocephalus. Ten had infratentorial hemorrhage, and
two had supratentorial hemorrhage. A total of 30 infants had a combination of
infratentorial and supratentorial hemorrhage. Subdural hemorrhage (SDH) was the
most common type of hemorrhage (40/42, 95.2%), followed by nine cases of
parenchymal hemorrhage, seven of subarachnoid hemorrhage, three of germinal
matrix hemorrhage (GMH), and one of epidural hemorrhage (EDH). A total of 16
infants had two or more types of hemorrhage. SDH was identified along the
tentorium (n = 38) as well as over the cerebellar hemispheres (n = 39), along the
interhemispheric fissure (n = 10), and over the occipital (n = 13) or
parietooccipital (n = 11) lobes. Intraparenchymal hemorrhage involved either the
frontal (n = 4), parietal (n = 3), or cerebellar (n = 2) lobes. Traumatic
delivery was suspected in 20 patients (47.6%), and perinatal asphyxia was present
in 21 patients (50.0%). A low Apgar score at 5 min and a history of perinatal
asphyxia were the factors that most predicted poor clinical outcomes (n = 12/27).
Logistic regression analysis revealed that a history of perinatal asphyxia
resulted in poor outcomes. No patients died. One infant required burr hole
drainage of a right parietal EDH, one infant needed a subcutaneous reservoir, and
three infants required a ventriculoperitoneal shunt for obstructive
hydrocephalus. CONCLUSION: SDH was the most common type of ICH in term infants.
Combined supratentorial and infratentorial hemorrhage was more common than
isolated infratentorial hemorrhage in these infants. A total of 44.4% of patients
had poor outcomes, with perinatal asphyxia the most common statistically
significant cause.
PMID- 29637305
TI - Peripheral nervous system maturation in preterm infants: longitudinal motor and
sensory nerve conduction studies.
AB - OBJECTIVE: To study the evolution of sensory-motor nerves in the upper and lower
limbs in neurologically healthy preterm infants and to use sensory-motor studies
to compare the rate of maturation in preterm infants at term age and full-term
healthy neonates. METHODS: The study comprised 26 neurologically normal preterm
infants born at 23-33 weeks of gestational age, who underwent sensory nerve
conduction and motor nerve conduction studies from plantar medial and median
nerves and from tibial and ulnar nerves, respectively. We repeated the same
neurophysiological studies in 19 of the preterm infants every 2 weeks until
postnatal term age. The data from the preterm infants at term was matched with a
group of ten full-term babies a few days after birth. RESULTS: The motor nerve
conduction velocity of the tibial and ulnar nerves showed progressive increases
in values in relation to gestational age, but there was a decrease of values in
distal latencies and F wave latencies. Similarly, there was a gradual increase of
sensory nerve conduction velocity values of the medial plantar and median nerves
and decreases in latencies in relation to gestational age. At term age, the
preterm infants showed significantly lower values of conduction velocities and
distal latencies than the full-term neonates. These results were probably because
the preterm infants had significantly lower weights, total length and, in
particular, distal segments of the limbs at term age. CONCLUSION: The sensory
motor conduction parameters were clearly related to gestational age, but
extrauterine life did not affect the maturation of the peripheral nervous system
in the very preterm babies who were neurologically healthy.
PMID- 29637306
TI - Effect of TGFbeta1, TGFbeta3 and keratinocyte conditioned media on functional
characteristics of dermal fibroblasts derived from reparative (Balb/c) and
regenerative (Foxn1 deficient; nude) mouse models.
AB - Skin injuries in mammals are healed through repair or regeneration. Our previous
studies demonstrated that deficient expression of the transcription factor Foxn1
in epidermis of nude mice accounts for their skin's pronounced regenerative
properties. Since homeostasis within the skin depends on complex interactions
between the epidermal and underlying dermal layers, the present study
characterizes and compares isolated dermal fibroblasts (DFs) between regenerative
nude (Foxn1 deficient) mice and their wild-type Balb/c counterparts. Nude DFs
exhibited a higher cumulative number of population doublings (cumulative PD) at
low seeding density and increased adipogenic differentiation capacity relative to
their Balb/c DF counterparts. Nude DFs displayed reduced migration and gel
contraction, functional features associated with wound healing. The comparison of
transforming growth factor beta family (TGFbeta) expression showed significantly
higher levels of Tgfbeta3 transcript between nude and Balb/c mice but no
differences were detected for Tgfbeta1. Nude DFs were specifically sensitive to
the presence of the pro-regenerative TGFbeta3 isoform, showing increased collagen
I deposition and alpha smooth muscle actin expression. Viability of Balb/c DFs
was stimulated by keratinocyte conditioned media (KCM) from Balb/c (Foxn1 active)
but inhibited by nude (Foxn1 deficient) KCM. In contrast, nude DFs did not
respond to either KCMs with respect to their metabolic activity. Collectively,
the enhanced plasticity and greater sensitivity of nude DFs to TGFbeta3
stimulation are indicative of and consistent with their pro-regenerative
characteristics. These data support the hypothesis that epidermal Foxn1 plays a
critical role in determining the DFs regenerative phenotype.
PMID- 29637307
TI - The role of HMGB1 in BMSC transplantation for treating MODS in rats.
AB - The effect of bone marrow mesenchymal stem cells (BMSCs) in treatment for
multiple organ dysfunction syndrome (MODS) remains unknown and the mechanism is
still unclear. Therefore, the goal of this study is to investigate the effects of
intracellular high mobility group box 1 protein (HMGB1) on BMSCs treating for
MODS. The rats were given 15% blood loss plus 1 mg/kg lipopolysaccharide (LPS)
via lower extremity superficial venous, then randomly allocated into four groups:
sham group, MODS group, MODS plus BMSC group, MODS plus ethyl pyruvate (EP)
group, MODS plus BMSCs plus EP group. Twenty-four hours later, rats in groups
were sacrificed and then the blood and tissues were collected to evaluate the
changes of tissue histopathology, cell apoptosis, inflammation level and organ
function. The HGMB1 expression was monitored by RT-qPCR and Western blot. The
expression of RAGE/TLR2/TLR4 and NF-kappaB at the protein levels was also
assessed. BMSCs and/or EP exhibits an outstanding protective effect against LPS
induced histopathological injury by improving cell apoptosis, inflammatory
response and the organ dysfunction but no effect on BMSC homing to the injury
site. Moreover, BMSCs and/or EP inhibited LPS-induced upregulation of HMGB1,
RAGE, TLR2 and TLR4 expression at protein levels and compromised p65
phosphorylation in the rat model of MODS. These findings suggest that HMGB1 is
involved in BMSC treatment for MODS, through regulation of the TLR2, TLR4
mediated NF-kappaB signal pathway. It suggests that HMGB1 is an attractive
potential target for the development of new therapeutic strategies for MODS.
PMID- 29637308
TI - Approaches to cutaneous wound healing: basics and future directions.
AB - The skin provides essential functions, such as thermoregulation, hydration,
excretion and synthesis of vitamin D. Major disruptions of the skin cause
impairment of critical functions, resulting in high morbidity and death, or leave
one with life-changing cosmetic damage. Due to the complexity of the skin,
diverse approaches are needed, including both traditional and advanced, to
improve cutaneous wound healing. Cutaneous wounds undergo four phases of healing.
Traditional management, including skin grafts and wound dressings, is still
commonly used in current practice but in combination with newer technology, such
as using engineered skin substitutes in skin grafts or combining traditional
cotton gauze with anti-bacterial nanoparticles. Various upcoming methods, such as
vacuum-assisted wound closure, engineered skin substitutes, stem cell therapy,
growth factors and cytokine therapy, have emerged in recent years and are being
used to assist wound healing, or even to replace traditional methods. However,
many of these methods still lack assessment by large-scale studies and/or
extensive application. Conceptual changes, for example, precision medicine and
the rapid advancement of science and technology, such as RNA interference and 3D
printing, offer tremendous potential. In this review, we focus on the basics of
wound treatment and summarize recent developments involving both traditional and
hi-tech therapeutic methods that lead to both rapid healing and better cosmetic
results. Future studies should explore a more cost-effective, convenient and
efficient approach to cutaneous wound healing. Graphical abstract Combination of
various materials to create advanced wound dressings.
PMID- 29637310
TI - Sulfur-Sulfur Bond Construction.
AB - Disulfide, as a common structural motif, has been frequently used in
pharmaceuticals, nature products, and chemical biology. This chapter focuses on
the methodologies that were reported recently for the synthesis of disulfide
containing compounds with particular emphasis on the synthesis of unsymmetrical
disulfides. The presentation is organized according to the structure of the
disulfides and the synthetic method.
PMID- 29637309
TI - Advances in Ureteral Stent Design and Materials.
AB - PURPOSE OF REVIEW: There are three technological parameters that play a key role
on the performance of an ideal stent. These are its material, design and surface
coating. This article highlights some fundamental developments that took place in
these three areas of stent's technology, in order to contribute to the
identification of an ideal stent. RECENT FINDINGS: In addition to technological
developments concerning stent's material, design and surface coating, the flow
dynamic performance of stents has recently attracted increasing attention.
Notably, it has been postulated that the local flow field in a stent is
correlated with the deposition of crystals and microorganisms. These findings
could potentially revolutionise future stent's designs, and complement
developments made on materials and coatings. The most relevant changes in
materials, designs and surface coatings of ureteric stents are reviewed in this
article. These are described in the context of a specific cause of stent's
failure they aim to address, with a particular focus on encrustation and biofilm
formation.
PMID- 29637311
TI - Institutional Pathways to Improve Care of Patients with Elevated Blood Pressure
in the Emergency Department.
AB - PURPOSE OF REVIEW: Hypertension (HTN) is the most prevalent cardiovascular
disease and poses a major population level risk to long-term health outcomes.
Despite this critical importance, and the widespread availability of effective
and affordable medications, blood pressure (BP) remains uncontrolled in up to 50%
of the diagnosed patients. This problem is exacerbated in communities with
limited access to primary care, who often utilize hospital emergency departments
(EDs) as their primary healthcare resource. Despite the ubiquity of patients
presenting to EDs with severely elevated BP, a unified, evidence-based approach
is not yet widely implemented, and both under- and overtreatment are common. The
purpose of this review is to describe an approach towards institutional policy
regarding asymptomatic HTN, in which we will translate the accepted principles of
appropriate outpatient BP management to ED and inpatient settings. RECENT
FINDINGS: Results from the recent SPRINT trial, and the subsequent publication of
the American Heart Association updated guidelines for the treatment of HTN,
significantly lower both the diagnostic threshold and the treatment goals for
hypertensive patients. This change will drastically increase the proportion of
patients presenting to EDs with newly diagnosed and uncontrolled HTN. Several
recent studies emphasize the safety in outpatient management of patients with
severely elevated BP in the absence of acute end-organ damage and, conversely,
the long- and intermediate-term risk associated with these patients. System-based
approaches, particularly those led by non-physicians, have shown the greatest
promise in reducing population level uncontrolled HTN. Evidence-based approaches,
such as those described in emergency medicine and cardiology society guidelines,
can guide appropriate management of ED and inpatient BP elevations. Translating
these patient oriented guidelines into institutional policy, and maintaining
provider adherence, is a challenge across healthcare institutions. We present
here several examples of successful policies developed and implemented by the
authors. While brief inpatient and ED encounters cannot replace long-term
outpatient care, they have the potential to serve as a crucial inlet to health
care and an opportunity to optimize care.
PMID- 29637312
TI - Updates to Adherence to Hypertension Medications.
AB - PURPOSE OF REVIEW: The purpose of this review is to discuss recent studies that
have described approaches or interventions to improve hypertension medication
adherence and to suggest how providers can integrate evidenced-based approaches
into routine clinical care to improve medication adherence and blood pressure
control. RECENT FINDINGS: Factors that can impact medication include patient
related factors, social- and economic-related factors, health system/health care
team-related factors, and therapy-related factors. Overall, a multifaceted
approach is needed to improve medication adherence. Important components include
(1) patient education on hypertension, its treatment modalities and its long-term
complications; and (2) patient engagement building on the foundation of
education. The various interventions tested have engaged patients through
interactive educational sessions, health coaching, motivational interviewing,
stage of change behavioral counseling, and pharmacist hypertension management.
Strategies utilizing patient education and engagement are needed to improve
medication adherence and blood pressure control.
PMID- 29637313
TI - Paternal perception of infant sleep risks and safety.
AB - BACKGROUND: Sudden Unexpected Infant Death (SUID) results in 3400 sleep-related
deaths yearly in the United States, yet caregivers' compliance with safe sleep
recommendations remains less than optimal. Paternal caregiver's attitudes toward
infant safe sleep messages are largely unaddressed, despite established
differences between female and male caregiver perceptions. This study aimed to
explore the determinants of safe sleep practices among male caregivers. METHODS:
Focus groups were conducted in Arkansas with male caregivers of infants ages 2-12
months to discuss infant sleep routines, parental roles, sources for safe sleep
information, and messaging suggestions for safe sleep promotion. The Health
Belief Model of behavior change framed a moderator guide. Transcript-based
analysis was used, and data were managed using HyperRESEARCH (version 2.8.3). The
transcribed data were coded to identify significant themes. RESULTS: Ten focus
groups were conducted with 46 participants. Inconsistent adherence to safe sleep
practices was reported. Participants were more likely to describe safe location
(57% of participants) and supine position behaviors (42%) than an uncluttered bed
environment (26%). Caregivers acknowledged the importance of recommended safe
sleep behavior, but admitted to unsafe practices, such as co-sleeping and unsafe
daytime sleep. Lack of perceived risk, comfort, and/or resources, and
disagreement among family members about safety practices were identified as
barriers. Participants voiced concerns that current advertising portrays males as
incompetent caregivers. Suggestions included portraying positive images of
fathers and male caregivers acting to promote safety and the incorporation of
statistics about the hazards of unsafe sleep to better engage fathers. Potential
distribution venues included sporting events, home improvement and/or automotive
stores, and social media from trusted sites (e.g. hospitals or medical
professionals). CONCLUSIONS: Male caregivers demonstrate some knowledge base
about infant sleep safety, but are not fully practicing all aspects of safe
sleep. Targeted messaging towards male caregivers that includes factual
information and statistics along with representing males in a positive light is
desired.
PMID- 29637314
TI - Community Outreach to African-Americans: Implementations for Controlling
Hypertension.
AB - PURPOSE OF REVIEW: The purpose of this review is to examine the impact and
effectiveness of community interventions for controlling hypertension in African
Americans. The questions addressed are as follows: Which salient prior and
current community efforts focus on African-Americans and are most effective in
controlling hypertension and patient-related outcomes? How are these efforts
implemented and possibly sustained? RECENT FINDINGS: The integration of out-of
office blood pressure measurements, novel hypertension control centers (i.e.,
barbershops), and community health workers improve hypertension control and may
reduce the excess hypertension-related complications in African-Americans.
Several community-based interventions may assist effectiveness of clinical care
teams, decrease care barriers, and improve adherence. A multifaceted, tailored,
multidisciplinary community-based approach may effectively reduce barriers to
blood pressure control among African-Americans. Future research should evaluate
the long-term benefits of community health workers, barbershops as control
centers, and out-of-office blood pressure monitoring upon control and eventually
on morbidity and mortality.
PMID- 29637315
TI - Impact of cognitive function on oral perception in independently living older
people.
AB - OBJECTIVES: Oral tactile perception is important for better mastication,
appetite, and enjoyment of food. However, previous investigations have not
utilized comprehensible variables thought to have negative effect on oral
perception, including aging, denture wearing, and cognitive function. The aim of
this study was to elucidate the impact of cognitive function on oral perception
in independently living older individuals. MATERIALS AND METHODS: The study
sample was comprised of 987 participants (466 males, 521 females; age 69-71
years). Oral examinations, assessments of cognitive function in preclinical level
by Montreal Cognitive Assessment (MoCA)-J, and determination of oral
stereognostic ability as an indicator of oral perception were performed. Related
variables were selected by univariate analyses; then, multivariate logistic
regression model analysis was conducted. RESULTS: Univariate analyses revealed
that number of teeth, removable dentures usage, and cognitive function
respectively had a significant relationship with stereognostic score. Next, the
subjects were classified into good and poor perception groups (lowest 17.4%)
according to oral stereognostic ability. Logistic regression analysis revealed
that lower cognitive function was significantly associated with poor oral
perception (OR = 0.934, p = 0.017) after controlling for other variables.
CONCLUSIONS: Cognitive decline even in preclinical stage was associated with
reduced oral perception after controlling for gender, tooth number and denture
use in independent living older people. CLINICAL RELEVANCE: This study suggested
that preclinical level of change in cognitive function affected oral perception.
Dental practitioners and caregivers may need to pay attention to reduced oral
perception among older people even if they do not have trouble in daily life.
PMID- 29637316
TI - Experimental Verification of the Elastic Formula for the Aspirated Length of a
Single Cell Considering the Size and Compressibility of Cell During Micropipette
Aspiration.
AB - In this study, an aspiration system for elastic spheres was developed to verify
the approximate elastic formula for the aspirated length of a single solid-like
cell undergoing micropipette aspiration (MPA), which was obtained in our previous
study by theoretical analysis and numerical simulation. Using this system, foam
silicone rubber spheres with different diameters and mechanical properties were
aspirated in a manner similar to the MPA of single cells. Comparisons between the
approximate elastic formula and aspiration experiments of spheres indicated that
the predictions of the formula agreed with the experimental results.
Additionally, combined with the MPA data of rabbit chondrocytes, differences in
terms of the elastic parameters derived from the half-space model, incompressible
sphere model, and compressible sphere model were explored. The results
demonstrated that the parameter xi (xi = R/a, where R is the radius of the cell
and a is the inner radius of the micropipette) and Poisson's ratio significantly
influenced the determination of the elastic modulus and bulk modulus of the cell.
This work developed for the first time an aspiration system of elastic spheres to
study the elastic responses of the MPA of a single cell and provided new evidence
supporting the use of the approximate elastic formula to determine cellular
elastic parameters from the MPA data.
PMID- 29637317
TI - Round-shape gold nanoparticles: effect of particle size and concentration on
Arabidopsis thaliana root growth.
AB - Nowadays, due to a wide range of applications of nanoparticles (NPs) in many
industrial areas, accumulations of those entities in environment pose a great
risk. Owing to their inertness, noble metal NPs may remain in contaminated soils
nearly unchanged for long time. Within this context, size-, shape-, and
concentration-dependent uptake of particles by plants belongs to unexplored area.
In this work, we present water solutions of biologically friendly synthesized
spherical AuNPs with pretty narrow size distribution in size range from 10 to 18
nm. Their thorough characterization by atomic absorption spectroscopy, mass
spectroscopy-equipped inductively coupled plasma, dynamic light scattering (DLS),
and TEM methods was followed by the study of their effect on the growth of
Arabidopsis thaliana (primary and lateral roots), in particle size- and
concentration-dependent manner. Due to strictly round-shape form of AuNPs and
absence of particle agglomeration, DLS-derived size and size distribution were in
good concordance with those obtained from TEM. The length and number of A.
thaliana lateral roots were significantly affected by all types of AuNPs.
Smallest AuNPs at highest concentration inhibited length of primary roots and, in
contrast, enhanced hair root growth.
PMID- 29637318
TI - Bringing Darwin into the social sciences and the humanities: cultural evolution
and its philosophical implications.
AB - In the field of cultural evolution it is generally assumed that the study of
culture and cultural change would benefit enormously from being informed by
evolutionary thinking. Recently, however, there has been much debate about what
this "being informed" means. According to the standard view, an interesting
analogy obtains between cultural and biological evolution. In the literature,
however, the analogy is interpreted and used in at least three distinct, but
interrelated ways. We provide a taxonomy in order to clarify these different
meanings. Subsequently, we discuss the alternatives model of cultural attraction
theory and memetics, which both challenge basic assumptions of the standard view.
Finally, we briefly summarize the contributions to the special issue on Darwin in
the Humanities and the Social Sciences, which is the result of a collaborative
project between scholars and scientists from the universities of Lille and Ghent.
Furthermore, we explain how they add to the discussions about the integration of
evolutionary thinking and the study of culture.
PMID- 29637319
TI - The Psychological Effects of Climate Change on Children.
AB - PURPOSE OF REVIEW: We review recent evidence on the psychological effects of
climate change on children, covering both direct and indirect impacts, and
discuss children's psychological adaptation to climate change. RECENT FINDINGS:
Both the direct and flow-on effects of climate change place children at risk of
mental health consequences including PTSD, depression, anxiety, phobias, sleep
disorders, attachment disorders, and substance abuse. These in turn can lead to
problems with emotion regulation, cognition, learning, behavior, language
development, and academic performance. Together, these create predispositions to
adverse adult mental health outcomes. Children also exhibit high levels of
concern over climate change. Meaning-focused coping promotes well-being and
environmental engagement. Both direct and indirect climate change impacts affect
children's psychological well-being. Children in the developing world will suffer
the worst impacts. Mental health professionals have important roles in helping
mitigate climate change, and researching and implementing approaches to helping
children cope with its impacts.
PMID- 29637321
TI - Twenty-two years of injury free.
PMID- 29637322
TI - The Abecedarian Approach to Social, Educational, and Health Disparities.
AB - This paper places the Abecedarian Approach in theoretical and historical context
and reviews the results from three randomized controlled trials that have tested
an experimental protocol designed to prevent cognitive disabilities and their
social consequences. Results affirm that cognitive disabilities can be prevented
in early childhood and subsequent academic achievement enhanced via a
multipronged comprehensive approach that contains individualized and responsive
early childhood education starting in early infancy, coupled with pediatric
health care, good nutrition, and family-oriented social services. Additional
important findings reveal that the most vulnerable children benefited the most
and that cognitive gains were not at the expense of children's socioemotional
development or relationship to family. In general, mothers derived benefits in
education and employment and teenage mothers especially benefited from their
children participating in the early education treatment group. On the whole, the
overall pattern of results supports a multidisciplinary, individualized, and long
term longitudinal perspective on human development and prevention science. Recent
structural and functional brain imaging in the fifth decade of life shows
persistent effects of intensive early educational treatment. Independent recent
cost-benefit analysis in adulthood reveals a 7.3:1 return on investment with a
13.7% average annual rate of return. The paper concludes with a discussion of
implications of the Abecedarian Approach to today's high-risk population in the
USA.
PMID- 29637320
TI - Monitoring spatial and temporal variation of dissolved oxygen and water
temperature in the Savannah River using a sensor network.
AB - Dissolved oxygen is a critical component of river water quality. This study
investigated average weekly dissolved oxygen (AWDO) and average weekly water
temperature (AWT) in the Savannah River during 2015 and 2016 using data from the
Intelligent River(r) sensor network. Weekly data and seasonal summary statistics
revealed distinct seasonal patterns that impact both AWDO and AWT regardless of
location along the river. Within seasons, spatial patterns of AWDO and AWT along
the river are also evident. Linear mixed effects models indicate that AWT and low
and high river flow conditions had a significant impact on AWDO, but added little
predictive information to the models. Low and high river flow conditions had a
significant impact on AWT, but also added little predictive information to the
models. Spatial linear mixed effects models yielded parameter estimates that were
effectively the same as non-spatial linear mixed effects models. However,
components of variance from spatial linear mixed effects models indicate that 23
32% of the total variance in AWDO and that 12-18% of total variance in AWT can be
apportioned to the effect of spatial covariance. These results indicate that
location, week, and flow-directional spatial relationships are critically
important considerations for investigating relationships between space- and time
varying water quality metrics.
PMID- 29637323
TI - Cross-cultural adaptation and psychometric evaluation of the Juvenile Arthritis
Multidimensional Assessment Report (JAMAR) in 54 languages across 52 countries:
review of the general methodology.
AB - The aim of this project was to cross-culturally adapt and validate the Juvenile
Arthritis Multidimensional Assessment Report (JAMAR) questionnaire in 54
languages across 52 different countries that are members of the Paediatric
Rheumatology International Trials Organisation (PRINTO). This effort was part of
a wider project named Epidemiology and Outcome of Children with Arthritis (EPOCA)
to obtain information on the frequency of juvenile idiopathic arthritis (JIA)
categories in different geographic areas, the therapeutic approaches adopted, and
the disease status of children with JIA currently followed worldwide. A total of
13,843 subjects were enrolled from the 49 countries that took part both in the
cross-cultural adaptation phase and in the related validation and data
collection: Algeria, Argentina, Belgium, Brazil, Bulgaria, Canada, Chile,
Colombia, Croatia, Czech Republic, Denmark, Ecuador, Egypt, Estonia, Finland,
France, Georgia, Germany, Greece, Hungary, India, Islamic Republic of Iran,
Israel, Italy, Latvia, Libya, Lithuania, Mexico, Netherlands, Norway, Oman,
Paraguay, Poland, Portugal, Romania, Russian Federation, Saudi Arabia, Serbia,
Slovakia, Slovenia, South Africa, Spain, Sweden, Switzerland, Thailand, Turkey,
Ukraine, United Kingdom and United States of America. 9021 patients had JIA
(10.7% systemic arthritis, 41.9% oligoarthritis, 23.5% RF negative polyarthritis,
4.2% RF positive polyarthritis, 3.4% psoriatic arthritis, 10.6% enthesitis
related arthritis and 5.7% undifferentiated arthritis) while 4822 were healthy
children. This introductory paper describes the overall methodology; results
pertaining to each country are fully described in the accompanying manuscripts.
In conclusion, the JAMAR translations were found to have satisfactory
psychometric properties and it is thus a reliable and valid tool for the
multidimensional assessment of children with JIA.
PMID- 29637324
TI - The Italian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Italian language.The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents.The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity).A total of 1296 JIA patients (7.2% systemic, 59.5% oligoarticular,
21.4% RF negative polyarthritis, 11.9% other categories) and 100 healthy
children, were enrolled in 18 centres. The JAMAR components discriminated well
healthy subjects from JIA patients except for the Health Related Quality of Life
(HRQoL) Psychosocial Health (PsH) subscales. All JAMAR components revealed good
psychometric performances.In conclusion, the Italian version of the JAMAR is a
valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and clinical research.
PMID- 29637325
TI - The German version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the German language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. The participating
centres were asked to collect demographic and clinical data along the JAMAR
questionnaire in 100 consecutive JIA patients or all consecutive patients seen in
a 6-month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 319 JIA patients (2.8% systemic, 36.7% oligoarticular,
23.5% RF negative polyarthritis, and 37% other categories) and 100 healthy
children were enrolled in eight centres. The JAMAR components discriminated well
healthy subjects from JIA patients. All JAMAR components revealed good
psychometric performances. In conclusion, the German version of the JAMAR is a
valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and in clinical research.
PMID- 29637326
TI - The Greek version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Greek language. The reading comprehension of
the questionnaire was tested in 10 JIA parents and patients. Each participating
centre was asked to collect demographics, clinical data, and the JAMAR from 100
consecutive JIA patients or all consecutive patients seen in a 6-month period and
to administer the JAMAR to 100 healthy children and their parents. The
statistical validation phase explored descriptive statistics and the psychometric
issues of the JAMAR: the three Likert assumptions, floor/ceiling effects,
internal consistency, Cronbach's alpha, interscale correlations, test-retest
reliability, and construct validity (convergent and discriminant validity). The
Greek JAMAR was fully cross-culturally adapted with two forward and three
backward translations. A total of 272 JIA patients (5.9% systemic, 57.7%
oligoarticular, 21.3% RF negative poly-arthritis, 15.1% other categories), and
100 healthy children were enrolled in all centres. The JAMAR components
discriminated well-healthy subjects from JIA patients; notably, there was no
significant difference between healthy subjects and their affected peers in
psychosocial quality of life and school-related items. All JAMAR components
revealed good psychometric performances. In conclusion, the Greek version of the
JAMAR is a valid tool for the assessment of children with JIA and is suitable for
use both in routine clinical practice and in clinical research.
PMID- 29637327
TI - The Norwegian version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Norwegian language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic and clinical data and
the JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 301 JIA patients (3.3% systemic, 41.2% oligoarticular,
25.9% RF negative polyarthritis, and 29.6% other categories) and 74 healthy
children were enrolled in three centres. The JAMAR components discriminated well
healthy subjects from JIA patients. All JAMAR components revealed good
psychometric performances. In conclusion, the Norwegian version of the JAMAR is a
valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and clinical research.
PMID- 29637328
TI - The Castilian Spanish version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Castilian Spanish language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability and construct validity (convergent and discriminant validity).
A total of 526 JIA patients (8.6% systemic, 49.4% oligoarticular, 18.2% RF
negative polyarthritis, 23.8% other categories) and 78 healthy children, were
enrolled in six centres. The JAMAR components discriminated well healthy subjects
from JIA patients. All JAMAR components revealed good psychometric performances.
In conclusion, the Castilian Spanish version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practise and clinical research.
PMID- 29637329
TI - The Turkish version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Turkish language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 466 JIA patients (13.7% systemic, 40.6% oligoarticular,
22.5% RF negative poly-arthritis, and 23.2% other categories) and 93 healthy
children were enrolled in four centres. The JAMAR components discriminated well
healthy subjects from JIA patients. All JAMAR components revealed good
psychometric performances. In conclusion, the Turkish version of the JAMAR is a
valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and clinical research.
PMID- 29637330
TI - The Hindi version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Hindi language. The reading comprehension of
the questionnaire was tested in ten JIA parents and patients. Each participating
centre was asked to collect demographic, clinical data and the JAMAR in 100
consecutive JIA patients or all consecutive patients seen in a 6-month period and
to administer the JAMAR to 100 healthy children and their parents. The
statistical validation phase explored descriptive statistics and the psychometric
issues of the JAMAR: the three Likert assumptions, floor/ceiling effects,
internal consistency, Cronbach's alpha, interscale correlations, test-retest
reliability, and construct validity (convergent and discriminant validity). A
total of 275 JIA patients (28.4% systemic, 10.9% oligoarticular, 13.8% RF
negative polyarthritis, 46.9% other categories) and 98 healthy children were
enrolled in three centres. The JAMAR components discriminated well healthy
subjects from JIA patients. Notably, there is no significant difference between
the healthy subjects and their affected peers in the school-related problems
variable. All JAMAR components revealed good psychometric performances. In
conclusion, the Hindi version of the JAMAR is a valid tool for the assessment of
children with JIA and is suitable for use both in routine clinical practice and
clinical research.
PMID- 29637331
TI - The Croatian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Croatian language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability and construct validity (convergent and discriminant validity).
A total of 100 JIA patients (7% systemic, 38% oligoarticular, 19% RF negative
polyarthritis, 36% other categories) and 100 healthy children, were enrolled in
the paediatric rheumatology centres of the Clinical Hospital Center Sestre
Milosrdnice and Childen's Hospital Srebrnjak in Zagreb. The JAMAR components
discriminated well healthy subjects from JIA patients. All JAMAR components
revealed satisfactory psychometric performances. In conclusion, the Croatian
version of the JAMAR is a valid tool for the assessment of children with JIA and
is suitable for use both in routine clinical practice and clinical research.
PMID- 29637332
TI - The Finnish version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Finnish language. The reading comprehension
of the questionnaire was tested in ten JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 173 JIA patients (1.2% systemic, 46.2% oligoarticular,
39.9% RF-negative polyarthritis, 12.7% other categories) and 100 healthy
children, were enrolled in five paediatric rheumatology centres. The JAMAR
components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed good psychometric performances. In conclusion, the Finnish
version of the JAMAR is a valid tool for the assessment of children with JIA and
is suitable for use both in routine clinical practice and clinical research.
PMID- 29637333
TI - The Romanian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Romanian language. The reading comprehension
of the questionnaire was tested in 15 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 310 JIA patients (11.9% systemic, 21.6% oligoarticular,
31.9% RF-negative polyarthritis, 34.6% other categories) and 100 healthy
children, were enrolled in six centres. The JAMAR components discriminated well
healthy subjects from JIA patients except for the health-related quality of life
psychosocial health subscales. All JAMAR components revealed good psychometric
performances. In conclusion, the Romanian version of the JAMAR is a valid tool
for the assessment of children with JIA and is suitable for use both in routine
clinical practice and clinical research.
PMID- 29637334
TI - The Argentinian Spanish version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Argentinian Spanish language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 373 JIA patients (23.1% systemic, 30.8% oligoarticular,
28.1% RF negative polyarthritis, 18% other categories) and 100 healthy children
were enrolled in five centres. The JAMAR components discriminated well healthy
subjects from JIA patients. Notably, there was no significant difference between
healthy subjects and their affected peers in the school-related item. All JAMAR
components revealed good psychometric performances. In conclusion, the
Argentinian Spanish version of the JAMAR is a valid tool for the assessment of
children with JIA and is suitable for use both in routine clinical practice and
clinical research.
PMID- 29637335
TI - The Libyan Arabic version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Libyan Arabic language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data, and
the JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (22.0% systemic, 26.0% oligoarticular,
25.0% RF negative polyarthritis, and 27.0% other categories) and 100 healthy
children, were enrolled in a paediatric rheumatology centre. The JAMAR components
discriminated well healthy subjects from JIA patients. Notably, there is no
significant difference between the healthy subjects and their affected peers in
the school-related problems variable. All JAMAR components revealed satisfactory
psychometric performances. In conclusion, the Libyan Arabic version of the JAMAR
is a valid tool for the assessment of children with JIA and is suitable for use
both in routine clinical practice and clinical research.
PMID- 29637336
TI - The Canadian English and French versions of the Juvenile Arthritis
Multidimensional Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Canadian varieties of English and French.
The reading comprehension of the questionnaires were tested in a probe sample of
ten parents and ten JIA patients for Canadian English and other ten parents and
ten JIA patients for Canadian French. Each participating centre was asked to
collect demographic, clinical data and the JAMAR in 100 consecutive JIA patients
or all consecutive patients seen in a 6-month period and to administer the JAMAR
to 100 healthy children and their parents. The statistical validation phase
explored descriptive statistics and the psychometric issues of the JAMAR: the 3
Likert assumptions, floor/ceiling effects, internal consistency, Cronbach's
alpha, interscale correlations, test-retest reliability and construct validity
(convergent and discriminant validity). A total of 208 JIA patients (2.9%
systemic, 41.8% oligoarticular, 27.9% RF negative polyarthritis, 27.4% other
categories) and 152 healthy children, were enrolled at two paediatric
rheumatology centres. The JAMAR components discriminated well healthy subjects
from JIA patients. Notably, there was no significant difference between the
healthy subjects and their affected peers in the psychosocial quality of life
variable. All JAMAR components revealed good psychometric performances. In
conclusion, the Canadian English and French versions of the JAMAR are valid tools
for the assessment of children with JIA and are suitable for use both in routine
clinical practice and clinical research.
PMID- 29637337
TI - The Bulgarian version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Bulgarian language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data, and
the JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 183 JIA patients (12% systemic, 53.6% oligoarticular, 23.5%
RF negative polyarthritis, 10.9% other categories) and 100 healthy children were
enrolled in two centres. The JAMAR components discriminated well healthy subjects
from JIA patients. Notably, there is no significant difference between the
healthy subjects and their affected peers in the school-related problems
variable. All JAMAR components revealed good psychometric performances. In
conclusion, the Bulgarian version of the JAMAR is a valid tool for the assessment
of children with JIA and is suitable for use both in routine clinical practice
and clinical research.
PMID- 29637338
TI - The American English version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the American English language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 315 JIA patients (5.1% systemic, 31.1% oligoarticular, 34%
RF negative polyarthritis, 29.8% other categories) and 98 healthy children, were
enrolled in three centres. The JAMAR components discriminated well healthy
subjects from JIA patients. All JAMAR components revealed good psychometric
performances. In conclusion, the American English version of the JAMAR is a valid
tool for the assessment of children with JIA and is suitable for use both in
routine clinical practice and clinical research.
PMID- 29637339
TI - The Danish version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Danish language. The reading comprehension
of the questionnaire was tested in ten JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability and construct validity (convergent and discriminant validity).
A total of 303 JIA patients (7.9% systemic, 35% oligoarticular, 22.1% RF negative
polyarthritis, 35% other categories) and 99 healthy children, were enrolled in
three centres. The JAMAR components discriminated well healthy subjects from JIA
patients. All JAMAR components revealed good psychometric performances. In
conclusion, the Danish version of the JAMAR is a valid tool for the assessment of
children with JIA and is suitable for use both in routine clinical practice and
clinical research.
PMID- 29637340
TI - The Afrikaans version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Afrikaans language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 91 JIA patients (4.4% systemic JIA, 35.1% oligoarticular,
23.1% RF negative polyarthritis, 37.4% other categories), and 98 healthy children
were enrolled in one paediatric rheumatology centre. The JAMAR components
discriminated well healthy subjects from JIA patients. All JAMAR components
revealed satisfactory psychometric performances. In conclusion, the Afrikaans
version of the JAMAR is a valid tool for the assessment of children with JIA and
is suitable for use both in routine clinical practice and in clinical research.
PMID- 29637341
TI - The Hungarian version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Hungarian language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 206 JIA patients (3.9% systemic, 41.3% oligoarticular,
28.2% RF-negative polyarthritis, 26.6% other categories) and 90 healthy children,
were enrolled in two centres. The JAMAR components discriminated healthy subjects
from JIA patients. All JAMAR components revealed good psychometric performances.
In conclusion, the Hungarian version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and clinical research.
PMID- 29637342
TI - The Mexican Spanish version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Mexican Spanish language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (16% systemic, 16% oligoarticular, 30% RF
positive polyarthritis, 38% other categories) and 99 healthy children, were
enrolled at the paediatric rheumatology department of the Hospital General de
Mexico. The JAMAR components discriminated well healthy subjects from JIA
patients. However, there was no significant difference between healthy subjects
and their affected peers in school related problem variable. All JAMAR components
revealed good psychometric performances. In conclusion, the Mexican Spanish
version of the JAMAR is a valid tool for the assessment of children with JIA and
is suitable for use both in routine clinical practice and clinical research.
PMID- 29637343
TI - The Serbian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Serbian language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 248 JIA patients (5.2% systemic, 44.3% oligoarticular,
23.8% RF-negative polyarthritis, 26.7% other categories) and 100 healthy children
were enrolled in three centres. The JAMAR components discriminated healthy
subjects from JIA patients. All JAMAR components revealed good psychometric
performances. In conclusion, the Serbian version of the JAMAR is a valid tool for
the assessment of children with JIA and is suitable for use both in routine
clinical practice and clinical research.
PMID- 29637344
TI - The Dutch version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Dutch language. The reading comprehension of
the questionnaire was tested in ten JIA parents and patients. Each participating
centre was asked to collect demographic, clinical data and the JAMAR in 100
consecutive JIA patients or all consecutive patients seen in a 6-month period and
to administer the JAMAR to 100 healthy children and their parents. The
statistical validation phase explored descriptive statistics and the psychometric
issues of the JAMAR: the three Likert assumptions, floor/ceiling effects,
internal consistency, Cronbach's alpha, interscale correlations, test-retest
reliability, and construct validity (convergent and discriminant validity). A
total of 209 JIA patients (14.3% systemic, 39.7% oligoarticular, 25.8% RF
negative polyarthritis, 20.2% other categories) and 107 healthy children were
enrolled in two centres. The JAMAR components discriminated well healthy subjects
from JIA patients. All JAMAR components revealed good psychometric performances.
In conclusion, the Dutch version of the JAMAR is a valid tool for the assessment
of children with JIA and is suitable for use both in routine clinical practice
and clinical research.
PMID- 29637345
TI - The Slovene version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Slovene language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (7.0% systemic, 47.0% oligoarticular,
22.0% RF negative polyarthritis, 24.0% other categories) and 120 healthy
children, were enrolled. The JAMAR components discriminated well healthy subjects
from JIA patients, except for the Health-Related Quality of Life, Psychosocial
Health subscales. All JAMAR components revealed good psychometric performances.
In conclusion, the Slovene version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and clinical research.
PMID- 29637346
TI - The Swedish version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Swedish language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability and construct validity (convergent and discriminant validity).
A total of 68 JIA patients (8.8% systemic, 44.1% oligoarticular, 13.2% RF
negative polyarthritis, 33.9% other categories) and 76 healthy children, were
enrolled in two centres. The JAMAR components discriminated well healthy subjects
from JIA patients. All JAMAR components revealed good psychometric performances.
In conclusion, the Swedish version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and clinical research.
PMID- 29637347
TI - The Latvian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Latvian language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (2% systemic, 56% oligoarticular, 17% RF
negative polyarthritis, 25% other categories) and 204 healthy children, were
enrolled at the paediatric rheumatology centre. The JAMAR components
discriminated healthy subjects from JIA patients, except for the paediatric
rheumatology quality of life (HRQoL), psychosocial health (PsH) subscales, the
HRQoL total score and for the school-related problems variable. All JAMAR
components revealed good psychometric performances. In conclusion, the Latvian
version of the JAMAR is a valid tool for the assessment of children with JIA and
is suitable for use both in routine clinical practice and clinical research.
PMID- 29637348
TI - The Egyptian Arabic version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Egyptian Arabic language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (20.0% systemic JIA, 40.0%
undifferentiated arthritis, 24.0% RF negative polyarthritis, 16.0% other
categories) and 100 healthy children were enrolled in one paediatric rheumatology
centre. The JAMAR components discriminated well healthy subjects from JIA
patients. All JAMAR components revealed satisfactory psychometric performances.
In conclusion, the Egyptian Arabic version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and in clinical research.
PMID- 29637349
TI - The Brazilian Portuguese version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Brazilian Portuguese language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 231 JIA patients (14.7% systemic, 43.3% oligoarticular,
22.5% RF negative polyarthritis, 19.5% other categories) and 72 healthy children,
were enrolled in three centres. The JAMAR components discriminated well healthy
subjects from JIA patients. All JAMAR components revealed good psychometric
performances. In conclusion, the Brazilian Portuguese version of the JAMAR is a
valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and clinical research.
PMID- 29637350
TI - The Czech version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
results of the cross-cultural adaptation and validation of the parent and patient
versions of the JAMAR in the Czech language. The reading comprehension of the
questionnaire was tested in ten JIA parents and patients. The participating
centre was asked to collect demographic and clinical data along the JAMAR
questionnaire in 100 consecutive JIA patients or all consecutive patients seen in
a 6-month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 103 JIA patients (5.8% systemic, 35.9% oligoarticular,
37.9% RF-negative polyarthritis, 20.4% other categories) and 100 healthy
children, were enrolled. The JAMAR components discriminated well healthy subjects
from JIA patients. Notably, there was no significant difference between healthy
subjects and their affected peers in the school-related problems variable and in
the Psychosocial Health of the Paediatric Rheumatology Quality of Life scale. All
JAMAR components revealed good psychometric performances. In conclusion, the
Czech version of the JAMAR is a valid tool for the assessment of children with
JIA and is suitable for use both in routine clinical practice and clinical
research.
PMID- 29637351
TI - The Arabic version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Arabic language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic and clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (27.0% systemic JIA, 23.0% oligoarticular,
25.0% RF negative polyarthritis, and 25.0% other categories) and 100 healthy
children, were enrolled in one paediatric rheumatology centre. The JAMAR
components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed satisfactory psychometric performances. In conclusion, the
Arabic version of the JAMAR is a valid tool for the assessment of children with
JIA and is suitable for use both in routine clinical practice and in clinical
research.
PMID- 29637352
TI - The Ukrainian version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Ukrainian language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (2% systemic, 44% oligoarticular, 20% RF
negative polyarthritis, 34% other categories) and 100 healthy children were
enrolled at the paediatric rheumatology centre of the Western Ukrainian
Specialised Children's Medical Centre. The JAMAR components discriminated well
between healthy subjects and JIA patients. Notably, there was no significant
difference between healthy subjects and their affected peers in the school
related problems variable. All JAMAR components revealed good psychometric
performances. In conclusion, the Ukrainian version of the JAMAR is a valid tool
for the assessment of children with JIA and is suitable for use both in routine
clinical practice and clinical research.
PMID- 29637353
TI - The Lithuanian version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Lithuanian language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 101 JIA patients (5.9% systemic, 38.6% oligoarticular,
23.8% RF negative polyarthritis, 31.7% other categories) and 116 healthy
children, were enrolled at the paediatric rheumatology centre in Vilnius. The
JAMAR components discriminated well healthy subjects from JIA patients. Notably,
there is no significant difference between healthy subjects and their affected
peers in the school-related problems variable. All JAMAR components revealed good
psychometric performances. In conclusion, the Lithuanian version of the JAMAR is
a valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and clinical research.
PMID- 29637354
TI - The Swiss French version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Swiss French language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data, and
the JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 98 JIA patients (3.1% systemic, 43.9% oligoarticular, 16.3%
RF negative polyarthritis, 36.7% other categories), and 64 healthy children were
enrolled in a paediatric rheumatology centre. The JAMAR components discriminated
well healthy subjects from JIA patients. All JAMAR components revealed good
psychometric performances. In conclusion, the Swiss French version of the JAMAR
is a valid tool for the assessment of children with JIA and is suitable for use
both in routine clinical practice and clinical research.
PMID- 29637355
TI - The Hebrew version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Hebrew language. The reading comprehension
of the questionnaire was tested in ten JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data, and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, and
construct validity (convergent and discriminant validity). A total of 116 JIA
patients (17.2% systemic, 56% oligoarticular, 20.7% RF negative poly-arthritis,
and 6.1% other categories) and 98 healthy children were enrolled in two centres.
The JAMAR components discriminated well healthy subjects from JIA patients. All
JAMAR components revealed good psychometric performances. In conclusion, the
Hebrew version of the JAMAR is a valid tool for the assessment of children with
JIA and is suitable for use both in routine clinical practice and in clinical
research.
PMID- 29637356
TI - The French version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the French language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations and
construct validity (convergent and discriminant validity). A total of 100 JIA
patients (23% systemic, 45% oligoarticular, 20% RF negative polyarthritis, 12%
other categories) and 122 healthy children, were enrolled at the paediatric
rheumatology centre of the Necker Children's Hospital in Paris. Notably, none of
the enrolled JIA patients is affected with psoriatic arthritis. The JAMAR
components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed good psychometric performances. In conclusion, the French
version of the JAMAR is a valid tool for the assessment of children with JIA and
is suitable for use both in routine clinical practice and clinical research.
PMID- 29637357
TI - The British English version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the British English language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (7.0% systemic, 38.0% oligoarticular,
27.0% RF negative polyarthritis, 28% other categories) and 100 healthy children,
were enrolled at the Royal Hospital for Sick Children in Glasgow. The JAMAR
components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed good psychometric performances. In conclusion, the British
English version of the JAMAR is a valid tool for the assessment of children with
JIA and is suitable for use both in routine clinical practice and clinical
research.
PMID- 29637358
TI - The Polish version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Polish language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 154 JIA patients (10.4% systemic, 50.0% oligoarticular,
24.7% RF-negative polyarthritis, 14.9% other categories) and 91 healthy children,
were enrolled in two centres. The JAMAR components discriminated well healthy
subjects from JIA patients. All JAMAR components revealed good psychometric
performances. In conclusion, the Polish version of the JAMAR is a valid tool for
the assessment of children with JIA and is suitable for use both in routine
clinical practice and clinical research.
PMID- 29637359
TI - The Algerian Arabic version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Algerian Arabic language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 70 JIA patients (10.0% systemic, 35.7.0% oligoarticular,
21.4% RF negative polyarthritis, 32.9% other categories) and 70 healthy children,
were enrolled in a paediatric rheumatology centre. The JAMAR components
discriminated well healthy subjects from JIA patients. All JAMAR components
revealed satisfactory psychometric performances. In conclusion, the Algerian
Arabic version of the JAMAR is a valid tool for the assessment of children with
JIA and is suitable for use both in routine clinical practice and clinical
research.
PMID- 29637360
TI - The Thai version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Thai language. The reading comprehension of
the questionnaire was tested in ten JIA parents and patients. Each participating
centre was asked to collect demographic, clinical data and the JAMAR in 100
consecutive JIA patients or all consecutive patients seen in a 6-month period and
to administer the JAMAR to 100 healthy children and their parents. The
statistical validation phase explored descriptive statistics and the psychometric
issues of the JAMAR: the three Likert assumptions, floor/ceiling effects,
internal consistency, Cronbach's alpha, interscale correlations, test-retest
reliability, and construct validity (convergent and discriminant validity). A
total of 104 JIA patients (45.2% systemic JIA, 10.6% oligoarticular, 9.6% RF
negative polyarthritis, 34.6% other categories) and 102 healthy children, were
enrolled in one paediatric rheumatology centre. Notably, none of the enrolled JIA
patients is affected with psoriatic arthritis or undifferentiated arthritis. The
JAMAR components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed satisfactory psychometric performances. In conclusion, the
Thai version of the JAMAR is a valid tool for the assessment of children with JIA
and is suitable for use both in routine clinical practice and clinical research.
PMID- 29637361
TI - The Slovak version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Slovak language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 108 JIA patients (5.6% systemic, 38.9% oligoarticular,
30.5% RF-negative polyarthritis, 25% other categories) and 100 healthy children
were enrolled in two centres. Notably, none of the enrolled JIA patients is
affected with psoriatic arthritis. The JAMAR components discriminated healthy
subjects from JIA patients. All JAMAR components revealed good psychometric
performances. In conclusion, the Slovak version of the JAMAR is a valid tool for
the assessment of children with JIA and is suitable for use both in routine
clinical practice and clinical research.
PMID- 29637362
TI - The Flemish version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Flemish language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (8% systemic, 33% oligoarticular, 24% RF
negative polyarthritis, 35% other categories) and 99 healthy children, were
enrolled in two centres. The JAMAR components discriminated well healthy subjects
from JIA patients. All JAMAR components revealed good psychometric performances.
In conclusion, the Flemish version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and clinical research.
PMID- 29637364
TI - The Portuguese version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Portuguese language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, and
construct validity (convergent and discriminant validity). A total of 80 JIA
patients (6.3% systemic, 68.8% oligoarticular, 3.7% RF negative polyarthritis,
21.2% other categories) and 30 healthy children were enrolled. The JAMAR
components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed good psychometric performances. Notably, there was no
significant difference between healthy subjects and their affected peers in
school-related items. In conclusion, the Portuguese version of the JAMAR is a
valid tool for the assessment of children with JIA and is suitable for use both
in routine clinical practice and clinical research.
PMID- 29637363
TI - The Estonian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Estonian language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 110 JIA patients (71.8% oligoarticular, 18.2% RF-negative
polyarthritis, 10% other categories) and 98 healthy children were enrolled in one
paediatric rheumatology centre. Notably, none of the enrolled JIA patients is
affected with systemic JIA. The JAMAR components discriminated healthy subjects
from JIA patients, except for the Paediatric Rheumatology Quality of Life (HRQoL)
Psychosocial Health (PsH) subscales and for the satisfaction with current health
status. All JAMAR components revealed good psychometric performances. In
conclusion, the Estonian version of the JAMAR is a valid tool for the assessment
of children with JIA and is suitable for use both in routine clinical practice
and clinical research.
PMID- 29637365
TI - The Ecuadorian Spanish version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Ecuadorian Spanish language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 23 JIA patients (17.4% systemic, 17.4% RF negative poly
arthritis, 17.4% RF positive poly-arthritis, and 47.8% other categories) and 23
healthy children were enrolled in the paediatric centre of Guayaquil. The JAMAR
components discriminated well healthy subjects from JIA patients. Notably, there
is no significant difference between the healthy subjects and their affected
peers in the school-related problems variable. All JAMAR components revealed good
psychometric performances. In conclusion, the Ecuadorian Spanish version of the
JAMAR is a valid tool for the assessment of children with JIA and is suitable for
use both in routine clinical practice and clinical research.
PMID- 29637366
TI - The Farsi version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Farsi language. The reading comprehension of
the questionnaire was tested in 10 JIA parents and patients. Each participating
centre was asked to collect demographic, clinical data and the JAMAR in 100
consecutive JIA patients or all consecutive patients seen in a 6-month period and
to administer the JAMAR to 100 healthy children and their parents. The
statistical validation phase explored descriptive statistics and the psychometric
issues of the JAMAR: the three Likert assumptions, floor/ceiling effects,
internal consistency, Cronbach's alpha, interscale correlations, test-retest
reliability, and construct validity (convergent and discriminant validity). A
total of 102 JIA patients (14.7% systemic JIA, 67.6% oligoarticular, 15.7% RF
negative polyarthritis, 2.0% other categories) and 198 healthy children, were
enrolled in three paediatric rheumatology centres. Notably, none of the enrolled
JIA patients is affected with enthesitis-related arthritis or undifferentiated
arthritis. The JAMAR components discriminated healthy subjects from JIA patients.
All JAMAR components revealed satisfactory psychometric performances. In
conclusion, the Farsi version of the JAMAR is a valid tool for the assessment of
children with JIA and is suitable for use both in routine clinical practice and
clinical research.
PMID- 29637367
TI - The Omani Arabic version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Omani Arabic language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 57 JIA patients (22.8% systemic, 28.1% oligoarticular,
35.1% RF negative polyarthritis, 14.0% other categories) and 85 healthy children,
were enrolled in two centres. Notably, none of the enrolled JIA patients is
affected with enthesitis-related arthritis or undifferentiated arthritis. The
JAMAR components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed satisfactory psychometric performances. In conclusion, the
Omani Arabic version of the JAMAR is a valid tool for the assessment of children
with JIA and is suitable for use both in routine clinical practice and clinical
research.
PMID- 29637368
TI - The Chilean Spanish version of the Juvenile Arthritis Multidimensional Assessment
Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Chilean Spanish language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, and
construct validity (convergent and discriminant validity). A total of 49 JIA
patients (12.2% systemic, 24.5% oligoarticular, 22.5% RF-negative polyarthritis,
40.8% other categories) and 70 healthy children, were enrolled. The JAMAR
components discriminated well healthy subjects from JIA patients. All JAMAR
components revealed good psychometric performances. In conclusion, the Chilean
Spanish version of the JAMAR is a valid tool for the assessment of children with
JIA and is suitable for use both in routine clinical practice and clinical
research.
PMID- 29637369
TI - The Russian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Russian language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (25% systemic, 19% oligoarticular, 38% RF
negative polyarthritis, 18% other categories) and 198 healthy children, were
enrolled in two centres. The JAMAR components discriminated healthy subjects from
JIA patients. All JAMAR components revealed good psychometric performances. In
conclusion, the Russian version of the JAMAR is a valid tool for the assessment
of children with JIA and is suitable for use both in routine clinical practice
and clinical research.
PMID- 29637370
TI - The Paraguayan Spanish version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Paraguayan Spanish language. The reading
comprehension of the questionnaire was tested in 10 JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, and
construct validity (convergent and discriminant validity). A total of 51 JIA
patients (2% systemic, 27.4% oligoarticular, 37.2% RF negative polyarthritis,
33.4% other categories) and 100 healthy children, were enrolled. The JAMAR
components discriminated well healthy subjects from JIA patients. Notably, there
was no significant difference between healthy subjects and their affected peers
in the school-related problem variable. All JAMAR components revealed good
psychometric performances. In conclusion, the Paraguayan Spanish version of the
JAMAR is a valid tool for the assessment of children with JIA and is suitable for
use both in routine clinical practice and clinical research.
PMID- 29637371
TI - The Georgian version of the Juvenile Arthritis Multidimensional Assessment Report
(JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Georgian language. The reading comprehension
of the questionnaire was tested in 10 JIA parents and patients. Each
participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the 3 Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, test
retest reliability, and construct validity (convergent and discriminant
validity). A total of 100 JIA patients (26% systemic, 57% oligoarticular, 16% RF
negative polyarthritis, and 1% RF positive polyarthritis) and 100 healthy
children, were enrolled at two paediatric rheumatology centre. Notably, none of
the enrolled JIA patients is affected with psoriatic arthritis or with enthesitis
related arthritis or with undifferentiated arthritis. The JAMAR components
discriminated healthy subjects from JIA patients, except for the school-related
problems variable. All JAMAR components revealed good psychometric performances.
In conclusion, the Georgian version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and clinical research.
PMID- 29637372
TI - The Colombian Spanish version of the Juvenile Arthritis Multidimensional
Assessment Report (JAMAR).
AB - The Juvenile Arthritis Multidimensional Assessment Report (JAMAR) is a new
parent/patient-reported outcome measure that enables a thorough assessment of the
disease status in children with juvenile idiopathic arthritis (JIA). We report
the results of the cross-cultural adaptation and validation of the parent and
patient versions of the JAMAR in the Colombian Spanish language. The reading
comprehension of the questionnaire was tested in ten JIA parents and patients.
Each participating centre was asked to collect demographic, clinical data and the
JAMAR in 100 consecutive JIA patients or all consecutive patients seen in a 6
month period and to administer the JAMAR to 100 healthy children and their
parents. The statistical validation phase explored descriptive statistics and the
psychometric issues of the JAMAR: the three Likert assumptions, floor/ceiling
effects, internal consistency, Cronbach's alpha, interscale correlations, and
construct validity (convergent and discriminant validity). A total of 22 JIA
patients (9.1% systemic, 27.3% RF-negative polyarthritis, 36.4% enthesitis
related arthritis, 27.2% other categories) were enrolled in the paediatric centre
of Bogota. All JAMAR components revealed good psychometric performances. In
conclusion, the Colombian Spanish version of the JAMAR is a valid tool for the
assessment of children with JIA and is suitable for use both in routine clinical
practice and clinical research.
PMID- 29637373
TI - Preface.
PMID- 29637374
TI - Clinical profile and outcome of children with scrub typhus from Chennai, South
India.
AB - : Scrub typhus is an acute febrile illness caused by Orientia tsutsugamushi. We
prospectively studied the clinico-laboratory profile and outcome of 358 children
aged 1 day to 18 years diagnosed with scrub typhus from Chennai, South India. All
children (100%) had fever. Eschar was seen in 67%. All children were treated with
oral doxycycline and those with complications were treated with intravenous
chloramphenicol/azithromycin. Rapid defervescence (within 48 h) after initiation
of doxycline was seen in 306 (85%) and 52 (14.5%) developed complications.
Multivariate logistic regression analysis revealed that children who had an
elevated aspartate amino transferase (> 120 IU/L) and the presence of
thrombocytopenia (platelet count less than 1 lac cells/mm3) at admission had high
risk of developing complications. The overall mortality rate in this series was
0.8%. CONCLUSION: Our 4-year study highlights the clinico-laboratory profile of
Scrub typhus in children from Chennai, South India. Early recognition and prompt
treatment reduces the complication and mortality. What is Known: * Scrub typhus
is endemic to tsutsugamushi triangle, a geographical triangle extending from
northern Japan in the east to Pakistan and Afghanistan in the west and northern
Australia in the south. * There is paucity of data regarding its clinico
laboratory profile in neonates as well as its predictors of outcome. What is New:
* Children who had an elevated AST and the presence of thrombocytopenia at
admission had high risk of developing complications.
PMID- 29637376
TI - History: Tribute to Ralph Lazarra, MD (August 14, 1934-January 16, 2018).
PMID- 29637375
TI - Pain and quality of life of children and adolescents with osteogenesis imperfecta
over a bisphosphonate treatment cycle.
AB - : The objective was to describe the pain and quality of life among children and
adolescents with any osteogenesis imperfecta (OI) type over one intravenous
bisphosphonate treatment cycle from a child and parental perspective. A
prospective, observational study was conducted, where children and adolescents
evaluated their pain intensity, location, and quality, as well as quality of life
before, 1 week after treatment, and 6 months later. Quality of life was also
evaluated from the parental perspective at the same three time points. Thirty
three child/parent dyads participated. The results showed that pain intensity on
the 0-10 self-report scale after the Zoledronate infusion (median = 0, range = 0
6) was not different from pre (median = 2, range = 0-10) and 6-months post-scores
(median = 2, range = 0-8) (p = 0.170). Children and adolescents with OI reported
experiencing pain mainly in the ankles and the anterior and posterior shoulders.
They selected evaluative pain descriptors such as uncomfortable (n = 16, 48%) and
annoying (n = 13, 39%). Children and adolescents' functioning and quality of life
did not change significantly across the bisphosphonate treatment cycle (p =
0.326), parents perceived an improvement immediately after the treatment compared
to before (p = 0.016). CONCLUSION: Children and adolescents with OI experience
mild, yet complex pain localized across several body areas. There is little
fluctuation in the pain intensity and functioning of children with OI undergoing
bisphosphonate treatment. What is Known: * Acute and chronic musculoskeletal pain
remains a major issue in OI. * Pain has a negative impact on quality of life.
What is New: * New and unpublished methods and findings describing the pain and
quality of life of children and adolescents with OI over one intravenous
bisphosphonate treatment cycle from a child- and parental-proxy perspective. *
Children and adolescents with OI experience pain intensity that is mild, yet
complex in quality and localized across several body areas.
PMID- 29637377
TI - Rabbit model to simulate the residual conduction gaps after radiofrequency
ablation on the anterior wall of left atrial appendage.
AB - PURPOSE: Radiofrequency ablation (RFA) is widely used to treat patients with
atrial fibrillation (AF), but its recurrence rate is still high mainly due to
pulmonary vein reconnection and residual conduction gaps. We aim to establish a
rabbit model to simulate the residual conduction gaps after ablation. METHODS:
Sixty-nine adult New Zealand white rabbits were randomly assigned to six groups.
RFA on the anterior wall of left atrial appendage (LAA) were performed with the
ablation power from 6 to 21 W. The electrophysiological pacing and mapping
technology was used to evaluate the bidirectional conduction of LAA. Histological
study and fluorescence techniques were used to evaluate the effect of RFA and the
accumulation of drug-loaded liposome on the loop ablation lesions of LAA.
RESULTS: Typical loop ablation lesions of LAA could be observed in vivo and vitro
of rabbit models. Histological evaluation revealed coagulative necrosis on the
loop ablation lesions. Electrical conduction between inside and outside loop
lesions recovered after 1 or 2 weeks after initial unidirectional conduction
block. The recurrence rates were significantly different among six groups with
varying ablation powers (p < 0.05). Compared with exit conduction block, entrance
conduction block was significantly different at 5 min after ablation (p = 0.02).
IR-775-loaded liposomes were accumulated on the loop ablation lesions at 48 h
after RFA. CONCLUSIONS: RFA associated with electrophysiological pacing and
mapping technology successfully established a novel rabbit model to simulate the
residual conduction gaps after RFA.
PMID- 29637378
TI - Pressure monitoring predicts pulmonary vein occlusion in cryoballoon ablation.
AB - PURPOSE: Pulmonary venography is routinely used to confirm pulmonary vein (PV)
occlusion during cryoballoon ablation. However, this technique is significantly
limited by the risks associated with contrast media, such as renal injury and
contrast allergy. We hypothesized that PV occlusion can be predicted by elevation
of the balloon catheter tip pressure, avoiding the need for contrast media.
METHODS: Forty-eight consecutive patients with paroxysmal atrial fibrillation who
underwent PV isolation with the cryoballoon technique were enrolled. The balloon
catheter tip pressure was measured in each PV before and after balloon inflation.
RESULTS: We analyzed 200 applications of cryoballoon ablation in 185 PVs
(excluding 3 common PVs and 1 extremely small right inferior PV) of 48 patients
(age, 70 +/- 11 years; male, n = 28; mean left atrial diameter, 38 +/- 6 mm).
Compared with patients with unsuccessful occlusion, patients with successful
occlusion demonstrated a larger change in pressure after balloon inflation (6 +/-
8 vs. 2 +/- 4 mmHg, P < 0.001), a lower minimum temperature (- 49 +/- 6 vs. - 40
+/- 8 degrees C, P < 0.001), and a higher PV isolation rate (97 vs. 64%, P <
0.001). The best cutoff value of a change in pressure for predicting PV occlusion
was 4.5 mmHg, with a sensitivity of 67%, specificity of 83%, and predictive
accuracy of 72%. CONCLUSION: Pressure monitoring is helpful to confirm PV
occlusion during cryoballoon ablation.
PMID- 29637379
TI - Bioconversion of Chitin to Bioactive Chitooligosaccharides: Amelioration and
Coastal Pollution Reduction by Microbial Resources.
AB - Chitin-metabolizing products are of high industrial relevance in current scenario
due to their wide biological applications, relatively lower cost, greater
abundance, and sustainable supply. Chitooligosaccharides have remarkably wide
spectrum of applications in therapeutics such as antitumor agents,
immunomodulators, drug delivery, gene therapy, wound dressings, as chitinase
inhibitors to prevent malaria. Hypocholesterolemic and antimicrobial activities
of chitooligosaccharides make them a molecule of choice for food industry, and
their functional profile depends on the physicochemical characteristics.
Recently, chitin-based nanomaterials are also gaining tremendous importance in
biomedical and agricultural applications. Crystallinity and insolubility of
chitin imposes a major hurdle in the way of polymer utilization. Chemical
production processes are known to produce chitooligosaccharides with variable
degree of polymerization and properties along with ecological concerns.
Biological production routes mainly involve chitinases, chitosanases, and chitin
binding proteins. Development of bio-catalytic production routes for chitin will
not only enhance the production of commercially viable chitooligosaccharides with
defined molecular properties but will also provide a means to combat marine
pollution with value addition.
PMID- 29637380
TI - Improving rice grain length through updating the GS3 locus of an elite variety
Kongyu 131.
AB - BACKGROUND: Traditional crop breeding has made significant achievement meet food
needs worldwide. However, the way has some inevitable problems including time
consuming, laborious, low predictability and reproducibility. In this study, we
updated the GRAIN SIZE 3 (GS3) locus to improve the grain length of a major
cultivate variety of Kongyu 131 at Heilongjiang Province, the northernmost region
of China. High-resolution melting (HRM) analysis is used for single nucleotide
polymorphism (SNP) genotyping. RESULTS: The improved line introgressed about 117
kb segment including gs3 allele from donor GKBR by using five SNP markers
designed within and without GS3 locus, and the background recovery ratio of the
recurrent parent genome is about 99.55% that are detected by 219 SNP markers
evenly distributed on the 12 chromosomes. The field trial indicates that grain
length, 100-grain weight and total grain weight per plant of the improved line
raised by 12.05%, 16.30% and 4.47%, respectively, compared with Kongyu 131.
CONCLUSIONS: This result demonstrates that update the GS3 locus is a feasible and
efficient and accurate way can be applied to improve grain size of rice.
PMID- 29637381
TI - Inclusion of Pediococcus acidilactici as probiotic candidate in diets for beluga
(Huso huso) modifies biochemical parameters and improves immune functions.
AB - Administration of probiotic candidates in fish has generally been shown as a
useful strategy to improve growth performance, survival, digestive enzyme
activity, and gut microbiota. Unfortunately, the sero-immunological responses of
different fish to different probiotic candidates are poorly understood. The
present study assessed the effect of Pediococcus acidilactici as a probiotic on
the biochemical and immunological parameters of beluga. Fish (248.32 +/- 10.21 g)
were fed a control diet (without P. acidilactici( and three different doses of P.
acidilactici-supplemented diets (107, 108, and 109 CFUg-1 diets) for 8 weeks. On
week 8, blood and serum were sampled. Dose-dependent increase of immunological
parameters (respiratory burst activity, lysozyme content, serum antibacterial
activity, and total immunoglobulin) and biochemical parameters (total protein and
albumin levels) was observed. However, alanine aminotransferase (ALT) and
aspartate aminotransferase (AST) were significantly increased in the juvenile
beluga fed by 109 CFUg-1 P. acidilactici-supplemented diet compared to the other
groups. Based on the results of this evaluation, it is reasonable to conclude
that the inclusion of P. acidilactici as probiotic in diets for juvenile beluga
improves the sero-immunological parameters of the fish and should be considered
by farmers as a strategy to improve fish health.
PMID- 29637382
TI - Effects of CD100 promote wound healing in diabetic mice.
AB - Diabetes is a condition that causes delayed wound healing and results in chronic
wounds. CD100 has been reported to promote and induce potent and obvious
angiogenesis both in vivo and in vitro studies, the absence of which are a main
cause of the diabetic chronic wound. In the present study, we investigated the
effects of application of soluble CD100 on wound healing in diabetic mice. Four 5
mm full-thickness dermal wounds were made on each male db/db mouse. 12 mice from
CD100 group were subcutaneously injected with 250 ng of CD100 (50 ul) per wound,
in addition, 12 mice were injected with the same volume phosphate-balanced
solution as the control. The animals were treated every other day until the
wounds healed completely. Images were obtained to calculate the area ratio of the
original area. HE and Masson's trichrome staining were used for histological
examination. Collagen remodeling, angiogenesis and wound bed inflammation were
evaluated by immunohistochemical staining and western blot. We demonstrated that
CD100 had distinct functions during the wound healing process. Histological and
western blotting analysis showed a more organized epithelium and dermis, more
collagen fibers, higher angiogenesis and lower inflammation in the CD100 group
than in the PBS group. These findings suggest that CD100 may accelerate wound
healing in diabetic mice by promoting angiogenesis in the wound and by reducing
the inflammatory response.
PMID- 29637383
TI - Management of Interstitial Lung Disease in Patients With Myositis Specific
Autoantibodies.
AB - PURPOSE OF REVIEW: To review advances in the management of idiopathic
inflammatory myopathy-associated interstitial lung disease (IIM-associated ILD)
in the past 5 years, with highlights in myositis-specific antibody (MSA) groups.
RECENT FINDINGS: With the recent advent of widespread MSA testing, the study of
specific homogeneous autoantibody-based subgroups of IIM-associated ILD is now
possible. The prevalence, severity, prognosis, and response to treatment are
under study for these individual MSAs. Early evidence suggests that PL-7- and PL
12-positive patients are more likely to have ILD and worse severity, compared to
Jo-1 patients. Many medications have been efficacious for the treatment of IIM
associated ILD, including calcineurin inhibitors, rituximab, and
cyclophosphamide. We suggest vigilant screening and monitoring of ILD in IIM
patients with focus on the potential side effects associated with therapy and
thus advocate appropriate vaccination, PCP prophylaxis, and bone health
protection. Many different agents are used to manage patients with ILD with no
comparative effectiveness studies to guide the clinician. The possibility of
using MSAs to help guide treatment decisions is an appealing, although unproven,
focus of research. Unfortunately, the rarity of non-Jo-1 myositis-specific
antibodies has precluded robust study of response to treatment and overall
management. Ongoing clinical trials and working groups are coordinating efforts
to provide evidence-based management.
PMID- 29637384
TI - Stacked generalization: an introduction to super learning.
AB - Stacked generalization is an ensemble method that allows researchers to combine
several different prediction algorithms into one. Since its introduction in the
early 1990s, the method has evolved several times into a host of methods among
which is the "Super Learner". Super Learner uses V-fold cross-validation to build
the optimal weighted combination of predictions from a library of candidate
algorithms. Optimality is defined by a user-specified objective function, such as
minimizing mean squared error or maximizing the area under the receiver operating
characteristic curve. Although relatively simple in nature, use of Super Learner
by epidemiologists has been hampered by limitations in understanding conceptual
and technical details. We work step-by-step through two examples to illustrate
concepts and address common concerns.
PMID- 29637385
TI - Blood Pressure Goals in Acute Stroke-How Low Do You Go?
AB - PURPOSE OF REVIEW: Elevations in systolic blood pressure (BP) greater than 140
mmHg are reported in the majority (75%) of patients with acute ischemic stroke
and in 80% of patients with acute intracerebral hemorrhages (ICH). This paper
summarizes and updates the current knowledge regarding the proper management
strategy for elevated BP in patients with acute stroke. Recent studies have
generally showed a neutral effect of BP reduction on clinical outcomes among
acute ischemic stroke patients. Thus, because of the lack of convincing evidence
from clinical trials, aggressive BP reduction in patients presenting with acute
ischemic stroke is currently not recommended. Although in patients treated with
intravenous tissue plasminogen activator, guidelines are recommending BP <
180/105 mmHg but currently, the optimal BP management after reperfusion therapy
still remains unclear. In acute ICH, the evidence from randomized clinical trials
supports the immediate BP lowering targeting systolic BP to 140 mmHg, which is
now recommended by guidelines.
PMID- 29637386
TI - Discordance of Self-report and Laboratory Measures of HIV Viral Load Among Young
Men Who Have Sex with Men and Transgender Women in Chicago: Implications for
Epidemiology, Care, and Prevention.
AB - Suppressing HIV viral load through daily antiretroviral therapy (ART)
substantially reduces the risk of HIV transmission, however, the potential
population impact of treatment as prevention (TasP) is mitigated due to
challenges with sustained care engagement and ART adherence. For an undetectable
viral load (VL) to inform decision making about transmission risk, individuals
must be able to accurately classify their VL as detectable or undetectable.
Participants were 205 HIV-infected young men who have sex with men (YMSM) and
transgender women (TGW) from a large cohort study in the Chicago area. Analyses
examined correspondence among self-reported undetectable VL, study-specific VL,
and most recent medical record VL. Among HIV-positive YMSM/TGW, 54% had an
undetectable VL (< 200 copies/mL) via study-specific laboratory testing.
Concordance between self-report and medical record VL values was 80% and between
self-report and study-specific laboratory testing was 73%; 34% of participants
with a detectable study-specific VL self-reported an undetectable VL at last
medical visit, and another 28% reported not knowing their VL status. Periods of
lapsed viral suppression between medical visits may represent a particular risk
for the TasP strategy among YMSM/TGW. Strategies for frequent viral load
monitoring, that are not burdensome to patients, may be necessary to optimize
TasP.
PMID- 29637387
TI - Characteristics of Out-of-Care Patients Who Required a Referral for Re-engagement
Services by Public Health Bridge Counselors Following a Brief Clinic-Based
Retention Intervention.
AB - The NC-LINK Project initiated both clinic-based retention services and state
public health bridge counselor-based (SBCs) re-engagement services to retain and
re-engage people living with HIV infection (PLWH) in care. The goal of this
project is to compare efforts between clinic-based retention and SBC re
engagement services to determine whether patients are more or less likely to
remain in HIV care services. Clinic appointment data were used to identify
patients who were last seen more than 6-9 months prior. Patients either received
clinic-based retention services only or were subsequently referred to the SBC re
engagement intervention if the retention services were unsuccessful. The
frequency of re-engagement in care (180 days) and HIV suppression (VLS, within 1
year) was examined for patients in these two groups. The SBC group was less
likely to have VLS at the visit prior to referral (adjusted OR 2.04, 95% CI 1.53,
2.72). Patients who were referred to the SBC were less likely to re-engage in
care within 180 days as compared to those who received clinic-based retention
services only (adjusted OR 0.29, 95% CI 0.21, 0.41).
PMID- 29637388
TI - Spare some internal limiting membrane for later: free ILM patch and neurosensory
retina graft.
AB - PURPOSE: Editorial to De Giacinto et al case report on free autologous
neurosensory retina patch. METHODS: Literature review and experts' opinion
RESULTS: In the present issue, De Giacinto et al describe a free autologous
neurosensory retina patch to close a chronic macular hole. This new technique was
made necessary by an extended internal limiting membrane peeling during the first
surgery, that prevented grafting a patch of internal limiting membrane when the
hole did not close. We hereby review pros and cons of patching a chronic macular
hole with an internal limiting membrane patch, as well as the importance of not
over-enlarging a peeling. DISCUSSION: Internal limiting membrane patch can be
considered in chronic macular holes. It may not be an option in cases of over
enlargement of a previous peel; free autologous neurosensory retina patch may be
a valid alternative in such cases.
PMID- 29637389
TI - Application and advantages of monoenergetic reconstruction images for the
reduction of metallic artifacts using dual-energy CT in knee and hip prostheses.
AB - OBJECTIVE: The study aimed to assess image quality when using dual-energy CT
(DECT) to reduce metal artifacts in subjects with knee and hip prostheses.
METHODS: Twenty-two knee and 10 hip prostheses were examined in 31 patients using
a DECT protocol (tube voltages 100 and 140 kVp). Monoenergetic reconstructions
were extrapolated at 64, 69, 88, 105, 110, 120, 140, 170, and 190 kilo-electron
volts (keV) and the optimal energy was manually selected. The B60-140 and Fast DE
reconstructions were made by CT. The image quality and diagnostic value were
subjectively and objectively determined. Double-blind qualitative assessment was
performed by two radiologists using a Likert scale. For quantitative analysis, a
circular region of interest (ROI) was placed by a third radiologist within the
most evident streak artifacts on every image. Another ROI was placed in
surrounding tissues without artifacts as a reference. RESULTS: The inter-reader
agreement for the qualitative assessment was nearly 100%. The best overall image
quality (37.8% rated "excellent") was the Fast DE Siemens reconstruction,
followed by B60-140 and Opt KeV (20.5 and 10.2% rated excellent). On the other
hand, DECT images at 64, 69 and 88 keV had the worse scores. The number of
artifacts was significantly different between monoenergetic images. Nevertheless,
because of the high number of pairwise comparisons, no differences were found in
the post hoc analysis except for a trend toward statistical significance when
comparing the 170 and 64 keV doses. CONCLUSIONS: DECT with specific post
processing may reduce metal artifacts and significantly enhance the image quality
and diagnostic value when evaluating metallic implants.
PMID- 29637390
TI - Understanding the Haemodynamics of Hypertension.
AB - PURPOSE OF REVIEW: This article introduces the haemodynamic principles that
underpin the pathophysiology of hypertension and introduces a rational
physiological approach to appropriate pharmacologic treatment. RECENT FINDINGS:
Outdated understanding of haemodynamics based on previous measurement systems can
no longer be applied to our understanding of the circulation. We question the
current view of hypertension as defined by a predominantly systolic blood
pressure and introduce the concept of vasogenic, cardiogenic and mixed-origin
hypertension. We postulate that failure to identify the individual's haemodynamic
pattern may lead to the use of inappropriate medication, which in turn may be a
major factor in patient non-compliance with therapeutic strategies. A population
based approach to treatment of hypertension may lead to suboptimal functional
dynamics in the individual patient. Finally, we question the validity of current
guidelines and published evidence relating morbidity and mortality to the future
treatment of hypertension. The importance of individual haemodynamic profiles may
be pivotal in the understanding, diagnosis and treatment of hypertension if
optimal control with minimal adverse effects is to be achieved. Research based on
individual haemodynamic patterns is overdue.
PMID- 29637392
TI - Prediction of Software Reliability using Bio Inspired Soft Computing Techniques.
AB - A lot of models have been made for predicting software reliability. The
reliability models are restricted to using particular types of methodologies and
restricted number of parameters. There are a number of techniques and
methodologies that may be used for reliability prediction. There is need to focus
on parameters consideration while estimating reliability. The reliability of a
system may increase or decreases depending on the selection of different
parameters used. Thus there is need to identify factors that heavily affecting
the reliability of the system. In present days, reusability is mostly used in the
various area of research. Reusability is the basis of Component-Based System
(CBS). The cost, time and human skill can be saved using Component-Based Software
Engineering (CBSE) concepts. CBSE metrics may be used to assess those techniques
which are more suitable for estimating system reliability. Soft computing is used
for small as well as large-scale problems where it is difficult to find accurate
results due to uncertainty or randomness. Several possibilities are available to
apply soft computing techniques in medicine related problems. Clinical science of
medicine using fuzzy-logic, neural network methodology significantly while basic
science of medicine using neural-networks-genetic algorithm most frequently and
preferably. There is unavoidable interest shown by medical scientists to use the
various soft computing methodologies in genetics, physiology, radiology,
cardiology and neurology discipline. CBSE boost users to reuse the past and
existing software for making new products to provide quality with a saving of
time, memory space, and money. This paper focused on assessment of commonly used
soft computing technique like Genetic Algorithm (GA), Neural-Network (NN), Fuzzy
Logic, Support Vector Machine (SVM), Ant Colony Optimization (ACO), Particle
Swarm Optimization (PSO), and Artificial Bee Colony (ABC). This paper presents
working of soft computing techniques and assessment of soft computing techniques
to predict reliability. The parameter considered while estimating and prediction
of reliability are also discussed. This study can be used in estimation and
prediction of the reliability of various instruments used in the medical system,
software engineering, computer engineering and mechanical engineering also. These
concepts can be applied to both software and hardware, to predict the reliability
using CBSE.
PMID- 29637391
TI - Change in functioning outcomes as a predictor of the course of depression: a 12
month longitudinal study.
AB - PURPOSE: Functioning is a necessary diagnostic criterion for depression, and thus
routinely assessed in depressive patients. While it is highly informative of
disorder severity, its change has not been tested for prognostic purposes. Our
study aimed to analyze to what extent early functioning changes predict
depression in the mid-term. METHODS: Longitudinal study (four occasions:
baseline, 1, 3, and 12 months) of 243 patients with depressive symptomatology at
three different services (primary care, outpatients, and hospital). Functioning
was assessed on the first three occasions using the Global Assessment of
Functioning (GAF), the WHODAS-2.0, and a self-reported functioning (SRF) rating
scale. Growth mixture modeling of initial assessments served to estimate
individual person-change parameters of each outcome. Person-growth parameters
were used as predictors of major depressive episode at 12 months in a logistic
regression model, adjusted by sex, age, healthcare level, and depression clinical
status at third month. Predictive accuracy of all measures was assessed with area
under the receiver operating curve (AUC). RESULTS: Of the 179 patients who
completed all assessments, 58% had an active depression episode at baseline and
20% at 12 months (64% non-recoveries and 36% new onsets). Individual trends of
change in functioning significantly predicted patient depression status a year
later (AUCWHODAS = 0.76; AUCGAF = 0.92; AUCSRF = 0.93). CONCLUSIONS: Longitudinal
modeling of functioning was highly predictive of patients' clinical status after
1 year. Although clinical and patient-reported assessment had high prognostic
value, the use of very simple patient-reported outcome measures could improve
case management outside specialized psychiatric services.
PMID- 29637393
TI - Clinical outcomes with biventricular versus right ventricular pacing in patients
with atrioventricular conduction defects.
AB - There have been increasing concerns about the unexpected effects of right
ventricular (RV) pacing. We aimed to systematically evaluate the effect of
biventricular (BiV) versus RV pacing on clinical events in patients with impaired
AV conduction. We searched PubMed, EMBASE, and Cochrane Library for studies
comparing BiV pacing with RV pacing in patients with AV block, through April
2017. We selected randomized controlled trials (RCTs) reporting data on
mortality, hospitalization for heart failure (HF), and/or 6-min walk distance
(6MWD). A total of 12 RCTs were finally included. Pooled analysis suggested that
BiV pacing was associated with a significantly reduced all-cause mortality in
contrast to RV pacing (risk ratio (RR) = 0.77, 95% confidence interval (CI) 0.62
to 0.95, I2 = 9.6%). BiV pacing, compared with RV pacing, significantly reduced
the rate of HF hospitalization (RR = 0.74, 95% CI 0.59 to 0.93, I2 = 10.1%).
Sensitivity analyses by excluding studies with AV nodal ablation showed that BiV
pacing still had a lower mortality and non-significant reduced HF
hospitalization. Patients in BiV and RV pacing mode had a similar 6WMD at follow
up (mean difference = 4.99 m, 95% CI - 11.34 to 21.33 m, I2 = 0%). Meta
regression analysis showed that the effect size of all-cause mortality or HF
hospitalization was not significantly associated with mean LVEF value at
baseline. In patients with impaired AV conduction that need frequent ventricular
pacing, BiV pacing was associated with reduced mortality and hospitalization for
HF, compared with traditional RV pacing mode.
PMID- 29637394
TI - Gallaecimonas mangrovi sp. nov., a novel bacterium isolated from mangrove
sediment.
AB - A Gram-stain negative, rod-shaped, non-motile, strictly aerobic bacterium HK-28T
was isolated from a mangrove sediment sample in Haikou city, Hainan Province,
China. Strain HK-28T was able to grow at 10-45 degrees C (optimum 25-30 degrees
C), pH 5.0-8.5 (optimum 6.0-7.0) and 0.5-12.0% (w/v) NaCl (optimum 1.0-3.0%,
w/v). The major cellular fatty acids were C16:0, Summed Feature 8 (C18:1 omega7c
and/or C18:1 omega6c), Summed Feature 3 (C16:1 omega7c and/or C16:1 omega6c),
C17:0, C12:0 3-OH and C17:1omega8c. Ubiquinone-8 (Q-8) was the predominant
respiratory quinone. The polar lipids consisted of diphosphatidylglycerol,
phosphatidylglycerol, phosphatidylethanolamine, two unidentified
aminophospholipids, four unidentified phospholipids, two unidentified glycolipid,
an unidentified glycophospholipid, an unidentified aminolipid and an unidentified
lipid. The DNA G+C content was 50.2 mol%. Accoroding to 16S rRNA gene sequence
similarities, strain HK-28T shared 97.1 and 96.7% sequence similarities to the
validly named species Gallaecimonas xiamenensis MCCC 1A01354T and Gallaecimonas
pentaromativorans MCCC 1A06435T, respectively, and shared lower sequence
similarities (< 92.0%) to all other genera. Phylogenetic analysis showed strain
HK-28T was clustered with G. pentaromativorans MCCC 1A06435T and G. xiamenensis
MCCC 1A01354T. Strain HK-28T showed low DNA-DNA relatedness with G. xiamenensis
MCCC 1A01354T (28.3 +/- 1.5%) and G. pentaromativorans MCCC 1A06435T (25.2 +/-
2.4%). On the basis of phenotypic, chemotaxonomic and genotypic characteristics,
strain HK-28T is considered to represent a novel species in the genus
Gallaecimonas, for which the name Gallaecimonas mangrovi sp. nov. is proposed.
The type strain is HK-28T (= KCTC 62177T = MCCC 1K03441).
PMID- 29637395
TI - The Effect of Pancreas Islet-Releasing Factors on the Direction of Embryonic Stem
Cells Towards Pdx1 Expressing Cells.
AB - Diabetes mellitus, which is the result of autoimmune destruction of the insulin
producing beta cells, occurs by loss of insulin-secreting capacity. The
insufficient source of insulin-producing cells (IPCs) is the major obstacle for
using transplantation as diabetes treatment method. The present study suggests a
method to form islet-like clusters of IPCs derived from mouse embryonic stem
cells (mESCs). This protocol consists of several steps. Before starting this
protocol, embryoid bodies (EBs) should be cultured in suspension in conditioned
medium of isolated mouse pancreatic islet in combination with activing A to be
induced. Then differentiated mESCs were replaced with dishes supplemented with
basic fibroblast growth factor (bFGF). Next, bFGF was withdrawn, and cyclopamine
and noggin were added. Then the cells were treated with B27, nicotinamide, and
islet-conditioned medium for maturation. mESCs, as the control group, were
cultured without any treatment. An enhanced expression of pancreatic-specific
genes was detected by qRT-PCR and immunofluorescence in the differentiated mESCs.
The differentiated mESCsco express other markers of pancreatic islet cells as
well as insulin. This method exhibited higher insulin generation and further
improvement in IPCs protocol that may result in an unlimited source of ES cells
suitable for transplantation. The results indicated that conditioned medium, just
as critical components of the stem cell niche associated with other factors, had
high potential to differentiate mESCs into IPCs.
PMID- 29637396
TI - Co-addition Strategy for Enhancement of Chaetominine from Submerged Fermentation
of Aspergillus fumigatus CY018.
AB - Chaetominine (CHA), a novel framework tripeptide alkaloid, imparts an attractive
cytotoxic against the human leukemia cell line K562, which is produced by
Aspergillus fumigatus CY018. However, its pharmacological research is restricted
by low yields in submerged culture, which needs to be resolved immediately by
biotechnology. In this work, a co-addition strategy was applied to promote CHA
production based on related inhibitors' addition and precursors' addition,
inspired by the biosynthetic pathway analysis of CHA. CHA production reached
53.87 mg/L by addition of 10 mM shikimate, 10 mM anthranilate, 20 mM tryptophan,
and 10 mM alanine in shake flask. Compared to the control without addition of
precursors, the activity of 3-deoxy-arabino-heptulosonate-7-phospahte (DAHP)
synthase was significantly improved and the transcription levels of critical
genes in shikimate pathway were up-regulated responded to the co-addition of
precursors. The improvement of CHA production by co-addition of precursors was
also successfully reproduced in the lab-scale bioreactor (5-L) system, in which
CHA production reached 46.10 mg/L. This work demonstrated that precursors' co
addition was an effective strategy for increasing CHA production, and the
information obtained might be useful to the further improvement of CHA on a large
scale.
PMID- 29637397
TI - Author Correction: The Inhibitory Effect of Mesenchymal Stem Cells with rAd-NK4
on Liver Cancer.
AB - The original version of this article unfortunately contained a mistake in the
image of Figure 7.
PMID- 29637399
TI - Identifying risk factors associated with acquiring measles in an outbreak among
age-appropriately vaccinated school children: a cohort analysis.
AB - BACKGROUND: A measles outbreak occurred in age-appropriately vaccinated children
in a school in a town in the South East of Ireland in September-November 2013.
AIMS: The purpose of this study was to investigate the risk factors associated
with catching measles during the outbreak. METHODS: Ninety-five children (4-5
years) in three classes, in the first year of primary school, were included in
the study. Immunisation records on the South East Child Health Information System
for first Measles Mumps and Rubella (MMR) vaccine for the 95 children were
reviewed. Data collected included age at MMR, date of administration of MMR, MMR
brand and batch number, and the General Practice at which MMR was administered.
The risk factors analysed included age at vaccination, time of vaccination, class
and the GP practice where MMR was administered. Statistical analysis was
performed using Epi info 7 and SPSS v24. RESULTS: Thirteen children in the cohort
developed measles during the outbreak. All children in the cohort were age
appropriately vaccinated, with one dose of MMR vaccine. Analysis demonstrated
statistically significant differences in the relative risk of developing measles
according to the class a child was in, and the General Practice at which they
were vaccinated. CONCLUSIONS: The reason for intense measles activity in one
class was not established. Although a concurrent investigation into cold chain
and vaccine stock management did not identify a cause for the high relative risk
of measles in children vaccinated, recommendations were made for improving cold
chain and vaccine stock management in General Practices.
PMID- 29637398
TI - Patterns and trends in lead (Pb) concentrations in bald eagle (Haliaeetus
leucocephalus) nestlings from the western Great Lakes region.
AB - Most studies examining bald eagle (Haliaeetus leucocephalus) exposure to lead
(Pb) have focused on adults that ingested spent Pb ammunition during the fall
hunting season, often at clinical or lethal levels. We sampled live bald eagle
nestlings along waterbodies to quantify Pb concentrations in 3 national park
units and 2 nearby study areas in the western Great Lakes region. We collected
367 bald eagle nestling feather samples over 8 years during spring 2006-2015 and
188 whole blood samples over 4 years during spring 2010-2015. We used Tobit
regression models to quantify relationships between Pb concentrations in nestling
feathers and blood using study area, year, and nestling attributes as covariates.
Pb in nestling feather samples decreased from 2006 to 2015, but there was no
trend for Pb in blood samples. Pb concentrations in nestling feather and blood
samples were significantly higher in study areas located closer to and within
urban areas. Pb in feather and blood samples from the same nestling was
positively correlated. Pb in feathers increased with nestling age, but this
relationship was not observed for blood. Our results reflect how Pb accumulates
in tissues as nestlings grow, with Pb in feathers and blood indexing exposure
during feather development and before sampling, respectively. Some nestlings had
Pb concentrations in blood that suggested a greater risk to sublethal effects
from Pb exposure. Our data provides baselines for Pb concentrations in feathers
and blood of nestling bald eagles from a variety of waterbody types spanning
remote, lightly populated, and human-dominated landscapes.
PMID- 29637400
TI - Design of in situ porcine closed-circuit system for assessing blood-contacting
biomaterials.
AB - The overall pre-clinical process of determining the blood compatibility of any
medical device involves several stages. Although the primary purpose is to
protect the patients, laboratory testing has been over-utilized for many years
with a huge number of unnecessary animal tests being done routinely. Recently,
the elimination of needless testing has become important in controlling the cost
of healthcare and in addressing many issues related to the ethics of animal
research. With this in mind, we designed a new in situ porcine closed-circuit
system to study the complex interplay between platelets, coagulation proteins,
and other cellular elements in pigs. We proved that this system can be
implemented in blood compatibility testing and minimize the number of animals
used in the experiments.
PMID- 29637402
TI - Atypically presenting kaposiform hemangioendothelioma of the knee: ultrasound
findings.
AB - Kaposiform hemangioendothelioma (KHE) is a rare vascular tumor of early childhood
and infancy. Kasabach-Merritt phenomenon, a common complication of KHE, is
characterized by life-threatening thrombocytopenia, hemolytic anemia, and
consumption coagulopathy. There may be atypical cases that do not present with
Kasabach-Merritt phenomenon and do have atypical imaging findings. Knowledge of
atypical imaging features may assist radiologists in identifying KHE. In this
report, we present a 4-year-old case of KHE with atypical ultrasound findings.
PMID- 29637401
TI - PET Imaging Reveals Brain Metabolic Changes in Adolescent Rats Following Chronic
Escalating Morphine Administration.
AB - PURPOSE: Non-medical use of prescription opioids, especially among adolescents,
has been substantially increased in recent years. However, the neuromechanism
remains largely unexplored. In the present study, we aimed to investigate the
brain metabolic changes in adolescent rats following chronic escalating morphine
administration using positron emission tomography (PET). PROCEDURES: 2-Deoxy-2
[18F]Fluoro-D-glucose ([18F]FDG) microPET imaging was performed, and statistical
parametric mapping (SPM) was used for image analysis. Glucose transporter 3 (Glut
3), dopamine D2 receptor (D2R), and MMU-opioid receptor (MU-OR) were used for
immunostaining analysis. RESULTS: Cerebral glucose metabolism was increased in
the corpus callosum (CC) and right retrosplenial dysgranular cortex (rRSD), while
it was decreased in the right ventral pallidum (rVP). The expressions of Glut-3,
D2R, and MU-OR were increased in CC and rRSD, while they were decreased in rVP.
Furthermore, glucose metabolism and Glut-3 expression were positively correlated
with the expressions of D2R or MU-OR in CC, rRSD, and rVP. CONCLUSIONS: [18F]FDG
microPET brain imaging study in combination with immunohistological investigation
revealed that CC, rRSD, and rVP were specifically involved in opioid dependence
in adolescents. Our findings provided valuable insights into the neuromechanism
of adolescent addiction of prescription opioids and might have important
implications for the development of prevention and intervention approaches.
PMID- 29637404
TI - Long-acting olanzapine injection during pregnancy and breastfeeding: a case
report.
AB - We present one case of a woman treated with the intramuscular depot formulation
of the atypical antipsychotic, olanzapine pamoate (ZypAdhera(r)), during
pregnancy and breastfeeding. Data on olanzapine distribution in breast milk as
well as on plasma concentration in the nursed infant are provided. The present
case report demonstrates that olanzapine was excreted in the breast milk, but the
breast-fed infant had very low olanzapine concentrations, which did not result in
any adverse effects.
PMID- 29637403
TI - Accurate Diabetes Risk Stratification Using Machine Learning: Role of Missing
Value and Outliers.
AB - Diabetes mellitus is a group of metabolic diseases in which blood sugar levels
are too high. About 8.8% of the world was diabetic in 2017. It is projected that
this will reach nearly 10% by 2045. The major challenge is that when machine
learning-based classifiers are applied to such data sets for risk stratification,
leads to lower performance. Thus, our objective is to develop an optimized and
robust machine learning (ML) system under the assumption that missing values or
outliers if replaced by a median configuration will yield higher risk
stratification accuracy. This ML-based risk stratification is designed, optimized
and evaluated, where: (i) the features are extracted and optimized from the six
feature selection techniques (random forest, logistic regression, mutual
information, principal component analysis, analysis of variance, and Fisher
discriminant ratio) and combined with ten different types of classifiers (linear
discriminant analysis, quadratic discriminant analysis, naive Bayes, Gaussian
process classification, support vector machine, artificial neural network,
Adaboost, logistic regression, decision tree, and random forest) under the
hypothesis that both missing values and outliers when replaced by computed
medians will improve the risk stratification accuracy. Pima Indian diabetic
dataset (768 patients: 268 diabetic and 500 controls) was used. Our results
demonstrate that on replacing the missing values and outliers by group median and
median values, respectively and further using the combination of random forest
feature selection and random forest classification technique yields an accuracy,
sensitivity, specificity, positive predictive value, negative predictive value
and area under the curve as: 92.26%, 95.96%, 79.72%, 91.14%, 91.20%, and 0.93,
respectively. This is an improvement of 10% over previously developed techniques
published in literature. The system was validated for its stability and
reliability. RF-based model showed the best performance when outliers are
replaced by median values.
PMID- 29637405
TI - Is a driver's license age waiver worth a teen's life?
AB - BACKGROUND: Motor vehicle crashes are the leading cause of death for teens 14-19
years of age, with younger teen drivers at higher risk than older teens.
Graduated driver licensing has been proven to reduce teen driver-related motor
vehicle crashes and fatalities. Arkansas allows parents to request age waivers,
which allow a teen to obtain a license for independent driving before the
sixteenth birthday. The objectives of this study were to: (1) determine the
prevalence of age waivers issued in Arkansas and (2) determine motor vehicle
crash risks associated with 14 and 15 year old drivers. METHODS: This is a brief
report on an informative query exploring risk factors related to age waivers.
Publicly available databases were utilized for across state comparisons. The Web
based Injury Statistics Query and Reporting Systems (WISQARS) was utilized to
calculate motor vehicle crash crude death rates. National Highway Traffic Safety
Administration data were utilized to identify seat belt use rates. The Fatal
Analysis Reporting System (FARS) was utilized to identify crash fatality risks
for 14 and 15 year old drivers in Arkansas (N = 24). Age waiver data were
obtained from the Arkansas Driver Control Administration. De-identified data on
fatal crashes and rates of age waiver issuance in Arkansas for 14 and 15 year
olds from 2004 through 2016 were calculated. RESULTS: We reviewed crash data for
14 and 15 year old drivers in Arkansas between 2004 and 2014 to determine
fatality risks. Thirty-one out of seventy-five counties in Arkansas were above
the state age waiver issuance rate of 30.4 per 1000 14 to 15 year old teens.
Among the four states that had similar age waivers for 14 to 15 year olds,
Arkansas had the highest motor vehicle death rate of 10.2 per 100,000 young teens
and the lowest seat belt use rate at 73%. CONCLUSIONS: Arkansas had the highest
reported teen crash fatality rates among 4 states with age waivers. The volume of
age waivers issued in Arkansas is concerning. Further research is needed to
understand parental motivation when asking for age waivers and their level of
awareness of the risks involved.
PMID- 29637407
TI - Cardiac output-based fluid optimization for kidney transplant recipients: a proof
of-concept trial.
AB - PURPOSE: Intravenous fluid management for deceased donor kidney transplantation
is an important, modifiable risk factor for delayed graft function (DGF). The
primary objective of this study was to determine if goal-directed fluid therapy
using esophageal Doppler monitoring (EDM) to optimize stroke volume (SV) would
alter the amount of fluid given. METHODS: This randomized, proof-of-concept trial
enrolled 50 deceased donor renal transplant recipients. Data collected included
patient characteristics, fluid administration, hemodynamics, and complications.
The EDM was used to optimize SV in the EDM group. In the control group, fluid
management followed the current standard of practice. The groups were compared
for the primary outcome of total intraoperative fluid administered. RESULTS:
There was no difference in the mean (standard deviation) volume of intraoperative
fluid administered to the 24 control and 26 EDM patients [2,307 (750) mL vs 2,675
(842) mL, respectively; mean difference, 368 mL; 95% confidence interval (CI), -
87 to + 823; P = 0.11]. The incidence of complications in the control and EDM
groups was similar (15/24 vs 17/26, respectively; P = 0.99), as was the incidence
of delayed graft failure (8/24 vs 11/26, respectively; P = 0.36). CONCLUSIONS:
Goal-directed fluid therapy did not alter the volume of fluid administered or the
incidence of complications. This proof-of-concept trial provides needed data for
conducting a larger trial to determine the influence of fluid therapy on the
incidence in DGF in deceased donor kidney transplantation. TRIAL REGISTRATION:
www.clinicaltrials.gov (NCT02512731). Registered 31 July 2015.
PMID- 29637408
TI - Red Blood Cell and Serum Magnesium Levels Among Children and Adolescents With
Sickle Cell Anemia.
AB - Patients with sickle cell anemia (SCA) can acquire many biochemical
abnormalities, including altered magnesium levels. However, the roles of
magnesium in the pathogenesis and management of SCA need to be determined. The
aim of this work was to evaluate magnesium levels among pediatric patients with
SCA in Basra, Iraq. The study employed a case-control design and examined 87
patients with SCA (3-15 years old) who had attended the Basra Center for
Hereditary Blood Diseases while in a steady state and 90 apparently healthy
control subjects. Complete blood count, red blood cell (RBC), and serum
magnesium, calcium, potassium, sodium, zinc, and copper levels were measured in
all subjects. The results revealed significantly lower RBC and serum magnesium
levels among the patients with SCA (3.62 +/- 0.42 and 1.35 +/- 0.19 mg/dL,
respectively) than those among the control subjects (4.47 +/- 0.55 and 1.87 +/-
0.27 mg/dL, respectively). In addition, compared to the control subjects, the
patients with SCA had significantly lower serum levels of potassium, sodium, and
zinc; significantly higher serum levels of copper; and significantly higher Ca/Mg
and Na/Mg ratios. Among the SCA patients, the RBC magnesium level was
significantly negatively associated with the frequencies of vaso-occlusive crises
(r = - 0.423, P < 0.001) and disease-related hospitalization (r = - 0.225, P <
0.05). To conclude, the RBC magnesium level, but not the serum magnesium level,
is significantly associated with vaso-occlusive crises and hospitalization.
Therefore, screening and management of low RBC magnesium levels in SCA patients
are required.
PMID- 29637406
TI - Innovative Research Design to Meet the Challenges of Clinical Trials for Juvenile
Dermatomyositis.
AB - PURPOSE OF REVIEW: This paper aims to provide a summary of the recent therapeutic
advances and the latest research on outcome measures for clinical trials in
juvenile dermatomyositis (JDM). RECENT FINDINGS: Recent randomized controlled
trials (RCTs) have demonstrated the superiority of the combination of prednisone
with methotrexate over other conventional therapies and the potential
effectiveness of rituximab in refractory cases. A multinational project has led
to develop new criteria for the definition of minimal, moderate, and major
improvement in future JDM clinical trials. This effort has been paralleled by the
establishment of criteria for clinically inactive disease. The validation of the
first composite disease activity score for JDM is in progress. The new outcome
measures will increase the reliability of assessment of clinical response in JDM
clinical trials and foster future multinational RCTs aimed to investigate novel
treatment strategies for refractory forms of JDM.
PMID- 29637409
TI - Cycle length identifies obstructive sleep apnea and central sleep apnea in heart
failure with reduced ejection fraction.
AB - AIM: To clarify whether unmasking of central sleep apnea during continuous
positive airway pressure (CPAP) initiation can be identified from initial
diagnostic polysomnography (PSG) in patients with heart failure with reduced
ejection fraction (HFREF) and obstructive sleep apnea (OSA) MATERIALS AND
METHODS: Forty-three consecutive patients with obstructive sleep apnea and
central sleep apnea (OSA/CSA) in HFREF were matched with 43 HFREF patients with
OSA and successful CPAP initiation. Obstructive apneas during diagnostic PSG were
then analyzed for cycle length (CL), ventilation length (VL), apnea length (AL),
time to peak ventilation (TTPV), and circulatory delay (CD). We calculated duty
ratio (DR) as the ratio of VL/CL and mathematic loop gain (LG). RESULTS: While AL
was similar, CL, VL, TTPV, CD, and DR was significantly longer in patients with
OSA/CSA compared to those with OSA, and LG was significantly higher. Receiver
operator curves identified optimal cutoff values of 50.2 s for CL (area under the
curve (AUC) 0.85, 29.2 s for VL (AUC 0.92), 11.5 s for TTPV (AUC 0.82), 26.4 s
for CD (AUC 0.79), and 3.96 (AUC 0.78)) respectively for LG to identify OSA/CSA.
CONCLUSION: OSA/CSA in HFREF can be identified by longer CL, VL, TTPV, and CD
from obstructive events in initial diagnostic PSG. The underlying mechanisms seem
to be the presence of an increased LG.
PMID- 29637410
TI - Does Robotic Roux-en-Y Gastric Bypass Provide Outcome Advantages over Standard
Laparoscopic Approaches?
AB - OBJECTIVE: The aim was to compare clinical outcomes of patients treated with
totally robotic Roux-en-Y gastric bypass (TRRYGB) with those treated with the
different laparoscopic Roux-en-Y gastric bypass (LRYGB) techniques. The clinical
benefit of the robotic approach to bariatric surgery compared to the standard
laparoscopic approach is unclear. There are no studies directly comparing
outcomes of TRRYGB with different LRYGB techniques. METHODS: Outcomes of 578
obese patients who underwent RYGB between 2011 and 2014 at an academic center
were assessed. Multivariable analysis and propensity matching were used for
comparing TRRYGB to different LRYGB techniques, including 21-mm EEA circular
stapled gastrojejunal anastomosis (GJA, LRYGB-21CS), linear-stapled GJA (LRYGB
LS), and hand-sewn GJA (LRYGB-HS). RESULTS: The TRRYGB technique required a
longer mean operative time compared to the other groups, respectively 204 +/- 46
vs. 139 +/- 30 min (LRYGB-21CS), 206 +/- 37 vs. 158 +/- 30 min (LRYGB-LS), and
210 +/- 36 vs. 167 +/- 30 min (LRYGB-HS). TRRYGB experienced a lower stricture
rate (2 vs. 17%, P = 0.003), shorter hospital stay (2.6 +/- 1.2 vs. 4.3 +/- 5.5
days, P = 0.008), and lower readmission rate (12 vs. 28%, P = 0.009). No
significant differences in outcomes were observed when comparing RRYGB to LRYGB
LS or LRYGB-HS. CONCLUSIONS: TRRYGB increases operative time compared to all
LRYGB techniques. TRRYGB was superior to LRYGB-21CS in terms of significantly
shorter hospital stay, lower readmission rate, and less frequent GJA stricture
formation. TRRYGB provides no clinical advantages over the LRYGB-LS and LRYGB-HS
techniques.
PMID- 29637411
TI - The Correlation Between Aqueous Humor Flow and IOP Before and After Trabectome:
Developing a Grading System to Quantify Flow.
AB - INTRODUCTION: To develop a grading system that provides objective quantification
of flow through the conventional aqueous humor outflow (AHO) system. The
technique gives clinicians an additional assessment option in the evaluation of
glaucoma treatment approaches. METHODS: This was a retrospective observational
study. This study evaluated the eyes of all primary open-angle glaucoma patients
who underwent a Trabectome (NeoMedix Corp., Tustin, CA, USA) procedure with or
without cataract surgery in the interval between April and September 2016 (n =
73). The nasal hemisphere was divided into three regions. Utilizing a four-level
grading system designed for this study, an aqueous humor outflow grade (G0-G3)
was assigned to each region using a video taken during examinations. The
individual grade levels of the three regions were combined to get a composite AHO
score. The correlation between the composite AHO score and intraocular pressure
(IOP) was then analyzed. Additionally, the speed of red blood cell (RBC) clusters
in the episcleral veins (ESV) was calculated when made possible by the existence
of pulsatile flow. RESULTS: At 3 months following the Trabectome procedure,
average IOP decreased from 26 to 15 mmHg. Assessment of the relationship between
AHO grade and IOP demonstrated that a high composite AHO score was correlated
with lowered IOP (Tukey-Kramer method p < 0.05). Additionally, it was found that
if one of the three regions had an AHO grade of >= G2, an IOP of < 20 mmHg could
be predicted. (Fischer's exact test p < 0.0001). Calculated speed was as follows:
at G1, the speed was 0.68 +/- 0.26 mm/s (n = 7), at G2, the speed was 1.8 +/-
0.84 mm/s (n = 5), and at G3, the speed was 6.8 +/- 3.3 mm/s (n = 6). CONCLUSION:
There was a significant correlation between an increase in the composite AHO
score and a decrease in IOP. Additionally, the speed of RBC clusters as they
traveled through the ESVs remained consistent for each of the grades, and the
span of the speeds from lower to higher grades represented a significant range.
These findings suggest that the grading system is a reliable measure of AHO.
TRIAL REGISTRATION IDENTIFIER: UMIN 000031745.
PMID- 29637412
TI - Media and Its Influence on Obesity.
AB - PURPOSE OF REVIEW: To review how the media frames obesity and the effect it has
upon on public perceptions. RECENT FINDINGS: The scientific and public health
understanding of obesity increasingly points away from individual behaviors and
toward medical and community factors, but diffusion of this knowledge is slow.
Growing awareness of the importance of body positivity is driving attention to
the harms of weight bias and fat shaming. Health science reporting related to
obesity, nutrition, and physical activity tends to perpetuate myths and
misunderstandings. Moving forward, greater attention to accurate messages about
obesity and evidence-based interventions will be essential for progress to reduce
suffering and the impact on public health from this chronic disease.
PMID- 29637413
TI - Intestinal and Gastric Origins for Diabetes Resolution After Bariatric Surgery.
AB - PURPOSE OF REVIEW: This paper will review the intestinal and gastric origins for
diabetes resolution after bariatric surgery. RECENT FINDINGS: In addition to the
known metabolic effects of changes in the gut hormonal milieu, more recent
studies investigating the role of the microbiome and bile acids and changes in
nutrient sensing mechanisms have been shown to have glycemic effects in human and
animal models. Independent of weight loss, there are multiple mechanisms that may
lead to amelioration or resolution of diabetes following bariatric surgery. There
is abundant evidence pointing to changes in gut hormones, bile acids, gut
microbiome, and intestinal nutrient sensing; more research is needed to clearly
delineate their role in regulating energy and glucose homeostasis after bariatric
surgery.
PMID- 29637415
TI - Clinical and Molecular Features of Thiazide-Induced Hyponatremia.
AB - PURPOSE OF REVIEW: Hypertension affects more than 30% of the world's adult
population and thiazide (and thiazide-like) diuretics are amongst the most widely
used, effective, and least costly treatments available, with all-cause mortality
benefits equivalent to angiotensin-converting enzyme inhibitors or calcium
channel antagonists. A minority of patients develop thiazide-induced hyponatremia
(TIH) and this is largely unpredictable at the point of thiazide prescription. In
some cases, TIH can cause debilitating symptoms and require hospital admission.
Although TIH affects only a minority of patients exposed to thiazides, the high
prevalence of hypertension leads to TIH being the most common cause of drug
induced hyponatremia requiring hospital admission in the UK. This review examines
current clinical and scientific understanding of TIH. Consideration is given to
demographic associations, limitations of current electrolyte monitoring regimens,
clinical presentation, the phenotype evident on routine clinical blood and urine
tests as well as more extensive analyses of blood and urine in research settings,
recent genetic associations with TIH, and thoughts on management of the
condition. RECENT FINDINGS: Recent genetic and phenotyping analysis has suggested
that prostaglandin E2 pathways in the collecting duct may have a role in the
development of TIH in a subgroup of patients. Greater understanding of the
molecular pathophysiology of TIH raises the prospect of pre-prescription TIH risk
profiling and may offer novel insights into how TIH may be avoided, prevented and
treated. The rising prevalence of hypertension and the widespread use of
thiazides mean that further understanding of TIH will continue to be a pressing
issue for patients, physicians, and scientists alike for the foreseeable future.
PMID- 29637414
TI - Dermatomyositis Clinical and Pathological Phenotypes Associated with Myositis
Specific Autoantibodies.
AB - PURPOSE OF REVIEW: Dermatomyositis is an idiopathic inflammatory myopathy with a
variety of systemic and cutaneous manifestations. The myositis-specific
autoantibodies (MSAs) are associated with phenotypic features and provide a tool
for sub-classification of dermatomyositis patients. This review focuses on recent
work characterizing the clinical features that accompany the MSAs in
dermatomyositis. RECENT FINDINGS: There is increasing recognition of the distinct
clinical and pathological phenotypes associated with each MSA. Most of these
features display considerable overlap between MSA groups. Despite this, there are
notable differences between the typical combinations of cutaneous and systemic
manifestations, response to therapy, prognosis, and disease sequelae that define
each dermatomyositis MSA group. The MSAs may ultimately improve diagnosis and sub
classification of dermatomyositis patients. However, more work is needed to
understand the pathologic basis for much of the heterogeneity found within these
subgroups.
PMID- 29637416
TI - Molecular cloning, polymorphism, and expression analysis of the LKB1/STK11 gene
and its association with non-specific digestive disorder in rabbits.
AB - Liver kinase B1 (LKB1, also called STK11) encodes a serine/threonine kinase
mutated in Peutz-Jeghers cancer syndrome characterized by gastrointestinal
polyposis. Although LKB1 plays an important role in regulating energy
homeostasis, cell growth, and metabolism via activation of adenosine
monophosphate (AMP)-activated protein kinase (AMPK), nothing is known about its
molecular characteristics and possible involvement in non-specific digestive
disorder (NSDD) of rabbits. In the present study, we first cloned the coding
sequence (CDS) of rabbit LKB1, which consisted of 1317 bp encoding 438 amino
acids (AAs) and contained a highly conserved S_TKc kinase domain. Its deduced AA
sequence showed 87.93-91.10% similarities with that of other species. In order to
determine its involvement in NSDD, a NSDD rabbit model was built by a dietary
fiber deficiency. The polymorphic site of LKB1 was then investigated in both
healthy and NSDD groups using directing sequencing. Our results suggested that a
synonymous variant site (840 c. G > C, CCC->CCG) existed in its S_TKc domain,
which was associated with susceptibility to NSDD. Furthermore, qPCR was utilized
to examine the mRNA levels of LKB1 and its downstream targets (i.e., PRKAA2, mTOR
and NF-kbeta) in several intestinal-related tissues from both healthy and NSDD
groups. Significant changes in their expression levels between two groups
indicated that impaired LKB1 signaling contributed to the intestinal abnormality
in NSDD rabbits. Taken together, it could be concluded that LKB1 might be a
potential candidate gene affecting the occurrence of rabbit NSDD. This
information may serve as a basis for further investigations on rabbit digestive
diseases.
PMID- 29637417
TI - Gun carrying among freshmen and sophomores in Chicago, New York City and Los
Angeles public schools: the Youth Risk Behavior Survey, 2007-2013.
AB - BACKGROUND: This study evaluated trends and risk factors over time for self
reported gun carrying among freshman and sophomore public school students in
Chicago, New York City and Los Angeles, chosen as high profile cities with
different levels of firearm violence. METHODS: The study used four biennial waves
(2007-2013) of the Youth Risk Behavior Survey (YRBS), an anonymous, voluntary
survey of public high school students. Analyses were restricted to freshman and
sophomores given significant high school dropout rates among older students.
School population weighted results are presented based on the YRBS complex survey
design, including comparisons of reported gun carrying across survey waves and
cities. A violence index was created from eight survey items that capture
students' perceived threat level. Chi square tests and multivariable Poisson
regression analyses were used to test the significance of differences across
cities and over time in the likelihood of gun carrying controlling for
sociodemographic characteristics, mental health risk factors and behavioral risk
factors. RESULTS: The study included a total weighted population estimate of
1,137,449 students across the three cities and four survey waves. Mean self
reported gun carrying across all survey waves was 8.89% in Chicago, 4.09% in New
York City, and 6.03% in Los Angeles (p < 0.001). There were no significant
changes in gun carrying prevalence within each individual city over the survey
waves. Multivariable Poisson regression estimates showed increased likelihood for
gun carrying among males (IRR 1.41, CI 1.27-1.58), among non-Hispanic Blacks (IRR
1.26, CI 1.07-1.48), and among those who reported a higher violence index. Each
additional violence index count increase was associated with a 1.74 times (CI
1.70-1.78) increased likelihood for gun carrying. CONCLUSIONS: There was a much
higher self-reported rate of gun carrying and a higher burden of violence
exposure in Chicago as compared to New York City and Los Angeles. Students'
exposure to violence extended to other stressors illuminated by the YRBS
including fighting, perceptions of safety, and other high-risk behaviors. Through
the violence index we created, we are better able to categorize the most high
risk individuals and describe the magnitude of their increased likelihood to
carry a gun.
PMID- 29637418
TI - Pre-diagnostic changes in body mass index and mortality among breast cancer
patients.
AB - PURPOSE: We investigated whether changes in body mass index (BMI) before a breast
cancer diagnosis affected mortality and whether trajectories more accurately
predict overall mortality compared to a single measure of BMI. METHODS: Our
prospective cohort comprised 2012 women with breast cancer who reported their
weight in each decade from 20 to 50-64 years of age. We used trajectory analysis
to identify groups with similar development patterns in BMI and Cox proportional
hazards models to examine the association between trajectory groups and
mortality, and interactions with oestrogen receptor status and smoking. We used c
index statistics to compare the trajectory model with the single measure model of
BMI. RESULTS: We identified three distinct trajectory groups, with a mean BMI at
age 20 of 19, 22 and 24 increasing to 23 (normal-to-normal), 29 (normal-to
overweight) and 37 (normal-to-obese) at 50-64 years of age, respectively. Women
in the normal-to-obese trajectory group experienced significantly higher overall
mortality than those in the normal-to-normal trajectory group (HR 1.76, 95% CI
1.21-2.56). The association declined to a non-significant level after adjustments
for clinical prognostic factors. Although not significant, the same tendency was
seen for breast cancer-specific mortality. The association was strongest in women
with oestrogen receptor-negative tumours. Weight changes over time were not
significantly different from a single BMI measure before diagnosis to predict
survival. CONCLUSION: Weight gain affects overall mortality after breast cancer
but clinical prognostic factors largely eliminate the association. Using
trajectories of weight changes did not improve the predictive value compared to a
single measure of BMI.
PMID- 29637420
TI - Cross sectional analysis of Chicago vs suburban Cook County suicide deaths among
10-24-year-olds in the Illinois violent death reporting system.
AB - BACKGROUND: In 2014, suicide was the second leading cause of death among 10- to
24-year-olds in the US. Studies note disparities in youth suicide based on sex,
race/ethnicity, and urban vs rural settings. This study investigates
demographics, mental health indicators, and other circumstances surrounding
youth/young adult deaths by suicide, comparing Chicago and suburban Cook County
from 2005 to 2010. METHODS: Using the Illinois Violent Death Reporting System
(IVDRS), we employed a cross-sectional design to provide descriptive analysis of
decedents in three age groups (10-14, 15-19, and 20-24 years) in two geographic
areas: urban (city of Chicago) and suburban (suburban Cook County) between
January 1, 2005 and December 31, 2010. We used chi-square testing to test for
significant differences in each age group by demographics, mental health
indicators, and suicide markers in each area. RESULTS: Between 2005 and 2010, the
IVDRS reported 299 deaths by suicide among 10-24-year-olds, 52% in Chicago, and
48% in suburban Cook County. Of these deaths, 5.7%, 33.4% and 60.9% were ages 10
14, 15-19, and 20-24 years, respectively. Non-Hispanic (NH) whites comprised
50.7% of the totals, NH Blacks 26.5%, Hispanics 16.8%, and Asians 5.7%. In
Chicago, males were 84% of suicides and 62.7% in suburban Cook County among 15-19
year-olds (p < 0.05). White race was significantly different in 10-14-year-olds:
0% in Chicago, 54% in suburban Cook County (p < 0.05). Racial and ethnic
differences in suicides among 15-19-year-olds in Chicago vs suburban Cook County
were: NH White 22.4% vs 74.5% (p < 0.001), NH Black 46.9% vs 13.7% (p < 0.05),
Hispanic 24.5% vs 7.8% (p < 0.05). There were also differences for 20-24-year
olds with NH White 43% vs 65.4% and NH Black 32% vs 13.6% (p < 0.05 for both).
For mechanism of death, in 15-19-year-olds, there were differences between city
and suburban in firearm deaths (42.9% vs 20%, p < 0.05) and in poisoning (0 vs
14%, p < 0.05). CONCLUSIONS: Our analyses detected significant location-related
differences in the characteristics of decedents within the Chicago region
indicating that local data are needed to inform suicide prevention efforts so
that those at most risk can be prioritized for services. IVDRS is a potent tool
in identifying these variations.
PMID- 29637419
TI - The Endothelin System: A Critical Player in the Pathophysiology of Preeclampsia.
AB - PURPOSE OF REVIEW: Preeclampsia (PE) is a disorder of pregnancy typically
characterized by new-onset hypertension and proteinuria after gestational week
20. Although preeclampsia is one of the leading causes of maternal and perinatal
morbidity and death worldwide, the mechanisms of the pathogenesis of the disorder
remain unclear and treatment options are limited. Placental ischemic events and
the release of placental factors appear to play a critical role in the
pathophysiology. These factors contribute to a generalized systemic vascular
endothelial dysfunction and result in increased systemic vascular resistance and
hypertension. RECENT FINDINGS: There is increasing evidence to suggest that
endothelin-1 (ET-1) in the maternal vascular endothelium is a critical final
common pathway, whereby placental ischemic factors cause cardiovascular and renal
dysfunction in the mother. Multiple studies report increased levels of ET-1 in
PE. A number of experimental models of PE are also associated with elevated
tissue levels of prepro-ET-1 mRNA. Moreover, experimental models of PE (placental
ischemia, sFlt-1 excess, TNF-alpha excess, and AT1-AA infusion) have proven to be
responsive to ET type A receptor antagonism. Recent studies also suggest that
abnormalities in ET type B receptor signaling may also play a role in PE.
Although numerous studies highlight the importance of the ET system in the
pathogenesis of PE, further work is needed to determine whether ET receptor
antagonists could provide an effective therapy for the management of this
disease.
PMID- 29637421
TI - The Efficacy of a Universal School-Based Prevention Program for Eating Disorders
among German Adolescents: Results from a Randomized-Controlled Trial.
AB - Disordered eating is highly prevalent during adolescence and has a detrimental
effect on further development. Effective prevention programs are needed to
prevent unhealthy developmental trajectories. This study evaluated the efficacy
of the POPS-program (POtsdam Prevention at Schools), a universal school-based
eating disorder prevention program for adolescents. In a cluster-randomized
design, we compared the intervention group receiving the prevention program to a
waiting control group. Outcomes included indicators of disordered eating and
relevant risk factors for eating disorders (body dissatisfaction, internalization
of the thin ideal, perceived media pressure, perfectionism, emotional element of
exercise, social comparison, and perceived teasing). Questionnaires were
administered at the start of the intervention, 3 and 12 months post intervention.
At baseline, 1112 adolescents aged 10 to 16 years participated (49% girls; 51%
intervention group). Intention-to-treat analyses with the complete data set and
per-protocol analyses as a completer analysis were performed. The intervention
group showed a more favorable course compared to the control group regarding all
observed risk factors for eating disorders except for perceived teasing. Effect
sizes were small but comparable to other primary prevention programs. At 1-year
follow-up, a small but significant effect on disordered eating was observed.
Results of the per-protocol analyses were mostly confirmed by the intention-to
treat analyses. Results were promising for both genders although girls benefited
more regarding disordered eating and internalization of the thin ideal. Further
studies are warranted examining successful program elements and whether gender
specific programs are needed.
PMID- 29637422
TI - You can have your breastmilk and safe sleep too: a preliminary analysis of infant
safe sleep data in a Midwestern home visiting program.
AB - BACKGROUND: Sudden unexpected infant death (SUID) accounted for approximately
3700 infant deaths in the US in 2015. SUID risk factors include prone sleeping,
bed-sharing, soft bedding use, and maternal smoking. Infant safe sleep data in at
risk communities are difficult to obtain and home visiting programs can add to
what we know. This study's purpose is to determine how often caregivers enrolled
in home visiting programs provide safe sleep environments for their infants in
relation to breastfeeding status and tobacco use. METHODS: Female caregivers in
at-risk communities were prospectively enrolled in Midwestern home visiting
programs. Those that had infants < 365 days old and completed a safe sleep survey
between October 1, 2016 and May 18, 2017 were included. Caregivers' responses
(always, sometimes, or never) to three safe sleep questions were compared by
breastfeeding status, caregiver tobacco use, and household tobacco use using
Pearson's chi-squared or Fisher's exact test. RESULTS: The characteristics of the
289 eligible female caregivers included 120 (42%) <= 21 years old, 137 (47%)
black, 77 (27%) breastfeeding, and 60 (22%) with household tobacco use. Two
hundred forty-six (85%) caregivers always placed infants in the supine position,
148 (51%) never bed-shared, and 186 (64%) never used soft bedding. Ongoing
breastfeeding caregivers never bed-shared more often than those who never
breastfed or weaned (66% vs. 53% vs. 39%, p = 0.003). Households with tobacco use
placed infants in the supine position less (75% vs. 88%, p = 0.03), bed-shared
more (62% vs. 44%, p = 0.04), and used soft bedding more (50% vs. 32%, p = 0.004)
relative to those without tobacco use. CONCLUSIONS: In this group of at-risk
young mothers, those who breastfed bed-shared less than mothers who were not
breastfeeding; this finding has implications toward reducing the SUID risk in
similar populations. This study also demonstrated that infants living with a
tobacco user are less likely to be sleeping safely. This suggests that a
multifaceted approach to safe sleep counseling may be needed.
PMID- 29637423
TI - First report on cystacanths of Sphaerirostris lanceoides (Petrochenko, 1949)
(Acanthocephala: Centrorhynchidae) from the Asiatic toad Bufo gargarizans Cantor
(Amphibia: Anura) in China.
AB - Everted cystacanths of Sphaerirostris lanceoides (Petrochenko, 1949) Golvan 1956
are reported from the Asiatic toad Bufo gargarizans Cantor (Amphibia: Anura) for
the first time. The prevalence was 1.96% and the intensity ranged between 1.0-3.0
acanthocephalans. SEM observations revealed the morphology of the gonopore and
the presence of a flat, bare region on the apical part of the proboscis.
Moreover, S. lanceoides was characterised using molecular approaches by
sequencing the ribosomal ITS1-5.8S-ITS2 region and the mitochondrial cox1 gene.
The resulting ITS sequences were identical and the cox1 sequences showed a
divergence of 0-0.75%. Sphaerirostris lanceoides is the first species of the
genus for which the ITS1-5.8S-ITS2 and cox1 loci have been sequenced to aid
species identification.
PMID- 29637424
TI - [Cultural differences concerning depressive disorders and attachment dimensions].
AB - BACKGROUND: Attachment is a psychological parameter across cultures and is
influenced by childhood experiences. Though the construct of attachment tends to
certain stability, different influences, like culture, can change the quality of
attachment during lifetime. As can be seen from the example of depression in
adulthood the clinical symptoms of this disorder may be different due to the
cultural background. METHODS: The present study focuses on inter- and
intracultural differences concerning the attachment qualities of Turkish people
in the homeland, Turkish migrants in Austria and Austrians (N = 297) by using the
self-assessment questionnaire RSQ. Each ethnic group consisted of a healthy
control group (N = 153) as well as a patient group currently suffering from a
depressive episode (N = 144) and were getting an in or outpatient treatment.
OBJECTIVE: The hypothesis tested was whether culture moderates a correlation
between depressive disorders and attachment abilities. RESULTS: There were
significant differences in attachment ability between cultures whereby controls
presented significantly more secure attachment ability in all parameters compared
to patients. While the Austrian control group showed the most secure attachment
orientation, the Austrian patients had the highest level of insecurity, followed
by the migrant group. However, the comparison of healthy and depressive Turks
revealed no significant differences. CONCLUSION: Thus, culture shows significant
effects concerning depressive disorders and attachment dimensions.
PMID- 29637425
TI - Investigation of contrast-enhanced subtracted breast CT images with MAP-EM based
on projection-based weighting imaging.
AB - Contrast-enhanced subtracted breast computer tomography (CESBCT) images acquired
using energy-resolved photon counting detector can be helpful to enhance the
visibility of breast tumors. In such technology, one challenge is the limited
number of photons in each energy bin, thereby possibly leading to high noise in
separate images from each energy bin, the projection-based weighted image, and
the subtracted image. In conventional low-dose CT imaging, iterative image
reconstruction provides a superior signal-to-noise compared with the filtered
back projection (FBP) algorithm. In this paper, maximum a posteriori expectation
maximization (MAP-EM) based on projection-based weighting imaging for
reconstruction of CESBCT images acquired using an energy-resolving photon
counting detector is proposed, and its performance was investigated in terms of
contrast-to-noise ratio (CNR). The simulation study shows that MAP-EM based on
projection-based weighting imaging can improve the CNR in CESBCT images by 117.7%
121.2% compared with FBP based on projection-based weighting imaging method. When
compared with the energy-integrating imaging that uses the MAP-EM algorithm,
projection-based weighting imaging that uses the MAP-EM algorithm can improve the
CNR of CESBCT images by 10.5%-13.3%. In conclusion, MAP-EM based on projection
based weighting imaging shows significant improvement the CNR of the CESBCT image
compared with FBP based on projection-based weighting imaging, and MAP-EM based
on projection-based weighting imaging outperforms MAP-EM based on energy
integrating imaging for CESBCT imaging.
PMID- 29637426
TI - Kinetics study of nicosulfuron degradation by a Pseudomonas nitroreducens strain
NSA02.
AB - A bacterial strain NSA02, isolated from contaminated soil and identified as
Pseudomonas nitroreducens based on partial 16S rDNA gene sequence analysis and
BIOLOG microbiology analysis, was used to study biodegradation of nicosulfuron in
the culture medium. The optimal degradation conditions were determined to be 30
degrees C and pH 7.0. Batch tests were performed for seven different initial
substrate concentrations to observe substrate degradation and associated cell
growth. The biodegradation kinetics was found to follow a first-order model with
regression values greater than 0.98. Specific degradation rate and specific
growth rate of bacterial cells were observed to follow substrate inhibition
kinetics, and the maximum values of both rates were observed at 100 mg L-1 of
nicosulfuron concentration. Kinetic parameters of three substrate inhibition
models (Haldane, Aiba-Edwards and Teissier-Edwards) were fitted to the
relationship between those rates and substrate concentrations. With the date
obtained, Haldane and Teissier-Edwards models provide better representation when
compared to Aiba-Edwards model. Inoculating nicosulfuron-treated soil samples
with strain NSA02 resulted in a 5-6 times higher rate of nicosulfuron removal
than that in non-inoculated soil. Five metabolites of nicosulfuron degradation
were detected and identified by liquid chromatography mass spectrometry, and
three possible biotransformation pathways were proposed. These results highlight
the potential of the isolated bacterium to be used in the bioremediation of
nicosulfuron-contaminated soils.
PMID- 29637427
TI - Child abuse and neglect experts' determination of when a child being left home
alone constitutes child neglect.
AB - BACKGROUND: Only 14 states have laws or guidelines regarding the minimum age a
child may be left home alone. These ages range from 6 to 14 years. Our objective
was to identify factors that influence child neglect determination by experts
with regards to parents leaving children home alone. METHODS: American Academy of
Pediatrics Section on Child Abuse and Neglect members (N = 523) were surveyed
from July-August, 2015. Respondents were asked whether scenarios involving a
child of varying age knowingly left home alone for 4 h were neglect in the
presence or absence of injury to the child and the legality of the situation.
Comparisons were performed using the chi-square test. RESULTS: One hundred ninety
three members responded (36.9%). In the scenario where there were no relevant
laws and the child was uninjured, nearly 100% of the child experts determined
this as being child neglect when the child was 6 years old. For 8, 10, 12, and 14
year olds, this was 88, 48, 4, and 1%, respectively. However, a significantly
higher percentage of experts considered it child neglect for most ages when there
was a law making the scenario illegal as compared when there was no law, and when
the child was injured versus when they were not. The only demographic variable
that showed a difference in child neglect determination was that females were
more likely to consider higher aged children as having been neglected when there
were no laws but the child was injured. The vast majority of experts (85%) stated
that leaving a child home alone for 4 h should be illegal if the child is < 9
years old, and nearly one-half (44%) said it should be illegal for children < 11
years old. CONCLUSIONS: A number of factors affect how experts view children
being left home alone as potential child neglect. Our data suggests that such
cases may be evaluated differently due to variations in state laws, even though
the risk to the child is the same. These results call for child safety law reform
to provide greater uniformity in the evaluation of potential child neglect cases
and better protect the safety of children.
PMID- 29637428
TI - Characterization of HIV-1 subtypes and transmitted drug resistance among
treatment-naive HIV-infected individuals in Zhejiang, China, 2014-2017.
AB - In recent years, transmitted drug resistance (TDR) has adversely impacted upon
first-line therapy for HIV-infected individuals. To understand the current
subtype distribution and TDR level in Zhejiang, China we performed phylogenetic
analysis and genotypic drug resistance testing of treatment-naive HIV-infected
individuals. A total of 153 HIV-1 Pol genes were successfully amplified. The
distribution of HIV-1 genotypes was as follows: CRF01_AE (43.8%); CRF07_BC
(37.9%); subtype B/B' (7.2%); CRF08_BC (5.2%); and others (5.9%). Drug resistance
analysis demonstrated that 11.1% of isolates contained at least one NRTI or NNRTI
resistance-associated mutations while 2.0% were identified to be resistant to
PIs. These findings enhance our understanding of the genetic diversity of HIV-1
strains circulating in Zhejiang and provide some guidelines for HIV initial
treatment therapy.
PMID- 29637430
TI - Correction to: Progressive multifocal leukoencephalopathy in rituximab-treated
rheumatic diseases: a rare event.
AB - The article "Progressive multifocal leukoencephalopathy in rituximab-treated
rheumatic diseases: a rare event," written by Joseph R. Berger, Vineeta Malik,
Stuart Lacey, Paul Brunetta, and Patricia B. Lehane3, was originally published
electronically on the publisher's internet portal (currently SpringerLink).
PMID- 29637431
TI - Pediatric falls ages 0-4: understanding demographics, mechanisms, and injury
severities.
AB - BACKGROUND: Pediatric unintentional falls are the leading cause of injury-related
emergency visits for children < 5 years old. The purpose of this study was to
identify population characteristics, injury mechanisms, and injury severities and
patterns among children < 5 years to better inform age-appropriate falls
prevention strategies. METHODS: This retrospective database study used trauma
registry data from the lead pediatric trauma system in Georgia. Data were
analyzed for all patients < 5 years with an international classification of
disease, 9th revision, clinical modification (ICD-9 CM) external cause of injury
code (E-code) for unintentional falls between 1/1/2013 and 12/31/2015. Age
(months) was compared across categories of demographic variables, injury
mechanisms, and emergency department (ED) disposition using Kruskal-Wallis ANOVA
and the Mann Whitney U test. The relationships between demographic variables,
mechanism of injury (MOI), and Injury Severity Score (ISS) were evaluated using
multinomial logistic regression. RESULTS: Inclusion criteria were met by 1086
patients (median age = 28 months; 59.7% male; 53.8% White; 49.1% < 1 m fall
height). Younger children, < 1-year-old, primarily fell from caregiver's arms,
bed, or furniture, while older children sustained more falls from furniture and
playgrounds. Children who fell from playground equipment were older (median = 49
months, p < 0.01) than those who fell from the bed (median = 10 months), stairs
(median = 18 months), or furniture (median = 19 months). Children < 1 year had
the highest proportion of head injuries including skull fracture (63.1%) and
intracranial hemorrhage (65.5%), 2-year-old children had the highest proportion
of femur fractures (32.9%), and 4-year-old children had the highest proportion of
humerus fractures (41.0%). Medicaid patients were younger (median = 24.5 months,
p < 0.01) than private payer (median = 34 months). Black patients were younger
(median = 20.5 months, p < 0.001) than White patients (median = 29 months).
Results from multinomial logistic regression models suggest that as age
increases, odds of a severe ISS (16-25) decreased (OR = 0.95, CI = 0.93-0.97).
CONCLUSIONS: Pediatric unintentional falls are a significant burden of injury for
children < 5 years. Future work will use these risk and injury profiles to inform
current safety recommendations and develop evidence-based interventions for
parents/caregivers and pediatric providers.
PMID- 29637432
TI - Early gastric cancer with lymphoid stroma presenting as a subepithelial lesion
diagnosed by endoscopic submucosal dissection.
AB - A 53-year-old man underwent an esophagogastroduodenoscopy that showed a 20-mm
subepithelial lesion in the middle gastric body. Endoscopic ultrasound revealed a
hypoechoic mass located in the submucosa. Biopsy specimens revealed a benign
gastric mucosa with severe lymphocytic infiltration in the submucosa. Malignant
lymphoma or gastric cancer with lymphoid stroma was suspected. We performed
endoscopic submucosal dissection for definitive diagnosis. Histological
examination showed undifferentiated adenocarcinoma, which showed positive Epstein
Barr virus-encoded RNA in situ hybridization results, invading the submucosa
mixed with dense lymphocytic infiltration. Thus, Epstein-Barr virus-positive
gastric cancer with lymphoid stroma was diagnosed. Gastric cancer with lymphoid
stroma is a rare subtype of gastric cancer, which is associated with Epstein-Barr
virus infection; it sometimes appears as a subepithelial lesion, which makes it
difficult to diagnose using standard biopsy. Endoscopic submucosal dissection was
useful in obtaining a sufficient tissue for full histological assessment,
including immunostaining.
PMID- 29637433
TI - Socio-Economic Status and Prevalence of Self-Reported Osteoporosis in Tehran:
Results from a Large Population-Based Cross-Sectional Study (Urban HEART-2).
AB - Osteoporosis is a widespread disease among older peoples. The aim of this study
is to estimate the prevalence of self-reported osteoporosis and assessing its
association with socio-economic status. A population-based cross-sectional study
was conducted in Tehran, Iran in 2011. Participants were 45,990 individuals aged
above 20 years from 22 urban districts. Osteoporosis was measured by self
administrative questionnaire. Wealth index was constructed using principal
component analysis based on household assets. Chi-square test, chi square test
for trend, and crude odds ratio were used to assess associations in univariate
analysis. Multiple logistic regression utilized to estimate adjusted associations
between self-reported osteoporosis and socio-economic status.The overall
estimated prevalence of self-reported osteoporosis was 4% (95% CI 3.88-4.13),
1.19% in men, and 6.84% in women (P < 0.001). The prevalence increased
considerably as age increased (P for trend < 0.001). In multivariable analysis,
education and wealth status were negative, and smoking was positively associated
with the prevalence of self-reported osteoporosis. No association was found
between participants' skill levels and Townsend deprivation index with the
prevalence of self-reported osteoporosis.The findings of the present study have
improved understanding of the association between socioeconomic status and
osteoporosis in the Iranian population. It is important to consider socioeconomic
status in screening and prevention programs.
PMID- 29637434
TI - The Impact of Health Messages on Maternal Decisions About Infant Sleep Position:
A Randomized Controlled Trial.
AB - Sudden infant death syndrome (SIDS) rates in African-Americans are more than
twice national rates, and historically, African-American parents are more likely
than other groups to place infants prone, even when they are aware of supine
sleep recommendations. Prior studies have shown African-Americans have low self
efficacy against SIDS but high self-efficacy against suffocation. This study
aimed to determine the impact of a specific health message about suffocation
prevention on African-American parental decisions regarding infant sleep
position. We conducted a randomized controlled trial of 1194 African-American
mothers, who were randomized to receive standard messages about safe sleep
practices to reduce the risk of SIDS, or enhanced messages about safe sleep
practices to prevent SIDS and suffocation. Mothers were interviewed about
knowledge and attitude, self-efficacy and current infant care practices when
infants were 2-3 weeks, 2-3 months and 5-6 months old. Analyses of covariance
were conducted to estimate the change in knowledge, attitudes and practice in
each group, and chi square tests were used to compare sleep position with each
variable. Over the first 6 months, the proportion of African-American infants
placed supine gradually decreased and was unchanged by enhanced education about
SIDS, suffocation risk and sleep safety. While initially high self-efficacy
against SIDS and suffocation correlated with supine positioning, by 5-6 months
self-efficacy did not correspond to sleep position in either group.
PMID- 29637429
TI - Taxonomy of the order Mononegavirales: update 2018.
AB - In 2018, the order Mononegavirales was expanded by inclusion of 1 new genus and
12 novel species. This article presents the updated taxonomy of the order
Mononegavirales as now accepted by the International Committee on Taxonomy of
Viruses (ICTV) and summarizes additional taxonomic proposals that may affect the
order in the near future.
PMID- 29637435
TI - The Influence of Breast Tumour-Derived Factors and Wnt Antagonism on the
Transformation of Adipose-Derived Mesenchymal Stem Cells into Tumour-Associated
Fibroblasts.
AB - Within the tumour stroma, a heterogeneous population of cell types reciprocally
regulates cell proliferation, which considerably affects the progression of the
disease. In this study, using tumour conditioned medium (TCM) derived from breast
tumour cell lines - MCF7 and MDA MB 231, we have demonstrated the differentiation
of adipose-derived mesenchymal stem cells (ADSCs) into tumour-associated
fibroblasts (TAFs). Since the Wnt signalling pathway is a key signalling pathway
driving breast tumour growth, the effect of the Wnt antagonist secreted frizzled
related protein 4 (sFRP4) was also examined. The response of ADSCs to TCM and
sFRP4 treatments was determined by using cell viability assay to determine the
changes in ADSC viability, immunofluorescence for mesenchymal markers, glucose
uptake assay, and glycolysis stress test using the Seahorse Extracellular Flux
analyser to determine the glycolytic activity of ADSCs. ADSCs have been shown to
acquire a hyper-proliferative state, significantly increasing their number upon
short-term and long-term exposure to TCM. Changes have also been observed in the
expression of key mesenchymal markers as well as in the metabolic state of ADSCs.
SFRP4 significantly inhibited the differentiation of ADSCs into TAFs by reducing
cell growth as well as mesenchymal marker expression (cell line-dependent).
However, sFRP4 did not induce further significant changes to the altered
metabolic phenotype of ADSCs following TCM exposure. Altogether, this study
suggests that the breast tumour milieu may transform ADSCs into a tumour
supportive phenotype, which can be altered by Wnt antagonism, but is independent
of metabolic changes.
PMID- 29637437
TI - Extramural Venous Invasion in Patients with Locally Advanced Esophageal Cancer: A
Reminder to Pathologists to Look Harder.
PMID- 29637436
TI - Opioid Prescribing After Curative-Intent Surgery: A Qualitative Study Using the
Theoretical Domains Framework.
AB - BACKGROUND: Excessive opioid prescribing is common after curative-intent surgery,
but little is known about what factors influence prescribing behaviors among
surgeons. To identify targets for intervention, we performed a qualitative study
of opioid prescribing after curative-intent surgery using the Theoretical Domains
Framework, a well-established implementation science method for identifying
factors influencing healthcare provider behavior. METHODS: Prior to data
collection, we constructed a semi-structured interview guide to explore decision
making for opioid prescribing. We then conducted interviews with surgical
oncology providers at a single comprehensive cancer center. Interviews were
recorded, transcribed verbatim, then independently coded by two investigators
using the Theoretical Domains Framework to identify theoretical domains relevant
to opioid prescribing. Relevant domains were then linked to behavior models to
select targeted interventions likely to improve opioid prescribing. RESULTS:
Twenty-one subjects were interviewed from November 2016 to May 2017, including
attending surgeons, resident surgeons, physician assistants, and nurses. Five
theoretical domains emerged as relevant to opioid prescribing: environmental
context and resources; social influences; beliefs about consequences;
social/professional role and identity; and goals. Using these domains, three
interventions were identified as likely to change opioid prescribing behavior:
(1) enablement (deploy nurses during preoperative visits to counsel patients on
opioid use); (2) environmental restructuring (provide on-screen prompts with
normative data on the quantity of opioid prescribed); and (3) education (provide
prescribing guidelines). CONCLUSIONS: Key determinants of opioid prescribing
behavior after curative-intent surgery include environmental and social factors.
Interventions targeting these factors are likely to improve opioid prescribing in
surgical oncology.
PMID- 29637439
TI - Correction to: Reduced Value-Driven Attentional Capture among Children with ADHD
Compared to Typically Developing Controls.
AB - The authors would like to correct a few minor errors in our article, none of
which change the conclusions or interpretations presented.
PMID- 29637438
TI - Peritoneal Carcinomatosis of Rare Ovarian Origin Treated by Cytoreductive Surgery
and Hyperthermic Intraperitoneal Chemotherapy: A Multi-Institutional Cohort from
PSOGI and BIG-RENAPE.
AB - PURPOSE: Ovarian cancer is the most common deadly cancer of gynecologic origin.
Patients often are diagnosed at advanced stage with peritoneal metastasis. There
are many rare histologies of ovarian cancer; some have outcomes worse than serous
ovarian cancer. Cytoreductive surgery (CRS) and hyperthermic intraperitoneal
chemotherapy (HIPEC) can be considered for patients with recurrence. This study
was designed to assess the impact of CRS and HIPEC on survival of patient with
peritoneal metastasis from rare ovarian malignancy. METHODS: A prospective,
multicentric, international database was retrospectively searched to identify all
patients with rare ovarian tumor (mucinous, clear cells, endometrioid, small cell
hypercalcemic, and other) and peritoneal metastasis who underwent CRS and HIPEC
through the Peritoneal Surface Oncology Group International (PSOGI) and BIG
RENAPE working group. The postoperative complications, long-term results, and
principal prognostic factors were analyzed. RESULTS: The analysis included 210
patients with a median follow-up of 43.5 months. Median overall survival (OS) was
69.3 months, and the 5-year OS was 57.7%. For mucinous tumors, median OS and DFS
were not reached at 5 years. For granulosa tumors, median overall survival was
not reached at 5 years, and median DFS was 34.6 months. Teratoma or germinal
tumor showed median overall survival and DFS that were not reached at 5 years.
Differences in OS were not statistically significant between histologies (p =
0.383), whereas differences in DFS were (p < 0.001). CONCLUSIONS: CRS and HIPEC
may increases long-term survival in selected patients with peritoneal metastasis
from rare ovarian tumors especially in mucinous, granulosa, or teratoma
histological subtypes.
PMID- 29637440
TI - Bexarotene Modulates Retinoid-X-Receptor Expression and Is Protective Against
Neurotoxic Endoplasmic Reticulum Stress Response and Apoptotic Pathway
Activation.
AB - Retinoid X-receptors (RXRs) are members of the ligand-dependent transcription
factor family of nuclear receptors that have gained recent research focus as
potential targets for neurodegenerative disorders. Bexarotene is an RXR
pharmacological agonist that is shown to be neuroprotective through its effects
in promoting amyloid beta (Abeta) uptake by the glial cells in the brain. This
study aimed to evaluate the dose-dependent effects of bexarotene on RXR
expression in SH-SY5Y neuroblastoma cells and validate the drug effects in the
brain in vivo. The protein expression studies were carried out using a
combination of various drug treatment paradigms followed by expression analysis
using Western blotting and immunofluorescence. Our study demonstrated that
bexarotene promoted the expression of RXR alpha, beta and gamma isoforms at
optimal concentrations in the cells and in the mice brain. Interestingly, a
decreased RXR expression was identified in Alzheimer's disease mouse model and in
the cells that were treated with Abeta. Bexarotene treatment not only rescued the
RXR expression loss caused by Abeta treatment (p < 0.05) but also protected the
cells against Abeta-induced ER stress (p < 0.05) and pro-apoptotic BAD protein
activation (p < 0.05). In contrast, higher concentrations of bexarotene
upregulated the ER stress proteins and led to BAD activation. Our study revealed
that these downstream neurotoxic effects of high drug concentrations could be
prevented by pharmacological targeting of the TrkB receptor. The ER stress and
BAD activation induced by high concentrations of bexarotene were rescued by the
TrkB agonist, 7,8 dihydroxyflavone (p < 0.05) while TrkB inhibitor CTX-B
treatment further exacerbated these effects. Together, these findings suggest a
cross-talk of TrkB signalling with downstream effects of bexarotene toxicity and
indicate that therapeutic targeting of RXRs could prevent the Abeta-induced
molecular neurotoxic effects.
PMID- 29637441
TI - Elevated MeCP2 in Mice Causes Neurodegeneration Involving Tau Dysregulation and
Excitotoxicity: Implications for the Understanding and Treatment of MeCP2
Triplication Syndrome.
AB - Expression of MeCP2 must be carefully regulated as a reduction or increase
results in serious neurological disorders. We are studying transgenic mice in
which the MeCP2 gene is expressed at about three times higher than the normal
level. Male MeCP2-Tg mice, but not female mice, suffer motor and cognitive
deficits and die at 18-20 weeks of age. MeCP2-Tg mice display elevated GFAP and
Tau expression within the hippocampus and cortex followed by neuronal loss in
these brain regions. Loss of Purkinje neurons, but not of granule neurons in the
cerebellar cortex is also seen. Exposure of cultured cortical neurons to either
conditioned medium from astrocytes (ACM) derived from male MeCP2-Tg mice or
normal astrocytes in which MeCP2 is expressed at elevated levels promotes their
death. Interestingly, ACM from male, but not female MeCP2-Tg mice, displays this
neurotoxicity reflecting the gender selectivity of neurological symptoms in mice.
Male ACM, but not female ACM, contains highly elevated levels of glutamate, and
its neurotoxicity can be prevented by MK-801, indicating that it is caused by
excitotoxicity. Based on the close phenotypic resemblance of MeCP2-Tg mice to
patients with MECP2 triplication syndrome, we suggest for the first time that the
human syndrome is a neurodegenerative disorder resulting from astrocyte
dysfunction that leads to Tau-mediated excitotoxic neurodegeneration. Loss of
cortical and hippocampal neurons may explain the mental retardation and epilepsy
in patients, whereas ataxia likely results from the loss of Purkinje neurons.
PMID- 29637443
TI - MiR-34a Regulates Axonal Growth of Dorsal Root Ganglia Neurons by Targeting FOXP2
and VAT1 in Postnatal and Adult Mouse.
AB - Hyperglycemia impairs nerve fibers of dorsal root ganglia (DRG) neurons, leading
to diabetic peripheral neuropathy (DPN). However, the molecular mechanisms
underlying DPN are not fully understood. Using a mouse model of type II diabetes
(db/db mouse), we found that microRNA-34a (miR-34a) was over-expressed in DRG,
sciatic nerve, and foot pad tissues of db/db mice. In vitro, high glucose
significantly upregulated miR-34a in postnatal and adult DRG neurons, which was
associated with inhibition of axonal growth. Overexpression and attenuation of
miR-34a in postnatal and adult DRG neurons suppressed and promoted, respectively,
axonal growth. Bioinformatic analysis suggested that miR-34a putatively targets
forkhead box protein P2 (FOXP2) and vesicle amine transport 1 (VAT1), which were
decreased in diabetic tissues and in cultured DRG neurons under high glucose
conditions. Dual-luciferase assay showed that miR-34a downregulated FOXP2 and
VAT1 expression by targeting their 3' UTR. Gain-of- and loss-of-function analysis
showed an inverse relation between augmentation of miR-34a and reduction of FOXP2
and VAT1 proteins in postnatal and adult DRG neurons. Knockdown of FOXP2 and VAT1
reduced axonal growth. Together, these findings suggest that miR-34a and its
target genes of FOXP2 and VAT1 are involved in DRG neuron damage under
hyperglycemia.
PMID- 29637442
TI - Impact of Hypoglycemia on Brain Metabolism During Diabetes.
AB - Diabetes is a metabolic disease afflicting millions of people worldwide. A
substantial fraction of world's total healthcare expenditure is spent on treating
diabetes. Hypoglycemia is a serious consequence of anti-diabetic drug therapy,
because it induces metabolic alterations in the brain. Metabolic alterations are
one of the central mechanisms mediating hypoglycemia-related functional changes
in the brain. Acute, chronic, and/or recurrent hypoglycemia modulate multiple
metabolic pathways, and exposure to hypoglycemia increases consumption of
alternate respiratory substrates such as ketone bodies, glycogen, and
monocarboxylates in the brain. The aim of this review is to discuss hypoglycemia
induced metabolic alterations in the brain in glucose counterregulation, uptake,
utilization and metabolism, cellular respiration, amino acid and lipid
metabolism, and the significance of other sources of energy. The present review
summarizes information on hypoglycemia-induced metabolic changes in the brain of
diabetic and non-diabetic subjects and the manner in which they may affect brain
function.
PMID- 29637444
TI - Bacteroidetes Neurotoxins and Inflammatory Neurodegeneration.
AB - The gram-negative facultative anaerobe Bacteroides fragilis (B. fragilis)
constitutes an appreciable proportion of the human gastrointestinal (GI)-tract
microbiome. As is typical of most gram-negative bacilli, B. fragilis secretes an
unusually complex mixture of neurotoxins including the extremely pro-inflammatory
lipopolysaccharide BF-LPS. LPS (i) has recently been shown to associate with the
periphery of neuronal nuclei in sporadic Alzheimer's disease (AD) brain and (ii)
promotes the generation of the inflammatory transcription factor NF-kB (p50/p65
complex) in human neuronal-glial cells in primary-culture. In turn, the NF-kB
(p50/p65 complex) strongly induces the transcription of a small family of pro
inflammatory microRNAs (miRNAs) including miRNA-9, miRNA-34a, miRNA-125b, miRNA
146a, and miRNA-155. These ultimately bind with the 3'-untranslated region (3'
UTR) of several target messenger RNAs (mRNAs) and thereby reduce their
expression. Down-regulated mRNAs include those encoding complement factor-H
(CFH), an SH3-proline-rich multi-domain-scaffolding protein of the postsynaptic
density (SHANK3), and the triggering receptor expressed in myeloid/microglial
cells (TREM2), as is observed in sporadic AD brain. Hence, a LPS normally
confined to the GI tract is capable of driving a NF-kB-miRNA-mediated deficiency
in gene expression that contributes to alterations in synaptic-architecture and
synaptic-deficits, amyloidogenesis, innate-immune defects, and progressive
inflammatory signaling, all of which are characteristics of AD-type
neurodegeneration. This article will review the most recent research which
supports the idea that bacterial components of the GI tract microbiome such as BF
LPS can transverse biophysical barriers and contribute to AD-type change. For the
first-time, these results indicate that specific GI tract microbiome-derived
neurotoxins have a strong pathogenic role in eliciting alterations in NF-kB-miRNA
directed gene expression that drives the AD process.
PMID- 29637445
TI - Atherosclerotic Renal Artery Stenosis: Should we Intervene Earlier?
AB - PURPOSE OF REVIEW: Randomized trials have failed to show clinical benefit in
patients with atherosclerotic renal artery stenosis who were treated with
angioplasty with or without stenting. However, these studies were done in
patients with a high-grade stenosis. This paper examines whether there are
arguments to consider patients with low-grade stenosis for angioplasty. RECENT
FINDINGS: Patients with low-grade (< 50%) atherosclerotic renal artery stenosis
have an excess risk for cardiovascular and renal complications. This could be
related to inflammatory factors being generated by the stenotic kidney. Moreover,
even a kidney with low-grade stenosis clears less or produces more of the natural
nitric oxide inhibitor ADMA. Patients with low-grade atherosclerotic renal artery
stenosis have an increased risk for a variety of complications. In addition, the
abnormality is progressive. There is a case for setting up a prospective trial to
examine whether angioplasty confers benefit in patients with low-grade renal
artery stenosis.
PMID- 29637446
TI - Effect of high chronic intake of sucrose on liver metabolism in aging rats.
Modulation by rutin and micronutrients.
AB - High-sugar intake and senescence share common deleterious effects, in particular
in liver, but combination of these two factors was little studied. Our aims were
to examine the effect of a high-sucrose diet in liver of old rats and also the
potential benefices of a polyphenol/micronutrient supplementation. Four groups of
22-month-old male rats fed during 5 months with a diet containing either 13 or
62% sucrose, supplemented or not with rutin, vitamin E, A, D, selenium, and zinc
were compared. We measured liver macronutrient composition, glycation/oxidative
stress, enzyme activities (lipogenesis, beta-oxidation, fructokinase), gene
expression (enzymes and transcription factors), in vivo protein synthesis rates
and plasma parameters. Sucrose induced an increase in plasma and liver lipid
content, and a stimulation of liver protein synthesis rates. Gene expression was
little changed by sucrose, with lower levels for LXR-alpha and LXR-beta.
Polyphenol/micronutrient supplementation tended to limit liver triglyceride
infiltration through variations in fatty acid synthase, acyl coA oxidase, and
possibly ATP-citrate lyase activities. In conclusion, despite differences in
enzymatic regulations, and blunted responses of gene expression, high-sucrose
diet was still able to induce a marked increase in liver lipid content in old
animals. However, it probably attenuated the positive impact of
polyphenol/micronutrients.
PMID- 29637447
TI - Thrombomodulin, alarmin signaling, and copeptin: cross-talk between obesity and
acute ischemic stroke initiation and severity in Egyptians.
AB - Acute ischemic stroke (AIS) is followed by a strong inflammatory response
contributing to brain damage and making early diagnosis and treatment inevitable.
Hence, obesity is a state of chronic inflammation with amplified oxidative
stress; this study aimed to assess the role played by thrombomodulin (TM)/alarmin
signaling pathway and copeptin in AIS initiation and severity in addition to the
implication of abnormal body weight. The study was conducted on 50 participants;
30 were patients with AIS (15 overweight/obese and 15 normal weight), 10 were
overweight/obese, and 10 were normal weight. Plasma TM, copeptin, high mobility
group box1 (HMGB1), and lipocalin 2 (LCN2) levels were immunoassayed. Toll-like
receptor 4 (TLR4) mRNA expression was evaluated by real-time PCR, National
Institutes of Health Stroke Scale (NIHSS), carotid intima media thickness;
atherogenic index and glycemic status were also assessed. TM, copeptin, HMGB1,
and LCN2 levels were significantly increased in overweight/obese AIS patients and
in AIS patients with NIHSS score >= 7 when compared to other groups (p value=, ?
0.001*). Receiver operating characteristic (ROC) curve elaborated HMGB-1 and LCN2
as the best biomarker for diagnosis and prediction of AIS severity, respectively.
Regression analysis avails LCN2 and TM as best biomarker for AIS severity
predication. In conclusion, these results highlighted detrimental role of alarmin
signaling with increased adaptive response to block this pathway through TM in
addition to increased copeptin level as an acute damage marker and their tight
relation to WC not to BMI in AIS which clarify the implication of central
adiposity.
PMID- 29637448
TI - The rise of soluble platelet-derived growth factor receptor beta in CSF early
after subarachnoid hemorrhage correlates with cerebral vasospasm.
AB - Platelet-derived growth factor beta (PDGFbeta) has been proposed to contribute to
the development of cerebral vasospasm (CVS) after subarachnoid hemorrhage (SAH),
and soluble PDGFRbeta (sPDGFRbeta) is considered to be an inhibitor of PDGF
signaling. We aimed at determining the sPDGFRbeta concentrations in the
cerebrospinal fluid (CSF) of patients with aneurysmal SAH (aSAH) and analyzing
the relationship between sPDGFRbeta level and CVS. CSF was sampled from 32
patients who suffered aSAH and five normal controls. Enzyme-linked immunosorbent
assay was performed to determine the sPDGFRbeta concentrations in the CSF.
Functional outcome was assessed using modified Rankin scale (mRS) at 6 months
after aSAH. CVS was identified using transcranial Doppler or angio-CT or DSA. The
cutoff of sPDGFRbeta for CVS was defined on the ROC curve. The concentrations of
sPDGFRbeta following aSAH were both higher than those of normal controls on days
1-3 and 4-6, and peaked on days 7-9 post-SAH. The cutoff value of sPDGFRbeta
level on days 1-3 for CVS was defined as 975.38 pg/ml according to the ROC curve
(AUC = 0.680, p = 0.082). In addition, CSF sPDGFRbeta concentrations correlated
with CVS (r = 0.416, p = 0.018), and multivariate analysis indicated that
sPDGFRbeta level higher than 975.38 pg/ml on days 1-3 was an independent
predictor of CVS (p = 0.001, OR = 19.22, 95% CI: 3.27-113.03), but not for
unfavorable outcome after aSAH in the current study. CSF sPDGFRbeta level
increases after aSAH and is higher in patients who developed CVS, and sPDGFRbeta
level higher than 975.38 pg/ml on days 1-3 is a potential predictor for CVS after
SAH.
PMID- 29637449
TI - Healthcare resources utilisation in primary progressive multiple sclerosis.
AB - Epidemiological data on primary progressive multiple sclerosis (PPMS) are scarce.
This study was aimed to evaluate the burden of PPMS in Italy with healthcare
resources utilisation and costs for Italian National Health System (INHS). A 2
year cross-sectional analysis of real-world data collected in the ARCO database,
covering > 10 million Italian inhabitants, was performed. From a cohort of
patients affected by MS in 2014, those supposedly affected by PPMS were defined
by the concurrent matching of absence of disease-modifying treatments and use of
rehabilitation services. Any other drug prescriptions, outpatient services and
hospitalisations were analysed in 2015 for each subject. The average annual cost
per patient was provided both for each expenditure item and by integrating these.
Of 13,253,591 inhabitants, 18,453 resulted affected by MS (prevalence 139 *
100,000). Of these, 1849 agreed with additional criteria to identify PPMS (10% of
MS population). The 26.8% of these experienced at least one admission in 1 year,
97.3% used at least one outpatient service and 94.3% received at least one
reimbursed drug. In the perspective of INHS, PPMS generated an average annual
cost of ? 3783 per person: 49% for hospitalisations, 28% for outpatient services
and 23% for drugs. This study provides a reliable estimation of the PPMS burden
in Italy, in terms of healthcare utilisation and direct costs. These findings
could be useful to estimate the changes in health expenditure following the
incoming of new drugs to treat PPMS with increase of pharmaceutical cost and
potential decrease of rehabilitation and hospitalisation costs.
PMID- 29637451
TI - Unilateral hyperhidrosis as persistently isolated feature of syringomyelia and
Arnold Chiari type 1.
PMID- 29637450
TI - The association of NF2 (neurofibromin 2) gene polymorphism and the risk of
medulloblastomas.
AB - To explore the relationship between NF2 promoter gene mutation and the risk of
medulloblastomas (MBs). We collected tissues from 16 MB patients and 7 age
matched non-MB controls. Gene sequencing, qPCR (real-time quantitative polymerase
chain reaction), IHC (immunohistochemistry), and WB (Western blot) were used to
analyze the changes in the NF2 gene sequence and expression between patients and
controls. We found that NF2 promoter gene mutations occurred in MB patients. The
NF2 mRNA expression was higher in the controls than in patients (p = 0.03 <
0.05); however, the results of IHC and WB demonstrated that the NF2 protein
expression was significantly higher in patients than in the controls (IHC: p =
0.0001; WB: p = 0.01). There was no significant difference in the CRL4 mRNA and
protein levels. In addition, NF2 protein was mainly expressed in the nucleus in
MB patients, while the NF2 protein was mainly expressed in the cytoplasm in the
controls. NF2 promoter mutations exist in MB patients. NF2 mRNA expression was
higher in controls than patients; whereas NF2 protein level was higher in
patients than in controls.
PMID- 29637452
TI - C-S Bond Activation.
AB - This review first briefly summarizes stoichiometric C-S bond activation by
transition metal complexes and then focuses on catalytic synthetic reactions
involving C-S bond activation.
PMID- 29637455
TI - Leaching of metals from end-of-life solar cells.
AB - The issue of recycling waste solar cells is critical with regard to the expanded
use of these cells, which increases waste production. Technology establishment
for this recycling process is essential with respect to the valuable and
hazardous metals present therein. In the present study, the leaching potentials
of Acidithiobacillus thiooxidans, Acidithiobacillus ferrooxidans, Penicillium
chrysogenum, and Penicillium simplicissimum were assessed for the recovery of
metals from spent solar cells, with a focus on retrieval of the valuable metal
Te. Batch experiments were performed to explore and compare the metal removal
efficiencies of the aforementioned microorganisms using spent media. P.
chrysogenum spent medium was found to be most effective, recovering 100% of B,
Mg, Si, V, Ni, Zn, and Sr along with 93% of Te at 30 degrees C, 150 rpm and 1%
(w/v) pulp density. Further optimization of the process parameters increased the
leaching efficiency, and 100% of Te was recovered at the optimum conditions of 20
degrees C, 200 rpm shaking speed and 1% (w/v) pulp density. In addition, the
recovery of aluminum increased from 31 to 89% upon process optimization. Thus,
the process has considerable potential for metal recovery and is environmentally
beneficial.
PMID- 29637454
TI - Application of vermiculite-derived sustainable adsorbents for removal of
venlafaxine.
AB - Removal of emerging pollutants, such as pharmaceuticals, from wastewater is a
challenge. Adsorption is a simple and efficient process that can be applied.
Clays, which are natural and low-cost materials, have been investigated as
adsorbent. In this work, raw vermiculite and its three modified forms (expanded,
base, and acid/base treated) were tested for removal of a widely used
antidepressant, venlafaxine. Adsorption kinetics followed Elovich's model for raw
vermiculite while the pseudo-2nd order model was a better fit in the case of
other materials. Equilibrium followed Langmuir's model for the raw and the
acid/base-treated vermiculite, while Redlich-Peterson's model fitted better the
expanded and the base-treated materials. The adsorption capacity of vermiculite
was significantly influenced by the changes in the physical and chemical
properties of the materials caused by the treatments. The base-treated, raw, and
expanded vermiculites showed lower maximum adsorption capacities (i.e., 6.3 +/-
0.5, 5.8 +/- 0.7, 3.9 +/- 0.2 mg g-1, respectively) than the acid/base-treated
material (33 +/- 4 mg g-1). The acid/base-treated vermiculite exhibited good
properties as a potential adsorbent for tertiary treatment of wastewater in
treatment plants, in particular for cationic species as venlafaxine due to
facilitation of diffusion of the species to the interlayer gallery upon such
treatment. Graphical abstract ?.
PMID- 29637453
TI - Exploring Wellness Interventions in Progressive Multiple Sclerosis: an Evidence
Based Review.
AB - PURPOSE OF REVIEW: There has been recent interest in the role of lifestyle and
wellness-based approaches in the treatment and management of multiple sclerosis
(MS). These approaches may be particularly relevant for patients with progressive
MS, considering limited therapeutic options currently available. The purpose of
this review is to examine the role of wellness-based interventions including
exercise training, emotional well-being therapies, and dietary modification in
patients with progressive MS. RECENT FINDINGS: We conducted a literature search
on the efficacy of wellness-based interventions in patients with progressive MS
published between 1985 and July 2017. The level of evidence for each trial was
evaluated using the American Academy of Neurology criteria. Overall, 21 articles
reporting on 16 wellness-based interventions were identified: ten trials involved
exercise training, three involved emotional wellness therapies, two involved
dietary modification, and one was a combined wellness intervention. There is
level C evidence (possibly effective; one class II study) for the efficacy of
aerobic exercise training on cardiorespiratory fitness in patients with
progressive MS. There is level B evidence (probably effective; one class I study)
for the efficacy of mindfulness training on psychological distress, depression,
anxiety, pain, and quality of life in patients with progressive MS. There is
inadequate evidence (level U) for efficacy of dietary modification (one class III
study and one class IV study) and combined wellness interventions involving
exercise training, meditation, and dietary modification (one class IV study).
High-quality research is needed to provide evidence-based recommendations for
wellness behaviors and lifestyle change in patients with progressive MS.
PMID- 29637456
TI - Relations Between Psychosocial Job Characteristics and Work Ability in Employees
with Chronic Headaches.
AB - Purpose The aim of the study was to determine (a) to which extent job demands and
job resources predict work ability in employees with chronic headaches, and (b)
whether work ability in these employees is more hampered by high demands and more
enhanced by resources than in employees without chronic disease. Methods All
employees with chronic headaches (n = 593) and without chronic disease (n =
13,742) were selected from The Netherlands Working Conditions Survey conducted in
2013. This survey assessed amongst others job characteristics and various
indicators of work ability, i.e. sick leave, employability, work engagement, and
emotional exhaustion. Hierarchical regression analyses were conducted for
employees with chronic headaches and compared to employees without chronic
disease, controlling for age, gender and educational level. Results In employees
with chronic headaches higher quantitative and emotional demands contributed to
higher emotional exhaustion, and higher emotional demands to higher sick leave.
Higher cognitive demands were however associated with higher work engagement.
Higher autonomy was related to higher employability and lower emotional
exhaustion. Higher supervisor and colleague support was associated with higher
employability, higher engagement and lower emotional exhaustion. Higher
supervisor support was associated with lower sick leave. Supervisor support
emerged as a stronger predictor for emotional exhaustion in the employees with
chronic headaches than in the employees without chronic disease. Conclusions Job
demands and job resources are important for work ability in employees with
chronic headaches. Furthermore, results suggest that these employees benefit more
strongly from supervisor support than employees without chronic disease.
PMID- 29637457
TI - Characterization of children hospitalized with traumatic brain injuries after
building falls.
AB - BACKGROUND: Unintentional falls cause a substantial proportion of pediatric
traumatic brain injury (TBI), with building falls carrying particularly high risk
for morbidity and mortality. The cohort of children sustaining building fall
related TBI has not been well-examined. We sought to characterize children
hospitalized with building fall-related TBIs and evaluate if specific factors
distinguished these children from children hospitalized with TBI due to other
fall mechanisms. We secondarily assessed if TBI severity among children injured
due to a building fall varied between children from urban versus non-urban areas.
METHODS: This was a secondary analysis of the Pediatric Health Information System
(PHIS), an administrative database from pediatric hospitals. We identified
children < 15 years old, hospitalized between 2009 and 2014, with an associated
TBI-related diagnosis due to a fall as determined by International Classification
of Diseases, Clinical Modification, Ninth revision (ICD9-CM) diagnosis codes.
Urban versus non-urban status was determined using PHIS-assigned Rural-Urban
Commuting Area codes. Injury severity (i.e. Injury Severity Score (ISS) and head
Abbreviated Injury Scale (AIS) score) were calculated. Head AIS scores were
dichotomized into minor/moderate (1-2) and serious/severe (3-6) for analysis.
Frequencies, descriptive statistics, Chi-square analysis, and Mann-Whitney U
analysis characterized populations and determined group differences. RESULTS: The
study cohort included 23,813 children, of whom 933 (3.9%) fell from buildings.
Within the building fall cohort, 707 (75.8%) resided in urban areas, 619 (66.3%)
were male, 513 (55.0%) were white, and 528 (56.6%) had government insurance; the
mean age was 3.8 years (SD 2.9). There was a larger proportion of children with
serious/severe TBI among those injured from building falls relative to other
falls (63.4% vs 53.9%, p < 0.01). Among children injured from building falls,
those from non-urban areas were more likely to sustain a serious/severe TBI
relative to urban children (58.9% vs 53.6%, p < 0.01). CONCLUSIONS: Children
hospitalized following buildings falls with TBI sustained more severe injuries
relative to other fall types. Although a majority of children hospitalized with
building fall related-TBIs were from urban areas, those from non-urban areas
frequently sustained serious head injuries. Future research should target
expanding prevention efforts to include non-urban areas.
PMID- 29637458
TI - Correction to: Plasma alpha-tocopherol determined by HPLC in dogs at different
stages of chronic kidney disease: a retrospective study.
AB - The above article originally published with an error present in the article
title, "Plasma alpha-tochopherol determined by HPLC in dogs at different stages
of chronic kidney disease: a retrospective study" this should instead have read,
"Plasma alpha-tocopherol determined by HPLC in dogs at different stages of
chronic kidney disease: a retrospective study" [bold text used to highlight
problem area].
PMID- 29637459
TI - Safety and Efficacy of Teneligliptin in Patients with Type 2 Diabetes Mellitus
and Impaired Renal Function: Interim Report from Post-marketing Surveillance.
AB - INTRODUCTION: Teneligliptin is a novel oral dipeptidyl peptidase-4 inhibitor for
the treatment of type 2 diabetes mellitus (T2DM). Safety and efficacy of
teneligliptin have been demonstrated in clinical studies; however, data
supporting its use in patients with moderate or severe renal impairment are
limited. This interim analysis of a post-marketing surveillance of teneligliptin,
exploRing the long-term efficacy and safety included cardiovascUlar events in
patients with type 2 diaBetes treated bY teneligliptin in the real-world (RUBY),
aims to verify the long-term safety and efficacy of teneligliptin in Japanese
patients with T2DM and impaired renal function. METHODS: For this analysis, we
used the data from case report forms of the RUBY surveillance between May 2013
and June 2017. The patients were classified into G1-G5 stages of chronic kidney
disease according to estimated glomerular filtration rate (eGFR) at initiation of
teneligliptin treatment. Safety and efficacy were evaluated in these subgroups.
Patients on dialysis were also assessed. Safety was assessed from adverse drug
reactions (ADRs). Glycemic control was evaluated up to 2 years after
teneligliptin initiation. RESULTS: A total of 11,677 patients were enrolled in
the surveillance and 11,425 patient case-report forms were collected for the
interim analysis. The incidence of ADRs in each subgroup was 2.98-6.98% of
patients, with no differences in the ADR profile (including hypoglycemia and
renal function ADRs) between subgroups. At 1 and 2 years after starting
teneligliptin, the least-squares mean change in HbA1c adjusted to the baseline
was - 0.68 to - 0.85% and - 0.71 to - 0.85% across the eGFR groups, respectively.
Treatment with teneligliptin in patients on dialysis reduced or tended to reduce
glycated albumin levels [- 2.29%, (p < 0.001) after 1 year; - 1.64%, (p = 0.064)
after 2 years]. CONCLUSIONS: During long-term treatment, teneligliptin was
generally well tolerated in patients with any stage of renal impairment from
normal to end-stage renal disease, including those on dialysis, and improved
glycemic control. TRIAL REGISTRATION NUMBER: Japic CTI-153047. FUNDING:
Mitsubishi Tanabe Pharma Corporation and Daiichi Sankyo Co, Ltd.
PMID- 29637460
TI - Author Correction: Liraglutide Improves Cardiovascular Risk as an Add-on to
Metformin and Not to Insulin Secretagogues in Type 2 Diabetic Patients: A Real
life 48-Month Retrospective Study.
AB - Author Correction to: Diabetes Ther (2018) 9:363-371.
PMID- 29637461
TI - Biomarkers for Diagnosing Ventilator Associated Pneumonia: Is that the Way
Forward?
PMID- 29637462
TI - Severe Dengue: Developing a Universally Applicable Simple Prediction Model.
PMID- 29637464
TI - Celiac Disease in Association with Gilbert's Syndrome.
PMID- 29637465
TI - Why kidneys fail post-partum: a tubulocentric viewpoint.
AB - Kidneys may fail post-partum in a number of circumstances due, for example, to
post-partum haemorrhage, preeclampsia, amniotic fluid embolism or septic
abortion. All these conditions in pregnancy and post partum represent a threat
not only to the endothelium but also to the renal tubular epithelium, and as such
may lead to rapid and also irreversible impairment of the renal function. This
paper is a non-systematic review of the literature and of our experience, in
which we discuss the main open issues on kidney disease in pregnancy and
following delivery, in particular as regards tubular damage, with the aim to help
reasoning on acute kidney injury (AKI) following delivery. The review will
emphasize the often under-estimated importance of the tubular epithelium in the
peri-partum period and will: (1) describe the main characteristics of the renal
tissues around delivery; (2) define pregnancy-related AKI according to recent
Kidney Disease/Improving Global Outcome (KDIGO) guidelines; (3) discuss the most
common circumstances of post-partum AKI; and (4) describe the input expected from
urinalysis, renal imaging and kidney biopsy.
PMID- 29637466
TI - Long-Term Outcomes of Unlocking Chinese Patients with Severe Mental Illness.
AB - In 2006, the "unlocking program" was implemented in Hebei province, China to
promote the human rights for people with severe mental illness who were
physically restrained at home. We assessed the long term outcomes of the
"unlocking program" following the provision of hospital and community psychiatric
care over 10 years and explored their associated factors. A total of 107 patients
with severe mental illness who were "unlocked" in the program were included.
Outcome measures were collected with standardized rating scales at 2 separate
time points in August 2012 and November 2016. Poor outcome was defined either as
being relocked, or missing to follow up or death. In 2012, 36 patients (33.6%)
had poor outcomes. Poor outcome was positively associated with follow-up length
and less caregiver burden at baseline. By 2016, 53 patients (49.5%) were found to
have poor outcomes. There was only a trend of positive association between poor
outcome and less caregiver burden at baseline. Poor long-term outcomes were
common in patients with severe mental illness following the "unlocking program".
Evidence-based treatment strategies and mental health services to improve the
outcomes and protect the human rights of patients subjected to being locked in
the community are urgently needed.
PMID- 29637467
TI - A cross-modal effect of noise: the disappearance of the alarm reaction of a
freshwater fish.
AB - Anthropogenic noise pollution is recognized as a major global stressor of
animals. While many studies have assessed the unimodal impacts of noise pollution
with a focus on intraspecific acoustic communication, little is known about noise
pollution on the perception of visual and chemical information. The 'distracted
prey hypothesis' posits that processing noise interferes with processing other
information in the brain. Here, we found evidence for such a cross-modal effect
of noise on the antipredator behaviour of a freshwater prey fish, the fathead
minnow, Pimephales promelas. In laboratory trials, exposure to noise from a
motorboat caused the total absence of the classical fright reaction of minnows to
conspecific alarm cues, whereas an ambient noise control had no such impact. In
natural habitats, the impairment of such antipredator behaviour due to noise
pollution could have major fitness consequences. We discuss how our findings
translate to animal ecology and the need for future studies that target specific
management decisions regarding noise pollution.
PMID- 29637469
TI - Correction to: Palivizumab Prophylaxis Against Respiratory Syncytial Virus
Infection in Children with Immunocompromised Conditions or Down Syndrome: A
Multicenter, Post-Marketing Surveillance in Japan.
AB - "Newborns, infants, or young children aged 24 months and under who have Down
syndrome, and children <= 24 months of age without a current hs-CHD if they had
experienced persistent respiratory symptoms or regular outpatient treatment due
to a respiratory tract infection in previous RSV seasons were also eligible for
the study."
PMID- 29637468
TI - Tissue Distribution and Gender-Specific Protein Expression of Cytochrome P450 in
five Mouse Genotypes with a Background of FVB.
AB - PURPOSE: To systematically investigate tissue distribution and gender-specific
protein expression of Cytochrome P450 (Cyps) in five mouse genotypes with a
background of Friend virus B (FVB). METHODS: The Cyps were extracted from the
tissue S9 fractions of the main metabolic organs and then absolutely quantified
by applying the UHPLC-MS/MS method. RESULTS: The liver had the highest expression
of Cyps, followed by the small intestine and kidney. In the liver, Cyp1a2,
Cyp2c29, Cyp2c39, Cyp2d22, Cyp2e1, and Cyp3a11 were the main isoforms. Cyp1a2 and
Cyp2c29 were male-specific, while Cyp2c39 was female-specific. Compared with the
expression in Wild-type (WT) FVB mice, the expression of Cyp1a2, Cyp1b1, Cyp2d22,
and Cyp3a25 significantly decreased in female efflux transporter (ET) knockout
mice. In the small intestine, Cyp2c29 and Cyp3a11 were the major isoforms.
Knockout of ET didn't alter the expression levels of most Cyps. However, female
ET knockout mice presented higher Cyp2c29 expression than WT FVB mice. The Cyp7a1
expression was markedly decreased in ET knockout mice except Bcrp1-/- mice. In
the kidney, Cyp2e1 was the main isoform and exhibited male specificity. Knockout
of ET slightly affected the protein expression of Cyps in the brain, heart, lung,
spleen and stomach. CONCLUSIONS: A comprehensive understanding of the
distribution characteristics and gender-specific expression of Cyps in major
metabolic organs of WT and ET knockout FVB mice should contribute to a better
understanding of drug efficacy and toxicity, and drug-drug interactions.
PMID- 29637470
TI - Anticoagulation Management After Transcatheter and Surgical Valve Replacement.
AB - Valvular heart disease is associated with high morbidity and mortality, and its
prevalence is rising as the population ages. Treatment of severe valvular disease
has historically required surgical correction, which carries its own risks, but
there have been significant advances in valve replacement technologies and
techniques, most notably the development of percutaneous options for repair and
replacement. While valve replacements alleviate the hemodynamic burden of
valvular disease, the synthetic material comprising part or all of the
replacement valve provides nidus for clot, necessitating antithrombotic therapy.
Providing the right balance between thromboembolic and bleeding risk is made more
challenging by the comorbidities that often co-exist with valve disease in the
elderly patient. The backbone of anticoagulation regimens has been comprised of
aspirin, warfarin, or both, but newer agents are gaining popularity due to
improved convenience and safety profiles. The expanding medical arsenal brings
not only more options but also more complexity with the increasing number of
possible combinations of anticoagulants and valve types. In general, mechanical
heart valves are thought to be the most durable option with the highest
thrombotic risk, requiring anticoagulation with warfarin with the addition of
aspirin. Bioprosthetic valves placed surgically or percutaneously are less likely
to cause thrombus and have been successfully managed with antiplatelet agents
alone. However, concerns about previously undetected complications coming to
light with new imaging techniques have brought uncertainty about current
practice. In order to provide optimal care for our patients, careful review of
the current literature, guideline recommendations, and the thrombotic and
bleeding risk unique to the individual patient and valve type is necessary.
PMID- 29637471
TI - A multi-arm phase I dose escalating study of an oral NOTCH inhibitor BMS-986115
in patients with advanced solid tumours.
AB - Background Inhibiting Notch is a promising anti-cancer strategy as it plays a
critical role in cancer stem cells maintenance and tumour angiogenesis. BMS
986115 is an orally active, selective inhibitor of gamma-secretase mediated Notch
signalling. Method Two dose escalation schedules (Arm-A continuous daily schedule
and Arm-B intermittent 2 times weekly schedule) of BMS-986115 were evaluated in
advanced solid tumour patients. The primary objective was to establish the
safety, tolerability and Maximum Tolerated Dose (MTD) of BMS-986115. Results
Thirty six patients (24 in Arm A and 12 in Arm B) were treated. The most frequent
treatment related adverse advents were diarrhoea (72%), hypophosphataemia (64%),
and nausea (61%). The MTD was 1.5 mg daily in Arm A but not established in Arm B.
Four patients in Arm A and 2 in Arm B experienced dose limiting toxicities (grade
3 nausea, diarrhoea, pruritus/urticaria and ileus). BMS-986115 showed dose
related increase in exposure within the dose range tested. Target inhibition of
Notch pathway related genes was observed. Three patients in Arm A and 2 in Arm B
achieved stable disease for more than 6 months. Conclusion The daily oral dosing
of BMS-986115 is safe and tolerable with biological activity demonstrated by
continuous target engagement and Notch signalling inhibition.
PMID- 29637473
TI - Ecosystem service flows from a migratory species: Spatial subsidies of the
northern pintail.
AB - Migratory species provide important benefits to society, but their cross-border
conservation poses serious challenges. By quantifying the economic value of
ecosystem services (ESs) provided across a species' range and ecological data on
a species' habitat dependence, we estimate spatial subsidies-how different
regions support ESs provided by a species across its range. We illustrate this
method for migratory northern pintail ducks in North America. Pintails support
over $101 million USD annually in recreational hunting and viewing and
subsistence hunting in the U.S. and Canada. Pintail breeding regions provide
nearly $30 million in subsidies to wintering regions, with the "Prairie Pothole"
region supplying over $24 million in annual benefits to other regions. This
information can be used to inform conservation funding allocation among migratory
regions and nations on which the pintail depends. We thus illustrate a
transferrable method to quantify migratory species-derived ESs and provide
information to aid in their transboundary conservation.
PMID- 29637472
TI - Curating viscoelastic properties of icosahedral viruses, virus-based
nanomaterials, and protein cages.
AB - The beauty, symmetry, and functionality of icosahedral virus capsids has
attracted the attention of biologists, physicists, and mathematicians ever since
they were first observed. Viruses and protein cages assemble into functional
architectures in a range of sizes, shapes, and symmetries. To fulfill their
biological roles, these structures must self-assemble, resist stress, and are
often dynamic. The increasing use of icosahedral capsids and cages in materials
science has driven the need to quantify them in terms of structural properties
such as rigidity, stiffness, and viscoelasticity. In this study, we employed
Quartz Crystal Microbalance with Dissipation technology (QCM-D) to characterize
and compare the mechanical rigidity of different protein cages and viruses. We
attempted to unveil the relationships between rigidity, radius, shell thickness,
and triangulation number. We show that the rigidity and triangulation numbers are
inversely related to each other and the comparison of rigidity and radius also
follows the same trend. Our results suggest that subunit orientation, protein
protein interactions, and protein-nucleic acid interactions are important for the
resistance to deformation of these complexes, however, the relationships are
complex and need to be explored further. The QCM-D based viscoelastic
measurements presented here help us elucidate these relationships and show the
future prospect of this technique in the field of physical virology and nano
biotechnology.
PMID- 29637474
TI - Short-term outcome of carotid dissecting pseudoaneurysm: is it always benign?
PMID- 29637475
TI - Building a Culture of Excellence in Cancer Education: a Message from Your
President.
PMID- 29637477
TI - Real-world management of heart failure in the Netherlands : Improving quality of
care by simple measures.
PMID- 29637476
TI - A Meta-analysis of Arsenic Exposure and Lung Function: Is There Evidence of
Restrictive or Obstructive Lung Disease?
AB - PURPOSE OF REVIEW: Hundreds of millions of people worldwide are exposed to
arsenic via contaminated water. The goal of this study was to identify whether
arsenic-associated lung function deficits resemble obstructive- or restrictive
like lung disease, in order to help illuminate a mechanistic pathway and identify
at-risk populations. RECENT FINDINGS: We recently published a qualitative
systematic review outlining the body of research on arsenic and non-malignant
respiratory outcomes. Evidence from several populations, at different life
stages, and at different levels of exposure showed consistent associations of
arsenic exposure with chronic lung disease mortality, respiratory symptoms, and
lower lung function levels. The published review, however, only conducted a broad
qualitative description of the published studies without considering specific
spirometry patterns, without conducting a meta-analysis, and without evaluating
the dose-response relationship. We searched PubMed and Embase for studies on
environmental arsenic exposure and lung function. We performed a meta-analysis
using inverse-variance-weighted random effects models to summarize adjusted
effect estimates for arsenic and forced expiratory volume in one second (FEV1),
forced vital capacity (FVC), and FEV1/FVC ratio. Across nine studies, median
water arsenic levels ranged from 23 to 860 MUg/L. The pooled estimated mean
difference (MD) comparing the highest category of arsenic exposure (ranging from
> 11 to > 800 MUg/L) versus the lowest (ranging from < 10 to < 100 MUg/L) for
each study for FEV1 was - 42 mL (95% confidence interval (CI) - 70, - 16) and for
FVC was - 50 mL (95% CI - 63, - 37). Three studies reported effect estimates for
FEV1/FVC, for which there was no evidence of an association; the pooled estimated
MD was 0.01 (95% CI - 0.005, 0.024). This review supports that arsenic is
associated with restrictive impairments based on inverse associations between
arsenic and FEV1 and FVC, but not with FEV1/FVC. Future studies should confirm
whether low-level arsenic exposure is a restrictive lung disease risk factor in
order to identify at-risk populations in the USA.
PMID- 29637478
TI - Overcoming Tumor-Induced Immune Suppression: From Relieving Inhibition to
Providing Costimulation with T Cell Agonists.
AB - Recent advancements in T-cell biology and antibody engineering have opened doors
to significant improvements in cancer immunotherapy. Initial success with
monoclonal antibodies targeting key receptors that inhibit T-cell function such
as cytotoxic T lymphocyte antigen 4 (CTLA-4) and programmed death-ligand 1 (PD-1)
have demonstrated the potency of this new class of therapy, highlighted by long
term complete responses for metastatic cancers once thought incurable. However,
only a subset of patients responds to checkpoint blockade because of a multitude
of factors, including an immunosuppressive tumor microenvironment and the
mutational burden of the cancer. Novel antibodies, as well as ligand
immunoglobulin fusion proteins that target costimulatory immune receptors, are
being developed and tested in clinical trials to further enhance the anti-tumor
immune response. Many of these costimulatory receptors are in the tumor necrosis
factor receptor superfamily (TNFRSF) and are expressed on multiple immune cell
types, including inhibitory cells. While TNFRSFs signal through common pathways,
the outcome of targeting different receptors depends on the functional status of
the cell types expressing the relevant receptors. In this review, we discuss the
current state of targeted costimulatory immunotherapy.
PMID- 29637479
TI - A quality improvement program in pediatric practices to increase tailored injury
prevention counseling and assess self-reported changes made by families.
AB - BACKGROUND: Many pediatric providers struggle to screen families for the majority
of age-appropriate injury risks and educate them when appropriate. Standardized
tools have helped physicians provide effective, more purposeful counseling. In
this study, pediatricians utilized a standardized, injury prevention screening
tool to increase targeted discussions and families were re-screened at subsequent
visits to determine changes in their behavior. METHODS: Pediatric practices,
recruited from the Ohio Chapter, American Academy of Pediatrics database, self
selected to participate in a quality improvement program. Two screening tools,
for children birth-4 month and 6-12 month, with corresponding talking points,
were to be implemented into every well child visit. During the 7-month
collaborative, screening results and pediatrician counseling for reported unsafe
behaviors were calculated. Patients who completed a screening tool at subsequent
visits were followed up at a later visit to determine self-reported behavior
changes. We examined statistically significant differences in frequencies using
the X2 test. Providers received maintenance of certification IV credit for
participation. RESULTS: Seven practices (39 providers) participated. By the
second month, participating providers discussed 75% of all inappropriate
responses for birth-4 month screenings and 87% for 6-12 months. Of the 386
families who received specific counseling and had a follow-up visit, 65% (n =
94/144) of birth-4 month and 65% (n = 59/91) of 6-12 month families made at least
one behavior change. The X2 test showed that families who received counseling
versus those that did not were significantly more likely to change inappropriate
behaviors (p < 0.05). Overall, of all the risks identified, 45% (136) of birth-4
month and 42% (91) of 6-12 month behaviors reportedly changed after a
practitioner addressed the topic area. CONCLUSIONS: Participation in a quality
improvement program within pediatric offices can increase screening for injury
risks and encourage tailored injury prevention discussions during an office
encounter. As a result, significantly more families reported to practice safer
behaviors at later visits.
PMID- 29637481
TI - Dermoscopy of Concerning Pigmented Lesions and Primary Care Providers' Referrals
at Intervals After Randomized Trial of Mastery Learning.
PMID- 29637480
TI - Quality and Correlates of Peer Relationships in Youths with Chronic Pain.
AB - Youths with chronic pain may experience difficulties with peer relationships. We
investigated the quality and correlates of peer relationships in a sample of 181
youths with chronic pain. A majority of youths were satisfied with their
relationships with peers; however, levels were highly variable. Higher functional
impairment and depression levels predicted lower peer relationship quality,
controlling for demographic and other pain-related factors. In addition, peer
relationship quality and pain severity predicted child depression and anxiety
symptoms, whereas peer relationship quality only predicted anger symptoms.
Relationship quality moderated the association between pain severity and
functional impairment, suggesting that strong relationships with peers may buffer
the effects of pain on functioning. Peer relationships seem particularly
important for the adjustment and psychological well-being of youths with chronic
pain. Particular attention should be given to functionally impaired and depressed
children, who may be at higher risk of peer difficulties.
PMID- 29637482
TI - Antiviral prophylaxis for preventing reactivation of hepatitis B virus in
rheumatic patients: a systematic review and meta-analysis.
AB - To estimate the risk of reactivation of hepatitis B virus (HBV) and evaluate the
effectiveness of antiviral prophylaxis (AVP) in patients with different status of
HBV infection undergoing antirheumatic therapies. We searched Cochrane Library,
Medline, and EMBASE for randomized controlled trials (RCTs), quasi-RCTs, non
RCTs, cohort studies, or case series studies examining reactivation of HBV in
patients undergoing antirheumatic therapy with or without AVP. We estimated the
HBV reactivation rate (HRR) and its 95% confidence interval (CI) among different
patient groups (indirect comparison). We also calculated rate ratio (RR), rate
difference (RD) with their 95% CIs, and the number needed to treat (NNT) of AVP
(direct comparison). Fifty-three case series studies with 2162 patients were
included. The RD of AVP was - 0.13 (95% CI - 0.21 to - 0.05) for all patients, -
0.16 (95% CI - 0.26 to - 0.06) for rheumatic patients with chronic HBV infection,
but not statistically significant for patients with other status of HBV
infection. Lamivudine (RD - 0.10, 95% CI - 0.25 to 0.05) was less effective than
other prophylactic antiviral drugs (RD - 0.31, 95% CI - 0.52 to - 0.11). The HHR
varied from 55 to 5% by HBV status and treatment. There is limited evidence that
AVP was effective for preventing reactivation of HBV in patients undergoing
antirheumatic therapy. The effectiveness varies by patient HBV status and
antiviral regimens. Rheumatic HBV carriers may be more beneficial from AVP, and
lamivudine may be inferior to other AVP regimens. Findings in this study warrant
further investigation in rigorous RCTs.
PMID- 29637483
TI - Evaluation of the comorbidity burden in patients with ankylosing spondylitis
using a large US administrative claims data set.
AB - Comorbidities among US patients with ankylosing spondylitis (AS) are inadequately
understood. This study compared the prevalence and incidence of comorbidities
between patients with AS and matched controls using national claims databases.
Adults enrolled in the MarketScan Commercial and Medicare databases with >= 1
inpatient or >= 2 non-rule-out outpatient diagnoses of AS between January 1, 2012
and December 31, 2014 were included. Patients had to have >= 1 AS diagnosis in
2013; the first AS diagnosis in 2013 was assigned as the index date. Control
patients without AS were matched to AS patients on age, geographic region, index
calendar year, and sex. Comorbidities were evaluated in AS patients and matched
controls during the baseline and follow-up periods (before and after the index
date, respectively). Hazard ratios of developing new comorbidities were estimated
using Cox proportional hazard models adjusted for patients' characteristics. A
total of 6679 patients with AS were matched to 19,951 control patients. In
addition to extra-articular manifestations of AS (inflammatory bowel disease
[IBD], psoriasis, uveitis), a higher proportion of AS patients had asthma,
cardiovascular disease, depression, dyslipidemia, gastrointestinal ulcers,
malignancies, multiple sclerosis, osteoporosis, sleep apnea, and spinal fractures
during the baseline period than matched controls. After AS diagnosis, a higher
proportion of patients developed newly diagnosed cases of cardiovascular
diseases, depression, osteoporosis, spinal fracture, IBD, psoriasis, and uveitis
than matched controls. In this real-world, US claims-based study, patients with
AS were shown to have significantly more comorbidities than matched controls.
PMID- 29637484
TI - Comparative evaluation of therapeutic efficacy of intra-articular oxaceprol with
conventional modalities in osteoarthritis animal model.
AB - The duration and dose-dependent side effects of conventional intra-articular
corticosteroid treatment in osteoarthritis (OA) like cartilage damage and
chondrocyte toxicity warrant the search for alternative therapeutics. Oxaceprol,
a recognized oral therapeutic agent for osteoarthritis, is yet to be explored for
its intra-articular route of administration confirming better safety profile. In
this study, a comparative evaluation of intra-articular oxaceprol and
corticosteroid is carried out in osteoarthritis rabbit model. Osteoarthritis was
induced by monosodium iodoacetate in rabbits. After randomization into three
groups of five animals each: OA with intra-articular injection of saline, OA with
intra-articular injection of oxaceprol, and OA with intra-articular injection of
corticosteroids, treatment efficacy was analyzed by evaluation of inflammation
through knee swelling, pain assessment by wire walking, and hot plate method.
Further biopsies were collected for histological characterization. Intra
articular oxaceprol and corticosteroids reduced 20.5 and 24.5% knee swelling
respectively within 4 weeks compared to those in control osteoarthritic rabbits.
Oxaceprol exhibited analgesic action in visual analogue scoring of wire walking
method. Hot plate test further confirmed drastic minimization of pain in
oxaceprol intervention. Histological investigation suggested that application of
oxaceprol has the abilities to protect articular cartilages from degenerative
changes that occur in osteoarthritis. Marked improvement both in bone and
cellular matrixes was observed in oxaceprol-treated group while gross lesions
were visible and consisted of a well-demarcated area of cartilage erosion in
control group. Intra-articular injection of oxaceprol showed remarkable
improvement of articular cartilage in chemically induced osteoarthritic rabbits.
PMID- 29637485
TI - The Effects of 'Face' on Listening Comprehension: Evidence from Advanced
Jordanian Speakers of English.
AB - This empirical study examines the extent to which 'face', i.e. (audio visual
dialogues), affects the listening comprehension of advanced Jordanian EFL
learners in a TOFEL-like test, as opposed to its absence (i.e. a purely audio
test) which is the current norm in many English language proficiency tests,
including but not limited to TOFEL iBT, TOEIC and academic IELTS. Through an
online experiment, 60 Jordanian postgraduate linguistics and English literature
students (advanced EFL learners) at the University of Jordan sit for two
listening tests (simulating English proficiency tests); namely, one which is
purely audio [i.e. without any face (including any visuals such as motion, as
well as still pictures)], and one which is audiovisual/video. The results clearly
show that the inclusion of visuals enhances subjects' performance in listening
tests. It is concluded that since the aim of English proficiency tests such as
TOEFL iBT is to qualify or disqualify subjects to work and study in western
English-speaking countries, the exclusion of visuals is unfounded. In actuality,
most natural interaction includes visibility of the interlocutors involved, and
hence test takers who sit purely audio proficiency tests in English or any other
language are placed at a disadvantage.
PMID- 29637486
TI - A photon recycling approach to the denoising of ultra-low dose X-ray sequences.
AB - PURPOSE: Clinical procedures that make use of fluoroscopy may expose patients as
well as the clinical staff (throughout their career) to non-negligible doses of
radiation. The potential consequences of such exposures fall under two
categories, namely stochastic (mostly cancer) and deterministic risks (skin
injury). According to the "as low as reasonably achievable" principle, the
radiation dose can be lowered only if the necessary image quality can be
maintained. METHODS: Our work improves upon the existing patch-based denoising
algorithms by utilizing a more sophisticated noise model to exploit non-local
self-similarity better and this in turn improves the performance of low-rank
approximation. The novelty of the proposed approach lies in its properly designed
and parameterized noise model and the elimination of initial estimates. This
reduces the computational cost significantly. RESULTS: The algorithm has been
evaluated on 500 clinical images (7 patients, 20 sequences, 3 clinical sites),
taken at ultra-low dose levels, i.e. 50% of the standard low dose level, during
electrophysiology procedures. An average improvement in the contrast-to-noise
ratio (CNR) by a factor of around 3.5 has been found. This is associated with an
image quality achieved at around 12 (square of 3.5) times the ultra-low dose
level. Qualitative evaluation by X-ray image quality experts suggests that the
method produces denoised images that comply with the required image quality
criteria. CONCLUSION: The results are consistent with the number of patches used,
and they demonstrate that it is possible to use motion estimation techniques and
"recycle" photons from previous frames to improve the image quality of the
current frame. Our results are comparable in terms of CNR to Video Block Matching
3D-a state-of-the-art denoising method. But qualitative analysis by experts
confirms that the denoised ultra-low dose X-ray images obtained using our method
are more realistic with respect to appearance.
PMID- 29637487
TI - Playground slide-related injuries in preschool children: increased risk of lower
extremity injuries when riding on laps.
AB - BACKGROUND: The purpose of this study was to better understand the factors
associated with playground slide-related injuries in preschool children and to
test the hypothesis that riding on laps increases the likelihood of lower
extremity injuries. METHODS: Playground slide-related injuries (product code
1242) in children <=5 years of age treated in emergency departments from 2002 to
2015 were identified (N = 12,686) using the U.S. Consumer Product Safety
Commission's National Electronic Injury Surveillance System (NEISS). Descriptive
and comparative analyses, including chi-square testing and binary logistic
regression, were performed. RESULTS: Based on NEISS stratified national sampling
estimates, over 350,000 children <=5 years of age were injured on slides from
2002 to 2015. Overall, 59% of the children were male, and 65% were white. Almost
60% of injuries occurred in parks or other public areas. The most frequent
diagnosis was a fracture (36%); lacerations were 19% of the injuries. A higher
proportion of musculoskeletal injuries were seen in toddlers < 3 years old as
compared to those 3-5 years of age (p < 0.001). Injuries to the lower extremities
increased in frequency as age decreased, whereas injuries to the upper
extremities and head/neck/face were more common in older preschoolers. Children <
3 years of age were 12 times more likely to be identified from narratives as
being on another person's lap at the time of injury. Children identified as being
on a lap had an increased odds of injury to the lower extremity than to other
body parts (OR 43.0, 95% confidence interval (CI) 32.0-58.0), and of lower
leg/ankle fracture than fractures elsewhere (OR 49.5, 95% CI 31.7-77.4).
CONCLUSIONS: Decreasing age was associated with a higher likelihood of being
identified as sliding down on another person's lap and a higher likelihood of
lower extremity injuries. Healthcare providers should be mindful of the potential
for these slide-related injuries as they can result in a toddler's fracture of
the tibia, which may be occult. Parents should also be made aware of this
increased risk and counseled that a child's foot can catch on the slide's
surfaces when going down on a person's lap with subsequent twisting forces that
can result in a fracture.
PMID- 29637488
TI - Improving the biopharmaceutical attributes of mangiferin using vitamin E-TPGS co
loaded self-assembled phosholipidic nano-mixed micellar systems.
AB - The current research work encompasses the development, characterization, and
evaluation of self-assembled phospholipidic nano-mixed miceller system (SPNMS) of
a poorly soluble BCS Class IV xanthone bioactive, mangiferin (Mgf) functionalized
with co-delivery of vitamin E TPGS. Systematic optimization using I-optimal
design yielded self-assembled phospholipidic nano-micelles with a particle size
of < 60 nm and > 80% of drug release in 15 min. The cytotoxicity and cellular
uptake studies performed using MCF-7 and MDA-MB-231 cell lines demonstrated
greater kill and faster cellular uptake. The ex vivo intestinal permeability
revealed higher lymphatic uptake, while in situ perfusion and in vivo
pharmacokinetic studies indicated nearly 6.6- and 3.0-folds augmentation in
permeability and bioavailability of Mgf. In a nutshell, vitamin E functionalized
SPNMS of Mgf improved the biopharmaceutical performance of Mgf in rats for
enhanced anticancer potency.
PMID- 29637489
TI - Molecular characterization and expression profiling of BMP 3 gene in broiler and
layer chicken.
AB - A study was carried out to characterize and explore the expression profile of BMP
3 gene in control broiler and control layer chicken. The total open reading frame
of BMP 3 (1389 bp) was cloned and sequenced. The control broiler and control
layer chicken showed variation at nucleotide and amino acid level with reference
gene (Gallus gallus, NCBI Acc. No. NM_001034819). When compared to reference
gene, the control broiler showed four nucleotide differences (c.192A>G, c.519C>T,
903G>A and 960C>G), while, control layer showed variation at c.33G>C, 192A>G,
858G>A, 904G>A, 960C>G and 1257C>T making six differences in total. However,
between control broiler and control layer lines, nucleotide differences was
observed at c.33G>C, 519T>C, 858G>A, 903A>G, 904G>A and 1257C>T. The change at
amino acid level between reference and control broiler was p.D320N and with
control layer chicken, it was p.D302N and p.D320N. On the other hand, a single
amino acid difference (p.D302N) was observed between the control broiler and
control layer chicken lines. The phylogenetic study displayed a close
relationship between broiler and layer lines and reference gene and also with
other avian species resulting in a cluster formation. These cluster in turn
displayed a distant link with the mammalian species. The expression profile of
BMP 3 gene exhibited a variation at different stages of embryonic development and
also at post embryonic period among the lines with control layer showing higher
expression than that of broiler chicken. The protein was also detected in bone
marrow tissue of broiler and layer lines by western blotting. It is concluded
that the BMP 3 gene sequence differed at nucleotide and amino acid level among
the lines and the gene expressed differentially at different periods of embryonic
development and also at post hatch period.
PMID- 29637491
TI - The Chemical Basis of Species, Sex, and Individual Recognition Using Feces in the
Domestic Cat.
AB - Scents emitted from excretions provide important information about the owner.
Volatile compounds with higher levels in a species and/or sex, or that vary among
individuals could be odor cues for species and/or sex, or individual recognition.
However, such compounds have been identified in only a few vertebrate species. In
domestic cats (Felis silvestris catus), it is known that unburied cat feces are
territorial markers asserting the border of their home range, but little was
known which fecal compounds are scent cues for species, sex, and individual
recognition in cats. In the present study, we demonstrated the chemical basis for
species, sex, and individual recognition using feces of cats. For males, major
contents were fatty acids and 3-mercapto-3-methyl-1-butanol (MMB), a derivative
of the unusual amino acid, felinine. MMB emission levels from feces had sex-based
differences (male > female) and dynamic temporal changes during aging. Cats
distinguished fecal odors with and without MMB, and different fatty acid
compositions among individuals. No cat-specific compound, such as MMB, was
detectable from their anal odor emitting fatty acids. We concluded that fecal MMB
is a male sex recognition pheromone in cats and also provides a temporal trace of
the owner. After sensing MMB, they may distinguish individual differences of
conspecific feces with variable subsets of fatty acids. In contrast to scent
marks, since cats can obtain species information from visual cues before sniffing
conspecific anal odors, they may use their efforts to distinguish individual
differences of anal odors during sniffing.
PMID- 29637490
TI - Chemical Ecology and Sociality in Aphids: Opportunities and Directions.
AB - Aphids have long been recognized as good phytochemists. They are small sap
feeding plant herbivores with complex life cycles that can involve cyclical
parthenogenesis and seasonal host plant alternation, and most are plant
specialists. Aphids have distinctive traits for identifying and exploiting their
host plants, including the expression of polyphenisms, a form of discrete
phenotypic plasticity characteristic of insects, but taken to extreme in aphids.
In a relatively small number of species, a social polyphenism occurs, involving
sub-adult "soldiers" that are behaviorally or morphologically specialized to
defend their nestmates from predators. Soldiers are sterile in many species,
constituting a form of eusociality and reproductive division of labor that bears
striking resemblances with other social insects. Despite a wealth of knowledge
about the chemical ecology of non-social aphids and their phytophagous
lifestyles, the molecular and chemoecological mechanisms involved in social
polyphenisms in aphids are poorly understood. We provide a brief primer on
aspects of aphid life cycles and chemical ecology for the non-specialists, and an
overview of the social biology of aphids, with special attention to
chemoecological perspectives. We discuss some of our own efforts to characterize
how host plant chemistry may shape social traits in aphids. As good
phytochemists, social aphids provide a bridge between the study of insect social
evolution sociality, and the chemical ecology of plant-insect interactions.
Aphids provide many promising opportunities for the study of sociality in
insects, and to understand both the convergent and novel traits that characterize
complex sociality on plants.
PMID- 29637492
TI - Oxidatively Active Plant Phenolics Detected by UHPLC-DAD-MS after Enzymatic and
Alkaline Oxidation.
AB - We developed a combination of methods to estimate the alkaline oxidative
conditions of the midgut of insect larvae and to reveal the alkaline and
enzymatic oxidative activities for individual phenolic compounds present in the
larval host plants. First, we monitored the in vitro isomerization of 5-O
caffeoylquinic acid (5-CQA) into 3-CQA, 4-CQA and 5-CQA at pH 9.0-11.0. Then we
calculated the isomer ratios of 3-CQA, 4-CQA and 5-CQA from the frass of eight
species of insect herbivores fed on foliage containing 5-CQA. The isomer ratios
suggested that the midgut pH of these larvae ranged from 9.4 to around 10.1.
Second, we developed an in situ enzymatic oxidation method that enabled oxidation
of phenolics in a frozen plant sample at 30 degrees C by species- and tissue
specific enzymes. Then we measured the alkaline and enzymatic oxidative
activities of the individual phenolics in 20 plant species by quantifying the
proportion of the compound concentration lost due to the auto-oxidation of a
plant extract at pH 10 and due to the enzymatic oxidation of the frozen plant
sample at 30 degrees C. Our results showed that both of the oxidative activity
types depended primarily on the type of phenolic compound, but the enzymatic
oxidative activity depended also on the plant species and tissue type. This
combination of methods offers an approach to characterize a wide array of
phenolics that are susceptible to oxidation by the plant enzymes and/or by the
alkaline conditions estimated to prevail in the insect midgut. We propose that
these kinds of compound-specific results could guide future studies on specific
plant-herbivore interactions to focus on the phenolics that are likely to be
active rather than inactive plant phenolics.
PMID- 29637495
TI - High-throughput genetic screens using CRISPR-Cas9 system.
AB - The CRISPR-Cas9 system is a powerful tool for genome engineering, and its
programmability and simplicity have enabled various types of gene manipulation
such as gene disruption and transcriptional and epigenetic perturbation.
Particularly, CRISPR-based pooled libraries facilitate high-throughput screening
for functional regulatory elements in the human genome. In this review, we
describe recent advances in CRISPR-Cas9 technology and its use in high-throughput
genetic screening. We also discuss its potential for drug target discovery and
current challenges of this technique in biomedical research.
PMID- 29637493
TI - The Underrated Risks of Tamoxifen Drug Interactions.
AB - Tamoxifen is a prodrug, and most of the therapeutic effect in treating breast
cancer stems from its metabolite, endoxifen. Since cytochrome P450 (CYP) 2D6 is
the most important enzyme in the production of endoxifen, drugs that inhibit
CYP2D6 would be expected to reduce tamoxifen efficacy. In addition to drug-drug
interactions (DDI) involving CYP2D6, there is growing evidence that enzyme
inducers can substantially alter the disposition of endoxifen, reducing tamoxifen
efficacy. Although the clinical evidence on the impact of CYP2D6 inhibitors on
tamoxifen efficacy is mixed, there were serious flaws in many of the studies.
Thus, there is a reasonable chance that CYP2D6 inhibitors do in fact inhibit
tamoxifen efficacy. Tamoxifen has extraordinarily complex pharmacokinetics, with
more than a dozen drug-metabolizing enzymes and transporters involved in its
disposition. Enzyme inducers may increase the activity of several of these
pathways, including phase II enzymes, ABC transporters, and various CYP enzymes
other than CYP2D6. Based on current clinical evidence, one could argue that
enzyme inducers are potentially more dangerous than CYP2D6 inhibitors in patients
taking tamoxifen. Moreover, early evidence suggests that the combination of
CYP2D6 inhibitors plus enzyme inducers may produce catastrophic inhibition of
tamoxifen efficacy. One could argue that, given the available evidence, an
agnostic "wait and see" position on tamoxifen DDI is ethically untenable, and
that many women with breast cancer are currently being subjected to an
unnecessary risk of cancer recurrence. Specific recommendations to reduce the
risk of adverse tamoxifen DDI are offered for consideration.
PMID- 29637494
TI - Comparison of the size distributions and immunogenicity of human papillomavirus
type 16 L1 virus-like particles produced in insect and yeast cells.
AB - Insect and yeast cells are considered the expression systems of choice for
producing virus-like particles (VLPs), and numerous types of VLPs have been
produced in these systems. However, previous studies were restricted to
identifying the characteristics of individual VLP preparations. No direct
comparison of the structures and immunogenic properties of insect and yeast
derived VLPs has so far been made. In the present study, the size distribution
and immunogenic properties of human papillomavirus type 16 (HPV16) L1 VLPs
produced in Spodoptera frugipedra-9 insect cells and Saccharomyces cerevisiae
were compared. The insect cell-derived VLPs were larger than the yeast ones (P <
0.0001), with median sizes of 34 and 26 nm, respectively. In addition, the insect
derived VLPs appeared to be more diverse in size than the yeast-derived VLPs.
Immunization of mice with 30 ng per dose of VLPs elicited 2.7- and 2.4-fold
higher anti-HPV16 L1 IgG and anti-HPV16 neutralizing antibody titers than
immunization with the same amounts of the yeast-derived VLPs after the 4th
immunizations, respectively. Our results suggest that the choice of expression
system critically affects the particle size and immunogenic property of HPV16 L1
VLPs.
PMID- 29637496
TI - Preparation and Optimization of Rivaroxaban by Self-Nanoemulsifying Drug Delivery
System (SNEDDS) for Enhanced Oral Bioavailability and No Food Effect.
AB - In this paper, a novel self-nanoemulsifying drug delivery system (SNEDDS) was
used to improve the oral bioavailability in fasted state and diminish the food
effect for rivaroxaban. Oil, surfactant, and co-surfactant were selected by
saturated solubility study. IPM, Tween80, and 1,2-propanediol were finally
selected as oil, surfactant, and co-surfactant, respectively. The pseudo-ternary
phase diagram was utilized to optimize the preliminary composition of SNEDDS
formulation. The optimized rivaroxaban-SNEDDS formulation was selected by central
composite design (CCD) of response surface methodology. Optimized SNEDDS
formulation was evaluated for drug content, self-emulsifying time, droplet size,
zeta potential, polydispersity index, Fourier transform-infrared (FTIR)
spectroscopy, and transmission electron microscope (TEM). The drug dissolution
profile compared to the commercial formulation Xarelto(r) (20 mg rivaroxaban) was
determined in four different media (pH 1.2HCl, pH 4.5NaAc-HAc, pH 6.8PBS, and
water). The result indicated that the SNEDDS formulation had successfully
increased the drug solubility in four different media. A HPLC-MS method that
indicated a high sensitivity, strong attribute, and high accuracy characteristic
was built to measure the drug concentration in plasma. The fast/fed in vivo
pharmacokinetics studies of SNEDDS formulation and Xarelto(r) were carried out in
adult beagle dog, rivaroxaban with no food effect was achieved in SNEDDS
formulation compared with Xarelto(r) in fed state. The result suggested that
SNEDDS formulation in this study is useful to increase the oral bioavailability
and diminish the food effect in fasted state.
PMID- 29637497
TI - Microemulsion Formulations for the Transdermal Delivery of Lapachol.
AB - This project was carried out to investigate the feasibility of using
microemulsions for transdermal delivery of lapachol. From the screening of
surfactants and oils, a range of microemulsions were developed using oleic acid,
a mixture of Cremophor EL and Tween 20 and water. The solubility of lapachol was
determined in these ingredients and in the formulated microemulsions. The
microemulsions were characterised using cross-polarising light microscopy, their
electrical conductivity, pH, zeta potential and rheology were analysed, and they
were also investigated using small-angle X-ray scattering and differential
scanning calorimetry. Ex vivo studies were performed using porcine ear skin and
Franz diffusion cells to investigate the permeation and retention of lapachol.
Systems containing different concentrations of Cremophor EL (8.4-41.6%), Tween 20
(5.4-41.6%) and oleic acid (12-31.9%) are able to form microemulsions. Lapachol
was delivered more effectively through the skin from all of the microemulsions
tested than by the control (oleic acid). These studies indicated that
microemulsions incorporating lapachol were formed successfully and that these
enhanced drug delivery and retention in the skin. Microemulsion systems may,
therefore, provide promising vehicles for percutaneous delivery of lapachol.
PMID- 29637498
TI - Impact of Physicochemical Properties of Cellulosic Polymers on Supersaturation
Maintenance in Aqueous Drug Solutions.
AB - The precipitation inhibitory effect of cellulosic polymers in relation to their
physicochemical properties was studied. Using a poorly water-soluble model drug,
griseofulvin, the precipitation inhibitory effect of a series of hydroxypropyl
methylcellulose (HPMC) and methylcellulose polymers was studied using solvent
shift method. The extent of supersaturation maintenance of each polymer was then
quantified by the parameter, supersaturation factor (SF). Partial least square
(PLS) regression analysis was employed to understand the relative contribution
from viscosity, hydroxypropyl content (HC), methoxyl content,
methoxyl/hydroxypropyl ratio, and drug-polymer interaction parameter (chi) on SF.
All grades of cellulosic polymers effectively prolonged supersaturation of
griseofulvin. PLS regression analysis revealed that HC and chi appeared to have
the strongest influence on SF response. A regression model of SF = 1.65-0.16 chi
+ 0.05 HC with a high correlation coefficient, r of 0.921, was obtained. Since
the value of chi is inversely related to the strength of drug-polymer
interaction, the result shows that SF increases with increasing drug-polymer
interaction and increasing HC. As such, it can be implied that strong drug
polymer interaction and presence of hydroxypropyl groups in cellulosic polymers
for hydrogen bonding are two key parameters for effective supersaturation
maintenance. This knowledge on the relative contribution of polymer
physicochemical properties on precipitation inhibition will allow the selection
of suitable cellulosic polymers for systematic development of supersaturating
drug delivery systems.
PMID- 29637499
TI - The Transitional Cardiac Pumping Mechanics in the Embryonic Heart.
AB - Several studies have linked abnormal blood flow dynamics to the formation of
congenital heart defects during the early stages of development. The objective of
this study is to document the transition of pumping mechanics from the early tube
stage to the late looping stage of the embryonic heart. The optically transparent
zebrafish embryonic heart was utilized as the in vivo model and was studied using
standard bright field microscopy at three relevant stages within the transitional
period: (1) tube stage at 30 hours post-fertilization (hpf); (2) early cardiac
looping stage at 36 hpf; and (3) late cardiac looping stage at 48 hpf. High-speed
videos were collected at 1000 fps at a spatial resolution of 1.1 MUm/pixel at
each of these stages and were post-processed to yield blood velocity patterns as
well as wall kinematics. Results show that several relevant trends exist.
Morphological trends from tube through late looping include: (a) ballooning of
the chambers, (b) increasing constriction at the atrioventricular junction (AVJ),
and (c) repositioning of the ventricle toward the side of the atrium. Blood flow
trends include: (a) higher blood velocities, (b) increased AVJ regurgitation, and
(c) larger percentages of blood from the upper atrium expelled backward toward
the atrial inlet. Pumping mechanics trends include: (a) increasing contraction
wave delay at the AVJ, (b) the AVJ begins acting as a rudimentary valve, (c)
decreasing chamber constriction during maximum contraction, and (d) a transition
in ventricular kinematics from a pronounced propagating wave to an independent,
full-chamber contraction. The above results provide new insight into the
transitional pumping mechanics from peristalsis-like pumping to a displacement
pumping mechanism.
PMID- 29637500
TI - Cardiovascular Development and Angiogenesis in the Early Vertebrate Embryo.
AB - Embryonic cardiovascular physiology (as opposed to that of the more developed
fetus) is being more closely examined by developmental physiologists to explore
the onset of cardiovascular function and its regulation, as opposed to the later
maturation of these processes as is typically examined in fetal mammal models. As
our understanding of embryonic physiology grows, the dogma that the early
embryonic heart serves the same convective bulk transport role that it does in
the fetal and adult heart is being carefully evaluated. Experimental approaches
have involved genetic, surgical and environmental manipulation, and have revealed
that blood flow generated by the early embryonic heart is not required for bulk
transport of respiratory gases, nutrients, and wastes. Rather, the very small
size of the typical vertebrate embryo enables this critical transport function to
be achieved by simple diffusion alone. Surprisingly, however, the heart begins to
beat (and so expend valuable energy) well before convective blood circulation is
actually required. This review postulates that angiogenesis may be a driving
factor for the "early" beat of the heart. Recent experiments examining the effect
of increased blood pressure and flow pulsatility on proximal blood vessel
development offer initial support for the "synangiotropy" hypothesis, namely that
the onset of heart beat occurs synchronously with the need for peripheral
angiogenesis. Yet, the complexity of the patterns of angiogenesis (regional
variations of opposite sign) suggests that we have much more to be learned about
the relationship between angiogenesis and the circulation in vertebrate embryos.
PMID- 29637501
TI - Erratum to: The Transitional Cardiac Pumping Mechanics in the Embryonic Heart.
PMID- 29637502
TI - How Do Cord Compressions Affect the Umbilical Venous Flow Resistance? An In Vitro
Investigation of the Biomechanical Mechanisms.
AB - Umbilical vessels, that provide blood oxygenation and fetal nourishment in utero,
are encased and protected against external forces by the umbilical cord. The
biomechanics of this peculiar structure has not been deeply investigated so far.
The purpose of this study is to investigate the hydraulic behaviour of human
umbilical veins (UV) and its changes in presence of an external cord compression.
Five umbilical cords were subjected to in vitro tests. UV was accurately
cannulated and connected to a perfusion circuit, while the cord was subjected to
an external compression. Pressure drops across UV were measured for various
venous flow rates and various degrees of cord constriction. Compressive forces
were measured, too. The UV hydraulic resistances measured in unloaded cords
(0.029 +/- 0.016 mmHg min cm-1 L-1) correspond to placenta-abdomen pressure drops
well consistent with in utero measurements. As expected, at fixed flow rate, flow
resistance augments when cord is compressed. Interestingly, resistance does not
substantially change until a 30-50% cord thickness reduction, whereas slightly
larger constriction cause a steep increase. Compressive forces becomes critical
for values above 0.5-2 N, depending on the length of cord compression and on
considered specimen. Moreover, at high cord constriction, hydraulic behaviour of
UV is very peculiar. Namely, the slope of the pressure-flow relationship
decreases at increasing flow rates and, in few cases, a surprising reduction of
pressure drop was even observed. The biomechanical behaviour of the umbilical
cord during compression is very complex, with high non-linearity of venous
hydraulic behaviour.
PMID- 29637503
TI - Human Ductus Venosus Velocity Profiles in the First Trimester.
AB - The fluid dynamics in the human fetal ductus venosus in the early stage of
pregnancy is not well explored. Consequently, there is an uncertainty in the
interpretation of the temporal and spatial velocity variation in the ductus
venosus. A robust estimation procedure for non-invasive measurement of the blood
flow, based on conventional Doppler ultrasound measurements, is therefore
missing. The aim of the present study was to describe the spatial and temporal
velocity distribution at the ductus venosus bifurcation for boundary condition
typical for fetuses at 11-13 weeks of gestation by means of a mathematical model.
In particular we wanted to investigate velocity profiles at the ductus venosus
inlet region in early pregnancy under normal conditions, to assess whether robust
estimates of velocity profile shape coefficients may be given in order to provide
noninvasive volumetric flow rate assessment in the ductus venosus. Such
information will be useful in a clinical assessment of the fetus. Our model
predicted a close to parabolic velocity profile in the inlet section of the
ductus venosus during the cardiac cycle, with a shape factor of 0.53. Our
simulations also showed that during atrial contraction (the A-wave), transient
simultaneous positive and negative velocities may be observed in the same cross
section, in Womersley-like velocity profiles. Thus, as previous clinical
investigators have reported these velocities as either positive or negative, our
findings challenge clinical interpretation.
PMID- 29637504
TI - Functional Imaging in Fetal Echocardiography: A Review of Conventional and Novel
Approaches.
AB - Advances in fetal cardiac imaging have resulted in changes in the practice of
fetal cardiology, and in improved neonatal outcomes for these patients. As the
anatomic diagnostic accuracy of fetal cardiology has improved, cardiologists have
sought new challenges in fetal imaging, and with new emphasis on improved front
line detection, treatment/intervention during fetal life, and assessment of fetal
ventricular function and cardiovascular performance. The evolution of noninvasive
assessment of fetal cardiac function is related to technologic advances in
ultrasound imaging. This article reviews conventional methods of the assessment
of cardiac function, as well as some promising directions for future evaluation
with emphasis on future applications of 3D echocardiography and 3D speckle
tracking echocardiography.
PMID- 29637505
TI - Guest Editorial: Special Issue on Fetal Hemodynamics : Developmental Fetal
Cardiovascular Biomechanics in the 21st Century: Another Tipping Point.
PMID- 29637506
TI - Lower blastocyst quality after conventional vs. Piezo ICSI in the horse reflects
delayed sperm component remodeling and oocyte activation.
AB - PURPOSE: The aim of this study was to evaluate the differential effects of
conventional and Piezo-driven ICSI on blastocyst development, and on sperm
component remodeling and oocyte activation, in an equine model. METHODS: In vitro
matured equine oocytes underwent conventional (Conv) or Piezo ICSI, the latter
utilizing fluorocarbon ballast. Blastocyst development was compared between
treatments to validate the model. Then, oocytes were fixed at 0, 6, or 18 h after
injection, and stained for the sperm tail, acrosome, oocyte cortical granules,
and chromatin. These parameters were compared between injection techniques and
between sham-injected and sperm-injected oocytes among time periods. RESULTS:
Blastocyst rates were 39 and 40%. The nucleus number was lower, and the nuclear
fragmentation rate was higher, in blastocysts produced by Conv. Cortical granule
loss started at 0H after both sperm and sham injection. The acrosome was present
at 0H in both ICSI treatments, and persisted to 18H in significantly more Conv
than Piezo oocytes (72 vs. 21%). Sperm head area was unchanged at 6H in Conv but
significantly increased at this time in Piezo; correspondingly, at 6H
significantly more Conv than Piezo oocytes remained at MII (80 vs. 9.5%). Sham
injection did not induce significant meiotic resumption. CONCLUSIONS: These data
show that Piezo ICSI is associated with more rapid sperm component remodeling and
oocyte meiotic resumption after sperm injection than is conventional ICSI, and
with higher embryo quality at the blastocyst stage. This suggests that there is
value in exploring the Piezo technique, utilized with a non-toxic fluorocarbon
ballast, for use in clinical human ICSI.
PMID- 29637507
TI - The Cerebello-Hypothalamic and Hypothalamo-Cerebellar Pathways via Superior and
Middle Cerebellar Peduncle in the Rat.
AB - The connections between the cerebellum and the hypothalamus have been well
documented. However, the specific cerebellar peduncle through which the
hypothalamo-cerebellar and cerebello-hypothalamic connections pass has not been
demonstrated. The present study aims to define the specific cerebellar peduncle
through which connects the cerebellum to specific hypothalamic nuclei. Seventeen
male albino rats received 20-50-nl pressure injections of either Fluoro-Gold (FG)
or biotinylated dextran amine (BDA) tracer into the superior (SCP), middle (MCP),
and inferior (ICP) cerebellar peduncle. Following 7-10 days of survival period,
the animals were processed according to the appropriate protocol for the two
tracers used. Labeled cells and axons were documented using light or fluorescence
microscopy. The present study showed connections between the hypothalamus and the
cerebellum via both the SCP and the MCP but not the ICP. The hypothalamo
cerebellar connections via the SCP were from the lateral, dorsomedial,
paraventricular, and posterior hypothalamic nuclei, and cerebello-hypothalamic
connections were to the preoptic and lateral hypothalamic nuclei. The hypothalamo
cerebellar connections via the MCP were from the lateral, dorsomedial,
ventromedial, and mammillary hypothalamic nuclei; and cerebello-hypothalamic
connections were to the posterior, arcuate, and ventromedial hypothalamic nuclei.
The hypothlamo-cerebellar connections were denser compared to the cerebello
hypothlamic connections via both the SCP and the MCP. The connection between the
cerebellum and the hypothalamus was more prominent via the SCP than MCP. Both the
hypothlamo-cerebellar and cerebello-hypothalamic connections were bilateral, with
ipsilateral preponderance. Reciprocal connections were with the lateral
hypothalamic nucleus via the SCP and the ventromedial nucleus via the MCP were
observed. Cerebellum takes part in the higher order brain functions via its
extensive connections. The knowledge of hypothalamo-cerebellar and cerebello
hypothalamic connections conveyed within the SCP and MCP can be important for the
lesions involving the MCP and SCP. These connections can also change the
conceptual architecture of the cerebellar circuitry and deepen current
understanding.
PMID- 29637508
TI - Intraoperative linguistic performance during awake brain surgery predicts
postoperative linguistic deficits.
AB - INTRODUCTION: Awake craniotomy pursues a balance between extensive tumor
resection and preservation of postoperative language function. A dilemma exists
in patients whose tumor resection is restricted due to signs of language
impairment observed during awake craniotomy. In order to determine the degree to
which recovery of language function caused by tumor resection can be achieved by
spontaneous neuroplasticity, the change in postoperative language function was
compared to quantified intraoperative linguistic performance. METHODS: The
modified, short-form Boston Diagnostic Aphasia Examination (sfBDAE) was used to
assess pre- and postoperative language functions; visual object naming (DO 80)
and semantic-association (Pyramid and Palm Tree Test, PPTT) tests assessed
intraoperative linguistic performance. DO 80 and PPTT were performed
alternatively during subcortical functional monitoring while performing tumor
resection and sfBDAE was assessed 1-week postoperatively. RESULTS: Most patients
with observed language impairment during awake surgery showed improved language
function postoperatively. Both intraoperative DO 80 and PPTT showed significant
correlation to postoperative sfBDAE domain scores (p < 0.05), with a higher
correlation observed with PPTT. A linear regression model showed that only PPTT
predicted the postoperative sfBDAE domain scores with the adjusted R2 ranging
from 0.51 to 0.89 (all p < 0.01). Receiver operating characteristic analysis
showed a cutoff value of PPTT that yielded a sensitivity of 80% and specificity
of 100%. CONCLUSION: PPTT may be a feasible tool for intraoperative linguistic
evaluation that can predict postoperative language outcomes. Further studies are
needed to determine the extent of tumor resection that optimizes the
postoperative language following neuroplasticity.
PMID- 29637510
TI - Information needs and requirements in patients with brain tumours.
PMID- 29637509
TI - Safety, efficacy and survival of patients with primary malignant brain tumours
(PMBT) in phase I (Ph1) trials: the 12-year Royal Marsden experience.
AB - BACKGROUND: Primary malignant brain tumours (PMBT) constitute less than 2% of all
malignancies and carry a dismal prognosis. Treatment options at relapse are
limited. First-in-human solid tumour studies have historically excluded patients
with PMBT due to the poor prognosis, concomitant drug interactions and concerns
regarding toxicities. METHODS: Retrospective data were collected on clinical and
tumour characteristics of patients referred for consideration of Ph1 trials in
the Royal Marsden Hospital between June 2004 and August 2016. Survival analyses
were performed using the Kaplan-Meier method, Cox proportional hazards model. Chi
squared test was used to measure bivariate associations between categorical
variables. RESULTS: 100pts with advanced PMBT were referred. At initial
consultation, patients had a median ECOG PS 1, median age 48 years (range 18-70);
69% were men, 76% had glioblastoma; 68% were on AEDs, 63% required steroid
therapy; median number of prior treatments was two. Median OS for patients
treated on a Ph1 trials was 9.3 months (95% CI 5.9-12.9) versus 5.3 months (95%
CI 4.1-6.1) for patients that did not proceed with a Ph1 trial, p = 0.0094.
Steroid use, poor PS, neutrophil-to-lymphocyte ratio and treatment on a Ph1 trial
were shown to independently influence OS. CONCLUSIONS: We report a survival
benefit for patients with PMBT treated on Ph1 trials. Toxicity and efficacy
outcomes were comparable to the general Ph1 population. In the absence of an
internationally recognized standard second line treatment for patients with
recurrent PMBT, more Ph1 trials should allow enrolment of patients with
refractory PMBT and Ph1 trial participation should be considered at an earlier
stage.
PMID- 29637512
TI - Psychiatry's Role in Responding to Climate Change.
PMID- 29637511
TI - Ledipasvir/sofosbuvir for treatment-naive and treatment-experienced Chinese
patients with genotype 1 HCV: an open-label, phase 3b study.
AB - BACKGROUND: Chronic hepatitis C virus (HCV) infection is a significant medical
burden in China, affecting more than 10 million persons. In clinical trials and
real-world settings, treatment with ledipasvir/sofosbuvir in patients with
genotype 1 HCV infection resulted in high sustained virologic response rates.
Ledipasvir/sofosbuvir may provide a highly effective, short-duration, single
tablet regimen for Chinese patients with HCV infection. METHODS: Chinese patients
with genotype 1 HCV infection who were HCV treatment naive or treatment
experienced, without cirrhosis or with compensated cirrhosis, were treated with
open-label ledipasvir/sofosbuvir for 12 weeks. The primary efficacy endpoint was
sustained virologic response 12 weeks after completing treatment (SVR12). For
treatment-naive patients, SVR12 was compared to a historical rate of 57%. The
primary safety endpoint was adverse events leading to permanent discontinuation
of study drug; serious adverse events were also evaluated. The presence of
resistance-associated substitutions (RASs) was evaluated by viral sequencing.
RESULTS: All 206 enrolled patients achieved SVR12 (100%; 95% CI 98-100%),
including 106 treatment-naive patients (100%; 95% CI 97-100%), which was superior
to a historical SVR rate of 57% (p < 0.001). All patients with baseline NS5A and
NS5B RASs (14 and 1% of patients, respectively) achieved SVR12. The most common
adverse events were viral upper respiratory tract infection (17%), upper
respiratory tract infection (14%), and cough (6%). There were no discontinuations
due to adverse events; and no treatment-related serious adverse events were
reported. CONCLUSION: Ledipasvir/sofosbuvir is a well tolerated and highly
effective treatment for Chinese patients with genotype 1 HCV, regardless of prior
treatment experience, cirrhosis status, or the presence of pretreatment RASs.
PMID- 29637513
TI - Comment on "Examining Burnout, Depression, and Attitudes Regarding Drug Use Among
Lebanese Medical Students During the 4 Years of Medical School".
PMID- 29637514
TI - Smart Steps for Psychiatric Education: Approaching Smartphone Apps for Learning
and Care.
PMID- 29637515
TI - Continuous Quality Improvement for Psychiatry Residency Didactic Curricula.
PMID- 29637516
TI - Erratum to: Spinocerebellar Ataxia Type 6: Molecular Mechanisms and Calcium
Channel Genetics.
PMID- 29637517
TI - Prognostic Factors for Operated Gallbladder Cancer.
AB - PURPOSE: The prognosis of gallbladder cancer is poor. Lymph node metastasis and
the stage are known to be the strongest prognostic factors for survival. The aim
of this study was to determine the importance of complementary surgery and other
prognostic factors for survival of operated gallbladder cancer. MATERIAL AND
METHOD: We retrospectively analyzed 62 localized gallbladder cancers. The
prognostic factors for survival were evaluated by univariate and multivariate
analysis. RESULTS: The 3-year overall survival (OS) and disease-free survival
(DFS) rates were 52.8 and 43.5%, respectively. Totally, 37 patients (59.6%) were
diagnosed incidentally during simple cholecystectomy which was performed for
benign causes but only 56.4% of them underwent complementary surgery. 51.6% of
the recurrence was detected during 18.4 months of follow-up time. R0 resection, T
stage, and pathological stage were found to be related with both OS and DFS by
univariate analysis. Grade, lymph node metastasis, and adjuvant chemotherapy were
also related with DFS. Presence of recurrence, recurrence side, performance score
(PS), and perineural invasion (PNI) were related with OS. Peritoneal metastasis,
advanced stage disease, and lymph node metastasis were more common among patients
who did not undergo complementary surgery. Adjuvant chemotherapy was given more
frequently to patients who undergone complementary surgery group. The
multivariate analysis indicated that grade, lymph node metastasis, stage,
recurrence site, PS, and adjuvant chemotherapy stage were independent prognostic
factors for DFS on the other and only stage was a prognostic factor for OS.
CONCLUSION: Our results showed that incidental diagnosis or complementary surgery
was not related with DFS or OS but stage was only an independent prognostic
factor for both OS and DFS in resected gallbladder cancer.
PMID- 29637518
TI - Cerebrovascular Events After Continuous-Flow Left Ventricular Assist Devices.
AB - BACKGROUND: Cerebrovascular events (CVE) are among the most common and serious
complications after implantation of continuous-flow left ventricular assist
devices (CF-LVAD). We studied the incidence, subtypes, anatomical distribution,
and pre- and post-implantation risk factors of CVEs as well as the effect of CVEs
on outcomes after CF-LVAD implantation at our institution. METHODS: Retrospective
analysis of clinical and neuroimaging data of 372 patients with CF-LVAD between
May 2005 and December 2013 using standard statistical methods. RESULTS: CVEs
occurred in 71 patients (19%), consisting of 35 ischemic (49%), 26 hemorrhagic
(37%), and 10 ischemic+hemorrhagic (14%) events. History of coronary artery
disease and female gender was associated with higher odds of ischemic CVE (OR
2.84 and 2.5, respectively), and diabetes mellitus was associated with higher
odds of hemorrhagic CVE (OR 3.12). While we found a higher rate of ischemic CVEs
in patients not taking any antithrombotic medications, no difference was found
between patients with ischemic and hemorrhagic CVEs. Occurrence of CVEs was
associated with increased mortality (HR 1.62). Heart transplantation was
associated with improved survival (HR 0.02). In patients without heart
transplantation, occurrence of CVE was associated with decreased survival.
CONCLUSIONS: LVADs are associated with high rates of CVE, increased mortality,
and lower rates of heart transplantation. Further investigations to identify the
optimal primary and secondary stroke prevention measures in post-LVAD patients
are warranted.
PMID- 29637520
TI - Obligatory exercise and coping in treatment-seeking women with poor body image.
AB - PURPOSE: Obligatory exercise is characterized by continued exercise despite
negative consequences, and intense negative affect when unable to exercise.
Research suggests psychosocial differences between individuals that exercise in
an obligatory manner and those that do not. It also has been speculated that
obligatory exercise may serve coping and affect regulation functions, yet these
factors have not been routinely examined in community women with poor body image.
The purpose of the current study was to investigate psychosocial differences
between obligatory and non-obligatory exercisers, and to examine the use of
obligatory exercise as an avoidant coping strategy in a sample of women with poor
body image. METHODS: Women (n = 70) seeking treatment for body dissatisfaction
were divided into obligatory and non-obligatory exercise groups based on their
scores on the Obligatory Exercise Questionnaire. Participants then completed an
assessment battery about eating pathology, body image, reasons for exercise,
coping strategies, and negative affect. RESULTS: Independent t test analyses
indicated that obligatory exercisers had significantly greater eating disorder
symptomatology, avoidant coping, and appearance- and mood-related reasons for
exercise than non-obligatory exercisers. Multiple regression analyses revealed
that eating disorder symptomatology and avoidant coping were significant
predictors of obligatory exercise. CONCLUSIONS: There are distinct psychosocial
differences between women with poor body image who exercise in an obligatory
fashion and those who do not. The current study suggests that obligatory exercise
may serve as an avoidant coping strategy for women with poor body image.
Enhancing healthy coping strategies may be an important addition to body image
improvement programs. LEVEL OF EVIDENCE: V, cross-sectional descriptive study.
PMID- 29637519
TI - Clinical Management of Osteoporotic Fractures.
AB - PURPOSE OF REVIEW: This review examines recent literature regarding the clinical
management of fragility fractures, provides insight into new practice patterns,
and discusses controversies in current management. RECENT FINDINGS: There are
declining rates of osteoporosis management following initial fragility fracture.
Management of osteoporotic fractures via a multidisciplinary team reduces
secondary fracture incidence and improves overall osteoporotic care. Anabolic
agents (abaloparatide and teriparatide) are effective adjuvants to fracture
repair, and have shown positive results in cases of re-fracture in spite of
medical management (i.e., bisphosphonates). For AO 31-A1 and A2 intertrochanteric
hip fractures (non-reverse obliquity), no clinical advantage of intramedullary
fixation over the sliding hip screw (SHS) has been proven; SHS is more cost
effective. As fragility fracture incidence continues to rise, orthopedic surgeons
must play a more central role in the care of osteoporotic patients. Initiation of
pharmacologic intervention is key to preventing subsequent fragility fractures,
and may play a supportive role in initial fracture healing. While the media
bombards patients with complications of medical therapy (atypical femur
fractures, osteonecrosis of jaw, myocardial infarction), providers need to
understand and communicate the low incidence of these complications compared with
consequences of not initiating medical therapy.
PMID- 29637522
TI - Molecular, Morphological, and Biological Differentiation between Anagrus virlai
sp. n., an Egg Parasitoid of the Corn Leafhopper Dalbulus maidis (Hemiptera:
Cicadellidae) in the New World, and Anagrus incarnatus from the Palaearctic
Region (Hymenoptera: Mymaridae).
AB - The common New World egg parasitoid of the corn leafhopper Dalbulus maidis
(DeLong) (Hemiptera: Cicadellidae), an economically important pest of maize from
Argentina to southern USA, has long been misidentified as the Palaearctic species
Anagrus incarnatus Haliday or its synonym A. breviphragma Soyka (Hymenoptera:
Mymaridae). Using a combination of genetic and morphometric methods, and
available biological information, specimens reared from eggs of D. maidis in
Argentina and Mexico, described and illustrated here as Anagrus (Anagrus) virlai
Triapitsyn sp. n., are shown to be different from those of A. incarnatus from the
Palaearctic region. Mitochondrial and nuclear ribosomal DNA sequence data provide
clear evidence for the separation of the two species. Anagrus virlai is also
known from Brazil, Colombia, Guadeloupe (France), and Guyana.
PMID- 29637521
TI - The obesity paradox and osteoporosis.
AB - Overweight and obesity according to the definition of the WHO are considered as
an abnormal or excessive fat accumulation that may impair health. Studies
comparing fracture incidence in obese and non-obese individuals have demonstrated
that obesity, defined on the basis of body mass index (BMI), is associated with
increased risk of fracture at some sites but seems to be protective at others.
The results of the studies are influenced by the distribution of BMI in the
population studied; for example, in cohorts with a low prevalence of obesity, a
predilection for certain fracture sites in obese individuals becomes difficult to
detect, whereas, in populations with a high prevalence of obesity, previously
unreported associations may emerge. Furthermore, obesity can bring with itself
many complications (Type 2 diabetes mellitus, vitamin D deficiency, and motor
disability) which, in the long run, can have a definite influence in terms of
overall risk and quality of life, as well. This is a narrative review focusing on
the relationship between bone metabolism and overweight/obesity and dealing with
the fundamental dilemma of a disease (obesity) apparently associated with
improved values of bone mineral density, part of a complicated relationship which
revolves around obesity called "the obesity paradox".
PMID- 29637523
TI - Coronary circulation: Pressure/flow parameters for assessment of ischemic heart
disease.
AB - Both invasive and non-invasive parameters have been reported for assessment of
the physiological status of the coronary circulation. Fractional flow reserve and
coronary (or myocardial) flow reserve may be obtained by invasive or non-invasive
means. These metrics of coronary stenosis severity have achieved wide clinical
acceptance for guiding revascularization decisions and risk stratification. Other
indices are obtained invasively (e.g., instantaneous wave-free ratio, iFR;
hyperemic stenosis resistance) or non-invasively (e.g., PET absolute myocardial
blood flow (mL/min/g)) and have been used for the same purposes. Both iFR, and
whole-cycle distal coronary to aortic mean pressure (Pd/Pa) are measured under
basal condition and used for assessment of hemodynamic stenosis severity as is
index of basal stenosis resistance (BSR). These metrics typically are
dichotomized at an empirically derived cut point into "normal" and "abnormal"
categories for purposes of clinical decision making and data analysis. Once
dichotomized the indices do not always point in the same direction and so
confusion may arise. This review, therefore, will present basic principles
relevant to understanding commonly employed metrics of the physiological status
of the coronary circulation, potential strengths and weaknesses, and hopefully an
improved appreciation of the clinical information provided by each.
PMID- 29637524
TI - Correction to: Clinical Quantification of Myocardial Blood Flow Using PET: Joint
Position Paper of the SNMMI Cardiovascular Council and the ASNC.
AB - The above position statement originally published containing errors in the author
metadata; specifically, the Expert Content Reviewers-Andrew Einstein, Raymond
Russell and James R. Corbett-were tagged as full authors of the paper. The
article metadata has now been corrected to remove Drs. Einstein, Russell and
Corbett from the author line, and the PubMed record has been updated accordingly.
PMID- 29637525
TI - The continual innovation of commercial PET/CT solutions in nuclear cardiology:
Siemens Healthineers.
AB - Cardiac PET/CT is an evolving, non-invasive imaging modality that impacts patient
management in many clinical scenarios. Beyond offering the capability to assess
myocardial perfusion, inflammatory cardiac pathologies, and myocardial viability,
cardiac PET/CT also allows for the non-invasive quantitative assessment of
myocardial blood flow (MBF) and myocardial flow reserve (MFR). Recognizing the
need for an enhanced comprehension of coronary physiology, Siemens Healthineers
implemented a sophisticated solution for the calculation of MBF and MFR in 2009.
As a result, each aspect of their innovative scanner and image-processing
technology seamlessly integrates into an efficient, easy-to-use workflow for
everyday clinical use that maximizes the number of patients who potentially
benefit from this imaging modality.
PMID- 29637526
TI - Drug Discrimination: Historical Origins, Important Concepts, and Principles.
AB - Research on the stimulus properties of drugs began with studies on state
dependent learning during the first half of the twentieth century. From that
research, an entirely new approach evolved called drug discrimination. Animals
(including humans) could discriminate the presence or absence of a drug; once
learned, the drug could serve as a discriminative stimulus, signaling the
availability or nonavailability of reinforcement. Early drug discrimination
research involved the use of a T-maze task, which evolved in the 1970s into a two
lever operant drug discrimination task that is still used today. A number of
important concepts and principles of drug discrimination are discussed. (1) The
discriminative stimulus properties of drugs are believed in large part to reflect
the subjective effects of drugs. While it has been impossible to directly measure
subjective effects in nonhuman animals, drug discrimination studies in human
subjects have generally supported the belief that discriminative stimulus
properties of drugs in nonhuman animals correlate highly with subjective effects
of drugs in humans. In addition to the ability of the drug discrimination
procedure to measure the subjective effects of drugs, it has a number of other
strengths that help make it a valuable preclinical assay. (2) Drug discrimination
can be used for classification of drugs based on shared discriminative stimulus
properties. (3) The phenomena of tolerance and cross-tolerance can be studied
with drug discrimination. (4) Discriminative stimulus properties of drugs
typically have been found to be stereospecific, if a drug is comprised of
enantiomers. (5) Discriminative stimulus properties of drugs reflect specific CNS
activity at neurotransmitter receptors. (6) Both human and nonhuman subjects
display individual differences in their sensitivity to discriminative stimuli and
drugs. (7) The drug discrimination procedure has been used extensively as a
preclinical assay in drug development. This chapter is the first in the volume
The Behavioural Neuroscience of Drug Discrimination, which includes chapters
concerning the discriminative stimulus properties of various classes of
psychoactive drugs as well as sections on the applications and approaches for
using this procedure.
PMID- 29637527
TI - Subanesthetic Dose Ketamine in Posttraumatic Stress Disorder: A Role for
Reconsolidation During Trauma-Focused Psychotherapy?
AB - Despite efforts to develop more effective therapies, PTSD remains a difficult
disorder to treat. Insight into the dynamic nature of memory formation and its
required molecular machinery can provide an opportunity to target pathological
memories for emotionally arousing events. As memories become labile upon
retrieval, novel information can update the strength and course of these
consolidated memories. Targeting the process of reconsolidation may offer a
relevant approach to attenuate fearful and traumatic memories. Specific molecular
mechanisms that are required for reconsolidation of arousing information include
an intact functioning of the glutamatergic signaling pathways and, more
specifically, the integrity of NMDA receptors. Ketamine, a noncompetitive NMDA
receptor antagonist, is receiving increasing interest for a variety of
psychiatric indications. This compound can also be an interesting candidate for
targeting emotional memories. We explore whether single intravenous infusion of a
subanesthetic dose of ketamine can be considered as a viable augmentation
strategy for trauma-focused psychotherapy in patients with PTSD. As a
consequence, a systematic approach is needed to assess the pharmacodynamic
effects of ketamine in relation to both psychotherapy and its pharmacokinetics
prior to its application in patient populations. By using a "question-based drug
development plan," we can explore such aspects for novel drugs, and we formulated
five additional topics that need to be addressed concerning the psychotherapeutic
approach and phase orientation of pharmacological assisted psychotherapy.
PMID- 29637528
TI - Author Correction to: Pooled Analyses of Phase III Studies of ADS-5102
(Amantadine) Extended-Release Capsules for Dyskinesia in Parkinson's Disease.
AB - An Online First version of this article was made available online at
http://link.springer.com/journal/40263/onlineFirst/page/1 on 12 March 2018. An
error was subsequently identified in the article, and the following correction
should be noted.
PMID- 29637529
TI - ND0701, A Novel Formulation of Apomorphine for Subcutaneous Infusion, in
Comparison to a Commercial Apomorphine Formulation: 28-Day Pharmacokinetic Study
in Minipigs and a Phase I Study in Healthy Volunteers to Assess the Safety,
Tolerability, Pharmacokinetics and Relative Bioavailability.
AB - BACKGROUND: Subcutaneous apomorphine is used for the treatment of Parkinson's
disease (PD); however, infusion site reactions are a common adverse event (AE),
which can lead to treatment discontinuation. Apomorphine formulations that are
more tolerable and convenient for use are needed. OBJECTIVE: Our aim was to
compare the toxicity and bioavailability of ND0701, a new concentrated
formulation of apomorphine free base, with one of the commercially available
apomorphine HCl formulations (APO-go(r), Britannia Pharmaceuticals Ltd). METHODS:
(1) Preclinical study: 16 minipigs were randomly assigned to placebo, APO-go(r),
and ND0701 groups, and treated for 28 days. Pharmacokinetic, clinical, and
pathological assessments were performed. (2) Phase I study: 18 healthy volunteers
participated in an open-label, two-sequence, randomized, three single-dose,
partial crossover study to compare the pharmacokinetics, safety, and tolerability
of ND0701 with APO-go(r) (1%). RESULTS: (1) Preclinical study: No systemic
toxicity was observed in apomorphine-treated minipigs, but local skin reactions
were observed at the infusion sites. These effects were less frequent and less
severe and recovery was more rapid for ND0701 compared with APO-go(r). (2) Phase
I study: Both formulations were safe and well tolerated under the conditions of
the study and no severe or serious treatment-emergent AEs were reported. Infusion
site nodules were reported more frequently, with higher severity, and recovered
slower at APO-go(r)-treated sites compared with ND0701-treated sites.
Bioavailability of apomorphine was comparable between the two formulations.
CONCLUSION: Based on these pilot studies, ND0701 appears to be superior to APO
go(r) in terms of tolerability and safety, while maintaining comparable
bioavailability with APO-go(r), and shows promise as a future treatment for PD.
PMID- 29637531
TI - Erratum to: Visualizing Chemoattraction of Planktonic Cells to a Biofilm.
PMID- 29637530
TI - The Safety of Second-Generation Antipsychotics During Pregnancy: A Clinically
Focused Review.
AB - The issue of antipsychotic treatment during pregnancy is subject to substantial
uncertainty and some controversy among healthcare providers, specifically
pertaining to second-generation antipsychotics (SGAs) that are subject to a large
gap in safety data during pregnancy compared with antidepressants. The amount of
safety data for the use of SGAs during pregnancy is rapidly increasing, thus
constantly changing the level of evidence. We performed a clinically focused
review on the safety of SGA during pregnancy. Twenty-three studies provided
various pregnancy outcomes for 14,382 pregnant women exposed to an SGA during
pregnancy. In utero exposure to aripiprazole, olanzapine, and quetiapine is not
associated with increased risks of major congenital malformations, whereas
risperidone and paliperidone may be associated with a very minor increased risk
of congenital malformations. Safety data on ziprasidone and clozapine remain
scarce and insufficient for a quantitative safety evaluation. No or minimal
safety data are available for amisulpride, asenapine, lurasidone, and sertindole.
For other pregnancy outcomes of interest, e.g. miscarriage, stillbirth, and small
for gestational age, the available data overall do not suggest a clinically
important increased risk, and do not allow for a meaningful stratification on
individual drug level. Furthermore, for neonatal adaption and childhood
neurodevelopment, the data do not allow for a meaningful risk assessment. It is
imperative that factors in addition to safety data, e.g. individual disease
history, characteristics and treatment response, adverse reaction profile, and
patient preferences, be considered for the individual patient when choosing
specific SGA treatment during pregnancy.
PMID- 29637532
TI - Giant Pulmonary Hamartoma with Dominant CD34- Positive Smooth Muscle Cell
Component.
AB - Pulmonary hamartoma (PH) is usually a solid mass of less than 4 cm in size that
contains cartilage omponents. A 44-year-old Japanese woman received surgical
resection of a well-demarcated cystic tumor in the right lung. Resected tissue
contained a 13 * 10 * 8 cm-sized solid mass with a prominent unilocular cyst (8 *
6.5 * 5 cm). The tumor was composed of a dominant smooth muscle cell (SMC)
component with entrapped glandular respiratory epithelium. There was little
cartilaginous or fatty tissue. Immunohistochemically, SMC was positive for smooth
muscle actin (SMA) and desmin, as well as CD34. We report a unique case of giant
pulmonary hamartoma with a dominant CD34 (+) SMC component.
PMID- 29637533
TI - Epithelial-mesenchymal Transition (EMT) is Correlated with Patient's Prognosis of
Lung Squamous Cell Carcinoma.
AB - Epithelial-mesenchymal transition (EMT) is an important step leading to invasion
and migration of various cancer cells, and are characterized by decreased E
cadherin as an epithelial marker, and increased vimentin as a mesenchymal marker.
The present study focused on the clinicopathological significance of E-cadherin
and vimentin expression in lung squamous cell carcinoma (SqCC).
Immunohistochemically, E-cadherin expression patterns were classified into two
types: preserved or reduced; and vimentin expression patterns were also divided
into two types: positive or negative. The univariate analyses showed six factors
associated with increased mortality: tumor size (P = 0.031), lymph node
metastasis (P < 0.001), lymphatic invasion (P < 0.001), histological
differentiation (P = 0.036), E-cadherin reduced expression (P < 0.001), and
vimentin positive expression (P = 0.004). Multivariate analysis demonstrated that
E-cadherin reduced expression (P < 0.001), vimentin positive expression (P =
0.028), lymph node metastasis (P < 0.001), and age (P = 0.020) were independent
predictors of patient mortality. There may be some correlation between E-cadherin
and vimentin expression (P = 0.017), but the correlation coefficient was 0.235.
The complete EMT and the incomplete EMT type were associated with a poor
prognosis (p < 0.001 and p=0.036, respectively). The overall survival rate after
curative resection was significantly lower in patients with the complete EMT type
(reduced E-cadherin / positive vimentin). In conclusion, both E-cadherin and
vimentin are independent predictors of mortality, and the EMT phenotype is a
significant indicator of poor prognosis in lung SqCC.
PMID- 29637534
TI - Utility of a 3D Roadmap During Balloon-occluded Retrograde Transvenous
Obliteration for Gastric Varices.
AB - OBJECTIVE: We describe our initial clinical experience regarding the use of a 3D
roadmap during balloon-occluded retrograde transvenous obliteration (BRTO) in
three patients. METHODS: Between June 2016 and July 2016, three BRTO procedures
were performed in three patients with gastric varices. Preprocedural intravenous
dynamic CT was performed, and portal venous phase CT images were postprocessed to
obtain volume rendering (VR) images. A 3D roadmap was developed by overlaying the
VR images onto the real-time X-ray fluoroscopy images. This 3D roadmap was used
for interventional guidance during the BRTO procedure. RESULTS: Using a 3D
roadmap, the catheterization of the gastrorenal shunt was successfully
accomplished. In addition, in all three patients, the sclerosant could reach the
gastric varices without the administration of iodinated contrast medium.
Fluoroscopy time and the iodinated contrast dose administered in the present
cohort were also substantially lower than in our previous cohorts that did not
use a 3D roadmap. CONCLUSION: Using a 3D roadmap during BRTO enables easier and
faster catheter manipulation, thereby helping to reduce both radiation exposure
and the need to administer iodinated contrast medium.
PMID- 29637535
TI - Raltegravir-associated Diabetic Ketoacidosis in a Patient with HIV Infection: A
Case Report.
AB - Antiretroviral drugs, especially protease inhibitors (PI), are known to induce
disorders of lipid and glucose metabolism. However, there are only a few reports
of these side effects in patients treated with integrase strand transfer
inhibitors (INSTI). We encountered the case of a 46-year-old man who had been
treated for type 2 diabetes with diet and exercise. He contracted
immunodeficiency virus (HIV) infection two years earlier and received highly
active antiretroviral therapy (HAART). Three months before the current admission,
HAART was switched from a non-nucleic acid reverse transcriptase inhibitor
(NNRTI) to an INSTI (raltegravir: 800 mg/day). He developed diabetic ketoacidosis
and was admitted for treatment. The state of health prior to admission was not
well documented but he showed no clinical signs of acute infection. Accordingly,
diabetic ketoacidosis was considered to be associated with INSTI. Diabetic
ketoacidosis was treated appropriately and blood glucose level was controlled
with medications before discharge from the hospital. Although the present case
does not provide direct evidence for raltegravir-induced diabetic ketoacidosis,
we caution physicians about the potential of such side effect associated with the
use of INSTI.
PMID- 29637536
TI - Interaction of iNOS Gene (C150T) Polymorphism and Endothelial Dysfunction in
Pathophysiology of Metabolic Syndrome.
AB - OBJECTIVE: To study the endothelial dysfunction by measuring Nitric Oxide and
Endothelin-1, and inter-genotypic variation of inducible Nitric Oxide Synthase
gene (C150T) polymorphism among the study subjects. METHODS: 50 diagnosed cases
of metabolic syndrome as per International Diabetes Federation (IDF) criteria and
50 healthy volunteers as control were enrolled. Nitric Oxide, Endothelin were
measured and PCR-RFLP was done to identify the iNOS gene C150T polymorphism and
its effect on serum nitric oxide levels. RESULTS: Subjects with metabolic
syndrome had lower NO levels (16.3 +/- 10.3 vs 20.9 +/- 11 uM, p = 0.032) and
higher endothelin (2.68 +/- 1.73 vs 1.98 +/- 0.82 fmol/ml, p = 0.011). The
frequency of mutant T allele (10% vs 9%) was higher in cases. Serum nitric oxide
levels were lower in cases expressing the Mutant T allele as compared to wild
type C allele. However, the differences were not statistically significant.
CONCLUSIONS: The present study demonstrated that iNOS C150T polymorphism did not
show significant association with metabolic syndrome. Serum nitric oxide levels
could be influenced by factors other than genetic polymorphism of iNOS gene
(C150T) which cause endothelial dysfunction in metabolic syndrome and associated
co-morbidities.
PMID- 29637537
TI - Right Aortic Arch with Mirror-image Branching in Adults: Evaluation Using CT.
AB - OBJECTIVE: We evaluated radiological findings and clinical significance of right
aortic arch with mirror-image branching (RAMI) in adults using data from computed
tomography (CT) examinations. MATERIAL AND METHODS: We reviewed recorded reports
and CT images obtained from university and branch hospitals for RAMI in adults.
The RAMI incidence in adults found on CT was assessed. Associated congenital and
acquired cardiovascular diseases were evaluated. RESULTS: A total of 27 cases (14
men, 13 women; mean age, 59.4 +/- 18.3 years) of RAMI were found. Among 107,014
cases in three hospitals, the RAMI incidence in the first, second, and third
Tokai University hospitals were 0.018%, 0.012%, and 0.012%, respectively. Eight
cases had high aortic arches and four cases had aortic diverticulum (AD) in
proximal descending aorta. Three cases had a history of tetralogy of Fallot. One
case with an absent left pulmonary artery and three cases with an aberrant left
brachiocephalic vein were found incidentally. Two cases were associated with AD
aneurysm and vascular ring formation. One case had stenosis of the left
subclavian artery due to injury. CONCLUSION: Cases of RAMI found in CT
examinations in adults were extremely rare. Some cases were associated with
congenital anomalies and/or acquired cardiovascular disease.
PMID- 29637539
TI - Are rates of species diversification and body size evolution coupled in the
ferns?
AB - PREMISE OF THE STUDY: Understanding the relationship between phenotypic evolution
and lineage diversification is a central goal of evolutionary biology. To extend
our understanding of the role morphological evolution plays in the
diversification of plants, we examined the relationship between leaf size
evolution and lineage diversification across ferns. METHODS: We tested for an
association between body size evolution and lineage diversification using a
comparative phylogenetic approach that combined a time-calibrated phylogeny and
leaf size data set for 2654 fern species. Rates of leaf size change and lineage
diversification were estimated using BAMM, and rate correlations were performed
for rates obtained for all families and individual species. Rates and patterns of
rate-rate correlation were also analyzed separately for terrestrial and epiphytic
taxa. KEY RESULTS: We find no significant correlation between rates of leaf area
change and lineage diversification, nor was there a difference in this pattern
when growth habit is considered. Our results are consistent with the findings of
an earlier study that reported decoupled rates of body size evolution and
diversification in the Polypodiaceae, but conflict with a recent study that
reported a positive correlation between body size evolution and lineage
diversification rates in the tree fern family Cyatheaceae. CONCLUSIONS: Our
findings indicate that lineage diversification in ferns is largely decoupled from
shifts in body size, in contrast to several other groups of organisms. Speciation
in ferns appears to be primarily driven by hybridization and isolation along
elevational gradients, rather than adaptive radiations featuring prominent
morphological restructuring. The exceptional diversity of leaf morphologies in
ferns appears to reflect a combination of ecophysiological constraints and
adaptations that are not key innovations.
PMID- 29637538
TI - Hyperbaric oxygenation for tumour sensitisation to radiotherapy.
AB - BACKGROUND: Cancer is a common disease and radiotherapy is one well-established
treatment for some solid tumours. Hyperbaric oxygenation therapy (HBOT) may
improve the ability of radiotherapy to kill hypoxic cancer cells, so the
administration of radiotherapy while breathing hyperbaric oxygen may result in a
reduction in mortality and recurrence. OBJECTIVES: To assess the benefits and
harms of administering radiotherapy for the treatment of malignant tumours while
breathing HBO. SEARCH METHODS: In September 2017 we searched the Cochrane Central
Register of Controlled Trials (CENTRAL), the Cochrane Library Issue 8, 2017,
MEDLINE, Embase, and the Database of Randomised Trials in Hyperbaric Medicine
using the same strategies used in 2011 and 2015, and examined the reference lists
of included articles. SELECTION CRITERIA: Randomised and quasi-randomised studies
comparing the outcome of malignant tumours following radiation therapy while
breathing HBO versus air or an alternative sensitising agent. DATA COLLECTION AND
ANALYSIS: Three review authors independently evaluated the quality of and
extracted data from the included trials. MAIN RESULTS: We included 19 trials in
this review (2286 participants: 1103 allocated to HBOT and 1153 to control).For
head and neck cancer, there was an overall reduction in the risk of dying at both
one year and five years after therapy (risk ratio (RR) 0.83, 95% confidence
interval (CI) 0.70 to 0.98, number needed to treat for an additional beneficial
outcome (NNTB) = 11 and RR 0.82, 95% CI 0.69 to 0.98, high-quality evidence), and
some evidence of improved local tumour control immediately following irradiation
(RR with HBOT 0.58, 95% CI 0.39 to 0.85, moderate-quality evidence due to
imprecision). There was a lower incidence of local recurrence of tumour when
using HBOT at both one and five years (RR at one year 0.66, 95% CI 0.56 to 0.78,
high-quality evidence; RR at five years 0.77, 95% CI 0.62 to 0.95, moderate
quality evidence due to inconsistency between trials). There was also some
evidence with regard to the chance of metastasis at five years (RR with HBOT 0.45
95% CI 0.09 to 2.30, single trial moderate quality evidence imprecision). No
trials reported a quality of life assessment. Any benefits come at the cost of an
increased risk of severe local radiation reactions with HBOT (severe radiation
reaction RR 2.64, 95% CI 1.65 to 4.23, high-quality evidence). However, the
available evidence failed to clearly demonstrate an increased risk of seizures
from acute oxygen toxicity (RR 4.3, 95% CI 0.47 to 39.6, moderate-quality
evidence).For carcinoma of the uterine cervix, there was no clear benefit in
terms of mortality at either one year or five years (RR with HBOT at one year
0.88, 95% CI 0.69 to 1.11, high-quality evidence; RR at five years 0.95, 95% CI
0.80 to 1.14, moderate-quality evidence due to inconsistency between trials).
Similarly, there was no clear evidence of a benefit of HBOT in the reported rate
of local recurrence (RR with HBOT at one year 0.82, 95% CI 0.63 to 1.06, high
quality evidence; RR at five years 0.85, 95% CI 0.65 to 1.13, moderate-quality
evidence due to inconsistency between trials). We also found no clear evidence
for any effect of HBOT on the rate of development of metastases at both two years
and five years (two years RR with HBOT 1.05, 95% CI 0.84 to 1.31, high quality
evidence; five years RR 0.79, 95% CI 0.50 to 1.26, moderate-quality evidence due
to inconsistency). There were, however, increased adverse effects with HBOT. The
risk of a severe radiation injury at the time of treatment with HBOT was 2.05,
95% CI 1.22 to 3.46, high-quality evidence. No trials reported any failure of
local tumour control, quality of life assessments, or the risk of seizures during
treatment.With regard to the treatment of urinary bladder cancer, there was no
clear evidence of a benefit in terms of mortality from HBOT at one year (RR 0.97,
95% CI 0.74 to 1.27, high-quality evidence), nor any benefit in the risk of
developing metastases at two years (RR 2.0, 95% CI 0.58 to 6.91, moderate-quality
evidence due to imprecision). No trial reported on failure of local control,
local recurrence, quality of life, or adverse effects.When all cancer types were
combined, there was evidence for an increased risk of severe radiation tissue
injury during the course of radiotherapy with HBOT (RR 2.35, 95% CI 1.66 to 3.33,
high-quality evidence) and of oxygen toxic seizures during treatment (RR with
HBOT 6.76, 96% CI 1.16 to 39.31, moderate-quality evidence due to imprecision).
AUTHORS' CONCLUSIONS: We found evidence that HBOT improves local tumour control,
mortality, and local tumour recurrence for cancers of the head and neck. These
benefits may only occur with unusual fractionation schemes. Hyperbaric
oxygenation therapy is associated with severe tissue radiation injury. Given the
methodological and reporting inadequacies of the included studies, our results
demand a cautious interpretation. More research is needed for head and neck
cancer, but is probably not justified for uterine cervical or bladder cancer.
There is little evidence available concerning malignancies at other anatomical
sites.
PMID- 29637540
TI - Associations of Number Line Estimation With Mathematical Competence: A Meta
analysis.
AB - The number line estimation task is widely used to investigate mathematical
learning and development. The present meta-analysis statistically synthesized the
extensive evidence on the correlation between number line estimation and broader
mathematical competence. Averaged over 263 effect sizes with 10,576 participants
with sample mean ages from 4 to 14 years, this correlation was r = .443. The
correlation increased with age, mainly because it was higher for fractions than
for whole numbers. The correlation remained stable across a wide range of task
variants and mathematical competence measures (i.e., counting, arithmetic, school
achievement). These findings demonstrate that the task is a robust tool for
diagnosing and predicting broader mathematical competence and should be further
investigated in developmental and experimental training studies.
PMID- 29637541
TI - How we manage patients with Waldenstrom macroglobulinaemia.
AB - Waldenstrom macroglobulinaemia (WM) is a rare, indolent B-cell
lymphoproliferative disorder characterized by cellular involvement in bone marrow
and monoclonal IgM production. Symptoms can be related to cytopenias, tumoural
involvement, or IgM-related disorders. Somatic mutations in the MYD88 gene have
been described in the majority of WM cases. The mutation is responsible for a
gain-of-function and induces activation of nuclear factor-kappaB, for DNA
transcription and cell survival. It seems that MYD88 mutation is associated with
better prognosis and better response to some treatment. Treatments are started
when WM is symptomatic, following systematic biological and morphological
assessments. Therapeutic choice depends on age, frailty and urgent efficacy need.
In first line, the majority of patients are treated with monoclonal anti-CD20
antibody-based regimens combined with cytotoxic chemotherapy. Rituximab,
cyclophosphamide and dexamethasone remain the most commonly used regimen with
good safety. Nevertheless, increasing numbers of new drugs are becoming available
or are in development. Proteasome inhibitors, such as bortezomib or carfilzmib,
showed good and rapid responses. Bruton tyrosine kinase (BTK) inhibitor
demonstrated excellent results and is now available for relapse/refractory
disease or as first line for some patients. This review highlights the diagnostic
procedures and therapeutic approaches in WM.
PMID- 29637542
TI - Risk-Benefit Assessment of Ethinylestradiol Using a Physiologically Based
Pharmacokinetic Modeling Approach.
AB - Current formulations of combined oral contraceptives (COC) containing
ethinylestradiol (EE) have <=35 MUg due to increased risks of cardiovascular
diseases (CVD) with higher doses of EE. Low-dose formulations however, have
resulted in increased incidences of breakthrough bleeding and contraceptive
failure, particularly when coadministered with inducers of cytochrome P450
enzymes (CYP). The developed physiologically based pharmacokinetic model
quantitatively predicted the effect of CYP3A4 inhibition and induction on the
pharmacokinetics of EE. The predicted Cmax and AUC ratios when coadministered
with voriconazole, fluconazole, rifampicin, and carbamazepine were within 1.25 of
the observed data. Based on published clinical data, an AUCss value of 1,000
pg/ml.h was selected as the threshold for breakthrough bleeding. Prospective
application of the model in simulations of different doses of EE (20 MUg, 35 MUg,
and 50 MUg) identified percentages of the population at risk of breakthrough
bleeding alone and with varying degrees of CYP modulation.
PMID- 29637545
TI - Erratum.
PMID- 29637543
TI - Dopamine-Related Genotypes and Physical Activity Change During an Intervention:
The Lifestyle Interventions and Independence for Elders Study.
AB - OBJECTIVES: To determine whether intervention-induced physical activity (PA)
changes in sedentary older adults differed according to dopamine-related
genotype. DESIGN: Randomized clinical trial (Lifestyle Interventions and
Independence for Elders Trial (2010-13)). SETTING: Multicenter study, 8 U.S.
LOCATIONS: PARTICIPANTS: Volunteer sample of sedentary adults aged 70 to 89 at
risk of disability (N=1635). INTERVENTIONS: Structured PA versus health education
(HE) for an average of 2.6 years. MEASUREMENTS: Single-nucleotide polymorphisms
of dopamine-related genes (dopamine receptor (DR) D1, DRD2, DRD3, and catechol-O
methyltransferase (COMT)) were assessed. Average moderate to vigorous PA (MVPA)
was calculated using accelerometry (min/d) at baseline and 6, 12, and 24 months.
Between-arm MVPA differences according to genotype and genotype with square root
transformed MVPA separately according to arm were tested, stratified according to
race, and adjusted for multiple comparisons. RESULTS: White participants in the
PA arm (n=513) had higher average square root transformed MVPA (4.91+/-1.91)than
those in the HE arm (n=538) (4.51+/-1.82) (p=.001). Between-arm differences were
greater for DRD2 Met/Met (high dopamine; HE: 4.76+/-1.80, PA: 5.53+/-1.60, p=.03)
than Val/Val (low dopamine; HE: 4.58+/-1.92, PA: 4.81+/-1.83, p=.16); results
were similar for COMT. In the PA arm, DRD2 Met/Met was associated with higher
average MVPA (5.39+/-2.00) than Met/Val (4.46+/-2.51) (p=.01) and Val/Val (4.65+/
2.71) (p=.01). There were no associations for other genes. Associations were not
significant in blacks but followed similar trends. CONCLUSION: Higher dopamine
signaling may support changes in PA during an intervention. The role of dopamine
related pathways in promoting PA participation and enhancing response to
interventions in sedentary older adults should be studied. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT01072500.
PMID- 29637546
TI - Comorbidity of mental and physical disorders: a key problem for medicine in the
21st century.
PMID- 29637544
TI - Longitudinal Relationship Between Hearing Aid Use and Cognitive Function in Older
Americans.
AB - OBJECTIVES: To test whether hearing aid use alters cognitive trajectories in
older adults. DESIGN: US population-based longitudinal cohort study SETTING: Data
were drawn from the Health and Retirement Study (HRS), which measured cognitive
performance repeatedly every 2 years over 18 years (1996-2014). PARTICIPANTS:
Adults aged 50 and older who who took part in a minimum of 3 waves of the HRS and
used hearing aids for the first time between Waves 4 and 11 (N=2,040).
MEASUREMENTS: Cognitive outcomes were based on episodic memory scores determined
according to the sum of immediate and delayed recall of 10 words. RESULTS:
Hearing aid use was positively associated with episodic memory scores (beta=1.53,
p<.001). Decline in episodic memory scores was slower after (beta=-0.02, p<.001)
than before using hearing aids (beta=-0.1, p<.001). These results were robust to
adjustment for multiple confounders and to attrition, as accounted for using a
joint model. CONCLUSIONS: Hearing aids may have a mitigating effect on
trajectories of cognitive decline in later life. Providing hearing aids or other
rehabilitative services for hearing impairment much earlier in the course of
hearing impairment may stem the worldwide rise of dementia.
PMID- 29637547
TI - Religiosity and psychotic experiences.
PMID- 29637548
TI - Repeated sleep deprivation for a more rapid decrease in depressive symptoms in
combined chronotherapy?
PMID- 29637549
TI - Automated detection of focal cortical dysplasia type II with surface-based
magnetic resonance imaging postprocessing and machine learning.
AB - OBJECTIVE: Focal cortical dysplasia (FCD) is a major pathology in patients
undergoing surgical resection to treat pharmacoresistant epilepsy. Magnetic
resonance imaging (MRI) postprocessing methods may provide essential help for
detection of FCD. In this study, we utilized surface-based MRI morphometry and
machine learning for automated lesion detection in a mixed cohort of patients
with FCD type II from 3 different epilepsy centers. METHODS: Sixty-one patients
with pharmacoresistant epilepsy and histologically proven FCD type II were
included in the study. The patients had been evaluated at 3 different epilepsy
centers using 3 different MRI scanners. T1-volumetric sequence was used for
postprocessing. A normal database was constructed with 120 healthy controls. We
also included 35 healthy test controls and 15 disease test controls with
histologically confirmed hippocampal sclerosis to assess specificity. Features
were calculated and incorporated into a nonlinear neural network classifier,
which was trained to identify lesional cluster. We optimized the threshold of the
output probability map from the classifier by performing receiver operating
characteristic (ROC) analyses. Success of detection was defined by overlap
between the final cluster and the manual labeling. Performance was evaluated
using k-fold cross-validation. RESULTS: The threshold of 0.9 showed optimal
sensitivity of 73.7% and specificity of 90.0%. The area under the curve for the
ROC analysis was 0.75, which suggests a discriminative classifier. Sensitivity
and specificity were not significantly different for patients from different
centers, suggesting robustness of performance. Correct detection rate was
significantly lower in patients with initially normal MRI than patients with
unequivocally positive MRI. Subgroup analysis showed the size of the training
group and normal control database impacted classifier performance. SIGNIFICANCE:
Automated surface-based MRI morphometry equipped with machine learning showed
robust performance across cohorts from different centers and scanners. The
proposed method may be a valuable tool to improve FCD detection in presurgical
evaluation for patients with pharmacoresistant epilepsy.
PMID- 29637550
TI - Treatment of acute lymphoblastic leukaemia with the second generation of CD19 CAR
T containing either CD28 or 4-1BB.
AB - T cells modified with anti-CD19 chimeric antigen receptor (CAR) containing either
CD28 or 4-1BB (also termed TNFRSF9, CD137) costimulatory signalling have shown
great potential in the treatment of acute lymphoblastic leukaemia (ALL). However,
the difference between CD28 and 4-1BB costimulatory signalling in CAR-T treatment
has not been well elucidated in clinical trials. In this study, we treated 10
relapsed or refractory ALL patients with the second generation CD19 CAR-T. The
first 5 patients were treated with CD28-CAR and the other 5 patients were treated
with 4-1BB CAR-T. All the 10 patients were response-evaluable. Three patients
achieved complete remission and 1 patient with extramedullary disease achieved
partial response after CD28-CAR-T treatment. In the 4-1BB CAR-T treatment group,
3 patients achieved complete remission. Furthermore, FLT-3 ligand (FLT3LG) was
highly correlated with response time and may serve as a prognosis factor. No
severe adverse events were observed in these 10 treated patients. Our study
showed that both CD28 CAR-T and 4-1BB CAR-T both worked for response but they
differed in response pattern (peak reaction time, reaction lasting time and
reaction degree), adverse events, cytokine secretion and immune-suppressive
factor level.
PMID- 29637551
TI - The primary prevention of epilepsy: A report of the Prevention Task Force of the
International League Against Epilepsy.
AB - Among the causes of epilepsy are several that are currently preventable. In this
review, we summarize the public health burden of epilepsy arising from such
causes and suggest priorities for primary epilepsy prevention. We conducted a
systematic review of published epidemiologic studies of epilepsy of 4 preventable
etiologic categories-perinatal insults, traumatic brain injury (TBI), central
nervous system (CNS) infection, and stroke. Applying consistent criteria, we
assessed the quality of each study and extracted data on measures of risk from
those with adequate quality ratings, summarizing findings across studies as
medians and interquartile ranges. Among higher-quality population-based studies,
the median prevalence of active epilepsy across all ages was 11.1 per 1000
population in lower- and middle-income countries (LMIC) and 7.0 per 1000 in high
income countries (HIC). Perinatal brain insults were the largest attributable
fraction of preventable etiologies in children, with median estimated fractions
of 17% in LMIC and 15% in HIC. Stroke was the most common preventable etiology
among older adults with epilepsy, both in LMIC and in HIC, accounting for half or
more of all new onset cases. TBI was the attributed cause in nearly 5% of
epilepsy cases in HIC and LMIC. CNS infections were a more common attributed
cause in LMIC, accounting for about 5% of all epilepsy cases. Among some rural
LMIC communities, the median proportion of epilepsy cases attributable to endemic
neurocysticercosis was 34%. A large proportion of the overall public health
burden of epilepsy is attributable to preventable causes. The attributable
fraction for perinatal causes, infections, TBI, and stroke in sum reaches nearly
25% in both LMIC and HIC. Public health interventions addressing maternal and
child health care, immunizations, public sanitation, brain injury prevention, and
stroke prevention have the potential to significantly reduce the burden of
epilepsy.
PMID- 29637552
TI - Use of the 50-g glucose challenge test to predict excess delivery weight.
AB - OBJECTIVE: To identify a cut-off value for the 50-g glucose challenge test (GCT)
that predicts excess delivery weight. METHODS: A retrospective study was
conducted among pregnant women who undertook a 50-g GCT at Hacettepe University
Hospital, Ankara, Turkey, between January 1, 2000, and December 31, 2016.
Patients with singleton pregnancies who delivered live neonates after 28 weeks of
pregnancy were included. Patients were classified according to their 50-g GCT
values into group 1 (<7.770 mmol/L); group 2 (7.770 to <8.880 mmol/L, group 3
(8.880-9.990 mmol/L); or group 4 (>9.990 mmol/L). Classification and regression
tree data mining was performed to identify the 50-g GCT cut-off value
corresponding to a substantial increase in delivery weight. , RESULTS: Median
delivery weight were 3100 g in group 1 (n=352), 3200 g in group 2 (n=165), 3720 g
in group 3 (n=47), and 3865 g in group 4 (n=20). Gravidity, 50-g GCT value, and
pregnancy duration at delivery explained 30.6% of the observed variance in
delivery weight. The cut-off required for maternal blood glucose level to predict
excessive delivery weight was 8.741 mmol/L. CONCLUSION: The 50-g GCT can be used
to identify women at risk of delivering offspring with excessive delivery weight.
PMID- 29637553
TI - The Health Risks of Belgian Illicit Indoor Cannabis Plantations.
AB - We assessed the prevalence of potential health hazards to intervention staff and
cannabis growers in Belgian indoor cannabis plantations. Surface mold swab
samples were taken at 16 Belgian indoor plantations contained mostly Penicillium
sp. and Aspergillus sp. However, their precise health impact on intervention
staff and illicit growers is unclear as no molds spore concentrations were
measured. Atmospheric gas monitoring in the studied cannabis plantations did not
reveal dangerous toxic substances. Health symptoms were reported by 60% of 221
surveyed police, but could not be linked to specific plantation characteristics.
We conclude that Belgian indoor cannabis plantations pose a potential health
threat to growers and intervention staff. AS there are currently no clear safety
guidelines for seizure and dismantling of Belgian indoor cannabis plantations, we
recommend first responders to follow strict safety rules when entering the growth
rooms, which include wearing appropriate personal protective equipment.
PMID- 29637554
TI - Bre1 mediates the ubiquitination of histone H2B by regulating Lge1 stability.
AB - Histone H2B ubiquitination mediated by the Rad6/Bre1 complex is crucial for
regulating the stability and reassembly of the nucleosome. To understand the
regulatory mechanisms of H2B ubiquitination, we explored proteins related to the
Rad6/Bre1 complex. Interestingly, we observed that the stability of Lge1,
reported to be a cofactor of Bre1, is greatly reduced in the absence of Bre1. The
stability of Lge1 did require the middle fragment of Bre1 containing a coiled
coil structure, but not its E3 ligase activity. Additionally, we found that Lge1
is involved in the 'writing' step of H2B ubiquitination. Our data suggest that
Bre1 mediates H2B ubiquitination more precisely by maintaining the stability of
Lge1 as well as through its role as a known E3 ligase.
PMID- 29637555
TI - Nonconvulsive status epilepticus in rats leads to brain pathology.
AB - OBJECTIVE: Status epilepticus (SE) is an abnormally prolonged epileptic seizure
that if associated with convulsive motor symptoms is potentially life threatening
for a patient. However, 20%-40% of patients with SE lack convulsive events and
instead present with more subtle semiology such as altered consciousness and less
motor activity. Today, there is no general consensus regarding to what extent
nonconvulsive SE (NCSE) is harmful to the brain, which adds uncertainty to
stringent treatment regimes. METHODS: Here, we evaluated brain pathology in an
experimental rat and mouse model of complex partial NCSE originating in the
temporal lobes with Western blot analysis, immunohistochemistry, and ex vivo
diffusion tensor imaging (DTI). The NCSE was induced by electrical stimulation
with intrahippocampal electrodes and terminated with pentobarbital anesthesia.
Video-electroencephalographic recordings were performed throughout the
experiment. RESULTS: DTI of mice 7 weeks post-NCSE showed no robust long-lasting
changes in fractional anisotropy within the hippocampal epileptic focus. Instead,
we found pathophysiological changes developing over time when measuring protein
levels and cell counts in extracted brain tissue. At 6 and 24 hours post-NCSE in
rats, few changes were observed within the hippocampus and cortical or
subcortical structures in Western blot analyses of key components of the cellular
immune response and synaptic protein expression, while neurodegeneration had
started. However, 1 week post-NCSE, both excitatory and inhibitory synaptic
protein levels were decreased in hippocampus, concomitant with an excessive
microglial and astrocytic activation. At 4 weeks, a continuous immune response in
the hippocampus was accompanied with neuronal loss. Levels of the excitatory
synaptic adhesion molecule N-cadherin were decreased specifically in rats that
developed unprovoked spontaneous seizures (epileptogenesis) within 1 month
following NCSE, compared to rats only exhibiting acute symptomatic seizures
within 1 week post-NCSE. SIGNIFICANCE: These findings provide evidence for a
significant brain pathology following NCSE in an experimental rodent model.
PMID- 29637556
TI - 1800 MHz mobile phone irradiation induced oxidative and nitrosative stress leads
to p53 dependent Bax mediated testicular apoptosis in mice, Mus musculus.
AB - Present study was carried out to investigate the effect of long-term mobile phone
radiation exposure in different operative modes (Dialing, Receiving, and Stand
by) on immature male mice. Three-week old male mice were exposed to mobile phone
(1800 MHz) radiation for 3 hr/day for 120 days in different operative modes. To
check the changes/alteration in testicular histoarchitecture and serum
testosterone level, HE staining and ELISA was performed respectively. Further, we
have checked the redox status (ROS, NO, MDA level, and antioxidant enzymes: SOD,
CAT, and GPx) by biochemical estimation, alteration in the expression of pro
apoptotic proteins (p53 and Bax), active executioner caspase-3, full
length/uncleaved PARP-1 (DNA repair enzyme), anti-apoptotic proteins (Bcl-2 and
Bcl-xL ) in testes by immunofluorescence and cytosolic cytochrome-c by Western
blot. Decreased seminiferous tubule diameter, sperm count, and viability along
with increased germ cells apoptosis and decreased serum testosterone level, was
observed in the testes of all the mobile phone exposed mice compared with
control. We also observed that, mobile phone radiation exposure in all the three
different operative modes alters the testicular redox status via increasing ROS,
NO, and MDA level, and decreasing antioxidant enzymes levels leading to enhanced
apoptosis of testicular cells by increasing the expression of pro-apoptotic and
apoptotic proteins along with decreasing the expression of anti-apoptotic
protein. On the basis of results, it is conclude that long-term mobile phone
radiation exposure induced oxidative stress leads to apoptosis of testicular
cells and thus impairs testicular function.
PMID- 29637557
TI - Is the tilt of the lipid head group correlated with the number of intermolecular
interactions at the bilayer interface?
AB - Lipid and water molecules comprising the bilayer form an integral entity owing to
only weak physical interactions. At the bilayer interface, these interactions
chiefly involve hydrogen bonding and charge pairing. Lipid head groups make
hydrogen bonds (H-bonds) predominantly with water, whereas interlipid H-bonds and
charge pairs are less numerous. Both interlipid H-bonding and charge pairing
depend on the distance and relative orientation of the interacting head groups.
In this computational paper, correlations are analysed between the orientation of
the lipid head group and the number of interlipid interactions at the interface
of a bilayer made of galactolipids, forming direct interlipid H-bonds, and of
phosphatidylcholines forming interlipid charge pairs. The correlations are not
strong, however, in both bilayers they show a similar trend.
PMID- 29637558
TI - Non-fluorescent mutant of green fluorescent protein sheds light on the mechanism
of chromophore formation.
AB - The mechanism of green fluorescent protein (GFP) chromophore formation is still
not clearly defined. Two mechanisms have been proposed: cyclisation-dehydration
oxidation (Mechanism A) and cyclisation-oxidation-dehydration (Mechanism B). To
distinguish between these mechanisms, we generated a non-fluorescent mutant of
GFP, S65T/G67A-GFP. This mutant folds to a stable, native-like structure but
lacks fluorescence due to interruption of the chromophore maturation process.
Mass spectrometric analysis of peptides derived from this mutant reveal that
chromophore formation follows only mechanism A, but that the final oxidation
reaction is suppressed. This result is unexpected within the pool of examined GFP
mutants, since for the wild-type GFP, there is strong support for mechanism B.
PMID- 29637559
TI - Low-grade squamous intraepithelial lesions among women with HIV.
AB - OBJECTIVES: To assess whether women with HIV who had low-grade squamous
intraepithelial lesions (LSIL) on cytology had cervical disease. METHODS: The
present retrospective cross-sectional study included data from women with LSIL
who attended a tertiary hospital in South Africa between April 1, 2003, and
December 31, 2013. Patient information was extracted from a colposcopy database.
RESULTS: The study included 652 patients. The median age was 36 years
(interquartile range [IQR] 31-42 years; range 18-66 years) and the median parity
was three (IQR 2-5; range 0-10). In all, 266 (40.8%) women had a histology result
of HPV or cervical intraepithelial neoplasia 1 (CIN1); 386 (59.2%) had a
histology result of CIN2 or higher. The median cluster of differentiation 4 (CD4)
count was 275.00 cells/mm3 (IQR 173.50-434.00 cells/mm3 ; range 2-1211 cells/mm3
). A total of 312 (47.9%) women were using antiretroviral therapy. Use of
antiretroviral therapy (unadjusted odds ratio 0.57; P=0.001) and a CD4 count of
at least 200 cells/mm3 (unadjusted odds ratio 0.81; P=0.002) were associated with
a histology result of HPV or CIN1. CONCLUSION: Most of the women with a cytology
report of LSIL had CIN2 or higher, suggesting that the practice of referral for
colposcopy should continue.
PMID- 29637560
TI - Endometrial cancer after endometrial ablation or resection for menorrhagia.
AB - OBJECTIVE: To assess the incidence of endometrial cancer after endometrial
ablation or resection (EA/R) for menorrhagia. METHOD: The present retrospective
observational cohort study included women who underwent EA/R for menorrhagia at
Aberdeen Royal Infirmary between February 1, 1990 and December 31, 1997. Follow
up data until 2015 were examined. To assess risk of endometrial cancer, each
woman was matched by age to the annual observed incidence of endometrial cancer
in northeast Scotland for each year from the date of EA/R until 2015. RESULTS:
During the 7-year study period, 901 eligible women (mean age 42.3 +/- 5.7 years;
range 26-50 years) underwent EA/R. Of these patients, 204 (22.6%) subsequently
had a hysterectomy for reasons other than endometrial cancer, and 695 (77.1%) did
not. The overall incidence of endometrial cancer was 0.2% (2/901); the risk of
developing endometrial cancer after EA/R was calculated as 11.1 per 100 000 women
years. The mean expected incidence for all women and the subgroup with no
hysterectomy was estimated to be 26.5 and 35.6 occurrences per 100 000 women
years, respectively. The observed incidence was significantly lower versus the
mean expected risk for both groups (P<0.001). CONCLUSION: The findings indicate
that the risk of endometrial cancer could be significantly reduced but not
eliminated by EA/R.
PMID- 29637561
TI - 1,25-dihydroxyvitamin D deficiency accelerates alveolar bone loss independent of
aging and extracellular calcium and phosphorus.
AB - BACKGROUND: Vitamin D is critical for bone homeostasis and immunomodulation. We
therefore assessed whether 1,25-dihydroxyvitamin D (1,25(OH)2 D) deficiency in
mice with targeted deletion of the gene encoding 25-hydroxyvitamin D-1alpha
hydroxylase (1alpha(OH)ase [1alphaOH)ase-/- mice]) results in alveolar bone loss
and periodontal inflammation in vivo. METHODS: Ten-week-old and 12-month-old
1alpha(OH)ase-/- mice and wild-type littermates were fed a normal diet or a
rescue diet, and the phenotype of the periodontium was then analyzed using
microcomputed tomography, histology, immunohistochemistry, and real-time Reverse
transcription-polymerase chain reaction (RT-PCR). RESULTS: Alveolar bone loss was
increased and maxillary bone mineral density (BMD), osteoblast numbers, and the
number of osterix-positive cells were decreased significantly in 1alpha(OH)ase-/-
mice compared with wild-type mice. Although aging from 10 weeks to 12 months
accentuated these changes, and a rescue diet reduced them, the alterations in the
1alpha(OH)ase-/- mice exceeded the effects of aging and diet change. Nuclear
factor kappa light-chain-enhancer of activated B cells (NF-kB) p65 and CD3
positive cells, and the gene expression levels of interleukin (IL)-1beta, tumor
necrosis factor (TNF)-alpha, matrix metalloproteinase (MMP)-3 and -8 were all
increased significantly in periodontal tissues of 1alpha(OH)ase-/- mice compared
with wild-type mice. Aging from 10 weeks to 12 months also accentuated these
changes, and a rescue diet reduced them, however, the alterations in the
1alpha(OH)ase-/- mice exceeded the effects of aging and diet change. CONCLUSION:
1,25(OH)2 D deficiency in the 1alpha(OH)ase-/- mice accelerated alveolar bone
loss by inhibiting osteoblastic bone formation and enhancing periodontal tissue
degeneration in a calcium- and phosphorus- as well as an age-independent manner.
PMID- 29637562
TI - A Fatal Case of Gas Intoxication in Silage Pit.
AB - Fatalities due to gas intoxication are rare in routine forensic casework. The
most common gas is carbon monoxide, with other gases (ammonia, methane, propane
and butane, carbon dioxide, hydrogen sulfide) encountered only very rarely. In
this report, we describe the accidental death of two maintenance workers who were
found in the silage pit at a biogas plant. The autopsy revealed signs of asphyxia
in both the deceased. Analysis of the gaseous mixtures in the tank using an
infrared gas analyzer showed slightly elevated concentrations of carbon dioxide.
Toxicological examination of the blood of both the deceased using gas
chromatography with a flame ionization detector, spectrophotometry and liquid
chromatography-mass spectrometry did not detect any toxicologically significant
substance that would explain the sudden collapse. Both the autopsy and the
toxicology analyses suggest CO2 intoxication as the most likely cause for the
collapse of the two men, which then led to sudden asphyxia.
PMID- 29637563
TI - Forensic SNP Genotyping with SNaPshot: Development of a Novel In-house SBE
Multiplex SNP Assay.
AB - This study introduces a newly developed in-house SNaPshot single-base extension
(SBE) multiplex assay for forensic single nucleotide polymorphism (SNP)
genotyping of fresh and degraded samples. The assay was validated with fresh
blood samples from four different populations. In addition, altogether 24 samples
from skeletal remains were analyzed with the multiplex. Full SNP profiles could
be obtained from 14 specimens, while ten remains showed partial SNP profiles.
Minor allele frequencies (MAF) of bone samples and different populations were
compared and used for association of skeletal remains with a certain population.
The results reveal that the SNPs of the bone samples are genetically close to the
Pathan population. The findings show that the new multiplex system can be
utilized for SNP genotyping of degraded and forensic relevant skeletal material,
enabling to provide additional investigative leads in criminal cases.
PMID- 29637564
TI - Retronasal olfaction in chronic rhinosinusitis.
AB - OBJECTIVE: The goals of this study were to assess retronasal olfaction in
patients with chronic rhinosinusitis (CRS) and describe clinical factors that
influence retronasal olfaction. This study sought to investigate the influence of
retronasal olfaction on patient-perceived outcomes and examine the relationship
between retronasal and orthonasal olfaction. METHODS: Retronasal olfactory
function was tested using odorized powders in the oral cavity, whereas Sniffin'
Sticks test (Burghart Instruments, Wedel, Germany) were used to assess orthonasal
function prospectively in 69 adult CRS patients. Endoscopic evaluation of the
olfactory cleft was scored using the Olfactory Cleft Endoscopy Scale (OCES).
Several quality-of-life (QOL) instruments relating to sinonasal, olfactory, and
chemosensory functions were used to assess the interactions between patient
reported outcome measures and retronasal olfaction. RESULTS: There was strong
correlation between retronasal and total orthonasal olfaction scores (r = 0.77, P
< 0.001) as well as retronasal scores with orthonasal subscores. Retronasal
scores were worse in patients with nasal polyposis (P = 0.002), asthma (P =
0.04), and aspirin-exacerbated respiratory disease (AERD) (P = 0.02), whereas
OCES was the only independent predictor of retronasal olfaction (r = -0.42, P <
0.001). Significant correlation existed between retronasal olfaction and
olfactory-specific QOL and chemosensory smell scores. CONCLUSION: Few studies
have examined retronasal olfaction in CRS patients. In this cohort, CRS patients
demonstrated deficits in retronasal olfaction, with worse scores in patients with
nasal polyposis, asthma, and AERD. Retronasal olfaction scores correlate with
degree of inflammation of the olfactory cleft. Retronasal olfaction correlated
strongly with orthonasal olfaction and patient-reported smell and taste metrics,
although orthonasal olfaction may have a stronger correlation with these metrics.
LEVEL OF EVIDENCE: NA. Laryngoscope, 2437-2442, 2018.
PMID- 29637565
TI - An Automated Two-dimensional Pairwise form Registration Method for Pair-matching
of Fragmented Skeletal Remains.
AB - This study introduces an automated pairwise method for osteological pair-matching
of fragmented skeletal remains using two-dimensional fragmented outlines
extracted from photographs. The form data are used in pairwise iterative closest
point registrations with rigid transformations. A modified version of the average
Hausdorff distance is calculated to remove any coordinate correspondences with
outline fracture margins, which allow the distance analysis of fragmented
outlines. A dilation modification to the Hausdorff distance is proposed creating
a greater separation between true- and false-pairs. The sample consists of 122
calcanei (61 pairs) from the UI-Stanford collection. Performance statistics are
provided for simulated fragmented and complete assemblages. Results indicate up
to 98% accuracy for fragmented and complete assemblages. The dilated Hausdorff
distance performed similarly across assemblages, but showed a slight decrease in
performance for the complete assemblage. This approach provides a useful short
listing tool to reduce the number of visual comparisons required in large
commingled assemblages.
PMID- 29637566
TI - Redefining normal hemoglobin and anemia in singleton and twin pregnancies.
AB - OBJECTIVE: To assess the benefit of a hemoglobin cutoff of 105 g/L as a trigger
for anemia evaluation during the second trimester of pregnancy. METHODS: The
present cross-sectional study, conducted at a health center in Israel between
January 1, 2010, and December 31, 2015, included pregnant women with hemoglobin
values below 105 g/L who were assessed for anemia. Anemia workup included
complete blood count, serum ferritin and vitamin B12 measurements, and hemoglobin
electrophoresis. The primary outcome was the incidence of nondilutional anemia,
defined by a positive workup, across predefined hemoglobin thresholds. Receiver
operating characteristic curves were created to identify the best hemoglobin
threshold for predicting anemia, mandating further evaluation. RESULTS: In total,
651 women with singleton and 300 women with twin pregnancies were evaluated for
nondilutional anemia. Of these, 340 (52.2%) and 127 (42.3%) women, respectively,
had positive workup results. The most common cause was iron deficiency. The
hemoglobin values that best predicted positive workup results were 100 g/L in
singleton pregnancies and 97 g/L in twin pregnancies. CONCLUSION: Anemia
evaluation could be unnecessary in pregnant women with a hemoglobin value of 100
105 g/L. Consideration should be given to redefining anemia in singleton and twin
pregnancies.
PMID- 29637567
TI - Narcissism and the pursuit of status.
AB - OBJECTIVE: The purpose of the present studies was to examine the connections that
narcissistic admiration and narcissistic rivalry had with various aspects of
status. METHOD: Study 1 examined the associations that narcissism had with the
motivation to seek status in a sample of 1,219 community members. Study 2
examined whether narcissism interacted with the status-seeking motive to predict
how individuals pursued status in a sample of 760 community members and college
students. Study 3 used a daily diary approach to examine whether narcissism
moderated the associations that daily perceptions of status and affiliation had
with state self-esteem in 356 college students. RESULTS: Our results revealed
that narcissistic admiration and narcissistic rivalry were somewhat similar in
their desire for status but had divergent associations with other aspects of
status (e.g., strategies employed to attain status, perceptions of status,
reactions to perceived status). CONCLUSIONS: The results of the present studies
suggest that narcissistic admiration is associated with an agentic orientation to
the pursuit of status, whereas narcissistic rivalry is associated with an
antagonistic orientation to the pursuit of status. Discussion focuses on the
implications of these results for our understanding of the connections between
narcissism and status.
PMID- 29637568
TI - Hepatoma cell-secreted exosomal microRNA-103 increases vascular permeability and
promotes metastasis by targeting junction proteins.
AB - : Increased vascular permeability facilitates metastasis. Emerging evidence
indicates that secreted microRNAs (miRNAs) may mediate the crosstalk between
cancer and stromal cells. To date, whether and how secreted miRNAs affect
vascular permeability remains unclear. Based on deep sequencing and quantitative
PCR, we found that higher level of serum miR-103 was associated with higher
metastasis potential of hepatocellular carcinoma (HCC). The in vitro endothelial
permeability and transendothelial invasion assays revealed that the conditioned
media or exosomes derived from high miR-103-expressing hepatoma cells increased
the permeability of endothelial monolayers, but this effect was attenuated if
exosome secretion of hepatoma cells was blocked by silencing ALIX and HRS or if
miR-103 within hepatoma or endothelial cells was antagonized. Most importantly,
pretreating endothelial monolayers with exosomes that were from stable miR-103
expressing hepatoma cells facilitated the transendothelial invasion of tumor
cells, and this role of exosomes was abrogated by inhibiting miR-103 in
endothelial cells. Further in vivo analyses disclosed that mice with xenografts
of stable miR-103-expressing hepatoma cells exhibited higher vascular
permeability in tumor, higher level of exosomal miR-103 and greater number of
tumor cells in blood circulation, and increased rates of hepatic and pulmonary
metastases, compared to control mice. Mechanism investigations revealed that
hepatoma cell-secreted miR-103 could be delivered into endothelial cells via
exosomes, and then attenuated the endothelial junction integrity by directly
inhibiting the expression of VE-Cadherin (VE-Cad), p120-catenin (p120) and zonula
occludens 1. Moreover, miR-103 could also promote tumor cell migration by
repressing p120 expression in hepatoma cells. CONCLUSION: Hepatoma cell-secreted
exosomal miR-103 increases vascular permeability and promotes tumor metastasis by
targeting multiple endothelial junction proteins, which highlights secreted miR
103 as a potential therapeutic target and a predictive marker for HCC metastasis.
(Hepatology 2018).
PMID- 29637569
TI - Colour differences in Caucasian and Oriental women's faces illuminated by white
light-emitting diode sources.
AB - OBJECTIVE: To provide an approach to facial contrast, analysing CIELAB colour
differences (DeltaEab,10*) and its components in women's faces from two different
ethnic groups, illuminated by modern white light-emitting diodes (LEDs) or
traditional illuminants recommended by the International Commission on
Illumination (CIE). METHODS: We performed spectrophotometric measurements of
spectral reflectance factors on forehead and cheek of 87 young healthy women (50
Caucasians and 37 Orientals), plus five commercial red lipsticks. We considered a
set of 10 white LED illuminants, representative of technologies currently
available on the market, plus eight main illuminants currently recommended by the
CIE, representative of conventional incandescent, daylight and fluorescent light
sources. Under each of these 18 illuminants, we analysed the magnitude and
components of DeltaEab,10* between Caucasian and Oriental women (considering
cheek and forehead), as well as for cheek-forehead and cheek-lipsticks in
Caucasian and Oriental women. Colour-inconstancy indices for cheek, forehead and
lipsticks were computed, assuming D65 and A as reference illuminants. RESULTS:
DeltaEab,10* between forehead and cheek were quantitatively and qualitatively
different in Orientals and Caucasians, but discrepancies with respect to average
values for 18 illuminants were small (1.5% and 5.0% for Orientals and Caucasians,
respectively). DeltaEab,10* between Caucasians and Orientals were also
quantitatively and qualitatively different both for forehead and cheek, and
discrepancies with respect to average values were again small (1.0% and 3.9% for
forehead and cheek, respectively). DeltaEab,10* between lipsticks and cheek were
at least two times higher than those between forehead and cheek. Regarding
DeltaEab,10* between lipsticks and cheeks, discrepancies with respect to average
values were in the range 1.5-12.3%, although higher values of up to 54.2% were
found for a white RGB LED. This white RGB LED provided the highest average colour
inconstancy indices: 17.1 and 11.5 CIELAB units, under reference illuminants D65
and A, respectively. CONCLUSION: Colour contrasts in women's faces under CIE
standard illuminants for outdoor and indoor conditions may be strongly altered
using specific white LEDs. More research needs to be performed on the impact of
spectral power distribution of light sources with high colour rendering indices
on visual colour appearance of cosmetic products.
PMID- 29637570
TI - Determination of four antiepileptic drugs in plasma using ultra-performance
liquid chromatography with mass detection technique.
AB - Status epilepticus (SE) is considered the second most frequent neurological
emergency. Its therapeutic management is performed using sequential antiepileptic
drug regimens. Diazepam (DIA), midazolam (MID), phenytoin (PHT) and phenobarbital
(PB) are four drugs of different classes used sequentially in the management of
SE. A sensitive, selective, accurate and precise method was developed and
validated for simultaneous determination of the four antiepileptic drugs in human
plasma. Their separation and quantification were achieved using ultra-performance
liquid chromatography (UPLC) with mass detection using carbamazepine as internal
standard (IS). For the first three drugs and the IS, UPLC-MS/MS with electrospray
ionization working in multiple reaction monitoring mode was used at the following
transitions: m/z 285 -> 193 for DIA; m/z 326 -> 291 for MID; m/z 253 -> 182 for
PHT; and m/z 237 -> 194, 237 -> 192 for IS. For the fourth drug (PB), a molecular
ion peak of PB [M + H] + at m/z 233 was used for its quantitation. The method was
linear over concentration ranges 5-500 ng/mL for DIA and MID and 0.25-20 MUg/mL
for PHT and PB. Bioanalytical validation of the developed method was carried out
according to European Medicines Agency guidelines. The developed method can be
applied for routine drug analysis, therapeutic drug monitoring and bioequivalence
studies.
PMID- 29637571
TI - Long-term outcomes in oral cavity squamous cell carcinoma with adjuvant and
salvage radiotherapy after surgery.
AB - OBJECTIVE: Early-stage oral cavity squamous cell carcinoma (OCSCC) represents a
heterogeneous group of patients, and locoregional recurrence rates are as high as
25% with surgery alone. Radiotherapy (RT) is typically reserved as part of
salvage multimodality therapy after salvage surgery because it is generally
thought that there is no significant detriment to salvage therapy. Our aim was to
examine outcomes for recurrent OCSCC treated with salvage surgery and radiation
and compare them to outcomes for patients treated with adjuvant postoperative RT
upfront. METHODS: We identified 425 patients with OCSCC treated with
postoperative RT at our institution. The 5-year rates of local failure,
locoregional failure (LRF), survival, and distant metastasis (DM) were the main
outcome measures. We performed a landmark analysis and examined the same outcomes
in the adjuvant versus salvage cohorts using Cox proportional hazards and Fine
Gray competing risk method. RESULTS: The adjuvant cohort had higher tumor (T) (P
< 0.0001) and nodal (N) (P < 0.0001) stage than the salvage cohort's stage at
initial presentation. On multivariate analysis, a strategy of salvage RT
experienced poorer overall survival (OS) compared to upfront adjuvant RT (hazard
ratio [HR] 1.84; 95% confidence interval [CI], 1.26-2.70; P = 0.002). Moreover,
salvage surgery followed by RT patients experienced increasing risk of LRF (HR
1.56; 95% CI, 1.18-2.06; P = 0.002) and (DM) (HR 1.53; 95% CI, 1.08-2.17; P =
0.02) on multivariate analysis. Additional analysis was performed excluding
salvage cohort with advanced disease at initial presentation (T3-T4 and N2).
Salvage RT treatment selection for early-stage OCSCC continued to experience
significantly poorer OS as compared to adjuvant RT (HR 1.48; 95% CI, 1.002-2.19;
P = 0.049). CONCLUSION: Early-stage OCSCC patients who are observed and
experienced recurrence requiring salvage therapy (surgery and RT) have worse
oncologic outcomes than locally advanced patients receiving upfront adjuvant RT.
Prospective randomized studies are needed to identify high-risk subset of early
stage OCSCC comparing adjuvant RT versus observation, followed by salvage surgery
and RT at recurrence. LEVEL OF EVIDENCE: 4. Laryngoscope, 2539-2545, 2018.
PMID- 29637572
TI - Hepatic CREBZF couples insulin to lipogenesis by inhibiting insig activity and
contributes to hepatic steatosis in diet-induced insulin-resistant mice.
AB - : Insulin is critical for the regulation of de novo fatty acid synthesis, which
converts glucose to lipid in the liver. However, how insulin signals are
transduced into the cell and then regulate lipogenesis remains to be fully
understood. Here, we identified CREB/ATF bZIP transcription factor (CREBZF) of
the activating transcription factor/cAMP response element-binding protein
(ATF/CREB) gene family as a key regulator for lipogenesis through insulin-Akt
signaling. Insulin-induced gene 2a (Insig-2a) decreases during refeeding,
allowing sterol regulatory element binding protein 1c to be processed to promote
lipogenesis; but the mechanism of reduction is unknown. We show that Insig-2a
inhibition is mediated by insulin-induced CREBZF. CREBZF directly inhibits
transcription of Insig-2a through association with activating transcription
factor 4. Liver-specific knockout of CREBZF causes an induction of Insig-2a and
Insig-1 and resulted in repressed lipogenic program in the liver of mice during
refeeding or upon treatment with streptozotocin and insulin. Moreover, hepatic
CREBZF deficiency attenuates hepatic steatosis in high-fat, high-sucrose diet-fed
mice. Importantly, expression levels of CREBZF are increased in livers of diet
induced insulin resistance or genetically obese ob/ob mice and humans with
hepatic steatosis, which may underscore the potential role of CREBZF in the
development of sustained lipogenesis in the liver under selective insulin
resistance conditions. CONCLUSION: These findings uncover an unexpected mechanism
that couples changes in extracellular hormonal signals to hepatic lipid
homeostasis; disrupting CREBZF function may have the therapeutic potential for
treating fatty liver disease and insulin resistance. (Hepatology 2018).
PMID- 29637573
TI - Ultrasound Appearances of Pediatric Testicular Yolk Sac Tumors: Twenty-one Cases
in a Single Institution.
AB - Testicular yolk sac tumors are rare, and analyses of relevant ultrasound (US)
findings are limited. We retrospectively reviewed the US features of 21
pathologically verified cases (patients aged 10-64 months). Neoplasms were
unilateral and solitary, occupying part (focal) or all (diffuse) of the testis.
Focal lesions (14 cases) were usually solid and ovoid, with a homogeneous echo
texture or multiple random anechoic spaces, and hypervascular. Diffuse neoplasms
had heterogeneous echo textures; most were hypervascular. On spectral Doppler US
imaging, 11 cases had a mean peak systolic velocity of 12.9 cm/s and a mean
resistive index of 0.54. Ultrasound is a useful tool for imaging pediatric testes
when a yolk sac tumor is suspected.
PMID- 29637574
TI - Outcome parameters associated with perceived helpfulness of family-based
treatment for adolescent eating disorders.
AB - OBJECTIVE: Family-based treatment (FBT) is an efficacious treatment for
adolescent eating disorders, yet it is not routinely implemented in clinical
practice. Given that consumers play a role in treatment selection, this study
sought to examine families' perspectives on FBT and remission markers associated
with increased treatment satisfaction across families. METHOD: Participants were
40 adolescents and 43 caregivers who received outpatient FBT. FBT helpfulness was
assessed using a treatment follow-up questionnaire, and eating disorder
symptomatology was assessed using percent expected body weight (%EBW) and the
eating disorder examination (EDE). Regression analyses were used to assess
whether changes in symptoms from baseline to end-of-treatment (EOT) were
significantly associated with helpfulness reports. RESULTS: On average, patients
and their parents perceived FBT as "quite helpful" and "extremely helpful,"
respectively. Improvements in all EDE subscales, with the exception of restraint,
were significantly associated with adolescent report of helpfulness (all p <
.05); increase in %EBW was significantly associated with maternal report of
helpfulness (p = .03). There were no significant findings for paternal report.
DISCUSSION: Both patients and their parents perceived FBT as helpful, but
patients seemed to prioritize cognitive improvements while mothers prioritized
physical improvements in rating their satisfaction with FBT.
PMID- 29637575
TI - The RNA-Seq-based high resolution gene expression atlas of chickpea (Cicer
arietinum L.) reveals dynamic spatio-temporal changes associated with growth and
development.
AB - Chickpea is one of the world's largest cultivated food legumes and is an
excellent source of high-quality protein to the human diet. Plant growth and
development are controlled by programmed expression of a suite of genes at the
given time, stage, and tissue. Understanding how the underlying genome sequence
translates into specific plant phenotypes at key developmental stages,
information on gene expression patterns is crucial. Here, we present a
comprehensive Cicer arietinum Gene Expression Atlas (CaGEA) across different
plant developmental stages and organs covering the entire life cycle of chickpea.
One of the widely used drought tolerant cultivars, ICC 4958 has been used to
generate RNA-Seq data from 27 samples at 5 major developmental stages of the
plant. A total of 816 million raw reads were generated and of these, 794 million
filtered reads after quality control (QC) were subjected to downstream analysis.
A total of 15,947 unique number of differentially expressed genes across
different pairwise tissue combinations were identified. Significant differences
in gene expression patterns contributing in the process of flowering, nodulation,
and seed and root development were inferred in this study. Furthermore,
differentially expressed candidate genes from "QTL-hotspot" region associated
with drought stress response in chickpea were validated.
PMID- 29637576
TI - The Effect of Geographic Proximity to Unconventional Oil and Gas Development on
Public Support for Hydraulic Fracturing.
AB - With the rapid growth of unconventional oil and natural gas development
transforming the U.S. economic and physical landscape, social scientists have
increasingly explored the spatial dynamics of public support for this issue-that
is, whether people closer to unconventional oil and gas development are more
supportive or more opposed. While theoretical frameworks like construal-level
theory and the "Not in My Backyard" (or NIMBY) moniker provide insight into these
spatial dynamics, case studies in specific locations experiencing energy
development reveal substantial variation in community responses. Larger-scale
studies exploring the link between proximity and support have been hampered by
data quality and availability. We draw on a unique data set that includes geo
coded data from national surveys (nine waves; n = 19,098) and high-resolution
well location data to explore the relationship between proximity and both
familiarity with and support for hydraulic fracturing. We use two different
measures of proximity-respondent distance to the nearest well and the density of
wells within a certain radius of the respondent's location. We find that both
types of proximity to new development are linked to more familiarity with
hydraulic fracturing, even after controlling for various individual and
contextual factors, but only distance-based proximity is linked to more support
for the practice. When significant, these relationships are similar to or exceed
the effects of race, income, gender, and age. We discuss the implications of
these findings for effective risk communication as well as the importance of
incorporating spatial analysis into public opinion research on perceptions of
energy development.
PMID- 29637577
TI - Are age and gender suitable matching criteria in organ dose reconstruction using
surrogate childhood cancer patients' CT scans?
AB - PURPOSE: The purpose of this work was to assess the feasibility of using
surrogate CT scans of matched patients for organ dose reconstructions for
childhood cancer (CC) survivors, treated in the past with only 2D imaging data
available instead of 3D CT data, and in particular using the current literature
standard of matching patients based on similarity in age and gender. METHODS:
Thirty-one recently treated CC patients with abdominal CT scans were divided into
six age- and gender-matched groups. From each group, two radiotherapy plans for
Wilms' tumor were selected as reference plans and applied to the age- and gender
matched patients' CTs in the respective group. Two reconstruction strategies were
investigated: S1) without field adjustments; S2) with manual field adjustments
according to anatomical information, using a visual check in digitally
reconstructed radiographs. To assess the level of agreement between the
reconstructed and the reference dose distributions, we computed (using a
collapsed cone algorithm) and compared the absolute deviation in mean and maximum
dose normalized by the prescribed dose (i.e., normalized errors |NEmean | and
|NE2cc |) in eight organs at risk (OARs): heart, lungs, liver, spleen, kidneys,
and spinal cord. Furthermore, we assessed the quality of a reconstruction case by
varying acceptance thresholds for |NEmean | and |NE2cc |. A reconstruction case
was accepted (i.e., considered to pass) if the errors in all OARs are smaller
than the threshold. The pass fraction for a given threshold was then defined as
the percentage of reconstruction cases that were classified as a pass.
Furthermore, we consider the impact of allowing to use a different CT scan for
each OAR. RESULTS: Slightly smaller reconstruction errors were achieved with S2
in multiple OARs than with S1 (P < 0.05). Among OARs, the best reconstruction was
found for the spinal cord (average |NEmean | and |NE2cc | <= 4%). The largest
average |NEmean | was found in the spleen (18%). The largest average |NE2cc | was
found in the left lung (26%). Less than 30% of the reconstruction cases (i.e.,
pass fraction) meet the criteria that |NEmean | < 20% and |NE2cc | < 20% in all
OARs when using age and gender matching and a single CT to do reconstructions.
Allowing other matchings and combining reconstructions for OARs from multiple
patients, the pass fraction increases substantially to more than 60%.
CONCLUSIONS: To conclude, reconstructions with small deviations can be obtained
by using CC patients' CT scans, making the general approach promising. However,
using age and gender as the only matching criteria to select a CT scan for the
reconstruction is not sufficient to guarantee sufficiently low reconstruction
errors. It is therefore suggested to include more features (e.g., height,
features extracted from 2D radiographs) than only age and gender for dose
reconstruction for CC survivors treated in the pre-3D radiotherapy planning era
and to consider ways to combine multiple reconstructions focused on different
OARs.
PMID- 29637578
TI - Role Of spinal mechanisms in the pathophysiology of the split hand sign in
amyotrophic lateral sclerosis.
PMID- 29637579
TI - Defect propagation in NiTi rotary instruments: a noncontact optical profilometry
analysis.
AB - AIM: To evaluate the presence and propagation of defects and their effects on
surfaces of nickel-titanium (NiTi) instruments using noncontact, three
dimensional optical profilometry, and to assess the accuracy of this method of
investigation. METHODOLOGY: The flute surface areas of instruments from two
commercial instrumentation systems, namely Reciproc R25 (n = 5) and WaveOne
Primary (n = 5), were assessed and compared before and after performing two
instrumentation cycles in simulated root canals in clear resin blocks. All the
analyses were conducted on areas measuring 211 * 211 MUm, located 3 mm from the
tips of the instruments. A quantitative analysis was conducted before and after
the first and second instrumentation cycles, using the Sa (average roughness over
the measurement field), Sq (root mean square roughness) and Sz (average height
over the measurement field) amplitude parameters. All the data were submitted to
statistical analysis at a 5% level of significance. RESULTS: There was a
significant increase (P = 0.007) in wear in both groups, especially between
baseline and the second instrumentation cycle, with significantly higher wear
values being observed on WaveOne instruments (Sz median values = 33.68 and 2.89
MUm, respectively, for WO and RP groups). A significant increase in surface
roughness (P = 0.016 and P = 0.008, respectively, for Sa and Sq) was observed in
both groups from the first to the second instrumentation cycle, mostly in WaveOne
specimens. Qualitative analysis revealed a greater number of defects on the flute
topography of all the instruments after use. CONCLUSIONS: More defects were
identified in WaveOne Primary instruments compared to Reciproc R25, irrespective
of the evaluation stage. The investigation method provided an accurate,
repeatable and reproducible assessment of NiTi instruments at different time
points.
PMID- 29637580
TI - The clinical utility of pharmacometric models.
PMID- 29637581
TI - Influence of Progenitor-Derived Regeneration Markers on Hepatitis C Virus-Related
Cirrhosis Outcome (ANRS CO12 CirVir Cohort).
AB - : Progenitor-derived regeneration gives rise to the aberrant expression of
biliary markers such as cytokeratin 7 (K7) and epithelial cell adhesion molecule
(EpCAM) in hepatocytes. We aimed to describe the expression of these molecules in
patients with compensated hepatitis C virus (HCV)-related cirrhosis and to
investigate its potential influence on cirrhosis complications. Among patients
with Child-Pugh A uncomplicated HCV-related cirrhosis enrolled in the prospective
ANRS CO12 CirVir cohort, we selected individuals with a liver biopsy collected
within 2 years before inclusion in the study. K7 and EpCAM immunostaining
identified intermediate hepatobiliary cells. The influence of biliary marker
expres-sion in hepatocytes on decompensation events and the occurrence of
hepatocellular carcinoma (HCC) was studied using a multivariate Cox proportional
hazards regression model. Among the 337 patients eligible for the study (men,
67%; median age, 52 years), 198 (58.8%) had biopsies with K7-positive hepatocytes
including extensive staining in 40 (11.9%) and 203 had EpCAM-positive hepatocytes
(60.6%). During follow-up (median, 54.2 months), 47 patients (14%) experienced a
decompensation event, and HCC was diagnosed in 37 patients (11%). Extensive K7
staining was independently associated with the occurrence of a decompensation
event (hazard ratio [HR], 3.00; 95% confidence interval [CI], 1.30-6.89; P =
0.010). EpCAM expression was independently associated with HCC occurrence (HR,
2.37; 95% CI, 1.07-5.23; P =0.033) along with age and a low prothrombin ratio.
CONCLUSION: Progenitor-derived regeneration depicted by K7 and EpCAM
immunostaining of hepatocytes in liver biopsies of patients with compensated HCV
related cirrhosis marks a cirrhosis stage more prone to develop complications.
(HEPATOLOGY 2018; 68:1534-1548).
PMID- 29637582
TI - Clinical bleeding and thrombin generation in admissions to critical care with
prolonged prothrombin time: an exploratory study.
AB - BACKGROUND: Prolongation of prothrombin time (PT) is often recorded in critical
illness, but has limited ability to predict risk of bleeding. This exploratory
study was aimed at assessing a role for thrombin generation (TG) to predict
bleeding. STUDY DESIGN AND METHODS: TG was measured by calibrated automated
thrombography in admissions to intensive care with prolonged PT. Bleeding events
were recorded up to Day 5 after enrollment and correlated with results of PT
ratio (PTR) and variables of TG. RESULTS: A total of 306 patients were recruited.
A total of 101 bleeding events developed in 46 patients during the period of
observation. Many patients with prolonged PT had endogenous thrombin potential
(ETP), which was within the normal range (120/251 patients, 47.8%) or even
elevated (8%). Although some patients had a reduction in ETP or peak thrombin,
these were present over a wide range of PTR. There was no suggestion by receiver
operating characteristic analysis that variables of conventional TG were
sensitive at predicting bleeding. No bleeding events were documented in patients
defined as ETP high, despite elevated PTR. CONCLUSION: Future studies need to
explore a role for alternatives tests of coagulation in critical illness.
Development of TG assays is required to positively identify more patients at
increased bleeding risk or to exclude a larger number at low risk and how this
relates to subgroups, such as patients with liver disease, and the need for
prophylactic plasma transfusion.
PMID- 29637583
TI - Parental psychosocial factors and childhood caries prevention: Data from an
American Indian population.
AB - OBJECTIVES: The objective of this study was to examine the association among
psychological and social variables reported by American Indian parents/caregivers
of preschool children and changes in their Oral Health Knowledge and Behaviors
related to care of their children's teeth. We also investigated the relationship
of these factors with progression of caries, as reflected by changes in their
children's dmfs. METHODS: The data used for this study were collected at baseline
in a clinical trial of an oral health promotion intervention comprising
behavioural and clinical interventions for caries prevention delivered by tribal
members on a large Southwestern American Indian reservation. Linear regression
analyses were performed for changes (baseline to Year 1) in dmfs, Oral Health
Knowledge and Oral Health Behavior scores, with baseline psychosocial measures,
taken individually, as the independent variables. RESULTS: Parents' attitudes and
beliefs were associated with increases in their Oral Health Knowledge and
Behavior and also with the progression of caries for their children. When all
participants were considered together, increases in children's dmfs were smaller
when the caregiver had higher Internal Oral Health Locus of Control (e = -1.33, P
= .004), higher Health Literacy (e = -1.55, P < .01), and higher Financial
Stability (e = -4.46, P = .03), and lower scores for the Barriers subscale (e =
1.57, P < .01) of the Health Belief Model. For parents in the Intervention group,
higher scores on Locus of Control, reflecting beliefs that chance, or other
people determine their children's oral health, were associated with larger
increases in Oral Health Knowledge (e = 1.73, P = .04) and Behaviors (e = 4.00, P
= .005). CONCLUSIONS: Prevention of early childhood caries in American Indian
children has proved to be especially challenging. Some of the measures identified
in this report may suggest promising directions to prevention through approaches
that build on competencies and skills to be learned and used within a context
more broadly focused on parenting and management of health and family challenges.
PMID- 29637584
TI - The glucagon-like peptide-1 receptor agonist Exendin-4, ameliorates contrast
induced nephropathy through suppression of oxidative stress, vascular dysfunction
and apoptosis independent of glycaemia.
AB - Contrast-induced nephropathy (CIN) is a leading cause of hospital-acquired acute
kidney injury, particularly in diabetic patients. Previous studies have shown
renoprotective effects of glucagon-like peptide-1 (GLP-1) signalling; however,
its role in CIN remains unexplored. This study investigates the prophylactic
effect of exendin-4, a GLP-1R agonist, against CIN in a rat model mimicking both
healthy and diabetic conditions. Animals were randomly divided into 7 groups: a
control sham group (n = 8), and 2 identical sets of 3 disease groups, one
received exendin-4 before exposure to contrast medium (CM), while the other
served as untreated control. The 3 disease groups represented diabetes (n = 8),
CIN (n = 8), or diabetes and CIN combined (n = 8). Untreated groups showed
deteriorating renal function as indicated by significantly higher levels of serum
creatinine and blood urea nitrogen, malondialdehyde, and endothelin-1 and caspase
3 expression compared to the sham control group. This was accompanied by a
significant decrease in tissue reserves of reduced glutathione, superoxide
dismutase, nitrate and endothelin nitric oxide synthase as well as deteriorating
renal histology. The CM-induced changes in diabetic rats indicate impaired renal
function, oxidative stress, vascular dysfunction, and apoptosis, and were
significance higher in intensity compared to non-diabetic rats. Pretreatment with
exendin-4 ameliorated all the aforementioned CM-induced nephropathic effects
independent of the glycemic state. To our knowledge, this is the first study
describing the prophylactic renoprotective effects of exendin-4 against CIN. With
the current pharmaceutical use of exendin-4 as a hypoglycaemic agent, the GLP-1R
agonist becomes an interesting candidate for human clinical trials on CIN
prevention.
PMID- 29637585
TI - Impact of HBV genotype and mutations on HBV DNA and qHBsAg levels in patients
with HBeAg-negative chronic HBV infection.
AB - BACKGROUND: HBV DNA and quantitative (q)HBsAg levels as prognostic markers for
HBV-related disease are mostly validated in Asia and their significance in
Western populations is uncertain. AIM: To analyse the impact of the HBV genotype
and frequent mutations in precore (PC), basal core promoter (BCP) and preS on HBV
DNA and qHBsAg levels. METHODS: HBV DNA and qHBsAg serum levels of 465 patients
with HBeAg-negative chronic HBV infection were correlated with the HBV genotype
and mutations in PC, BCP and preS. For a detailed analysis of the molecular
virology, genotype A2 genomes harbouring these mutations were analysed for
replication efficacy and HBsAg release in cell culture. RESULTS: While no impact
of the HBV genotype on HBV DNA levels was observed, qHBsAg levels differed up to
1.4 log among the genotypes (P < 0.001), reflected by large differences regarding
the 1000 IU/mL HBsAg cut-off. While PC mutations were associated with higher (P <
0.001), BCP mutations were associated with lower HBV DNA levels (P < 0.001).
Higher qHBsAg levels were associated with preS and lower levels with PC mutations
(P < 0.001 and P = 0.001, respectively). The cell culture experiments revealed a
higher HBsAg release and shorter filaments in case of a HBV genome harbouring a
preS deletion. In contrast, a perinuclear HBsAg accumulation was detected for the
PC and BCP-variants, reflecting an impaired HBsAg release. CONCLUSIONS: qHBsAg
serum levels depend on the HBV genotype and together with HBV DNA levels on
frequent mutations in PC, BCP and preS in HBeAg-negative patients. qHBsAg cut
offs when used as prognostic markers require genotype-dependent validation.
PMID- 29637586
TI - Influence Factors on Contrast Agent Venous Intravasation During Transvaginal 4
Dimensional Hysterosalpingo-Contrast Sonography.
AB - OBJECTIVES: To explore the risk factors on contrast agent venous intravasation
during transvaginal 4-dimensional hysterosalpingo-contrast sonography (TVS 4D
HyCoSy). METHODS: The TVS 4D-HyCoSy imaging data were collected from 276 female
infertile patients. The correlation between endometrial thickness, days after
menstruation, intrauterine intervention history, fallopian tubal patency degree,
and contrast agent venous intravasation, respectively, was analyzed. RESULTS: In
our study, the incidence of contrast agent venous intravasation was 13.04%.
Endometrial thickness and days after menstruation were significantly associated
with venous intravasation (P < .05). However, there was no significance for
intrauterine intervention history and fallopian tube patency degree. CONCLUSIONS:
Contrast agent intravasation during TVS 4D-HyCoSy is not infrequent. Performing
TVS 4D-HyCoSy according to endometrial thickness and menstrual period could
reduce intravasation incidence to some extent.
PMID- 29637587
TI - PD-L1 expression in tumour-infiltrating lymphocytes is a poor prognostic factor
for primary acral melanoma patients.
AB - AIMS: Programmed cell death protein 1-programmed death-ligand 1 (PD-L1) blockade
immunotherapy has shown notable therapeutic benefit in metastatic melanoma, but
the clinical relevance of PD-L1 expression remains unclear in melanoma,
especially in acral melanoma, which is the most common subtype in Asians. The aim
of this study was to evaluate the clinical effect of PD-L1 expression in primary
acral melanoma. METHODS AND RESULTS: We used immunohistochemistry to evaluate PD
L1 expression in tumour cells and tumour-infiltrating lymphocytes (TILs), and
analysed its associations with clinicopathological features and survival in 78
primary acral melanoma patients. We found that expression of PD-L1 in tumour
cells and TILs occurred exclusively in a tumour-stroma interface pattern,
consistent with the predominant pattern of TIL distribution. The presence of
peritumoral TILs was also associated with high PD-L1 expression in tumour cells.
Furthermore, PD-L1 expression in tumour cells and that in TILs showed a close
relationship (Spearman's rho = 0.381, P = 0.001). However, neither PD-L1
expression in tumour cells nor that in TILs was significantly correlated with
clinicopathological features. In univariate analysis, cases with PD-L1-positive
TILs had significantly poorer survival than those with PD-L1-negative TILs
(median disease-specific survival of 40.7 months versus 78.0 months; P = 0.008).
In multivariate analysis, PD-L1 expression in TILs was an independent factor for
poor prognosis (P = 0.032), whereas PD-L1 expression in tumour cells was not
significantly correlated with survival in univariate analysis (P = 0.378) and
multivariate analysis (P = 0.354). CONCLUSION: This is the first study to
demonstrate that PD-L1 expression in TILs, but not that in tumour cells, is an
independent predictor of poor prognosis in acral melanoma.
PMID- 29637589
TI - Multiplatform Genomic Roadmap of Hepatocellular Carcinoma: A Matter of Molecular
Heterogeneity.
PMID- 29637588
TI - Population pharmacokinetics of tacrolimus in children with nephrotic syndrome.
AB - AIMS: Nephrotic syndrome (NS) is the most common clinical manifestation of
glomerular disease in children. Currently, tacrolimus (TAC) is widely used in
children with NS. However, pharmacokinetic data in children with nephrotic
syndrome is limited. This study was intended to evaluate the population
pharmacokinetics (PPK) of TAC in paediatric NS and to optimize dosing regimen.
METHODS: Blood samples from NS children treated with TAC were collected and the
blood concentrations of TAC were detected using HPLC-MS/MS. A PPK model was
developed using NONMEM software. Pharmacogenetic analysis was carried out in the
CYP3A5 gene. RESULTS: The data from 28 children were used for PPK analysis. A one
compartment model and first-order elimination were accorded with the TAC data in
paediatric NS. A covariate analysis showed that body weight and CYP3A5 genotype
significantly affected TAC pharmacokinetics. Monte Carlo simulation indicated
that NS children with CYP3A5*3/*3 receiving 0.10 mg kg-1 dose-1 twice daily and
NS children with CYP3A5*1 receiving 0.25 mg kg-1 dose-1 twice daily TAC could
achieve the target concentrations of 5-10 ng ml-1 . CONCLUSION: The PPK of TAC
was estimated in children with NS and a CYP3A5 genotype-based dosing regimen was
set up based on simulations.
PMID- 29637590
TI - Cannabis for Chronic Pain: Challenges and Considerations.
AB - The National Academies of Sciences, Engineering, and Medicine has found
substantial evidence that cannabis (plant) is effective for the treatment of
chronic pain in adults, and moderate evidence that oromucosal cannabinoids
(extracts, especially nabiximols) improve short-term sleep disturbances in
chronic pain. The paradoxical superiority of the cannabis plant over cannabinoid
molecules represents a challenge for the medical community and the established
processes that define modern pharmacy. The expanding and variable legalization of
cannabis in multiple states nationwide represents an additional challenge for
patients and the medical community because recreational and medicinal cannabis
are irresponsibly overlapped. Cannabis designed for recreational use (containing
high levels of active ingredients) is increasingly available to patients with
chronic pain who do not find relief with current pharmacologic entities, which
exposes patients to potential harm. This article analyzes the available
scientific evidence to address controversial questions that the current state of
cannabis poses for health care professionals and chronic pain patients and sets
the basis for a more open discussion about the role of cannabis in modern
medicine for pain management. A critical discussion on these points, the legal
status of cannabis, and considerations for health care providers is presented.
PMID- 29637591
TI - Threshold Evaluation of Emergency Risk Communication for Health Risks Related to
Hazardous Ambient Temperature.
AB - Emergency risk communication (ERC) programs that activate when the ambient
temperature is expected to cross certain extreme thresholds are widely used to
manage relevant public health risks. In practice, however, the effectiveness of
these thresholds has rarely been examined. The goal of this study is to test if
the activation criteria based on extreme temperature thresholds, both cold and
heat, capture elevated health risks for all-cause and cause-specific mortality
and morbidity in the Minneapolis-St. Paul Metropolitan Area. A distributed lag
nonlinear model (DLNM) combined with a quasi-Poisson generalized linear model is
used to derive the exposure-response functions between daily maximum heat index
and mortality (1998-2014) and morbidity (emergency department visits; 2007-2014).
Specific causes considered include cardiovascular, respiratory, renal diseases,
and diabetes. Six extreme temperature thresholds, corresponding to 1st-3rd and
97th-99th percentiles of local exposure history, are examined. All six extreme
temperature thresholds capture significantly increased relative risks for all
cause mortality and morbidity. However, the cause-specific analyses reveal
heterogeneity. Extreme cold thresholds capture increased mortality and morbidity
risks for cardiovascular and respiratory diseases and extreme heat thresholds for
renal disease. Percentile-based extreme temperature thresholds are appropriate
for initiating ERC targeting the general population. Tailoring ERC by specific
causes may protect some but not all individuals with health conditions
exacerbated by hazardous ambient temperature exposure.
PMID- 29637592
TI - Early weight loss predicts weight loss treatment response regardless of binge
eating disorder status and pretreatment weight change.
AB - OBJECTIVE: Individuals seeking weight loss treatment have diverse pretreatment
weight trajectories, and once enrolled, individuals' response to weight loss
treatments also varies greatly and may be influenced by the presence of binge
eating disorder (BED). Reported average weight losses may obscure these
considerable differences. This study examined whether BED status and different
weight-related change variables are associated with successful weight loss
treatment outcomes in a controlled treatment study. METHOD: Participants (N = 89)
with overweight/obesity, with and without BED, participated in a 3-month weight
loss trial in primary care with 3- and 12-month follow-ups. We tested the
prognostic significance of four weight-related change variables (the last supper,
early weight loss, pretreatment weight trajectory, weight suppression) on
outcomes (weight loss-overall, weight loss-"subsequent," weight loss during
second half of treatment). RESULTS: Early weight loss was positively associated
with weight loss-overall at post-treatment, and at 3-month and 12-month follow
up. Early weight loss was positively associated with weight loss-subsequent at
post-treatment only. No other weight-related variables were significantly
associated with weight loss. Models including BED status and treatment condition
were not significant. DISCUSSION: Participants with early weight loss were more
likely to continue losing weight, regardless of BED status or treatment
condition. The results highlight the importance of early dedication to weight
loss treatment to increase the likelihood of positive outcomes.
PMID- 29637594
TI - Reply to "epidemiology of autoimmune versus infectious encephalitis".
PMID- 29637595
TI - Making a master filterer: Ontogeny of specialized filtering plates in silver carp
(Hypophthalmichthys molitrix).
AB - Filter feeding fishes possess several morphological adaptations necessary to
capture and concentrate small particulate matter from the water column. Filter
feeding teleosts typically employ elongated and tightly packed gill rakers with
secondary bony or epithelial modifications that increase filtering efficiency.
The gill rakers of Hypophthalmichthys molitrix, silver carp, are anatomically
distinct from and more complex than the filtering apparatus of other teleostean
fishes. The silver carp filtering apparatus is composed of biserial, fused
filtering plates used to capture particles ranging in size from 4 to 80 MUm.
Early in ontogeny, at 15-25 mm standard length (SL), silver carp gill rakers are
reminiscent of other more stereotypical teleostean rakers, characterized by
individual lanceolate rakers that are tightly packed along the entirety of the
branchial arches. At 30 mm SL, secondary epithelial projections and concomitant
dermal ossification begin to stitch together individual gill rakers. During later
juvenile stages, dermal bone further modifies the individual gill rakers and
creates a bony scaffold that supports the now fully fused and porous epithelium.
By adulthood, the stitching of bone and complete fusion of the overlying
epithelium creates rigid filtering plates with morphologically distinct faces.
The inner face of the plates is organized into a net-like matrix while the outer
face has a sponge-like appearance comprised of differently sized pores. Here, we
present morphological data from an ontogenetic series of the filtering apparatus
within silver carp. These data inform hypotheses regarding both how these gill
raker plates may have evolved from a more basal condition, as well as how this
novel architecture allows this species to feed on exceedingly small
phytoplankton, particles that represent a greater filtering challenge to the
typical anatomy of the gill rakers of fishes.
PMID- 29637593
TI - Pharmacological treatments for alleviating agitation in dementia: a systematic
review and network meta-analysis.
AB - AIMS: To determine the most efficacious and acceptable treatments of agitation in
dementia. METHODS: MEDLINE, EMBASE, PsycINFO, CENTRAL and clinicaltrials.gov were
searched up to 7 February 2017. Two independent reviewers selected randomized
controlled trials (RCTs) of treatments to alleviate agitation in people with all
types dementia. Data were extracted using standardized forms and study quality
was assessed using the revised Cochrane Risk of Bias Tool for RCTs. Data were
pooled using meta-analysis. The primary outcome, efficacy, was 8-week response
rates defined as a 50% reduction in baseline agitation score. The secondary
outcome was treatment acceptability defined as treatment continuation for 8
weeks. RESULTS: Thirty-six RCTs comprising 5585 participants (30.9% male; mean +/
standard deviation age, 81.8 +/- 4.9 years) were included.
Dextromethorphan/quinidine [odds ratio (OR) 3.04; 95% confidence interval (CI),
1.63-5.66], risperidone (OR 1.96; 95% CI, 1.49-2.59) and selective serotonin
reuptake inhibitors as a class (OR 1.61; 95% CI, 1.02-2.53) were found to be
significantly more efficacious than placebo. Haloperidol appeared less
efficacious than nearly all comparators. Most treatments had noninferior
treatment continuation compared to placebo, except oxcarbazepine, which was
inferior. Findings were supported by subgroup and sensitivity analyses.
CONCLUSIONS: Risperidone, serotonin reuptake inhibitors as a class and
dextromethorphan/quinidine demonstrated evidence of efficacy for agitation in
dementia, although findings for dextromethorphan/quinidine were based on a single
RCT. Our findings do not support prescribing haloperidol due to lack of efficacy,
or oxcarbazepine due to lack of acceptability. The decision to prescribe should
be based on comprehensive consideration of the benefits and risks, including
those not evaluated in this meta-analysis.
PMID- 29637596
TI - Trends in prevalence of thinness, overweight and obesity among Swedish children
and adolescents between 2004 and 2015.
AB - AIM: This study explored weight trends among children aged 4, 7, 11, 14 and 17
years in Jonkoping County Sweden, from 2004 to 2015. METHODS: The study had a
repeated cross-sectional design, and body mass index (BMI) was calculated based
on height and weight measurements collected from child health and school health
records. The prevalence of thinness, overweight and obesity was estimated with
international cut-offs, with linear trends calculated separately for boys and
girls. RESULTS: There were 190 965 measurements of BMI and these covered 82-97%
of the younger children and 55-69% of the older children during the study period.
The prevalence of thinness varied between 0.2% and 2.2% across time and age
groups and did not change over the study period. There was a small decrease in
overweight among both girls and boys aged four years. There were increasing
trends in overweight and obesity in both girls and boys aged 11 and 14 years of
age and a sharp increase among 17-year-old boys, with 7.3% obese in 2014/2015 and
3.6% in 2004/2005. CONCLUSION: The prevalence of obesity decreased from 2004 to
2015 or was stable in younger Swedish children, but increased among older
children, with a large increase in adolescent boys.
PMID- 29637599
TI - Metastatic tumor cells detection and anti-metastatic potential with vesicular
stomatitis virus in immunocompetent murine model of osteosarcoma.
AB - Sarcomas are associated with a high incidence of lung metastasis, which leads to
a high-risk of cancer death. This study was performed to explore the pre-clinical
theranostic potential of a novel fully functional recombinant vesicular
stomatitis virus carrying imaging gene Katushka (rVSV-K), as virotherapy and
circulating tumor cells (CTCs) detection in the syngeneic mouse model of
osteosarcoma with spontaneous pulmonary metastases. Recombinant VSV-K was
generated and evaluated in vitro on human and murine osteosarcoma cells.
Spontaneous osteosarcoma metastases were established in immune-competent mice by
implanting subcutaneously syngeneic osteosarcoma LM8 cells. The vector was
injected into the tumor-bearing mice via jugular vein either once or repeatedly.
To assess effectiveness, primary tumor growth and development of lung metastasis
as well as survival were evaluated. We found that rVSV-K efficiently replicated
in and killed all osteosarcoma cell lines in time-dependent manners. Both single
or repeated systemic injections of the virus did not inhibit the growth of the
primary tumor, but the repeated administration could effectively suppress the
development of lung metastases and was likely responsible for the observed
increase in survival. Furthermore, we demonstrated, for the first time, that CTCs
in blood samples from syngeneic osteosarcoma-bearing mice were successfully
detected by utilizing rVSV-K ex vivo. Our results show that repeated systemic
injections of rVSV-K are an effective anti-metastatic agent against osteosarcoma
in immune-competent mice and this virus to be a useful tool for detection of
osteosarcoma CTCs, suggesting that further development of future viral-based
theranostic approach in patients with osteosarcoma is warranted. (c) 2018
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
36:2562-2569, 2018.
PMID- 29637597
TI - Ablation of Dnmt3b in chondrocytes suppresses cell maturation during embryonic
development.
AB - DNA methylation is a major mode of epigenetic regulation in the mammalian genome
and is essential for embryonic development. The three catalytic DNA
methyltransferases (Dnmts), Dnmt1, Dnmt3a, and Dnmt3b, catalyze the methylation
of cytosine. Dnmt3b is highly expressed in chondrocytes and global knockout of
Dnmt3b led to skeletal deformations and embryonic lethality, suggesting an
essential role of Dnmt3b in endochondral bone formation. To further define the
role of Dnmt3b in skeletal development, Dnmt3b was deleted in Col2 positive
chondrocyte lineage cells. Both axial and appendicular skeletal size were reduced
and bone mineralization was delayed in Col2Cre+ ;Dnmt3bf/f (Dnmt3bCol2 ) mice at
E14.5 and E18.5. While Alcian Blue Hematoxylin/Orange G (ABH/OG) staining showed
normal chondrocyte columns in control growth plates, the length of hypertrophic
chondrocyte zone and type X collagen expression were decreased in E18.5 growth
plates from Dnmt3bCol2 mice. TUNEL and PCNA staining demonstrated that the delay
in chondrocyte maturation observed in the Dnmt3bCol2 growth plates was not
secondary to altered chondrocyte apoptosis or proliferation. Complementary in
vitro experiments were performed on primary sternal chondrocytes isolated from
control and Dnmt3bCol2 mice. Gene expression studies confirmed delayed terminal
maturation as Mmp13 and Col10a1 expression was down-regulated in Dnmt3bCol2
chondrocytes. In addition, alkaline phosphatase (ALP) and Alizarin Red staining
confirmed that Dnmt3b deletion in chondrocytes delays in vitro chondrocyte
hypertrophic differentiation and matrix mineralization. Mechanistically, Dnmt3b
gene deletion resulted in decreased BMP signaling through reduction of Smad1
phosphorylation. These findings show that epigenetic factor, Dnmt3b is necessary
for normal chondrocyte hypertrophic maturation and limb development.
PMID- 29637600
TI - The involvement of Kav001 in inhibition of LPS/P. gingivalis-induced.
AB - TNF-a is an important cytokine mediator of inflammation which suggests that
inhibition of TNF activity may provide potential for clinical application. Recent
data indicated that treatment of both human and mouse cells with Kavain
significantly modulates P. gingivalis- and LPS-induced TNF-alpha expression. In
order to obtain a selective analog with optimized biological activity and
structural physico-chemical properties of Kavain, Kavain analogs were designed
and synthesized and found one Kavain analogue (named Kav001) that is similar to
Kavain but soluble and does not induce a significant toxicity. Both studies in
vitro and in vivo treatment by Kav001 showed stronger biological function as
compared to Kavain. Furthermore, most mouse bone marrow macrophages up-regulated
Bcl-6 while down-regulating LITAF expression after treatment with Kav001 for 36
h. Consequently, this led to an extension of macrophage pseudopods due to its
immune response to P.g. infection/LPS stimulation.
PMID- 29637601
TI - Blood pressure and risk of incident Alzheimer's disease dementia by
antihypertensive medications and APOE epsilon4 allele.
AB - OBJECTIVE: To examine the association of blood pressure (BP) with incident
Alzheimer's disease (AD) dementia. METHODS: This work is based on a longitudinal,
cohort study of 18 years, the Chicago Health and Aging Project (CHAP) performed
in 2,137 participants (55% black) with systolic BP measured around 8.1 years
before incident AD dementia. RESULTS: The association of BP with risk of AD
dementia was U-shaped, with the lowest risks of AD dementia near the center of
the systolic BP (SBP) and diastolic BP (DBP) distributions, and modestly elevated
risk at lower BPs, and greater risk at higher BPs. The degree of U-shape and the
range of lowest risk (threshold ranges) varied with antihypertensive medication
use and presence of the APOE epsilon4 allele. The U-shape was most prominent for
the subgroup not taking antihypertensive medications and having an APOE epsilon4
allele. At higher BPs, those having the APOE epsilon4 allele and not receiving
antihypertensive medication were at greater risk of AD dementia than other
groups: The risk of incident AD dementia increased by 100% (relative risk [RR] =
2.00; 95% confidence interval [CI] = 1.70, 2.31) for every 10 mm Hg increase in
SBP above 140 mm Hg. For DBP, the risk of incident of AD dementia increased by
57% (RR = 1.57; 95% CI = 1.33, 1.86) for every 5 mm Hg increase in DBP above 76
mm Hg. INTERPRETATION: The BP risk of AD dementia association is U-shaped, with
elevated risk at lower and higher BPs. People having the APOE epsilon4 allele and
not receiving antihypertensive medication with higher BPs have notably elevated
risk of AD dementia. Ann Neurol 2018;83:935-944.
PMID- 29637602
TI - Significance of Notch and Wnt signaling for chemoresistance of colorectal cancer
cells HCT116.
AB - 5-fluorouracil (5-FU) and oxaliplatin (OxaPt) are the main chemotherapeutics for
colorectal cancer (CRC). Chemotherapy response rates for advanced CRC remain low,
primarily due to intrinsic or acquired chemoresistance. The importance of Notch
and Wnt signaling for carcinogenesis of CRC as well as crosstalk of Notch and Wnt
signaling with many oncogenic signaling pathways suggest that Notch and Wnt
pathways could be responsible for chemoresistance. In this study, we compared
changes in Notch and Wnt signaling after 5-FU and OxaPt treatment in CRC cells
HCT116 and its chemoresistant sublines HCT116/FU and HCT116/OXA. The levels of
Notch1 receptor intracellular domain NICD1 and non-phosphorylated beta-catenin,
the reporters of Notch and Wnt signaling, were upregulated in untreated
chemoresistant HCT116/FU and HCT116/OXA cells. Our data suggest that Notch
inhibitor RO4929097 (RO) and Wnt inhibitor XAV939 (XAV) enhance the survival
potential of OxaPt-treated cells. The protein level of Notch target gene HES1 was
significantly upregulated in chemoresistant HCT116/FU and HCT116/OXA cells,
compared to HCT116. HES1 silencing increased viability of HCT116 and its
chemoresistant sublines after 5-FU or OxaPt treatment. The results of HES1
downregulation coincide with RO and XAV effects on cell viability of OxaPt
treated cells.
PMID- 29637603
TI - A possible connection between childhood pain, joint hypermobility and
Neurodevelopmental disorders.
PMID- 29637604
TI - Funding research to change lives: exploring the impact of Diabetes UK research.
PMID- 29637605
TI - Epidemiology of autoimmune versus infectious encephalitis.
PMID- 29637606
TI - Development problems were common five years after positive screening for language
disorders and, or, autism at 2.5 years of age.
AB - AIM: This study identified whether children who had screened positive for either
developmental language disorder (DLD) or autism spectrum disorder (ASD) at the
age of 2.5 years had neurodevelopmental assessments five years later. METHODS:
Our study cohort were 288 children born from 1 July 2008 to 20 June 2009 who
screened positive for DLD and, or, ASD at 2.5 years. Of these, 237 children were
referred to, and assessed, at the Paediatric Speech and Language Pathology clinic
(n = 176) or the Child Neuropsychiatry Clinic (n = 61) at the Queen Silvia
Children's Hospital, Gothenburg, Sweden. Clinical registers covering all relevant
outpatient clinics were reviewed five years later with regard to established
diagnoses. RESULTS: When the 237 were followed up five years later, 96 (40%) had
established neurodevelopmental disorders or problems, often beyond DLD and ASD.
Co-existing problems were common in this cohort and multidisciplinary assessments
were indicated. The other 60% did not appear in subsequent clinic records. It is
likely that this 40% was a minimum rate and that more children will be referred
for developmental problems later. CONCLUSION: Five years after they had been
screened positive for DLD and, or autism at 2.5 years, 40% of our cohort had
remaining or other developmental problems.
PMID- 29637608
TI - Sotagliflozin: a dual sodium-glucose co-transporter-1 and -2 inhibitor for the
management of Type 1 and Type 2 diabetes mellitus.
AB - AIMS: To evaluate the evidence for the novel dual sodium-glucose co-transporter-1
(SGLT1) and -2 (SGLT2) inhibitor, sotagliflozin, which may enhance the efficacy
of SGLT2 inhibitors by additionally reducing intestinal glucose absorption.
METHODS: The search terms 'sotagliflozin', 'LX4211', 'SGLT' and 'diabetes' were
entered into PubMed. Evidence for the pharmacokinetics, pharmacodynamics, safety
and efficacy of sotagliflozin in Type 1 and 2 diabetes was extracted from the
retrieved literature, critically evaluated, and contextualized in relation to
data on existing SGLT2 inhibitors. RESULTS: There is convincing evidence from a
range of phase II and III clinical trials that sotagliflozin significantly
improves glycaemic control in both Type 1 and Type 2 diabetes. Additional
benefits, such as smaller postprandial plasma glucose excursions, lower insulin
requirements, appetite suppression and weight loss have been documented. While
this is encouraging, several safety concerns remain; a dose-dependent increase in
the rate of diabetic ketoacidosis, diarrhoea and genital mycotic infection is
apparent, although statistical exploration of the data regarding such events is
currently lacking. Speculatively, use of a 200-mg rather than a 400-mg dose may
help to limit unwanted effects. CONCLUSIONS: The current evidence for
sotagliflozin in diabetes appears promising. Further studies sufficiently powered
to assess present and emerging safety concerns, as well as to identify
individuals for whom sotagliflozin may be of particular benefit/harm would now be
informative for regulatory decision-making. Direct comparisons with existing
SGLT2 inhibitors are also needed to determine relative safety/efficacy profiles
for the different indications.
PMID- 29637609
TI - MicroRNA-29a regulates neural stem cell neuronal differentiation by targeting
PTEN.
AB - Neural stem cells (NSCs) are self-renewing, pluripotent, and undifferentiated
cells which have benefits as candidates for central nervous system (CNS) injury.
However, the transplanted NSCs usually maintain their undifferentiated
characteristics, or differentiated potentially along the glial lineage after
transplantation. MicroRNAs (miRNAs) are small, non-coding RNAs that play key
roles in cell differentiation. However, it is unknown whether miR-29a could play
a role in the process of NSC's differentiation. Primary NSCs were derived from
rat embryonic cortex. Lentiviral vector-mediated miR-29a (LV-miR-29a) and
negative control (LV-null) were infected into NSCs. Quantitative real-time PCR
was used to detect expression of miR-29a and PTEN. Immunocytochemistry was used
to stain neurons, astrocytes, and oligodendrocytes. Dual luciferase assay to
study the interaction between miR-29a and PTEN. The current study showed that the
expression of miR-29a was upregulated during NSC differentiation, while the
expression of PTEN was downregulated during NSC differentiation. After infection
with LV-miR-29a, MAP-2-positive neurons significantly increased, and GFAP
positive astrocytes significantly decreased. Furthermore, we demonstrated that
PTEN is a molecular target of miR-29a. miR-29a promote the neuronal
differentiation and decrease the astrocytes differentiation of NSCs via targeting
PTEN. This may give insight into a novel mechanism of NSC differentiation and
provide a promising therapeutic target.
PMID- 29637607
TI - Overexpression of antimicrobial peptides contributes to aging through cytotoxic
effects in Drosophila tissues.
AB - The innate immune response tends to become hyperactive and proinflammatory in
older organisms. We investigated connections between activity of the immune
related genes and aging using the Drosophila model. A hallmark of Drosophila
immunity is the production of antimicrobial peptides (AMP), whose expression is
triggered via activation of the Toll and Imd immune pathways and regulated by NF
KB-like transcription factors, Dif/Dorsal and Relish. It was previously shown
that overexpression of the upstream component of the immune pathways shortens
lifespan via activation of the Relish-dependent immune response. Here we show
that direct overexpression of the Relish target AMP genes broadly at high levels
or in the fat body induced apoptosis, elicited depolarization of the mitochondria
and significantly shortened lifespan. Underexpression of Relish in the fat body
beginning in the second half of lifespan prevented overactivation of AMPs and
extended longevity. Unlike infection-induced responses, the age-related increase
in AMPs does not require the upstream recognition/transduction module of the Imd
pathway. It does however require downstream elements, including Relish and Ird5,
a component of the downstream IKK complex. Together, these results established
causal links between high-level production of antimicrobial peptides and
longevity.
PMID- 29637610
TI - Fibril deformation under load of the rabbit Achilles tendon and medial collateral
ligament femoral entheses.
AB - Microscopic visualization under load of the region connecting ligaments/tendons
to bone, the enthesis, has been performed previously; however, specific
investigation of individual fibril deformation may add insight to such studies.
Detailed visualization of fibril deformation would inform on the mechanical
strategies employed by this tissue in connecting two mechanically disparate
materials. Clinically, an improved understanding of enthesis mechanics may help
guide future restorative efforts for torn or injured ligaments/tendons, where the
enthesis is often a point of weakness. In this study, a custom ligament/tendon
enthesis loading device was designed and built, a unique method of sample
preparation was devised, and second harmonic and two-photon fluorescence
microscopy were used to capture the fibril-level load response of the rabbit
Achilles tendon and medial collateral ligament femoral entheses. A focus was
given to investigation of the mechanical problem of fibril embedment. Resultant
images indicate a rapid (occurring over approximately 60 MUm) change in fibril
orientation at the interface of ligament/tendon and calcified fibrocartilage
early in the loading regime, before becoming relatively constant. Such a change
in fibril angle helps confirm the materially graded region demonstrated by
others, while, in this case, providing additional insight into fibril bending. We
speculate that the scale of the mechanical problem (i.e., fibril diameters being
on the order of 250 nm) allows fibrils to bend over the small (relative to the
imaging field of view, but large relative to fibril diameter) distances observed;
thus, potentially lessening required embedment lengths. Nevertheless, this
behavior merits further investigation to be confirmed. (c) 2018 Orthopaedic
Research Society. Published by Wiley Periodicals, Inc. J Orthop Res 36:2506-2515,
2018.
PMID- 29637611
TI - The changing face of hematopoiesis: a spectrum of options is available to stem
cells.
AB - For more than 30 years, the scheme whereby bone marrow hematopoietic stem cells
give rise to the many different types of blood and immune cells has been
represented as a lineage tree diagram. In this model, hematopoietic stem cells
follow a preferred route to each of the end-cell types and gradually restrict
their other lineage options via a series of intermediate oligo-potent
progenitors. Recent findings of lineage biases or affiliations within
hematopoietic stem and progenitor cells that are either pluripotent or uni-potent
show that a continuum of fate options is open to hematopoietic stem cells. These
results support the view that in order to close down developmental options,
hematopoietic stem cells can make an immediate lineage choice rather than become
gradually committed as they progress step-wise through a series of intermediate
progenitors. In this scenario, there is inherent versatility in that developing
cells are still able to move sideways to adopt an alternative lineage fate. Here,
we examine the information that is leading toward this very different viewpoint
of blood cell development.
PMID- 29637612
TI - A preliminary study of growth characteristics of melanocytes co-cultured with
keratinocytes in vitro.
AB - To clarify the characteristic growth of melanocytes (MCs) and Keratinocytes (KCs)
in vitro and discuss the mechanism of culturing autologous melanocytes in the
treatment of vitiligo. Epidermis cells derived from normal skin tissues were
isolated and cultured in vitro. Melanocytes in DOPA staining were observed. The
expression level of markers in MCs was detected by qRT-PCR and the percentage of
MCs and KCs were detected by flow cytometry. Cells derived from normal skin
tissues mainly included KCs, MCs, and fibroblasts. There were significant
differences between the percentage of KC, MC, fibroblasts (P < 0.05), and the
expression of Microphthalmia-associated transcription factor (P < 0.05) and
Tyrosinase-related protein-2 (P < 0.05) in the second, 10th, 20th, and 30th day.
Significant differences were also found between the average numbers of MC stained
by DOPA (P < 0.05) and the average percentage of MCs in the 10th, 20th, and 30th
Day (P < 0.05). But there were no significant differences between the average
percentage of KCs in the 10th, 20th, and 30th Day (P > 0.05) detected by flow
cytometry. The number of MCs co-cultured with KCs in vitro reached the maximum in
the 20th Day and this co-cultured model may contribute to the growth of MCs which
could be used in the treatment of vitiligo.
PMID- 29637613
TI - Dual silencing of EGFR and HER2 enhances the sensitivity of gastric cancer cells
to gefitinib.
AB - Gefitinib exhibits very limited efficacy in gastric cancer (GC). Indeed, the
limited clinical results obtained with gefitinib alone justify investigation of
additional therapeutic strategies. Here, we demonstrate the importance of EGFR
and HER2 in GC malignancy using RNA interference (RNAi). Additionally, we
explored the ability of RNAi targeting EGFR and HER2 to enhance the sensitivity
of GC cells to gefitinib. Specific small interfering RNAs (siRNAs) significantly
inhibited mRNA and protein expression of target genes. EGFR-specific siRNA,
EGFR/HER2 siRNAs, and gefitinib inhibited growth and induced apoptosis in GC cell
lines in a dose-dependent manner. In contrast, resistance to HER2-siRNA-induced
growth inhibition and apoptosis was linked to compensatory activation of EGFR.
Moreover, gefitinib dramatically reduced p-EGFR and p-HER2 levels in the cell
lines tested, and sensitivity to gefitinib was enhanced through dual silencing of
EGFR and HER2 via suppression of AKT and ERK activation. These findings are in
agreement with the profound inhibitory effect of gefitinib on activation of both
EGFR and HER2. Overall, EGFR/HER2 knockdown by siRNAs further decreased the
growth of GC cells treated with gefitinib alone, confirming that single-agent
drug targeting does not achieve a maximal biological effect. The combination of
gefitinib with EGFR/HER2 siRNAs should be further investigated as a new strategy
for the treatment of GC and other EGFR/HER2-dependent cancers.
PMID- 29637614
TI - Development of stability indicating HPLC method for the separation and validation
of enantiomers of miconazole.
AB - A selective and sensitive stability indicting HPLC method was developed for the
analysis of enantiomers of miconazole. For this purpose, six different
polysaccharide-based chiral columns were evaluated. Optimization was performed
using several polar organic and alcohol-hydrocarbon mobile phases. As a result of
optimization studies, the analysis was carried out using Lux Cellulose-3,
methanol as a mobile phase at a flow rate of 1 mL.min-1 , and the detection
wavelength was arranged to 230 nm. Developed method has been fully validated
according to International Council on Harmonization guidelines. Method was found
linear in the concentration range of 1 to 200 MUg.mL-1 . Coefficient of
determination (R2 ) was calculated as 0.9996, intraday precision of the method
was found with the RSD% of 0.56, and the recovery of the method was calculated
close to 100%. Furthermore, some other validation parameters like specificity,
selectivity, LOD, and LOQ were also investigated. Stability indicating capability
of this method was shown by forced degradation studies, and the run time for each
analysis was less than 6 minutes. As a result, simple, fast, reliable HPLC method
was developed for the separation and determination of the enantiomers of
miconazole. Applicability of the developed method was shown with the application
of marketed pharmaceutical preparations.
PMID- 29637615
TI - Cardiomyogenic differentiation of human adipose-derived mesenchymal stem cells
transduced with Tbx20-encoding lentiviral vectors.
AB - Ischemic heart disease often results in myocardial infarction and is the leading
cause of mortality and morbidity worldwide. Improvement in the function of
infarcted myocardium is a main purpose of cardiac regenerative medicine. One
possible way to reach this goal is via stem cell therapy. Mesenchymal stem cells
(MSCs) are multipotent stromal cells that can differentiate into a variety of
cell types but display limited cardiomyogenic differentiation potential. Members
of the T-box family of transcription factors including Tbx20 play important roles
in heart development and cardiomyocyte homeostasis. Therefore, in the current
study, we investigated the potential of Tbx20 to enhance the cardiomyogenic
differentiation of human adipose-derived MSCs (ADMSCs). Human ADMSCs were
transduced with a bicistronic lentiviral vector encoding Tbx20 (murine) and the
enhanced green fluorescent protein (eGFP) and analyzed 7 and 14 days post
transduction. Transduction of human ADMSCs with this lentiviral vector increased
the expression of the cardiomyogenic differentiation markers ACTN1, TNNI3, ACTC1,
NKX2.5, TBX20 (human), and GATA4 as revealed by RT-qPCR. Consistently,
immunocytological results showed elevated expression of alpha-actinin and cardiac
troponin I in these cells in comparison to the cells transduced with control
lentiviral particles coding for eGFP alone. Accordingly, forced expression of
Tbx20 exerts cardiomyogenic effects on human ADMSCs by increasing the expression
of cardiomyogenic differentiation markers at the RNA and protein level.
PMID- 29637616
TI - ATG12 expression quantitative trait loci associated with head and neck squamous
cell carcinoma risk in a Chinese Han population.
AB - Autophagy is an essential process to maintain cellular homeostasis and functions,
which has been demonstrated to play an important role in the different stages of
tumorigenesis. To evaluate whether the genetic variants in autophagy-related
genes influence the head and neck squamous cell carcinoma (HNSCC) risk, we
conducted a case-control study to analyze 11 tagging single nucleotide
polymorphisms (SNPs) of three core autophagosome formation genes (ATG5, ATG12,
and ATG16L1) with 576 HNSCC cases and 1552 healthy controls among Chinese
population. Finally, we identified that rs26537 of ATG12 (additive model:
adjusted odds ratio [OR] = 1.19, 95% confidence interval [CI] = 1.03-1.37, P =
0.017) and rs4663402 in ATG16L1 (additive model: adjusted OR = 1.39, 95%CI = 1.08
1.80, P = 0.010) were significantly associated with the increased risk of HNSCC.
However, no association was detected between other SNPs and HNSCC risk. The
results of expression quantitative trait loci (eQTL) analysis based on Genotype
Tissue Expression (GTEx) accessible data, showed that the risk allele of rs26537
was significantly associated with up-regulated expression of ATG12 (P = 0.0021).
Further luciferase activity assay indicated that rs26537 T > C in ATG12 intron
one region significantly enhanced transcription activity. These results suggested
that ATG12 eQTL SNP rs26537 might contribute to an allele-specific effect on the
expression of host gene ATG12 and explain a fraction of HNSCC genetic
susceptibility.
PMID- 29637618
TI - From the Editors.
PMID- 29637619
TI - The impact of transarterial chemoembolization induced complications on outcomes
after liver transplantation: A propensity-matched study.
AB - BACKGROUND: Loco-regional complications of transarterial chemoembolization (TACE)
may adversely affect technical aspects of the liver transplantation (LT). This
study reviewed the impact of those complications on postoperative outcomes
encompassing implications on graft selection. METHODS: A retrospective,
propensity score matching (1:1) analysis accounting for donor and recipient
confounders was performed on a dataset of patients undergoing LT for
hepatocellular carcinoma. Outcomes of patients who had TACE (TACE-group) were
compared with those who did not (NoTACE-group). RESULTS: A total of 57 matched
pairs were analyzed. TACE achieved effective tumor control (Pre-TACE vs Post
TACE; Median: 44 mm [interquartile range: 43-50] vs 17 mm [0-36]; P = .002) on
imaging follow-up. TACE group had, at the hepatectomy, higher incidence of
ischemia-related complications (adhesions of the necrotic tumor, cholecystitis,
and/or bile duct necrosis) (40.4% vs 10.5%; P = .001). Overall major post-LT
complications rate (Dindo-Clavien >=3) were similar (P = .134). Those in the TACE
group with donors after circulatory death (DCD) had 4.6% 90-day mortality and
54.3% major complication rate compared to 6.9% and 77.3% (P = .380 and P = .112,
respectively). CONCLUSION: TACE was an effective bridging procedure that may
complicate LT inducing ischemic-related complications; nevertheless, it has not
shown repercussions on mortality or morbidity after the procedure, even using
donors after circulatory death.
PMID- 29637617
TI - Transoral endoscopic examination of head and neck region.
AB - Transoral endoscopy with narrow band imaging (NBI) is useful for early detection
of head and neck (HN) cancer. However, the lateral and anterior walls of the
oropharynx, postcricoid area, and posterior wall of the hypopharynx are difficult
to observe using transoral endoscopy. Advanced cancers in these regions may be
missed even when NBI is used. This report highlights a method of transoral
endoscopic examination of the HN region. For observation of the oral cavity and
oropharynx, it is important to observe these regions without using a mouthpiece.
Wide opening of the mouth facilitates observation of the oral cavity and
oropharynx. Moreover, visibility of the oropharynx, including the anterior wall,
is dramatically improved, when the patient positions the tongue forward and says
'aaah.' This technique also facilitates observation of the dorsum of the tongue,
which is difficult to observe from a tangential view when using a mouthpiece. To
observe the hypopharynx, the Valsalva maneuver is very useful. Patient
cooperation is important when observing the HN region thoroughly to gain clear
endoscopic views. Narcotic drugs, such as pethidine hydrochloride, are ideal for
conscious sedation and reduce the gag reflex while still allowing patient
cooperation. From the oral cavity to the hypopharynx, including the lateral and
anterior walls of the oropharynx, postcricoid area, and posterior wall of the
hypopharynx, most of the HN region can be observed during routine examination
using transoral endoscopy without any special devices.
PMID- 29637620
TI - CFAI-Plus: Adding cognitive frailty as a new domain to the comprehensive frailty
assessment instrument.
AB - OBJECTIVES: Cognitive frailty is characterized by the presence of cognitive
impairment in exclusion of dementia. In line with other frailty domains,
cognitive frailty is associated with negative outcomes. The Comprehensive Frailty
Assessment Instrument (CFAI) measures 4 domains of frailty, namely physical,
psychological, social, and environmental frailty. The absence of cognitive
frailty is a limitation. METHOD: An expert panel selected 6 questions from the
Informant Questionnaire on Cognitive Decline that were, together with the CFAI
and the Montreal cognitive assessment administered to 355 older community
dwelling adults (mean age = 77). RESULTS: After multivariate analysis, 2
questions were excluded. All the questions from the original CFAI were
implemented in a principal component analysis together with the 4 cognitive
questions, showing that the 4 cognitive questions all load on 1 factor,
representing the cognitive domain of frailty. By adding the cognitive domain to
the CFAI, the reliability of the adapted CFAI (CFAI-Plus), remains good
(Cronbach's alpha: .767). CONCLUSIONS: This study showed that cognitive frailty
can be added to the CFAI without affecting its good psychometric properties. In
the future, the CFAI-Plus needs to be validated in an independent cohort, and the
interaction with the other frailty domains needs to be studied.
PMID- 29637621
TI - Assessment of sediment mutagenicity in areas under the influence of a
contaminated site undergoing a remediation process.
AB - Soil contamination enters aquatic ecosystems affecting sediment quality. The
region studied is the Taquari River, Brazil, close to a site contaminated by wood
preservatives, with a runoff route into the river. The first stage of the
remediation process (In this article, the terms intervention and remediation have
been used with slightly different meanings. We consider intervention to be the
first phase of the remediation process, which aims to remove active sources) was
an intervention to remove the main active sources. The Salmonella/microsome assay
and polycyclic aromatic hydrocarbons (PAHs) were used to assess sediment quality
in organic extracts during different intervention phases. The strains used were
TA98, TA97a, and TA100 with and without S9mix (+/-S9). The results indicated the
presence of pro-mutagens at site Ta010 (closest to the contaminated site) in all
samplings, and the highest result occurred before intervention for TA100 + S9
(1,672 +/- 215.9 rev/g). These values decreased during (83 +/- 23.6 rev/g) and
after this process (403 +/- 105.9 rev/g), although the PAHs concentrations
increased. Samples from this site presented PAHs with a carcinogenic potential
during the assessed periods. After intervention, Ta006 (4 km downstream from
Ta010) showed the most significant mutagenesis for TA100 + S9 (764 +/- 230.2
rev/g) and, although the total PAHs values were lower, the species considered
carcinogenic had higher concentrations. Mutagenesis predicted values of PAHs
confirmed that carcinogenic species were predominantly detected by TA100, and the
other PAHs by TA97a strains. Marked contaminant release to the river was
observed, mainly in Ta010 at different periods. Mutagenicity and PAHs values in
an internal stream, upstream from Ta010, showed a dispersion route of these
agents. Thus, contamination in Ta010 and possible contribution to Ta006, after
intervention, provides a warning regarding environmental quality in the region.
Environ. Mol. Mutagen. 59:625-638, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29637622
TI - Hypomagnesemia During Teriparatide Treatment in Osteoporosis: Incidence and
Determinants.
AB - In our clinical experience, we have encountered patients who developed
hypomagnesemia after the introduction of teriparatide. Some trials have reported
hypomagnesemia as an adverse event during teriparatide treatment, but this issue
had never been studied specifically. Our objective was twofold: 1) determine the
incidence of hypomagnesemia (serum magnesium <0.7 mmol/L) associated with
teriparatide in a retrospective cohort and 2) identify the predisposing factors
to hypomagnesemia in this cohort. We reviewed the files of 53 patients treated
for severe osteoporosis with teriparatide for 6 to 24 months between May 2008 and
January 2016. Serum magnesium levels were measured at 0, 3, 6, 12, 18, and 24
months. In the full cohort, we observed an average decrease of serum magnesium of
0.075 mmol/L, 0.069 mmol/L, 0.085 mmol/L, 0.086 mmol/L (p < 0.001) at 3, 6, 12
months, and at the end of the treatment, respectively. The cumulative incidence
of hypomagnesemia during treatment with teriparatide was 35.9% (19 patients).
Patients' older age (71.1 versus 65.1 years; p = 0.05) and lower baseline level
of magnesium before teriparatide treatment (0.81 mmol/L versus 0.85 mmol/L; p =
0.03) were significant risk factors for teriparatide-induced hypomagnesemia. The
average decrease of serum magnesium was greater in the patients who developed
hypomagnesemia compared with normomagnesemic patients at 3 months (0.110 mmol/L
versus 0.054 mmol/L; p = 0.02), 6 months (0.139 mmol/L versus 0.036 mmol/L; p <
0.001), and 12 months (0.156 mmol/L versus 0.048 mmol/L; p < 0.001). Serum
calcium, creatinine, and parathyroid hormone remained normal throughout the
treatment period. We observed a statistically significant decrease in the serum
magnesium levels in patients treated with teriparatide for severe osteoporosis.
Older age and lower baseline magnesium were significant determinants of
hypomagnesemia. Closer monitoring of serum magnesium level should be considered
in these patients. (c) 2018 American Society for Bone and Mineral Research.
PMID- 29637623
TI - Trying to make sense of school shootings: How?
PMID- 29637624
TI - Quantitative chest CT for subtyping chronic lung allograft dysfunction and its
association with survival.
AB - Chronic lung allograft dysfunction (CLAD) is a major cause of mortality in lung
transplant recipients. CLAD can be sub-divided into at least 2 subtypes with
distinct mortality risk characteristics: restrictive allograft syndrome (RAS),
which demonstrates increased overall computed tomography (CT) lung density in
contrast with bronchiolitis obliterans syndrome (BOS), which demonstrates reduced
overall CT lung density. This study aimed to evaluate a reader-independent
quantitative density metric (QDM) derived from CT histograms to associate with
CLAD survival. A retrospective study evaluated CT scans corresponding to CLAD
onset using pulmonary function tests in 74 patients (23 RAS, 51 BOS). Two
different QDM values (QDM1 and QDM2) were calculated using CT lung density
histograms. Calculation of QDM1 includes the extreme edges of the histogram.
Calculation of QDM2 includes the central region of the histogram. Kaplan-Meier
analysis and Cox regression analysis were used for CLAD prognosis. Higher QDM
values were significantly associated with decreased survival. The hazard ratio
for death was 3.2 times higher at the 75th percentile compared to the 25th
percentile using QDM1 in a univariate model. QDM may associate with CLAD patient
prognosis.
PMID- 29637625
TI - Genomewide Association Study Identifies Cxcl Family Members as Partial Mediators
of LPS-Induced Periodontitis.
AB - Periodontitis (PD) is characterized by bacterial infection and inflammation of
tooth-supporting structures and can lead to tooth loss. PD affects ~47% of the US
population over age 30 years and has a heritability of about 50%. Although the
host immunoinflammatory response and genetic background play a role, little is
known of the underlying genetic factors. We examined natural genetic variation in
lipopolysaccharide (LPS)-induced PD across a panel of inbred mouse strains, the
hybrid mouse diversity panel (HMDP). We observed a strain-dependent sixfold
difference in LPS-induced bone loss across the HMDP with a heritability of 53%.
We performed a genomewide association study (GWAS) using FAST-LMM, which corrects
for population structure, and identified loci significantly associated with PD.
We examined candidate genes at a locus on chromosome 5, which suggested a
relationship between LPS-induced bone loss and, together with expression data,
identified Cxcl family members as associated with PD. We observed an increase in
Cxcl10 protein, as well as immune cells and pro-inflammatory cytokines in
C57BL/6J (high bone loss strain) but not in A/J (low bone loss strain) after LPS
injections. Genetic deletion of CXCR3 (Cxcl9 and10 receptor) demonstrated a ~50%
reduction in bone loss and reduced osteoclasts after LPS injections. Furthermore,
WT mice treated with AMG-487 (a CXCR3 antagonist) showed a ~45% reduction in bone
loss and decreased osteoclasts after LPS injections. We conclude that CXCR3 is a
strong candidate for modulating the host response in individuals susceptible to
PD. (c) 2018 American Society for Bone and Mineral Research.
PMID- 29637626
TI - Reduction in ultraviolet B light-induced erythema by oxymetazoline and
brimonidine is mediated by different alpha-adrenoceptors.
AB - When applied topically, oxymetazoline and brimonidine reduce the persistent
facial erythema of rosacea; this effect is mediated by cutaneous vasoconstriction
induced by postsynaptic activation of alpha-adrenoceptors. We investigated the
alpha-adrenergic pharmacology of oxymetazoline and brimonidine. Functional
activity on alpha-adrenoceptors was evaluated in vitro in HEK293 cells stably
expressing single receptor subtypes using a fluorometric imaging plate reader
Ca2+ influx assay. Oxymetazoline was an alpha1 -adrenoceptor agonist with partial
alpha2 -adrenoceptor activity, whereas brimonidine was a highly selective full
alpha2 -adrenoceptor agonist. In vivo pharmacology was investigated in a mouse
model of ultraviolet B light (UVB)-induced skin erythema. To selectively inhibit
alpha-adrenoceptor subtypes, mice were injected with prazosin (an alpha1
selective antagonist) or rauwolscine (an alpha2 -selective antagonist) following
UVB exposure. Oxymetazoline cream 1.0%, brimonidine gel 0.33% or vehicle control
was applied topically, and erythema was measured using a chromameter.
Oxymetazoline and brimonidine reduced UVB-induced erythema compared with vehicle
control (P < .01). The effect of oxymetazoline was impaired in prazosin
pretreated but not rauwolscine-pretreated mice. Conversely, the effect of
brimonidine was impaired in rauwolscine-pretreated but not prazosin-pretreated
mice. These data suggest that while oxymetazoline and brimonidine produce
cutaneous vasoconstriction, they do so through different alpha-adrenergic
mechanisms, with oxymetazoline primarily acting via alpha1 -adrenoceptors and
brimonidine acting via alpha2 -adrenoceptors.
PMID- 29637627
TI - Blood brain barrier: A review of its anatomy and physiology in health and
disease.
AB - The blood-brain barrier (BBB) is the principal regulator of transport of
molecules and cells into and out of the central nervous system (CNS). It
comprises endothelial cells, pericytes, immune cells, astrocytes, and basement
membrane, collectively known as the neurovascular unit. The development of the
barrier involves many complex pathways from all the progenitors of the
neurovascular unit, but the timing of its formation is not entirely known. The
coordinated activities of all the components of the neurovascular unit and other
tissues ensure that materials required for growth and maintenance are allowed
into the CNS while extraneous ones are excluded. This review summarizes current
knowledge of the anatomy, development, and physiology of the BBB, and alterations
that occur in disease conditions. Clin. Anat. 31:812-823, 2018. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29637628
TI - PKCalpha in colon cancer cells promotes M1 macrophage polarization via MKK3/6-P38
MAPK pathway.
AB - Tumor associated macrophages are potential targets of the immune therapy for
patients with colon cancer. PKCalpha acts as a tumor suppressor in the intestine.
However, the correlation between PKCalpha expressed in colon cancer cells and
tumor associated macrophages polarization has never been detected. In the present
study, the correlation between PKCalpha expression and level of M1 macrophages
was evaluated in human colon cancer tissues. A xenograft mouse model of colon
cancer cells with different PKCalpha expression level was constructed to evaluate
the effect of PKCalpha on M1 macrophages polarization in vivo. Co-culture of
colon cancer cells and differentiated macrophages was used to detect the
potential interplay in vitro. PKCalpha regulated production of cytokines which
correlated with macrophage polarization and the underlying mechanism was further
explored. Our study showed that high PKCalpha expression in human colon cancer
tissues correlated with better prognosis and high M1 macrophage content. PKCalpha
expressed in colon cancer cells inhibited the growth of colon cancer in mice
model. PKCalpha induced macrophages polarized to the M1-like phenotype both in
vitro and in vivo. Mechanistically, PKCalpha targeted P38 via MKK3/6 to promote
IL12 and GM-CSF expression which further enhanced M1-like macrophages
polarization. In conclusion, this study provided evidence for the first time that
PKCalpha in colon cancer cells play an anticancer action by inducing the
polarization of tumor associated macrophages to M1-like phenotype in the tumor
microenvironment. PKCalpha promoted IL12/GM-CSF-mediated M1 polarization through
MKK3/6-P38 signaling pathway. Our investigation suggested that modulation of the
PKCalpha signaling pathway might serve as a novel strategy for colon cancer
therapy.
PMID- 29637629
TI - Comments on Filipiak-Pittroff et al.
PMID- 29637630
TI - Silicon(IV) Corroles.
AB - Silicon complexes of corrole were obtained for the first time by reaction of the
free-base corrole with hexachlorodisilane. The peripheral substituents of corrole
strongly influence the nature of the reaction products: beta-octaalkyl corrole
was mainly isolated as the MU-oxo dimer, while a hydroxo complex was obtained in
the case of 5,10,15-tris-(pentafluorophenyl)corrole. In the case of meso-tritolyl
corrole, a mixture of monomer/MU-oxo dimer was obtained. The silicon corrole
complexes are more stable toward hydrolysis than the corresponding porphyrin
derivatives and are endowed with brilliant luminescence properties. The high
affinity of silicon for fluoride ion allowed investigation of the ability of an
Si corrole to serve as a sensor for F- detection. The strong color variation due
to the interaction with the halide ion makes the Si corrole an interesting
material for the naked-eye detection of inorganic fluoride.
PMID- 29637631
TI - Suitability of livers for transplantation when treated by normothermic machine
perfusion.
AB - BACKGROUND: Many factors may compromise the functional recovery of a harvested
potential liver for engraftment. Normothermic machine perfusion (NMP) can revive
hepatic metabolism ex vivo enabling subsequent transplantation. In this study, we
evaluated the recovery of 11 discarded livers' function utilizing NMP. MATERIALS
AND METHODS: Eleven consecutive discarded livers underwent NMP for 6 hours. Liver
function recovery was defined by lactate levels of <=3 mmol/L and continuous bile
production. RESULTS: Ten of 11 livers perfused were fatty. The median percentage
of macrosteatosis (MaS) and microsteatosis (MiS) was 40% (10%-90%) and 40% (20%
50%), respectively, based on a review of paraffin-embedded sections of
preperfusion biopsies. A discarded "amyloid" liver from an HIV-positive donor was
also studied. Recovery of liver function was observed in 4 livers, including that
with the amyloid deposition. These livers sustained shorter cold ischemia times
and seemed to have increased portal and arterial blood flow. No significant
change in MiS or MaS was observed before and after perfusion. CONCLUSION: Our
results suggest that some discarded grafts might have been salvaged for
transplantation. Further studies utilizing NMP with subsequent transplantation
would validate this strategy.
PMID- 29637632
TI - Porous Single-Crystalline CdSe Nanobelts: Cation-Exchange Synthesis and Highly
Selective Photoelectric Sensing toward Cu2.
AB - Porous single-crystalline nanostructures are of tremendous interest for their
application in the catalytic, electronic and sensing fields due to their large
active surfaces, favorable diffusion, and good electronic transport. Despite the
recent advances of various other components, photoelectric chalcogenides remain
almost undeveloped. The present study contributes a facile strategy to prepare
porous single-crystalline CdSe nanobelts through a cation-exchange reaction, in
which ZnSe?0.5 N2 H4 hybrid nanobelts are employed as precursors. The detailed
characterizations indicate the preservation of the belt-like morphology of the
precursors due to the spatial confinement effect, which arises from the coated
surfactant layer during the cation-exchange process. Simultaneously, CdSe
nanobelts with porous and single-crystalline structures are formed following a
complete exchange between Zn2+ and Cd2+ , the release of N2 H4 , and the atomic
arrangement. The native photoelectric properties of the as-prepared porous single
crystalline CdSe nanobelts are systematically addressed based on the nanodevices
fabricated with a single nanobelt and assembled nanobelt array. The results
indicate that they present a rapid, stable, and repeatable photoelectric
response. Moreover, as-prepared nanobelts exhibit highly selective photoelectric
sensing toward Cu2+ with a low detection limit down to 0.1 ppm. To illuminate
this phenomenon, a possible sensing mechanism is also discussed.
PMID- 29637633
TI - Quantifying the Sub-Cellular Distributions of Gold Nanospheres Taken Up by Cells
through Stepwise, Site-Selective Etching.
AB - A quantitative understanding of the sub-cellular distributions of nanospheres
taken up by cells is of key importance to the development of effective
nanomedicine. With gold nanospheres as a model system, here we demonstrate, for
the first time, how to quantify the numbers of nanospheres bound to plasma
membrane, accumulated in cytosol, and entrapped in endo-lysosomes, respectively,
through stepwise, site-selective etching. Our results indicate that the chance
for nanospheres to escape from endo-lysosomes is insensitive to the presence of
targeting ligand although ligand-receptor binding has been documented as a
critical factor in triggering internalization. Furthermore, the presence of serum
proteins is shown to facilitate the binding of nanospheres to plasma membrane
lacking the specific receptor. Collectively, these findings confirm the potential
of stepwise etching in quantitatively analyzing the sub-cellular distributions of
nanospheres taken up by cells in an effort to optimize the therapeutic effect.
PMID- 29637634
TI - Seeding and cross-seeding fibrillation of N-terminal prion protein peptides
PrP(120-144).
AB - Prion diseases are infectious neurodegenerative diseases that are capable of
cross-species transmission, thus arousing public health concerns. Seed-templating
propagation of prion protein is believed to underlie prion cross-species
transmission pathology. Understanding the molecular fundamentals of prion
propagation is key to unravelling the pathology of prion diseases. In this study,
we use coarse-grained molecular dynamics to investigate the seeding and cross
seeding aggregation of three prion protein fragments PrP(120-144) originating
from human (Hu), bank vole (BV), and Syrian hamster (SHa). We find that the seed
accelerates the aggregation of the monomer peptides by eliminating the lag phase.
The monomer aggregation kinetics are mainly determined by the structure of the
seed. The stronger the hydrophobic residues on the seed associate with each
other, the higher the probability that the seed recruits monomer peptides to its
surface/interface. For cross-seeding aggregation, we show that Hu has a strong
tendency to adopt the conformation of the BV seed and vice versa; the Hu and BV
monomers have a weak tendency to adopt the conformation of the SHa seed. These
two findings are consistent with Apostol et al.'s experimental findings on
PrP(138-143) and partially consistent with Jones et al.'s finding on PrP(23-144).
We also identify several conformational mismatches when SHa cross-seeds BV and Hu
peptides, indicating the existence of a cross-seeding barrier between SHa and the
other two sequences. This study sheds light on the molecular mechanism of seed
templating aggregation of prion protein fragments underlying the sequence
dependent transmission barrier in prion diseases.
PMID- 29637635
TI - Soft X-ray Spectroscopy as a Probe for Gas-Phase Protein Structure: Electron
Impact Ionization from Within.
AB - Preservation of protein conformation upon transfer into the gas phase is key for
structure determination of free single molecules, for example using X-ray free
electron lasers. In the gas phase, the helicity of melittin decreases strongly as
the protein's protonation state increases. We demonstrate the sensitivity of soft
X-ray spectroscopy to the gas-phase structure of melittin cations
([melittin+qH]q+ , q=2-4) in a cryogenic linear radiofrequency ion trap. With
increasing helicity, we observe a decrease of the dominating carbon 1 s-pi*
transition in the amide C=O bonds for non-dissociative single ionization and an
increase for non-dissociative double ionization. As the underlying mechanism we
identify inelastic electron scattering. Using an independent atom model, we show
that the more compact nature of the helical protein conformation substantially
increases the probability for off-site intramolecular ionization by inelastic
Auger electron scattering.
PMID- 29637636
TI - The caveolar membrane system in endothelium: From cell signaling to vascular
pathology.
AB - Caveolae are 50- to 100-nm cholesterol and glycosphingolipid-rich flask-shaped
invaginations commonly observed in many terminally differentiated cells. These
organelles have been described in many cell types and are particularly abundant
in endothelial cells, where they have been involved in the regulation of certain
signaling pathways. Specific scaffolding proteins termed caveolins, along with
the more recently discovered members of the cavin family, represent the major
protein components during caveolae biogenesis. In addition, multiple studies
aimed to investigate the expression and the regulation of these proteins
significantly contributed to elucidate the role of caveolae and caveolins in
endothelial cell physiology and disease. The aim of this review is to survey
recent evidence of the involvement of the caveolar network in endothelial cell
biology and endothelial cell dysfunction.
PMID- 29637637
TI - Single breath-hold 3D cardiac T1 mapping using through-time spiral GRAPPA.
AB - The quantification of cardiac T1 relaxation time holds great potential for the
detection of various cardiac diseases. However, as a result of both cardiac and
respiratory motion, only one two-dimensional T1 map can be acquired in one breath
hold with most current techniques, which limits its application for whole heart
evaluation in routine clinical practice. In this study, an electrocardiogram
(ECG)-triggered three-dimensional Look-Locker method was developed for cardiac T1
measurement. Fast three-dimensional data acquisition was achieved with a spoiled
gradient-echo sequence in combination with a stack-of-spirals trajectory and
through-time non-Cartesian generalized autocalibrating partially parallel
acquisition (GRAPPA) acceleration. The effects of different magnetic resonance
parameters on T1 quantification with the proposed technique were first examined
by simulating data acquisition and T1 map reconstruction using Bloch equation
simulations. Accuracy was evaluated in studies with both phantoms and healthy
subjects. These results showed that there was close agreement between the
proposed technique and the reference method for a large range of T1 values in
phantom experiments. In vivo studies further demonstrated that rapid cardiac T1
mapping for 12 three-dimensional partitions (spatial resolution, 2 * 2 * 8 mm3 )
could be achieved in a single breath-hold of ~12 s. The mean T1 values of
myocardial tissue and blood obtained from normal volunteers at 3 T were 1311 +/-
66 and 1890 +/- 159 ms, respectively. In conclusion, a three-dimensional T1
mapping technique was developed using a non-Cartesian parallel imaging method,
which enables fast and accurate T1 mapping of cardiac tissues in a single short
breath-hold.
PMID- 29637639
TI - Highly Enantioselective, Base-Free Synthesis of alpha-Quaternary Succinimides
through Catalytic Asymmetric Allylic Alkylation.
AB - The synthesis of diversely substituted five-membered ring succinimide derivatives
is reported featuring a direct, base-free, palladium-catalyzed asymmetric allylic
alkylation. The method allows a straightforward access to the desired
heterocyclic scaffold bearing an all-carbon alpha-quaternary stereogenic center
in high yields and good to excellent enantioselectivities. To further demonstrate
the synthetic utility of the method, the allylated products were further
converted to various versatile chiral building blocks, including a chiral
pyrrolidine and a spirocyclic derivative, using selective transformations.
PMID- 29637638
TI - Methodologies for "Wiring" Redox Proteins/Enzymes to Electrode Surfaces.
AB - The immobilization of redox proteins or enzymes onto conductive surfaces has
application in the analysis of biological processes, the fabrication of
biosensors, and in the development of green technologies and biochemical
synthetic approaches. This review evaluates the methods through which redox
proteins can be attached to electrode surfaces in a "wired" configuration, that
is, one that facilitates direct electron transfer. The feasibility of simple
electroactive adsorption onto a range of electrode surfaces is illustrated, with
a highlight on the recent advances that have been achieved in biotechnological
device construction using carbon materials and metal oxides. The covalent
crosslinking strategies commonly used for the modification and
biofunctionalization of electrode surfaces are also evaluated. Recent innovations
in harnessing chemical biology methods for electrically wiring redox biology to
surfaces are emphasized.
PMID- 29637640
TI - Mycenaflavin A, B, C, and D: Pyrroloquinoline Alkaloids from the Fruiting Bodies
of the Mushroom Mycena haematopus.
AB - Four so far unknown pyrroloquinoline alkaloids, yellow mycenaflavins A, B, and C,
and the purple mycenaflavin D, have been isolated from the fruiting bodies of
Mycena haematopus. The structures of these new alkaloids were elucidated by NMR
spectroscopy and HRMS (ESI+ ). The mycenaflavins are structurally related to
mycenarubins and haematopodins, which have been previously identified in M.
haematopus. However, compared with other known fungal pyrroloquinoline alkaloids,
the mycenaflavins contain an additional double bond within the pyrroloquinoline
moiety that accounts for the yellow colour of the monomeric mycenaflavins A, B,
and C. The purple mycenaflavin D is the first known dimeric pyrroloquinoline
alkaloid with a C-C bridge between the two pyrroloquinoline units. Although the
minor pyrroloquinoline alkaloid constituent mycenaflavin A exhibits only moderate
bioactivity against the soil bacterium Azoarcus tolulyticus, the major
pyrroloquinoline alkaloid constituent haematopodin B is similarly active as the
antibiotic gentamicin.
PMID- 29637641
TI - Assessing changes in functional connectivity in a desert bighorn sheep
metapopulation after two generations.
AB - Determining how species move across complex and fragmented landscapes and
interact with human-made barriers is a major research focus in conservation.
Studies estimating functional connectivity from movement, dispersal or gene flow
usually rely on a single study period and rarely consider variation over time. We
contrasted genetic structure and gene flow across barriers for a metapopulation
of desert bighorn sheep (Ovis canadensis nelsoni) using genotypes collected 2000
2003 and 2013-2015. Based on the recently observed but unexpected spread of a
respiratory pathogen across an interstate highway previously identified as a
barrier to gene flow, we hypothesized that bighorn sheep changed how they
interacted with that barrier, and that shifts in metapopulation structure
influenced gene flow, genetic diversity and connectivity. Population assignment
tests, genetic structure and genetic recapture demonstrated that bighorn sheep
crossed the interstate highway in at least one location in 2013-2015, sharply
reducing genetic structure between two populations, but supported conclusions of
an earlier study that such crossings were very infrequent or unknown in 2000
2003. A recently expanded population established new links and caused decreases
in genetic structure among multiple populations. Genetic diversity showed only
slight increases in populations linked by new connections. Genetic structure and
assignments revealed other previously undetected changes in movements and
distribution, but much was consistent. Thus, we observed changes in both
structural and functional connectivity over just two generations, but only in
specific locations. Movement patterns of species should be revisited periodically
to enable informed management, particularly in dynamic and fragmented systems.
PMID- 29637643
TI - Economic and demographic predictors of dietary variation and nutritional
indicators in Nicaragua.
AB - OBJECTIVES: We measured carbon and nitrogen isotopic ratios in a contemporary
population, and tested how the isotopic variability relates to measures of
socioeconomic status (e.g., household wealth) and anthropometric measures (e.g.,
standardized height-for-age and weight-for-age z-scores). METHODS: Hair samples
from individuals living in the Bosawas Biosphere Reserve in Nicaragua were
analyzed for delta13 C and delta15 N, and these data were examined in relation to
individual (e.g., age, sex, anthropometrics) and household (e.g., household size,
wealth) variables. RESULTS: We found through mixed-effects modeling that delta13
C and delta15 N varied predictably with individual age and household wealth.
delta13 C and delta15 N did not, however, improve models predicting variation in
individual anthropometric measures. CONCLUSION: These results indicate that,
although there is a relationship between diet (delta13 C and delta15 N) and
socioeconomic variables, these dietary differences are not the main cause of
health differences in this population.
PMID- 29637642
TI - Hyperpolarized ketone body metabolism in the rat heart.
AB - The aim of this work was to investigate the use of 13 C-labelled acetoacetate and
beta-hydroxybutyrate as novel hyperpolarized substrates in the study of cardiac
metabolism. [1-13 C]Acetoacetate was synthesized by catalysed hydrolysis, and
both it and [1-13 C]beta-hydroxybutyrate were hyperpolarized by dissolution
dynamic nuclear polarization (DNP). Their metabolism was studied in isolated,
perfused rat hearts. Hyperpolarized [1-13 C]acetoacetate metabolism was also
studied in the in vivo rat heart in the fed and fasted states. Hyperpolarization
of [1-13 C]acetoacetate and [1-13 C]beta-hydroxybutyrate provided liquid state
polarizations of 8 +/- 2% and 3 +/- 1%, respectively. The hyperpolarized T1
values for the two substrates were 28 +/- 3 s (acetoacetate) and 20 +/- 1 s (beta
hydroxybutyrate). Multiple downstream metabolites were observed within the
perfused heart, including acetylcarnitine, citrate and glutamate. In the in vivo
heart, an increase in acetylcarnitine production from acetoacetate was observed
in the fed state, as well as a potential reduction in glutamate. In this work,
methods for the generation of hyperpolarized [1-13 C]acetoacetate and [1-13
C]beta-hydroxybutyrate were investigated, and their metabolism was assessed in
both isolated, perfused rat hearts and in the in vivo rat heart. These
preliminary investigations show that DNP can be used as an effective in vivo
probe of ketone body metabolism in the heart.
PMID- 29637644
TI - Weak IgG self- and hetero-association characterized by fluorescence analytical
ultracentrifugation.
AB - Weak protein-protein interactions may be important to binding cooperativity. A
panel of seven fluorescently labeled tracer monoclonal IgG antibodies, differing
in variable (V) and constant (C) region sequences, were sedimented in increasing
concentrations of unlabeled IgGs of identical, similar, and different
backgrounds. Weak IgG::IgG attractive interactions were detected and
characterized by global analysis of the hydrodynamic nonideality coefficient, ks
. The effects of salt concentration and temperature on ks suggest the
interactions are predominantly enthalpic in origin. The interactions were found
to be variable in strength, affected by both the variable and constant regions,
but indiscriminate with respect to IgG subclass. Furthermore, weak attractive
interactions were observed for all the mAbs with freshly purified human poly-IgG.
The universality of the weak interactions suggest that they may contribute to
effector function cooperativity in the normal immune response, and we postulate
that the generality of the interactions allows for a broader range of epitope
spacing for complement activation. These studies demonstrate the utility of
analytical ultracentrifuge fluorescence detection in measuring weak protein
protein interactions. It also shows the strength of global analysis of
sedimentation velocity data by SEDANAL to extract hydrodynamic nonideality ks to
characterize weak macromolecular interactions.
PMID- 29637647
TI - Copper Promoted Regio- and Stereoselective Aminochlorination of Alkynes and
Alkenes with NFSI.
AB - A simple and rapid copper-promoted aminochlorination of unactivated alkynes and
alkenes with N-fluorobenzenesulfonimide (NFSI) was developed. Two series of
chloroenamines and chloroamines were obtained in good to high yields. The
chlorinated enamines could be obtained in a single E configuration. This reaction
involved a radical process and the CuCl2 acted as the Cl source and NFSI as the N
source.
PMID- 29637645
TI - Peer support for physical activity adoption among breast cancer survivors: Do the
helped resemble the helpers?
AB - Interventions offering peer mentoring programmes promoting moderate-to-vigorous
physical activity (MVPA) have shown improvements in MVPA and well-being from
baseline; however, research is limited. The purpose of this study was to compare
the physical activity (PA) levels and psychosocial well-being of coaches and
participants at baseline and following a 12-week intervention. Breast cancer
survivors (<5 years) were recruited and randomised into either exercise (Reach-to
Recovery (RTR) + PA) or control (RTR Control). Participants in both groups were
individually assigned one of the 18 available coaches who delivered either the
MVPA intervention or the control condition via telephone. PA (7-Day PA Recall),
psychosocial well-being, fatigue and mood were assessed at baseline and
intervention completion. Seventy-six breast cancer survivors (average age = 55.62
(+/-9.55)) were randomised. At baseline, all participants showed significantly
lower MVPA (p = .001) and well-being (p < .05) as compared to coaches. However,
post-intervention showed significant improvement in PA and well-being in RTR +
PA, so that they were no longer significantly different from the coaches. Post
intervention, MVPA (p < .01), quality of life (p < .05) and fatigue (p < .05)
remained significantly lower in RTR Controls compared to coaches. Future
interventions should consider the behavioural patterns not only of the
participants, but also of those who deliver the interventions.
PMID- 29637648
TI - Hydrogen Evolution Reactions Catalyzed by a Bis(thiosemicarbazone) Cobalt
Complex: An Experimental and Theoretical Study.
AB - The synthesis and characterization of a dinuclear bis(thiosemicarbazone) cobalt
complex [Co2 L2 (NCS)2 ] is reported. This complex exhibits significant catalytic
activity for hydrogen production in DMF by using triethylammonium (Et3 NHBF4 ) as
the proton source. Cyclic voltammetry data allowed a maximum turnover frequency
of 130 s-1 for 1 m proton concentration to be determined. The catalytic nature of
the process and the production of dihydrogen were confirmed by gas analysis
during controlled potential electrolysis experiments. Quantum chemical
calculations show that the complex displays a ligand-assisted metal-centered
reactivity and supports a catalytic mechanism involving ligand-based reduction
and protonation steps followed by metal-centered processes.
PMID- 29637646
TI - Biophysical characterization and molecular simulation of electrostatically driven
self-association of a single-chain antibody.
AB - Colloidal protein-protein interactions (PPI) are often expected to impact key
behaviors of proteins in solution, such as aggregation rates and mechanisms,
aggregate structure, protein solubility, and solution viscosity. PPI of an anti
fluorescein single chain antibody variable fragment (scFv) were characterized
experimentally at low to intermediate ionic strength using a combination of
static light scattering and sedimentation equilibrium ultracentrifugation.
Surprisingly, the results indicated that interactions were strongly net
attractive and electrostatics promoted self-association. Only repulsive
interactions were expected based on prior work and calculations based a homology
model of a related scFv crystal structure. However, the crystal structure lacks
the charged, net-neutral linker sequence. PyRosetta was used to generate a set of
scFv structures with different linker conformations, and coarse-grained Monte
Carlo simulations were used to evaluate the effect of different linker
configurations via second osmotic virial coefficient (B22 ) simulations. The
results show that the configuration of the linker has a significant effect on the
calculated B22 values, and can result in strong electrostatic attractions between
oppositely charged residues on the protein surface. This is particularly relevant
for development of non-natural antibody products, where charged linkers and other
loop regions may be prevalent. The results also provide a preliminary
computational framework to evaluate the effect of unstructured linkers on
experimental protein-protein interaction parameters such as B22 .
PMID- 29637649
TI - Histopathological lesions and toxicity in common carp (Cyprinus carpio L. 1758)
induced by copper nanoparticles.
AB - Different types of metal oxide nanoparticles (NPs) have been suggested for
various applications such as water treatment and construction of agricultural
pesticides; however, there are concerns about the potential toxicity of these
compounds for the nontarget organism especially aquatic organisms. The aims of
this study were assessing toxicity and histopathological effects of copper oxide
NPs (NPs-CuO) on common carp (Cyprinus carpio) as a model organism. For this
purpose 150 common carp with an average weight 7 +/- 1 g were exposed to 0, 10,
20, 30, 40, 60, 80, 100, 150, and 200 mg/l of CuO-NPs (10 treatment with three
replicates) for 96 hrs. After 24, 48, 72, and 96 hrs exposures, mortality rates
recorded and gill samples were collected. Statistical analysis showed significant
differences in carp survival between control and treatment groups (p < 0.05);
regression between fish mortality rate and NPs-CuO concentration was also
revealed (p < 0.01). The LC50 96h of NPs-CuO for common carp was estimated as
124.9 mg/l in this study. Various tissue damages were observed in gill of
treatments; such as, hypertrophy, hyperplasia, lamellar fusions, erythrocyte
infiltration, epithelial lifting; also, there was significant correlation between
intensity of tissue lesions and concentration of NPs-CuO (p < 0.01). The findings
of the present study demonstrate that sublethal concentration of NPs-CuO can lead
to serious tissue lesions. Whats more, concentrations above 30 ml/l of NPs-CuO
can lead to some clinical signs; such as skin darkening and death with open mouth
as well as definite fish death.
PMID- 29637650
TI - A time-driven, activity-based costing methodology for determining the costs of
red blood cell transfusion in patients with beta thalassaemia major.
AB - OBJECTIVES: To describe the methodology to estimate the total cost of
administration of a single unit of red blood cells (RBC) in adults with beta
thalassaemia major in an Australian specialist haemoglobinopathy centre.
BACKGROUND: Beta thalassaemia major is a genetic disorder of haemoglobin
associated with multiple end-organ complications and typically requiring lifelong
RBC transfusion therapy. New therapeutic agents are becoming available based on
advances in understanding of the disorder and its consequences. Assessment of the
true total cost of transfusion, incorporating both product and activity costs, is
required in order to evaluate the benefits and costs of these new therapies.
METHODS: We describe the bottom-up, time-driven, activity-based costing
methodology used to develop process maps to provide a step-by-step outline of the
entire transfusion pathway. Detailed flowcharts for each process are described.
Direct observations and timing of the process maps document all activities,
resources, staff, equipment and consumables in detail. The analysis will include
costs associated with performing these processes, including resources and
consumables. Sensitivity analyses will be performed to determine the impact of
different staffing levels, timings and probabilities associated with performing
different tasks. RESULTS: Thirty-one process maps have been developed, with over
600 individual activities requiring multiple timings. These will be used for
future detailed cost analyses. CONCLUSIONS: Detailed process maps using bottom
up, time-driven, activity-based costing for determining the cost of RBC
transfusion in thalassaemia major have been developed. These could be adapted for
wider use to understand and compare the costs and complexities of transfusion in
other settings.
PMID- 29637651
TI - UPLC-Q-TOF-MS/MS-guided dereplication of Pulsatilla chinensis to identify
triterpenoid saponins.
AB - INTRODUCTION: Triterpenoid saponins are the major bioactive constituents of
Pulsatilla chinensis, playing an important role in various biological activities
such as anti-tumour, cognition-enhancing, anti-biosis, anti-inflammatory,
hypoglycemic and immunological adjuvant. OBJECTIVE: To establish a systematic
strategy based on ultra-high-performance liquid chromatography-quadrupole time-of
flight tandem mass spectrometry (UPLC-Q-TOF-MS/MS) for the efficient
characterisation and identification of triterpenoid saponins in crude extracts
from Pulsatilla chinensis. METHODOLOGY: In this work, the strategy includes two
aspects: (1) positive mode: by target screening, we can deduce the aglycone type
and the composition of sugar moiety according to the fragment ions; untargeted
screening includes four steps, find unknown, formula finder, ChemSpider search
and MS/MS identification; (2) negative mode: according to the MS/MS spectra, the
composition of sugar chain bonded to C-28 is inferred reasonably. The extract of
Pulsatilla chinensis was separated within 60 min on a C18 column and eluted with
methanol and water both containing 0.1% formic acid. RESULTS: As a result, a
total of 22 triterpenoid saponins (11 pairs of isomers) with four aglycone
skeletons were tentatively identified or elucidated in crude extracts from
Pulsatilla chinensis based on their retention times, the mass spectrometric
fragmentation patterns, and MS and MS/MS data. CONCLUSION: This study provides an
efficient analysis strategy to rapidly identify the triterpenoid saponins in
Pulsatilla species even in traditional Chinese medicines.
PMID- 29637652
TI - Endoscopic Sinonasal Dissection Guide Roy R. Casiano Thieme Medical Publishers,
New York, NY, 2012, USD $64.07, 128 pages.
PMID- 29637653
TI - Mutation update for the GPC3 gene involved in Simpson-Golabi-Behmel syndrome and
review of the literature.
AB - Simpson-Golabi-Behmel syndrome (SGBS) is an X-linked multiple congenital
anomalies and overgrowth syndrome caused by a defect in the glypican-3 gene
(GPC3). Until now, GPC3 mutations have been reported in isolated cases or small
series and the global genotypic spectrum of these mutations has never been
delineated. In this study, we review the 57 previously described GPC3 mutations
and significantly expand this mutational spectrum with the description of 29
novel mutations. Compiling our data and those of the literature, we provide an
overview of 86 distinct GPC3 mutations identified in 120 unrelated families,
ranging from single nucleotide variations to complex genomic rearrangements and
dispersed throughout the entire coding region of GPC3. The vast majority of them
are deletions or truncating mutations (frameshift, nonsense mutations) predicted
to result in a loss-of-function. Missense mutations are rare and the two which
were functionally characterized, impaired GPC3 function by preventing GPC3
cleavage and cell surface addressing respectively. This report by describing for
the first time the wide mutational spectrum of GPC3 could help clinicians and
geneticists in interpreting GPC3 variants identified incidentally by high
throughput sequencing technologies and also reinforces the need for functional
validation of non-truncating mutations (missense, in frame mutations,
duplications).
PMID- 29637654
TI - Exercise decreases defensive responses to unpredictable, but not predictable,
threat.
AB - BACKGROUND: Research supports the anxiolytic effect of exercise, but the
mechanism underlying this effect is unclear. This study examines the influence of
exercise in healthy controls on two distinct defensive states implicated in
anxiety disorders: fear, a phasic response to a predictable threat, and anxiety,
a sustained response to an unpredictable threat. METHODS: Thirty-four healthy
volunteers (17 male, age M = 26.18, SD = 5.6) participated in sessions of
exercise (biking at 60-70% of heart rate reserve) and control (biking at 10-20%
of heart rate reserve) activity for 30 min, separated by 1 week. Threat responses
were measured by eyeblink startle and assessed with the "Neutral-Predictable
Unpredictable threat test," which includes a neutral (N) and two threat
conditions, one with predictable (P) and one with unpredictable (U) shock.
RESULTS: Results show that exercise versus control activity reduces startle
potentiation during unpredictable threat (P = .031), but has no effect on startle
potentiation during predictable threat (P = .609). CONCLUSIONS: These results
suggest that exercise reduces defensive response to unpredictable, but not
predictable, threat, a dissociation that may help inform clinical indications for
this behavioral intervention, as well as provide clues to its underlying
neurobehavioral mechanisms.
PMID- 29637655
TI - A long-term experience with expansion of Milan criteria for liver transplant
recipients.
AB - The Milan criteria (MC) have historically determined eligibility for
transplantation for hepatocellular carcinoma (HCC). The United Network for Organ
Sharing (UNOS) Region 4 expanded the criteria for transplantation in HCC to
include a single tumor <=6 cm or up to 3 tumors with the largest diameter <=5 cm
and total additive diameter <=9 cm (R4C). The aim of this study was to report the
10-year outcomes of this expanded criteria compared to MC. Transplants performed
for HCC in Region 4 between October 2007 and December 2016 were reviewed using
the UNOS database. Recipients were categorized based on imaging findings at
initial evaluation. A total of 2068 patients were included in the study. There
was no significant difference in 10-year patient survival between the groups (53%
MC vs 48% R4C, P = .23). There was also no significant difference in recurrence
free survival (54% MC vs 47% R4C, P = .15) or allograft survival (53% MC vs 48%
R4C, P = .16). Finally, there was no significant difference in outcomes between
the MC and R4C groups when stratifying patients by locoregional therapy. This
study demonstrates promising data that the criteria for liver transplantation in
HCC can be safely expanded to the R4C without compromising outcomes.
PMID- 29637656
TI - Impaired neuroplasticity in the prefrontal cortex in depression indexed through
paired associative stimulation.
AB - BACKGROUND: Dysfunctional neuroplasticity may be one of the pathophysiological
mechanisms underlying major depression. We have previously established methods to
assess neuroplasticity from the dorsolateral prefrontal cortex (DLPFC) using a
paired associative stimulation (PAS) paradigm, which pairs a preceding peripheral
nerve stimulation with subsequent transcranial magnetic stimulation (TMS)
combined with electroencephalography (EEG). We aimed to investigate
neuroplasticity through the PAS paradigm in the DLPFC in patients with depression
compared to healthy subjects. METHODS: Twenty-nine patients with depression and
28 healthy controls participated in this study. There were no significant age or
sex differences between the two groups. All participants received PAS paradigm in
the DLPFC. We analyzed PAS induced potentiation from the DLPFC in both groups
calculating the power of TMS-evoked potentials (TEP). A two-way ANOVA with PAS
effect as a within-subject factor and diagnostic group as a between-subject
factor was performed to examine the group differences in the PAS paradigm.
RESULTS: DLPFC-PAS induced a significant potentiation at the stimulation site in
both patients and healthy subjects (mean +/- SD: 1.24 +/- 0.33 [MUV] vs. 1.48 +/-
0.28 [MUV]). However, when we compared PAS potentiation between patients and
healthy subjects, there were significant main effects of PAS (F1,53 = 68.63, p <
0.0001) and PAS-by-diagnostic group interaction (F1,53 = 25.05, p < 0.0001).
Post hoc analysis demonstrated that patients had a significantly lower PAS
potentiation compared to healthy subjects (t55 = 3.128, p = 0.003).
CONCLUSTIONS: Our findings provide evidence for impaired neuroplasticity in DLPFC
in patients with depression compared to healthy subjects. Such findings may
ultimately help us understand the pathophysiology of MDD and mechanisms involved
in its treatment.
PMID- 29637657
TI - The response strategy and the place strategy in a plus-maze have different
sensitivities to devaluation of expected outcome.
AB - Previous studies have suggested that spatial navigation can be achieved with at
least two distinct learning processes, involving either cognitive map-like
representations of the local environment, referred to as the "place strategy", or
simple stimulus-response (S-R) associations, the "response strategy". A similar
distinction between cognitive/behavioral processes has been made in the context
of non-spatial, instrumental conditioning, with the definition of two processes
concerning the sensitivity of a given behavior to the expected value of its
outcome as well as to the response-outcome contingency ("goal-directed action"
and "S-R habit"). Here we investigated whether these two versions of dichotomist
definitions of learned behavior, one spatial and the other non-spatial,
correspond to each other in a formal way. Specifically, we assessed the goal
directed nature of two navigational strategies, using a combination of an outcome
devaluation procedure and a spatial probe trial frequently used to dissociate the
two navigational strategies. In Experiment 1, rats trained in a dual-solution T
maze task were subjected to an extinction probe trial from the opposite start
arm, with or without prefeeding-induced devaluation of the expected outcome. We
found that a non-significant preference for the place strategy in the non
devalued condition was completely reversed after devaluation, such that
significantly more animals displayed the use of the response strategy. The result
suggests that the place strategy is sensitive to the expected value of the
outcome, while the response strategy is not. In Experiment 2, rats with
hippocampal lesions showed significant reliance on the response strategy,
regardless of whether the expected outcome was devalued or not. The result thus
offers further evidence that the response strategy conforms to the definition of
an outcome-insensitive, habitual form of instrumental behavior. These results
together attest a formal correspondence between two types of dual-process
accounts of animal learning and behavior.
PMID- 29637659
TI - Issue Information-Declaration of Helsinki.
PMID- 29637658
TI - How Can We Improve Osteoporosis Care? A Systematic Review and Meta-Analysis of
the Efficacy of Quality Improvement Strategies for Osteoporosis.
AB - Although osteoporosis affects 10 million people in the United States, screening
and treatment rates remain low. We performed a systematic review and meta
analysis of the efficacy of quality improvement strategies to improve
osteoporosis screening (bone mineral density [BMD]/dual-energy X-ray
absorptiometry [DXA] testing) and/or treatment (pharmacotherapy) initiation
rates. We developed broad literature search strategies for PubMed, Embase, and
Cochrane Library databases, and applied inclusion/exclusion criteria to select
relevant studies. Random-effects meta-analyses were performed for outcomes of
BMD/DXA testing and/or osteoporosis treatment. Forty-three randomized clinical
studies met inclusion criteria. For increasing BMD/DXA testing in patients with
recent or prior fracture, meta-analyses demonstrated several efficacious
strategies, including orthopedic surgeon or fracture clinic initiation of
osteoporosis evaluation or management (risk difference 44%, 95% confidence
interval [CI] 26%-63%), fracture liaison service/case management (risk difference
43%, 95% CI 23%-64%), multifaceted interventions targeting providers and patients
(risk difference 24%, 95% CI 15%-32%), and patient education and/or activation
(risk difference 16%, 95% CI 6%-26%). For increasing osteoporosis treatment in
patients with recent or prior fracture, meta-analyses demonstrated significant
efficacy for interventions of fracture liaison service/case management (risk
difference 20%, 95% CI 1%-40%) and multifaceted interventions targeting providers
and patients (risk difference 12%, 95% CI 6%-17%). The only quality improvement
strategy for which meta-analysis findings demonstrated significant improvement of
osteoporosis care for patient populations including individuals without prior
fracture was patient self-scheduling of DXA plus education, for increasing the
outcome of BMD testing (risk difference 13%, 95% CI 7%-18%). The meta-analyses
findings were limited by small number of studies in each analysis; high between
study heterogeneity; sensitivity to removal of individual studies; and unclear
risk of bias of included studies. Despite the limitations of the current body of
evidence, our findings indicate there are several strategies that appear
worthwhile to enact to try to improve osteoporosis screening and/or treatment
rates. (c) 2018 American Society for Bone and Mineral Research.
PMID- 29637660
TI - Ethnic Group Differences in Bullying Perpetration: A Meta-Analysis.
AB - We examined ethnic differences in bullying perpetration in order to assess
whether ethnic group membership was associated with higher involvement among (1)
nonimmigrant and immigrant youth; and (2) White and visible minority youth (i.e.,
Black, Hispanic, Asian, Indigenous, and Biracial). Fifty-three studies (N =
740,176; 6-18-year-olds) were included in the meta-analysis. Results yielded very
small and nonsignificant effect size differences across all group comparisons.
Methodological moderator analyses indicated several differences across groups.
Our findings provide initial support that the assessment of ethnicity as a
descriptive variable is not sufficient to account for group differences in
bullying perpetration.
PMID- 29637662
TI - Early salivary changes in multiple myeloma patients undergoing autologous HSCT.
AB - OBJECTIVE: One explorative observational study in two parts was performed to
examine early salivary changes in relation to oral mucositis (OM) in multiple
myeloma patients treated with high-dose melphalan and autologous haematopoietic
stem cell transplantation (HSCT). As cryotherapy was introduced after part A as
regular care, its effect on OM could be evaluated. METHODS: Unstimulated whole
mouth saliva (UWS) and stimulated whole-mouth saliva (SWS) were collected, and OM
was scored with the Oral Mucositis Nursing Instrument (OMNI) at days -3, 0, 4, 7,
11 and 14 after HSCT. Salivary flow rate, total protein (BCA), mucin 5B, albumin
(western blot), total IgA, lactoferrin and myeloperoxidase levels (ELISA) were
determined. RESULTS: Trends of decreasing UWS and SWS flow rates and total IgA
levels were observed. At days 7 and 11, increases in lactoferrin and albumin
levels were found in UWS and SWS. A positive correlation was found between OMNI
scores and albumin and lactoferrin levels in SWS (R2 = .56, p = .029 and R2 =
.49, p = .043, respectively). In part B, cryotherapy significantly lowered peak
OMNI scores. CONCLUSION: Compositional changes in saliva reflecting inflammation
were found in the first days after HSCT, and the use of cryotherapy in the second
part was associated with decreased OM severity.
PMID- 29637661
TI - Cognitive performance in antidepressant-free recurrent major depressive disorder.
AB - BACKGROUND: Cognitive complaints are common in depression, and cognition may be
an important treatment target as cognitive problems often remain during remission
and may contribute to recurrence risk. Previous studies of cognitive performance
in depression have mainly examined late-life depression, with a focus on older
adults, or assessed performance in specific cognitive tasks rather than cognitive
domains. METHODS: This study examined cognitive performance across multiple
cognitive domains in antidepressant-free depressed adults with early onset
recurrent depression compared to never-depressed controls. Domain scores were
calculated for episodic memory, executive function, processing speed, and working
memory, and the effect of depression diagnosis, depression severity, and
depression duration on each domain score was examined, including interactions
with age, sex, and education. RESULTS: Currently depressed adults (n = 91)
exhibited poorer performance in the processing speed domain compared with never
depressed adults (n = 105). Additionally, there was an interactive effect of
depression duration and age on processing speed and executive function domain
performance, such that performance was worse with older age and longer duration
of depression. There were no effects of depression severity on performance across
the cognitive domains. CONCLUSIONS: These findings support that processing speed
deficits appear in young adults with early onset depression that may not be
related to current mood. Additionally, the effects of cumulative depressive
episodes may interact with aging such that cognitive performance deficits worsen
with recurrence over the lifespan.
PMID- 29637663
TI - Digital phenotyping of suicidal thoughts.
AB - BACKGROUND: To examine whether there are subtypes of suicidal thinking using real
time digital monitoring, which allows for the measurement of such thoughts with
greater temporal granularity than ever before possible. METHODS: We used
smartphone-based real-time monitoring to assess suicidal thoughts four times per
day in two samples: Adults who attempted suicide in the past year recruited from
online forums (n = 51 participants with a total of 2,889 responses, surveyed over
28 days; ages ranged from 18 to 38 years) and psychiatric inpatients with recent
suicidal ideation or attempts (n = 32 participants with a total of 640 responses,
surveyed over the duration of inpatient treatment [mean stay = 8.79 days], ages
ranged 23-68 years). Latent profile analyses were used to identify distinct
phenotypes of suicidal thinking based on the frequency, intensity, and
variability of such thoughts. RESULTS: Across both samples, five distinct
phenotypes of suicidal thinking emerged that differed primarily on the intensity
and variability of suicidal thoughts. Participants whose profile was
characterized by more severe, persistent suicidal thoughts (i.e., higher mean and
lower variability around the mean) were most likely to have made a recent suicide
attempt. CONCLUSIONS: Suicidal thinking has historically been studied as a
homogeneous construct, but using newly available monitoring technology we
discovered five profiles of suicidal thinking. Key questions for future research
include how these phenotypes prospectively relate to future suicidal behaviors,
and whether they represent remain stable or trait-like over longer periods.
PMID- 29637664
TI - Intra- and interobserver agreement for fetal cerebral measurements in 3D
ultrasonography.
AB - The aim of this study is to evaluate intra- and interobserver agreement for
measurement of intracranial, cerebellar, and thalamic volume with the Virtual
Organ Computer-aided AnaLysis (VOCAL) technique in three-dimensional ultrasound
images, in comparison to two-dimensional measurements of these brain structures.
Three-dimensional ultrasound images of the brains of 80 fetuses at 20-24 weeks'
gestational age were obtained from YOUth, a Dutch prospective cohort study. Two
observers performed offline measurement of the occipitofrontal diameter,
intracranial volume, transcerebellar diameter, cerebellar volume, and thalamic
width, area, and volume, independently. VOCAL was used for calculation of the
volumes. The two-way random, single measures intraclass correlation coefficient
(ICC) was used for analysis of agreement and Bland-Altman plots were configured.
Intra- and interobserver agreement was almost perfect for occipitofrontal
diameter (intra ICC 0.88, 95% CI 0.82-0.92; inter ICC 0.91, 95% CI 0.85-0.94),
intracranial volume (intra ICC 0.96, 95% CI 0.91-0.98; inter ICC 0.97, 95% CI
0.96-0.98) and transcerebellar diameter (intra ICC 0.91, 95% CI 0.86-0.94; inter
ICC 0.86, 95% CI 0.78-0.910). For cerebellar volume, the intraobserver agreement
was almost perfect (0.85, 95% CI 0.76-0.90), whereas the interobserver agreement
was substantial (0.75, 95% CI 0.44-0.88). Agreement was only moderate for
thalamic measurements. Bland-Altman plots for the volume measurements are
normally distributed with acceptable mean differences and 95% limits of
agreement. The intra- and interobserver agreement of the measurement of
intracranial and cerebellar volume with VOCAL was almost perfect. These
measurements are therefore reliable, and can be used to investigate fetal brain
development. Thalamic measurements are not reliable enough.
PMID- 29637665
TI - Evaluating dimensional models of psychopathology in outpatients diagnosed with
emotional disorders: A cautionary tale.
AB - BACKGROUND: Mental disorders cluster together systematically. Through factor
analysis of disorder comorbidity, investigators are establishing the latent
dimensions that underlie the development of related syndromes. However, these
dimensions have not been validated across diverse patient samples, in which
comorbidity patterns vary widely. METHOD: We assessed 4,928 outpatients seeking
treatment for emotional disorders with a semistructured diagnostic interview.
This was the largest patient sample as yet for an evaluation of the latent
structure of mental disorders. We compared several competing dimensional models
of common mental disorders via confirmatory factor analysis. RESULTS: The
hypothesized confirmatory factor model-anchored by internalizing and
externalizing spectra-fit the diagnostic data poorly. Neither a one-factor model,
reflecting a unitary liability to all mental disorders, nor a three-factor model,
wherein the internalizing dimension bifurcated into distress and fear subfactors,
fit appreciably better. CONCLUSIONS: These data provide novel evidence that the
internalizing and externalizing spectra are not structurally sound in all
clinical contexts. We speculate about the causes of model misfit and advise
additional research into the generalizability-with respect to sample, input data,
developmental stage, and more-of dimensional models of mental disorder.
PMID- 29637666
TI - Rouleaux red blood cells splitting in microscopic thin blood smear images via
local maxima, circles drawing, and mapping with original RBCs.
AB - Splitting the rouleaux RBCs from single RBCs and its further subdivision is a
challenging area in computer-assisted diagnosis of blood. This phenomenon is
applied in complete blood count, anemia, leukemia, and malaria tests. Several
automated techniques are reported in the state of art for this task but face
either under or over splitting problems. The current research presents a novel
approach to split Rouleaux red blood cells (chains of RBCs) precisely, which are
frequently observed in the thin blood smear images. Accordingly, this research
address the rouleaux splitting problem in a realistic, efficient and automated
way by considering the distance transform and local maxima of the rouleaux RBCs.
Rouleaux RBCs are splitted by taking their local maxima as the centres to draw
circles by mid-point circle algorithm. The resulting circles are further mapped
with single RBC in Rouleaux to preserve its original shape. The results of the
proposed approach on standard data set are presented and analyzed statistically
by achieving an average recall of 0.059, an average precision of 0.067 and F
measure 0.063 are achieved through ground truth with visual inspection.
PMID- 29637668
TI - Photocatalytic CO2 Reduction on TiO2 -Based Materials under Controlled Reaction
Conditions: Systematic Insights from a Literature Study.
AB - Photocatalytic CO2 conversion to hydrocarbons (sometimes referred to as
'artificial photosynthesis'), which mimics natural photosynthesis with purely
inorganic photocatalysts, has the potential to simultaneously combat the energy
crisis and the greenhouse effect. In more than half of all reported studies to
date, TiO2 -based materials are used as the photocatalyst. Yet, the reaction
conditions and reactor designs employed in previous studies cover a vast range,
hindering mutual comparisons of observed activities and selectivity. In this
work, a systematic literature study is attempted, including a selection of only
such research publications which report experimental conditions of high purity
and a proof of the carbon source (blank experiments, 13 CO2 isotope labelling or
stoichiometric O2 identification) for CO2 photoreduction. General trends were
then detected and discussed, aiming to guide future research to more efficient
photocatalytic systems.
PMID- 29637667
TI - A longitudinal study of risk factors for suicide attempts among Operation
Enduring Freedom and Operation Iraqi Freedom veterans.
AB - BACKGROUND: Suicide rates among veterans have increased markedly since the onset
of Operations Enduring Freedom (OEF) and Iraqi Freedom (OIF; LeardMann et al.,
2013). Identification of factors with the greatest contribution to suicide risk
among veterans is needed to inform risk assessment and to identify intervention
targets. METHODS: This study examined predictors of suicide attempts among
participants in the Veterans After-Discharge Longitudinal Registry; a nationwide
cohort of OEF/OIF veterans enrolled in Department of Veterans Affairs (VA)
services. Veterans with and without probable posttraumatic stress disorder (PTSD)
were sampled at a 3:1 ratio, and male and female veterans were sampled at a 1:1
ratio. Participants (N = 1,649) were assessed at two time points, roughly 2 years
apart (M = 28.74 months, SD = 8.72). RESULTS: Seventy-four participants (4.49%)
attempted suicide during the follow-up period. The strongest predictors of
suicide attempts among the full sample were suicidal intent, attempt history,
suicide ideation, PTSD symptoms, alcohol use disorder (AUD) symptoms, and
depression. Veterans with multiple risk factors were particularly vulnerable; of
veterans with 0, >=1, >=2, >=3, or >= 4 of these risk factors, 0%, 7.81%, 10.31%,
18.45%, and 20.51% made a suicide attempt, respectively. CONCLUSIONS: This
prospective study identified several strong predictors of suicide attempts among
OEF/OIF veterans which may be important targets for suicide prevention efforts.
Further, co-occurrence of multiple risk factors was associated with markedly
greater risk for suicide attempts; veterans with multiple risk factors appear to
be at the highest risk among OEF/OIF veterans enrolled in VA care.
PMID- 29637669
TI - The World Health Organization 1973 classification system for grade is an
important prognosticator in T1 non-muscle-invasive bladder cancer.
AB - OBJECTIVES: To compare the prognostic value of the World Health Organization
(WHO) 1973 and 2004 classification systems for grade in T1 bladder cancer (T1
BC), as both are currently recommended in international guidelines. PATIENTS AND
METHODS: Three uro-pathologists re-revised slides of 601 primary (first
diagnosis) T1-BCs, initially managed conservatively (bacille Calmette-Guerin) in
four hospitals. Grade was defined according to WHO1973 (Grade 1-3) and WHO2004
(low-grade [LG] and high-grade [HG]). This resulted in a lack of Grade 1 tumours,
188 (31%) Grade 2, and 413 (69%) Grade 3 tumours. There were 47 LG (8%) vs 554
(92%) HG tumours. We determined the prognostic value for progression-free
survival (PFS) and cancer-specific survival (CSS) in Cox-regression models and
corrected for age, sex, multiplicity, size and concomitant carcinoma in situ.
RESULTS: At a median follow-up of 5.9 years, 148 patients showed progression and
94 died from BC. The WHO1973 Grade 3 was negatively associated with PFS (hazard
ratio [HR] 2.1) and CSS (HR 3.4), whilst WHO2004 grade was not prognostic. On
multivariable analysis, WHO1973 grade was the only prognostic factor for
progression (HR 2.0). Grade 3 tumours (HR 3.0), older age (HR 1.03) and tumour
size >3 cm (HR 1.8) were all independently associated with worse CSS. CONCLUSION:
The WHO1973 classification system for grade has strong prognostic value in T1-BC,
compared to the WHO2004 system. Our present results suggest that WHO1973 grade
cannot be replaced by the WHO2004 classification in non-muscle-invasive BC
guidelines.
PMID- 29637670
TI - Monoamine Oxidase Deficiency Causes Prostate Atrophy and Reduces Prostate
Progenitor Cell Activity.
AB - Monoamine oxidases (MAOs) degrade a number of biogenic and dietary amines,
including monoamine neurotransmitters, and play an essential role in many
biological processes. Neurotransmitters and related neural events have been shown
to participate in the development, differentiation, and maintenance of diverse
tissues and organs by regulating the specialized cellular function and
morphological structures of innervated organs such as the prostate. Here we show
that mice lacking both MAO isoforms, MAOA and MAOB, exhibit smaller prostate mass
and develop epithelial atrophy in the ventral and dorsolateral prostates. The
cellular composition of prostate epithelium showed reduced CK5+ or p63+ basal
cells, accompanied by lower Sca-1 expression in p63+ basal cells, but intact
differentiated CK8+ luminal cells in MAOA/B-deficient mouse prostates. MAOA/B
ablation also decreased epithelial cell proliferation without affecting cell
apoptosis in mouse prostates. Using a human prostate epithelial cell line, we
found that stable knockdown of MAOA and MAOB impaired the capacity of prostate
stem cells to form spheres, coinciding with a reduced CD133+ /CD44+ /CD24- stem
cell population and less expression of CK5 and select stem cell markers,
including ALDH1A1, TROP2, and CD166. Alternative pharmacological inhibition of
MAOs also repressed prostate cell stemness. In addition, we found elevated
expression of MAOA and MAOB in epithelial and/or stromal components of human
prostate hyperplasia samples compared with normal prostate tissues. Taken
together, our findings reveal critical roles for MAOs in the regulation of
prostate basal progenitor cells and prostate maintenance. Stem Cells 2018;36:1249
1258.
PMID- 29637671
TI - Near-Infrared Sensitized Photoinduced Atom-Transfer Radical Polymerization (ATRP)
with a Copper(II) Catalyst Concentration in the ppm Range.
AB - NIR-sensitized photoinduced atom-transfer radical polymerization (ATRP) is
possible by using ppm of CuII /tris(2-pyridylmethyl)amine (TPMA) as the catalyst,
a polymethine as the photosensitizer, and alpha-bromophenylacetate as the alkyl
halide initiator. Among the polymethines investigated with cationic,
zwitterionic, or anionic structures, only the zwitterionic 2 exhibited
sensitization activity under NIR light at room temperature resulting in the
formation of polymers with controlled molecular weight characteristics and
functionalities. The barbital group placed at the meso-position of 2 caused the
activity in this photo-ATRP framework. The chain-end fidelity of the polymers was
confirmed by chain extension and block copolymerization experiments. The
polymerization system exhibits high photostability under NIR light exposure and
irradiation dependency as demonstrated by light on/off experiments.
PMID- 29637672
TI - Triggered intravoxel incoherent motion MRI for the assessment of calf muscle
perfusion during isometric intermittent exercise.
AB - The main aim of this paper was to propose triggered intravoxel incoherent motion
(IVIM) imaging sequences for the evaluation of perfusion changes in calf muscles
before, during and after isometric intermittent exercise. Twelve healthy
volunteers were involved in the study. The subjects were asked to perform
intermittent isometric plantar flexions inside the MRI bore. MRI of the calf
muscles was performed on a 3.0 T scanner and diffusion-weighted (DW) images were
obtained using eight different b values (0 to 500 s/mm2 ). Acquisitions were
performed at rest, during exercise and in the subsequent recovery phase. A motion
triggered echo-planar imaging DW sequence was implemented to avoid movement
artifacts. Image quality was evaluated using the average edge strength (AES) as a
quantitative metric to assess the motion artifact effect. IVIM parameters
(diffusion D, perfusion fraction f and pseudo-diffusion D*) were estimated using
a segmented fitting approach and evaluated in gastrocnemius and soleus muscles.
No differences were observed in quality of IVIM images between resting state and
triggered exercise, whereas the non-triggered images acquired during exercise had
a significantly lower value of AES (reduction of more than 20%). The isometric
intermittent plantar-flexion exercise induced an increase of all IVIM parameters
(D by 10%; f by 90%; D* by 124%; fD* by 260%), in agreement with the increased
muscle perfusion occurring during exercise. Finally, IVIM parameters reverted to
the resting values within 3 min during the recovery phase. In conclusion, the
IVIM approach, if properly adapted using motion-triggered sequences, seems to be
a promising method to investigate muscle perfusion during isometric exercise.
PMID- 29637673
TI - Reconstruction of Supported Metal Nanoparticles in Reaction Conditions.
AB - Metal nanoparticles (NPs) dispersed on a high-surface-area support are normally
used as heterogeneous catalysts. Recent in situ experiments have shown that
structure reconstruction of the NP occurs in real catalysis. However, the role
played by supports in these processes is still unclear. Supports can be very
important in real catalysis because of the new active sites at the perimeter
interface between nanoparticles and supports. Herein, using a developed
multiscale model coupled with in situ spherical aberration-corrected (Cs
corrected) TEM experiments, we show that the interaction between the support and
the gas environment greatly changes the contact surface area between the metal
and support, which further leads to the critical change in the perimeter
interface. The dynamic changes of the interface in reactive environments can thus
be predicted and be included in the rational design of supported metal
nanocatalysts. In particular, our multiscale model shows quantitative consistency
with experimental observations. This work offers possibilities for obtaining
atomic-scale structures and insights beyond the experimental limits.
PMID- 29637674
TI - Psychosocial predictors of medication non-adherence in pediatric organ
transplantation: A systematic review.
AB - Adherence to immunosuppressant medication is critical to health and quality-of
life outcomes for children who have received a solid organ transplant. Research
on the psychological and social predictors of medication adherence is essential
to the advancement of pretransplant assessments and transplant psychosocial
services. Despite the importance of identifying risk factors, the literature
remains limited regarding psychosocial predictors of non-adherence. A systematic
search was conducted to identify studies of the psychosocial predictors of post
transplant medication non-adherence in pediatric solid organ transplantation.
From 1363 studies identified in searches of empirical literature, a final sample
consisted of 54 publications representing 49 unique studies. Findings regarding
psychosocial predictors were inconsistent with non-adherence associated largely
with adolescence, racial/ethnic minority status, and presence of mental health
issues. Familial predictors of non-adherence problems included single-parent
households, lower socioeconomic status, lower family cohesion, presence of family
conflict, and poor family communication. Several studies reported an association
between non-adherence and social pressures (eg, peer social interaction, wanting
to feel normal) among adolescent transplant recipients. While significant
methodological and substantive gaps remain in this body of knowledge, this review
synthesizes current evidence for assessment for transplant clinicians and
researchers.
PMID- 29637675
TI - Facile, Quick, and Gram-Scale Synthesis of Ultralong-Lifetime Room-Temperature
Phosphorescent Carbon Dots by Microwave Irradiation.
AB - Long-lifetime room-temperature phosphorescence (RTP) materials are important for
many applications, but they are highly challenging materials owing to the spin
forbidden nature of triplet exciton transitions. Herein, a facile, quick and gram
scale method for the preparation of ultralong RTP (URTP) carbon dots (CDs) was
developed via microwave-assisted heating of ethanolamine and phosphoric acid
aqueous solution. The CDs exhibit the longest RTP lifetime, 1.46 s (more than 10
s to naked eye) for CDs-based materials to date. The doping of N and P elements
is critical for the URTP which is considered to be favored by a n->pi* transition
facilitating intersystem crossing (ISC) for effectively populating triplet
excitons. In addition, possibilities of formation of hydrogen bonds in the
interior of the CDs may also play a significant role in producing RTP. Potential
applications of the URTP CDs in the fields of anti-counterfeiting and information
protection are proposed and demonstrated.
PMID- 29637676
TI - Miniaturized Biosensors to Preserve and Monitor Cultural Heritage: from Medical
to Conservation Diagnosis.
AB - The point-of-care testing concept has been exploited to design and develop
portable and cheap bioanalytical systems that can be used on-site by
conservators. These systems employ lateral flow immunoassays to simultaneously
detect two proteins (ovalbumin and collagen) in artworks. For an in-depth study
on the application of these portable biosensors, both chemiluminescent and
colorimetric detections were developed and compared in terms of sensitivity and
feasibility. The chemiluminescent system displayed the best analytical
performance (that is, two orders of magnitude lower limits of detection than the
colorimetric system). To simplify its use, a disposable cartridge was designed ad
hoc for this specific application. These results highlight the enormous potential
of these inexpensive, easy-to-use, and minimally invasive diagnostic tools for
conservators in the cultural heritage field.
PMID- 29637677
TI - A Biomimetic Nickel Complex with a Reduced CO2 Ligand Generated by Formate
Deprotonation and Its Behaviour towards CO2.
AB - Reduced CO2 species are key intermediates in a variety of natural and synthetic
processes. In the majority of systems, however, they elude isolation or
characterisation owing to high reactivity or limited accessibility (heterogeneous
systems), and their formulations thus often remain uncertain or are based on
calculations only. We herein report on a Ni-CO22- complex that is unique in many
ways. While its structural and electronic features help understand the CO2 -bound
state in Ni,Fe carbon monoxide dehydrogenases, its reactivity sheds light on how
CO2 can be converted into CO/CO32- by nickel complexes. In addition, the complex
was generated by a rare example of formate beta-deprotonation, a mechanistic step
relevant to the nickel-catalysed conversion of Hx COyz- at electrodes and formate
oxidation in formate dehydrogenases.
PMID- 29637678
TI - Reducing research waste through the standardisation of outcomes and definitions.
PMID- 29637679
TI - Behavioral and psychosocial factors associated with suicidal ideation among
adolescents.
AB - Suicidal ideation poses a serious threat to the well-being of adolescents and is
the strongest risk factor for suicide. Indeed, Korea ranks first among
Organisation for Economic Cooperation and Development countries regarding the age
standardized suicide rates. In the present study, we examined multiple levels of
factors associated with the suicidal ideation of adolescents in Korea by applying
the Ecological Models of Health Behavior. A cross-sectional study was conducted
with a convenience sample of 860 adolescents. The instruments included the Beck
Depression Inventory and the Adolescent Mental Health and Problem Behavior
Questionnaire. The data were analyzed using hierarchical multiple regression.
Sixteen percent of participants reported suicidal ideation. Intrapersonal (sleep
disturbance, Internet game addiction, destructive behavior, and depressive
symptoms) and interpersonal factors (family conflicts and peer victimization)
were associated with suicidal ideation. Because multiple factors were associated
with suicidal ideation among adolescents, both intrapersonal (sleep disturbance,
Internet game addiction, and depression) and interpersonal factors (family
conflicts and peer problems) should be considered in the development of suicide
prevention programs. These programs could include campaigns changing the norms
(permissive attitudes toward school violence) and the development of strict and
rigorous school non-violence policies.
PMID- 29637680
TI - Divergent Control of Point and Axial Stereogenicity: Catalytic Enantioselective C
N Bond-Forming Cross-Coupling and Catalyst-Controlled Atroposelective
Cyclodehydration.
AB - Catalyst control over reactions that produce multiple stereoisomers is a
challenge in synthesis. Control over reactions that involve stereogenic elements
remote from one another is particularly uncommon. Additionally, catalytic
reactions that address both stereogenic carbon centers and an element of axial
chirality are also rare. Reported herein is a catalytic approach to each
stereoisomer of a scaffold containing a stereogenic center remote from an axis of
chirality. Newly developed peptidyl copper complexes catalyze an unprecedented
remote desymmetrization involving enantioselective C-N bond-forming cross
coupling. Then, chiral phosphoric acid catalysts set an axis of chirality through
an unprecedented atroposelective cyclodehydration to form a heterocycle with high
diastereoselectivity. The application of chiral copper complexes and phosphoric
acids provides access to each stereoisomer of a framework with two different
elements of stereogenicity.
PMID- 29637681
TI - Bio-inspired Domino oxa-Michael/Diels-Alder/oxa-Michael Dimerization of para
Quinols.
AB - A bio-inspired, pyrrolidine-mediated, dimerization of para-quinols has been
developed. It represents one of the most complex, yet general, dimerization
reactions ever disclosed, selectively forming four new bonds, four new rings, and
eight new contiguous stereogenic centres. The para-quinol starting materials are
easily handled, bench-stable compounds, accessed in just one step from aromatic
feedstocks. The reaction can be scaled up to give grams of polycyclic material,
primed for further elaboration.
PMID- 29637683
TI - Germ layers, the neural crest and emergent organization in development and
evolution.
AB - Discovered in chick embryos by Wilhelm His in 1868 and named the neural crest by
Arthur Milnes Marshall in 1879, the neural crest cells that arise from the neural
folds have since been shown to differentiate into almost two dozen vertebrate
cell types and to have played major roles in the evolution of such vertebrate
features as bone, jaws, teeth, visceral (pharyngeal) arches, and sense organs. I
discuss the discovery that ectodermal neural crest gave rise to mesenchyme and
the controversy generated by that finding; the germ layer theory maintained that
only mesoderm could give rise to mesenchyme. A second topic of discussion is germ
layers (including the neural crest) as emergent levels of organization in animal
development and evolution that facilitated major developmental and evolutionary
change. The third topic is gene networks, gene co-option, and the evolution of
gene-signaling pathways as key to developmental and evolutionary transitions
associated with the origin and evolution of the neural crest and neural crest
cells.
PMID- 29637682
TI - Chimeric 3' flanking regions strongly enhance gene expression in plants.
AB - Plants represent a promising platform for the highly scalable production of
recombinant proteins. Previously, we identified the tobacco extensin terminator
lacking its intron as an element that reduced transcript read-through and
improved recombinant protein production in a plant-based system. In this study,
we systematically compared nonreplicating plant expression vectors containing
over 20 commonly used or newly identified terminators from diverse sources. We
found that eight gene terminators enhance reporter gene expression significantly
more than the commonly used 35S and NOS terminators. The intronless extensin
terminator provided a 13.6-fold increase compared with the NOS terminator.
Combining terminators in tandem produced large synergistic effects, with many
combinations providing a >25-fold increase in expression. Addition of the tobacco
Rb7 or TM6 matrix attachment region (MAR) strongly enhanced protein production
when added to most terminators, with the Rb7 MAR providing the greatest
enhancement. Using deletion analysis, the full activity of the 1193 bp Rb7 MAR
was found to require only a 463-bp region at its 3' end. Combined terminators and
MAR together provided a >60-fold increase compared with the NOS terminator alone.
These combinations were then placed in a replicating geminiviral vector,
providing a total of >150-fold enhancement over the original NOS vector,
corresponding to an estimated yield of 3-5 g recombinant protein per kg leaf
fresh weight or around 50% of the leaf total soluble protein. These results
demonstrate the importance of 3' flanking regions in optimizing gene expression
and show great potential for 3' flanking regions to improve DNA-based recombinant
protein production systems.
PMID- 29637684
TI - Selective Monomethylation of Amines with Methanol as the C1 Source.
AB - The N-monomethyl functionality is a common motif in a variety of synthetic and
natural compounds. However, facile access to such compounds remains a fundamental
challenge in organic synthesis owing to selectivity issues caused by
overmethylation. To address this issue, we have developed a method for the
selective, catalytic monomethylation of various structurally and functionally
diverse amines, including typically problematic primary aliphatic amines, using
methanol as the methylating agent, which is a sustainable chemical feedstock.
Kinetic control of the aliphatic amine monomethylation was achieved by using a
readily available ruthenium catalyst at an adequate temperature under hydrogen
pressure. Various substrates including bio-related molecules and pharmaceuticals
were selectively monomethylated, demonstrating the general utility of the
developed method.
PMID- 29637685
TI - Characteristic features of thermoluminescence in neodymium-doped gallium sulfide.
AB - The thermoluminescence (TL) of neodymium-doped gallium sulfide (GaS:Nd) single
crystals was measured from 10 K to room temperature with various heating rates
between 0.2 and 1.0 K/sec. Two peaks centered at 70.9 K and 116.0 K were observed
when using a heating rate of 0.8 K/sec. Initial rise and curve fitting methods
were used to obtain information on trap activation energies. Activation energies
of 94 and 216 meV were found for two analyzable peaks. The heating rate
dependencies of TL intensities revealed that one of the observed peaks showed
normal behavior according to the one trap-one recombination model, whereas the
other model showed anomalous heating rate behavior. TL experiments were also
carried out at different illumination temperatures from 10 to 32 K; maximum peak
temperature remained almost the same at various illumination temperatures. This
behavior indicated that the revealed trapping centers are single, discrete
levels. The TL glow curves of undoped GaS crystals were also investigated and the
effect of Nd doping on the TL characteristics of crystals is discussed in the
manuscript.
PMID- 29637686
TI - Nordihydroguaiaretic acid can suppress progression of experimental autoimmune
encephalomyelitis.
AB - Multiple sclerosis (MS) is a poorly understood disease mechanistically. MOG35-55
peptide induced experimental autoimmune encephalomyelitis (EAE) is a broadly used
model to study MS. Using this model we have earlier shown that the antioxidant
tempol or the small molecule inhibitor of p38 SB203580 can effectively prevent
EAE progression. This effect was mediated by means of regulating immune
inflammation, signaling by the p38MAPK-SGK1 pathway, and oxidative stress.
However, there is a need to test drugs that can be used in pharmacological
intervention of EAE. Given that nordihydroguaiaretic Acid (NDGA) has been shown
to possess anti-oxidant activity and capacity of antagonizing autoimmune
inflammation, we tested the effect of NDGA in ameliorating EAE in the current
study. NDGA showed significant beneficial effect against EAE with both anti
inflammation and antioxidant activity. NDGA could weaken the immune inflammation
at least partly by inhibiting the oxidant stress-p38MAPK-SGK1 pathway
representing a target for putative pharmacological intervention. (c) 2018 IUBMB
Life, 70(5):432-436, 2018.
PMID- 29637687
TI - Pediatric Early Warning Systems aid in triage to intermediate versus intensive
care for pediatric oncology patients in resource-limited hospitals.
AB - Pediatric oncology patients hospitalized in resource-limited settings are at high
risk for clinical deterioration resulting in mortality. Intermediate care units
(IMCUs) provide a cost-effective alternative to pediatric intensive care units
(PICUs). Inappropriate IMCU triage, however, can lead to poor outcomes and
suboptimal resource utilization. In this study, we sought to characterize
patients with clinical deterioration requiring unplanned transfer to the IMCU in
a resource-limited pediatric oncology hospital. Patients requiring subsequent
early PICU transfer had longer PICU length of stay. PEWS results prior to IMCU
transfer were higher in patients requiring early PICU transfer, suggesting PEWS
can aid in triage between IMCU and PICU care.
PMID- 29637688
TI - Epidemiologic and clinical characteristics of nontransfusion-dependent
thalassemia in the United States.
AB - BACKGROUND: Nontransfusion-dependent thalassemia (NTDT) refers to a diverse group
of thalassemia mutations and clinical phenotypes that do not require chronic
transfusions. It is increasingly prevalent in the United States. PROCEDURE: This
study reviews the epidemiology and clinical characteristics of 138 patients with
NTDT treated at four US thalassemia centers from 1997 to 2014. Data on laboratory
results, transfusions, and clinical complications were collected from patient
charts. RESULTS: Overall, 84 patients with alpha-thalassemia (62 deletional
hemoglobin H; 22 nondeletional hemoglobin H), 39 with beta-thalassemia (26 with
homozygous or double heterozygous beta mutations; 13 with single beta mutations
with or without alpha triplication), and 15 with E/beta-thalassemia (12 E/beta0 ;
three E/beta+ ) were identified. At study entry, the median age for patients with
alpha-thalassemia was 2.3 years; 9.2 years for patients with beta-thalassemia and
2.2 years for patients with E/beta-thalassemia. Most patients with alpha
thalassemia were Asian. Patients with beta-thalassemia were predominantly
Caucasian (46%) or of African descent (36%). Twenty percent of patients were born
outside the United States and 5% were transfused before immigration.
Complications varied by genotype and age. Individuals with nondeletional
hemoglobin H were severely affected and, despite their young age, had many
complications. Iron overload increased with age and was more common in patients
who received transfusions. CONCLUSIONS: NTDT in the United States is a multi
ethnic disease with different genotypic mutations and phenotypic manifestations.
A higher than expected proportion of patients was Black/African American. NTDT
related complications are common and increase with age, supporting a need for
early diagnosis.
PMID- 29637689
TI - Efficacy of a clinical pathway for patients with thyroid cancer.
AB - BACKGROUND: Clinical pathways have been proposed as a way to improve
organizational efficiency and maximize patient outcomes. However, little is known
as to whether a clinical pathway is effective for thyroid cancer. METHODS: The
study subjects included 216 patients who were managed after clinical pathway
implementation and 145 control patients. Length of stay, cost per patient, and
nurses' satisfaction were compared in the 2 groups. RESULTS: Mean length of stay
was 0.8 days shorter in the clinical pathway group than in the control group (2.9
vs 3.7 days; P = .023). Cost per patient was also lower in the clinical pathway
than in the control group (USD $3953.00 vs USD $4636.00; P < .001). Nurses'
overall satisfaction scores improved from 71.6% before to 82.5% after
implementation of the clinical pathway and their job characteristics scores
increased from 61.1% to 75.0%. CONCLUSION: Implementation of a clinical pathway
for thyroid cancer can improve nurses' satisfaction with reduction of hospital
stay and costs.
PMID- 29637692
TI - Eversion Bile Duct Anastomosis: A Safe Alternative for Bile Duct Size Discrepancy
in Deceased Donor Liver Transplantation.
AB - Bile duct size discrepancy in liver transplantation may increase the risk of
biliary complications (BCs). The aim of this study was to evaluate the safety and
outcomes of the eversion bile duct anastomosis technique in deceased donor liver
transplantation (DDLT) with duct-to-duct anastomosis. A total of 210 patients who
received a DDLT with duct-to-duct anastomosis from 2012 to 2017 were divided into
2 groups: those who had eversion bile duct anastomosis (n = 70) and those who had
standard bile duct anastomosis (n = 140). BC rates were compared between the 2
groups. There was no difference in the cumulative incidence of biliary strictures
(P = 0.20) and leaks (P = 0.17) between the 2 groups. The BC rate in the eversion
group was 14.3% and 11.4% in the standard anastomosis group. All the BCs in the
eversion group were managed with endoscopic stenting. A severe size mismatch
(>=3:1 ratio) was associated with a significantly higher incidence of biliary
strictures (44.4%) compared with a 2:1 ratio (8.2%; P = 0.002). In conclusion,
the use of the eversion technique is a safe alternative for bile duct discrepancy
in DDLT. However, severe bile duct size mismatch may be a risk factor for biliary
strictures with such a technique.
PMID- 29637691
TI - Aggregation-induced emission enhancement of anthracene-derived Schiff base
compounds and their application as a sensor for bovine serum albumin and optical
cell imaging.
AB - Three anthracene-based Schiff base complexes, R1-R3 (R1 = (E)-N'-((anthracen-10
yl)methylene)benzohydrazide; R2 = (E)-1-((anthracen-10-yl)methylene)-4
phenylsemicarbazide; and R3 = (E)-1-((anthracen-10-yl)methylene)-4
phenylthiosemicarbazide) were synthesized from 9-anthracenecarboxaldehyde,
benzohydrazide, 4-phenylsemicarbazide and 4-phenylthiosemi-carbazide
respectively, and characterized by various spectral techniques. The absorption
spectral characteristics of R1-R3 were bathochromically tuned to the visible
region by extending the pi conjugation. These target compounds were weakly
fluorescent in tetrahydrofuran (THF) solution because of rapid isomerization of
the C=N double bond in the excited state. However, the aqueous dispersion of R1
R3 in the THF/water mixture by the gradual addition of water up to 90% resulted
in an increase in the fluorescence intensity mainly due to aggregation-induced
emission enhancement (AIEE) properties. The formation of nanoaggregates of R1-R3
were confirmed by scanning electron microscopy (SEM) and atomic force microscopy
(AFM) techniques. The compounds R1-R3 are ideal probes for the fluorescence
sensing of bovine serum albumin (BSA) and breast cancer cells by optical cell
imaging.
PMID- 29637693
TI - Oral insulin does not alter gut microbiota composition of NOD mice.
AB - BACKGROUND: Oral insulin as a preventive strategy and/or treatment of type 1
diabetes has been the target of much research. Producing oral insulins is a
complex and challenging task, with numerous pitfalls, due to physiological,
physical, and biochemical barriers. Our aim was to determine the impact of oral
insulin on the delicate gut microbiota composition. METHODS: Female nonobese
diabetic mice were given oral porcine insulin 2 times a week from 5 weeks of age
for 4 weeks, and then subsequently once a week for 21 weeks, or until euthanized.
The mice were divided into groups on a gluten-reduced diet or a standard diet.
Gut microbiota composition was analysed based on faecal samples, and the type 1
diabetes incidence of the mice was monitored. RESULTS: We observed no influence
of the oral porcine insulin on the gut microbiota composition of mice on a gluten
reduced or a standard diet at 9 weeks of age. Also, the administration of oral
insulin did not influence the incidence of type 1 diabetes at 30 weeks of age.
CONCLUSIONS: Oral porcine insulin does not alter the gut microbiota composition
of nonobese diabetic mice on either a gluten-reduced diet or standard diet. Also,
the oral porcine insulin did not influence the incidence of type 1 diabetes in
the groups.
PMID- 29637694
TI - Soluble laminin polymers enhance axon growth of primary neurons in vitro.
AB - A substrate of laminin polymers formed at pH 4 (acidic pH-induced laminin; aLam)
promotes neurite growth of embryonic rat cortical neurons better than a substrate
of similar but structurally different laminin polymers formed at neutral pH
(neutral pH-induced laminin; nLam). We investigated the effects of these laminin
polymers, used as soluble supplements, on neurite growth of cultured adult rat
primary dorsal root ganglion neurons. When added to the culture medium, aLam was
found to promote neurite growth about twofold better than nLam. Immunoblocking
experiments revealed that aLam elicited neurite growth to a similar extent
through the alpha1 or alpha3 integrin subunit, while nLam required the
availability of the alpha1 integrin subunit to elicit neurite growth. With aLam,
but not nLam, immunoblocking of the alpha1 or alpha3 subunit resulted in an
increase in the protein level of the alternative subunit. The presence of a
mature focal adhesion complex, which is associated with neurite growth, was
elevated in neurons in the presence of aLam relative to nLam or culture medium.
Our data indicated that the two types of laminin polymers promote neurite growth
of adult rat primary sensory neurons to a different degree, likely through
different ligand-receptor interactions. These findings support the potential of
soluble laminin polymers as injectable therapeutics for eliciting axon growth
after nervous system injury. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res
Part A: 106A:2372-2381, 2018.
PMID- 29637695
TI - Spectrofluorimetric determination of acotiamide hydrochloride trihydrate in the
presence of its oxidative degradation product.
AB - Acotiamide hydrochloride trihydrate is a novel gastroprokinetic drug which has
been recently approved for the treatment of patients with functional dyspepsia.
This study presents the first reported to investigate the fluorimetric behavior
of acotiamide hydrochloride trihydrate in the presence of its oxidative
degradation product. All variables that affect fluorescence intensity were
studied and optimized. The described method involved the measurement of native
fluorescence of the drug in ethanol at 404 nm after excitation at 326 nm.
Calibration plot was found to be linear over the concentration range 0.1-0.9
MUg/ml. The specificity of the method has been tested via selective determination
of the studied drug in its synthetic mixtures with its degradation product. The
proposed method has been successfully applied to the analysis of the drug in its
new pharmaceutical dosage form and the results have been statistically compared
with the reported HPLC method showing no significant differences by applying t
test and F-test.
PMID- 29637696
TI - In vitro degradation and in vivo toxicity of NanoMatrix3D(r) polycaprolactone and
poly(lactic acid) nanofibrous scaffolds.
AB - Nanofibrous materials present unique properties favorable in many biomedicine and
industrial applications. In this research we evaluated biodegradation, tissue
response and general toxicity of nanofibrous poly(lactic acid) (PLA) and
polycaprolactone (PCL) scaffolds produced by conventional method of
electrospinning and using NanoMatrix3D(r) (NM3D(r) ) technology. Mass density,
scanning electron microscopy and in vitro degradation (static and dynamic) were
used for material characterization, and subcutaneous, intramuscular and
intraperitoneal implantation - for in vivo tests. Biochemical blood analysis and
histology were used to assess toxicity and tissue response. Pore size and fiber
diameter did not differ in conventional and NM3D(r) PLA and PCL materials, but
mass density was significantly lower in NM3D(r) ones. Scaffolds made by
conventional method showed toxic effect during the in-vivo tests due to residual
concentration of chloroform that released with material degradation. NM3D(r)
method allowed cleaning scaffolds from residual solutions that made them nontoxic
and biocompatible. Subcutaneous, intramuscular and intraperitoneal implantation
of PCL and PLA NM3D(r) electrospun nanofibrous scaffolds showed their appropriate
cell conductive properties, tissue and vessels formation in all sites. Thus,
NM3D(r) PCL and PLA nanofibrous electrospun scaffolds can be used in the field of
tissue engineering, surgery, wound healing, drug delivery, and so forth, due to
their unique properties, nontoxicity and biocompatibility. (c) 2018 Wiley
Periodicals, Inc. J Biomed Mater Res Part A: 106A: 2200-2212, 2018.
PMID- 29637698
TI - Muscle biology after spinal cord injury: Recent advances and future challenges.
PMID- 29637697
TI - Temporal trends of splenectomy in pediatric hospitalizations with immune
thrombocytopenia.
AB - BACKGROUND: Splenectomy is considered an effective treatment for immune
thrombocytopenia (ITP) with 70-80% response rate. However, its current use is
limited in children with ITP. It is unclear if the rates of splenectomy have
changed over time. Using a large nationally representative database, we aimed to
study the trends of splenectomy in pediatric hospitalizations with ITP, and the
factors associated with splenectomy during these encounters. METHODS: Using
National (Nationwide) Inpatient Sample (NIS), and international classification of
diseases (9th revision), clinical modification (ICD-9-CM) codes, we studied
pediatric ITP hospitalizations with occurrence of total splenectomy between 2005
and 2014. RESULTS: Out of 37,844 weighted ITP hospitalizations from 2005 to 2014;
total splenectomy was performed in 954 encounters. Splenectomy rate declined over
time (3.4% [2005-2006] to 1.6% [2013-2014], P < 0.001) with the younger age (<=5
years) having the most notable decline (0.91% [2005-2006] to 0.14% [2013-2014], P
< 0.001). Splenectomy had higher odds of being performed electively than non
electively (odds ratio [OR]: 19.34, 95% confidence interval [CI]: 12.06-31.02, P
< 0.001). Encounters with intracranial bleed were associated with the occurrence
of splenectomy (OR: 17.87, 95% CI: 5.07-62.97, P < 0.001). Intracranial bleed (P
< 0.001), gastrointestinal bleed (P < 0.01), sepsis (P < 0.001), and thrombosis
(P < 0.001) were associated with longer length of stay and higher cost of
hospitalization. CONCLUSIONS: Overall, splenectomy rates consistently declined
over time. Intracranial hemorrhage during hospitalizations with ITP was
associated with occurrence of splenectomy. Future studies should continue to
reevaluate the rates of splenectomy in pediatric ITP in the presence of various
second-line pharmacologic agents.
PMID- 29637699
TI - Novel quinazolin-4(3H)-one linked to 1,2,3-triazoles: Synthesis and anticancer
activity.
AB - In this work, a wide range of novel quinazolin-4(3H)-one linked to 1,2,3
triazoles was designed, synthesized, and evaluated against a panel of three human
breast (MDA-MB-231, MCF-7, T-47D), lung (A549), and prostate (PC3) cancer cell
lines. Our results revealed that the anticancer activity of the synthesized
compounds was selectively affected by the presence of methoxy group on the linker
between quinazolinone and 1,2,3-triazole moieties. According to the calculated
IC50 values, compounds 6q, 6w, and 6x showed good cytotoxicity against breast
cancer cell lines even more effective than the reference drug, etoposide.
Compounds 6q and 6u were found to be potent compounds against A549, non-small
cell lung cancer (NSCLC), comparing with erlotinib. Also, the morphological
analysis by acridine orange/ethidium bromide double staining test and flow
cytometry analysis indicated that potent compounds induced apoptosis in human
cancer cell lines. Molecular docking studies were performed to clarify the
inhibition mode of compounds 6g, 6u, 6w, and 6x over the EGFR active site. The
most promising compounds, 6q and 6u, possessing 3-methoxy group were well
oriented to the gatekeeper hydrophobic pocket of EGFR active site and interact
well with Ala719, Val702, and Leu820 through hydrophobic interaction.
PMID- 29637700
TI - Efficacy and safety of administering pediatric treatment to adolescent patients
with mature B-cell non-Hodgkin lymphoma within the Japanese Pediatric
Leukemia/Lymphoma Study Group clinical trial.
AB - BACKGROUND: Currently, there is no standardized treatment for adolescents, aged
15 years or older, with mature B-cell non-Hodgkin lymphoma (B-NHL), although this
age group has been reported to have a poorer prognosis than younger patients.
PROCEDURE: The present study analyzed the data of 321 patients with B-NHL,
enrolled in a pediatric clinical trial, comparing the treatment outcomes between
adolescents (aged 15-18 years, n = 25) and children (<=15 years, n = 297), with a
particular focus on the safety and tolerability of administering pediatric
regimens to adolescents. RESULTS: The probability of event-free survival (EFS) at
4 years was 79.3 +/- 8.3% for the adolescents and 88.0 +/- 1.9% for the children
(P = 0.236). After adjusting for treatment group and lactate dehydrogenase value
at the time of diagnosis, the probability of 4-year EFS of adolescents was lower
than that of children, but only in the patients with central nervous system
positive lymphoma or Burkitt leukemia. The frequency of treatment-related
mortalities, severe adverse events (SAEs), and SAEs leading to treatment
discontinuation or treatment completion rate was similar in adolescent and
pediatric patients. There was no difference in treatment duration between
adolescent and pediatric patients. CONCLUSIONS: The treatment outcomes of
adolescents with B-NHL were not statistically different from those of the
pediatric patients and the safety of a pediatric regimen in adolescents was
similar to that in the pediatric patients. A pediatric treatment foundation can
be adopted for adolescents, although further prospective studies and biological
investigations are required for treatment optimization.
PMID- 29637701
TI - DNA:RNA hybrids at telomeres - when it is better to be out of the (R) loop.
AB - R-loops (RLs) are three-stranded nucleic acid structures that contain a DNA:RNA
hybrid and a displaced DNA strand. Genomic regions with GC skew and a G-rich
transcript are particularly prone to form RLs. RLs play important physiological
roles in cells; however, when present at abnormally high levels, they may
threaten genome stability. The perfect GC skew of telomeric repeats and the
discovery of telomeric repeat-containing RNA (TERRA), a long noncoding transcript
that consists of the G-rich telomeric sequence, make telomeric sequences the
perfect candidates for generating RLs. Indeed, in the past 5 years, telomere R
loops (TRLs) have been demonstrated in Saccharomyces cerevisiae, Trypanosoma
brucei, and human cells. The presence of TRLs in normal human cells that
transcribe low levels of TERRA, suggests a physiological role for these nucleic
structures in telomere maintenance. Abnormally enhanced TERRA transcription, as
found in several human pathological conditions, leads to high TRL levels and
various cellular outcomes, depending on the recombinogenic capabilities of the
cells. Study of TRLs in various organisms highlights the necessity for tight
regulation of these structures, which can switch from beneficial to detrimental
under different conditions. Here, we review the current state of knowledge on
TRLs, describe several means by which TRLs are regulated, and discuss how
findings from yeast are relevant to human pathological scenarios in which TRLs
are deregulated.
PMID- 29637702
TI - Development of an Efficient Dual-Action GST-Inhibiting Anticancer Platinum(IV)
Prodrug.
AB - The cytotoxicity of cisplatin (cDDP) is enhanced when co-administered with
ethacrynic acid (EA), a glutathione S-transferase (GST) inhibitor. A PtIV -EA
conjugate containing a cDDP core and two axial ethacrynate ligands (compound 1)
was shown to be an excellent inhibitor of GST, but did not readily release a PtII
species to exert a synergistic cytotoxic effect. In this study, a redesigned PtIV
construct composed of a cDDP core with one axial ethacrynate ligand and one axial
hydroxido ligand (compound 2) was prepared and shown to overcome the limitations
of compound 1. The EA ligand in 2 is readily released in vitro together with a
cytotoxic PtII species derived from cisplatin, working together to inhibit cell
proliferation in cDDP-resistant human ovarian cancer cells. The in vitro activity
translates well in vivo with 2, showing effective (~80 %) inhibition of tumor
growth in a human ovarian carcinoma A2780 tumor model, while showing considerably
lower toxicity than cisplatin, thus validating the new design strategy.
PMID- 29637703
TI - Tunable and Photoswitchable Chemically Induced Dimerization for Chemo-optogenetic
Control of Protein and Organelle Positioning.
AB - The spatiotemporal dynamics of proteins and organelles play an important role in
controlling diverse cellular processes. Optogenetic tools using photosensitive
proteins and chemically induced dimerization (CID), which allow control of
protein dimerization, have been used to elucidate the dynamics of biological
systems and to dissect the complicated biological regulatory networks. However,
the inherent limitations of current optogenetic and CID systems remain a
significant challenge for the fine-tuning of cellular activity at precise times
and locations. Herein, we present a novel chemo-optogenetic approach,
photoswitchable chemically induced dimerization (psCID), for controlling cellular
function by using blue light in a rapid and reversible manner. Moreover, psCID is
tunable; that is, the dimerization and dedimerization degrees can be fine-tuned
by applying different doses of illumination. Using this approach, we control the
localization of proteins and positioning of organelles in live cells with high
spatial (MUm) and temporal (ms) precision.
PMID- 29637704
TI - Enhanced Single-Step Bioproduction of the Simvastatin Precursor Monacolin J in an
Industrial Strain of Aspergillus terreus by Employing the Evolved Lovastatin
Hydrolase.
AB - Biosynthesis of simvastatin, the active pharmaceutical ingredient of cholesterol
lowering drug Zocor, has drawn increasing global attention in recent years.
Although single-step in vivo production of monacolin J, the intermediate
biosynthetic precursor of simvastatin, has been realized by utilizing lovastatin
hydrolase (PcEST) in our previous study, about 5% of residual lovastatin is still
a problem for industrial production and quality control. In order to improve
conversion efficiency and reduce lovastatin residues, modification of PcEST is
carried out through directed evolution and a novel two-step high-throughput
screening method. The mutant Q140L shows 18-fold improved whole-cell activity as
compared to the wild-type, and one fold enhanced catalytic efficiency and 3
degrees C increased T5010 over the wild-type are observed by characterizing the
purified protein. Finally, the engineered A. terreus strain overexpressing Q140L
mutant exhibited the increased conversion efficiency and the reduced lovastatin
residues by comparing with A. terreus strain overexpressing the wild-type PcEST,
where almost 100% of the produced lovastatin is hydrolyzed to monacolin J.
Therefore, this improved microbial cell factory can realize single-step
bioproduction of monacolin J in a more efficient way, providing an attractive and
eco-friendly substitute over the existing chemical synthetic routes of monacolin
J and promoting complete bioproduction of simvastatin at industrial scale.
PMID- 29637705
TI - Interactions of the immune and sensory nervous systems in atopy.
AB - A striking feature underlying all atopic disorders, such as asthma, atopic
dermatitis, and food allergy, is the presence of pathologic sensory responses,
reflexes, and behaviors. These symptoms, exemplified by chronic airway irritation
and cough, chronic itch and scratching, as well as gastrointestinal discomfort
and dysfunction, are often cited as the most debilitating aspects of atopic
disorders. Emerging studies have highlighted how the immune system shapes the
scope and intensity of sensory responses by directly modulating the sensory
nervous system. Additionally, factors produced by neurons have demonstrated novel
functions in propagating atopic inflammation at barrier surfaces. In this review,
we highlight new studies that have changed our understanding of atopy through
advances in characterizing the reciprocal interactions between the immune and
sensory nervous systems.
PMID- 29637706
TI - Editorial.
PMID- 29637707
TI - The malaria PTEX component PTEX88 interacts most closely with HSP101 at the host
parasite interface.
AB - The pathogenic nature of malaria infections is due in part to the export of
hundreds of effector proteins that actively remodel the host erythrocyte. The
Plasmodium translocon of exported proteins (PTEX) has been shown to facilitate
the trafficking of proteins into the host cell, a process that is essential for
the survival of the parasite. The role of the auxiliary PTEX component PTEX88
remains unclear, as previous attempts to elucidate its function through reverse
genetic approaches showed that in contrast to the core components PTEX150 and
HSP101, knockdown of PTEX88 did not give rise to an export phenotype. Here, we
have used biochemical approaches to understand how PTEX88 assembles within the
translocation machinery. Proteomic analysis of the PTEX88 interactome showed that
PTEX88 interacts closely with HSP101 but has a weaker affinity with the other
core constituents of PTEX. PTEX88 was also found to associate with other PV
resident proteins, including chaperones and members of the exported protein
interacting complex that interacts with the major virulence factor PfEMP1, the
latter contributing to cytoadherence and parasite virulence. Despite being
expressed for the duration of the blood-stage life cycle, PTEX88 was only
discretely observed at the parasitophorous vacuole membrane during ring stages
and could not always be detected in the major high molecular weight complex that
contains the other core components of PTEX, suggesting that its interaction with
the PTEX complex may be dynamic. Together, these data have enabled the generation
of an updated model of PTEX that now includes how PTEX88 assembles within the
complex.
PMID- 29637708
TI - Secretory Overexpression of Bacillus thermocatenulatus Lipase in Saccharomyces
cerevisiae Using Combinatorial Library Strategy.
AB - Simple and cost-effective lipase expression host microorganisms are highly
desirable. A combinatorial library strategy is used to improve the secretory
expression of lipase from Bacillus thermocatenulatus (BTL2) in the culture
supernatant of Saccharomyces cerevisiae. A plasmid library including expression
cassettes composed of sequences encoding one of each 15 promoters, 15 secretion
signals, and 15 terminators derived from yeast species, S. cerevisiae, Pichia
pastoris, and Hansenula polymorpha, is constructed. The S. cerevisiae
transformant YPH499/D4, comprising H. polymorpha GAP promoter, S. cerevisiae SAG1
secretion signal, and P. pastoris AOX1 terminator, is selected by high-throughput
screening. This transformant expresses BTL2 extra-cellularly with a 130-fold
higher than the control strain, comprising S. cerevisiae PGK1 promoter, S.
cerevisiae alpha-factor secretion signal, and S. cerevisiae PGK1 terminator,
after cultivation for 72 h. This combinatorial library strategy holds promising
potential for application in the optimization of the secretory expression of
proteins in yeast.
PMID- 29637709
TI - Re-conceptualizing the nursing metaparadigm: Articulating the philosophical
ontology of the nursing discipline that orients inquiry and practice.
AB - Jacqueline Fawcett's nursing metaparadigm-the domains of person, health,
environment, and nursing-remains popular in nursing curricula, despite having
been repeatedly challenged as a logical philosophy of nursing. Fawcett
appropriated the word "metaparadigm" (indirectly) from Margaret Masterman and
Thomas Kuhn as a devise that allowed her to organize then-current areas of
nursing interest into a philosophical "hierarchy of knowledge," and thereby claim
nursing inquiry and practice as rigorously "scientific." Scholars have
consistently rejected the logic of Fawcett's metaparadigm, but have not yet
proposed a substantially agreed-upon alternative. Through an analysis of articles
introducing and critiquing Fawcett's metaparadigm, I argue for a re
conceptualized metaparadigm that articulates nursing's ontology. What exists for
the nursing discipline are not already-demarcated metaparadigm domains, but
rather interdependent, dynamic relations that constitute people, including
nurses, in their health/environment circumstance. The nursing discipline aims to
skillfully access this dynamic relationality as the basis for action and
reflection to produce both positive health trajectories and knowledge that
facilitates future action and reflection. Further inquiry into the onto
epistemology of nursing will produce a more robust understanding of nursing
practice, science, and philosophy, and clarify its unique contribution to health
and healthcare.
PMID- 29637710
TI - Core Electron Topologies in Chemical Compounds: Case Study of Carbon versus
Silicon.
AB - The similarities and differences between carbon and silicon have attracted the
curiosity of chemists for centuries. Similarities and analogies can be found in
their saturated compounds, but carbon exhibits a cornucopia of unsaturated
compounds that silicon (and most other elements) cannot replicate. While this
qualitative difference is empirically well known, quantum chemistry has
previously only described quantitative differences related to orbital overlap,
steric effects, or orbital energies. We study C2 and Si2 and their hydrides X2
H2n (X=C, Si; n=1, 2, 3) by first-principles quantum chemical calculation, and
find a qualitative difference in the topologies of the core electrons: carbon has
the propensity to alter its core electron topology when forming unsaturated
compounds, and silicon has not. We draw a connection between the core electron
topologies and ionization energies, and identify other elements we expect to have
similarly flexible core topologies as carbon.
PMID- 29637712
TI - Improving clinical outcomes for women with overactive bladder or urinary
retention symptoms: a comparison of motor response voltages (1-9 V) during Stage
1 sacral neuromodulation.
AB - OBJECTIVE: To assess whether the utilisation of a motor response of <3 V during
Stage 1 sacral neuromodulation (SNM) results in better clinical outcomes compared
to >4 V in patients with overactive bladder (OAB) or urinary retention symptoms.
PATIENTS AND METHODS: An observational, retrospective, double cohort review was
conducted of 339 female patients who had experienced medically recalcitrant OAB
or urinary retention symptoms. Between September 2001 and September 2014, both
cohorts underwent successful Stage 1 to Stage 2 SNM placement. Group A, included
174 women with a motor response at <=3 V; and Group B, evaluated 110 women with a
motor response at >=4 V for medically recalcitrant OAB. Group C, compared 33
women with a motor response at <=3 V; and Group D, documented 22 women with a
motor response at >=4 V for non-obstructive urinary retention. Patients completed
3-day voiding diaries, the Urogenital Distress Inventory-6 (UDI-6), Incontinence
Impact Questionnaire-7 (IIQ-7), and Patient Global Impression of Improvement
Questionnaire. RESULTS: The mean (sd) follow-up was 116.3 (30.3) months in Group
A and 112 (34.6) months in Group B (P < 0.354); 150.5 (20.4) months in Group C
and 145.8 (17.2) months in Group D (P < 0.38). Successful conversion of Stage 1
to Stage 2 showed statistically significant improvement for both <3-V groups
(Groups A and C). Group A had a 93.5% (174/186) conversion rate vs 72.3%
(110/152) in Group B for OAB symptoms (P < 0.001). Group C had a 94% (34/36)
conversion rate vs 70% (21/30) in Group D (P < 0.017). Defined as a >=50%
reduction in frequency, urgency, urgency incontinence and nocturia, and UDI-6 and
IIQ-7 scores, the success rate for Group A was 82.1% (143/174) and for Group B
was 63% (69/110) (P < 0.001). The mean battery life improved in both <3-V cohorts
(P < 0.001). Annual reprogramming sessions were reduced in Group A and Group C (P
< 0.001). Subset analysis of variance showed no statistical improvement in most
patient outcomes when 1-V subjects were compared to 2- and 3-V cohorts. However,
32% of 1-V patients (P < 0.001) noted the onset of severe pelvic/perirectal pain
and big toe plantar flexion movement with small increments in voltage (0.1-0.2 V)
during reprogramming. Only 7% of 2-V and 1% of 3-V patients experienced this
complication. CONCLUSIONS: Significant improvement was noted (up to 40%) in most
clinical voiding parameters in the <3-V patients for both OAB and urinary
retention. While <3 V will still statistically improve patient outcomes, a
voltage <2 V may elicit self-reprogramming pain with severe bellows and plantar
flexion movement, which may discourage patients from therapy adjustments. We
recommend randomised, controlled trials to confirm these results.
PMID- 29637713
TI - Synthesis and Biological Evaluation of Indole-2-carbohydrazide Derivatives as
Anticancer Agents with Anti-angiogenic and Antiproliferative Activities.
AB - A novel series of indole-2-carbohydrazide derivatives were synthesized,
characterized, and evaluated for their antiproliferative activities against two
cancer cell lines, HCT116 and SW480, and a normal human fetal lung fibroblast
cell line, MRC-5. Among this series, compound 24 f displayed potent cytotoxic
activities in vitro against HCT116 and SW480 cell lines with GI50 values of 8.1
and 7.9 MUm, respectively, and was inactive against MRC-5 cells. The newly
synthesized compounds were also evaluated for anti-angiogenesis capabilities by
chick chorioallantoic membrane, human umbilical vein endothelial cell (HUVEC)
migration, and endothelial microtubule formation assays. Moreover, the effects of
24 f on the vascular endothelial growth factor receptor-2 and the signaling
pathway in HUVECs indicated that this compound inhibits VEGFR-2 and its
downstream related proteins. These results indicate that compound 24 f, as well
as the other derivatives, are promising inhibitors of angiogenesis.
PMID- 29637714
TI - A phase I/II, double-blind, placebo-controlled study assessing safety and
efficacy of C1 esterase inhibitor for prevention of delayed graft function in
deceased donor kidney transplant recipients.
AB - Delayed graft function (DGF) is defined as need for dialysis early
posttransplant. DGF is related to ischemia-reperfusion injury (IRI) that
diminishes allograft function and may be complement dependent. Here, we
investigate the ability of C1 esterase inhibitor (C1INH) to prevent IRI/DGF in
kidney transplant recipients. Seventy patients receiving deceased donor kidney
transplants at risk for DGF were randomized to receive C1INH 50 U/kg (#35) or
placebo (#35) intraoperatively and at 24 hours. The primary end point was need
for hemodialysis during the first week posttransplant. Assessments of glomerular
filtration rate and dialysis dependence were accomplished. Complications and
safety of therapy were recorded. Similar characteristics with no significant
differences in cold-ischemia time or risk factors for DGF were seen. C1INH did
not result in reduction of dialysis sessions at 1 week posttransplant, but
significantly fewer dialysis sessions (P = .0232) were required 2 to 4 weeks
posttransplant. Patients at highest risk for DGF (Kidney Donor Profile Index
>=85) benefited most from C1INH therapy. Significantly better renal function was
seen at 1 year in C1INH patients (P = .006). No significant adverse events were
noted with C1INH. Although the primary end point was not met, significant
reductions in need for dialysis and improvements in long-term allograft function
were seen with C1INH treatment.
PMID- 29637715
TI - Cytological features of hepatoid adenocarcinoma of the gallbladder: A case report
with immunocytochemical analyzes.
AB - Hepatoid adenocarcinoma is defined as an extrahepatic malignant neoplasm showing
morphological and immunohistochemical resemblance of hepatocellular carcinoma.
The occurrence of this type of tumor in the gallbladder is extremely rare. In
this study, we report the first cytological case of hepatoid adenocarcinoma of
the gallbladder. An 80-year-old Japanese female was found to have a tumorous
lesion in the gallbladder. Papanicolaou smear of the ascites demonstrated a few
epithelial cell clusters composed of round to oval neoplastic cells with distinct
cell border and large centrally-located nuclei. Tumor touch smear of the resected
tumor revealed the presence of two distinct neoplastic components. The first
component was composed of clusters or sheets of epithelial cells with distinct
cell border, relatively rich clear cytoplasm, and centrally-located nuclei, as
seen in the ascites specimen. The other component was composed of tall columnar
cells with large basally-oriented nuclei, and glandular formation was noted as
well. Immunocytochemical analyzes of the touch smear material demonstrated that
the former component was positive for HepPar1, thus it was considered as a
hepatoid adenocarcinoma, and the latter component deemed as a typical
adenocarcinoma. Histopathological and immunohistochemical examination of the
resected gallbladder tumor confirmed a diagnosis of hepatoid adenocarcinoma. The
characteristic cytological features of hepatoid adenocarcinoma are the presence
of sheets or clusters of neoplastic cells with distinct cell border and centrally
located nuclei. Immunocytochemical analysis for HepPar1 may help its diagnosis.
Demonstration of hepatoid adenocarcinoma is important in the cytological specimen
because this type of tumor shows an aggressive clinical course.
PMID- 29637716
TI - Antishear Stress Bionic Carbon Nanotube Mesh Coating with Intracellular
Controlled Drug Delivery Constructing Small-Diameter Tissue-Engineered Vascular
Grafts.
AB - Small-diameter (<6 mm) tissue-engineered blood vessels (TEBVs) have a low patency
rate due to chronic inflammation mediated intimal hyperplasia. Functional coating
with drug release is a promising solution, but preventing the released drug from
being rushed away by blood flow remains a great challenge. A single-walled
carboxylic acid functionalized carbon nanotube (C-SWCNT) is used to build an
irregular mesh for TEBV coating. However, an interaction between the released
drug and the cells is still insufficient due to the blood flow. Thus, an
intracellular drug delivery system mediated by macrophage cellular uptake is
designed. Resveratrol (RSV) modified CNT is used for macrophage uptake. M1
macrophage uptakes CNT-RSV and then converts to the M2 phenotype upon
intracellular RSV release. Prohealing M2 macrophage inhibits the chronic
inflammation thus maintains the contractile phenotype of the vascular smooth
muscle cell (VSMC), which reduces intimal hyperplasia. Additionally, RSV released
from the mesh coating also directly protects the contractile VSMCs from being
converted to a secretory phenotype. Through antishear stress coating and
macrophage-based intracellular drug delivery, CNT-RSV TEBVs exhibit a long-term
anti-intimal hyperplasia function. Animal transplantation studies show that the
patency rate remains high until day 90 after grafting in rat carotid arteries.
PMID- 29637717
TI - Chemotherapeutic Drug-Conjugated Microbeads Demonstrate Preferential Binding to
Methylated Plasmid DNA.
AB - Plasmid DNA (pDNA) is an attractive therapeutic biomolecule in several diseases
including cancer, AIDS, cystic fibrosis, Parkinson's disease, and Alzheimer's
disease. Increasing demand for plasmid DNA as a therapeutic biomolecule for
transgene expression or vaccine applications necessitate novel approaches to
bioprocessing. The synthesis, characterization and evaluation of aminoglycoside
derived hydrogel microbeads (Amikabeads) for pDNA binding is described
previously. Here, the generation and evaluation of novel chemotherapeutic drug
conjugated microbeads for application in pDNA binding and recovery is described.
Chemotherapeutic drug-conjugated Amikabeads demonstrate higher binding of
methylated pDNA compared to unmethylated pDNA in presence of high salt
concentrations. Desorption of plasmids from drug-conjugated microbeads is
facilitated by the use of organic modifiers. The observed differences in binding
methylated versus unmethylated DNA can make drug-conjugated microbeads useful in
diagnostic as well as therapeutic applications. These results demonstrate that
anti-cancer drugs represent a diverse set of ligands that may be exploited for
molecular engineering of novel DNA binding materials for applications in
delivery, diagnostics, and biomanufacturing.
PMID- 29637718
TI - Empathy and face processing in adults with and without autism spectrum disorder.
AB - : Many factors contribute to social difficulties in individuals with autism
spectrum disorder (ASD). The goal of the present work was to determine whether
atypicalities in how individuals with ASD process static, socially engaging faces
persist when nonrigid facial motion cues are present. We also sought to explore
the relationships between various face processing abilities and individual
differences in autism symptom severity and traits such as empathy. Participants
included 16 adults with ASD without intellectual impairment and 16 sex- and age
matched controls. Mean Verbal IQ was comparable across groups [t(30) = 0.70, P =
0.49]. The two groups responded similarly to many of the experimental
manipulations; however, relative to controls, participants with ASD responded
more slowly to dynamic expressive faces, even when no judgment was required; were
less accurate at identity matching with static and dynamic faces; and needed more
time to make identity and expression judgments [F(1, 30) >= 6.37, P <= 0.017,
etap2 >= 0.175 in all cases], particularly when the faces were moving [F(1, 30)
= 3.40, P = 0.072, etap2 = 0.104]. In the full sample, as social autistic traits
increased and empathic skills declined, participants needed more time to judge
static identity, and static or dynamic expressions [0.43 < |rs | < 0.56]. The
results suggest that adults with ASD show general impairments in face and motion
processing and support the view that an examination of individual variation in
particular personality traits and abilities is important for advancing our
understanding of face perception. Autism Res 2018, 11: 942-955. (c) 2018
International Society for Autism Research, Wiley Periodicals, Inc. LAY SUMMARY:
Our findings suggest that people with ASD have problems processing expressive
faces, especially when seen in motion. It is important to learn who is most at
risk for face processing problems, given that in the general population such
problems appear to be linked to impaired social skills and empathy. By studying
relationships between different abilities and traits, we may be able to find
better ways to diagnose and support all people on the autism spectrum.
PMID- 29637719
TI - Transient Expression of Human Cytochrome P450s 2D6 and 3A4 in Nicotiana
benthamiana Provides a Possibility for Rapid Substrate Testing and Production of
Novel Compounds.
AB - Employment of transient expression of foreign genes for bioconversion of
pharmaceutically valuable low-molecular-weight compounds, including plant
secondary metabolites, is an enticing trend still scantily explored in plant
biotechnology. In the present work, an efficient protocol for rapid assessment of
synthetic and plant-derived metabolites as potential substrates for human P450s
(CYP2D6 and CYP3A4) via Agrobacterium-mediated transient expression in Nicotiana
benthamiana is put forth. Animal P450s with broad substrate specificity are
promising candidates for transformation of diverse metabolites. The efficiency of
P450s in heterologous surroundings is not always satisfactory and depends on the
availability of an associated electron-transfer enzyme. Plants represent an
attractive assortment of prospective hosts for foreign P450s expression. The
optimal composition of genetic blocks providing the highest transient expression
efficiency is designed, an effective substrate administration scheme is
validated, and biological activity of the investigated P450s against loratadine
and several indole alkaloids with different molecular scaffold structures is
tested. A novel indole alkaloid, 11-hydroxycorynanthine, is isolated from N.
benthamiana plants transiently expressing CYP2D6 and supplemented with
corynanthine, and its structure was elucidated. The proposed technique might be
of value in realization of combinatorial biosynthesis concept comprising the
junction of heterologous enzymes and substrates in different metabolic
surroundings.
PMID- 29637711
TI - A guide to chemokines and their receptors.
AB - The chemokines (or chemotactic cytokines) are a large family of small, secreted
proteins that signal through cell surface G protein-coupled heptahelical
chemokine receptors. They are best known for their ability to stimulate the
migration of cells, most notably white blood cells (leukocytes). Consequently,
chemokines play a central role in the development and homeostasis of the immune
system, and are involved in all protective or destructive immune and inflammatory
responses. Classically viewed as inducers of directed chemotactic migration, it
is now clear that chemokines can stimulate a variety of other types of directed
and undirected migratory behavior, such as haptotaxis, chemokinesis, and
haptokinesis, in addition to inducing cell arrest or adhesion. However, chemokine
receptors on leukocytes can do more than just direct migration, and these
molecules can also be expressed on, and regulate the biology of, many
nonleukocytic cell types. Chemokines are profoundly affected by post
translational modification, by interaction with the extracellular matrix (ECM),
and by binding to heptahelical 'atypical' chemokine receptors that regulate
chemokine localization and abundance. This guide gives a broad overview of the
chemokine and chemokine receptor families; summarizes the complex physical
interactions that occur in the chemokine network; and, using specific examples,
discusses general principles of chemokine function, focusing particularly on
their ability to direct leukocyte migration.
PMID- 29637720
TI - Pediatric cirrhotic cardiomyopathy: Impact on liver transplant outcomes.
AB - In adults, cirrhotic cardiomyopathy (CCM) has a significant incidence and impact
on liver transplantation. For pediatric liver transplantation (pLT), data on
liver-induced cardiac changes are scarce, and in particular, the comparison
between cirrhotic and noncirrhotic liver disease has not been investigated. We
retrospectively evaluated cardiac changes associated with CCM by echocardiography
and 12-lead electrocardiogram in 198 pLT-candidates (median age 4.1 years) 4.2
before and 12 months after pLT. Results were correlated with the stage of liver
fibrosis and cholestasis before transplantation. The left ventricular end
diastolic diameter (LVIDd) z score, left ventricular mass z score, and left
ventricular mass index were significantly higher in cirrhotic patients (-0.10
versus 0.98, P < 0.001; -1.55 versus -0.42, P = 0.001; 78.99 versus 125.64 g/m2 ,
P = 0.001, respectively) compared with children with noncirrhotic liver disease.
Pathological z scores (>2SDS) for the LVIDd occurred more frequently in cirrhotic
patients compared with patients with noncirrhotic liver disease (31/169 versus
1/29; P = 0.03) and were significantly associated with cholestasis. All observed
cardiac changes were reversible 1 year after pLT. Pathological LVIDd z scores
correlated highly with intensive care unit (ICU) stay (9.6 days versus 17.1 days,
respectively, P = 0.002) but not with patient survival pre-LT or post-LT. In
contrast to other studies, prolonged QTc time was not associated with liver
cirrhosis in our patients. In conclusion, CCM-associated cardiac changes in pLT
candidates with cirrhotic liver disease are frequent, mild, and associated with
cholestasis and reversible after pLT. They may impact peritransplant care and
posttransplant hospitalization time. Further prospective evaluation is warranted.
In particular, for QTc time prolongation etiological factors, possible protective
effects of ursodeoxycholic acid treatment and the use as a screening parameter
for CCM should be verified. Liver Transplantation 24 820-830 2018 AASLD.
PMID- 29637721
TI - Presumed missense and synonymous mutations in ATP7B gene cause exon skipping in
Wilson disease.
AB - BACKGROUND & AIMS: Wilson disease is an inborn error of metabolism caused by
abnormalities of the copper-transporting protein-encoding gene ATP7B. Recently,
the phenomenon of exon skipping, in which exonic mutations result in abnormal
splicing, has been associated with various diseases. The present study
investigated the splicing defects of the ATP7B exonic variants identified in a
cohort of 44 patients with Wilson disease. METHOD: All patients were analysed for
ATP7B gene by direct sequencing or multiplex ligation-dependent probe
amplification analysis. To identify the potential pathogenicity of the candidate
mutations that may induce exon skipping, both in vivo RT-PCR analysis using RNA
from peripheral leukocytes and in vitro functional splicing by minigene
construction were conducted. RESULTS: The patterns of inheritance of the
mutations in ATP7B identified in 44 patients exhibited homozygotes (7 patients),
compound heterozygotes (32 patients) and heterozygotes (5 patients). In all
patients, we detected 25 different ATP7B mutations, including 17 missenses, 1
frameshift, 3 nonsenses, 2 exonic deletions and 2 splicing alteration. In these
mutations, 4 mutations have not been previously described in the literature or
entered in human genome mutation database. Furthermore, we identified synonymous
mutation c.4014T>A and missense mutation R919G caused exon skipping in the ATP7B
mRNA transcript. CONCLUSION: Our results suggest that aberrant exon skipping
associated to putative splicing enhancer disruption and silencer creation is one
previously unrecognized mechanism in Wilson disease. What is more, the multiplex
ligation-dependent probe amplification assay for the detection of exon deletions
may be valuable in individuals with clinical Wilson disease diagnosis where one
or no mutation has been identified by sequencing.
PMID- 29637723
TI - Response to Alwardat comments on our systematic review entitled: "Predictors of
adherence to exercise interventions during and after cancer treatment: A
systematic review".
PMID- 29637722
TI - Mixed intoxication by the synthetic opioid U-47700 and the benzodiazepine
flubromazepam with lethal outcome: Pharmacokinetic data.
AB - Novel synthetic opioids and benzodiazepines are an emerging trend on the narcotic
drugs market. We present a lethal case of U-47700 and flubromazepam poisoning. A
24-year-old man suffered apnoea after the consumption of the synthetic opioid U
47700 in combination with the benzodiazepine flubromazepam. After reanimation and
hospital admission, hypoxic cerebral damage and severe brain oedema were stated.
Six days after admission, mechanical ventilation was discontinued, and the
patient died. Seven blood serum samples and one urine sample collected during the
hospitalisation were analysed by liquid chromatography-tandem mass spectrometry.
In the sample collected 42 minutes after admission, the concentrations of U-47700
and flubromazepam were 370 and 830 ng/mL, respectively. Three days later, the
concentrations of U-47700 and flubromazepam dropped to 4.2 and 280 ng/mL,
respectively. The resulting concentration-time-curves allowed calculating a U
47700 elimination half-life of approximately six hours and confirmed the
previously reported flubromazepam elimination half-life of around 100 hours. In
the presented case, intoxication by the synthetic opioid U-47700 with a
contribution of flubromazepam can be assumed as the cause of death. The
concentration-time curves allow an estimation of the clinical course of similar
cases. Flubromazepam may lead to prolonged central-nervous depressant effects.
PMID- 29637724
TI - Is a meta-analytic approach to burnout's prevalence timely?
PMID- 29637726
TI - Comments on: "Predictors of adherence to exercise interventions during and after
cancer treatment: A systematic review".
PMID- 29637727
TI - WHO model of intrapartum care for a positive childbirth experience: transforming
care of women and babies for improved health and wellbeing.
PMID- 29637728
TI - Molecular testing for indeterminate thyroid nodules: Performance of the Afirma
gene expression classifier and ThyroSeq panel.
AB - BACKGROUND: The ThyroSeq mutational panel and Afirma gene expression classifier
(GEC) are used to risk stratify cytologically indeterminate thyroid nodules. In
the current study, the authors evaluated the performance of these tests within
the context of ultrasonographic features and with the incorporation of the
noninvasive follicular thyroid neoplasm with papillary-like nuclear features
(NIFTP) nomenclature. METHODS: The authors reviewed nodules using ThyroSeq or
Afirma GEC testing. For nodules that were surgically resected, both tests were
studied within the context of ultrasound findings, comparing performance
stratified by the 2015 American Thyroid Association guideline (ATA 2015)
sonographic patterns and assessing the positive predictive value (PPV) of these
tests both including and excluding NIFTP in the malignant category. RESULTS: A
total of 304 cases were identified, 119 of which were resected. All cases that
met the criteria for NIFTP on excision demonstrated either high-risk mutations on
ThyroSeq or a "suspicious" result on Afirma GEC. When NIFTP cases were shifted
from the malignant to nonmalignant category, the PPV of "positive" tests for both
ThyroSeq and Afirma GEC decreased from 42.9% to 14.3% (an absolute decrease of
28.6%) and 30.1% to 25.3% (an absolute decrease of 4.8%), respectively. No cases
of malignancy were found in the ATA 2015 "very low suspicion" group, even with a
"suspicious" Afirma GEC result. CONCLUSIONS: Both the ThyroSeq and Afirma GEC
tests demonstrated decreases in the PPV when NIFTP was considered nonmalignant.
In the era of NIFTP, a "positive" test result for either the Afirma GEC or
ThyroSeq should be interpreted in light of clinical factors and should not
exclude conservative (ie, lobectomy) surgical management. ATA 2015 "very low
suspicion" nodules, even with "suspicious" Afirma GEC results, were not found to
demonstrate malignancy in this series. Cancer Cytopathol 2018. (c) 2018 American
Cancer Society.
PMID- 29637730
TI - Living Donation Versus Donation After Circulatory Death Liver Transplantation for
Low MELD Recipients.
AB - Background In this era of organ scarcity, living donor liver transplant (LDLT) is
an alternative to using deceased donors and in Western countries is more often
used in low model for end-stage liver disease (MELD) recipients. We sought to
compare the patient survival and graft survival between recipients of liver
transplantation from living donors and donation after circulatory death (DCD)
donors in patients with low MELD scores. Methods Retrospective cohort analysis of
adult liver transplant recipients with a laboratory MELD <= 20 who underwent
transplantation between 01/01/2003 and 03/31/2016. Recipients were categorized by
donor graft type (DCD or LDLT) and recipient and donor characteristics were
compared. Ten-year patient and graft survival curves were calculated using Kaplan
Meier analyses and a mixed-effects model was performed to determine the
contributions of recipient, donor and center variables on patient and graft
survival. Results 36,705 liver transplants were performed - 2,166 (5.9%) were
from DCD donors and 2,284 (6.2%) from living donors. In the mixed-effects model,
DCD status was associated with a higher risk of graft failure (RR 1.27, 95% CI
1.16-1.38) but not worse patient survival (RR 1.27, 95% CI: 0.96-1.67). Lower DCD
center experience was associated with a 1.21 higher risk of patient death (95%
CI: 1.17-1.25) and 1.13 higher risk of graft failure (95% CI: 1.12-1.15). LDLT
center experience was also predictive of patient survival (RR 1.03, 95% CI: 1.02
1.03) and graft failure (RR 1.05, 95% CI: 1.05-1.06). Conclusion For liver
transplant recipients with low laboratory MELD, LDLT offers better graft survival
and a tendency to better patient survival than DCD donors. This article is
protected by copyright. All rights reserved.
PMID- 29637729
TI - High-density genetic map using whole-genome resequencing for fine mapping and
candidate gene discovery for disease resistance in peanut.
AB - Whole-genome resequencing (WGRS) of mapping populations has facilitated
development of high-density genetic maps essential for fine mapping and candidate
gene discovery for traits of interest in crop species. Leaf spots, including
early leaf spot (ELS) and late leaf spot (LLS), and Tomato spotted wilt virus
(TSWV) are devastating diseases in peanut causing significant yield loss. We
generated WGRS data on a recombinant inbred line population, developed a SNP
based high-density genetic map, and conducted fine mapping, candidate gene
discovery and marker validation for ELS, LLS and TSWV. The first sequence-based
high-density map was constructed with 8869 SNPs assigned to 20 linkage groups,
representing 20 chromosomes, for the 'T' population (Tifrunner * GT-C20) with a
map length of 3120 cM and an average distance of 1.45 cM. The quantitative trait
locus (QTL) analysis using high-density genetic map and multiple season
phenotyping data identified 35 main-effect QTLs with phenotypic variation
explained (PVE) from 6.32% to 47.63%. Among major-effect QTLs mapped, there were
two QTLs for ELS on B05 with 47.42% PVE and B03 with 47.38% PVE, two QTLs for LLS
on A05 with 47.63% and B03 with 34.03% PVE and one QTL for TSWV on B09 with
40.71% PVE. The epistasis and environment interaction analyses identified
significant environmental effects on these traits. The identified QTL regions had
disease resistance genes including R-genes and transcription factors. KASP
markers were developed for major QTLs and validated in the population and are
ready for further deployment in genomics-assisted breeding in peanut.
PMID- 29637731
TI - Automatic estimation of Purkinje-Myocardial junction hot-spots from noisy
endocardial samples: A simulation study.
AB - The reconstruction of the ventricular cardiac conduction system (CCS) from
patient-specific data is a challenging problem. High-resolution imaging
techniques have allowed only the segmentation of proximal sections of the CCS
from images acquired ex vivo. In this paper, we present an algorithm to estimate
the location of a set of Purkinje-myocardial junctions (PMJs) from electro
anatomical maps, as those acquired during radio-frequency ablation procedures.
The method requires a mesh representing the myocardium with local activation time
measurements on a subset of nodes. We calculate the backwards propagation of the
electrical signal from the measurement points to all the points in the mesh to
define a set of candidate PMJs that is iteratively refined. The algorithm has
been tested on several Purkinje network configurations, with simulated activation
maps, subject to different error amplitudes. The results show that the method is
able to build a set of PMJs that explain the observed activation map for
different synthetic CCS configurations. In the tests, the average error in the
predicted activation time is below the amplitude of the error applied to the
data.
PMID- 29637732
TI - Quantitative Systems Pharmacology Model of hUGT1A1-modRNA Encoding for the UGT1A1
Enzyme to Treat Crigler-Najjar Syndrome Type 1.
AB - Crigler-Najjar syndrome type 1 (CN1) is an autosomal recessive disease caused by
a marked decrease in uridine-diphosphate-glucuronosyltransferase (UGT1A1) enzyme
activity. Delivery of hUGT1A1-modRNA (a modified messenger RNA encoding for
UGT1A1) as a lipid nanoparticle is anticipated to restore hepatic expression of
UGT1A1, allowing normal glucuronidation and clearance of bilirubin in patients.
To support translation from preclinical to clinical studies, and first-in-human
studies, a quantitative systems pharmacology (QSP) model was developed. The QSP
model was calibrated to plasma and liver mRNA, and total serum bilirubin in Gunn
rats, an animal model of CN1. This QSP model adequately captured the observed
plasma and liver biomarker behavior across a range of doses and dose regimens in
Gunn rats. First-in-human dose projections made using the translated model
indicated that 0.5 mg/kg Q4W dose should provide a clinically meaningful and
sustained reduction of >5 mg/dL in total bilirubin levels.
PMID- 29637733
TI - Ocular implant containing bevacizumab-loaded chitosan nanoparticles intended for
choroidal neovascularization treatment.
AB - Choroidal neovascularization (CNV) is among the leading causes of blindness
worldwide. Bevacizumab has demonstrated promising effects on CNV treatment;
however, frequent intravitreal injection is its major drawback. Current study
aimed to address this issue by developing a sustained release formulation through
nanoparticles of bevacizumab imbedded in an ocular implant. Bevacizumab-loaded
chitosan nanoparticles were prepared by ionic gelation method and inserted in the
matrix of hyaluronic acid and zinc sulfate. Despite the common approaches in
using ultraviolet (UV)-spectrophotometry, microprotein-Bradford, and
bicinchoninic acid (BCA), assay for protein assessment, our results revealed a
remarkable UV-Vis absorption overlap of protein and chitosan during these
analysis and thus enzyme-linked immunosorbent assay was employed for the antibody
concentration assay. The size of optimized nanoparticles obtained through
statistical analysis based on design of experiments was 78.5 +/- 1.9 nm with
polydispersity index of 0.13 +/- 0.05 and the entrapment-efficiency and loading
efficiency were 67.6 +/- 6.7 and 15.7 +/- 5.7%, respectively. The scanning
electron microscopy and confocal microscopy images revealed a homogenous
distribution of nanoparticles in the implant matrix and the release test results
indicated an appropriate extended release of bevacizumab from the carrier over
two months. In conclusion, the prepared system provided a sustained release
bevacizumab delivery formulation which can introduce a promising ocular drug
delivery system intended for posterior segment disease. (c) 2018 Wiley
Periodicals, Inc. J Biomed Mater Res Part A: 106A: 2261-2271, 2018.
PMID- 29637734
TI - Metabolic Adaptations to Weight Loss.
PMID- 29637735
TI - Copy number gain in recurrent anaplastic lymphoma kinase (ALK) rearrangement-lung
adenocarcinoma in the pleural effusion.
AB - Copy number gain (CNG), which includes both numerical and structural chromosomal
abnormalities, has been investigated in many human cancers. We report a case of
recurrence of anaplastic lymphoma kinase (ALK) rearrangement-positive lung
adenocarcinoma with increased cellular pleomorphism and ALK copy number in
pleural effusion cytology, and retrospectively compared the recurrent tumor with
the primary tumor in terms of cytological features, immunohistochemistry (IHC)
and fluorescence in situ hybridization (FISH). The patient was a woman in her 50s
who was found to have a 20 * 20 mm sized mass in the lung by chest computed
tomography (CT), and was diagnosed with ALK rearrangement-positive lung
adenocarcinoma. The patient was administered ALK inhibitors, such as alectinib,
however 4 years later dissemination to the pleural effusion was detected. The
smear was of high cellularity, and a predominant population of large-sized
pleomorphic adenocarcinoma cells with prominent nucleoli was observed. On FISH
and IHC using cell block material, ALK rearrangement and ALK protein expression
were identified again, along with recurrent ALK adenocarcinoma cells, which were
observed to have an increased ALK copy number compared with the primary ALK
adenocarcinoma cells. On the other hand, there was no discrepancy in the
expression of various biomarkers between the primary and corresponding recurrent
tumor. The present case showed a marked difference in cytological findings and
CNG between the primary and recurrent tumor, indicating that DNA aneuploidy may
be related to morphological change such as transformation to bizarre pleomorphic
cells in patients receiving alectinib treatment.
PMID- 29637736
TI - A ternary nanofibrous scaffold potential for central nerve system tissue
engineering.
AB - In the present research, a ternary polycaprolactone (PCL)/gelatin/fibrinogen
nanofibrous scaffold for tissue engineering application was developed. Through
this combination, PCL improved the scaffold mechanical properties; meanwhile,
gelatin and fibrinogen provided more hydrophilicity and cell proliferation. Three
types of nanofibrous scaffolds containing different fibrinogen contents were
prepared and characterized. Morphological study of the nanofibers showed that the
prepared nanofibers were smooth, uniform without any formation of beads with a
significant reduction in nanofiber diameter after incorporation of fibrinogen.
The chemical characterization of the scaffolds confirmed that no chemical
reaction occurred between the scaffold components. The tensile test results of
the scaffolds showed that increasing in fibrinogen content led to a decrease in
mechanical properties. Furthermore, adipose-derived stem cells were employed to
evaluate cell-scaffold interaction. Cell culture results indicated that higher
cell proliferation occurred for the higher amount of fibrinogen. Statistical
analysis was also carried out to evaluate the significant difference for the
obtained results of water droplet contact angle and cell culture. Therefore, the
results confirmed that PCL/gel/fibrinogen scaffold has a good potential for
tissue engineering applications including central nerve system tissue
engineering. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A:
106A:2394-2401, 2018.
PMID- 29637737
TI - Fabrication and evaluation of a nerve guidance conduit capable of Ca2+ ion
release to accelerate axon extension in peripheral nerve regeneration.
AB - In this study, biodegradable nanocomposites consisting of poly (glycerol
sebacate) (PGS) elastomeric matrix and the reinforcing phase of calcium titanate
(CaTiO3 ) nanoparticles were fabricated as a nerve guidance conduit (NGC) for
peripheral nerve regeneration. CaTiO3 nanoparticles were synthesized via the sol
gel method and calcined at 800 degrees C for 60 min. PGS elastomer was
synthesized via the polycondensation reaction of glycerol and sebacate (1:1) and
2.5 and 5 wt. percentages of the synthesized CaTiO3 nanoparticles were added to
the PGS prepolymer solution. The composites obtained were heated in order to make
crosslinks in the pre-polymer. CaTiO3 nanoparticles, PGS elastomer, and the
composites fabricated were characterized in terms of their structural, chemical,
physical, mechanical, and cell response properties to evaluate the feasibility of
using the nanocomposite for NGC applications. The results indicated that CaTiO3
nanoparticles were 50 nm in size. When the nanoparticles were added to the PGS,
the elastic modulus and tensile strength of the nanocomposite reached values of
about 1 and 0.5 MPa, respectively that are near those of natural nerves. The
degradation behavior and swelling of the nanocomposites, as compared with those
of the PGS elastomer, were controlled by introducing CaTiO3 into the PGS, which
swelling limitation could prevent nerve compression. It was observed that Ca2+
ions established chemical bonds with PGS, which led to high crosslink densities
that, in turn, contribute to improved mechanical properties of the composite. The
Ca2+ ions released from the nanocomposite samples were in the nontoxic range. The
PC12 cell line on the surface of the nanocomposite specimens showed good cell
adhesion and proliferation with improved axon outgrowth and extension. Based on
the results obtained the fabricated PGS/CaTiO3 nanocomposite may be recommended
as a suitable NGC with desirable effects on peripheral nerve regeneration. (c)
2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 2181-2189, 2018.
PMID- 29637738
TI - A collagen based cryogel bioscaffold coated with nanostructured polydopamine as a
platform for mesenchymal stem cell therapy.
AB - Cryo-hydrogels (cryogels) are polymer hydrogels formed at sub-zero temperatures.
Bioscaffolds created from cryogels have interconnected macropores which allow for
cell migration, tissue-ingrowth, unhindered diffusion of solutes and mass
transport of therapeutics. In this study, we developed collagen based cryogel
bioscaffolds and coated them with polydopamine using a simple two-step technique.
Cryogel bioscaffolds were synthesized by collagen crosslinking at -20 degrees C
and exhibited a macroporous interconnected architecture with 75% +/- 3% porosity.
Two groups of pore sizes were observed: 300 +/- 50 um and 30 +/- 10 um in
diameter. The addition of a polydopamine coating to cryogel bioscaffolds was
confirmed using composition analysis. This resulted in a 41% +/- 5% decrease in
water uptake, 81% +/- 10% decrease in swelling rate and 12% +/- 3% decrease in
their degree of dissolution (p < 0.05), with a 48% +/- 2% increase in stiffness
and 57% +/- 5% increase in compressive strength (p < 0.05). Seeding adipose
tissue-derived mesenchymal stem cells (AD-MSCs) into polydopamine coated-cryogel
bioscaffolds resulted in cells demonstrating a 52% +/- 4% increase in viability
and 33% +/- 3% increase in proliferation when compared to AD-MSCs seeded into
uncoated-cryogel bioscaffolds (p < 0.05). In summary, our novel polydopamine
coated-cryogel bioscaffold represents an efficient and low-cost bioscaffold
platform to support MSC therapies. (c) 2018 Wiley Periodicals, Inc. J Biomed
Mater Res Part A: 106A: 2213-2228, 2018.
PMID- 29637739
TI - Initial Clinical Experience of RP5063 Following Single Doses in Normal Healthy
Volunteers and Multiple Doses in Patients with Stable Schizophrenia.
AB - RP5063 is a multimodal dopamine (D)-serotonin (5-HT) stabilizer with a high
affinity for D2/3/4 and 5-HT1A/2A/2B/7 receptors and moderate affinity for the
serotonin transporter. Single-dose (10 and 15 mg fasting, 15 mg fed) safety in
healthy volunteers and multiple-dose (10, 20, 50, and 100 mg fed, 10 days) safety
and pharmacodynamics in patients with stable schizophrenia were defined in two
phase I studies. In the single-dose study, 32 treatment-emergent adverse events
(TEAEs) were observed. Orthostatic hypotension (n = 6), nausea (n = 5), and
dizziness (n = 4) were the most common. One serious adverse event (SAE), seen in
a patient who should not have been in the study due to a history of seizures,
involved brief seizure-like symptoms. In the multiple-dose study, 75 TEAEs were
reported. Akathisia (n = 20) and somnolence (n = 14) were the most frequent. No
clinically significant changes were seen in glucose or prolactin levels, lipid
profiles, weight, or electrocardiographic recordings. In both studies, all TEAEs
resolved and none led to withdrawal from the study or death. A pharmacodynamic
evaluation reflected significant improvements with RP5063 (P < 0.05) over placebo
in an analysis of patients with a baseline Positive and Negative Syndrome Scale
(PANSS) score >=50 for positive subscale scores. Improvements of the Trail Making
A and Trail Making B test results were observed for patients treated in the 50 mg
dose group for days 5, 10, and 16. These findings indicate that RP5063 is well
tolerated up to 100 mg and displays promising preliminary clinical behavioral and
cognition activity signals in patients with stable disease over a 10-day period.
PMID- 29637741
TI - Tropical Medicine & International Health.
PMID- 29637740
TI - Corrigendum.
PMID- 29637742
TI - Effect of resveratrol on doxorubicin resistance in breast neoplasm cells by
modulating PI3K/Akt signaling pathway.
AB - In the study, we probed into the effect of Resveratrol (RES) on Doxorubicin (DOX)
resistant breast neoplasm cell line MCF-7/DOX as well as the mechanism of RES
underlying the DOX-resistant breast cancer. CCK-8 assay was utilized to assess
the survival rates and sensitivity of breast neoplasm cell lines MCF-7 or MDA-MB
231 to DOX and RES. DOX-resistant MCF-7 cell line was successfully cultivated
with DOX dose increasing and was named MCF-7/DOX. Afterwards, wound healing and
Transwell assays were performed to measure the migration and invasion
capabilities of MCF-7/DOX cells, while cell propagation and apoptosis were
determined by colony formation assay and flow cytometry analysis. Both western
blotting and immunohistochemistry were conducted to examine the expression of
proteins involved in PI3K/Akt signaling pathway. Nude mice xenograft model was
constructed to further verify the effects of DOX and RES on breast neoplasm in
vivo. RES restored DOX sensitivity in MCF-7/DOX cells, inhibiting biological
functions of MCF-7/DOX cells and promoting cell apoptosis in vitro and impeding
tumor growth in vivo. It was revealed by the mechanistic studies that MCF-7/DOX
cells could regain the drug sensibility with RES treatment through inactivating
the PI3K/Akt signal transduction pathway. RES could reverse DOX resistance in
breast neoplasm cells and inhibited DOX-resistant breast cancer cell propagation
and metastasis and facilitated cell apoptosis by modulating PI3K/Akt signaling
pathway. (c) 2018 IUBMB Life, 70(6):491-500, 2018.
PMID- 29637743
TI - Specific issues concerning the management of patients on the waiting list and
after liver transplantation.
AB - The present document is a second contribution collecting the recommendations of
an expert panel of transplant hepatologists appointed by the Italian Association
for the Study of the Liver (AISF) concerning the management of certain aspects of
liver transplantation, including: the issue of prompt referral; the management of
difficult candidates; malnutrition; living related liver transplants;
hepatocellular carcinoma; and the role of direct acting antiviral agents before
and after transplantation. The statements on each topic were approved by
participants at the AISF Transplant Hepatology Expert Meeting organized by the
Permanent Liver Transplant Commission in Mondello on 12-13 May 2017. They are
graded according to the GRADE grading system.
PMID- 29637744
TI - The group VIA calcium-independent phospholipase A2 and NFATc4 pathway mediates IL
1beta-induced expression of chemokines CCL2 and CXCL10 in rat fibroblasts.
AB - Chemokines are secreted proteins that regulate cell migration and are involved in
inflammatory and immune responses. Here, we sought to define the functional
crosstalk between the lipid signaling and chemokine signaling. We obtained
evidence that the induction of some chemokines is regulated by group VIA calcium
independent phospholipase A2 beta (iPLA2 beta) in IL-1beta-stimulated rat
fibroblastic 3Y1 cells. Treatment of 3Y1 cells with IL-1beta elicited an
increased release of chemotactic factor(s) for monocytic THP-1 cells into culture
medium in a time-dependent manner. Inhibitor studies revealed that an
intracellular PLA2 inhibitor, arachidonoyl trifluoromethyl ketone (AACOCF3 ), but
not the cyclooxygenase inhibitor indomethacin, attenuated the release of
chemotactic factor(s). The chemotactic activity was inactivated by treatment with
either heat or proteinase K, suggesting this chemotactic factor(s) is a
proteinaceous factor(s). We purified the chemotactic factor(s) from the
conditioned medium of IL-1beta-stimulated 3Y1 cells using a heparin column and
identified several chemokines, including CCL2 and CXCL10. The inducible
expressions of CCL2 and CXCL10 were significantly attenuated by pretreatment with
AACOCF3 . Gene silencing using siRNA revealed that the inductions of CCL2 and
CXCL10 were attenuated by iPLA2 beta knockdown. Additionally, the transcriptional
activation of nuclear factor of activated T-cell proteins (NFATs), but not
nuclear factor-kappaB, by IL-1beta stimulation was markedly attenuated by the
iPLA2 inhibitor bromoenol lactone, and NFATc4 knockdown markedly attenuated the
IL-1beta-induced expression of both CCL2 and CXCL10. Collectively, these results
indicated that iPLA2 beta plays roles in IL-1beta-induced chemokine expression,
in part via NFATc4 signaling.
PMID- 29637745
TI - Rubinstein-Taybi syndrome: New neuroradiological and neuropsychiatric insights
from a multidisciplinary approach.
AB - Rubinstein-Taybi syndrome is a rare, autosomal dominant, plurimalformative
disorder that is clinically characterized by intellectual disability and a wide
spectrum of congenital anomalies; facial dysmorphisms are typical, and broad
thumbs and great toes are particularly distinctive. Its genetic basis is only
partially known, with a detection rate of approximately 65-70%; specifically,
microdeletions or mutations in the CREBBP or EP300 genes can be found. Much is
known about its clinical features and health-care protocols, but some areas of
clinical knowledge are currently unsolved. In particular, few efforts have been
made until now to understand the variability in the neuropsychological and
neurobehavioral profile and to deepen knowledge of the neuroradiological
malformative pattern. Consequently, little is known about the possible genotype
phenotype correlations of these issues. Here, we report clinical and genetic data
from a cohort of 23 RSTS Italian patients. The most common features in brain
magnetic resonance imaging (MRI) were dysmorphic aspects of the corpus callosum
(73.6%) with or without minor dysmorphisms of cerebellar vermis, periventricular
posterior white matter hyperintensity, and other less common anomalies. The most
interesting feature on the whole spine MRI scans was the tendency for a low-lying
conus medullaris without terminal filum thickening. These data will help to
improve neuropsychiatric and neuroradiological knowledge and highlight specific
genotype-phenotype correlations.
PMID- 29637746
TI - Authors' Response to "Mutations in COL1A1 Gene Change Dentin Nanostructure: A
Response".
PMID- 29637747
TI - Albendazole and Praziquantel: Review and Safety Monitoring in Korea.
AB - Albendazole (ADZ) and praziquantel (PZQT) have been used as anthelmintics for
over 30 years. Worldwide, hundreds of millions tablets are administered to people
and livestock every year. ADZ is poorly orally absorbed (<5%), and its uptake is
enhanced by high-fat meals, while PZQT is well absorbed (>75%) and uptake is
enhanced by carbohydrate-rich meals. Both ADZ and PZQT are safe, but not
recommended for children <2 years or for women in the first trimester of
pregnancy. Serious adverse events occur following high dose and prolonged
administration of these drugs for treatment of echinococcosis or
neurocysticercosis, especially in patients with poor liver function. The adverse
events may be induced by the drugs, or by the dead worms themselves. The Korea
Institute of Drug Safety & Risk Management monitors drug-related adverse events
in Korea, and its database included 256 probable or possible ADZ-associated
events and 108 PZQT-associated events between 2006 and 2015. Such low incidence
rates in Korea are due to the low single dose treatments of ADZ, and the short
term use of PZQT. The number of serious adverse events due to drug interaction
induced by ADZ and PZQT were six and two, respectively. We conclude that ADZ and
PZQT are generally safe drugs, but they must be used with caution in people with
poor liver function or those being comedicated for gastroesophageal reflux
disease.
PMID- 29637748
TI - Microbiology and Antimicrobial Therapy for Diabetic Foot Infections.
AB - In addition to being the prime factor associated with amputation, diabetic foot
infections (DFIs) are associated with major morbidity, increasing mortality, and
reduced quality of life. The choice of appropriate antibiotics is very important
in order to reduce treatment failure, antimicrobial resistance, adverse events,
and costs. We reviewed articles on microbiology and antimicrobial therapy and
discuss antibiotic selection in Korean patients with DFIs. Similar to Western
countries, Staphylococcus aureus is the most common pathogen, with Streptococcus,
Enterococcus, Enterobacteriaceae and Pseudomonas also prevalent in Korea. It is
recommended that antibiotics are not prescribed for clinically uninfected wounds
and that empirical antibiotics be selected based on the clinical features,
disease severity, and local antimicrobial resistance patterns. Narrow-spectrum
oral antibiotics can be administered for mild infections and broad-spectrum
parenteral antibiotics should be administered for some moderate and severe
infections. In cases with risk factors for methicillin-resistant S. aureus or
Pseudomonas, empirical antibiotics to cover each pathogen should be considered.
The Health Insurance Review and Assessment Service standards should also be
considered when choosing empirical antibiotics. In Korea, nationwide studies need
to be conducted and DFI guidelines should be developed.
PMID- 29637749
TI - Epidemiology, Microbiological and Clinical Features, Treatment, and Outcomes of
Infective Endocarditis in Crete, Greece.
AB - BACKGROUND: This study aimed to evaluate the epidemiology, clinical and
microbiological features, treatment, and outcomes of infective endocarditis (IE)
on the island of Crete, a region with high levels of antimicrobial resistance.
MATERIALS AND METHODS: Medical records of all hospitalized patients diagnosed
with IE at the University Hospital of Heraklion, Crete, Greece, from 1995 to
2015, were retrospectively reviewed. Patients who met the modified Duke's
criteria for definite or possible IE were included. RESULTS: A total of 82 IE
patients (median age 67 [range 21-86] years) were included. Most patients
suffered from left-sided IE (94%), while most cases of infection occurred in
native valves (53.6%). Systemic inflammatory response syndrome criteria were
lacking in almost half of the patient population. The leading causative
microorganism was Staphylococcus aureus, isolated in 24 cases (29%), followed by
Streptococcus spp. in 15 (18%) and Enterococcus spp. in 12 (14.5%). A number of
rare and difficult to treat microorganisms had been identified, such as Gemella
morbillorum in four cases (4.5%), Streptococcus lugdunensis in two (2.5%) and
Streptococcus pneumoniae in one (1%). One patient was serologically positive for
Coxiella burnetii (1%). All patients received empirical antimicrobial treatment,
proven appropriate in 39 blood culture-positive patients (56.5%). Thirteen (16%)
patients were classified as culture negative. Seven patients (8.5%) were
surgically treated. In-hospital death occurred in 9 patients (11%). CONCLUSION:
Changes in IE profile requires continuous epidemiological updates. Staphylococcus
and Streptococcus spp. remain the most common etiologic agents. However, the
presence of uncommon and/or difficult to treat pathogens raise concerns on the
appropriate prophylaxis as well as empirical treatment.
PMID- 29637750
TI - Genotypic Diversity of Multidrug Resistant Shigella species from Iran.
AB - BACKGROUND: In many developing countries, shigellosis is endemic and also occurs
in epidemics and treatment of multidrug-resistant (MDR) isolates are important.
The aims of this study were to determine the antimicrobial susceptibility,
prevalence of class 1 and 2 integrons and the clonal relatedness of isolates.
MATERIALS AND METHODS: Antimicrobial susceptibility tests were performed by disc
diffusion method. Polymerase chain reaction (PCR)-sequencing technique was
employed for detection and characterization of integrons. The genetic relatedness
was evaluated by using enterobacterial repetitive intergenic consensus (ERIC)
PCR. RESULTS: There was a high percentage of resistance to trimethoprim
sulfamethoxazole (TMP/SMX) (93.7%), ampicillin (AMP) (87.3%), streptomycin (STR)
(84.5%) and tetracycline (TET) (78.9%). Multidrug resistant phenotype was seen in
95.1% of total isolates. Most common MDR profile was TMP/SMX/STR/AMP resistant
pattern. Among the 142 Shigella spp. analyzed in this study, 28 isolates were
positive for class 1 integron with two types of gene cassette arrays
(dfrA17/aadA5 = 31.7% and dfrA7 = 3.8%). The class 2 integron was more frequently
detected among the isolates (94.7%) with dfrA1/sat1/aadA1 (69.4%) and dfrA1/sat1
(30.6%) gene cassettes. ERIC-PCR results showed 6, 5, 4 and 3 main genotypes
among S. flexneri, S. sonnei, S. boydii and S. dysenteriae isolates,
respectively. CONCLUSIONS: Our findings revealed that multidrug resistant
Shigella species with high prevalence of class 2 integron were very common in
Iran. In addition, ERIC-PCR patterns showed limited variety of clones are
responsible for shigellosis in the region of the study.
PMID- 29637751
TI - Investigation of Scarlet Fever Outbreak in a Kindergarten.
AB - BACKGROUND: Scarlet fever is caused by a group A streptococcal (GAS) infection.
On April 3, 2017, an outbreak among children in a kindergarten was reported to
the local health department. An epidemiologic investigation was conducted to
identify the possible transmission route of this outbreak and to recommend
appropriate control measures. MATERIALS AND METHODS: A retrospective cohort study
was conducted using questionnaires including age, sex, the classroom attended at
a kindergarten, and date and type of symptoms developed. A case-patient is
defined as a child having sore throat, fever, skin rash, or strawberry tongue
with or without laboratory confirmation of GAS infection between March 28 and
April 28, 2017. RESULTS: The index case-patients developed symptoms on March 28,
2017, and this outbreak persisted over a period of 16 days. The outbreak affected
21 out of 158 children (13.3%) in the kindergarten, with the mean age of 4.2
(range 3-5) years; 12 (57.1%) of them were boys. The common symptoms reported
were fever (71.4%), sore throat (71.4%), reddened tonsil (57.1%), and skin rash
(52.4%). The epidemiologic analysis showed that children attending one of the
classrooms in the kindergarten were 14.12 times affected than the other
classrooms (relative risk, 14.12; 95% confidence interval, 4.99-33.93; P <0.01).
All case-patients were recommended to stay away from the kindergarten and its
social activities for >24 hours after starting appropriate antibiotic treatment,
and all the children in the kindergarten were instructed to keep strict personal
hygiene practices. CONCLUSION: Our results suggest that the outbreak likely
affected from the index case-patients who attended to one of the classrooms in
the kindergarten. This highlights the importance of immediate notification of
outbreak to prevent large number of patients.
PMID- 29637752
TI - Outcome in Patients with Fever of Unknown Origin whose 18Fluoro-Deoxyglucose
Positron Emission Tomography/Computerized Tomography Finding is Non-Diagnostic.
AB - Prognosis has not been known for patients with fever of unknown origin (FUO)
whose 18fluoro-deoxyglucose (18F-FDG) positron emission tomography/computerized
tomography (PET/CT) finding is non-diagnostic. A total of eight patients with FUO
that underwent 18F-FDG PET/CT were retrospectively identified January 2016 - June
2017 in a tertiary hospital in Korea. Of these, two patients were diagnosed with
microscopic polyangitis and Kikuchi's disease and one patient was transferred to
another hospital. Of five patients whose diagnoses were not confirmed, four
patients received non-steroidal anti-inflammatory drug and/or low dose steroid
and symptoms disappeared. Our study suggests that outcome of patients with FUO
whose 18F-FDG PET/CT finding is non-diagnostic would be favorable.
PMID- 29637753
TI - Is Taking Blood Cultures Indicated in Acute Pyelonephritis Patients Who Have Used
Antibiotics before Presentation?
PMID- 29637754
TI - Reply: Is Taking Blood Cultures Indicated in Acute Pyelonephritis Patients Who
Have Used Antibiotics before Presentation?
PMID- 29637755
TI - A Case of Jejunal Intussusception caused by Burkitt Lymphoma in an Acquired
Immunodeficiency Syndrome Patient.
AB - Non-Hodgkin's lymphoma of B-cell type is the second most common neoplasm after
Kaposi's sarcoma among patients with human immunodeficiency virus infection. Most
non-Hodgkin's lymphoma cases that are associated with acquired immunodeficiency
syndrome (AIDS) involve extranodal sites, especially the digestive tract and the
central nervous system. We report a case of multiple jejunal intussusception
caused by Burkitt lymphoma in a 42-year-old AIDS patient. Upper gastrointestinal
endoscopy and surgical biopsy were performed and a complete diagnostic study
including histological and immunohistochemical analyses showed Burkitt lymphoma.
PMID- 29637756
TI - A Case of Scrub Typhus complicated with a Splenic Infarction.
AB - We present a patient with scrub typhus complicated with a splenic infarction. A
40-year-old man visited the emergency medical center complaining of fever for the
previous week. He had no past medical history, but reported engaging in outdoor
activities. Examination revealed a maculopapular rash on his trunk and an eschar
on his epigastrium. Abdominal computed tomography was performed to examine the
cause of the tenderness on the left upper quadrant of his abdomen, which revealed
a splenic infarct. The patient was diagnosed with scrub typhus based on the
results of blood polymerase chain reaction testing, and genetic sequencing
confirmed the presence of Orientia tsutsugamushi Boryong. His symptoms improved
following doxycycline treatment.
PMID- 29637757
TI - Disseminated Invasive Mycobacterium marinum Infection Involving the Lung of a
Patient with Diabetes Mellitus.
AB - Mycobacterium marinum infection in humans occurs mainly as a granulomatous
infection after exposure of traumatized skin to contaminated water. It is usually
confined to the skin and soft tissue. Disseminated disease involving other organs
rarely occurs in immunocompetent patients. Here, we report a case of disseminated
M. marinum infection involving not only the cutaneous tissue, but also the lung
of a male patient with uncontrolled diabetes and a previous history of steroid
injection who was employed by a deep-water fishery.
PMID- 29637758
TI - Outbreak Investigation of Scarlet Fever in a Kindergarten.
PMID- 29637760
TI - Noninvasive measurement of glucose concentration on human fingertip by optical
coherence tomography.
AB - A method is proposed for determining the glucose concentration on the human
fingertip by extracting two optical parameters, namely the optical rotation angle
and the depolarization index, using a Mueller optical coherence tomography
technique and a genetic algorithm. The feasibility of the proposed method is
demonstrated by measuring the optical rotation angle and depolarization index of
aqueous glucose solutions with low and high scattering, respectively. It is shown
that for both solutions, the optical rotation angle and depolarization index vary
approximately linearly with the glucose concentration. As a result, the ability
of the proposed method to obtain the glucose concentration by means of just two
optical parameters is confirmed. The practical applicability of the proposed
technique is demonstrated by measuring the optical rotation angle and
depolarization index on the human fingertip of healthy volunteers under various
glucose conditions.
PMID- 29637761
TI - Controllable Formation of Monodisperse Polymer Microbubbles as Ultrasound
Contrast Agents.
AB - Microbubbles have been widely used as ultrasound contrast agents in clinical
diagnosis and hold great potential for ultrasound-mediated therapy. However,
polydispersed population and short half-life time (<10 min) of the microbubbles
still limit their applications in imaging and therapy. To tackle these problems,
we develop a microfluidic flow-focusing approach to produce monodisperse
microbubbles stabilized by Poly(lactic-co-glycolic acid) (PLGA) as the polymer
shell. The size of PLGA microbubbles can be tightly controlled from ~600 nm to ~7
MUm with a coefficient of variation less than 4% in size distribution for
ensuring highly homogeneous echogenic behavior of PLGA polymer microbubbles in
ultrasound fields. Both in vitro and in vivo experiments showed that the
monodisperse PLGA microbubbles had excellent echogenicity and elongated
sonographic duration time (>3 times) for ultrasound imaging in comparison with
the commercial lipid microbubbles.
PMID- 29637762
TI - Green and Rational Design of 3D Layer-by-Layer MnO x Hierarchically Mesoporous
Microcuboids from MOF Templates for High-Rate and Long-Life Li-Ion Batteries.
AB - Rational design and delicate control on the textural properties of metal-oxide
materials for diverse structure-dependent applications still remain formidable
challenges. Here, we present an eco-friendly and facile approach to smartly
fabricate three-dimensional (3D) layer-by-layer manganese oxide (MnO x)
hierarchical mesoporous microcuboids from a Mn-MOF-74-based template, using a one
step solution-phase reaction scheme at room temperature. Through the controlled
exchange of metal-organic framework (MOF) ligand with OH- in alkaline aqueous
solution and in situ oxidation of manganese hydroxide intermediate, the Mn-MOF-74
template/precursor was readily converted to Mn3O4 or delta-MnO2 counterpart
consisting of primary nanoparticle and nanosheet building blocks, respectively,
with well-retained morphology. By X-ray diffraction, transmission electron
microscopy (TEM), scanning electron microscopy, high-resolution TEM, N2
adsorption-desorption analysis and other techniques, their crystal structure,
detailed morphology, and microstructure features were unambiguously revealed.
Specifically, their electrochemical Li-ion insertion/extraction properties were
well evaluated, and it turns out that these unique 3D microcuboids could achieve
a sustained superior lithium-storage performance especially at high rates
benefited from the well-orchestrated structural characteristics (Mn3O4
microcuboids: 890.7, 767.4, 560.1, and 437.1 mAh g-1 after 400 cycles at 0.2,
0.5, 1, and 2 A g-1, respectively; delta-MnO2 microcuboids: 991.5, 660.8, 504.4,
and 362.1 mAh g-1 after 400 cycles at 0.2, 0.5, 1, and 2 A g-1, respectively). To
our knowledge, this is the most durable high-rate capability as well as the
highest reversible capacity ever reported for pure MnO x anodes, which even
surpass most of their hybrids. This facile, green, and economical strategy renews
the traditional MOF-derived synthesis for highly tailorable functional materials
and opens up new opportunities for metal-oxide electrodes with high performance.
PMID- 29637759
TI - Clinical Practice Guidelines for the Antibiotic Treatment of Community-Acquired
Urinary Tract Infections.
AB - Urinary tract infections (UTIs) are infectious diseases that commonly occur in
communities. Although several international guidelines for the management of UTIs
have been available, clinical characteristics, etiology and antimicrobial
susceptibility patterns may differ from country to country. This work represents
an update of the 2011 Korean guideline for UTIs. The current guideline was
developed by the update and adaptation method. This clinical practice guideline
provides recommendations for the diagnosis and management of UTIs, including
asymptomatic bacteriuria, acute uncomplicated cystitis, acute uncomplicated
pyelonephritis, complicated pyelonephritis related to urinary tract obstruction,
and acute bacterial prostatitis. This guideline targets community-acquired UTIs
occurring among adult patients. Healthcare-associated UTIs, catheter-associated
UTIs, and infections in immunocompromised patients were not included in this
guideline.
PMID- 29637763
TI - Metal-Organic Frameworks-Derived Co2P@N-C@rGO with Dual Protection Layers for
Improved Sodium Storage.
AB - The Co2P nanoparticles hybridized with unique N-doping carbon matrices have been
successfully designed employing ZIF-67 as the precursor via a facile two-step
procedure. The Co2P nanostructures are shielded with reduced graphene oxide (rGO)
to enhance electrical conductivity and mitigate volume expansion/shrinkage during
sodium storage. As anode materials for sodium-ion batteries (SIBs), the novel
architectures of Co2P@N-C@rGO exhibited excellent sodium storage performance with
a high reversible capacity of 225 mA h g-1 at 50 mA g-1 after 100 cycles. Our
study demonstrates the significant potential of Co2P@N-C@rGO as anode materials
for SIBs.
PMID- 29637764
TI - Surface-Anchored Metal-Organic Framework-Cotton Material for Tunable
Antibacterial Copper Delivery.
AB - In the present study, a new copper metal-organic framework (MOF)-cotton material
was strategically fabricated to exploit its antibacterial properties for
postsynthetic modification (PSM) to introduce a free amine to tune the
physicochemical properties of the material. A modified methodology for
carboxymethylation of natural cotton was utilized to enhance the number of
nucleation sites for the MOF growth. Subsequently, MOF Cu3(NH2BTC)2 was
synthesized into a homogenous surface-supported film via a layer-by-layer dip
coating process. The resultant materials contained uniformly distributed 1 MUm *
1 MUm octahedral MOF crystals around each carboxymethylated fiber. Importantly,
the accessible free amine of the MOF ligand allowed for the PSM of the MOF-cotton
surface with valeric anhydride, yielding 23.5 +/- 2.2% modified. The Cu2+ ion
releasing performance of the materials was probed under biological conditions per
submersion in complex media at 37 degrees C. Indeed, PSM induces a change in the
copper flux of the material over the first 6 h. The materials continue to slowly
release Cu2+ ions beyond 24 h tested at a flux of 0.22 +/- 0.003 MUmol.cm-2.h-1
with the unmodified MOF-cotton and at 0.25 +/- 0.004 MUmol.cm-2.h-1 with the
modified MOF-cotton. The antibacterial activity of the material was explored
using Escherichia coli by testing the planktonic and attached bacteria under a
variety of conditions. MOF-cotton materials elicit antibacterial effects,
yielding a 4-log reduction or greater, after 24 h of exposure. Additionally, the
MOF-cotton materials inhibit the attachment of bacteria, under both dry and wet
conditions. A material of this type would be ideal for clothing, bandages, and
other textile applications. As such, this work serves as a precedence toward
developing uniform, tunable MOF-composite textile materials that can kill
bacteria and prevent the attachment of bacteria to the surface.
PMID- 29637765
TI - N,P-Doped Molybdenum Carbide Nanofibers for Efficient Hydrogen Production.
AB - Molybdenum (Mo) carbide-based electrocatalysts are considered promising
candidates to replace Pt-based materials toward the hydrogen evolution reaction
(HER). Among different crystal phases of Mo carbides, although Mo2C exhibits the
highest catalytic performance, the activity is still restricted by the strong Mo
H bonding. To weaken the strong Mo-H bonding, creating abundant Mo2C/MoC
interfaces and/or doping a proper amount of electron-rich (such as N and P)
dopants into the Mo2C crystal lattice are effective because of the electron
transfer from Mo to surrounding C in carbides and/or N/P dopants. In addition, Mo
carbides with well-defined nanostructures, such as one-dimensional nanostructure,
are desirable to achieve abundant catalytic active sites. Herein, well-defined
N,P-codoped Mo2C/MoC nanofibers (N,P-Mo xC NF) were prepared by pyrolysis of
phosphomolybdic ([PMo12O40]3-, PMo12) acid-doped polyaniline nanofibers at 900
degrees C under an Ar atmosphere, in which the hybrid polymeric precursor was
synthesized via a facile interfacial polymerization method. The experimental
results indicate that the judicious choice of pyrolysis temperature is essential
for creating abundant Mo2C/MoC interfaces and regulating the N,P-doping level in
both Mo carbides and carbon matrixes, which leads to optimized electronic
properties for accelerating HER kinetics. As a result, N,P-Mo xC NF exhibits
excellent HER catalytic activity in both acidic and alkaline media. It requires
an overpotential of only 107 and 135 mV to reach a current density of 10 mA cm-2
in 0.5 M H2SO4 and 1 M KOH, respectively, which is comparable and even superior
to the best of Mo carbide-based electrocatalysts and other noble metal-free
electrocatalysts.
PMID- 29637766
TI - High-Performance Dye-Sensitized Solar Cells Based on Colloid-Solution Deposition
Planarized Fluorine-Doped Tin Oxide Substrates.
AB - The transmittance and conductivity of fluorine-doped tin oxide (FTO) conductive
glasses are the critical factors limiting the performance of dye-sensitized solar
cells (DSSCs). Here, the transmittance and conductivity of commercial FTO glasses
were improved via a colloid-solution deposition planarization (CSDP) process. The
process includes two steps. First, the FTO nanocrystal colloid was deposited on
the FTO glasses by spin-coating. Secondly, the coated glasses were treated by FTO
precursor solution. Compared to the bare FTO glasses, the modified FTO glasses by
the CSDP process achieved 4% increase in transmittance (at 550 nm) and 11%
decrease in sheet resistance, respectively. In addition, the modified FTO glasses
can reduce the aggregation of Pt nanoparticles and improve the electrocatalytic
activity of Pt counter electrodes. When the modified FTO glasses were used to
assemble DSSCs, the cells got a photoelectric conversion efficiency as high as
9.37%. In contrast, the efficiency of reference cells using bare FTO substrates
was about 8.24%.
PMID- 29637767
TI - Influence of Shell Thickness on the Performance of NiO-Based All-Inorganic
Quantum Dot Light-Emitting Diodes.
AB - The effect of shell thickness on the performance of all-inorganic quantum dot
light-emitting diodes (QLEDs) is explored by employing a series of green quantum
dots (QDs) (Zn xCd1- xSe/ZnS core/shell QDs with different ZnS shell thicknesses)
as the emitters. ZnO nanoparticles and sol-gel NiO are employed as the electron
and hole transport materials, respectively. Time-resolved and steady-state
photoluminescence results indicate that positive charging processes might occur
for the QDs deposited on NiO, which results in emission quenching of QDs and poor
device performance. The thick shell outside the core in QDs not only largely
suppresses the QD emission quenching but also effectively preserves the excitons
in QDs from dissociation of electron-hole pairs when they are subjected to an
electric field. The peak efficiency of 4.2 cd/A and maximum luminance of 4205
cd/m2 are achieved for the device based on QDs with the thickest shells (~4.2
nm). We anticipate that these results will spur progress toward the design and
realization of efficient all-inorganic QLEDs as a platform for the QD-based full
colored displays.
PMID- 29637768
TI - Chelate-Pb Intermediate Engineering for High-Efficiency Perovskite Solar Cells.
AB - Crystallization quality and grain size are key factors in fabricating high
performance planar-type perovskite photovoltaics. Herein, we used 1,8
octanedithiol as an effective additive in the [HC(NH2)2]0.95Cs0.05PbI3
(FA0.95Cs0.05PbI3) solution to improve the FA0.95Cs0.05PbI3 film quality via
solution processing. 1,8-Octanedithiol would coordinate with lead to form the
chelate-Pb compound, leading to smaller Gibbs free energy during the perovskite
crystallization process, facilitating formation of high-quality perovskite films
with larger grains, smoother surfaces, lower electron trap densities, and longer
carrier lifetimes compared to the nonadditive ones. As a result, the champion
efficiency for devices with 3% 1,8-octanedithiol-doped FA0.95Cs0.05PbI3 is raised
to 19.36% from 18.39% of a device without the additive. The new technique is a
promising way to fabricate perovskite photovoltaics with high performance.
PMID- 29637769
TI - Fabrication of a Microfluidic Flame Atomic Emission Spectrometer: a Flame-on-a
Chip.
AB - This work demonstrates for the first time the fabrication of a microfluidic flame
atomic emission spectrometer (FAES), which incorporates a microburner and flame
(flame-on-a-chip). An essential part of the device is a thermospray system
applied for effective sample introduction, which is more easily miniaturizable
and integrable than the conventional nebulization methods. The merits and
limitations of the microfluidic flame atomic emission device were demonstrated
and discussed. Using a commercial cigarette lighter including butane gas, the
flame temperature made the analysis of the most easily excitable alkali metals
possible. The calibration diagrams for Li, Na, and K showed proper linearity in
the range of 5-100 mg/L. The analytical applicability of the microfluidic FAES
device was tested by analyzing various real samples.
PMID- 29637770
TI - Rediscovering Acetate Metabolism: Its Potential Sources and Utilization for
Biobased Transformation into Value-Added Chemicals.
AB - One of the great advantages of microbial fermentation is the capacity to convert
various carbon compounds into value-added chemicals. In this regard, there have
been many efforts to engineer microorganisms to facilitate utilization of
abundant carbon sources. Recently, the potential of acetate as a feedstock has
been discovered; efforts have been made to produce various biochemicals from
acetate based on understanding of its metabolism. In this review, we discuss the
potential sources of acetate and summarized the recent progress to improve
acetate utilization with microorganisms. Furthermore, we also describe
representative studies that engineered microorganisms for the production of
biochemicals from acetate.
PMID- 29637771
TI - The Structure of the Protonated Serine Octamer.
AB - The amino acid serine has long been known to form a protonated "magic-number"
cluster containing eight monomer units that shows an unusually high abundance in
mass spectra and has a remarkable homochiral preference. Despite many
experimental and theoretical studies, there is no consensus on a Ser8H+ structure
that is in agreement with all experimental observations. Here, we present the
structure of Ser8H+ determined by a combination of infrared spectroscopy and ab
initio molecular dynamics simulations. The three-dimensional structure that we
determine is ~25 kcal mol-1 more stable than the previous most stable published
structure and explains both the homochiral preference and the experimentally
observed facile replacement of two serine units.
PMID- 29637772
TI - The Gelsolin Pathogenic D187N Mutant Exhibits Altered Conformational Stability
and Forms Amyloidogenic Oligomers.
AB - Gelsolin is an actin-severing protein that attains an open functional
conformation in the presence of Ca2+ or low pH. Mutations (D187N/Y) in the second
domain of gelsolin trigger the proteolytic pathway producing amyloidogenic
fragments that form the pathological hallmark of gelsolin amyloidosis and lattice
corneal dystrophy type 2 (LCD2). Here, we show that the D187N mutant gelsolin in
a Ca2+ depleted, low pH-activated, open conformation could assemble into
amyloidogenic oligomers without necessarily undergoing the specific proteolytic
step. Although both wild-type (WT) and mutant proteins exhibit closely
overlapping globular shapes at physiological conditions, the latter exhibits
subjugated actin depolymerization, loss of thermodynamic stability, and folding
cooperativity. Mutant gelsolin displayed aberrant conformational unwinding and
formed structural conformers with high associative properties at low pH
conditions. A SAXS intensity profile and Guinier analysis of these conformers
showed the formation of unusual, higher order aggregates. Extended incubation at
low pH resulted in the formation of thioflavin T and Congo red positive, beta
sheet rich aggregates with a fibrillar, amyloid-like morphology visible under
electron and atomic force microscopy. Mass spectrometric analysis of
disaggregated end-stage fibrils displayed peptide fragments encompassing the
entire protein sequence, indicating the involvement of full length mutant
gelsolin in fibril formation. Atomistic and REMD simulations indicated a larger
increase in solvent accessibility and loss of fold architecture in mutant
gelsolin at low pH as compared to WT gelsolin. Our findings support the existence
of a secondary oligomerization-dependent aggregation pathway associated with
gelsolin amyloidosis and can pave the way for better therapeutic strategies.
PMID- 29637773
TI - Activatable Fluorescence Probe via Self-Immolative Intramolecular Cyclization for
Histone Deacetylase Imaging in Live Cells and Tissues.
AB - Histone deacetylases (HDACs) play essential roles in transcription regulation and
are valuable theranostic targets. However, there are no activatable fluorescent
probes for imaging of HDAC activity in live cells. Here, we develop for the first
time a novel activatable two-photon fluorescence probe that enables in situ
imaging of HDAC activity in living cells and tissues. The probe is designed by
conjugating an acetyl-lysine mimic substrate to a masked aldehyde-containing
fluorophore via a cyanoester linker. Upon deacetylation by HDAC, the probe
undergoes a rapid self-immolative intramolecular cyclization reaction, producing
a cyanohydrin intermediate that is spontaneously rapidly decomposed into the
highly fluorescent aldehyde-containing two-photon fluorophore. The probe is shown
to exhibit high sensitivity, high specificity, and fast response for HDAC
detection in vitro. Imaging studies reveal that the probe is able to directly
visualize and monitor HDAC activity in living cells. Moreover, the probe is
demonstrated to have the capability of two-photon imaging of HDAC activity in
deep tissue slices up to 130 MUm. This activatable fluorescent probe affords a
useful tool for evaluating HDAC activity and screening HDAC-targeting drugs in
both live cell and tissue assays.
PMID- 29637774
TI - Transformation, Conjugation, and Sequestration Following the Uptake of
Triclocarban by Jalapeno Pepper Plants.
AB - Plant uptake and metabolism of emerging organic contaminants, such as personal
care products, pose potential risks to human health. In this study, jalapeno
pepper ( Capsicum annuum) plants cultured in hydroponic media were exposed to
both 14C-labeled and unlabeled triclocarban (TCC) to investigate the
accumulation, distribution, and metabolism of TCC following plant uptake. The
results revealed that TCC was detected in all plant tissues; after 12 weeks, the
TCC concentrations in root, stem, leaf, and fruit tissues were 19.74 +/- 2.26,
0.26 +/- 0.04, 0.11 +/- 0.01, and 0.03 +/- 0.01 mg/kg dry weight, respectively.
More importantly, a substantial portion of the TCC taken up by plants was
metabolized, especially in the stems, leaves, and fruits. Hydroxylated TCC (e.g.,
2'-OH TCC and 6-OH TCC) and glycosylated OH-TCC were the main phase I and phase
II metabolites in plant tissues, respectively. Bound (or nonextractable) residues
of TCC accounted for approximately 44.6, 85.6, 69.0, and 47.5% of all TCC species
that accumulated in roots, stems, leaves, and fruits, respectively. The
concentrations of TCC metabolites were more than 20 times greater than the
concentrations of TCC in the above-ground tissues of the jalapeno pepper plants
after 12 weeks; crucially, approximately 95.6% of the TCC was present as
metabolites in the fruits. Consequently, human exposure to TCC through the
consumption of pepper fruits is expected to be substantially higher when
phytometabolism is considered.
PMID- 29637775
TI - Facile Fabrication of a Modular "Catch and Release" Hydrogel Interface:
Harnessing Thiol-Disulfide Exchange for Reversible Protein Capture and Cell
Attachment.
AB - Surfaces engineered to "specifically capture" and "release on demand" analytes
ranging from biomolecules to cells find niche applications in areas such as
diagnostics and detection. Utilization of a disulfide-based linker as a building
block allows fabrication of a novel hydrogel-based platform that incorporates a
"catch and release" attribute. Hydrogels incorporating pyridyl disulfide groups
as thiol-reactive handles were prepared by photopolymerization in the presence of
a poly(ethylene glycol) (PEG)-based cross-linker. A range of bulk and
micropatterned hydrogels with varying amounts of the reactive group were prepared
using PEG-based monomers with different chain lengths. Thiol-containing molecules
were conjugated to these hydrogels through the thiol-disulfide exchange reaction
under ambient conditions with high efficiencies, as determined by UV-vis
spectroscopy. Facile conjugation of a thiol-containing fluorescent dye, namely
4,4-difluoro-1,3,5,7-tetramethyl-8-[(10-mercapto)]-4-bora-3 a,4 a-diaza- s
indacene, was demonstrated, followed by its effective cleavage in the presence of
dithiothreitol (DTT), a thiol-containing disulfide-reducing agent. Conjugation of
a biotin-containing ligand onto the hydrogels allowed specific binding of protein
extravidin when exposed to a mixture of extravidin and bovine serum albumin. The
bound protein could be released from the hydrogel by simple exposure to a DTT
solution. Likewise, hydrogels modified with a cell-adhesive peptide unit
containing the RGD sequence acted as favorable substrates for cellular
attachment. Incubation of these cell-attached hydrogel surfaces in a DTT
containing solution leads to facile detachment of cells from the surfaces, while
retaining a high level of cell viability. It can be envisioned that the benign
nature of these hydrogels, their facile fabrication, and modular
functionalization will make them attractive platforms for many applications.
PMID- 29637776
TI - Design of Nanofiber Coatings for Mitigation of Microbial Adhesion: Modeling and
Application to Medical Catheters.
AB - Surface-associated microbial communities, known as biofilms, pose significant
challenges in clinical and industrial settings. Micro-/nanoscale substratum
surface features have been shown to disrupt firm adhesion of planktonic microbes
to surfaces, thereby interfering with the earliest stage of biofilm formation.
However, the role of geometry and size of surface features in microbial retention
is not completely understood. In this study, we developed a biophysical model
that describes the changes in the total free energy (adhesion energy and
stretching energy) of an adherent Candida albicans cell on nanofiber-coated
surfaces as a function of the geometry (i.e., diameter) and configuration (i.e.,
interfiber spacing) of the surface features (i.e., nanofibers). We then
introduced a new nondimensional parameter, Pi, to represent the ratio of cell
rigidity to cell-substratum interfacial energy. We show that the total free
energy is a strong function of topographical feature size at higher Pi and lower
spacing values. To confirm our biophysical model predictions, we performed 24 h
dynamic retention assays and quantified cell attachment number density on
surfaces coated with highly ordered polystyrene nanofibers. We show that the
total free energy of a single adherent cell on a patterned surface is a key
determinant of microbial retention on that surface. The cell attachment density
trend closely correlates with the predictions based on the adherent single-cell
total energy. The nanofiber coating design (1.2 MUm diameter, 2 MUm spacing) that
maximized the total energy of the adherent cell resulted in the lowest microbial
retention. We further demonstrate the utility of our biophysical model by showing
close correlation between the computed single-cell total free energy and biofilm
nucleation on fiber-coated urinary and central venous catheters of different
materials. This biophysical model could offer a powerful new paradigm in ab
initio design of patterned surfaces for controlled biofilm growth for medical
applications and beyond.
PMID- 29637777
TI - Chemically Regulated ROS Generation from Gold Nanoparticles for Enzyme-Free
Electrochemiluminescent Immunosensing.
AB - In the present work, we report on an enzyme-free electrochemiluminescent (ECL)
immunosensing scheme utilizing the catalytic generation of reactive oxygen
species (ROS) from gold nanoparticles (AuNPs) (diameter >=5 nm) dispersed in
aqueous solutions of trishydroxymethylaminomethane (Tris). First, to examine this
catalytic pathway in detail, the effects of various factors such as the AuNP size
and concentration, dispersant type and concentration, and dissolved oxygen were
investigated using the electrochemiluminescence (ECL) of luminol. It was found
that the catalytic generation of ROS from AuNPs can be regulated chemically by
altering conditions such as the type, concentration, and pH of the solution that
the AuNPs are dispersed in. Under the best conditions studied in this work, the
AuNPs displayed high catalytic activity toward ROS generation, with an estimated
apparent turnover number per AuNP of 0.1 s-1, comparable to those of several
common peroxide-producing enzymes. Following these studies, this phenomenon was
applied to develop a one-step enzyme-free ECL immunosensor based on sandwiching
the target analyte using antibody-conjugated magnetic beads (MB) and AuNPs. Using
IgA as a model analyte, the developed immunosensor was able to detect the target
in the range of 1 ng/mL to 10 MUg/mL, with the lower detection limit being
comparable to those of commercial assays for the same target. Altering the
antibodies used to modify the MB and AuNPs could further improve the detection
limit as well as expand the applicability of this immunoassay to the detection of
other analytes.
PMID- 29637778
TI - Strain-Driven Nanoscale Phase Competition near the Antipolar-Nonpolar Phase
Boundary in Bi0.7La0.3FeO3 Thin Films.
AB - Complex-oxide materials tuned to be near phase boundaries via
chemistry/composition, temperature, pressure, etc. are known to exhibit large
susceptibilities. Here, we observe a strain-driven nanoscale phase competition in
epitaxially constrained Bi0.7La0.3FeO3 thin films near the antipolar-nonpolar
phase boundary and explore the evolution of the structural, dielectric,
(anti)ferroelectric, and magnetic properties with strain. We find that
compressive and tensile strains can stabilize an antipolar PbZrO3-like Pbam phase
and a nonpolar Pnma orthorhombic phase, respectively. Heterostructures grown with
little to no strain exhibit a self-assembled nanoscale mixture of the two
orthorhombic phases, wherein the relative fraction of each phase can be modified
with film thickness. Subsequent investigation of the dielectric and
(anti)ferroelectric properties reveals an electric-field-driven phase
transformation from the nonpolar phase to the antipolar phase. X-ray linear
dichroism reveals that the antiferromagnetic-spin axes can be effectively
modified by the strain-induced phase transition. This evolution of
antiferromagnetic-spin axes can be leveraged in exchange coupling between the
antiferromagnetic Bi0.7La0.3FeO3 and a ferromagnetic Co0.9Fe0.1 layer to tune the
ferromagnetic easy axis of the Co0.9Fe0.1. These results demonstrate that besides
chemical alloying, epitaxial strain is an alternative and effective way to modify
subtle phase relations and tune physical properties in rare earth-alloyed BiFeO3.
Furthermore, the observation of antiferroelectric-antiferromagnetic properties in
the Pbam Bi0.7La0.3FeO3 phase could be of significant scientific interest and
great potential in magnetoelectric devices because of its dual antiferroic
nature.
PMID- 29637779
TI - Unique Color Converter Architecture Enabling Phosphor-in-Glass (PiG) Films
Suitable for High-Power and High-Luminance Laser-Driven White Lighting.
AB - As a next-generation high-power lighting technology, laser lighting has attracted
great attention in high-luminance applications. However, thermally robust and
highly efficient color converters suitable for high-quality laser lighting are
scarce. Despite its versatility, the phosphor-in-glass (PiG) has been seldom
applied in laser lighting because of its low thermal conductivity. In this work,
we develop a unique architecture in which a phosphor-in-glass (PiG) film was
directly sintered on a high thermally conductive sapphire substrate coated by one
dimensional photonic crystals. The designed color converter with the composite
architecture exhibits a high internal quantum efficiency close to that of the
original phosphor powders and an excellent packaging efficiency up to 90%.
Furthermore, the PiG film can even be survived under the 11.2 W mm-2 blue laser
excitation. Combining blue laser diodes with the YAG-PiG-on-sapphire plate, a
uniform white light with a high luminance of 845 Mcd m-2(luminous flux: 1839 lm),
luminous efficacy of 210 lm W-1, and correlated color temperature of 6504 K was
obtained. A high color rendering index of 74 was attained by adding a robust
orange or red phosphor layer to the architecture. These outstanding properties
meet the standards of vehicle regulations, enabling the PiG films with the
composite architecture to be applied in automotive lighting or other high-power
and high-luminance laser lighting.
PMID- 29637780
TI - Analysis and Evaluation of the Inhibitory Mechanism of a Novel Angiotensin-I
Converting Enzyme Inhibitory Peptide Derived from Casein Hydrolysate.
AB - Casein hydrolysates exert various biological activities, and the responsible
functional peptides are being identified from them continuously. In this study,
the tryptic casein hydrolysate was fractionated by an ultrafiltration membrane (3
kDa), and the peptides were identified by capillary electrophoresis-quadrupole
time-of-flight-tandem mass spectrometry. Meanwhile, in silico methods were used
to analyze the toxicity, solubility, stability, and affinity between the peptides
and angiotensin-I-converting enzyme (ACE). Finally, a new angiotensin-I
converting enzyme inhibitory (ACEI) peptide, EKVNELSK, derived from alphas1
casein (fragment 35-42) was screened. The half maximal inhibitory concentration
value of the peptide is 5.998 mM, which was determined by a high-performance
liquid chromatography method. The Lineweaver-Burk plot indicated that this
peptide is a mixed-type inhibitor against ACE. Moreover, Discovery Studio 2017 R2
software was adopted to perform molecular docking to propose the potential
mechanisms underlying the ACEI activity of the peptide. These results indicated
that EKVNELSK is a new ACEI peptide identified from casein hydrolysate.
PMID- 29637781
TI - Stereoselective Sequential [4+2]/[2+2] Cycloadditions Involving 2
Alkenylindolenines: An Approach to Densely Functionalized Benzo[ b]indolizidines.
AB - A stereoselective sequential [4+2]/[2+2] cycloaddition process involving 2
alkenylindolenines has been developed. This unprecedented protocol allows a rapid
access to densely functionalized benzo[ b]indolizidines containing a fully
substituted piperidine ring with five contiguous stereogenic centers in good
yields with excellent diastereoselectivities. This finding demonstrated the
unique synthetic utility of the 2-alkenylindolenine species in the construction
of complex polycyclic N-heterocycles.
PMID- 29637783
TI - NIR-to-NIR Deep Penetrating Nanoplatforms Y2O3:Nd3+/Yb3+@SiO2@Cu2S toward Highly
Efficient Photothermal Ablation.
AB - A difunctional nano-photothermal therapy (PTT) platform with near-infrared
excitation to near-infrared emission (NIR-to-NIR) was constructed through core
shell structures Y2O3:Nd3+/Yb3+@SiO2@Cu2S (YRSC), in which the core
Y2O3:Nd3+/Yb3+ and shell Cu2S play the role of bioimaging and photothermal
conversion function, respectively. The structure and composition of the present
PTT agents (PTAs) were characterized by powder X-ray diffraction, field emission
scanning electron microscopy, transmission electron microscopy, and X-ray
photoelectron spectra. The NIR emissions of samples in the biological window area
were measured by photoluminescence spectra under the excitation of 808 nm laser;
further, the penetration depth of NIR emission at different wavelengths in
biological tissue was also demonstrated by comparing with visible (vis) emission
from Y2O3:Yb3+/Er3+@SiO2@Cu2S and NIR emission from YRSC through different
injection depths in pork muscle tissues. The photo-thermal conversion effects
were achieved through the outer ultrasmall Cu2S nanoparticles simultaneously
absorb NIR light emission from the core Y2O3:Nd3+/Yb3+ and the 808 nm excitation
source to generate heat. Further, the heating effect of YRSC nanoparticles was
confirmed by thermal imaging and ablation of YRSC to Escherichia coli and human
hepatoma (HepG-2) cells. Results indicate that the YRSC has potential
applications in PTT and NIR imaging in biological tissue.
PMID- 29637784
TI - Correction to "Synthesis of (+)-Disparlure via Enantioselective
Iodolactonization".
PMID- 29637782
TI - Conformational Dynamics in the Binding-Protein-Independent Mutant of the
Escherichia coli Maltose Transporter, MalG511, and Its Interaction with Maltose
Binding Protein.
AB - MalG511 is a genetically selected binding-protein-independent mutant of the
Escherichia coli maltose transporter MalFGK2, which retains specificity for
maltose and shows a high basal ATPase activity in the absence of maltose binding
protein (MBP). It shows an intriguing biphasic behavior in maltose transport
assays in the presence of MBP, with low levels of MBP stimulating the activity
and higher levels (>50 MUM) inhibiting the transport activity. Remarkably, the
rescuing effect of the MBP suppressor mutant, MBPG13D, turns it into an
attractive model for studying regulatory mechanisms in the ABC transporter
superfamily. It is hypothesized that the special characteristics of MalG511
result from mutations that shift its equilibrium toward the transition state of
MalFGK2. We tested this hypothesis by using site-directed spin labeling in
combination with electron paramagnetic resonance spectroscopy, which showed
conformational changes in MalG511 and its interaction with MBP and MBPG13D during
its catalytic cycle. We found that MalG511 utilizes the same alternate access
mechanism as MalFGK2, including all three open, semi-open, and closed states of
the MalK dimer, to transport maltose across the membrane. However, the
equilibrium of this mutant is shifted toward the semi-open state in its resting
state and interacts with MBP with high affinity, providing an explanation for the
inhibition of MalG511 by MBP at higher concentrations. In contrast, the mutant
binding protein, MBPG13D, interacts with lower affinity and could restore MalG511
to a normal catalytic cycle.
PMID- 29637785
TI - Direct Evidence of Exciton-Exciton Annihilation in Single-Crystalline Organic
Metal Halide Nanotube Assemblies.
AB - Excitons in low-dimensional organic-inorganic metal halide hybrid structures are
commonly thought to undergo rapid self-trapping following creation due to strong
quantum confinement and exciton-phonon interaction. Here we report an
experimental study probing the dynamics of these self-trapped excitons in the
single-crystalline bulk assemblies of 1D organic metal halide nanotubes,
(C6H13N4)3Pb2Br7. Through time-resolved photoluminescence (PL) measurements at
different excitation intensities, we observed a marked variation in the PL decay
behavior that is manifested by an accelerated decay rate with increasing
excitation fluence. Our results offer direct evidence of the occurrence of an
exciton-exciton annihilation process, a nonlinear relaxation phenomenon that
takes place only when some of the self-trapped excitons become mobile and can
approach either each other or those trapped excitons. We further identify a fast
and dominant PL decay component with a lifetime of ~2 ns with a nearly invariant
relative area for all acquired PL kinetics, suggesting that this rapid relaxation
process is intrinsic.
PMID- 29637786
TI - Corrigendum.
PMID- 29637787
TI - Prevalence of metabolic syndrome among middle-aged and elderly adults in China:
current status and temporal trends.
AB - BACKGROUND: Metabolic syndrome (MetS) is a cluster of major risk factors for
cardiovascular diseases. We aimed to estimate prevalence and distribution of MetS
among middle-aged and elderly adults in China. METHODS: The present analysis used
data from a national study in 2014-2015. We defined MetS by different
definitions, and compared results of the present study and previous nationally
representative studies to illustrate possible temporal changes in MetS
prevalence. RESULTS: The estimated prevalence of MetS was 18.4% by the ATP III
criteria, 34.0% by the revised ATP III criteria, and 26.9% by IDF criteria. The
prevalence was higher in women, older adults, those with lower education level,
and in economically developed regions. Contrasting with previous national
studies, adults in urban areas had a lower rate of MetS than those in rural areas
(odds ratio 0.94; 95% CI 0.92-0.97). Rural adults had worse deterioration or less
improvement in abdominal obesity, overweight, hypertension, and high fasting
plasma glucose, than urban adults, which was particularly striking for women.
CONCLUSION: While measures to prevent and control cardiovascular diseases need to
be strengthened in China, rapid increasing risk factors among rural residents and
women should be prioritized in making public health policy decisions. KEY
MESSAGES Our study assessed prevalence and temporal changes of MetS among Chinese
population with the most recently completed and the largest sample size. The
current prevalence of MetS was higher in women, older adults, those with lower
education level, and in economically developed regions and the CVD risk factors
among rural residents and women should be prioritised in making public health
policy decisions. A comparison of results of the present study and previous
national studies showed that rural adults had worse deterioration or less
improvement in abdominal obesity, overweight, hypertension, and high fasting
plasma glucose, than urban adults, which was particularly striking for women.
PMID- 29637788
TI - Thyroid-stimulating hormone and adverse left ventricular remodeling following ST
segment elevation myocardial infarction.
AB - BACKGROUND: Adverse left ventricular remodeling is one of the major determinants
of heart failure and mortality in patients surviving ST-segment elevation
myocardial infarction (STEMI). The hypothalamic-pituitary-thyroid axis is a key
cardiovascular regulator; however, the relationship between hypothalamic
pituitary-thyroid status and post-STEMI left ventricular remodeling is unclear.
We aimed to investigate the association between thyroid-stimulating hormone
concentrations and the development of left ventricular remodeling following
reperfused STEMI. METHODS: In this prospective observational study of 102
consecutive STEMI patients, thyroid-stimulating hormone levels were measured at
the first day after infarction and 4 months thereafter. Cardiac magnetic
resonance scans were performed within the first week as well as at 4 months
follow-up to determine infarct characteristics, myocardial function and as
primary endpoint left ventricular remodeling, defined as a 20% or greater
increase in left ventricular end-diastolic volume. RESULTS: Patients with left
ventricular remodeling ( n=15, 15%) showed significantly lower concentrations of
baseline (1.20 [0.92-1.91] vs. 1.73 [1.30-2.60] mU/l; P=0.02) and follow-up (1.11
[0.86-1.28] vs. 1.51 [1.15-2.02] mU/l; P=0.002) thyroid-stimulating hormone. The
association between baseline thyroid-stimulating hormone and left ventricular
remodeling remained significant after adjustment for major clinical (peak high
sensitivity cardiac troponin T and C-reactive protein, heart rate; odds ratio
(OR) 5.33, 95% confidence interval (CI) 1.52-18.63; P=0.01) and cardiac magnetic
resonance predictors of left ventricular remodeling (infarct size, microvascular
obstruction, ejection fraction; OR 4.59, 95% CI 1.36-15.55; P=0.01). Furthermore,
chronic thyroid-stimulating hormone was related to left ventricular remodeling
independently of chronic left ventricular remodeling correlates (infarct size,
ejection fraction, left ventricular end-diastolic volume, left ventricular end
systolic volume; OR 9.22, 95% CI 1.69-50.22; P=0.01). CONCLUSIONS: Baseline and
chronic thyroid-stimulating hormone concentrations following STEMI were
independently associated with left ventricular remodeling, proposing a novel
pathophysiological axis in the development of post-STEMI left ventricular
remodeling.
PMID- 29637789
TI - Do preclinical studies suggest that CD99 is a potential therapeutic target in
acute myeloid leukemia and the myelodysplastic syndromes?
AB - INTRODUCTION: Acute myeloid leukemia (AML) and the myelodysplastic syndromes
(MDS) are clonal hematopoietic neoplasms that arise from leukemia stem cells
(LSCs) and hematopoietic stem cells (HSCs), respectively. Standard chemotherapy
can efficiently eliminate the bulk of neoplastic cells, however, LSCs and MDS
HSCs are relatively resistant to these therapies and can reinitiate and maintain
disease. CD99 is a 32-kDa transmembrane polypeptide that is highly expressed on
disease stem cells in the vast majority of AML and MDS. Areas covered: In this
editorial, we focus on the current literature surrounding the identification of
CD99 as a marker of MDS and AML stem cells and preclinical studies revealing the
therapeutic efficacy of targeting CD99 in these diseases. Expert
opinion/commentary: Cytotoxic CD99 monoclonal antibodies represent promising stem
cell-directed therapies that have the potential to markedly improve clinical
outcomes for these difficult-to-treat hematologic malignancies.
PMID- 29637790
TI - Interobserver reliability of the ankle-brachial index, toe-brachial index and
distal pulse palpation in patients with diabetes.
AB - OBJECTIVE: We conducted a prospective pilot study in patients with diabetes to
analyse the interobserver reliability of the ankle-brachial index, toe-brachial
index and distal pulse palpation depending on the training of the professional
involved. MATERIALS AND METHODS: The ankle-brachial index, toe-brachial index and
distal pulses were assessed by three clinicians with different levels of
experience on the same day. Measurements were supervised and recorded by a fourth
clinician. RESULTS: Twenty-one patients (42 ft) were included in this study. We
observed moderate agreement between clinicians in the palpation of posterior
tibial arteries (K = 0.45, p < 0.001) and low agreement in dorsalis pedis
arteries (K = 0.33, p < 0.001). The measurement of ankle-brachial index had
moderate agreement between clinicians in patients with medial arterial
calcification (K = 0.43, p < 0.001) and low agreement in patients with normal
ankle-brachial index (K = 0.4, p < 0.001). The measurement of toe-brachial index
had moderate agreement between clinicians in patients with a normal toe-brachial
index (K = 0.4, p < 0.001) and in patients with medial arterial calcification (K
= 0.60, p < 0.001). CONCLUSION: Palpation of distal pulses, ankle-brachial index
and toe-brachial index determination in patients with diabetes are not highly
reproducible and reliable between clinicians with different levels of experience
under routine conditions.
PMID- 29637791
TI - Measuring Value in Internal Medicine Residency Training Hospitals Using Publicly
Reported Measures.
AB - Graduate medical education (GME) lacks measures of resident preparation for high
quality, cost-conscious practice. The authors used publicly reported teaching
hospital value measures to compare internal medicine residency programs on high
value care training and to validate these measures against program director
perceptions of value. Program-level value training scores were constructed using
Centers for Medicare & Medicaid Services Value-Based Purchasing (VBP) Program
hospital quality and cost-efficiency data. Correlations with Association of
Program Directors in Internal Medicine Annual Survey high-value care training
measures were examined using logistic regression. For every point increase in
program-level VBP score, residency directors were more likely to agree that GME
programs have a responsibility to contain health care costs (adjusted odds ratio
[aOR] 1.18, P = .04), their faculty model high-value care (aOR 1.07, P = .03),
and residents are prepared to make high-value medical decisions (aOR 1.07, P =
.09). Publicly reported clinical data offer valid measures of GME value training.
PMID- 29637792
TI - Osteopathic Manipulative Treatment in Surgical Care: Short Review of Research
Publications in Osteopathic Journals During the Period 1990 to 2017.
AB - BACKGROUND: A growing trend in surgical care is the investigation and
incorporation of multimodal interventions into standardized programs.
Additionally, manual therapies such as osteopathic manipulative treatment (OMT)
are being used with patients in surgical care. Yet the scientific dialogue and
the use of OMT in surgical care are currently insubstantial. OBJECTIVE: The aim
of this study was to present an overview of published research articles within
the subject field of OMT in surgical care. METHOD: Summative review of peer
reviewed research articles published in osteopathic journals during the period
1990 to 2017. In total, 10 articles were identified. RESULT: Previous research
has been conducted within the areas of abdominal, thoracic, gynecological, and/or
orthopedic surgery with measured outcomes such as pain, analgesia consumption,
length of hospital stay, and range of motion. Heterogeneity was identified in
usage of osteopathic techniques, treatment duration, and occurrence, as well as
in the treating osteopath's experience. CONCLUSION: Despite the small number of
research articles within this field, both positive measured effects as well as
the absence of such effects were identified. Overall, there was a heterogeneity
concerning surgical contexts, diagnoses, signs and symptoms, as well as surgical
phases in current interprofessional osteopathic publications. In this era of
multimodal surgical care, we argue that there is an urgent need to evaluate OMT
in this context of care and with a proper research approach.
PMID- 29637794
TI - Population impact of the 2017 ACC/AHA guidelines compared with the 2013 ESH/ESC
guidelines for hypertension management.
AB - Background The 2017 ACC/AHA guidelines on hypertension management recommend the
introduction of antihypertensive treatment for patients with new stage 1
hypertension thresholds (130-139/80-89 mm Hg) and with a cardiovascular disease
or related condition. We compared the Swiss population and economic impact of
antihypertensive treatment of the 2017 ACC/AHA guidelines with the 2013 European
guidelines. Methods Analyses were based on 4438 participants (aged 45-85 years;
2448 women) of the CoLaus|PsyCoLaus study recruited between 2014-2017.
Participants eligible for antihypertensive treatment according to the 2017
ACC/AHA and 2013 European guidelines were sex and age standardised using the
Swiss population for 2016. In addition, we estimated the population-wide annual
costs of antihypertensive treatment. Results Individuals eligible for
antihypertensive treatment were 40.3% (95% confidence interval 38.5-42.1) and
31.3% (29.7-32.9) according to the 2017 ACC/AHA and 2013 European guidelines,
respectively. That difference would translate into approximately 250,000
additional individuals eligible for antihypertensive treatment, corresponding to
an additional annual cost of 72.5 million CHF (63.0 million EUR). Conclusion The
2017 ACC/AHA guidelines on the management of hypertension substantially increase
the number of individuals eligible for antihypertensive treatment compared to the
2013 European guidelines. While implementation of the 2017 ACC/AHA guidelines is
expected to lead to cost reduction by preventing cardiovascular diseases, that
reduction might be mitigated by the costs incurred by antihypertensive treatments
in a larger proportion of the population.
PMID- 29637795
TI - Environmental post-processing increases the adhesion strength of mussel byssus
adhesive.
AB - Marine mussels (Mytilus trossulus) attach to a wide variety of surfaces
underwater using a protein adhesive that is cured by the surrounding seawater
environment. In this study, the influence of environmental post-processing on
adhesion strength was investigated by aging adhesive plaques in a range of
seawater pH conditions. Plaques took 8-12 days to achieve full strength at pH 8,
nearly doubling in adhesion strength (+94%) and increasing the work required to
dislodge (+59%). Holding plaques in low pH conditions prevented strengthening,
causing the material to tear more frequently under tension. The timescale of
strengthening is consistent with the conversion of DOPA to DOPA-quinone, a pH
dependent process that promotes cross-linking between adhesive proteins. The
precise arrangement of DOPA containing proteins away from the adhesive-substratum
interface emphasizes the role that structural organization can have on function,
an insight that could lead to the design of better synthetic adhesives and metal
coordinating hydrogels.
PMID- 29637796
TI - How researchers perceive research misconduct in biomedicine and how they would
prevent it: A qualitative study in a small scientific community.
AB - The aim of our study has been to use a qualitative approach to explore the
potential motivations and drivers for unethical behaviors in biomedicine and
determine the role of institutions regarding those issues in a small scientific
community setting. Three focus groups were held---two with doctoral students and
one with active senior researchers. Purposive sampling was used to reach
participants at different stages of their scientific careers. Participants in all
three focus groups were asked the same questions regarding the characteristics
and behaviors of ethical/unethical scientists, ethical climate, role, and
responsibility of institutions; they were also asked to suggest ways to improve
research integrity. The data analysis included coding of the transcripts,
categorization of the initial codes, and identification of themes and patterns.
Three main topics were derived from the focus groups discussions. The first
included different forms of unethical behaviors including increasing research
"waste," non-publication of negative results, authorship manipulation, data
manipulation, and repression of collaborators. The second addressed the factors
influencing unethical behavior, both external and internal, to the researchers.
Two different definitions of ethics in science emerged; one from the categorical
perspective and the other from the dimensional perspective. The third topic
involved possible routes for improvement, one from within the institution through
the research integrity education, research integrity bodies, and quality control,
and the other from outside the institution through external supervision of
institutions. Based on the results of our study, research misconduct in a small
scientific community is perceived to be the consequence of the interaction of
several social and psychological factors, both general and specific, for small
research communities. Possible improvements should be systematic, aiming both for
improvements in work environment and personal awareness in research ethics, and
the implementation of those changes should be institutional responsibility.
PMID- 29637797
TI - Ultrasound-guided percutaneous microwave ablation versus surgery for papillary
thyroid microcarcinoma.
AB - BACKGROUND: Papillary thyroid microcarcinoma (PTMC) has high incidence and low
disease-specific mortality. However, active surveillance is not accepted by most
patients owing to high physical or psychological pressures. The emergence of
ablation technologies is supplanting traditional surgery. Our goal was to compare
the clinical outcomes of microwave ablation (MWA) and surgery for T1aN0M0 PTMC.
METHODS: A total of 92 consecutive patients with T1aN0M0 PTMC were studied
retrospectively. Forty-six patients had been treated with MWA, and the other 46
had undergone surgery. MWA was performed using extensive ablation extending from
the nodule's lower pole to the upper pole. Surgery was performed by total
thyroidectomy or thyroid lobectomy. We compared the two groups in terms of mean
length of stay, cost, mean blood loss, surgical incision, operating room (OR)
time, quality of life (QOL) assessment, complications, and therapeutic efficacy
over a follow-up period of 42 months. RESULTS: The mean length of stay, cost,
mean blood loss, surgical incisions, OR time, and complications in the MWA group
were significantly lower than those of the surgery group. The QOL after MWA was
higher than it was after surgery. The nodule volume decreased significantly from
53.61 +/- 48.43 mm3 to 4.84 +/- 6.55 mm3 (p < .001) at the 42-month follow-up,
exhibiting a percentage volume reduction of 81.33 +/- 36.87%. No recurrence or
metastasis occurred in either group during the follow-up period. CONCLUSIONS: MWA
may be considered a minimally invasive alternative to surgery for solitary
T1aN0M0 PTMC with low incidence of complications and good therapeutic effect.
PMID- 29637793
TI - Functions of the sirtuin deacylase SIRT5 in normal physiology and pathobiology.
AB - Sirtuins are NAD+-dependent protein deacylases/ADP-ribosyltransferases that have
emerged as candidate targets for new therapeutics to treat metabolic disorders
and other diseases, including cancer. The sirtuin SIRT5 resides primarily in the
mitochondrial matrix and catalyzes the removal of negatively charged lysine acyl
modifications; succinyl, malonyl, and glutaryl groups. Evidence has now
accumulated to document the roles of SIRT5 as a significant regulator of cellular
homeostasis, in a context- and cell-type specific manner, as has been observed
previously for other sirtuin family members. SIRT5 regulates protein substrates
involved in glycolysis, the TCA cycle, fatty acid oxidation, electron transport
chain, ketone body formation, nitrogenous waste management, and ROS
detoxification, among other processes. SIRT5 plays pivotal roles in cardiac
physiology and stress responses and is involved in the regulation of numerous
aspects of myocardial energy metabolism. SIRT5 is implicated in neoplasia, as
both a tumor promoter and suppressor in a context-specific manner, and may serve
a protective function in the setting of neurodegenerative disorders. Here, we
review the current understanding of functional impacts of SIRT5 on its metabolic
targets, and its molecular functions in both normal and pathological conditions.
Finally, we will discuss the potential utility of SIRT5 as a drug target and also
summarize the current status, progress, and challenges in developing small
molecule compounds to modulate SIRT5 activity with high potency and specificity.
PMID- 29637798
TI - Levonorgestrel-releasing intra-uterine systems as female contraceptives.
AB - INTRODUCTION: The availability and use of long-acting reversible contraceptives
(LARCs), such as levonorgestrel intrauterine systems (LNG-IUSs), have increased
in recent times. Areas covered: The authors provide a narrative review of the LNG
IUSs currently available worldwide as female contraceptives (LNG-IUS 13.5, 19.5
and 52 mg). Specific features of the devices and their parameters of efficacy and
tolerability were considered as outcomes. Expert opinion: The one-handed 3.8-mm
diameter inserter of LNG-IUS 13.5 mg and 19.5 mg may be particularly suitable in
nulliparous women. While LNG-IUSs 13.5, 19.5 mg and LNG 52 mg should be used by
women simply looking for an effective contraceptive method for up to 3, 4 or 5
years, LNG-IUS 52 mg has also been approved for the treatment of heavy menstrual
bleeding and endometrial protection during hormone replacement therapy. LNG-IUS
52 mg is ideal for women who are experiencing a certain hyperestrogenic hormonal
environment, with heavy menstrual bleeding due to hormonal imbalances,
adenomyosis or fibroids, in the case of symptomatic endometriosis or for
endometrial protection during hormone estrogenic replacement therapy in non
hysterectomized women.
PMID- 29637799
TI - Immunogenicity testing of therapeutic antibodies in ocular fluids after
intravitreal injection.
AB - AIM: High drug concentrations in ocular fluids after intravitreal administration
preclude the use of drug-sensitive immunoassays. A drug-tolerant immunoassay is
therefore desirable for immunogenicity testing in ophthalmology. EXPERIMENTAL:
Immune complex (IC) antidrug antibody (ADA) assays were established for two
species. The assays were compared with the bridging assay in ocular and plasma
samples from two preclinical studies. RESULTS: The IC assays showed high drug
tolerance, which enabled a reliable ADA detection in ocular fluids after
intravitreal administration. The IC assays were superior to the bridging assay in
the analysis of ocular fluids with high drug concentrations. CONCLUSION: The IC
assay allows a reliable ADA detection in matrices with high drug concentrations,
such as ocular fluids.
PMID- 29637800
TI - Exploratory and confirmatory factor analyses and invariance assessment of the
perceived powerlessness scale among youth in Baltimore.
AB - Generalized perceived powerlessness is an important psychosocial construct that
determines a wide range of health behaviors and outcomes. This study has two
aims: (1) examine the structure of the newly developed perceived powerlessness
scale using exploratory and confirmatory factor analyses and (2) assess the
scale's invariance across key demographic variables using multi-group
confirmatory factor analysis among a random household sample of African American
and White youth (aged 15-24 years) residing in Baltimore, MD. Our study results
demonstrated that the powerlessness scale is valid among a demographically
diverse sample of urban youth, showing promise for use in future health behavior
and outcome studies.
PMID- 29637802
TI - Corrigendum.
PMID- 29637801
TI - Increased risk of polycystic ovary syndrome (PCOS) associated with CC genotype of
miR-146a gene variation.
AB - Polycystic ovary syndrome (PCOS) is an endocrinopathy in reproductive-age women
believed to be affected by several genetics and environmental factors or both.
Different miRNAs are one of such genetic factors that their associations with
PCOS have been implicated. For instance, miR-146a that is well known for strongly
regulating the immune response and inflammation was upregulated in serum plasma,
follicular fluid and granulosa cells of PCOS patients. Different studies have
shown that genetic changes in pre-miRNA can cause change in the expression or
biological function of mature miRNA. Therefore, the main aim of this study was to
investigate the association of miR-146a gene variation (rs2910164) with the
susceptibility to PCOS. This study consists of 180 patients with PCOS and 192
healthy women matched by age and geographical region. Genotyping were determined
by using PCR-RFLP in all subjects. The genotype frequency and allele
distributions of all subjects were evaluated using Fisher's exact test directed
by SPSS v.20. The genotype and allele frequencies of the miR-146a polymorphism
(rs2910164) significantly differ between PCOS and healthy controls. The
frequencies of CC genotype (p = .054) and 'C' allele (p = .0001) of the miR-146a
variant indicated a significant incidence in cases compared to controls. Such
association was obtained in co-dominant (OR = 3.16) and dominant (OR = 2.29)
models. Result of this study can be proposed that women with miR-146a variation
are at a higher risk for developing PCOS, which can be due to up-regulation of
miR-146a.
PMID- 29637803
TI - Musculoskeletal multibody dynamics simulation of the contact mechanics and
kinematics of a natural knee joint during a walking cycle.
AB - Detailed knowledge of the in vivo loading and kinematics in the knee joint is
essential to understand its normal functions and the aetiology of osteoarthritis.
Computer models provide a viable non-invasive solution for estimating joint
loading and kinematics during different physiological activities. However, the
joint loading and kinematics of the tibiofemoral and patellofemoral joints during
a gait cycle were not typically investigated concurrently in previous
computational simulations. In this study, a natural knee architecture was
incorporated into a lower extremity musculoskeletal multibody dynamics model
based on a force-dependent kinematics approach to investigate the contact
mechanics and kinematics of a natural knee joint during a walking cycle.
Specifically, the contact forces between the femoral/tibial articular cartilages
and menisci and between the femoral and tibial/patellar articular cartilages were
quantified. The contact forces and kinematics of the tibiofemoral and
patellofemoral joints and the muscle activations and ligament forces were
predicted simultaneously with a reasonable level of accuracy. The developed
musculoskeletal multibody dynamics model with a natural knee architecture can
serve as a potential platform for assisting clinical decision-making and
postoperative rehabilitation planning.
PMID- 29637804
TI - Terror in time: extending culturomics to address basic terror management
mechanisms.
AB - Building on Google's efforts to scan millions of books, this article introduces
methodology using a database of annual word frequencies of the 40,000 most
frequently occurring words in the American literature between 1800 and 2009. The
current paper uses this methodology to replicate and identify terror management
processes in historical context. Variation in frequencies of word usage of
constructs relevant to terror management theory (e.g. death, worldview, self
esteem, relationships) are investigated over a time period of 209 years. Study 1
corroborated previous TMT findings and demonstrated that word use of constructs
related to death and of constructs related to patriotism and romantic
relationships significantly co-vary over time. Study 2 showed that the use of the
word "death" most strongly co-varies over time with the use of medical
constructs, but also co-varies with the use of constructs related to violence,
relationships, religion, positive sentiment, and negative sentiment. Study 3
found that a change in the use of death related words is associated with an
increase in the use of fear related words, but not in anxiety related words.
Results indicate that the described methodology generates valuable insights
regarding terror management theory and provide new perspectives for theoretical
advances.
PMID- 29637805
TI - Noncoding RNAs and Stroke.
AB - Over many years, extensive efforts have focused on the development and
improvement of diagnostic and therapeutic strategies to reduce stroke-associated
neurovascular damage, such as blood-brain barrier dysfunction, brain edema,
parenchymal inflammation, and neural cell death. However, the only clinically
applied pharmacological therapy to date for the treatment of acute ischemic
stroke is thrombolysis. Because of the short therapeutic window of current
thrombolytic therapy and the activation of various pathophysiological signaling
cascades triggered after ischemic stroke, the development of new therapies is
urgently required. Noncoding RNAs (ncRNAs) are defined as untranslated regulatory
RNA molecules. Although ncRNAs with biological roles have been known for almost
60 years, they have within the past decade emerged as key mediators of
posttranscriptional gene expression/function in pathological aspects of ischemic
stroke. With properties of relative stability, specificity, and reproducibility,
ncRNAs are considered to be promising as biomarkers and better candidates than
proteins and genes for early recognition of the onset of disease. In this update,
we summarized the current knowledge for three groups of ncRNAs in stroke,
focusing on the role of long noncoding RNAs and circular RNAs as biomarkers for
stroke and as targets for regulating large sets of genes in related pathways
after ischemic stroke.
PMID- 29637806
TI - Cognitive reappraisal attenuates the association between depressive symptoms and
emotional response to stress during adolescence.
AB - Depression is associated with increased emotional response to stress. This is
especially the case during the developmental period of adolescence. Cognitive
reappraisal is an effective emotion regulation strategy that has been shown to
reduce the impact of emotional response on psychopathology. However, less is
known about whether cognitive reappraisal impacts the relationship between
depressive symptoms and emotional responses, and whether its effects are specific
to emotional reactivity or emotional recovery. The current study examined whether
cognitive reappraisal moderated the relationship between depressive symptoms and
trait or state measures of emotional reactivity and recovery. A community sample
of 127 adolescents (M-age = 15.28; 49% female, 47% Caucasian), at an age of risk
for depression, completed self-report measures of trait emotional responding and
depressive symptoms. In addition, they completed an in vivo social stress task
and were assessed on state emotional reactivity and recovery from the stressor.
Findings suggested that cognitive reappraisal was associated with an attenuated
impact of depressive symptoms on trait and state emotional recovery. These
results provide evidence that cognitive reappraisal may be an effective strategy
for improving some aspects of emotional responding in relation to depressive
symptoms among adolescents.
PMID- 29637808
TI - Retail Deli Slicer Inspection Practices: An EHS-Net Study.
AB - The Centers for Disease Control and Prevention (CDC) estimates that 3,000 people
die in the United States each year from foodborne illness, and Listeria
monocytogenes causes the third highest number of deaths. Risk assessment data
indicate that L. monocytogenes contamination of particularly delicatessen meats
sliced at retail is a significant contributor to human listeriosis. Mechanical
deli slicers are a major source of L. monocytogenes cross-contamination and
growth. In an attempt to prevent pathogen cross-contamination and growth, the
U.S. Food and Drug Administration (FDA) created guidance to promote good slicer
cleaning and inspection practices. The CDC's Environmental Health Specialists
Network conducted a study to learn more about retail deli practices concerning
these prevention strategies. The present article includes data from this study on
the frequency with which retail delis met the FDA recommendation that slicers
should be inspected each time they are properly cleaned (defined as
disassembling, cleaning, and sanitizing the slicer every 4 h). Data from food
worker interviews in 197 randomly selected delis indicate that only 26.9% of
workers ( n = 53) cleaned and inspected their slicers at this frequency. Chain
delis and delis that serve more than 300 customers on their busiest day were more
likely to have properly cleaned and inspected slicers. Data also were collected
on the frequency with which delis met the FDA Food Code provision that slicers
should be undamaged. Data from observations of 685 slicers in 298 delis indicate
that only 37.9% of delis ( n = 113) had slicers that were undamaged. Chain delis
and delis that provide worker training were more likely to have slicers with no
damage. To improve slicer practices, food safety programs and the retail food
industry may wish to focus on worker training and to focus interventions on
independent and smaller delis, given that these delis were less likely to
properly inspect their slicers and to have undamaged slicers.
PMID- 29637809
TI - Heavy Metals in Selected Vegetables from Markets of Faisalabad, Pakistan.
AB - Two hundred ten samples of selected vegetables (okra, pumpkin, tomato, potato,
eggplant, spinach, and cabbage) from Faisalabad, Pakistan, were analyzed for the
analysis of heavy metals: cadmium (Cd), lead (Pb), arsenic (As), and mercury
(Hg). Inductively coupled plasma optical emission spectrometry was used for the
analysis of heavy metals. The mean levels of Cd, Pb, As, and Hg were 0.24, 2.23,
0.58, and 7.98 mg/kg, respectively. The samples with Cd (27%), Pb (50%), and Hg
(63%) exceeded the maximum residual levels set by the European Commission. The
mean levels of heavy metals found in the current study are high and may pose
significant health concerns for consumers. Furthermore, considerable attention
should be paid to implement comprehensive monitoring and regulations.
PMID- 29637807
TI - The potential mechanism of mitochondrial dysfunction in septic cardiomyopathy.
AB - Septic cardiomyopathy is one of the most serious complications of sepsis or
septic shock. Basic and clinical research has studied the mechanism of cardiac
dysfunction for more than five decades. It has become clear that myocardial
depression is not related to hypoperfusion. As the heart is highly dependent on
abundant adenosine triphosphate (ATP) levels to maintain its contraction and
diastolic function, impaired mitochondrial function is lethally detrimental to
the heart. Research has shown that mitochondria play an important role in organ
damage during sepsis. The mitochondria-related mechanisms in septic
cardiomyopathy have been discussed in terms of restoring mitochondrial function.
Mitochondrial uncoupling proteins located in the mitochondrial inner membrane can
promote proton leakage across the mitochondrial inner membrane. Recent studies
have demonstrated that proton leakage is the essential regulator of mitochondrial
membrane potential and the generation of reactive oxygen species (ROS) and ATP.
Other mechanisms involved in septic cardiomyopathy include mitochondrial ROS
production and oxidative stress, mitochondria Ca2+ handling, mitochondrial DNA in
sepsis, mitochondrial fission and fusion, mitochondrial biogenesis, mitochondrial
gene regulation and mitochondria autophagy. This review will provide an overview
of recent insights into the factors contributing to septic cardiomyopathy.
PMID- 29637810
TI - Detection and Quantitation of Lomefloxacin and Pefloxacin Residues in the Organ
Tissues and Eggs of Laying Hens.
AB - Lomefloxacin (LOM) and pefloxacin (PEF) are synthetic antibiotics that have been
used in the treatment of infectious diseases in both human and animals. In the
People's Republic of China, the use of LOM and PEF in livestock has been
prohibited because of the concern that the residues of these drugs may pose a
risk to public health. Despite this prohibition, these drugs are still being used
in the poultry industry illegally, and so far there has been no systematic study
of the persistence of LOM and PEF residues in chickens. In this study, laying
hens were treated with a daily dose (10 mg/kg of body weight) of LOM or PEF for
five consecutive days, and the drug residues in various tissues and eggs were
determined over a 15-day period after the last drug administration. The highest
LOM and PEF residual concentrations were found in the tissues 4 h after the last
drug administration, and concentrations gradually decreased over time. Plasma had
the lowest and liver had the highest residual concentrations throughout the 15
day study period. At the end of the 15 days, 3.64 +/- 0.74 MUg/kg LOM and 1.78 +/
0.28 MUg/kg PEF were detected in the liver, with slightly lower residual
concentrations in the kidney. No LOM or PEF residue was detected in the ovarian
follicle, plasma, and muscle at the end of the 15 days. In eggs, the depletion
rate of LOM was slower than that of PEF. LOM and PEF residues were detected in
whole eggs for up to 10 and 8 days, respectively, after drug administration
ceased. These findings suggest that the liver and, to a lesser extent, the kidney
may be the sites where LOM or PEF residues would persist. This information can be
a reliable reference for governmental agencies with respect to the screening of
LOM and PEF residues in food products derived from laying hens.
PMID- 29637812
TI - Preserving Basement Membranes during Detachment of Cultivated Oral Mucosal
Epithelial Cell Sheets for the Treatment of Total Bilateral Limbal Stem Cell
Deficiency.
AB - Total bilateral limbal stem cell deficiency leading to loss of corneal clarity,
potential vision loss, pain, photophobia, and keratoplasty failure cannot be
treated by autologous limbal transplantation, and allogeneic limbal
transplantation requires subsequent immunosuppressive treatment. Cultured
autologous oral mucosal epithelial cells have been shown to be safe and effective
alternatives. These cells can be transplanted on supports or without support
after detachment from the culture dishes. Dispase, known for epidermal sheet
detachment, is reported as not usable for oral mucosa. The objective was to find
an optimized detachment method providing a sufficiently resistant and adhesive
cultured oral mucosal epithelium (COME), which can be grafted without sutures.
Enzymatic treatments (dispase or collagenase at different concentrations) were
compared to enzyme-free mechanical detachment. Histological immunofluorescence
(IF) and Western blotting (WB) were used to examine the impact on adhesion
markers (laminin-332, beta1-integrin, and type VII collagen) and junctional
markers (E-cadherin, P-cadherin). Finally, the COME ability to adhere to the
cornea and produce a differentiated epithelium 15 d after grafting onto an ex
vivo porcine stroma model were investigated by histology, IF, and transmission
electron microscopy. Collagenase at 0.5 mg/mL and dispase at 5 mg/mL were
selected for comparative study on adhesive expression marker by IF and WB showed
that levels of basement membrane proteins and cell-cell and cell-matrix junction
proteins were not significantly different between the 3 detachment methods.
Collagenase 0.5 mg/mL was selected for the next step validation because of the
better reproducibility, 100% success (vs. 33% with dispase 5 mg/mL). Grafted onto
porcine de-epithelialized corneal stroma, collagenase 0.5 mg/mL detached COME
were found to adhere, stratify, and continue to ensure renewal of the epithelium.
For COME, collagenase 0.5 mg/mL enzymatic detachment was selected and validated
on its resistance and adhesive marker expression as well as their anchorage onto
our new ex vivo de-epithelialized stroma model.
PMID- 29637813
TI - Human iPS Cell-based Liver-like Tissue Engineering at Extrahepatic Sites in Mice
as a New Cell Therapy for Hemophilia B.
AB - Instead of liver transplantation or liver-directed gene therapy, genetic liver
diseases are expected to be treated effectively using liver tissue engineering
technology. Hepatocyte-like cells (HLCs) generated from human-induced pluripotent
stem (iPS) cells are an attractive unlimited cell source for liver-like tissue
engineering. In this study, we attempted to show the effectiveness of human iPS
cell-based liver-like tissue engineering at an extrahepatic site for treatment of
hemophilia B, also called factor IX (FIX) deficiency. HLCs were transplanted
under the kidney capsule where the transplanted cells could be efficiently
engrafted. Ten weeks after the transplantation, human albumin (253 MUg/mL) and
alpha-1 antitrypsin (1.2 MUg/mL) could be detected in the serum of transplanted
mice. HLCs were transplanted under the kidney capsule of FIX-deficient mice. The
clotting activities in the transplanted mice were approximately 5% of those in
wild-type mice. The bleeding time in transplanted mice was shorter than that in
the nontransplanted mice. Taken together, these results indicate the success in
generating functional liver-like tissues under the kidney capsule by using human
iPS cell-derived HLCs. We also demonstrated that the human iPS cell-based liver
like tissue engineering technology would be an effective treatment of genetic
liver disease including hemophilia B.
PMID- 29637811
TI - Causes and consequences of genomic instability in laminopathies: Replication
stress and interferon response.
AB - Mammalian nuclei are equipped with a framework of intermediate filaments that
function as a karyoskeleton. This nuclear scaffold, formed primarily by lamins (A
type and B-type), maintains the spatial and functional organization of the genome
and of sub-nuclear compartments. Over the past decade, a body of evidence has
highlighted the significance of these structural nuclear proteins in the
maintenance of nuclear architecture and mechanical stability, as well as genome
function and integrity. The importance of these structures is now unquestioned
given the wide range of degenerative diseases that stem from LMNA gene mutations,
including muscular dystrophy disorders, peripheral neuropathies, lipodystrophies,
and premature aging syndromes. Here, we review our knowledge about how
alterations in nuclear lamins, either by mutation or reduced expression, impact
cellular mechanisms that maintain genome integrity. Despite the fact that DNA
replication is the major source of DNA damage and genomic instability in dividing
cells, how alterations in lamins function impact replication remains minimally
explored. We summarize recent studies showing that lamins play a role in DNA
replication, and that the DNA damage that accumulates upon lamins dysfunction is
elicited in part by deprotection of replication forks. We also discuss the
emerging model that DNA damage and replication stress are "sensed" at the
cytoplasm by proteins that normally survey this space in search of foreign
nucleic acids. In turn, these cytosolic sensors activate innate immune responses,
which are materializing as important players in aging and cancer, as well as in
the response to cancer immunotherapy.
PMID- 29637814
TI - A PEG-Based Hydrogel for Effective Wound Care Management.
AB - It is extremely challenging to achieve strong adhesion in soft tissues while
minimizing toxicity, tissue damage, and other side effects caused by wound
sealing materials. In this study, flexible synthetic hydrogel sealants were
prepared based on polyethylene glycol (PEG) materials. PEG is a synthetic
material that is nontoxic and inert and, thus, suitable for use in medical
products. We evaluated the in vitro biocompatibility tests of the dressings to
assess cytotoxicity and irritation, sensitization, pyrogen toxicity, and systemic
toxicity following the International Organization for Standardization 10993
standards and the in vivo effects of the hydrogel samples using Coloskin liquid
bandages as control samples for potential in wound closure.
PMID- 29637815
TI - The Effect of Tissue Preparation and Donor Age on Striatal Graft Morphology in
the Mouse.
AB - Huntington's disease (HD) is a progressive neurodegenerative disease in which
striatal medium spiny neurons (MSNs) are lost. Neuronal replacement therapies aim
to replace MSNs through striatal transplantation of donor MSN progenitors, which
successfully improve HD-like deficits in rat HD models and have provided
functional improvement in patients. Transplants in mouse models of HD are more
variable and have lower cell survival than equivalent rat grafts, yet mice
constitute the majority of transgenic HD models. Improving the quality and
consistency of mouse transplants would open up access to this wider range of
rodent models and facilitate research to increase understanding of graft
mechanisms, which is essential to progress transplantation as a therapy for HD.
Here we determined how donor age, cell preparation, and donor/host strain choice
influenced the quality of primary embryonic grafts in quinolinic acid lesion
mouse models of HD. Both a within-strain (W-S) and a between-strain (B-S)
donor/host paradigm were used to compare transplants of donor tissues derived
from mice at embryonic day E12 and E14 prepared either as dissociated suspensions
or as minimally manipulated tissue pieces (TP). Good graft survival was observed,
although graft volume and cellular composition were highly variable. The effect
of cell preparation on grafts differed significantly depending on donor age, with
E14 cell suspensions yielding larger grafts compared to TP. Conversely, TP were
more effective when derived from E12 donor tissue. A W-S model produced larger
grafts with greater MSN content, and while high levels of activated microglia
were observed across all groups, a greater number was found in B-S transplants.
In summary, we show that the effect of tissue preparation on graft morphology is
contingent on the age of donor tissue used. The presence of microglial activation
in all groups highlights the host immune response as an important consideration
in mouse transplantation.
PMID- 29637816
TI - Cardiotrophic Growth Factor-Driven Induction of Human Muse Cells Into
Cardiomyocyte-Like Phenotype.
AB - Multilineage-differentiating stress-enduring (Muse) cells are endogenous
nontumorigenic stem cells collectable as stage-specific embryonic antigen 3 (SSEA
3) + from various organs including the bone marrow and are pluripotent-like. The
potential of human bone marrow-derived Muse cells to commit to cardiac lineage
cells was evaluated. We found that (1) initial treatment of Muse cells with 5'
azacytidine in suspension culture successfully accelerated demethylation of
cardiac marker Nkx2.5 promoter; (2) then transferring the cells onto adherent
culture and treatment with early cardiac differentiation factors including
wingless-int (Wnt)-3a, bone morphogenetic proteins (BMP)-2/4, and transforming
growth factor (TGF) beta1; and (3) further treatment with late cardiac
differentiation cytokines including cardiotrophin-1 converted Muse cells into
cardiomyocyte-like cells that expressed alpha-actinin and troponin-I with a
striation-like pattern. MLC2a expression in the final step suggested
differentiation of the cells into an atrial subtype. MLC2v, a marker for a mature
ventricular subtype, was expressed when cells were treated with Dickkopf-related
protein 1 (DKK-1) and Noggin, inhibitors of Wnt3a and BMP-4, respectively,
between steps (2) and (3). None of the steps included exogenous gene
transfection, making induced cells feasible for future clinical application.
PMID- 29637818
TI - Effect of Cinnamomum osmophloeum Kanehira Leaf Aqueous Extract on Dermal Papilla
Cell Proliferation and Hair Growth.
AB - In this study, we explored the effect of the water extract of Cinnamomum
osmophloeum Kanehira (COK) leaves on hair growth by in vitro and in vivo assays.
Using an in vitro 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium bromide
(MTT) assay, it was found that the proliferation of rat vibrissae and human hair
dermal papilla cells (hDPCs) was significantly enhanced by the COK leaf extract
treatment. As determined by quantitative real-time polymerase chain reaction (RT
PCR), the messenger RNA (mRNA) levels of some hair growth-related factors
including vascular endothelial growth factor, keratinocyte growth factor (KGF),
and transforming growth factor-beta2 were found to be higher in the cultured
hDPCs exposed to COK leaf extract than those in the untreated control group. In
the hair-depilated C57BL/6 mouse model, the stimulation of hair growth was
demonstrated in the group of COK leaf extract treatment. Both photographical and
histological observations revealed the promotion of the anagen phase in the hair
growth cycle by the COK leaf extract in the C57BL/6 mice. Finally, the ultra
performance liquid chromatography (UPLC) showed that the COK extract contained
mostly cinnamic aldehyde and a small amount of cinnamic acid. The results suggest
that the COK leaf extract may find use for the treatment of hair loss.
PMID- 29637820
TI - A Randomized, Placebo-Controlled Trial of Human Umbilical Cord Blood Mesenchymal
Stem Cell Infusion for Children With Cerebral Palsy.
AB - Cerebral palsy (CP) is a common disability which results in permanent chronic
motor disability appearing in early childhood. Recently human umbilical cord
blood mesenchymal stem cell (hUCB-MSC) infusion has emerged as a promising
therapeutic strategy for CP, and the treatment efficacy remains to be confirmed
by clinical trials. All 54 patients received basic rehabilitation as a background
treatment. The infusion group comprising 27 patients received 4 infusions of hUCB
MSCs (intravenous infusions at a fixed dose of 5 * 107) and basic rehabilitation
treatment, whereas 27 patients in the control group received 0.9% normal saline
and basic rehabilitation treatment. Several indices were tested from baseline up
to 24 months posttreatment regarding efficacy and safety evaluations, including
the gross motor function measurement 88 (GMFM-88) scores, the comprehensive
function assessment (CFA), lab tests, electroencephalogram (EEG), routine
magnetic resonance imaging (MRI), and adverse events. The changes in the total
proportion of GMFM-88 and total scores of CFA in the hUCB-MSC infusion group were
significantly higher than that in control group at 3, 6, 12, 24 months
posttreatment. Less diffuse slow waves were noticed after hUCB-MSC infusion in
patients with slowing of EEG background rhythms at baseline. Based on the routine
MRI exams, improvements in cerebral structures were rare after treatment. Serious
adverse events were not observed during the whole study period. The results of
the study indicated that hUCB-MSC infusion with basic rehabilitation was safe and
effective in improving gross motor and comprehensive functions in children with
CP.
PMID- 29637819
TI - Methods to Induce Chronic Ocular Hypertension: Reliable Rodent Models as a
Platform for Cell Transplantation and Other Therapies.
AB - Glaucoma, a form of progressive optic neuropathy, is the second leading cause of
blindness worldwide. Being a prominent disease affecting vision, substantial
efforts are being made to better understand glaucoma pathogenesis and to develop
novel treatment options including neuroprotective and neuroregenerative
approaches. Cell transplantation has the potential to play a neuroprotective
and/or neuroregenerative role for various ocular cell types (e.g., retinal cells,
trabecular meshwork). Notably, glaucoma is often associated with elevated
intraocular pressure, and over the past 2 decades, several rodent models of
chronic ocular hypertension (COH) have been developed that reflect these changes
in pressure. However, the underlying pathophysiology of glaucoma in these models
and how they compare to the human condition remains unclear. This limitation is
the primary barrier for using rodent models to develop novel therapies to manage
glaucoma and glaucoma-related blindness. Here, we review the current techniques
used to induce COH-related glaucoma in various rodent models, focusing on the
strengths and weaknesses of the each, in order to provide a more complete
understanding of how these models can be best utilized. To so do, we have
separated them based on the target tissue (pre-trabecular, trabecular, and post
trabecular) in order to provide the reader with an encompassing reference
describing the most appropriate rodent COH models for their research. We begin
with an initial overview of the current use of these models in the evaluation of
cell transplantation therapies.
PMID- 29637821
TI - Orthotopic Transplantation of Achilles Tendon Allograft in Rats: With or without
Incorporation of Autologous Mesenchymal Stem Cells.
AB - The biology and function of orthotopic transplantation of Achilles tendon
allograft are unknown. Particularly, the revitalization of Achilles allograft is
a clinical concern. Achilles allografts were harvested from donor rats and stored
at -80 degrees C. Subcutaneous adipose tissue was harvested from the would-be
allograft recipient rats for isolation of mesenchymal stem cells (MSCs). MSCs
were cultured with growth differentiation factor-5 (GDF-5) and applied onto
Achilles allografts on the day of transplantation. After the native Achilles
tendon was resected from the left hind limb of the rats, Achilles allograft, with
or without autologous MSCs, was implanted and sutured with calf muscles
proximally and calcaneus distally. Animal gait was recorded presurgery and
postsurgery weekly. The animals were sacrificed at week 4, and the transplanted
Achilles allografts were collected for biomechanical testing and histology. The
operated limbs had altered gait. By week 4, the paw print intensity, stance time,
and duty cycle (percentage of the stance phase in a step cycle) of the
reconstructed limbs were mostly recovered to the baselines recorded before
surgery. Maximum load of failure was not different between Achilles allografts,
with or without MSCs, and the native tendons. The Achilles allograft supplemented
with MSCs had higher cellularity than the Achilles allograft without MSCs.
Deposition of fine collagen (type III) fibers was active in Achilles allograft,
with or without MSCs, but it was more evenly distributed in the allografts that
were incubated with MSCs. In conclusion, orthotopically transplanted Achilles
allograft healed with host tissues, regained strength, and largely restored
Achilles function in 4 wk in rats. It is therefore a viable option for the
reconstruction of a large Achilles tendon defect. Supplementation of MSCs
improved repopulation of Achilles allograft, but large animal models, with long
term follow up and cell tracking, may be required to fully appreciate the
functional benefits of MSCs.
PMID- 29637823
TI - What is in a name?
PMID- 29637822
TI - Handling properties of a superabsorbent dressing in the management of patients
with moderate-to-very high exuding wounds.
AB - OBJECTIVE: Exudate control is an important aspect of wound management in both
acute and chronic wounds. Exudate can be an indicator of the wound bed condition,
specifically inflammation and infection. This study aimed to evaluate the
performance, in terms of usability, handling properties, exudate management, user
satisfaction and patient comfort, in daily clinical practice, of a superabsorbent
dressing, Vliwasorb Pro (Lohmann & Rauscher), suitable for the management of
moderate-to-very high exudate levels. METHOD: The user test was conducted between
September 2016 and July 2017, with clinicians from different specialisms in 55
centres across Germany. Both the dressing and user test were supplied by the
sponsor. The superabsorbent dressing was used for at least three dressing
changes, with frequency dependent on the patient and wound condition. RESULTS: A
total of 55 clinicians recruited 171 patients with various wound types. The
clinicians rated dressing application as 'easy' for 163 (95.3%) of the patients.
The dressing was rated as easy to remove (168 (98.3%) and, according to
clinicians, did not soil patients' clothing in 165 (97.1%) of cases. The dressing
demonstrated a 'good absorbent capacity', as noted by clinicians in 167 (98.2%)
of cases. Clinicians also commented that the dressing reduced foul odour,
maceration and improved periwound skin condition. CONCLUSION: The evaluated
dressing was easy to use, comfortable and reliable for patients with moderate-to
very high exuding wounds. In view of these results, superabsorbent dressings seem
to be interesting for both clinicians and patients. Clinical studies are required
to confirm these results.
PMID- 29637824
TI - Cost-effectiveness of an electroceutical device in treating non-healing venous
leg ulcers: results of an RCT.
AB - OBJECTIVE: To estimate the cost-effectiveness of an externally applied
electroceutical (EAE) device, Accel-Heal, in treating non-healing venous leg
ulcers (VLUs) in the UK. METHOD: This was a prospective, randomised, double
blind, placebo-controlled, multi-centre study of patients aged >=18 years with a
non-healing VLU. Patients were randomised in the ratio of 1:1 to receive six
units of the EAE (consisting of a self-contained, programmed electric
microcurrent generator and two skin contact pads) or an identical-looking placebo
device over 12 consecutive days. Patients were followed-up for 24 weeks from
randomisation, during which time patients received wound care according to the
local standard care pathway, completed health-related quality of life (HRQoL)
instruments, and health-care resource use was measured. The cost-effectiveness of
the EAE device was estimated at 2015/16 prices in those patients who fulfilled
the study's inclusion and exclusion criteria (economic analysis population).
RESULTS: At 24 weeks after randomisation, 34% and 30% of VLUs in the EAE and
placebo groups in the economic analysis population, respectively, had healed. The
time-to-healing was a mean of 2.6 and 3.5 months in the EAE and placebo groups,
respectively. The area of the wounds that healed in the EAE group was nearly
twice that of those in the placebo group (mean: 13.3 versus 7.7cm2 per VLU).
Additionally, the pre-randomised duration of the wounds that healed in the EAE
group was double that of those in the placebo group (mean: 2.6 versus 1.2 years
per VLU). By the end of the study, EAE-treated patients reported less pain, more
social functioning and greater overall wellbeing/satisfaction than placebo
treated patients. None of these differences reached statistical significance, but
they may be important to patients. There were no significant differences in
health-care resource use between the two groups. The incremental cost per quality
adjusted life year (QALY) gained with the EAE device was L4480 at eight weeks,
decreasing to L2265 at 16 weeks and -L2388 (dominant) at 24 weeks. The study was
confounded by unwarranted variation in patient management between centres and
between individual clinicians within each centre. CONCLUSION: Despite the
unwarranted variation in the provision of wound care observed in this study, the
use of the EAE device resulted in some improved clinical outcomes and patient
reported outcomes, for the same or less cost as standard care, by 24 weeks.
Clinicians managing VLUs may wish to consider the findings from this study when
making treatment decisions.
PMID- 29637825
TI - Erratum.
PMID- 29637826
TI - A warm welcome for wound care specialists in Abu Dhabi.
PMID- 29637827
TI - Biological wound matrices with native dermis-like collagen efficiently modulate
protease activity.
AB - OBJECTIVE: When the delicate balance between catabolic and anabolic processes is
disturbed for any reason, the healing process can stall, resulting in chronic
wounds. In chronic wound pathophysiology, proteolytic imbalance is implicated due
to elevated protease levels mediating tissue damage. Hence, it is important to
design appropriate wound treatments able to control and modulate protease
activity directly at the host/biomaterial interface. Here, we investigate
collagen-based wound dressings with the focus on their potential to adsorb and
inactivate tissue proteases. METHOD: We examined the effect of six collagen-based
dressings on their ability to adsorb and inactivate different granulocyte
proteases, plasmin, human neutrophil elastase (HLE), and matrix metalloproteases
(MMP)-1, -2, -8, and -9, by an integrated approach including immunoelectron
microscopy. RESULTS: We observed a reduction of the proteolytic activities of
plasmin, HLE, and MMP-1, -2, -8, and -9, both on the biomaterial surface and in
human chronic wound fluid. The most pronounced effect was observed in collagen
based dressings, with the highest content of native collagen networks resembling
dermis structures. CONCLUSION: Our data suggest that this treatment strategy
might be beneficial for the chronic wound environment, with the potential to
promote improved wound healing.
PMID- 29637828
TI - Assessment of clinical effectiveness of haemoglobin spray as adjunctive therapy
in the treatment of sloughy wounds.
AB - OBJECTIVE: To assess use of an adjunctive topical haemoglobin spray in the
treatment of sloughy wounds. METHOD: In addition to a standard wound care
regimen, consecutive patients with sloughy wounds self-administered haemoglobin
spray treatment twice a week until the wound was healed. All patients were
followed-up for 26 weeks. Results were compared with a retrospective cohort of
100 consecutive patients, treated during the same period the previous year with
standard wound care alone. Data were collected on wound characteristics including
percentage of slough, exudate levels, wound pain, and wound size. RESULTS: After
26 weeks, 94/100 patients (94%) treated with haemoglobin spray were completely
healed compared with 63/100 control patients (63%). Positive results were evident
as early as week one with 52% mean wound size reduction using the heamoglobin
spray versus 11% in the retrospective control (p<0.001). At baseline, mean slough
coverage was higher in the haemoglobin group, 58% versus 44% in the control group
(p<0.001). By week four, mean slough coverage was 1% in the haemoglobin versus
29% in the control group (p<0.001). Reductions in exudate and pain levels
(p<0.001) were also observed. CONCLUSION: Overall, results of this evaluation
showed the addition of adjunctive haemoglobin spray to standard wound care
treatment achieved positive clinical outcomes for patients self-managing
complicated sloughy wounds, by supporting reduction of wound exudate and slough
within the complex multifaceted process of wound healing.
PMID- 29637830
TI - Erratum.
PMID- 29637829
TI - An evaluation of an ultrasonic debridement system in patients with diabetic foot
ulcers: a case series.
AB - OBJECTIVE: This study evaluated the use of ultrasonic debridement in patients
with diabetic foot ulcers (DFU). METHOD: In this prospective, single-arm, open
label study, all patients with DFUs underwent wound debridement by ultrasonic
debridement system (SonicOne OR Ultrasonic debridement system). Wherever
possible, the edges were approximated by means of stitches. In other cases, the
surgical breach healed by secondary intention, or a partial thickness skin graft
(with or without Integra Dermal Regeneration Template or Integra Flowable Wound
Matrix) was applied, and subsequently healed by primary intention. RESULTS: We
assessed 15 patients with a DFU. The time required for debridement was short (an
average 15.06+/-4.02 minutes). Complete wound healing (defined as 100% re
epithelialisation) was achieved in all 15 cases. Median time to heal was 39.20+/
16.05 days. The ultrasonic debridement system was found to show adequate
debridement while preserving more viable tissue to promote rapid healing.
CONCLUSION: Our findings show that the device demonstrates advantages in the
reduction of debridement times, and efficacy in safely preserving the viable
tissue, with a low complication rate in surgery of DFUs. A study that uses a
larger cohort is required to fully evaluate the effectiveness, or otherwise, of
the ultrasonic debridement system.
PMID- 29637817
TI - Clinical Cell Therapy Guidelines for Neurorestoration (IANR/CANR 2017).
AB - Cell therapy has been shown to be a key clinical therapeutic option for central
nervous system diseases or damage. Standardization of clinical cell therapy
procedures is an important task for professional associations devoted to cell
therapy. The Chinese Branch of the International Association of
Neurorestoratology (IANR) completed the first set of guidelines governing the
clinical application of neurorestoration in 2011. The IANR and the Chinese
Association of Neurorestoratology (CANR) collaborated to propose the current
version "Clinical Cell Therapy Guidelines for Neurorestoration (IANR/CANR 2017)".
The IANR council board members and CANR committee members approved this proposal
on September 1, 2016, and recommend it to clinical practitioners of cellular
therapy. These guidelines include items of cell type nomenclature, cell quality
control, minimal suggested cell doses, patient-informed consent, indications for
undergoing cell therapy, contraindications for undergoing cell therapy,
documentation of procedure and therapy, safety evaluation, efficacy evaluation,
policy of repeated treatments, do not charge patients for unproven therapies,
basic principles of cell therapy, and publishing responsibility.
PMID- 29637831
TI - Extracorporeal membrane oxygenation: Establishing a robust, tertiary
extracorporeal membrane oxygenation referral center in South Florida.
PMID- 29637832
TI - Maximum level of mobility with axillary deployment of the Impella 5.0 is
associated with improved survival.
AB - Mobility is an important prognostic indicator for patients with cardiogenic
shock. No studies have quantified peak mobility for patients with cardiogenic
shock who are supported with the Impella 5.0 acute mechanical circulatory support
device. The purpose of our study was to evaluate mobility levels among patients
with cardiogenic shock being treated with an axillary Impella 5.0 pump. We
retrospectively analyzed data from 19 patients receiving an Impella 5.0 device
for cardiogenic shock at our institution from 2013 to 2016. We used the Johns
Hopkins Highest Level of Mobility Scale to quantify maximum mobility level
achieved during active Impella 5.0 support. Higher scores on a scale of 1-8
indicated more mobility. Activity Measure for Post Acute Care Scores were
quantified for each patient to assess activity limitations, with a maximum score
24. The mean age of the total cohort was 60 +/- 12 years, and the mean left
ventricular ejection fraction was 16% +/- 6%. In-hospital mortality was 47% (n =
9). Of the 19 Impella 5.0 implants, 10 survived, 6 died from withdrawal of care,
and 3 died from worsening heart failure/cardiogenic shock. Similar rates of
mobilization during the time of Impella implant were seen between groups.
Compared to non-survivors, survivors achieved a higher maximum Johns Hopkins
Highest Level of Mobility level, but similar Activity Measure for Post Acute Care
scores. In conclusion, maximum mobility after Impella 5.0 implantation may be
associated with improved survival. The clinical utility of exercise as a
therapeutic intervention for patients requiring prolonged acute mechanical
circulatory support requires further study.
PMID- 29637833
TI - Polysaccharide-based hydrogels with tunable composition as 3D cell culture
systems.
AB - BACKGROUND: To date, cell cultures have been created either on 2-dimensional (2D)
polystyrene surfaces or in 3-dimensional (3D) systems, which do not offer a
controlled chemical composition, and which lack the soft environment encountered
in vivo and the chemical stimuli that promote cell proliferation and allow
complex cellular behavior. In this study, pectin-based hydrogels were developed
and are proposed as versatile cell culture systems. METHODS: Pectin-based
hydrogels were produced by internally crosslinking pectin with calcium carbonate
at different initial pH, aiming to control crosslinking kinetics and degree.
Additionally, glucose and glutamine were added as additives, and their effects on
the viscoelastic properties of the hydrogels and on cell viability were
investigated. RESULTS: Pectin hydrogels showed in high cell viability and shear
thinning behavior. Independently of hydrogel composition, an initial swelling was
observed, followed by a low percentage of weight variation and a steady-state
stage. The addition of glucose and glutamine to pectin-based hydrogels rendered
higher cell viability up to 90%-98% after 1 hour of incubation, and these
hydrogels were maintained for up to 7 days of culture, yet no effect on
viscoelastic properties was detected. CONCLUSIONS: Pectin-based hydrogels that
offer tunable composition were developed successfully. They are envisioned as
synthetic extracellular matrix (ECM) either to study complex cellular behaviors
or to be applied as tissue engineering substitutes.
PMID- 29637834
TI - Intraductal Papillary Mucinous Neoplasms of The Pancreas: A Nationwide Registry
Based Study.
AB - BACKGROUND AND AIMS:: To investigate the paraclinical and pathological features
of surgically resected intraductal papillary mucinous neoplasms in Sweden.
MATERIALS AND METHODS:: A review of prospectively collected data on patients
undergoing pancreatic resection for a histopathologically verified intraductal
papillary mucinous neoplasm between 2010 and 2016 was performed using the Swedish
National Registry for Pancreatic and Periampullary Cancer. RESULTS:: A total of
3038 pancreatic resections were performed during the study period, of which 251
(8.3%) were due to intraductal papillary mucinous neoplasms. The intraductal
papillary mucinous neoplasm cases comprised 227 noninvasive and 24 invasive
lesions. There was an annual increase in the number of resected intraductal
papillary mucinous neoplasms, from 13 in 2010 to 56 in 2016, and an increase in
the proportion of intraductal papillary mucinous neoplasm to the total number of
pancreatic resections (4.7%-11%). Biliary obstruction was the only independent
predictor of invasive disease, with odds ratio 3.106 (p = 0.030). There was no
difference in survival between low-, intermediate-, and high-grade dysplastic
lesions (p = 0.417). However, once invasive, the prognosis was severely impacted
(p < 0.001). Three-year survival was 90% for noninvasive intraductal papillary
mucinous neoplasm and 39% for invasive intraductal papillary mucinous neoplasm.
Survival was better in lymph node negative invasive intraductal papillary
mucinous neoplasm (p = 0.021), but still dismal compared to noninvasive lesions
(p < 0.001). CONCLUSION:: The number of surgically resected intraductal papillary
mucinous neoplasms is increasing in Sweden. Biliary obstruction is associated
with invasive disease. Low-to-high-grade dysplastic intraductal papillary
mucinous neoplasm has an excellent prognosis, while invasive intraductal
papillary mucinous neoplasm has a poor survival rate.
PMID- 29637835
TI - Physical employment standard for Canadian wildland fire fighters; identifying and
characterising critical initial attack response tasks.
AB - Physical employment standards evaluate whether a worker possesses the physical
abilities to safely and efficiently perform all critical on-the-job tasks.
Initial Attack (IA) wildland fire fighters (WFF) must perform such critical tasks
in all terrains. Following a physical demands analysis, IA WFF (n = 946 out of a
possible 965) from all fire jurisdictions ranked the most demanding tasks and
identified mountains, muskeg and rolling hills as the most challenging terrains.
Experimental trials found the oxygen cost (mean +/- SD [Formula: see text]O2
mL.kg-1.min-1) while performing the hose pack back carry to be 40 +/- 7 in steep
mountains, 34 +/- 5 in muskeg and 34 +/- 2 in rolling hills (n = 168). Back
carrying and hand-carrying a 28.5 kg pump, back-carrying a 25 kg hose pack and
advancing charged hose were the most demanding tasks. Performing the same
emergency IA WFF tasks was significantly more demanding in mountains (p <= 0.05),
and these higher demands must be taken into account when developing a physical
employment standard for Canadian wildland fire fighters. Practitioner Summary:
Physical employment standards evaluate whether an applicant or incumbent
possesses the physical and physiological abilities to safely and efficiently
perform the critical on-the-job tasks. This paper details the process used to
undertake a physical demands analysis and characterise tasks for the development
of a circuit test and fitness employment standard for IA WFF.
PMID- 29637836
TI - Recommendations to maintain immune health in athletes.
AB - Numerous studies over the last 35 years report an increase in upper respiratory
infection (URI) symptoms in athletes during periods of heavy training and
competition. Challenges athletes face such as heavy exercise and life stress
influence immune function via activation of the hypothalamic-pituitary-adrenal
axis and the sympathetic nervous system and the resulting immunoregulatory
hormones. Both innate and acquired immunity are often reported to decrease
transiently in the hours after heavy exertion, typically 15-70%: prolonged heavy
training sessions in particular have been shown to decrease immune function;
potentially providing an 'open window' for opportunistic infections. Whether the
observed changes in immunity with acute strenuous exercise or periods of heavy
training account for the increased susceptibility to URI symptoms remains
contentious. Nevertheless, there is little doubt that URI symptoms hinder
athletic training and competition; underpinning the need to identify the
prominent risk factors and appropriate countermeasures. Recent studies have
identified prominent risk factors, including: intensified training in the winter;
long-haul travel; low energy availability; high levels of psychological stress
and anxiety; and depression. Given the shared pathways and effector limbs for the
body's response to physical and psychological challenges, it is logical that
psychological strain influences immunity and illness incidence in athletes under
heavy training; indeed, stress and anxiety have recently been shown to modify the
immune response to exercise. This mini-review provides new insights and evidence
based recommendations for coping with the various challenges that athletes
encounter on immune health, including: heavy exercise; life stress; sleep
disruption; environmental extremes and nutritional deficits.
PMID- 29637837
TI - Does dental appearance affect employment prospects: a prospective cross-sectional
study.
AB - OBJECTIVE: The principal aim was to establish whether dental appearance affects
employment prospects, and if so a secondary aim was to ascertain whether there is
a difference between public-facing and non-public-facing jobs. DESIGN: A
prospective cross-sectional experimental analogue study. PARTICIPANTS: Ninety
undergraduates studying at London Metropolitan University School of Business.
METHODS: Vignettes comprising curriculum vitae (CV) with a single photograph of
the applicant attached formed the experimental manipulation. For each job
application, the written information on the CV remained constant, but the
attached photograph had one of three altered dental appearances: (1) aligned
teeth, (2) maxillary stainless steel labial fixed appliance and (3) an absent
maxillary left lateral incisor. To ascertain whether there are differences
between public- and non-public-facing jobs, two different job descriptions with
matching CVs were designed. Each participant was randomly assigned an envelope
containing one CV with one photograph and asked if they would shortlist the
candidate for an interview. Likert scales were used with higher scores indicating
greater likelihood to shortlist. A Multivariate Analysis of Variance was
conducted with Photo (three levels) and Job Type (two levels) as independent
variables, and gender as a covariate. RESULTS: A trend was found for the
candidate with an absent maxillary lateral incisor to be less likely to be
shortlisted for the public-facing job, compared to both candidates with aligned
teeth and with fixed appliances. There were no significant main effects and the
interaction was not significant. The effect of gender was not significant.
CONCLUSIONS: In this study, dental appearance did not influence employment
prospects. When a photograph is attached to a CV, the dental appearance of the
photograph did not influence whether a candidate was shortlisted for a job
interview or their anticipated job performance. There were no differences between
public-facing and non-public-facing jobs.
PMID- 29637838
TI - Clinical reappraisal and state of the art of nephropexy.
AB - The diffusion of minimally invasive techniques for renal surgery has prompted a
renewed interest in nephropexy which is indicated to prevent nephroptosis in
symptomatic patients and to mobilize the upper ureter downward in order to bridge
a ureteral defect. Recent publications have been reviewed to present the state of
the art of the diagnosis and management of these two challenging conditions and
to try to foresee the next steps. The evaluation of patients with mobile kidney
can be made relying on diagnostic criteria such as ultrasound with color Doppler
and measurement of resistive index, conventional upright X-ray frames after a
supine uro-computerized tomography scan and both static and dynamic nuclear
medicine scans, always with evaluation in the sitting or erect position.
Laparoscopic nephropexy emerges as the current treatment option combining both
objectively controlled repositioning of the kidney and resolution of symptoms
with minimal invasiveness, low morbidity, and short hospital stay. The use of
robotics is presently limited by its higher cost, but may increase in the future.
Downward renal mobilization and nephropexy is a safe and versatile technique
which has been adopted as a unique strategy or more often in combination with
other surgical maneuvers in order to cope with complex ureteral reconstruction.
PMID- 29637839
TI - Risk factors to predict leg ischemia in patients undergoing single femoral artery
cannulation in minimally invasive cardiac surgery.
AB - BACKGROUND: In peripheral cannulation for cardiopulmonary bypass, there is always
a risk of ischemia in the extremities, caused by femoral artery cannulation. This
report aimed to evaluate the outcome and the risk factors in patients undergoing
minimally invasive cardiac surgery in mitral valve surgery. METHODS: We
retrospectively reviewed all minimally invasive mitral valve surgery at our
institute from May 2014 to December 2016. Operative outcomes and intra-operative
monitoring for distal leg saturation were measured by the near-infrared
spectroscopy values. For post-operative outcomes, the creatinine phosphorus
kinase level was measured for the assessment of leg ischemia. Risk factors were
evaluated for the elevation of post-operative creatinine phosphorus kinase.
RESULTS: There were 162 patients who underwent single femoral artery cannulation
for minimally invasive mitral valve surgery. The mean operation, cardiopulmonary
bypass and aortic cross-clamp time were 212+/-44, 124+/-30, 76.6+/-22 minutes
(min), respectively. The factors related to increased creatinine phosphorus
kinase were male, body mass index, larger cannula size, operation time,
cardiopulmonary bypass time and aortic cross-clamp time. The measurement of
minimum near-infrared spectroscopy values did not show any association with
creatinine phosphorus kinase elevation. There were significant associations
between body mass index, cannula size and operation time and post-operative
creatinine phosphorus kinase increase by multiple regression analysis. Two male
patients had extremely high post-operative creatinine phosphorus kinase (18188
U/L and 16831 U/L) and they had high body mass index, large cannula size and
longer operation time. CONCLUSIONS: In peripheral cannulation for minimally
invasive cardiac surgery, body mass index, cannula size and operation time can be
considered as risk factors for leg ischemia.
PMID- 29637840
TI - Albumin priming improves the efficiency of the Minntech HPH Jr. hemoconcentrator.
AB - The desired use of the HPH Jr. is optimal due to the low priming volume; however,
the lower rate of volume removal necessitates utilization of a larger hemofilter.
Larger hemofilters carry a higher prime volume, which is impactful in the
pediatric setting. Pediatric cardiac surgery patients under 18 kilograms
requiring cardiopulmonary bypass were randomly assigned to one of two study
groups. Group 1 (coated) contained an HPH Jr. hemofilter that was primed with the
addition of 25% albumin and heparin. Group 2 (non-coated) contained an HPH Jr.
hemofilter that was primed with only Normosol-R(r). After cardioplegia delivery,
zero balance ultrafiltration (ZBUF) was initiated and maintained for thirty
consecutive minutes. The flow through the hemofilter was standardized at 70
ml/min and the vacuum applied to the effluent line was set at -150 mmHg. Effluent
fluid removal was measured at the termination of thirty minutes and compared
between the groups. Group comparisons between the coated vs non-coated hemofilter
groups were assessed using two-sample t-tests or the Mann-Whitney U test, when
appropriate. Forty-two patients were included in the analysis. There were 22
patients who had the non-coated hemofilter and 20 patients with a coated
hemofilter. The differences between the two groups are illustrated in Table 1.
There was a statistically significant higher ultrafiltration volume with the
coated hemofilter group (p=0.008) (Figure 1). These results illustrate the
improved efficiency of the HPH Jr. with the addition of 25% albumin and heparin
during the priming process.
PMID- 29637841
TI - Geographical distribution of beta-globin gene mutations in Syria.
AB - OBJECTIVES: beta-Thalassemia disease is caused by mutations in the beta-globin
gene. This is considered as one of the common genetic disorders in Syria. The aim
of this study was to identify the geographical distribution of the beta
thalassemia mutations in Syria. METHODS: beta-Globin gene mutations were
characterized in 636 affected patients and 94 unrelated carriers using the
amplification refractory mutations system-polymerase chain reaction technique and
DNA sequencing. RESULTS: The study has revealed the presence of 38 beta-globin
gene mutations responsible for beta-thalassemia in Syria. Important differences
in regional distribution were observed. IVS-I.110 [G > A] (22.2%), IVS-I.1 [G >
A] (17.8%), Cd 39 [C > T] (8.2%), IVS-II.1 [G > A] (7.6%), IVS-I.6 [T > C]
(7.1%), Cd 8 [-AA] (6%), Cd 5 [-CT] (5.6%) and IVS-I.5 [G > C] (4.1%) were the
eight predominant mutations found in our study. The coastal region had higher
relative frequencies (37.9 and 22%) than other regions. A clear drift in the
distribution of the third common Cd 39 [C > T] mutation in the northeast region
(34.8%) to the northwest region (2.5%) was noted, while the IVS-I.5 [G > C]
mutation has the highest prevalence in north regions. The IVS-I.6 [T > C]
mutation had a distinct frequency in the middle region. Ten mutations -86 [C >
G], -31 [A > G], -29 [A > G], 5'UTR; +22 [G > A], CAP + 1 [A > C], Codon 5/6 [
TG], IVS-I (-3) or codon 29 [C > T], IVS-I.2 [T > A], IVS-I.128 [T > G] and IVS
II.705 [T > G] were found in Syria for the first time. CONCLUSIONS: These data
will significantly facilitate the population screening, genetic counseling and
prenatal diagnosis in Syrian population.
PMID- 29637842
TI - Dissecting intramyocardial hematoma with flexuous channel in myocardium
masquerading as a ventricular pseudoaneurysm.
AB - We report a 58-year-old acute myocardial infarction patient with dissecting
intramyocardial hematoma (DIH) disguised as a ventricular pseudoaneurysm. DIH
with a flexuous and narrow channel in the myocardium was confirmed during the
operation, which extended deeply into the periphery of the ventricular wall. This
DIH connected with the left ventricle via a narrow-necked flexuous channel of the
myocardial defect and looked like a bulged sac surrounded by a thin wall of
myocadium. No pericardium effusion was seen. Based on this report, we believe
that a DIH has plentiful variety and this specific kind of DIH should be
differentially diagnosed with pseudoaneurysm.
PMID- 29637843
TI - Comparison of East and West Survival Nomograms in Turkish Gastric Cancer Patients
Who Underwent Radical Surgery.
AB - BACKGROUND AND AIM:: The clinicopathological demonstrations of gastric cancer
vary widely between Eastern and Western countries. Turkey is situated in Europe
and Middle East which acts as a bridge between east and west. We aimed to
validate the two popular nomograms of east and west origin by means of patients
who underwent curative surgery for gastric cancer in our country. MATERIAL AND
METHODS:: Of the 202 patients diagnosed with gastric cancer between the years
2006 and 2013, 145 of these patients whose data were sufficient were included in
the study. For all patients, demographic, laboratory, operative, and pathologic
findings were documented. For each patient, prognostic factors were incorporated
into the nomograms for estimating 5-year survival probability. RESULTS:: For a
Turkish cohort, the western nomogram showed a better discriminative capacity (AUC
= 0.721, 95% confidence interval 0.637-0.806) and was better calibrated (the
Hosmer-Lemeshow goodness-of-fit test p = 0.323), as compared to the eastern
nomogram with AUC = 0.615, 95% confidence interval 0.522-0.708, and p = 0.077,
respectively. CONCLUSION:: Western nomogram was found to be more effective than
eastern nomogram in prediction of estimating 5-year survival probability in
patients with resectable gastric cancer in Turkish population.
PMID- 29637845
TI - Physical employment standard for Canadian wildland firefighters: examining test
retest reliability and the impact of familiarisation and physical fitness
training.
AB - To assess the impact of repeat performances (familiarisation) plus exercise
training on completion time for the Ontario Wildland Firefighter (WFF) Fitness
Test circuit (WFX-FIT), normally active general population participants (n = 145)
were familiarised to the protocol then randomised into (i) exercise training,
(ii) circuit only weekly performances or (iii) controls. At Baseline, the WFX-FIT
pass rate for all groups combined was 11% for females and 73% for males,
indicating that the Ontario WFX-FIT standard had a possible adverse impact on
females. Following test familiarisation, mean circuit completion times improved
by 11.9% and 10.2% for females and males, respectively. There were significant
improvements in completion time for females (19.8%) and males (16.9%) who
trained, plus females (12.2%) and males (9.8%) who performed the circuit only,
while control participants were unchanged. Post training, the pass rate of the
training group was 80% for females and 100% for males. Practitioner Summary: This
paper details the impact of familiarisation plus exercise training as
accommodation to mitigate potential adverse impact on initial attack wildland
firefighter test performance. The results underscore the importance of test
familiarisation opportunities and physical fitness training programmes that are
specific to the demands of the job.
PMID- 29637844
TI - Iron deficiency anemia referral to the hematologist. Real-world data from Mexico:
the need for targeted teaching in primary care.
AB - OBJECTIVES: To determine the referral patterns and etiology of iron deficiency
anemia (IDA) at an academic hematology center in northeast Mexico. METHODS: We
included all consecutive outpatients older than 16 years, non-pregnant, with IDA
diagnosed in the Hematology Service of the Dr. Jose E. Gonzalez University
Hospital between January 2012 and May 2017. Appropriate data were collected
retrospectively from the electronic medical record. Data regarding first medical
contact (primary care physician or hematologist) were compared. RESULTS: One
hundred fifty-three patients were included in this study. The median age was 43
years (interquartile range, 35-51) and 85.6% were female; 128 (83.7%) patients
were seen by a primary care physician before our evaluation. Abnormal uterine
bleeding (AUB) was the cause of IDA in 76 patients (49.6%), gastrointestinal
bleeding (GIB) in 31 (20.2%), H. pylori infection in 12 (7.8%), urinary tract
bleeding in three (1.9%) and malabsorption-syndrome in two (1.3%). The etiology
remained unknown in 29 (18.9%). The p value was <0.05 between groups according to
the first medical contact, including frequency of at least one sign or symptom of
IDA, previous use of iron supplementation and blood transfusion, comorbidities,
complete blood count at diagnosis, and resolution rates of anemia. CONCLUSION:
The majority of our IDA patients were referred by another physician. Nearly half
of the patients with IDA had AUB. IDA remains a diagnostic challenge for first
contact physicians requiring a targeted educational intervention to improve IDA
awareness and diagnostic skills.
PMID- 29637846
TI - Sharing Rare Attitudes Attracts.
AB - People like others who share their attitudes. Online dating platforms as well as
other social media platforms regularly rely on the social bonding power of their
users' shared attitudes. However, little is known about moderating variables. In
the present work, I argue that sharing rare compared with sharing common
attitudes should evoke stronger interpersonal attraction among people. In five
studies, I tested this prediction for the case of shared interests from different
domains. I found converging evidence that people's rare compared with their
common interests are especially potent to elicit interpersonal attraction. I
discuss the current framework's theoretical implications for impression formation
and impression management as well as its practical implications for improving
online dating services.
PMID- 29637847
TI - Commitment Readiness and Relationship Formation.
AB - The concept of being ready for a relationship is pervasive in popular culture,
but theoretical and empirical research on readiness is lacking. We offer a
conceptualization of commitment readiness and provide some of the first empirical
work examining readiness among single individuals-specifically how this construct
shapes various aspects of relationship formation. Using data from five
independent samples of individuals not involved in romantic relationships, we
first establish that commitment readiness is associated with more interest in
developing a close romantic relationship (Studies 1a, 1b, 2) and with active
pursuit of relationship initiation (Study 2). We then test whether readiness
among single individuals longitudinally predicts both the likelihood of later
entering a relationship and, ultimately, how committed individuals are to a
future relationship (Studies 3a, 3b, 3c). Implications of commitment readiness
specifically, and perceived personal timing more generally, for the social
psychology of relationships are discussed.
PMID- 29637848
TI - Pro-Social Goals in Achievement Situations: Amity Goal Orientation Enhances the
Positive Effects of Mastery Goal Orientation.
AB - Research has neglected the utility of pro-social goals within achievement
situations. In this article, four studies demonstrate that amity goal
orientation, promoting mutual success of oneself together with others, enhances
the utility of mastery goal orientation. We demonstrate this in longitudinally
predicting performance (Studies 1 and 2) and in maintaining motivation after a
disappointing performance (Studies 3 and 4). The studies demonstrate the same
interaction effect in academic and in work achievement contexts. Specifically,
whereas amity goal orientation did not predict achievement on its own, it
enhanced the positive effect of mastery goal orientation. Together, these studies
establish the importance of amity goal orientation while also advancing our
understanding of the effects of other achievement goal orientations. We suggest
future directions in examining the utility of amity goals in other contexts.
PMID- 29637849
TI - Diverse targeted approaches to battle multidrug resistance in cancer.
AB - The efficacy of successful cancer therapies is frequently hindered by the
development of drug resistance in the tumor. The term 'drug resistance' is used
to illustrate the decreased effectiveness of a drug in curing a disease or
alleviating the symptoms of the patient. This phenomenon helps tumors to survive
the damage caused by a specific drug or group of drugs. In this context, studying
the mechanisms of drug resistance and applying this information to design
customized treatment regimens can improve therapeutic efficacy as well as the
curative outcome. Over the years, numerous multidrug resistance (MDR) mechanisms
have been recognized and tremendous effort has been put into developing agents to
address them. The integration of data emerging from the elucidation of molecular
and biochemical pathways and specific tumor-associated factors has shown
tremendous promise within the oncology community for improving patient outcomes.
In this review, we provide an overview of the utility of these molecular and
biochemical signaling processes as well as tumor-associated factors associated
with MDR, for the rational selection of cancer treatment strategies.
PMID- 29637850
TI - NAChR alpha4beta2 subtype and their relation with nicotine addiction, cognition,
depression and hyperactivity disorder.
AB - BACKGROUND: Neuronal alpha4beta2 nAChRs are receptors involved in the role of
neurotransmitters regulation and release, and this ionic channel participates in
biological process of memory, learning and attention. This work aims review the
structure and functioning of the alpha4beta2 nAChR emphasizing its role in the
treatment of associated diseases like nicotine addiction and underlying
pathologies such as cognition, depression and attention-deficit hyperactivity
disorder. METHODS: The authors realized extensive bibliographic research using
the descriptors "Nicotine Receptor alpha4beta2" and "cognition", "depression",
"attention-deficit hyperactivity disorder", besides cross-references of the
selected articles and after analysis of references in the specific literature.
RESULTS: As results, it was found 180 relevant articles presenting the main
molecules with affinity to nAChR alpha4beta2 relating to the cited diseases. The
alpha4beta2 nAChR subtype is a remarkable therapeutic target since this is the
most abundant receptor in the central nervous system. CONCLUSION: In summary,
this review presents perspectives on the pharmacology and therapeutic targeting
of alpha4beta2 nAChRs for the treatment of cognition and diseases like nicotine
dependence, depression and attention-deficit hyperactivity disorder.
PMID- 29637851
TI - 99mTc-labeled small molecules for diagnosis of Alzheimer's disease: Past, recent
and future perspectives.
AB - BACKGROUND: Alzheimer's disease (AD) is an age-related progressive
neurodegenerative disease. Its prominent hallmarks are extracellular deposition
of beta-amyloids (amyloid plaques), intracellular neurofibrillary tangles (NFT),
neurodegeneration and finally loss of cognitive function. Hence AD diagnosis in
the early stage and monitoring of the disease are of great importance. METHODS:
In this review article, we have reviewed recent efforts for design, synthesis and
evaluation of 99mTc labeled small molecule for AD imaging purposes. RESULTS:
These small molecules are including derivatives of Congo red, benzothiazole,
benzofuran, benzoxazole, naphthalene, biphenyl, chalcone, flavone, aurone,
stilbene, curcumin, dibenzylideneacetone, quinoxaline and etc. The different
aspects of 99mTc-labeled small molecules including chemical structure, their
affinity toward amyloid plaques, BBB permeation and in vivo/vitro stability will
be discussed. CONCLUSION: The findings of this review confirm the importance of
99mTc-labeled small molecules for AD imaging. Future studies based on the
pharmacophore of these designed compounds are needed for improvement of these
molecules for clinical application.
PMID- 29637852
TI - Polypharmacology in the treatment of Chagas disease.
AB - The current treatment of Chagas disease is based on monopharmacology where the
used drugs have limited efficacy and severe side effects. In order to overcome
these limitations some tools have been described including development or
isolation of new drugs, drug repositioning, and polypharmacology. Here we review
the polypharmacology strategy where compounds belonging to different structural
chemotypes were combined in order to affect different biochemical pathways of T.
cruzi parasite. Therefore ergosterol biosynthesis inhibitors, anti-inflammatory
agents, cardiac dysfunction drugs, trypanothione reductase inhibitors, vitamins,
between others, were combined looking for new anti-Chagas treatment. Natural
products were also used in the application of this strategy.
PMID- 29637853
TI - Dopaminergic neuroprotection with Atremorine in Parkinson's disease.
AB - Patients with Parkinson's disease (PD) are looking forward to new therapeutic
strategies that may gradually decelerate the rate of neurodegenerative decline,
associated with mobility restrictions and related morbidity. Its continuous
neurodegenerative process, exacerbated by genetic mutations or environmental
toxins, involves a progressively reduction in the dopamine neurotransmission
levels, synaptic uptake density, oxidative glucose intake, deficient striatal
lactate accumulation and chronic inflammation. Over the last decade, novel
bioproducts have received considerable interest due to their unique potential of
unifying nutritional, safety and therapeutic natural effects. Some nutraceuticals
play a crucial role in the control of the signaling transduction pathways in
neurotransmission and inflammation affected in PD, and some natural compounds can
beneficially interact with each one of these biological mechanisms to slow down
disease progression. Atremorine, a novel plant-derived nutraceutical, probably
with a neuroprotective effect in the dopaminergic neurons of the substantia nigra
(pars compacta), is a prototype of this new category of bioproducts with
potential effects in PD. The major focus of this review will be the current
knowledge and biomedical investigation strategies through a plant-derived
neuroprotective approach to improve life quality in PD patients, being of
paramount importance for health providers, caregivers and the patients
themselves.
PMID- 29637854
TI - Melatonin and oxidative stress in the diabetic state: clinical implications and
potential therapeutic applications.
AB - Circadian rhythms are ubiquitous in biological systems and control metabolic
processes throughout the body. Misalignment of these circadian rhythms increases
risk of developing metabolic diseases. Thus, disruption of the circadian system
has been proven to affect onset of type 2 diabetes mellitus (T2DM). In this
context, the pineal indoleamine melatonin is a signaling molecule able to entrain
circadian rhythms. There is mounting evidence that suggests a link between
disturbances in melatonin production and impaired insulin, glucose, lipid
metabolism, and antioxidant capacity. Besides, several genetic association
studies have causally associated various single nucleotide polymorphysms (SNPs)
of human MT2 receptor with increased risk of developing T2DM. Taken together,
these data suggest that endogenous as well as exogenous melatonin may influence
diabetes and associated metabolic disturbances not only by regulating insulin
secretion, but also by providing protection against reactive oxygen species
(ROS), since pancreatic beta-cells are very susceptible to oxidative stress due
to their low antioxidant capacity.
PMID- 29637856
TI - Fruit Wines Inhibitory Activity Against alpha-Glucosidase.
AB - BACKGROUND: Fruit wines are well known for their profound health-promoting
properties including both enzyme activations and inhibitions. They may act
preventive in regard to diabetes melitus and other chronic diseases. OBJECTIVES:
Potential alpha-glucosidase inhibitory activity of fruit wines made from
blueberry, black chokeberry, blackberry, raspberry and sour cherry was the
subject of this study. METHOD: In order to increase the alcohol content due to
enriched extraction of total phenolics, sugar was added in the fruit pomace of
the half of the examined fruit wine samples. RESULTS: Compared with acarbose used
as a positive control (IC50 = 73.78 ug/mL), all fruit wine samples exhibited
higher alpha-glucosidase inhibitory activity. Indeed, blueberry wine samples
stood out, both prepared with IC50 = 24.14 ug/mL, lyophilised extract yield 3.23%
and without IC50 = 46.39 ug/mL, lyophilised extract yield 2.89% and with addition
of sugar before fermentation. Chlorogenic acid predominantly contributed to alpha
glucosidase inhibitory activity of the blueberry, black chokeberry and sour
cherry wine samples. However, ellagic acid, a potent alpha-glucosidase inhibitor
possessing a planar structure, only slightly affected the activity of the
blueberry wine samples, due to the lower concentration. In addition to this,
molecular docking study of chlorogenic acid pointed out the importance of binding
energy (-8.5 kcal/mol) for the inhibition of the enzyme. CONCLUSION: In summary,
fruit wines made from blueberry should be primarily taken into consideration as a
medicinal food targeting diabetes mellitus type 2 in the early stage, if
additional studies would confirm their therapeutic potential for the control of
postprandial hyperglycemia.
PMID- 29637858
TI - Gene Therapy to Enhance Bone and Cartilage Repair in Orthopaedic Surgery.
AB - Musculoskeletal conditions are a major public health problem. Approximately 66
million individuals seek medical attention for a musculoskeletal injury in the
United States, with current medical costs being estimated at $873 billion
annually. Despite advances in pharmaceuticals, implant materials and surgical
techniques, there remains an unmet clinical need for successful treatment of
challenging musculoskeletal injuries and pathologic conditions, particularly in
the setting of compromised biological environments. Tissue engineering via gene
therapy attempts to provide an alternative treatment strategy to address the
deficits associated with conventional approaches. The transfer of specific target
genes coding for proteins with therapeutic or regenerative properties to target
cells and tissues in the disease environment allows for their sustained
production and release specifically at the site of interest. The increasing
reports of success with gene therapy-based treatments in the clinical management
of a variety of diseases provide genuine optimism that similar methods can be
adapted for mainstream clinical application in musculoskeletal disorders. In
preclinical studies, gene therapy has been successfully used to treat
cartilaginous, bone, skeletal muscle, tendon, ligament and intervertebral disk
injuries. In addition, gene therapy is being assessed in clinical trials for its
safety and therapeutic potential in osteoarthritis. This review will specifically
address the clinical potential, preclinical data and future hurdles for gene
therapy to be a viable clinical entity for the treatment of fracture nonunion and
difficult bone repair scenarios, articular cartilage repair and osteoarthritis.
PMID- 29637857
TI - Comprehensive Review on Custodiol-N (HTK-N) and its Molecular Side of Action for
Organ Preservation.
AB - BACKGROUND: The later fate of a graft is highly dependent on its initial quality.
Aside from that the three predominant phases during transplantation (Tx) organ
retrieval, cold static preservation and reperfusion cause in a direct and
indirect manner graft injury. There is complex ischemia reperfusion injury (IRI)
triggered during the whole process of Tx which contributes to further damage of
the graft. METHODS: The today's organ preservation with commercially available
solutions that all have toxic potential per se is still imperfect. Thus, improved
preservation solutions are desperately needed to be able to even safely preserve
grafts from extended criteria donors which are more susceptible to especially
IRI. Most recently, a modified less toxic histidine-tryptophan-ketoglutarate
(HTK; Custodiol(r)) the so called histidine-tryptophan-ketoglutarate-N (HTK-N)
for both better cardioplegia and organ preservation for Tx has been developed. It
is characterized as an electrolyte balanced, iron chelatorsupplemented, and amino
acid-fortified organ preservation solution with replaced buffer ameliorating
resistance to injury during the cold static preservation with subsequent IRI.
Numerous in vitro and in vivo experiments have shown the superiority of the HTK-N
solution in ROS generation, microcirculation, and subsequent inflammatory
response compared with HTK. RESULTS: According to data available to date, HTK-N
has both lower cytotoxicity and higher protective potential than HTK. First
clinical studies on both HTK-N for cardioplegia in cardiac surgery and for organ
preservation for transplantation have been performed or are ongoing. CONCLUSION:
In this review, the novelty and composition of HTK-N and studies investigating
the potential of this new solution are focussed, after summarizing the most
relevant molecular mechanisms of IRI.
PMID- 29637859
TI - Melatonin Treatment Enhances Abeta Lymphatic Clearance in a Transgenic Mouse
Model of Amyloidosis.
AB - BACKGROUND: It has been postulated that inadequate clearance of the amyloid beta
protein (Abeta) plays an important role in the accumulation of Abeta in sporadic
late onset Alzheimer's disease (AD). While the blood brain barrier (BBB) has
taken the center stage in processes involving Abeta clearance, little information
is available about the role of the lymphatic system. We previously reported that
Abeta is cleared through the lymphatic system. We now assessed lymphatic Abeta
clearance by treating a mouse model of AD amyloidosis with melatonin, an Abeta
aggregation inhibitor and immuno-regulatory neurohormone. OBJECTIVE: To confirm
and expand our initial finding that Abeta is cleared through the lymphatic
system. Lymphatic clearance of metabolic and cellular "waste" products from the
brain into the peripheral lymphatic system has been known for a long time.
However, except for our prior report, there is no additional experimental data
published about Abeta being cleared into peripheral lymph nodes. METHODS: For
these experiments, we used a transgenic mouse model (Tg2576) that over-expresses
a mutant form of the Abeta precursor protein (APP) in the brain. We examined
levels of Abeta in plasma and in lymph nodes of transgenic mice as surrogate
markers of vascular and lymphatic clearance, respectively. Abeta levels were also
measured in the brain and in multiple tissues. RESULTS: Clearance of Abeta
peptides through the lymphatic system was confirmed in this study. Treatment with
melatonin led to the following changes: 1-A statistically significant increase in
soluble monomeric Abeta40 and an increasing trend in Abeta42 in cervical and
axillary lymph nodes of treated mice. 2- Statistically significant decreases in
oligomeric Abeta40 and a decreasing trend Abeta42 in the brain. CONCLUSION: The
data expands on our prior report that the lymphatic system participates in Abeta
clearance from the brain. We propose that abnormalities in Abeta clearance
through the lymphatic system may contribute to the development of cerebral
amyloidosis. Melatonin and related indole molecules (i.e., indole- 3-propionic
acid) are known to inhibit Abeta aggregation although they do not reverse
aggregated Abeta or amyloid fibrils. Therefore, these substances should be
further explored in prevention trials for delaying the onset of cognitive
impairment in high risk populations.
PMID- 29637855
TI - Cytochrome P450s and Alcoholic Liver Disease.
AB - Alcohol consumption causes liver diseases, designated as Alcoholic Liver Disease
(ALD). Because alcohol is detoxified by alcohol dehydrogenase (ADH), a major
ethanol metabolism system, the development of ALD was initially believed to be
due to malnutrition caused by alcohol metabolism in liver. The discovery of the
microsomal ethanol oxidizing system (MEOS) changed this dogma. Cytochrome P450
enzymes (CYP) constitute the major components of MEOS. Cytochrome P450 2E1
(CYP2E1) in MEOS is one of the major ROS generators in liver and is considered to
be contributive to ALD. Our labs have been studying the relationship between
CYP2E1 and ALD for many years. Recently, we found that human CYP2A6 and its mouse
analog CYP2A5 are also induced by alcohol. In mice, the alcohol induction of
CYP2A5 is CYP2E1-dependent. Unlike CYP2E1, CYP2A5 protects against the
development of ALD. The relationship of CYP2E1, CYP2A5, and ALD is a major focus
of this review.
PMID- 29637860
TI - Current Methods Applied to Biomaterials - Characterization Approaches, Safety
Assessment and Biological International Standards.
AB - Safety and biocompatibility assessment of biomaterials are themes of constant
concern as advanced materials enter the market as well as products manufactured
by new techniques emerge. Within this context, this review provides an up-to-date
approach on current methods for the characterization and safety assessment of
biomaterials and biomedical devices from a physical-chemical to a biological
perspective, including a description of the alternative methods in accordance
with current and established international standards.
PMID- 29637861
TI - Epithelial Organotypic Cultures: A Viable Model to Address Mechanisms of
Carcinogenesis by Epitheliotropic Viruses.
AB - In vitro culture of primary or established cell lines is one of the leading
techniques in many areas of basic biological research. The use of pure or highly
enriched cultures of specific cell types obtained from different tissues and
genetics backgrounds has greatly contributed to our current understanding of
normal and pathological cellular processes. Cells in culture are easily
propagated generating an almost endless source of material for experimentation.
Besides, they can be manipulated to achieve gene silencing, gene overexpression
and genome editing turning possible the dissection of specific gene functions and
signaling pathways. However, monolayer and suspension cultures of cells do not
reproduce the cell type diversity, cell-cell contacts, cell-matrix interactions
and differentiation pathways typical of the three-dimensional environment of
tissues and organs from where they were originated. Therefore, different
experimental animal models have been developed and applied to address these and
other complex issues in vivo. However, these systems are costly and time
consuming. Most importantly the use of animals in scientific research poses moral
and ethical concerns facing a steadily increasing opposition from different
sectors of the society. Therefore, there is an urgent need for the development of
alternative in vitro experimental models that accurately reproduce the events
observed in vivo to reduce the use of animals. Organotypic cultures combine the
flexibility of traditional culture systems with the possibility of culturing
different cell types in a 3D environment that reproduces both the structure and
the physiology of the parental organ. Here we present a summarized description of
the use of epithelial organotypic for the study of skin physiology, human
papillomavirus biology and associated tumorigenesis.
PMID- 29637862
TI - Immunometabolism in the Pathogenesis of Depressive Disorders - Therapeutic
Considerations.
AB - There exists a critical link between immunological processes and metabolic
changes. Furthermore, it becomes more and more evident that changes in
immunometabolic pathways are highly interconnected with psychological processes
and the nervous system. Depressive disorders are a major contributor to the
overall burden of disease worldwide. Despite extensive research, therapeutic
interventions are often not satisfying. This may be due to the yet only partially
elucidated pathobiochemistry underlying the development of depression which may
be influenced by multiple factors including genetics, environment, lifestyle, and
importantly by the immunological status. In this review article, the roles and
consequences of the interferon gamma-dependent pathways of tryptophan breakdown
and neopterin formation are discussed, as well as phenylalanine metabolism,
trying to provide a rational link between immunology, metabolism and mental
status. Besides underlining the complexity of the mechanism involved in the
development of depression, the knowledge on relevant biomarkers may be useful in
orchestrating personalized therapy regimes.
PMID- 29637863
TI - Validation Cytotoxicity Assay for Lipophilic Substances.
AB - It is challenging to disperse lipophilic substances in a validated cytotoxicity
assay, especially for compounds with log Kow greater than or equal to 5 that may
show false negative results. The purpose of this study was to explain the
challenges in conducting a cytotoxicity validated test of lipophilic substances:
Minthostachys setosa, Pimenta pseudocaryophyllus, and Drimysbrasiliensis
essential oils. Additionally, we compared the equivalence of Neutral Red (NR) and
3- (4,5-dimethylthiazol-2-yl) -5- (3- carboxymethoxyphenyl) -2- (4-sulfophenyl)
2H -tetrazolium, inner salt (MTS) in detecting cell viability. The Hydrophile
Lipophile Balance (HLB) technique was used to evaluate the dispersion of
essential oils and cytotoxicity in accordance to the guidelines of the OECD / GD
129 validated cytotoxicity assay. We compared the equivalence of vital dyes by
TOST equivalence test. According to the results, we demonstrated the possibility
of using other ways to disperse the lipophilic substances. Based on the HLB
theory, we selected polysorbate 20 as the best solubilizing agent of the
essential oils studied in D10 culture medium.
PMID- 29637864
TI - Future Perspectives in the Diagnosis of Neuropsychiatric Lupus by Advanced
Magnetic Resonance Imaging Techniques.
AB - Neuropsychiatric (NP) syndromes are an important cause of morbi-mortality in
patients with Systemic Lupus Erythematosus (SLE). Despite remarkable recent
progress, there are no definite methods to diagnose neuropsychiatric SLE (NPSLE)
or to differentiate primary NPSLE from secondary causes. NPSLE remains a
diagnosis of exclusion, and the clinical judgment is still the main approach to
the correct diagnosis. Within this complex context, the Magnetic Resonance
Imaging (MRI) has both a diagnostic role, by showing the nervous system
involvement on one hand and excluding other causes on the other hand, and a
prognostic role, help in assessing the lesions and monitoring the evolution.
Conventional MRI shows brain involvement in around half of the patients, although
the described findings are rather non-specific and present in many other
diseases. However, many advanced MRI techniques are becoming increasingly used
over the last period, showing abnormalities even in normal-appearing brains on
conventional MRI. These MRI techniques hold promise to have a higher sensitivity
and specificity than conventional MRI for NPSLE related changes. This review
explores the place and the future perspectives of different advanced MRI
techniques in NPSLE.
PMID- 29637865
TI - Clinico-epidemiological Study of Morphea from a Tertiary Care Hospital.
AB - INTRODUCTION: Morphea is an uncommon disease that presents with skin induration
and sclerosis. The disease is common in Caucasians and there are few studies
describing the clinicoepidemiological profile of these patients from the Indian
subcontinent. METHODS: This prospective study was conducted during a three year
period from June 2014 to May 2017. All patients of morphea presenting to the
dermatology outpatient department were evaluated for parameters like age, sex,
duration, age of onset, clinical subtype and possible disease associations and
triggering factors. The data was analysed, tabulated and mean, standard deviation
and percentages calculated. RESULTS: 47 patients were incorporated into the
study. These comprised of 10 (21.28%) males and 37 (78.72%) females. The average
age of the patients was 23.92 +/- 12.07 years with a mean age of onset being
22.13 +/- 12.51 years. 22 (46.80%) patients presented within one year of onset of
disease. Plaque morphea was the commonest type seen in 31 (65.96%) patients
followed by linear morphea in 9 (19.15%) patients. We found preceding trauma in 3
patients and morphea developed following herpes zoster and intramuscular
injection in one patient each. CONCLUSION: Morphea is an uncommon disease that is
seen predominantly in females and young individuals. Circumscribed plaque morphea
is the commonest variant. Certain predisposing factors like trauma can precede
it.
PMID- 29637866
TI - Stem Cell Interventions for Bone Healing: Fractures and Osteoporosis.
AB - With the ageing population, musculoskeletal conditions are becoming more
inherent. Delayed union is defined as a slower than normal fracture healing
response, with no healing after 4 to 6 months; however, the union is anticipated
given sufficient time. In the context of delayed/non-union, fragility fractures
in osteoporotic populations carry significant patient morbidity and socioeconomic
costs. Multiple mechanisms hinder fracture healing in osteoporotic patients,
imbalanced bone remodelling leads to impaired bone microarchitecture due to
reduced osteoblast number and activity and as such, callus formation is
diminished. Since stem cells can self-renew and differentiate into various tissue
lineages, they are becoming very popular in tissue regeneration in
musculoskeletal conditions. In this review, we discuss the role of stem cells in
physiological fracture healing and their potential therapeutic use following a
fracture. We explore the potential of stem cells, the release of chemokines and
cytokines to reduce fracture risk in osteoporosis.
PMID- 29637867
TI - ACP5: its structure, distribution, regulation and novel functions.
AB - BACKGROUND: Tartrate-resistant acid phosphatase 5 (ACP5) is an evolutionarily
conserved and multifunctional protein that is involved in generations of reactive
oxygen species, normal bone development, osteoblast regulation and macrophage
function, affecting a series of pathways, as well as reflecting bone resorption
and osteoclast activity. METHODS: Literature searches, systematic reviews and
assessments about the structure, distribution, regulation and novel functions of
ACP5 were performed in this review from PubMed and Medline databases. RESULTS:
Studies demonstrate that RANKL can increase the expression of ACP5 through NFATc1
and c-Fos to accelerate osteoclastogenesis, which also can be regulated by many
regulators. Based on aforementioned information, it is shown that ACP5, together
with the phosphatase activity, can medicate the progression and development of
human genetic diseases and cancer. CONCLUSION: As a novel target, ACP5 plays a
critical role in preventing, monitoring and treating various kinds of tumors, as
well as accelerating the development of a promising therapeutic strategy for
human genetic diseases. However, the explicit mechanism between ACP5 and cancer
is not so clear. It is necessary and significant for us to pay more in-depth
attention.
PMID- 29637868
TI - Translational research: a future strategy for managing Squamous Cell Carcinoma of
the Head and Neck?
AB - BACKGROUND: Squamous cell carcinoma of the head and neck (SCCHN) are neoplasms
arising from the epithelium of the first aero-digestive tract. They are very
heterogeneous both clinically and biologically. Classic and well acknowledged
risk factors are alcohol and tobacco consumption and other forms of smokeless
tobacco assumption, although lately the incidence of human papilloma virus (HPV)
related SCCHN is rapidly increasing. HPV-related tumors are very different from
their alcohol and tobacco-associated counterpart, as they show strong chemo and
radio sensitivity and thus can often be treated with conservative treatment
strategies. Moreover, peculiar biologic features characterize HPV-related tumors,
such as wild type TP53, low expression of epidermal growth factor receptor
(EGFR), wild type CCND1 and high expression of P16. In contrast, alcohol and
tobacco related SCCHN show opposite features, together with higher number of
chromosomal and genetic abnormalities, conferring them chemo and radio
resistance. METHODS: We have performed a narrative review of the PubMed database
with the aim to study the mutational landscape of SCCHN. RESULTS: Several lines
of evidence support the existence of at least two genetically different types of
SCCHN, one virus-related and the other alcohol and/or tobacco-related,
characterized by both clinical and biological opposite features. Virus related
SCCHN are very chemo and radiosensitive, so suitable for organ preserving
strategy, which in the near future may be induction chemotherapy followed by
association of chemotherapy and underpowered radiotherapy. Alcohol and tobacco
related SCCHN are themselves strongly heterogeneous and can be divided in
different entities on the basis of the "driver" genetic aberration, responsible
for carcinogenesis. The most frequently mutated genes in alcohol and tobacco
related SCCHN are TP53, NOTCH1, CCND1, CDKN2A, EGFR and PI3KCA. CONCLUSIONS:
Virus-related SCCHN can be managed with chemo-radiotherapy. Alcohol and tobacco
related tumors should be further characterized on the basis of their "driver
mutations" in order to select effective targeted therapies.
PMID- 29637869
TI - 2-Methoxy-6-Acetyl-7-Methyljuglone (MAM) Induces iNOS/NO-mediated DNA Damage
Response through Activation of MAPKs Pathways.
AB - : Background:There are inconsistent reports about the role of Nitric Oxide (NO)
in cancer progression and prevention. Quinones demonstrate significant anti
cancer activities both in vitro and in vivo. Objective: We investigated the
effect of 2-methoxy-6-acetyl-7-methyljuglone (MAM), a natural naphthoquinone
isolated from Polygonum cuspidatum Sieb. et Zucc, on NO generation and its role
in DNA damage in cancer cells. METHODS: BEL-7402 and A549 cells were cultured and
treated with MAM. The NO generation, DNA damage, and protein expression were
determined. RESULTS: MAM induced inducible nitric oxide synthase (iNOS)/NO
mediated DNA damage response through activation of MAPKs pathways. MAM induced
DNA damage by activating ATM/Chk2. MAM increased iNOS expression, NO production,
and MAPKs (JNK1/2, ERK1/2, and p38MAPK) phosphorylation in concentrationand time-
dependent manners. Furthermore, iNOS inhibitor 1400W, iNOS siRNA, and NO
scavenger hemoglobin (Hb) could significantly reverse MAM-induced DNA damage,
ATM/Chk2 activation, NO production, and cell death. In addition, MAPKs inhibitors
(SP600125, U0126, and SB203580) reversed MAM-induced cell death and ATM/Chk2
activation. MAM-induced cell death was partially reversed by 1400W and Hb but
enhanced by L-arginine. CONCLUSION: These results suggested that MAM induced
iNOS/NO activation and generation mediated by MAPKs pathways, which resulted in
DNA damage.
PMID- 29637870
TI - Microbiological Etiology of Chronic Skin Lesions in Type 2 Diabetic Subjects
AB - INTRODUCTION: Skin lesions represent lesions that result in loss of tissues and
their joints and often this cutaneous process is a primary or secondary
consequence of structural changes in the same skin surface. Typo 2 diabetic
subjects developing chronic skin lesions in the lower limbs in the western world
are steadily increasing. We conducted a study on the etiologic incidence of
chronic skin lesions in type II diabetic subjects in the lower limbs compared to
subjects with chronic skin lesions (controls). MATERIALS AND METHODS: Thirty
subjects (group "A") with chronic skin lesions (CSL controls) (19 F-11M, mean age
67.5 +/- 7.2) in the lower limbs were admitted to our study according to a
randomization scheme and compared a thirty (group "B") type II diabetic patients
(18F-12M, mean age 73.5 +/- 4.9) with chronic skin lesions (CSL). These two
groups "A" and "B" have been studied and compared on the basis of infectious
etiology responsible for the infectious skin process. RESULTS: In the subjects of
the "A" group we found a positive bacteriological colony test of 9 examinations
corresponding to the total 30.0%. In the group called "B" we obtained a colony
test positive of 12 subjects over 30 corresponding to 40.0% of the examinations.
For the number of bacterial species identified in the "A" group we obtained 7
mono microbial and 2 poly microbial bacteriological tests, while in the "B" group
we observed 3 mono microbial and 9 poly microbial tests. All bacteriological
isolates showed "in vitro" sensitivity to satisfactory aminoglycosides with MICs
range of 0.78-1.56mg/L Conclusions: Data from this study show a different
etiology among diabetic subjects than non-diabetic subjects. In fact, in the "B"
group, more poly microbial bacteriological findings are found in positive culture
studies with subjects in group "A". This phenomenon confirms an alteration of the
skin microbiome of diabetic subjects with modification of the "opportunistic
role" of some species of the skin bacterial flora.
PMID- 29637871
TI - Community Acquired Pseudomonas Aeruginosa Pneumonia in a Young Athlete Man: A
Case Report and Literature Review.
AB - Pseudomonas aeruginosaisa commonly known as nosocomial infection agent but rarely
previously healthy people are infected by P. aeruginosa. Here we report Community
Acquired Pneumonia (CAP) in a 27 year old male athlete. 15 published P.
aeruginosa CAP case reports were reviewed. 53.3% of patients were female and
46.67% were male. The mean age was 44 years old (SD: +/-13.54). In 8 reports it
is mentioned that the patient was a smoker. Fatality rate was 46.6% and death
rate was not significantly different between selected antibiotic regimen, sex and
smoking in patient's outcome. Chest strike can be a risk factor for P. aeruginosa
CAP in athlete people. Our reported patient treated by ciprofloxacin 500 mg per
day and healed without any Secondary complication. Fast, timely diagnosis and
treatment is critical in Community Acquired P. aeruginosa pneumonia outcome.
PMID- 29637872
TI - Growth Factor Changes in Cerebrospinal Fluid of Children with Mental Retardation
before and after Neural Precursor Cell Transplantation.
AB - OBJECTIVE: To investigate growth factor changes in cerebrospinal fluid (CSF) of
children with mental retardation (MR) before and after neural precursor cell
transplantation (NPCT), in an attempt to provide experimental support for the
clinical treatment of MR with NPCT. METHODS: The study comprised of 28 MR
children who received twice NPCT in our hospital. CSF was collected at both times
of NPCT to assess growth factors by ELISA. In addition, the content of
insulinlike growth factor 1 (IGF-1) in CSF was assayed to determine possible
correlations between IGF-1 changes and the short-term therapeutic effect of NPCT.
RESULTS: Of all the growth factors detected in CSF, only IGF-1 was increased
significantly after NPCT (P<0.05). Fifteen of the twenty-eight MR children
achieved short-term therapeutic efficacy, whereby the content of IGF-1 after NPCT
was significantly higher than that before NPCT (P<0.05). There was no difference
in IGF-1 content before and after NPCT in the remaining 13 MR children without
shortterm therapeutic effect (P=0.657). There was a significant difference in IGF
change between the two groups of patients (P<0.05). CONCLUSION: IGF-1 may be one
of the mechanisms contributing to the therapeutic effect of NPCT.
PMID- 29637873
TI - Cultured Human Retinal Pigment Epithelial (hRPE) Sheets: A Search for Suitable
Storage Conditions.
AB - The advancement of human retinal pigment epithelial cell (hRPE) replacement
therapy is partly dependent on optimization of cell culture, cell preservation,
and storage medium. This study was undertaken to search for a suitable storage
temperature and storage medium for hRPE. hRPE monolayer sheets were cultured
under standard conditions at 37 degrees C and then randomized for storage at six
temperatures (4, 16, 20, 24, 28, and 37 degrees C) for 7 days. After revealing a
suitable storage temperature, hRPE sheets were subsequently stored with and
without the silk protein sericin added to the storage medium. Live/dead assay,
light microscopy, pH, and phenotypic expression of various proteins were used to
assess cell cultures stored at different temperatures. After 7 days of storage,
hRPE morphology was best preserved at 4 degrees C. Addition of sericin to the
storage medium maintained the characteristic morphology of the preserved cells,
and improved pigmentation and levels of pigmentation-related proteins in the
cultured hRPE sheets following a 7-day storage period at 4 degrees C.
PMID- 29637874
TI - Sociodemographic characteristics and frequency of consuming home-cooked meals and
meals from out-of-home sources: cross-sectional analysis of a population-based
cohort study.
AB - OBJECTIVE: To identify sociodemographic characteristics associated with frequency
of consuming home-cooked meals and meals from out-of-home sources. DESIGN: Cross
sectional analysis of a population-based cohort study. Frequency of consuming
home-cooked meals, ready meals, takeaways and meals out were derived from a
participant questionnaire. Sociodemographic characteristics regarding sex, age,
ethnicity, working overtime and socio-economic status (SES; measured by household
income, educational attainment, occupational status and employment status) were
self-reported. Sociodemographic differences in higher v. lower meal consumption
frequency were explored using logistic regression, adjusted for other key
sociodemographic variables. SETTING: Cambridgeshire, UK. SUBJECTS: Fenland Study
participants (n 11 326), aged 29-64 years at baseline. RESULTS: Eating home
cooked meals more frequently was associated with being female, older, of higher
SES (measured by greater educational attainment and household income) and not
working overtime. Being male was associated with a higher frequency of
consumption for all out-of-home meal types. Consuming takeaways more frequently
was associated with lower SES (measured by lower educational attainment and
household income), whereas eating out more frequently was associated with higher
SES (measured by greater educational attainment and household income) and working
overtime. CONCLUSIONS: Sociodemographic characteristics associated with frequency
of eating meals from different out-of-home sources varied according to meal
source. Findings may be used to target public health policies and interventions
for promoting healthier diets and dietary-related health towards people consuming
home-cooked meals less frequently, such as men, those with lower educational
attainment and household income, and overtime workers.
PMID- 29637876
TI - An Acarological Risk Model Predicting the Density and Distribution of Host
Seeking Ixodes scapularis Nymphs in Minnesota.
AB - Ixodes scapularis is the vector of at least seven human pathogens in Minnesota,
two of which are known to cause Lyme disease (Borrelia burgdorferi sensu stricto
and Borrelia mayonii). In Minnesota, the statewide incidence of Lyme disease and
other I. scapularis-borne diseases and the geographic extent over which cases
have been reported have both increased substantially over the last two decades.
These changes correspond with an expanding distribution of I. scapularis over a
similar time frame. Because the risk of exposure to I. scapularis-borne pathogens
is likely related to the number of ticks encountered, we developed an
acarological risk model predicting the density of host-seeking I. scapularis
nymphs (DON) in Minnesota. The model was informed by sampling 81 sites located in
42 counties in Minnesota. Two main foci were predicted by the model to support
elevated densities of host-seeking I. scapularis nymphs, which included the seven
county Minneapolis-St. Paul metropolitan area and counties in northern Minnesota,
including Lake of the Woods and Koochiching counties. There was substantial
heterogeneity observed in predicted DON across the state at the county scale;
however, counties classified as high risk for I. scapularis-borne diseases and
counties with known established populations of I. scapularis had the highest
proportion of the county predicted as suitable for host-seeking nymphs (>= 0.13
nymphs/100 m2). The model provides insight into areas of potential I. scapularis
population expansion and identifies focal areas of predicted suitable habitat
within counties where the incidence of I. scapularis-borne diseases has been
historically low.
PMID- 29637877
TI - Analysis of Health-Care Charges in Murine Typhus: Need for Improved Clinical
Recognition and Diagnostics for Acute Disease.
AB - Murine typhus, caused by Rickettsia typhi, is an undifferentiated febrile illness
with no available rapid and sensitive diagnostic assay for use during early
disease. We aimed to compare the health-care charges in those diagnosed with
murine typhus to those with influenza, a febrile illness with an available rapid
diagnostic test. A comparison of health-care-associated charges at the University
of Texas Medical Branch at Galveston demonstrated a median of $817 for influenza
versus $16,760 for murine typhus (P < 0.0001). Median laboratory ($184 versus
$3,254 [P < 0.0001]) and imaging charges ($0 versus $514 [P < 0.0001]) were also
higher in those with murine typhus. Those receiving at least one imaging study
during their illness were greater in the murine typhus group (91.3% versus 20.3%)
(P < 0.0001). The median time needed to establish a confirmed or presumptive
diagnosis was 2 days for influenza compared with 9 days for murine typhus (P <
0.0001). The median number of health-care encounters was greater for those with
murine typhus (2 versus 1) (P < 0.0001). Eleven patients (15.9%) with influenza
were hospitalized as a result of their illness compared with 16 (69.6%) with
murine typhus (P < 0.0001). The estimated mortality based on disease severity at
presentation by Acute Physiology and Chronic Health Evaluation II scoring was
similar in the two groups-both had a median 4% mortality risk (P = 0.0893). These
results highlight the need for improved clinical recognition and diagnostics for
acute rickettsioses such as murine typhus.
PMID- 29637878
TI - Prevalence of Depressive Symptoms and Related Risk Factors among Patients with
Tuberculosis in China: A Multistage Cross-Sectional Study.
AB - Poor mental health among tuberculosis (TB) patients affects not only their
compliance with treatments but also their daily lives. Few studies in China have
evaluated depressive symptoms among TB patients. The present study aimed to
determine the prevalence of depressive symptoms and its associated risk factors
among Chinese TB patients. A total of 1,342 TB patients were recruited using a
multistage sampling method and interviewed using a structured questionnaire.
Depressive symptoms were measured using the Center for Epidemiologic Studies
Depression Scale. Multinomial logistic regression analysis was performed to
identify risk factors of depressive symptoms. Of the 1,342 participants included
in this study, 48.0% had depressive symptoms. Of these, 34.8% and 13.2% had mild
and moderate-to-severe depressive symptoms, respectively. Factors associated with
depressive symptoms included family dysfunction, TB-related stigma, poor doctor
patient communication, and lack of knowledge about TB. Depressive symptoms are
common among TB patients in China. Efforts of government and stakeholders in the
development of relevant policy documents to prevent stigma and create social
support for TB patients are necessary. This would not only promote their mental
health but also improve their compliance with treatments.
PMID- 29637879
TI - Parapoxvirus Infections in the Country of Georgia: A Case Series.
AB - Infections caused by viruses of the parapoxvirus (PPV) genus, including orf and
pseudocowpox viruses, are frequently seen in both humans and animals in many
regions of the world. These infections are often misdiagnosed or neglected
because of the lack of clinician awareness, inadequate diagnostic capacity, and
their relatively mild disease presentation, which may result in affected
individuals not seeking medical attention. Although PPV infections should be
routinely considered in patients with cutaneous lesions, especially in those who
have occupational exposure to farm animals, they are often excluded from the
differential diagnosis because they are not perceived as serious, resulting in
underestimation of the burden of disease. Since 2014, significant enhancements to
Georgia's epidemiologic and laboratory capacity have made PPV surveillance and
detection possible. In this study, we present information on 27 confirmed cases
of PPV infection reported to Georgia's national surveillance system from January
2016 through January 2017.
PMID- 29637880
TI - Biomarkers for the Diagnosis of Cholangiocarcinoma: A Systematic Review.
AB - Cholangiocarcinoma (CCA), a malignant tumor of the bile duct, is a major public
health problem in many Southeast Asian countries, particularly Thailand. The slow
progression makes it difficult for early diagnosis and most patients are detected
in advanced stages. This study aimed to review all relevant articles related to
the biomarkers for the diagnosis of CCA and point out potential biomarkers. A
thorough search was performed in PubMed and ScienceDirect for CCA biomarker
articles. Required data were extracted. A total of 46 articles that fulfilled the
inclusion and had none of the exclusion criteria were included in the analysis
(17, 22, 3, 4, and 1 articles on blood, tissue, bile, both blood and tissue, and
urine biomarkers, respectively). Carbohydrate antigen 19-9 (CA19-9) and
carcinoembryonic antigen (CEA), either alone or in combination with other
biomarkers, are the most commonly studied biomarkers in the serum. Their
sensitivity and specificity ranged from 47.2% to 98.2% and 89.7% to 100%,
respectively. However, in the tissue, gene methylations and DNA-related markers
were the most studied CCA biomarkers. Their sensitivity and specificity ranged
from 58% to 87% and 98% to 100%, respectively. Some articles investigated
biomarkers both in blood and tissues, particularly CA19-9 and CEA, with
sensitivity and specificity ranging from 33% to 100% and 50% to 97.7%,
respectively. Although quite a number of biomarkers with a potential role in the
early detection of CCA have been established, it is difficult to single out any
particular marker that could be used in the routine clinical settings.
PMID- 29637882
TI - Type I Interferon Receptor Variants in Gene Regulatory Regions are Associated
with Susceptibility to Cerebral Malaria in Malawi.
AB - Cerebral malaria (CM) remains an important cause of morbidity and mortality. Risk
for developing CM partially depends on host genetic factors, including variants
encoded in the type I interferon (IFN) receptor 1 (IFNAR1). Type I IFNs bind to
IFNAR1 resulting in increased expression of IFN responsive genes, which modulate
innate and adaptive immune responses. To comprehensively study IFNAR1 genetic
variant associations in Malawians with CM or uncomplicated malaria, we used a tag
single nucleotide polymorphism approach, based on the HapMap Yoruba in Ibadan,
Nigeria, population database. We identified three novel (rs914142, rs12626750,
and rs1041867) and one previously published (Chr21:34696785 [C > G]) IFNAR1
variants to be associated with CM. Some of these variants are in gene regulatory
regions. Chr21:34696785 (C > G) is in a region encoding histone modifications and
transcription factor-binding sites, which suggests gene regulatory activity.
Rs12626750 is predicted to bind embryonic lethal abnormal vision system-like RNA
binding protein 1, a RNA-binding protein which can increase the type I IFN
response. Furthermore, we examined these variants in an expression quantitative
trait loci database and found that a protective variant, rs914142, is associated
with lower expression of IFNAR1, whereas the CM-associated variant rs12626750 was
associated with increased IFNAR1 expression, suggesting that activation of the
type I IFN pathway may contribute to pathogenesis of CM. Future functional
studies of IFNAR1 variants are now needed to clarify the role of this pathway in
severe malarial diseases.
PMID- 29637883
TI - Zika Virus Outbreak, Barbados, 2015-2016.
AB - Barbados is a Caribbean island country of approximately 285,000 people, with a
thriving tourism industry. In 2015, Zika spread rapidly throughout the Americas,
and its proliferation through the Caribbean islands followed suit. Barbados
reported its first confirmed autochthonous Zika transmission to the Pan American
Health Organization in January 2016, a month before the global public health
emergency was declared. After detection of suspected Zika cases on Barbados in
2015, 926 individuals were described as suspected cases, and 147 laboratory
confirmed cases were reported through December 2016, the end of the most recent
epidemiological year. In this short report, we describe the epidemiological
characteristics of 926 clinical case records that were originally suspected as
cases of Zika, and which were subsequently sent for testing and confirmation; 147
were found positive for Zika, using reverse transcription-polymerase chain
reaction methods, another 276 tested negative, and the remaining 503 were either
pending results or still in the suspected category. Women were represented at
about twice the rate of men in case records where gender was reported (71.9%),
and confirmed cases (78.2%), and 19 of the confirmed positive cases were children
under the age of 10.
PMID- 29637884
TI - Babesia microti and Malaria Infection in Africa: A Pilot Serosurvey in Kilosa
District, Tanzania.
AB - Babesia is a tick-borne intraerythrocytic parasite that is clinically and
diagnostically similar to malaria parasite, conferring risk of misdiagnosis in
areas where both parasites are endemic. Data on Babesia in humans in Africa are
lacking, despite evidence that it is present in regional animal populations.
Samples that were collected in November 2014 to July 2015 in Kilosa district,
Tanzania, were evaluated for evidence of malaria and Babesia infection. Clinical
data and laboratory samples (i.e., hemoglobin, rapid diagnostic testing [RDT] for
malaria, peripheral blood smear, and dried blood spots) from a routine survey
were available for analysis. Dried blood spots were tested using an
investigational enzyme linked immunosorbent assay (ELISA) against Babesia
microti. A total of 1,030 children aged 1 month to < 5 years were evaluated; 186
(18.1%) were malaria RDT positive, 180 (96.8%) of whom had peripheral smears
reviewed; 70/180 (38.9%) were smear positive for parasites. The median (inter
quartile range) and range of B. microti ELISA signal to cutoff (S/C) ratio was
0.10 (0.06-0.15) and 0.01-1.65, respectively; the S/C ratios were significantly
higher in subjects >= 1 year as compared with those < 1 year old (P < 0.001).
There was also a statistically significant association between a positive RDT for
malaria and the Babesia S/C (median 0.09 versus 0.13 in RDT negative versus RDT
positive, respectively; P < 0.001). The highest S/C ratios were
disproportionately clustered in a few hamlets. The findings suggest that Babesia
may be present in Kilosa district, Tanzania. However, serological cross
reactivity and false positivity, notably between Babesia and Plasmodium spp.,
cannot be definitively excluded and have implications for testing in other
settings.
PMID- 29637885
TI - Comparative Vector Competence of North American Culex pipiens and Culex
quinquefasciatus for African and European Lineage 2 West Nile Viruses.
AB - West Nile virus (WNV) is a mosquito-borne flavivirus that is phylogenetically
separated into distinct lineages. Lineage 1 (L1) and lineage 2 (L2) encompass all
WNV isolates associated with human and veterinary disease cases. Although L1 WNV
is globally distributed, including North America, L2 WNV only recently emerged
out of sub-Saharan Africa into Europe and Russia. The spread of L2 WNV throughout
and beyond Europe depends, in part, on availability of competent vectors. The
vector competence of mosquitoes within the Culex genus for WNV is well
established for L1 WNV but less extensively studied for L2 WNV. Assessing the
vector competence of North American Culex mosquitoes for L2 WNV will be critical
for predicting the potential for L2 WNV emergence in North America. We address
the vector competence of North American Culex pipiens and Culex quinquefasciatus
for L2 WNV. Both mosquito species were highly competent for each of the L2 WNV
strains assessed, but variation in infection, dissemination, and transmission was
observed. An L2 WNV strain (NS10) isolated during the Greek outbreak in 2010
exhibited a reduced capacity to infect Cx. pipiens compared with other L2 WNV
strains. In addition, a South African L2 WNV strain (SA89) displayed a
significantly shorter extrinsic incubation period in Cx. quinquefasciatus
compared with other L2 WNV strains. These results demonstrate that North American
Culex mosquito species are competent vectors of African and European L2 WNV and
that emergence of L2 WNV is unlikely to be hindered by poor competence of North
American vectors.
PMID- 29637886
TI - Experimental Epidemiology of Antibiotic Resistance: Looking for an Appropriate
Animal Model System.
AB - Antibiotic resistance is recognized as one of the major challenges in public
health. The global spread of antibiotic resistance is the consequence of a
constant flow of information across multi-hierarchical interactions, involving
cellular (clones), subcellular (resistance genes located in plasmids,
transposons, and integrons), and supracellular (clonal complexes, genetic
exchange communities, and microbiotic ensembles) levels. In order to study such
multilevel complexity, we propose to establish an experimental epidemiology model
for the transmission of antibiotic resistance with the cockroach Blatella
germanica. This paper reports the results of five types of preliminary
experiments with B. germanica populations that allow us to conclude that this
animal is an appropriate model for experimental epidemiology: (i) the
composition, transmission, and acquisition of gut microbiota and endosymbionts;
(ii) the effect of different diets on gut microbiota; (iii) the effect of
antibiotics on host fitness; (iv) the evaluation of the presence of antibiotic
resistance genes in natural- and lab-reared populations; and (v) the preparation
of plasmids harboring specific antibiotic resistance genes. The basic idea is to
have populations with higher and lower antibiotic exposure, simulating the
hospital and the community, respectively, and with a certain migration rate of
insects between populations. In parallel, we present a computational model based
on P-membrane computing that will mimic the experimental system of antibiotic
resistance transmission. The proposal serves as a proof of concept for the
development of more-complex population dynamics of antibiotic resistance
transmission that are of interest in public health, which can help us evaluate
procedures and design appropriate interventions in epidemiology.
PMID- 29637887
TI - Elevated Levels of Urinary 8-oxodG Correlate with Persistent Periductal Fibrosis
after Praziquantel Treatment in Chronic Opisthorchiasis.
AB - Previous studies demonstrated that urinary 8-oxodG is a predictive biomarker for
Opisthorchis viverrini (OV)-associated hepatobiliary disease (HBD) and
cholangiocarcinoma (CCA). This study examined the effects of praziquantel
treatment on the profile of urinary 8-oxodG in relation to HBD status. Infection
with OV, levels of urinary 8-oxodG, and HBD status in terms of periductal
fibrosis (PDF) assessed by abdominal ultrasonography (US) were monitored and
compared in cohorts of participants in Khon Kaen, Thailand, before and 1 year
after praziquantel treatment. Urinary 8-oxodG levels significantly decreased
after treatment compared with the baseline level in OV-infected participants who
had no HBD (PDF negative; PDF-ve) (N = 14). Levels of 8-oxodG were unchanged
after treatment in OV-infected subjects (OV+ve) who had positive PDF (N = 52).
Within the positive PDF (PDF+ve) group who became PDF-ve after treatment, there
was no significant change in 8-oxodG levels between pre-and posttreatment
(reversible PDF = 65.3%). In those who had persistent PDF+ve at both ultrasound
sampling points, there was no significant difference in urinary 8-oxodG levels
between pre- and posttreatment (persistent PDF = 34.6%). Based on a logistic
regression model and receiver operation curve analysis, the increase of 8-oxodG
levels was found to be associated with increasing risk of PDF. Measurement of
urinary 8-oxodG and US increased the likelihood of discovering persistent PDF,
which is a predictable condition for the patients at risk of OV-associated CCA.
To identify high-risk individuals for CCA, it is useful to perform US in
combination with urinary 8-oxodG measurement.
PMID- 29637888
TI - The effectiveness and cost-effectiveness of screening for active tuberculosis
among migrants in the EU/EEA: a systematic review.
AB - BACKGROUND: The foreign-born population make up an increasing and large
proportion of tuberculosis (TB) cases in European Union/European Economic Area
(EU/EEA) low-incidence countries and challenge TB elimination efforts. Methods:
We conducted a systematic review to determine effectiveness (yield and
performance of chest radiography (CXR) to detect active TB, treatment outcomes
and acceptance of screening) and a second systematic review on cost-effectiveness
of screening for active TB among migrants living in the EU/EEA. Results: We
identified six systematic reviews, one report and three individual studies that
addressed our aims. CXR was highly sensitive (98%) but only moderately specific
(75%). The yield of detecting active TB with CXR screening among migrants was 350
per 100,000 population overall but ranged widely by host country (110-2,340),
migrant type (170-1,192), TB incidence in source country (19-336) and screening
setting (220-1,720). The CXR yield was lower (19.6 vs 336/100,000) and the
numbers needed to screen were higher (5,076 vs 298) among migrants from source
countries with lower TB incidence (<= 50 compared with >= 350/100,000). Cost
effectiveness was highest among migrants originating from high (> 120/100,000) TB
incidence countries. The foreign-born had similar or better TB treatment outcomes
than those born in the EU/EEA. Acceptance of CXR screening was high (85%) among
migrants. Discussion: Screening programmes for active TB are most efficient when
targeting migrants from higher TB incidence countries. The limited number of
studies identified and the heterogeneous evidence highlight the need for further
data to inform screening programmes for migrants in the EU/EEA.
PMID- 29637890
TI - West Nile virus outbreak in humans and epidemiological surveillance, west
Andalusia, Spain, 2016.
AB - In Andalusia, Spain, West Nile virus (WNV) surveillance takes place from April to
November, during the active vector period. Within this area seroconversion to
this virus was evidenced in wild birds in 2004, affecting horses and two humans
for the first time in 2010. Since 2010, the virus has been isolated every year in
horses, and national and regional surveillance plans have been updated with the
epidemiological changes found. WNV is spreading rapidly throughout southern
Europe and has caused outbreaks in humans. Here we describe the second WNV
outbreak in humans in Andalusia, with three confirmed cases, which occurred
between August and September 2016, and the measures carried out to control it.
Surveillance during the transmission season is essential to monitor and ensure
prompt identification of any outbreaks.
PMID- 29637889
TI - The effectiveness and cost-effectiveness of screening for latent tuberculosis
among migrants in the EU/EEA: a systematic review.
AB - BackgroundMigrants account for a large and growing proportion of tuberculosis
(TB) cases in low-incidence countries in the European Union/European Economic
Area (EU/EEA) which are primarily due to reactivation of latent TB infection
(LTBI). Addressing LTBI among migrants will be critical to achieve TB
elimination. Methods: We conducted a systematic review to determine effectiveness
(performance of diagnostic tests, efficacy of treatment, uptake and completion of
screening and treatment) and a second systematic review on cost-effectiveness of
LTBI screening programmes for migrants living in the EU/EEA. Results: We
identified seven systematic reviews and 16 individual studies that addressed our
aims. Tuberculin skin tests and interferon gamma release assays had high
sensitivity (79%) but when positive, both tests poorly predicted the development
of active TB (incidence rate ratio: 2.07 and 2.40, respectively). Different LTBI
treatment regimens had low to moderate efficacy but were equivalent in preventing
active TB. Rifampicin-based regimens may be preferred because of lower
hepatotoxicity (risk ratio = 0.15) and higher completion rates (82% vs 69%)
compared with isoniazid. Only 14.3% of migrants eligible for screening completed
treatment because of losses along all steps of the LTBI care cascade. Limited
economic analyses suggest that the most cost-effective approach may be targeting
young migrants from high TB incidence countries. Discussion: The effectiveness of
LTBI programmes is limited by the large pool of migrants with LTBI, poorly
predictive tests, long treatments and a weak care cascade. Targeted LTBI
programmes that ensure high screening uptake and treatment completion will have
greatest individual and public health benefit.
PMID- 29637892
TI - Internet Addiction: Starting the Debate on Health and Well-Being of Children
Overexposed to Digital Media.
PMID- 29637891
TI - A national retrospective survey of anisakidosis in France (2010-2014): decreasing
incidence, female predominance, and emerging allergic potential.
AB - A retrospective survey was carried out over the years 2010-2014 among all
Parasitology laboratories of University hospitals in France (ANOFEL network). The
objective was to estimate the incidence of anisakidosis in France as new culinary
habits such as the consumption of raw fish (sushi) or undercooked fish are
increasing. A total of 37 cases of anisakidosis were notified by all French
laboratories: 7 proven cases with evidence of a worm, 12 possible cases with
abdominal pain after consumption of raw fish with detection of anti-Anisakis
precipitins, and 18 allergic cases defined as acute manifestations after
consumption of fish, associated with specific IgE for Anisakis. The median age of
affected individuals was 42 years (11-69) and there was a significant
predominance of women (67%). Compared with previous surveys in France, this study
indicates a decrease in clinical cases of anisakidosis and illustrates the
emerging allergic potential of anisakids.
PMID- 29637893
TI - Pediatric Ambulatory and Hospital Networks for Surveillance and Clinical
Epidemiology of Community-Acquired Infections.
PMID- 29637894
TI - Diversity of Service Systems in Pediatric Surgery for Fetuses, Neonates, Infants,
Children, and Adolescents in Europe.
PMID- 29637895
TI - Jean Durlach (1925-2017).
PMID- 29637896
TI - Effect of urine pH and magnesium on calcium oxalate saturation.
AB - Hypomagnesiuria is a common biochemical finding in patients with calcium oxalate
(CaOx) nephrolithiasis. Clinical trials using Mg supplements as therapy against
CaOx stones have shown mixed results. We tested the effect of Mg administration
in healthy subjects under conditions of controlled urine pH (UpH) on urinary Ca
excretion rate (UCaV) and CaOx saturation. This is a 4-phase, double blind,
placebo-controlled, metabolic crossover study performed in healthy volunteers. Mg
lactate (MgLact2) was used as Mg supplement. High UpH and low UpH were achieved
by administration of potassium citrate (K3Citrate) and ammonium chloride (NH4Cl),
respectively, with potassium balance maintained by KCl. Eight participants
completed 4 phases of study. The interventions successfully modulated 24-h UpH
(7.0 +/- 0.4 vs. 5.7 +/- 0.6 in high vs low pH phases; P<0.001). Administration
of MgLact2 increased UMgV [175.8 +/- 40.2 vs 93.4 +/- 39.7 mg/day (7.2 +/- 1.7 vs
3.8 +/- 1.6 mmol/day), high vs low Mg phase; P<0.001], and increased pH both at
low (5.6 +/- 0.5 to 5.8 +/- 0.7; P = 0.02) and high UpH (6.9 +/- 0.4 to 7.0 +/-
0.3; P = 0.01). At a given urine pH, Mg supplementation marginally increased
UCaV, but did not alter UOxV or CaOx saturation. Provision of an alkali load
significantly lowered UCaV and saturation of CaOx at any level of UMgV. Compared
to changes in UMgV, changes in UpH play a more significant role in determining
urine CaOx saturation in healthy subjects. Mg supplements are likely to reduce
CaOx saturation if they also raise urine pH.
PMID- 29637897
TI - The effect of magnesium supplementation on muscle fitness: a meta-analysis and
systematic review.
AB - Increasing evidence supports a role of magnesium (Mg) in skeletal muscle
function. However, no systematic review or meta-analysis has summarized data on
Mg supplementation in relation to muscle fitness in humans. Thus, this study
aimed to quantitatively assess the effect of Mg supplementation on muscle
fitness. A meta-analysis and systematic review. Medline database and other
sources were searched for randomized clinical trials through July 2017. Studies
that reported results regarding at least one of the following outcomes: leg
strength, knee extension strength, peak torque, muscle power, muscle work, jump,
handgrip, bench press weights, resistant exercise, lean mass, muscle mass, muscle
strength, walking speed, Repeated Chair Stands, and TGUG were included.
Measurements of the association were pooled using a fixed-effects model and
expressed as weighted mean differences (WMDs) with 95% confidence intervals (95%
CIs). Fourteen randomized clinical trials targeting 3 different populations were
identified: athletes or physically active individuals (215 participants; mean
age: 24.9 years), untrained healthy individuals (95 participants; mean age: 40.2
years), and elderly or alcoholics (232 participants; mean age: 62.7 years). The
beneficial effects of Mg supplementation appeared to be more pronounced in the
elderly and alcoholics, but were not apparent in athletes and physically active
individuals. The results of the meta-analysis suggested that no significant
improvements in the supplementation group were observed regarding isokinetic peak
torque extension [WMD = 0.87; 95% CI = (-1.43, 3.18)], muscle strength [WMD =
0.87; 95% CI = (-0.12, 1.86)] or muscle power [WMD = 3.28; 95% CI = (-14.94,
21.50)]. Evidence does not support a beneficial effect of Mg supplementation on
muscle fitness in most athletes and physically active individuals who have a
relatively high Mg status. But Mg supplementation may benefit individuals with Mg
deficiency, such as the elderly and alcoholics.
PMID- 29637899
TI - Markerless motion estimation for motion-compensated clinical brain imaging.
AB - Motion-compensated brain imaging can dramatically reduce the artifacts and
quantitative degradation associated with voluntary and involuntary subject head
motion during positron emission tomography (PET), single photon emission computed
tomography (SPECT) and computed tomography (CT). However, motion-compensated
imaging protocols are not in widespread clinical use for these modalities. A key
reason for this seems to be the lack of a practical motion tracking technology
that allows for smooth and reliable integration of motion-compensated imaging
protocols in the clinical setting. We seek to address this problem by
investigating the feasibility of a highly versatile optical motion tracking
method for PET, SPECT and CT geometries. The method requires no attached markers,
relying exclusively on the detection and matching of distinctive facial features.
We studied the accuracy of this method in 16 volunteers in a mock imaging
scenario by comparing the estimated motion with an accurate marker-based method
used in applications such as image guided surgery. A range of techniques to
optimize performance of the method were also studied. Our results show that the
markerless motion tracking method is highly accurate (<2 mm discrepancy against a
benchmarking system) on an ethnically diverse range of subjects and, moreover,
exhibits lower jitter and estimation of motion over a greater range than some
marker-based methods. Our optimization tests indicate that the basic pose
estimation algorithm is very robust but generally benefits from rudimentary
background masking. Further marginal gains in accuracy can be achieved by
accounting for non-rigid motion of features. Efficiency gains can be achieved by
capping the number of features used for pose estimation provided that these
features adequately sample the range of head motion encountered in the study.
These proof-of-principle data suggest that markerless motion tracking is amenable
to motion-compensated brain imaging and holds good promise for a practical
implementation in clinical PET, SPECT and CT systems.
PMID- 29637898
TI - A 6-month follow-up of disability, quality of life, and depressive and anxiety
symptoms in pediatric migraine with magnesium prophylaxis.
AB - Magnesium is frequently used for pediatric migraine prophylaxis. The aim of this
study was to evaluate to which extent the disability levels, quality of life
(QOL), and anxiety and depressive symptoms change after 6-month magnesium
prophylaxis in pediatric migraine. This is a follow-up study of 34 children aged
7-17 years with migraine treated with oral magnesium. Disability due to migraine
was assessed by the Pediatric Migraine Disability Assessment tool (PedMIDAS), QOL
was assessed by the KIDSCREEN-27, and anxiety and depressive symptoms were
assessed by the Revised Child Anxiety and Depression Scale (RCADS). PedMIDAS
scores significantly decreased from baseline to end-point (F(df, dferror) = 11.10
(1.63, 50.49), p<0.001), as well as anxiety (F(df, dferror) = 8.95 (1.64, 50.67),
p = 0.001) and depressive symptoms (F(df, dferror) = 8.91 (1.59, 49.29), p =
0.001). Considering the KIDSCREEN-27, scores for physical and psychological well
being and social support domain significantly increased from baseline to end
point (p<=0.01). After 6 months of magnesium prophylaxis, disability due to
migraine significantly decreased, whereas physical and psychosocial well-being
improved. Children also reported fewer anxiety and depressive symptoms. More
follow-up and randomized controlled clinical trials are needed to propose
clinical recommendations for magnesium prophylaxis in pediatric migraine.
PMID- 29637900
TI - Tunable magnetic coupling in Mn-doped monolayer MoS2 under lattice strain.
AB - First-principles calculations are conducted to study the electronic and magnetic
states of Mn-doped monolayer MoS2 under lattice strain. Mn-doped MoS2 exhibits
half-metallic and ferromagnetic (FM) characteristics in which the majority spin
channel exhibits metallic features but there is a bandgap in the minority spin
channel. The FM state and the total magnetic moment of 1 u B are always
maintained for the larger supercells of monolayer MoS2 with only one doped Mn, no
matter under tensile or compressive strain. Furthermore, the FM state will be
enhanced by the tensile strain if two Mo atoms are substituted by Mn atoms in the
monolayer MoS2. The magnetic moment increases up to 0.50 u B per unit cell at a
tensile strain of 7%. However, the Mn-doped MoS2 changes to metallic and
antiferromagnetic under compressive strain. The spin polarization of Mn 3d
orbitals disappears gradually with increasing compressive strain, and the
superexchange interaction between Mn atoms increases gradually. The results
suggest that the electronic and magnetic properties of Mn-doped monolayer MoS2
can be effectively modulated by strain engineering providing insight into
application to electronic and spintronic devices.
PMID- 29637901
TI - Research and development of 3D printed vasculature constructs.
AB - Artificial blood vessels must be strong, flexible, and must not lead to blockage
after implantation. It is therefore important to select an appropriate
fabrication process for products to meet these requirements. This review
discusses the current methods for making artificial blood vessels, focusing on
fabrication principle, materials, and applications. Among these methods, 3D
printing is very promising since it has the unique capability to make complicated
three-dimensional structures with multiple types of materials, and can be
completely digitalized. Therefore, new developments in 3D printing of artificial
blood vessels are also summarized here. This review provides a reference for the
fusion of multiple processes and further improvement of artificial blood vessel
fabrication.
PMID- 29637902
TI - Protecting interventional radiology and cardiology staff: Are current designs of
lead glasses and eye dosemeters fit for purpose?
PMID- 29637903
TI - Dosimetric characteristics of the University of Washington Clinical Neutron
Therapy System.
AB - The University of Washington (UW) Clinical Neutron Therapy System (CNTS), which
generates high linear energy transfer fast neutrons through interactions of 50.5
MeV protons incident on a Be target, has depth-dose characteristics similar to 6
MV x-rays. In contrast to the fixed beam angles and primitive blocking used in
early clinical trials of neutron therapy, the CNTS has a gantry with a full 360
degrees of rotation, internal wedges, and a multi-leaf collimator (MLC). Since
October of 1984, over 3178 patients have received conformal neutron therapy
treatments using the UW CNTS. In this work, the physical and dosimetric
characteristics of the CNTS are documented through comparisons of measurements
and Monte Carlo simulations. A high resolution computed tomography scan of the
model 17 ionization chamber (IC-17) has also been used to improve the accuracy of
simulations of the absolute calibration geometry. The response of the IC-17
approximates well the kinetic energy released per unit mass (KERMA) in water for
neutrons and photons for energies from a few tens of keV up to about 20 MeV.
Above 20 MeV, the simulated model 17 ion chamber response is 20%-30% higher than
the neutron KERMA in water. For CNTS neutrons, simulated on- and off-axis output
factors in water match measured values within ~2% +/- 2% for rectangular and
irregularly shaped field with equivalent square areas ranging in a side dimension
from 2.8 cm to 30.7 cm. Wedge factors vary by less than 1.9% of the measured dose
in water for clinically relevant field sizes. Simulated tissue maximum ratios in
water match measured values within 3.3% at depths up to 20 cm. Although the
absorbed dose for water and adipose tissue are within 2% at a depth of 1.7 cm,
the absorbed dose in muscle and bone can be as much as 12 to 40% lower than the
absorbed dose in water. The reported studies are significant from a historical
perspective and as additional validation of a new tool for patient quality
assurance and as an aid in ongoing efforts to clinically implement advanced
treatment techniques, such as intensity modulated neutron therapy, at the UW.
PMID- 29637904
TI - Comparison of normal tissue dose calculation methods for epidemiological studies
of radiotherapy patients.
AB - Radiation dosimetry is an essential input for epidemiological studies of
radiotherapy patients aimed at quantifying the dose-response relationship of late
term morbidity and mortality. Individualised organ dose must be estimated for all
tissues of interest located in-field, near-field, or out-of-field. Whereas
conventional measurement approaches are limited to points in water or
anthropomorphic phantoms, computational approaches using patient images or human
phantoms offer greater flexibility and can provide more detailed three
dimensional dose information. In the current study, we systematically compared
four different dose calculation algorithms so that dosimetrists and
epidemiologists can better understand the advantages and limitations of the
various approaches at their disposal. The four dose calculations algorithms
considered were as follows: the (1) Analytical Anisotropic Algorithm (AAA) and
(2) Acuros XB algorithm (Acuros XB), as implemented in the Eclipse treatment
planning system (TPS); (3) a Monte Carlo radiation transport code, EGSnrc; and
(4) an accelerated Monte Carlo code, the x-ray Voxel Monte Carlo (XVMC). The four
algorithms were compared in terms of their accuracy and appropriateness in the
context of dose reconstruction for epidemiological investigations. Accuracy in
peripheral dose was evaluated first by benchmarking the calculated dose profiles
against measurements in a homogeneous water phantom. Additional simulations in a
heterogeneous cylinder phantom evaluated the performance of the algorithms in the
presence of tissue heterogeneity. In general, we found that the algorithms
contained within the commercial TPS (AAA and Acuros XB) were fast and accurate in
field or near-field, but not acceptable out-of-field. Therefore, the TPS is best
suited for epidemiological studies involving large cohorts and where the organs
of interest are located in-field or partially in-field. The EGSnrc and XVMC codes
showed excellent agreement with measurements both in-field and out-of-field. The
EGSnrc code was the most accurate dosimetry approach, but was too slow to be used
for large-scale epidemiological cohorts. The XVMC code showed similar accuracy to
EGSnrc, but was significantly faster, and thus epidemiological applications seem
feasible, especially when the organs of interest reside far away from the field
edge.
PMID- 29637905
TI - Realistic modeling of deep brain stimulation implants for electromagnetic MRI
safety studies.
AB - We propose a framework for electromagnetic (EM) simulation of deep brain
stimulation (DBS) patients in radiofrequency (RF) coils. We generated a model of
a DBS patient using post-operative head and neck computed tomography (CT) images
stitched together into a 'virtual CT' image covering the entire length of the
implant. The body was modeled as homogeneous. The implant path extracted from the
CT data contained self-intersections, which we corrected automatically using an
optimization procedure. Using the CT-derived DBS path, we built a model of the
implant including electrodes, helicoidal internal conductor wires, loops,
extension cables, and the implanted pulse generator. We also built four
simplified models with straight wires, no extension cables and no loops to assess
the impact of these simplifications on safety predictions. We simulated EM fields
induced by the RF birdcage body coil in the body model, including at the DBS lead
tip at both 1.5 Tesla (64 MHz) and 3 Tesla (123 MHz). We also assessed the
robustness of our simulation results by systematically varying the EM properties
of the body model and the position and length of the DBS implant (sensitivity
analysis). The topology correction algorithm corrected all self-intersection and
curvature violations of the initial path while introducing minimal deformations
(open-source code available at http://ptx.martinos.org/index.php/Main_Page). The
unaveraged lead-tip peak SAR predicted by the five DBS models (0.1 mm resolution
grid) ranged from 12.8 kW kg-1 (full model, helicoidal conductors) to 43.6 kW kg
1 (no loops, straight conductors) at 1.5 T (3.4-fold variation) and 18.6 kW kg-1
(full model, straight conductors) to 73.8 kW kg-1 (no loops, straight conductors)
at 3 T (4.0-fold variation). At 1.5 T and 3 T, the variability of lead-tip peak
SAR with respect to the conductivity ranged between 18% and 30%. Variability with
respect to the position and length of the DBS implant ranged between 9.5% and
27.6%.
PMID- 29637907
TI - Pressure gradients fail to predict diffusio-osmosis.
AB - We present numerical simulations of diffusio-osmotic flow, i.e. the fluid flow
generated by a concentration gradient along a solid-fluid interface. In our
study, we compare a number of distinct approaches that have been proposed for
computing such flows and compare them with a reference calculation based on
direct, non-equilibrium molecular dynamics simulations. As alternatives, we
consider schemes that compute diffusio-osmotic flow from the gradient of the
chemical potentials of the constituent species and from the gradient of the
component of the pressure tensor parallel to the interface. We find that the
approach based on treating chemical potential gradients as external forces acting
on various species agrees with the direct simulations, thereby supporting the
approach of Marbach et al (2017 J. Chem. Phys. 146 194701). In contrast, an
approach based on computing the gradients of the microscopic pressure tensor does
not reproduce the direct non-equilibrium results.
PMID- 29637906
TI - Lesion detectability in 2D-mammography and digital breast tomosynthesis using
different targets and observers.
AB - This work investigates the detection performance of specialist and non-specialist
observers for different targets in 2D-mammography and digital breast
tomosynthesis (DBT) using the OPTIMAM virtual clinical trials (VCT) Toolbox and a
4-alternative forced choice (4AFC) assessment paradigm. Using 2D-mammography and
DBT images of virtual breast phantoms, we compare the detection limits of simple
uniform spherical targets and irregular solid masses. Target diameters of 4 mm
and 6 mm have been chosen to represent target sizes close to the minimum
detectable size found in breast screening, across a range of controlled contrast
levels. The images were viewed by a set of specialist observers (five medical
physicists and six experienced clinical readers) and five non-specialists.
Combined results from both observer groups indicate that DBT has a significantly
lower detectable threshold contrast than 2D-mammography for small masses (4 mm:
2.1% [DBT] versus 6.9% [2D]; 6 mm: 0.7% [DBT] versus 3.9% [2D]) and spheres (4
mm: 2.9% [DBT] versus 5.3% [2D]; 6 mm: 0.3% [DBT] versus 2.2% [2D]) (p <
0.0001). Both observer groups found spheres significantly easier to detect than
irregular solid masses for both sizes and modalities (p < 0.0001) (except 4 mm
DBT). The detection performances of specialist and non-specialist observers were
generally found to be comparable, where each group marginally outperformed the
other in particular detection tasks. Within the specialist group, the clinical
readers performed better than the medical physicists with irregular masses (p <
0.0001). The results indicate that using spherical targets in such studies may
produce over-optimistic detection thresholds compared to more complex masses, and
that the superiority of DBT for detecting masses over 2D-mammography has been
quantified. The results also suggest specialist observers may be supplemented by
non-specialist observers (with training) in some types of 4AFC studies.
PMID- 29637908
TI - Topical timolol in PHACES syndrome: Is it safe?
PMID- 29637909
TI - Incidence of leprosy in Firozabad district (Uttar Pradesh).
AB - Objective: To assess incidence of leprosy in Firozabad District (U.P.). Materials
and Methods: A random sample of 148,061 population was covered by this second
survey, spread over 259 units (230 rural/29 urban). The survey was conducted
between March 2011 and November 2012. Clinically confirmed cases detected in
known disease-free population were labeled as incident cases and treated.
Results: The overall incidence rate of leprosy was found to be 3.4 per 10,000
person years; In healthy contacts it was 3.1, in paucibacillary contacts 29.7
while it was 89.3 in multibacillary contacts. The differences in incidence rate
of these three groups were significant (P < 0.001). Incidence rate was
significantly higher by age; 1.1 in persons <15 years to 8.0 in those >44 years
of age, and in high endemicity areas with three or more cases. In terms of
incidence rate ratio (95% confidence interval), the incidence for ages 15-24
years was 3.2 times significantly higher than for those under 15 years, 5.3 times
(4.3-6.5) in ages 30-44 years and 7.0 times (5.6-8.7) for age >=45 years.
Incidence rate ratio was also significantly higher in paucibacillary contacts, by
9.5 times (7.0-13.0) and 27.7 times (18.8-40.6) in multibacillary contacts, as
compared to healthy controls. Incidence rate ratio (95% confidence interval) was
significantly higher by 2.9 times (2.4-3.5) in areas with endemicity status of 3
to 5 cases and by 2.0 times (1.6-2.5) in areas with >5 cases as compared to areas
with no endemicity. It was 2.4 times more (1.6-3.5) in Narkhi, 2.4 times higher
(1.7-3.5) in Tundla and 3.0 times higher (2.1-4.5) in Aravon blocks than in Aeka
block of the district. Incidence rate was also found to be significantly higher
(3.7) among females, 1.3 times higher (1.1-1.5) than in males (2.9). Incidence
rate ratio (95% confidence interval) was also 2.5 times higher (1.2-5.1) among
persons having reported disease of greater than 4 years in comparison to 1.5 in
persons having disease for 2 to 3 years. Limitations of Study: None to the best
of our knowledge. Conclusion: The present study suggests that incidence rate of
leprosy is significantly higher among persons of above 15 years, in females,
among contacts of paucibacillary/multibacillary disease, in areas where >3
leprosy cases were found and in Tundla, Narkhi and Aravon blocks in Firozabad
district.
PMID- 29637910
TI - Paradigm shift in antinuclear antibody negative lupus: Current evidence.
PMID- 29637912
TI - Bowel preparation: The elderly, the hospitalized, and the colonoscope.
PMID- 29637911
TI - Management of distal malignant biliary obstruction.
PMID- 29637913
TI - Thiazolidinediones and risk of colorectal cancer in patients with diabetes
mellitus: A meta-analysis.
AB - Background/Aims: A growing body of evidence has suggested that thiazolidinediones
(TZDs) potentially reduce the risk of colorectal cancer (CRC). This study aimed
to evaluate the effect of TZDs on CRC risk in patients with diabetes mellitus
(DM). Patients and Methods: A systematic search of electronic databases was
performed for studies evaluating the exposure to TZDs and reporting CRC risk in
diabetic patients. Pooled estimates with 95% confidence intervals (CIs) were
estimated using fixed or random effects models. Results: A total of 10
observational studies reporting more than 18,972 CRC cases in 2,470,768 DM
patients were included. Meta-analysis showed a 9% reduction in CRC risk
associated with TZDs use in all studies [relative risk (RR) =0.91, 95% CI = 0.84
0.99, P = 0.03] and cohort studies (RR = 0.89, 95% CI = 0.80-0.99, P = 0.04),
respectively. However, such effect was not shown in case-control studies. In
subgroup analyses, lower CRC risk was found in Asian population (RR = 0.40, 95%
CI = 0.29-0.53, P = 0.00), and a trend toward lower CRC risk was observed in US
population (RR = 0.94, 95% CI = 0.88-1.01, P = 0.08). CRC risk was significantly
modified with non-pioglitazone TZD use (RR = 0.88, 95% CI = 0.82-0.95, P = 0.00),
but not with pioglitazone use (RR = 0.95, 95% CI = 0.89-1.01, P = 0.11). No
significant difference was observed with cancer site (colon or rectum). There was
considerable inherent heterogeneity across studies, partly explained by study
location. Conclusions: This meta-analysis supports a protective association
between TZDs use and CRC risk in patients with DM. Future well-designed
prospective studies with larger cohorts would be needed to understand this
association better.
PMID- 29637914
TI - Differences in efficacy of uncovered self-expandable metal stent in relation to
placement in the management of malignant distal biliary obstruction.
AB - Background/Aims: Metal stent insertion is a common palliative treatment for
distal malignant biliary obstruction (MBO) but whether placement across the
sphincter of Oddi (SO) causes more complications or shorter survival is in
question. The aim of this study was to compare the clinical outcomes of
percutaneous uncovered self-expandable metal stent placement above and across the
SO in patients with distal MBO. Patients and Methods: We retrospectively studied
59 patients who underwent uncovered metal stent placement for distal MBO between
January 2012 and March 2016. Stents were placed above the SO for 22 subjects
(group A) and across the SO for 37 subjects (group B). Early cholangitis, stent
occlusion, and overall survival time were compared between the two groups.
Results: Clinical success was 90.9 and 86.5% for groups A and B, respectively.
Early cholangitis occurred in 2 patients (9.1%) in group A and in 6 patients
(16.2%) in group B (P = 0.645). Median stent patency and median survival time was
105 and 140 days for group A, 120 and 160 days for group B, respectively. The
stent occlusion (31.8% in group A and 21.6% in group B, P = 0.454) and the
cumulative stent patency times (P = 0.886) did not differ between the two groups.
There was no significant difference in cumulative patient survival between the
two groups (P = 0.810). Conclusion: Uncovered metal stent placement across the SO
did not significantly affect early cholangitis, stent patency, or patient
survival.
PMID- 29637915
TI - The incidence of and risk factors for inadequate bowel preparation in elderly
patients: A prospective observational study.
AB - Background/Aim: : We conducted a prospective observational study to identify the
incidence of and risk factors for inadequate bowel preparation in elderly Chinese
patients. Patients and Methods: We enrolled 240 outpatients over 60 years of age
scheduled for elective colonoscopy at our university hospital between November
2016 and April 2017. We recorded patient demographics, bowel preparation data,
and clinical characteristics. Factors associated with inadequate bowel
preparation were identified by multivariate logistical regression analysis.
Results: The rate of inadequate bowel preparation was 34.6%. Factors associated
with inadequate bowel preparation were a history of abdominal surgery (OR, 2.617;
CI, 1.324-5.174; P = 0.006), chronic constipation (OR, 3.307; CI, 1.551-7.054; P
= 0.002), non-compliance with dietary instructions (OR, 2.239; CI, 1.122-4.471; P
= 0.022), non-compliance with polyethylene glycol (PEG) dosage (OR, 4.576; CI,
1.855-11.287; P = 0.001), walking <30 minutes during preparation (OR, 2.474; CI,
1.261-4.855; P = 0.008), interval between PEG ingestion and the onset of bowel
activity (OR, 1.025; CI, 1.010-1.040; P = 0.001), and a last stool that was not
clear and watery (OR, 4.191; CI, 1.529-11.485; P = 0.005). Conclusion: The
incidence of adequate bowel preparation in elderly patients is not optimal.
Walking <30 minutes during the PEG ingestion period may be a surrogate for bowel
preparation failure. Future studies should identify elderly patients at risk for
poor bowel preparation and develop interventions to improve outcomes in this
population.
PMID- 29637916
TI - Bowel preparation quality between hospitalized patients and outpatient
colonoscopies.
AB - Background/Aims: Optimal bowel preparation is essential for a complete high
quality colonoscopy. We sought to determine whether an inpatient, as opposed to
an ambulatory setting, would affect the quality of bowel preparation. Patients
and Methods: A retrospective chart review was conducted in a tertiary care
university hospital. We collected demographic data from consecutive patients who
underwent a colonoscopy for any reason between August 2007 and April 2012.
Results: A total of 2999 patients were included in the study with a mean age of
50.36 (95%CI; 49.79-50.94). Males comprised 58.12%. Ambulatory patients had a
higher rate of good bowel preparations (67.23% vs. 56.64%, P value < 0.01), a
lower rate of poor bowel preparations (18.22% vs. 27.14%, P value < 0.01), and a
higher rate of colonoscopy completion (86.79% vs. 77.59%, P value < 0.01). There
was no difference between the rates of polyps detected (18.90% vs. 20.83%, P
value = 0.22). The univariabe modeling factors associated with a sub-optimal
bowel preparation were age OR 1.02 (95% CI, 1.01 to 1.02), chronic kidney disease
OR 2.34 (95% CI, 1.12 to 4.88), diabetes mellitus OR 2.00 (95% CI, 1.50 to 2.68),
hypertension OR 1.48 (95% CI, 1.11 to 1.97), anemia OR 1.81 (95% CI, 1.33 to
2.47), and weight loss OR 1.41 (95% CI, 1.01 to 1.96). Better bowel preparation
was associated with colonoscopies performed in the outpatient setting OR 0.63
(95% CI, 0.54 to 0.73). Conclusion: Bowel preparation quality is affected by the
setting in which it is performed. This result suggests that, when appropriate,
colonoscopies should be performed on an outpatient basis. Further studies are
required to replicate this finding.
PMID- 29637917
TI - Effects of taste stimulation on gastric myoelectrical activity and autonomic
balance.
AB - Background/Aim: Sham feeding, reproducing the cephalic phase of digestion, and
involving combined visual, olfactory, and taste stimulation affects
gastrointestinal motility and secretory functions of the digestive system, as
well as the sympathetic/parasympathetic balance (SPB). In this study, we aimed to
check if taste stimulation with a single flavor affects the gastric myoelectrical
activity (GMA) and/or SPB. Materials and Methods: Eighteen healthy volunteers
underwent, on four separate days, 30-min electrogastrographic and
electrocardiographic recordings: basal, with stimulation - while keeping in the
mouth an agar cube with taste-delivering substance, and postexposure.
Concentrations of saccharose, NaCl, citric acid, and quinine hydrochloride within
the cubes were adjusted to 100-fold the individual taste recognition thresholds.
SPB was determined from the heart rate variability (HRV) analysis of the recorded
electrocardiograms. Results: A moderate but statistically significant increase in
tachygastria and bradygastria percentage time share was observed, regardless of
the type of taste applied. Bitter taste elicited a considerable decrease in the
normogastria time share (from 82.8 +/- 2.5% to 73.5 +/- 3.5%, P = 0.00076) and a
diminution of the dominant frequency (from 3.07 +/- 0.08 to 2.90 +/- 0.10 cycles
per minute (cpm) postexposure, P = 0.01). Sour taste brought about a drop of the
dominant power (from 42.5 +/- 1.1 to 40.1 +/- 1.4 dB, P = 0.0015). Two tastes
hindered propagation of the gastric slow waves - the average percentage of slow
wave coupling decreased from 77.9 +/- 3.1% to 69.5 +/- 3.1% (P = 0.0078) and from
74.6 +/- 2.5% to 68.2 +/- 2.8% (P = 0.0054) with the bitter and the salty taste,
respectively. Stimulation with sweet, salty, or sour taste evoked a significant
decrease in the high frequency component of the HRV, whereas bitter taste did not
affect the SPB. Conclusions: Oral stimulation with tastes subjectively perceived
as unpleasant brings about disturbances of the interdigestive GMA. This, however,
does not coincide with its effect upon SPB.
PMID- 29637918
TI - Vitamin D supplementation in adolescents with irritable bowel syndrome: Is it
useful? A randomized controlled trial.
AB - Background/Aim: Vitamin D deficiency is common in irritable bowel syndrome (IBS).
There is growing interest in the role of vitamin D in pediatric IBS. We aimed to
evaluate the effect of vitamin D supplementation in adolescents with IBS and
vitamin D deficiency. Patients and Methods: One hundred and twelve adolescents
with IBS and vitamin D deficiency were randomly divided into two groups of
matched age and sex. The first group received oral vitamin D3 2000IU/day for 6
months and the second group received placebo for 6 months. Vitamin D status as
well as different IBS score systems (IBS-SSS, IBS-QoL, and total score) were
evaluated before and 6 months after treatment. Results: IBS patients who received
vitamin D supplementation for 6 months showed significant improvement in IBS-SSS
(P < 0.001), IBS-QoL (P < 0.001), and total score (P = 0.02) compared to IBS
placebo group. IBS patients treated with vitamin D showed two folds increase in
their serum vitamin D levels (from 17.2 +/- 1.3 to 39 +/- 3.3) ng/ml with P <
0.001. While in the placebo group, their serum vitamin D levels were not
significantly changed (P = 0.66). Vitamin D was tolerated well without any
recorded adverse effects during the study period. Conclusion: Vitamin D
supplementation can be effective in treating adolescents with IBS and vitamin D
deficiency.
PMID- 29637919
TI - Comparison of efficacy and safety between endoscopic submucosal dissection and
transanal endoscopic microsurgery for the treatment of rectal tumor.
AB - Background/Aim: To compare the treatment efficacy and safety between endoscopic
submucosal dissection (ESD) and transanal endoscopic microsurgery (TEM) for the
treatment of rectal epithelial tumors, including large adenoma, cancer, and
subepithelial tumors (SET). Patients and Methods: We conducted a retrospective
analysis of the medical records of 71 patients with rectal tumors who were
treated with ESD (48 patients) or TEM (23 patients) from January 2013 to December
2015. The patient group comprised 56 patients with epithelial tumors and 15
patients with SET. Treatment efficacy such as en bloc resection, procedure time,
local recurrence, hospital stay, additional procedure rate, and safety between
the treatment groups were evaluated and analyzed. Results: There were no
significant differences in tumor size, location, macroscopic appearance, and
histological depth between ESD and TEM groups. For ESD compared to TEM in rectal
epithelial tumors, en bloc resection rates were 95% vs. 93.7% and R0 resection
rates were 92.5% vs. 87.5% (P = 0.617); in rectal SET, en bloc resection rates
were 100% vs. 100% and R0 resection rates were 87% vs. 85% (P = 0.91). The
procedure time was 71.5 +/- 51.3 min vs. 105.6 +/- 28.2 min (P = 0.016) for
epithelial tumors and 32.13 +/- 13.4 min vs. 80.71 +/- 18.35 min (P = 0.00) for
SET, respectively. Hospital stay was 4.3 +/- 1.2 days vs. 5.8 +/- 1.8 days (P =
0.001) for epithelial tumors and 4.1 +/- 4.1 days vs. 5.5 +/- 2 days (P = 0.42)
for rectal SET, respectively. There were no significant differences between
recurrence rates, additional procedure rates, and complications in the two
groups. Conclusions: ESD and TEM are both effective and safe for the treatment of
rectal epithelial tumors and SET because of favorable R0 resection rates and
recurrence rates. However, the ESD group showed shorter procedure times and
hospital stays than the TEM group. Therefore, ESD should be considered more
preferentially than TEM in the treatment of large rectal epithelial tumors and
SET.
PMID- 29637920
TI - New endoscopic classification of esophageal mucosa in achalasia: A predictor for
submucosal fibrosis.
AB - Background/Aim: In this study, we aim to investigate the predicting ability of
one new endoscopic classification of esophageal mucosa in achalasia (EMIA) for
submucosal fibrosis (SMF) affecting the success of peroral endoscopic myotomy
(POEM). Patients and Methods: The endoscopic and clinical data of achalasia
patients undergoing POEM from 2012 to 2016 were investigated retrospectively.
According to the endoscopic images or videos, EMIA and SMF grades were recorded.
The relation between EMIA and SMF gradings was assessed by Spearman's rank
correlation, and the predictive factors of SMF were identified by logistic
regression analysis. Results: A total of 568 achalasia patients who underwent
POEM were enrolled. For EMIA classification, there were 40 (7.0%), 373 (65.7%),
139 (24.5%), 14 (2.5%), 1 (0.2%), and 1 (0.2%) case (s) for grades a, b, c, d,
e1, and f4, respectively. POEM procedures were aborted in 16 patients, and 93.8%
(15/16) were due to severe SMF. Because grades e and f were rare and the related
SMF was obvious, these two grading cases were excluded from the following
analysis. Correlation between EMIA and SMF gradings was significant (Spearman r =
0.62, P < 0.01). Multivariate logistic analysis, including age, sex, disease
duration, Ling classification, previous treatment, and EMIA classification,
demonstrated that the EMIA classification (grades c to d) was an independent
predictor for advanced SMF (odds ratio = 26.547, 95% confidence interval: 15.809
44.578, P < 0.01). Conclusions: The new endoscopic EMIA classification is an
independent predictor of advanced SMF during POEM. The classification may be used
for assessment of the difficulty and success of POEM.
PMID- 29637921
TI - Immunohistochemical staining of cytokeratin 20 and cytokeratin 7 in colorectal
carcinomas: Four different immunostaining profiles.
AB - Background/Aim: Aberrant expression of CK20/CK7 is reported in a percentage of
colorectal carcinomas (CRC); however, its relation to clinicopathological
variables and survival data is still unclear. The objective of this study is to
explore patterns of CK20/CK7 immunostaining in CRC and to analyse the diagnostic,
prognostic, and predictive role of patterns of CK20/CK7 immunostaining. Materials
and Methods: A total of 144 CRC cases were retrieved from the archives at the
Department of Pathology, King Abdulaziz University, Jeddah, Saudi Arabia.
Immunohistochemistry was performed using antibody to CK7 and CK20. Immunostaining
was defined as low and high by using the extent of staining. The association of
CK7 and CK20 with clinicopathological characteristics and survival. Results: CK20
was expressed in a higher percentage of CRC and nodal metastasis than CK7. No
difference in CK7 and CK20 immunostaining in primary and metastasis carcinomas
was found. Four patterns of CK20/CK7 were identified; CK20+/CK7- (60.4%),
CK20+/CK7+ (2.1%), CK20-/CK7- (35.4%), and CK20-/CK7+ (2.1%). There was no
statistically significant correlation between CK20/CK7 immunohistochemical
profile and clinicopathological characteristics, prognosis, and survival was
determined. Conclusions: Our results may support the heterogeneity of CRC. CRC
showed four different subclasses following patterns of relative CK20/CK7
immunostaining. A considerable number of CRC expressed aberrant immune profile of
CK20/CK7, which should be considered during diagnosing CRC in metastatic regions.
Further studies on larger cohorts correlating different immunohistochemical
cytokeratin profiles to molecular subtypes of CRC are recommended for better
understanding of pathogenesis and behaviour of CRC.
PMID- 29637922
TI - Candidemia after endoscopic retrograde cholangiopancreatography in an
immunocompetent patient: A case report and literature review.
AB - Candidemia is a rare adverse event of endoscopic retrograde
cholangiopancreatography (ERCP). To date, several case reports of post-ERCP
candidemia have been reported. Recently, we experienced a case of disseminated
candidemia caused by Candida albicans with secondary complications of acute
respiratory distress syndrome, acute kidney injury, and hematogenous candidal
endophthalmitis following ERCP in a young healthy patient without well-recognized
risk factors for candidemia. After intravenous and intravitreal antifungal
therapy and intensive care, the candidemia resolved, and the patient was
discharged without further sequela. The present case alerted us to consider
candidemia as a rare but potentially fatal adverse event of ERCP, even in an
immunocompetent host.
PMID- 29637924
TI - [Study of the current status of Schistosoma haematobium infection in the European
Union. An approach to the possible risk in Spain].
AB - OBJECTIVE: In Europe, urogenital schistosomiasis was not endemic, however in 2014
the first cases of a European autochthonous infection outbreak appeared in
Corsica (France). In this work a search and description of cases, both import and
native urogenital schistosomiasis, published in the European Union (EU) during
the last 20 years was made. In addition, a qualitative risk assessment in Spain
was carried out. METHODS: A bibliographic search of European Union published
cases over the last 20 years (1997-2017) was performed using PubMed. Works that
evidenced the presence of intermediate hosts Bulinus truncatus and Planorbarius
metidjensis in our country were searched in PubMed, ResearchGate and Google
Scholar. Finally, a risk assessment of urogenital schistosomiasis in Spain using
the 2011 ECDC guide was made. RESULTS: 481 cases in the EU were found. 328 were
imported and 152 autochthonous. All from the autochthonous cases were focused in
Corsica, where people from different nationalities got sicked. The presence of
two potential host species was documented in different locations of our
geography. The result of the risk assessment in Spain was low risk. CONCLUSIONS:
Although the risk assessment in Spain was low risk, several factors as the
presence of intermediate hosts in Spain, the increase on migratory flows, and the
role that the S. haematobium-bovis hybrid had in the outbreak of Corsica, must
alert community and health authorities about the possibility that autochthonous
cases in our country appear.
PMID- 29637925
TI - [Occupational health administrative coordination a propos of a case: brake
linings with asbestos in a company].
AB - The current structure of the Spanish State of Autonomies is characterized by
institutional pluralism and the autonomy of the different public administrations.
In this context, the principle of coordination is fundamental for the cohesion of
the system, but experience shows that its implementation is difficult. This paper
examines the set of actions carried out by the administrations in relation to an
occupational and public health problem raised in March 2016. The Public Health
General Direction of Aragon's Government was informed of a possible use of brake
linings with asbestos to manufacture axles for agricultural machinery by a
Company from Zaragoza; the collaboration from Aragon's Institute of Occupational
Safety and Health, the Industry Department and the Labour and Social Security
Inspectorate were asked; the joint action of these administrations detected the
use of several models of brake linings with a content of 2-5% of Chrysotile. The
brake linings came from a Chinese company. The axles nated are sold in several
Spanish Autonomous Communities. A national alert was activated by the SIRIPQ
(System of Rapid Exchange of Information on Chemical Products) which is
coordinated by the Ministry of Health, Social Services and Equality. Several
measures were taken including: ceasing the work with the brake linings, the
replacement of brake linings with asbestos, the immobilization of brake linings
in the company by application of the REACH Reglament, etc. This case shows that
the cooperation and co-responsibility of public administrations from different
territorial, sectoral and competence areas allows improving the occupational
risks prevention and the public health.
PMID- 29637923
TI - Effects of Genetic Polymorphisms of CYP2B6 on the Pharmacokinetics of Bupropion
and Hydroxybupropion in Healthy Chinese Subjects.
AB - BACKGROUND Bupropion (BUP) is an antidepressant and its pharmacological activity
is mediated by its major metabolite, hydroxybupropion (HBUP). We investigated the
effects of genetic polymorphisms of CYP2B6 on BUP and HBUP to provide certain
evidence on the clinical rational administration of BUP. MATERIAL AND METHODS
Plasma BUP and HBUP concentrations were assayed using high performance liquid
chromatography-tandem mass spectrometry (HPLC-MS/MS). RESULTS A total of 23
healthy volunteers (eleven participants with CYP2B6*1/*1, 7 participants with
CYP2B6*1/*6, 3 participants with CYP2B6*4/*6, and 2 participants with
CYP2B6*1/*4) received orally administered 150 mg of BUP according to protocol.
Blood samples were obtained up to 96 hours after administration. The whole blood
was subject to genotyping by polymerase chain reaction and restriction fragment
length polymorphism (PCR-RFLP). The concentration-time curve (AUC(0->96)),
maximum plasma concentration (Cmax), and terminal half-life (t1/2) values of BUP
in CYP2B6*1/*4 were lower than those of CYP2B6*1/*1. By contrast, the time to
Cmax (tmax) value of the former was higher than that of the latter. The HBUP
AUC(0->96) values in CYP2B6*4/*6 and CYP2B6*1/*4 increased to values 1.12-fold
and 1.98-fold, compared with CYP2B6*1/*1 carriers. However, the HBUP AUC(0->96)
value in CYP2B6*1/*1 was 1.51-fold higher than that in CYP2B6*1/*6. Similarly,
the HBUP Cmax values in CYP2B6*4/*6 and CYP2B6*1/*4 increased by 1.12-fold and
1.97-fold, whereas the HBUP Cmax value in CYP2B6*1/*6 decreased to a value 1.64
fold lower than that in CYP2B6*1/*1. CONCLUSIONS Genetic polymorphisms of CYP2B6
influence the pharmacokinetic parameters of BUP and HBUP and thus establish
rational BUP administration for Chinese patients in clinical settings.
PMID- 29637927
TI - Erratum: Peptides derived from Plasmodium falciparum leucine-rich repeat 1 bind
to serine/threonine phosphatase type 1 and inhibit parasite growth in vitro
[Corrigendum].
AB - [This corrects the article on p. 85 in vol. 12.].
PMID- 29637926
TI - Condensing embryology teaching: alternative perspectives.
PMID- 29637928
TI - Cardiac surgery risk scoring systems: In quest for the best.
PMID- 29637929
TI - Correction: Global health security: where is the data to inform health system
strengthening?
AB - [This corrects the article DOI: 10.1136/bmjgh-2017-000481.][This corrects the
article DOI: 10.1136/bmjgh-2017-000481.].
PMID- 29637930
TI - Correction: Fall-related accidents among hikers in the Austrian Alps: a 9-year
retrospective study.
AB - [This corrects the article DOI: 10.1136/bmjsem-2017-000304.][This corrects the
article DOI: 10.1136/bmjsem-2017-000304.].
PMID- 29637931
TI - Commentary: Injecting Instructions into Premotor Cortex.
PMID- 29637932
TI - Medical students' perspectives on teaching a concise embryology course.
PMID- 29637933
TI - Commentary: Ketone Diester Ingestion Impairs Time-Trial Performance in
Professional Cyclists.
PMID- 29637934
TI - Commentary: Open and Laparoscopic Colposuspension in Girls With Refractory
Urinary Incontinence.
PMID- 29637935
TI - Correction: Understanding the needs of professionals who provide psychosocial
care for children and adults with disorders of sex development.
AB - [This corrects the article DOI: 10.1136/bmjpo-2017-000132.][This corrects the
article DOI: 10.1136/bmjpo-2017-000132.].
PMID- 29637936
TI - Mepolizumab for the treatment of eosinophilic granulomatosis with polyangiitis.
AB - Eosinophilic granulomatosis with polyangiitis (EGPA) is a rare but potentially
life-threatening antineutrophil cytoplasmic antibody (ANCA)-associated vasculitis
which affects, to varying degrees, the lungs, paranasal sinuses, heart, kidneys,
skin and peripheral nervous system. It is strongly associated with asthma.
Peripheral eosinophilia is a defining feature of EGPA and eosinophilic
inflammation is often observed in biopsies of affected tissues. Acute and chronic
management focuses on the control of inflammation with systemic corticosteroids
and other immunosuppressants, all of which carry a significant burden of adverse
effects. The development of monoclonal antibody therapies against interleukin-5
(IL-5), the major driver of eosinophilic inflammation, has therefore garnered
significant interest among clinicians treating EGPA, who are hopeful that the
targeted antieosinophilic effects of such drugs observed in large-scale asthma
studies may be replicated in EGPA cohorts. In this review we discuss the features
of EGPA, including the current understanding of the eosinophil's role in its
pathogenesis. We also review the evidence to date regarding the efficacy of
mepolizumab (an anti-IL-5 monoclonal antibody) in severe eosinophilic asthma and
the smaller evidence base regarding its efficacy in EGPA, an indication for which
it recently received U.S. Food and Drug Administration approval. The possible
limitations of mepolizumab in EGPA management are also considered and suggestions
put forward regarding the issues that further studies should seek to explore.
PMID- 29637937
TI - Immune and autoimmune-related adverse events associated with immune checkpoint
inhibitors in cancer therapy.
AB - The recent development of monoclonal antibodies that disinhibit the immune system
from recognizing and attacking tumor cells has revolutionized the treatment of
cancer. Among these agents are drugs that specifically block cytotoxic T
lymphocyte protein 4 (CTLA-4), programmed cell death protein 1 (PD-1) and
programmed cell death 1 ligand 1 (PD-L1) signaling, called immune checkpoint
inhibitors (ICIs). While these agents are generally well tolerated, ICI therapy
can lead to loss of self-tolerance and the development of autoimmunity,
manifesting as immune-related adverse events (IRAEs). Although potentially linked
to increased antitumor responses, the morbidity associated with IRAEs can be
significant and in rare circumstances, fatal. Virtually any organ can be affected
and the patients present with a broad range of signs and symptoms. Moreover, ICIs
have varying IRAEs and have distinct toxicity profiles based on their mechanism
of action. Fortunately, most of the IRAEs can be managed with immunosuppression
and supportive care, but contingent on early recognition and prompt treatment.
With increasing advances in drug development, including combination ICI therapy,
these agents are becoming one of the most prescribed oncology drugs and
clinicians should be knowledgeable about the recognition and management of IRAEs.
PMID- 29637938
TI - Fecal microbiota transplantation for the treatment of patients with ulcerative
colitis and other gastrointestinal conditions beyond Clostridium difficile
infection: an update.
AB - Fecal microbiota transplantation (FMT) is the transplantation of microbial gut
contents from a healthy individual into the gastrointestinal tract of a person
with a disease, with a view to increasing the recipient's gut microbial diversity
and bacterial richness and restoring microbial homeostasis. FMT has been proven
to be a safe and effective treatment for Clostridium difficile infection (CDI)
and it is now a recommended treatment for recurrent or refractory infection. FMT
is not currently recommended for use outside of CDI due to concerns regarding
outcome and safety; however, several case series and randomized controlled trials
have described its use in a research environment for a few gastrointestinal
conditions related to intestinal dysbiosis including ulcerative colitis (UC),
Crohn's disease (CD) and irritable bowel syndrome (IBS). The most successful
reports of the clinical efficacy of FMT in gastrointestinal conditions outside of
CDI have been in treating UC. We summarize the current literature regarding the
use of FMT in UC, including methodology, clinical efficacy and safety concerns,
and identify pitfalls and areas for future development. We also describe the
available evidence to date on the use of FMT in CD, IBS and other conditions
related to intestinal dysbiosi.
PMID- 29637940
TI - Life sciences licensing deals in the fourth quarter of 2017: updates and trends.
AB - During the fourth quarter of 2017, Cortellis Competitive Intelligence registered
1,107 new deals (excluding mergers & acquisitions) as part of its ongoing
coverage of licensing activity in the life sciences sector compared to 1,043 in
the third quarter and 1,035 in the fourth quarter of 2016.
PMID- 29637941
TI - Aptamer-recognized carbohydrates on the cell membrane revealed by super
resolution microscopy.
AB - Carbohydrates are one of the most important components on the cell membrane,
which participate in various physiological activities, and their aberrant
expression is a consequence of pathological changes. In previous studies,
carbohydrate analysis basically relied on lectins. However, discrimination
between lectins still exists due to their multivalent character. Furthermore, the
structures obtained by carbohydrate-lectin crosslinking confuse our direct
observation to some extent. Fortunately, the emergence of aptamers, which are
smaller and more flexible, has provided us an unprecedented choice. Herein, an
aptamer recognition method with high precise localization was developed for
imaging membrane-bound N-acetylgalactosamine (GalNAc). By using direct stochastic
optical reconstruction microscopy (dSTORM), we compared this aptamer recognition
method with the lectin recognition method for visualizing the detailed structure
of GalNAc at the nanometer scale. The results indicated that GalNAc forms
irregular clusters on the cell membrane with a resolution of 23 +/- 7 nm by
aptamer recognition. Additionally, when treated with N-acetylgalactosidase, the
aptamer-recognized GalNAc shows a more significant decrease in cluster size and
localization density, thus verifying better specificity of aptamers than lectins.
Collectively, our study suggests that aptamers can act as perfect substitutes for
lectins in carbohydrate labeling, which will be of great potential value in the
field of super-resolution fluorescence imaging.
PMID- 29637939
TI - The year's new drugs & biologics, 2017, part II - News that shaped the industry
in 2017.
AB - This eagle's-eye overview of the drug industry in 2017 provides insight into some
of last year's top stories, including the growing opioid crisis affecting the
U.S. and other developed countries and the 2017-2018 influenza epidemic, with a
spotlight on the need for a universal flu vaccine. As in previous years, we also
review orphan drug development, new agency-supported programs such as PRIME and
RMAT, pipeline attrition and drug pricing, as well as pharma/biotech mergers and
acquisitions of note. Finally, we take a glimpse into the crystal ball to
anticipate the new drugs that will be approved in 2018.
PMID- 29637942
TI - Band bending and dipole effect at interface of metal-nanoparticles and TiO2
directly observed by angular-resolved hard X-ray photoemission spectroscopy.
AB - This paper describes the observation of band bending and band edge shifts at the
interfaces between nanoscale metals and TiO2 film over a wide depth range by
angular-resolved hard X-ray photoemission spectroscopy (HAXPES). The HAXPES
results indicate strong electrostatic interactions between the TiO2 semiconductor
and metal nanoparticles, while density functional theory (DFT) calculations
suggest that these interactions are primarily associated with charge transfer
leading to electric dipole moments at the interface in the ground state. The
effects of these dipole moments are not limited to the surface but also occur
deep in the bulk of the semiconductor, and are highly dependent on the coverage
of the metal nanoparticles on the semiconductor species.
PMID- 29637943
TI - The pH-dependent elastic properties of nanoscale DNA films and the resultant
bending signals for microcantilever biosensors.
AB - The diverse mechanical properties of nanoscale DNA films on solid substrates have
a close correlation with complex detection signals of micro-/nano-devices. This
paper is devoted to formulating several multiscale models to study the effect of
pH-dependent ionic inhomogeneity on the graded elastic properties of nanoscale
DNA films and the resultant bending deflections of microcantilever biosensors.
First, a modified inverse Debye length is introduced to improve the classical
Poisson-Boltzmann equation for the electrical potential of DNA films to consider
the inhomogeneous effect of hydrogen ions. Second, the graded characteristics of
the particle distribution are taken into consideration for an improvement in
Parsegian's mesoscopic potential for both attraction-dominated and repulsion
dominated films. Third, by the improved interchain interaction potential and the
thought experiment about the compression of a macroscopic continuum DNA bar, we
investigate the diversity of the elastic properties of single-stranded DNA
(ssDNA) films due to pH variations. The relevant theoretical predictions
quantitatively or qualitatively agree well with the relevant DNA experiments on
the electrical potential, film thickness, condensation force, elastic modulus,
and microcantilever deflections. The competition between attraction and repulsion
among the fixed charges and the free ions endows the DNA film with mechanical
properties such as a remarkable size effect and a non-monotonic behavior, and a
negative elastic modulus is first revealed in the attraction-dominated ssDNA
film. There exists a transition between the pH-sensitive parameter interval and
the pH-insensitive one for the bending signals of microcantilevers, which is
predominated by the initial stress effect in the DNA film.
PMID- 29637944
TI - Extra thermo- and water-stable one-dimensional organic-inorganic hybrid
perovskite [N-methyldabconium]PbI3 showing switchable dielectric behaviour,
conductivity and bright yellow-green emission.
AB - Haloplumbate-based perovskites display promising functionalities for advanced
photovoltaic, optoelectronic and other applications with high performances and
low costs. Herein, we present a study of variable-temperature crystal structures,
dielectrics and conductance at 153-513 K, and luminescence at ambient temperature
for a one-dimensional organic-inorganic perovskite, [N-methyldabconium]PbI3 (1).
Hybrid 1 shows extra thermo- and water-stability (thermal decomposition at ca.
653 K), switchable dielectric behaviour and conductance at around 348 K, owing to
symmetry-breaking structure phase transition from the hexagonal space group
P63/mmc in the high-temperature phase to the orthogonal space group Pcba in the
low-temperature phase, and bright yellow-green emission at room temperature,
originating from the electron transition within the semiconducting {PbI3}infinity
chains. This study will broaden the scope of lead halide-based hybrid materials
for practical application in optical and electrical devices.
PMID- 29637945
TI - Drop spreading and gelation of thermoresponsive polymers.
AB - Spreading and solidification of liquid droplets are elementary processes of
relevance for additive manufacturing. Here we investigate the effect of heat
transfer on spreading of a thermoresponsive solution (Pluronic F127) that
undergoes a sol-gel transition above a critical temperature Tm. By controlling
the concentration of Pluronic F127 we systematically vary Tm, while also imposing
a broad range of temperatures of the solid and the liquid. We subsequently
monitor the spreading dynamics over several orders of magnitude in time and
determine when solidification stops the spreading. It is found that the main
parameter is the difference between the substrate temperature and Tm, pointing to
a local mechanism for arrest near the contact line. Unexpectedly, the spreading
is also found to stop below the gelation temperature, which we attribute to a
local enhancement in polymer concentration due to evaporation near the contact
line.
PMID- 29637946
TI - Stemmed DNA nanostructure for the selective delivery of therapeutics.
AB - DNA has emerged as a biocompatible biomaterial that may be considered for various
applications. Here, we report tumor cell-specific aptamer-modified DNA
nanostructures for the specific recognition and delivery of therapeutic chemicals
to cancer cells. Protein tyrosine kinase (PTK)7-specific DNA aptamer sequences
were linked to 15 consecutive guanines. The resulting aptamer-modified product,
AptG15, self-assembled into a Y-shaped structure. The presence of a G-quadruplex
at AptG15 was confirmed by circular dichroism and Raman spectroscopy. The utility
of AptG15 as a nanocarrier of therapeutics was tested by loading the
photosensitizer, methylene blue (MB), to the G-quadruplex as a model drug. The
generated MB-loaded AptG15 (MB/AptG15) showed specific and enhanced uptake to
CCRF-CEM cells, which overexpress PTK7, compared with Ramos cells, which lack
PTK7, or CCRF-CEM cells treated with a PTK7-specific siRNA. The therapeutic
activity of MB/AptG15 was tested by triggering its photodynamic effects. Upon 660
nm light irradiation, MB/AptG15 showed greater reactive oxygen species generation
and anticancer activity in PTK7-overexpressing cells compared to cells treated
with MB alone, those treated with AptG15, and other comparison groups. AptG15
stemmed DNA nanostructures have significant potential for the cell-type-specific
delivery of therapeutics, and possibly for the molecular imaging of target cells.
PMID- 29637947
TI - Coordination ability determined transition metal ions substitution of Tb in Tb
Asp fluorescent nanocrystals and a facile ions-detection approach.
AB - Although the synthesis and fluorescent properties of lanthanide-amino acid
complex nanostructures have been investigated extensively, limited studies have
been reported on metal ions' substitution ability for the lanthanide ions in the
complex and their effect on the fluorescent property. In this study, taking
biocompatible Tb-aspartic acid (Tb-Asp) complex nanocrystals as a model, the
substitution mechanism of metal ions, particularly transition metals, for Tb ions
in Tb-Asp nanocrystals and the change in the fluorescent property of the Tb-Asp
nanocrystals after substitution were systematically investigated. The
experimental results illustrated that metal ions with higher electronegativity,
higher valence, and smaller radius possess stronger ability for Tb ions'
substitution in Tb-Asp nanocrystals. Based on the effect of substituting ions'
concentration on the fluorescent property of Tb-Asp, a facile method for copper
ions detection with high sensitivity was proposed by measuring the fluorescent
intensity of Tb-Asp nanocrystals' suspensions containing different concentrations
of copper ions. The good biocompatibility, great convenience of synthesis and
sensitive detection ability make Tb-Asp nanocrystals a very low cost and
effective material for metal ions detection, which also opens a new door for
practical applications of metal-Asp coordinated nanocrystals.
PMID- 29637948
TI - Encapsulation of ionic nanoparticles produces reactive oxygen species (ROS)
responsive microgel useful for molecular detection.
AB - Encapsulation of ionic nanoparticles in a hydrogel microparticle, i.e. microgel,
produces a target-stimulated probe for molecular detection. Selective reactive
oxygen species (ROS) release the enclosed cations from the microgel which
subsequently turn on the fluorogenic dyes to emit intense fluorescence,
permitting rapid detection of ROS or ROS-producing molecules. The ROS-responsive
microgel provides the advantages of simple fabrication, bright and stable
signals, easy handling, and rapid response, carrying great promise in biomedical
applications.
PMID- 29637949
TI - Sensitive and simultaneous surface plasmon resonance detection of free and p53
bound MDM2 proteins from human sarcomas.
AB - Murine double minute 2 (MDM2) is an oncoprotein mediating the degradation of the
tumor suppressor p53 protein. The physiological levels of MDM2 protein are
closely related to malignant transformation and tumor growth. In this work, the
simultaneous and label-free determination of free and p53-bound MDM2 proteins
from sarcoma tissue extracts was conducted using a dual-channel surface plasmon
resonance (SPR) instrument. Free MDM2 protein was measured in one fluidic channel
covered with the consensus double-stranded (ds)-DNA/p53 conjugate, while MDM2
bound to p53 was captured by the consensus ds-DNA immobilized onto the other
channel. To achieve higher sensitivity and to confirm specificity, an MDM2
specific monoclonal antibody (2A10) was used to recognize both the free and p53
bound MDM2 proteins. The resultant method afforded a detection limit of 0.55 pM
of MDM2. The amenability of the method to the analysis of free and p53-bound MDM2
proteins was demonstrated for normal and sarcoma tissue extracts from three
patients. Our data reveal that both free and total MDM2 (free and bound forms
combined) proteins from sarcoma tissue extracts are of much higher concentrations
than those from normal tissue extracts and the p53-bound MDM2 protein only
constitutes a small fraction of the total MDM2 concentration. In comparison with
enzyme-linked immunosorbent assay (ELISA), the proposed method possesses higher
sensitivity, is more cost-effective, and is capable of determining free and p53
bound MDM2 proteins in clinical samples.
PMID- 29637950
TI - Phosphate glasses via coacervation route containing CdFe2O4 nanoparticles:
structural, optical and magnetic characterization.
AB - CdFe2O4 nanoparticles of around 3.9 nm were synthesized using the coprecipitation
method and protected by a silica layer. The nanoparticles were mixed with a
coacervate and transformed into phosphate glasses with 1, 4 and 8% in mass of
nanoparticles by the melt-quenching method. TEM images confirm that the
nanoparticles were successfully incorporated into the matrix without inducing
crystallization. 31P NMR and Raman spectral analyses show that new P-O-Si bonds
are formed in the glasses containing nanoparticles. The glass transition
increases as a function of the nanoparticle content due to an increase in the
connectivity of the phosphate glass chains. The UV-Vis spectra show bands at 415
and 520 nm assigned to Fe3+ ions and at 1025 nm, characteristic of Fe2+ ions,
indicating that some of the nanoparticles dissolve during the melting process.
The sample with 8% CdFe2O4 presents a paramagnetic behavior. The glasses obtained
are transparent, non-hygroscopic and possess enormous thermal stability which is
important for the production of optical devices.
PMID- 29637951
TI - Nanocluster superstructures or nanoparticles? The self-consuming scaffold
decides.
AB - We show that using the same reaction procedure, by hindering or allowing the
formation of a reaction intermediate, the Ag+dodecanethiolate polymeric complex,
it is possible to selectively obtain Ag dodecanethiolate nanoparticles or Ag
dodecanethiolate nanoclusters in the size range 4-2 nm. Moreover, the Ag
dodecanethiolate nanoclusters display a lamellar superstructure templated from
the precursor Ag+dodecanethiolate polymeric complex. A plausible formation
mechanism is illustrated where, starting from the precursor and scaffold lamellar
Ag+ thiolate polymeric complex, first the nanocluster Agn0 core is formed by
reduction of isoplanar Ag+ ions, followed by Ag+ thiolate units that build
protection, the nanocluster shell, around the core. The nanoclusters are
characterized by elemental analyses, XRD, ATR-FTIR, XPS, XAS, MALDI, ESI, UV-Vis
and fluorescence measurements. The luminescent Ag15(dodecanethiolate)11.2H2O
nanocluster is achieved in good yield after 4 hours of reaction whereas after 2
hours, the luminescent Ag35(dodecanethiolate)16 is isolated. Both Ag nanoclusters
present emission bands in the range 330-450 nm, the shifting depending on the
excitation wavelength. This phenomenon is attributed to a possible dipolar state
causing distribution in energies due to variability of dipole-dipole
interactions. Moreover, both nanoclusters further present a NIR emission at about
700 nm independent from the excitation wavelength. Thanks to their optical and
structural properties, the synthesized nanoclusters, perfect
molecular/nanoparticle hybrids, have great potentiality for new applications in
nanotechnologies.
PMID- 29637952
TI - Elucidating ultrafast electron dynamics at surfaces using extreme ultraviolet
(XUV) reflection-absorption spectroscopy.
AB - Here we review the recent development of extreme ultraviolet reflection
absorption (XUV-RA) spectroscopy. This method combines the benefits of X-ray
absorption spectroscopy, such as element, oxidation, and spin state specificity,
with surface sensitivity and ultrafast time resolution, having a probe depth of
only a few nm and an instrument response less than 100 fs. Using this technique
we investigated the ultrafast electron dynamics at a hematite (alpha-Fe2O3)
surface. Surface electron trapping and small polaron formation both occur in 660
fs following photoexcitation. These kinetics are independent of surface
morphology indicating that electron trapping is not mediated by defects. Instead,
small polaron formation is proposed as the likely driving force for surface
electron trapping. We also show that in Fe2O3, Co3O4, and NiO, band gap
excitation promotes electron transfer from O 2p valence band states to metal 3d
conduction band states. In addition to detecting the photoexcited electron at the
metal M2,3-edge, the valence band hole is directly observed as transient signal
at the O L1-edge. The size of the resulting charge transfer exciton is on the
order of a single metal-oxygen bond length. Spectral shifts at the O L1-edge
correlate with metal-oxygen bond covalency, confirming the relationship between
valence band hybridization and the overpotential for water oxidation. These
examples demonstrate the unique ability to measure ultrafast electron dynamics
with element and chemical state resolution using XUV-RA spectroscopy.
Accordingly, this method is poised to play an important role to reveal chemical
details of previously unseen surface electron dynamics.
PMID- 29637953
TI - Oxidation of substituted aromatic hydrocarbons in the tropospheric aqueous phase:
kinetic mechanism development and modelling.
AB - Monocyclic aromatic compounds are ubiquitous in the polluted troposphere and
contribute to the formation of tropospheric ozone and anthropogenic secondary
organic aerosol, including brown carbon. Currently available physico-chemical
data including aqueous-phase kinetic and mechanistic data, as well as phase
transfer parameters have been compiled and reviewed, to construct a novel aqueous
phase oxidation mechanism for monocyclic aromatic compounds. The performed
chemical mechanism development results in a comprehensive aqueous-phase oxidation
mechanism (addressed as CAPRAM-AM1.0), which includes 292 processes considering
the oxidation of different aromatic compounds. Detailed numerical simulations
with the air parcel model SPACCIM are carried out for different urban
environmental and seasonal conditions. Results show that the aqueous-phase
chemistry of aromatic compounds, particularly in clouds, increases the organic
aerosol mass by up to 10% in total. The absolute contribution to aqSOA in
summertime is modelled to be 260 ng m-3 and 1.2 MUg m-3 under moderate and
strongly polluted conditions, respectively. Aqueous-phase oxidations of aromatic
compounds are important not only for the degradation, but also for the formation
of nitrated aromatic compounds. In-cloud chemistry contributes up to 54% to the
nitrocatechol oxidation and up to 37% to its formation under polluted
tropospheric conditions. Besides, nitrated aromatic compounds contribute up to
5.4 MUg m-3 to modelled brown carbon concentration in cloud droplets and 140 ng m
3 in aerosol particles. Further, the model simulations indicate that besides OH
radical oxidations, aromatic compounds with two hydroxyl groups are also strongly
oxidised by O3 and HO2. O3 contributes with 49% to 68% and HO2 with 19% to 22% to
the aqueous-phase oxidation of catechol under moderate and strong polluted
environmental conditions studied.
PMID- 29637954
TI - Forces, energetics, and dynamics of conjugated-carbon ring tethers adhered to
CNTs: a computational investigation.
AB - The strength and nature of the interactions between carbon nanotubes (CNTs) and
molecular tethers plays a vital role in technology such as CNT-enzyme sensors.
Tethers that attach noncovalently to CNTs are ideal for retaining the electrical
properties of the CNTs since they do not degrade the CNT surface and effect its
electrical conductivity. However, leaching due to weak CNT-tether attachment is
very common when using noncovalent tethers, and this has limited their use in
commercial products including biosensors. Thus, understanding the fundamental
mechanics governing the strength of CNT-tether adhesion is crucial for the design
of highly sensitive, viable sensors. Here, we computationally investigate the
adhesion strength of CNT-tether complexes with 8 different tethering molecules
designed to adhere noncovalently to the CNT surface. We study the effects of CNT
diameter, CNT chirality, and the size/geometry of the tethering molecule on the
adhesion energy and force. Our results show an asymptotic relationship between
adhesion strength and CNT diameter. Calculations show that noncovalent tethers
tested here can reach adhesion forces and energies that are up to 21% and 54% of
the strength of the carbon-carbon single bond force and bond energy respectively.
We anticipate our results will help guide CNT-enzyme sensor design to produce
sensors with high sensitivity and minimal leaching.
PMID- 29637956
TI - Self-propagated combustion synthesis of few-layered graphene: an optical
properties perspective.
AB - This paper describes a labour efficient and cost-effective strategy to prepare
few-layered of reduced graphene oxide like (RGOL) sheets from graphite. The self
propagated combustion route enables the bulk production of RGOL sheets.
Microscopic and spectroscopic analyses confirmed the formation of few-layer
graphene sheets of an average thickness of ~3 nm and the presence of some oxygen
functional groups with a C/O ratio of 8.74. A possible mechanistic pathway for
the formation of RGOL sheets is proposed. The optical properties of the RGOL
sample were studied in detail by means of Spectroscopic Ellipsometry (SE). The
experimental abilities of SE in relating the optical properties with the number
of oxygen functionalities present in the samples are explored. The data were
analysed by a double-layered optical model along with the Drude-Lorentz
oscillatory dispersion relation. The refractive index (n = 2.24), extinction
coefficient (k = 2.03), and dielectric functions are obtained using point-by
point analysis and are also checked for Kramers-Kronig (KK) consistency.
PMID- 29637955
TI - Co-solvent effects on reaction rate and reaction equilibrium of an enzymatic
peptide hydrolysis.
AB - This work presents an approach that expresses the Michaelis constant KaM and the
equilibrium constant Kth of an enzymatic peptide hydrolysis based on
thermodynamic activities instead of concentrations. This provides KaM and Kth
values that are independent of any co-solvent. To this end, the hydrolysis
reaction of N-succinyl-l-phenylalanine-p-nitroanilide catalysed by the enzyme
alpha-chymotrypsin was studied in pure buffer and in the presence of the co
solvents dimethyl sulfoxide, trimethylamine-N-oxide, urea, and two salts. A
strong influence of the co-solvents on the measured Michaelis constant (KM) and
equilibrium constant (Kx) was observed, which was found to be caused by molecular
interactions expressed as activity coefficients. Substrate and product activity
coefficients were used to calculate the activity-based values KaM and Kth for the
co-solvent free reaction. Based on these constants, the co-solvent effect on KM
and Kx was predicted in almost quantitative agreement with the experimental data.
The approach presented here does not only reveal the importance of understanding
the thermodynamic non-ideality of reactions taking place in biological solutions
and in many technological applications, it also provides a framework for
interpreting and quantifying the multifaceted co-solvent effects on enzyme
catalysed reactions that are known and have been observed experimentally for a
long time.
PMID- 29637957
TI - (Poly)cation-induced protection of conventional and wireframe DNA origami
nanostructures.
AB - DNA nanostructures hold immense potential to be used for biological and medical
applications. However, they are extremely vulnerable towards salt depletion and
nucleases, which are common under physiological conditions. In this contribution,
we used chitosan and linear polyethyleneimine for coating and long-term
stabilization of several three-dimensional DNA origami nanostructures. The impact
of the degree of polymerization and the charge density of the polymer together
with the N/P charge ratio (ratio of the amines in polycations to the phosphates
in DNA) on the stability of encapsulated DNA origami nanostructures in the
presence of nucleases and in low-salt media was examined. The polycation shells
were compatible with enzyme- and aptamer-based functionalization of the DNA
nanostructures. Additionally, we showed that despite being highly vulnerable to
salt depletion and nucleolytic digestion, self-assembled DNA nanostructures are
stable in cell culture media up to a week. This was contrary to unassembled DNA
scaffolds that degraded in one hour, showing that placing DNA strands into a
spatially designed configuration crucially affect the structural integrity. The
stability of naked DNA nanostructures in cell culture was shown to be mediated by
growth media. DNA origami nanostructures kept in growth media were significantly
more resistant towards low-salt denaturation, DNase I and serum-mediated
digestion than when in a conventional buffer. Moreover, we confirmed that DNA
origami nanostructures remain not only structurally intact but also fully
functional after exposure to cell media. Agarose gel electrophoresis and negative
stain transmission electron microscopy analysis revealed the hybridization of DNA
origami nanostructures to their targets in the presence of serum proteins and
nucleases. The structural integrity and functionality of DNA nanostructures in
physiological fluids validate their use particularly for short-time biological
applications in which the shape and structural details of DNA nanodevices are
functionally critical.
PMID- 29637958
TI - Visualizing a core-shell structure of heavily doped silicon quantum dots by
electron microscopy using an atomically thin support film.
AB - We successfully visualize a core-shell structure of a heavily B and P codoped Si
quantum dot (QD) by transmission electron microscopy using an ultra-thin graphene
oxide support film. The enhanced contrast reveals that a codoped Si QD has a
highly crystalline Si core and an amorphous shell composed of Si, B and P.
PMID- 29637959
TI - Stable micelles based on a mixture of coiled-coils: the role of different
oligomeric states.
AB - Homomeric micelles with tunable size, shape and stability have been extensively
studied for biomedical applications such as drug carriers. However, designing the
local valency and self-assembled morphology of nanophase-separated multicomponent
micelles with varied ligand binding possibilities remains challenging. Here, we
present micelles self-assembled from amphiphilic peptide-PEG-lipid hybrid
conjugates, where the peptides can be either a 3-helix or 4-helix coiled-coil. We
demonstrate that the micelle size and sphericity can be controlled based on the
coiled-coil oligomeric state. Using theory and coarse-grained dissipative
particle dynamics (DPD) simulations in an explicit solvent simulation, we studied
the distribution of 3-helix and 4-helix conjugates within the mixed micelles and
observed self-organization into nanodomains within the mixed micelle. We
discovered that the phase separation behavior is dictated by the geometry
mismatch in the alkyl chain length from different coiled-coil oligomeric states.
Our analyses of the self-assembly tendency and drug delivery potency of mixed
micelles with controlled multivalency provide important insights into the
assembly and formation of nanophase-separated micelles.
PMID- 29637960
TI - The reductive aromatization of naphthalene diimide: a versatile platform for 2,7
diazapyrenes.
AB - The reductive aromatization of naphthalene diimide provides tetrapivaloxy-2,7
diazapyrene, which serves as a versatile platform toward peripherally substituted
2,7-diazapyrenes. Time-resolved microwave conductivity measurements demonstrated
that the intrinsic electron mobility of 2,7-diazapyrene is significantly higher
than that of the corresponding pyrene.
PMID- 29637961
TI - Humidity- and light-driven actuators based on carbon nanotube-coated paper and
polymer composite.
AB - Multi-responsive actuators driven by different stimuli (e.g. light, humidity,
electricity) have attracted intense attention recently for the advantages of
being used in various environments and show enormous actuation. In this work, we
propose humidity- and light-driven actuators based on carbon nanotube (CNT)
coated paper and a biaxially oriented polypropylene (BOPP) composite. The CNT
paper/BOPP actuator shows large bending actuation when driven by humidity change
(curvature of 1.2 cm-1) and near infrared (NIR) light irradiation (curvature up
to 1.6 cm-1). The great actuation performances outperform most other paper-based
actuators. Finally, a smart gripper, of which the initial opening width can be
enlarged, is fabricated on the basis of the CNT-paper/BOPP actuators. By
utilizing the bidirectional bending motion of the actuator, the opening width of
the gripper can increase to a width that is 4 times larger than its initial
width, so as to grasp a large object. The gripper is also able to raise and move
an object that is 20 times heavier than one actuator of the gripper. We assume
that this new type of actuator has great potential in artificial muscle, soft
robotics and biomimetic applications.
PMID- 29637962
TI - Direct observation of Ru-alkylidene forming into ethylene in ring-closing
metathesis from hyperpolarized 1H NMR.
AB - Ring-closing metathesis was monitored using real-time NMR of 1H hyperpolarized
olefins at room temperature. By applying a selective saturation to an observable
intermediate, its protons were found to transfer to ethylene. The intermediate
was thus identified as a Ru-alkylidene species, which appears in the ethylene
formation pathway.
PMID- 29637963
TI - Tuning the plasmonic response of TiN nanoparticles synthesised by the transferred
arc plasma technique.
AB - Titanium nitride exhibits plasmonic behaviour in the visible and NIR region.
Combined with a refractory nature, it can be an attractive alternate plasmonic
material useful in many applications. Despite the plethora of methods to produce
TiN nanoparticles, it remains challenging to generate high quality TiN
nanoparticles efficiently. Here we demonstrate the transferred arc plasma
technique as a viable way to synthesise TiN nanoparticles. We show here that
modulating the processing conditions can control the optical properties and tune
the plasmonic response rendering the application of TiN nanoparticles viable
across many applications.
PMID- 29637964
TI - Orienting proteins by nanostructured surfaces: evidence of a curvature-driven
geometrical resonance.
AB - Experimental and theoretical reports have shown that nanostructured surfaces have
a dramatic effect on the amount of protein adsorbed and the conformational state
and, in turn, on the performances of the related devices in tissue engineering
strategies. Here we report an innovative method to prepare silica-based
nanostructured surfaces with a reproducible, well-defined local curvature,
consisting of ordered hexagonally packed arrays of curved hemispheres, from
nanoparticles of different diameters (respectively 147 nm, 235 nm and 403 nm).
The nanostructured surfaces have been made chemically homogeneous by partially
embedding silica nanoparticles in poly(hydroxymethylsiloxane) films, further
modified by means of UV-O3 treatments. This paper has been focused on the
experimental and theoretical study of laminin, taken as a model protein, to study
the nanocurvature effects on the protein configuration at nanostructured
surfaces. A simple model, based on the interplay of electrostatic interactions
between the charged terminal domains of laminin and the nanocurved charged
surfaces, closely reproduces the experimental findings. In particular, the model
suggests that nanocurvature drives the orientation of rigid proteins by means of
a "geometrical resonance" effect, involving the matching of dimensions, charge
distribution and spatial arrangement of both adsorbed molecules and adsorbent
nanostructures. Overall, the results pave the way to unravel the nanostructured
surface effects on the intra- and inter-molecular organization processes of
proteins.
PMID- 29637965
TI - Products and mechanism of the OH-initiated photo-oxidation of perfluoro ethyl
vinyl ether, C2F5OCF[double bond, length as m-dash]CF2.
AB - The OH-initiated photo-oxidation of perfluoro ethyl vinyl ether (C2F5OCF[double
bond, length as m-dash]CF2, PEVE) in air (298 K, 50 and 750 Torr total pressure)
was studied in a photochemical reactor using in situ detection of PEVE and its
products by Fourier transform IR absorption spectroscopy. The relative rate
technique was used to derive the rate coefficient, k1, for the reaction of PEVE
with OH as k1 = (2.8 +/- 0.3) * 10-12 cm3 molecule-1 s-1. The photo-oxidation of
PEVE in the presence of NOx at 1 bar results in formation of C2F5OCFO, FC(O)C(O)F
and CF2O in molar yields of 0.50 +/- 0.07, 0.46 +/- 0.07 and 1.50 +/- 0.22,
respectively. FC(O)C(O)F and CF2O are formed partially in secondary, most likely
heterogeneous processes. At a reduced pressure of 50 Torr, the product
distribution is shifted towards formation of FC(O)C(O)F, indicating the important
role of collisional quenching of initially formed association complexes, and
enabling details of the reaction mechanism to be elucidated. An atmospheric photo
oxidation mechanism for PEVE is presented and the environmental implications of
PEVE release and degradation are discussed.
PMID- 29637966
TI - Singular wavelength dependence on the sensitization of lanthanides by graphene
quantum dots.
AB - In this manuscript, we study the sensitization of Tb3+ ions by the excited state
of GQD. We found that Tb3+ cations can bind to GQDs and display
photoluminescence. Excitation dependent experiments show that the Tb3+ emission
is stronger at shorter excitation wavelengths, which is likely due to pseudo
isolated small aromatic moieties produced during the synthesis of the GQDs.
PMID- 29637967
TI - An etching-assisted route for fast and large-scale fabrication of non-layered
palladium nanosheets.
AB - To date, great progress has been made in the shape-controlled synthesis of noble
metal nanocrystals. However, there still exists a major gap between academic
studies and industrial applications due to the inability to produce nanocrystals
in large quantities while retaining their uniformity. To help fill this gap,
herein, we provide a new route to scale up and accelerate the production of non
layered palladium nanosheets (Pd NSs) by incorporating etching while retaining
effective capping during the synthesis. The key to this rapid synthesis is the
etching induced by selected etchants (e.g., Fe3+/Fe2+, Cl-/O2, Br-/O2, and I
/O2). Specifically, this synthesis can be accomplished within 3 min, reaching a
yield as high as 7.2 g L-1 h-1. The thickness of Pd NSs can be tuned to 1.6, 2.0,
2.3, and 3.5 nm by controlling the etching and reducing rates via choosing
different type of etchants. Moreover, these non-layered Pd NSs are fabricated in
an aqueous solution without the addition of any organic compounds; therefore, the
surface of these NSs is extremely clean. When used as a catalyst for the formic
acid oxidation reaction, the as-prepared non-layered Pd NSs exhibit a mass
activity as high as 1350 mA mg-1, which is 3.7 times higher than that of
commercial Pd/C, due to their much larger electrochemical surface area (66.2 m2 g
1, which is 2.7 times higher than that of commercial Pd/C).
PMID- 29637968
TI - The structural isomerism in gold nanoclusters.
AB - The isomerism in thiolate-protected gold (Au) nanoclusters is important for the
understanding of structure-property correlations and the design of Au
nanoclusters with specific structures and properties. Although recent studies
have identified stereoisomerism, the understanding of structural isomerism is
still lacking. Herein, we identified three distinct mechanisms of structural
isomerism (i.e., core isomerism, staple isomerism, and complex isomerism) based
on the crystallized isomers of thiolate-protected Au nanoclusters, and these
mechanisms can be viewed as analogous to those of the structural isomerism in
organic molecules (i.e., chain isomerism, point isomerism, and functional
isomerism). Using the discovered core isomerism and staple isomerism, two
Au28(SR)20 isomers are predicted and their synthesis feasibilities are
illuminated. These new insights into the structural isomerism can facilitate
rational design of new isomers of thiolate-protected Au nanoclusters and guide
future experimental synthesis.
PMID- 29637969
TI - Unconventional two-dimensional germanium dichalcogenides.
AB - The recently discovered two-dimensional (2D) group IV chalcogenides attract much
attention owing to their novel electronic and photonic properties. All the
reported materials of this class favor (distorted) octahedral coordination via p
bonding; by contrast, in the dichalcogenides where the bonding tendency
approaches sp3, no corresponding 2D phase has been realized so far. Here, by
engineering the composition of a chalcogenide heterostructure, the hitherto
elusive GeTe2 is experimentally observed in a confined 2D environment. Density
functional theory simulations predict the existence of a freestanding monolayer
of octahedrally coordinated GeTe2 under tensile strain, and the existence of
GeSe2 and GeS2 in the same form under equilibrium conditions. These 2D germanium
dichalcogenides are either metallic or narrow gap semiconducting, and may lead to
new applications in nanoscale electronics.
PMID- 29637971
TI - MoS2 nano flakes with self-adaptive contacts for efficient thermoelectric energy
harvesting.
AB - We examine the potential of the low-dimensional material MoS2 for the efficient
conversion of waste heat to electricity via the Seebeck effect. Recently
monolayer MoS2 nano flakes with self-adaptive Mo6S6 contacts were formed, which
take advantage of mechanical stability and chemical covalent bonding to the MoS2.
Here, we study the thermoelectric properties of these junctions by calculating
their conductance, thermopower and thermal conductance due to both electrons and
phonons. We show that thermoelectric figures of merit ZT as high as ~2.8 are
accessible in these junctions, independent of the flake size and shape, provided
the Fermi energy is close to a band edge. We show that Nb dopants as substituents
for Mo atoms can be used to tune the Fermi energy, and despite the associated
inhomogeneous broadening, room temperature values as high as ZT ~ 0.6 are
accessible, increasing to 0.8 at 500 K.
PMID- 29637970
TI - Silver nanowires for highly reproducible cantilever based AFM-TERS microscopy:
towards a universal TERS probe.
AB - Tip-enhanced Raman scattering (TERS) microscopy is a unique analytical tool to
provide complementary chemical and topographic information of surfaces with
nanometric resolution. However, difficulties in reliably producing the necessary
metallized scanning probe tips has limited its widespread utilisation,
particularly in the case of cantilever-based atomic force microscopy. Attempts to
alleviate tip related issues using colloidal or bottom-up engineered tips have so
far not reported consistent probes for both Raman and topographic imaging. Here
we demonstrate the reproducible fabrication of cantilever-based high-performance
TERS probes for both topographic and Raman measurements, based on an approach
that utilises noble metal nanowires as the active TERS probe. The tips show 10
times higher TERS contrasts than the most typically used electrochemically-etched
tips, and show a reproducibility for TERS greater than 90%, far greater than
found with standard methods. We show that TERS can be performed in tapping as
well as contact AFM mode, with optical resolutions around or below 15 nm, and
with a maximum resolution achieved in tapping-mode of 6 nm. Our work illustrates
that superior TERS probes can be produced in a fast and cost-effective manner
using simple wet-chemistry methods, leading to reliable and reproducible high
resolution and high-sensitivity TERS, and thus renders the technique applicable
for a broad community.
PMID- 29637972
TI - On the evaporation kinetics of [60] fullerene in aromatic organic solvents.
AB - We investigate the effect of C60 fullerene nanospheres on the evaporation
kinetics of a number of aromatic solvents with different levels of molecular
association, namely, benzene, toluene, and chlorobenzene. The dependence of the
evaporation rate on the fullerene concentration is not monotonic but rather
exhibits maxima and minima. The results strongly support the notion of molecular
structuring within the liquid solvent controlled by the nature of the
fullerene/solvent interaction and the level of molecular association within the
solvent itself.
PMID- 29637973
TI - A chemical/molecular 4-input/2-output keypad lock with easy resettability based
on red-emission carbon dots-Prussian blue composite film electrodes.
AB - In this work, a resettable 4-input/2-output keypad lock system based on red
emission carbon dots (rCDs) and Prussian blue (PB) modified electrodes was
developed. Electrochromic PB layers were first electrochemically deposited on the
indium tin oxide (ITO) electrode surface. An admixture of rCDs and chitosan (Chi)
was then cast on the surface of PB layers, forming rCDs-Chi/PB film electrodes.
UV-vis absorption of the films was sensitive to the applied potential since the
blue PB constituent of the films would be transformed to nearly colorless
Prussian white (PW) at the reduction potential of -0.2 V and then from PW back to
PB at the oxidation potential of 0.4 V, and the transformation between PB and PW
would also influence the fluorescence emission of the rCD constituent in the
films. The addition of cysteine (Cys) in the testing solution could reduce the PB
in the films into PW and generate an amperometric electrocatalytic current at 0.4
V. Meanwhile, the addition of Fe3+ in solution could greatly quench the
fluorescence from the rCD component in the films. Thus, the responses of UV-vis
absorbance, fluorescence emission and amperometric current of the rCDs-Chi/PB
film electrode system exhibited potential-, Cys- and Fe3+-responsive switching
properties. Based on the aforementioned work, a combinational logic gate circuit
with 3 inputs and 3 outputs was established. In particular, on the same platform,
a novel chemical/molecular 4-input/2-output keypad lock with easy resettability
was elaborately designed with amperometric current and fluorescence peak
intensity as two different types of outputs, so that a higher security level
could be achieved.
PMID- 29637974
TI - Growth of Au and ZnS nanostructures via engineered peptide and M13 bacteriophage
templates.
AB - We demonstrate directed nucleation of Au and ZnS patterns on templates comprised
of functional peptides and an M13 bacteriophage. We discuss the control over
nucleation in terms of the interplay between enhanced ion binding and reduced
interfacial energy resulting from the presence of the templates.
PMID- 29637975
TI - 2,3-Diaroyl benzofurans from arynes: sequential synthesis of 2-aroyl benzofurans
followed by benzoylation.
AB - A cascade synthetic strategy for the direct synthesis of 2-aroyl benzofurans from
aryne precursors has been developed. This reaction proceeds via C-O and C-C bond
cleavage as well as C-O and C-C bond formation in a single reaction vessel. The
methodology provides good yields of 2-aroyl benzofurans and tolerates a variety
of functional groups. The synthesized 2-aroyl benzofurans were further
benzoylated at 3-positions and one of the synthesized 2,3-diaroyl benzofuran
structures was confirmed unambiguously by X-ray crystallography.
PMID- 29637976
TI - Gravitational collapse of colloidal gels: non-equilibrium phase separation driven
by osmotic pressure.
AB - Delayed gravitational collapse of colloidal gels is characterized by initially
slow compaction that gives way to rapid bulk collapse, posing interesting
questions about the underlying mechanistic origins. Here we study gel collapse
utilizing large-scale dynamic simulation of a freely draining gel of physically
bonded particles subjected to gravitational forcing. The hallmark regimes of
collapse are recovered: slow compaction, transition to rapid collapse, and long
time densification. Microstructural changes are monitored by tracking particle
positions, coordination number, and bond dynamics, along with volume fraction,
osmotic pressure, and potential energy. Together these reveal the surprising
result that collapse can occur with a fully intact network, where the tipping
point arises when particle migration dissolves strands in a capillary-type
instability. While it is possible for collapse to rupture a gel network into
clusters that then sediment, and hydrodynamic interactions can make interesting
contributions, neither is necessary. Rather, we find that the "delay" arises from
gravity-enhanced coarsening, which triggers the re-emergence of phase separation.
The mechanism of this transition is a leap toward lower potential energy of the
gel, driven by bulk negative osmotic pressure that condenses the particle phase:
the gel collapses in on itself under negative osmotic pressure allowing the gel,
to tunnel through the equilibrium phase diagram to a higher volume fraction
"state". Remarkably, collapse stops when condensation stops, when gravitational
advection produces a positive osmotic pressure, re-arresting the gel.
PMID- 29637977
TI - Light-weight 3D Co-N-doped hollow carbon spheres as efficient electrocatalysts
for rechargeable zinc-air batteries.
AB - Rational design of cost-effective, nonprecious metal-based catalysts with a
desirable oxygen reduction reaction (ORR) performance by a simple and economical
synthesis route is a great challenge for the commercialization of future fuel
cell and metal-air batteries. Herein, light-weight 3D Co-N-doped hollow carbon
spheres (Co-NHCs) have been fabricated via a facile emulsion approach followed by
carbonization. The prepared 0.1-Co-NHCs catalyst with suitable Co doping content
exhibits favorable ORR catalytic activity (onset potential of 0.99 V and half
wave potential of 0.81 V vs. RHE), comparable to that of commercial Pt-C (onset
potential of 1.02 V and half-wave potential of 0.83 V vs. RHE) and rivals that of
Pt-C with better cycling stability. The excellent performance of the catalyst is
attributed to the synergetic effect of Co and N doping with a high total ratio of
active sites, high surface area and good conductivity of the material. More
impressively, the assembled rechargeable zinc-air batteries based on the 0.1-Co
NHCs catalyst outperform those afforded by commercial Pt-C. The progress
presented in this reported work is of great importance in the development of
outstanding non-noble metal based electrocatalysts for the fuel cell and metal
air battery industry.
PMID- 29637978
TI - High-temperature solvent-free sulfidation of MoO3 confined in a polypyrrole
shell: MoS2 nanosheets encapsulated in a nitrogen, sulfur dual-doped carbon
nanoprism for efficient lithium storage.
AB - Hierarchical nanostructured metal sulfides in a rectangular prism shape are
highly attractive as a promising electrode material for lithium ion energy
storage. Herein, we develop a simultaneous pyrolysis and sulfidation strategy to
synthesize yolk-shelled MoS2@nitrogen, sulfur dual-doped carbon (MoS2@NSC)
nanoprisms. Upon encapsulating MoO3 nanoprisms into a polypyrrole (PPy) shell, a
high-temperature solvent-free sulfidation reaction from MoO3 to hierarchical MoS2
nanosheets could take place within the PPy nanoreactor, and the PPy nanoreactor
simultaneously converted into NSC hollow nanoprisms. Owing to the compositional
and structural superiority, the MoS2@NSC nanoprisms with a well-defined sheet-in
prism superstructure manifested enhanced electrochemical activity as a promising
anode material for lithium-ion batteries including a high reversible capacity
(960 mA h g-1 at 0.1 A g-1), excellent cycling stability (800 mA h g-1 at 0.1 A g
1 up to 300 cycles), and superior rate capability (440 mA h g-1 at 2 A g-1).
PMID- 29637979
TI - FT-IR- and Raman-based biochemical profiling of the early stage of pulmonary
metastasis of breast cancer in mice.
AB - The combination of FT-IR and Raman spectroscopies allowed the biochemical
profiling of lungs in the early stage of pulmonary metastasis in the murine model
of breast cancer. Histological staining was used as a reference. Raman
spectroscopy was especially useful in the detection and semi-quantitative
analysis of the vitamin A content in lung lipofibroblasts, whereas the IR
technique provided semi-quantitative information on the contents of nucleic
acids, carbohydrates including glycogen, and lipids as well as changes in the
secondary structures of tissue proteins. Our spectroscopic results suggest that
the early phase of metastasis in the lung is characterized by a decrease in the
endogenous retinoid content in combination with a decrease in the content of
glycogen and lipids.
PMID- 29637980
TI - Transport mechanisms in a puckered graphene-on-lattice.
AB - Understanding the fundamental properties of graphene when its topography is
patterned by the use of a compliant substrate is essential to improve the
performances of graphene sensors. Here we suspend a graphene monolayer on SiO2
nanopillar arrays to form a puckered graphene-on-lattice and investigate the
strain and electrical transport at the nanoscale. Despite a nonuniform strain in
the graphene-on-lattice, the resistivity is governed by thermally activated
transport and not the strain. We show that the high thermal activation energy
results from a low charge carrier density and a periodic change of the chemical
potential induced by the interaction of the graphene monolayer with the
nanopillars, making the use of graphene-on-lattice attractive to further increase
the electrical response of graphene sensors.
PMID- 29637981
TI - Mimicking plasmonic nanolaser emission by selective extraction of electromagnetic
near-field from photonic microcavity.
AB - Plasmonic nanolasers have attracted significant attention owing to their ability
to generate a coherent optical field in the deep subwavelength region, and they
exhibit promising applications in integrated photonics, bioimaging and sensing.
However, the demonstration of lasing in individual metallic nanoparticles with 3D
subwavelength confinement represents a significant challenge and is yet to be
realized. Herein, we propose to mimic a plasmonic nanolaser via selective
scattering off the evanescent tail of a lasing photonic nanobelt using a single
silver nanorod (24 nm * 223 nm). The nanorod acts as an optical antenna that
selectively extracts the near-field component along the rod axis. The light
output from the silver nanorod mimics the emission of a plasmonic nanolaser in
its localized near-field and polarization dependence, except for the lasing
wavelength and linewidth, which are inherited from the photonic laser. The
realization of localized coherent light sources provides promising nanoscale
lighting that shows potential in background-suppressed illumination, biosensing
and imaging.
PMID- 29637986
TI - A facile method to functionalize gold nano-tripods with high suspension stability
in an aqueous environment.
AB - Here we aim to develop a facile emulsion-based method to prepare tripod gold
nanoparticles (AuNPs) with high suspension stability in an aqueous environment. A
gyroid-structured polymer template formed by the hydrolysis of a degradable block
copolymer, polystyrene (PS)-b-poly(l-lactide), is used for the fabrication of
AuNPs. Also, a successful emulsification of dichloromethane (DCM) in the aqueous
phase is developed by using thiolated polyethylene glycol (PEG-SH) as the
stabilizer. Subsequently, the nanohybrids of PS/Au can be fabricated by templated
electroless plating, and then selectively dissolving in the DCM dispersive phase.
Most interestingly, a dedicated process for the simultaneous release of the
tripod AuNPs from the dissolution of PS associated with PEG-SH at the interface
of the emulsion is achieved, giving PEG-SH-functionalized tripod AuNPs dispersed
in the aqueous phase, which significantly improves the suspension stabilization
of tripod AuNPs. The in situ temperature-programmed electrospray-differential
mobility analysis provides a quantitative, statistical analysis of mobility
diameter, dynamic shape factor, polydispersity, and colloidal stability.
PMID- 29637987
TI - Cooperative inter- and intra-layer lattice dynamics of photoexcited multi-walled
carbon nanotubes studied by ultrafast electron diffraction.
AB - Optical tuning and probing ultrafast structural response of nanomaterials driven
by electronic excitation constitute a challenging but promising approach for
understanding microscopic mechanisms and applications in microelectromechanical
systems and optoelectrical devices. Here we use pulsed electron diffraction in a
transmission electron microscope to investigate laser-induced tubular lattice
dynamics of multi-walled carbon nanotubes (MWCNTs) with varying laser fluence and
initial specimen temperature. Our photoexcitation experiments demonstrate
cooperative and inverse collective atomic motions in intralayer and interlayer
directions, whose strengths and rates depend on pump fluence. The electron-driven
and thermally driven structural responses with opposite amplitudes cause a
crossover between intralayer and interlayer directions. Our ab initio
calculations support these findings and reveal that electrons excited from pi to
pi* orbitals in a carbon tube weaken the intralayer bonds while strengthening the
interlayer bonds along the radial direction. Moreover, by probing the structural
dynamics of MWCNTs at initial temperatures of 300 and 100 K, we uncover the
concomitance of thermal and nonthermal dynamical processes and their mutual
influence in MWCNTs. Our results illustrate the nature of electron-driven
nonthermal process and electron-phonon thermalization in the MWCNTs, and bear
implications for the intricate energy conversion and transfer in materials at the
nanoscale.
PMID- 29637988
TI - Impact of anaemia on outcome in burn patients.
AB - BACKGROUND: Currently, most critical care physicians maintain a patient's
haemoglobin levels at 7 to 8 g dL-1. However, little data have been available on
haemoglobin-related outcomes in burn patients. The purpose of this study was to
evaluate inpatients with greater than 20% total body surface area burns and the
effects of haemoglobin below 8 g dL-1 on clinical outcomes. METHODS: This study
included 70 patients with burns amounting to greater than 20% of total body
surface area. Data were retrospectively evaluated and included age, gender, adult
respiratory distress syndrome presence, length of intensive care unit stay,
length of mechanical ventilation, days requiring vasopressors, renal
insufficiency, positive cultures/infections, cardiovascular complications, number
of operations, inhalation injury, and mortality. Logistic regression analyses
that were adjusted for age, sex, and percent total body surface area were used to
assess the relationships between haemoglobin and multiple clinical outcomes. Odds
ratios (OR) were estimated with 99% confidence intervals (99% CI). RESULTS:
Haemoglobin below 8 g dL-1 was associated with a need for vasopressors (OR =
2.17; 99% CI = 1.03-8.22). Furthermore, haemoglobin below 8 g dL-1 was associated
with higher positive wound (OR = 2.86; 99% CI = 1.00-34.40), urine (OR = 4.63;
99% CI = 1.15-67.00), and lung cultures (OR = 2.24; 99% CI = 1.06-5.47). These
associations largely remained after controlling for blood transfusions.
CONCLUSIONS: Contrary to most other patient groups, burn patients with burns
amounting to greater than 20% of total body surface area and low haemoglobin
levels were more likely to develop positive cultures in urine, wounds, and the
lung and require vasopressor treatment.
PMID- 29637989
TI - The impact of the blood glucose levels of non-diabetic critically ill patients on
their clinical outcome.
AB - BACKGROUND: Stress hyperglycaemia is thought to result from a hormonal response
(release of catecholamines, glucocorticoids, glucagon, etc.) following stress,
sepsis or trauma. Although stress hyperglycaemia is a very common finding in
critically ill populations, there are many non-diabetic critically ill patients
who do not develop a hyperglycaemic stress response to trauma or acute illness.
We suggest that the lack of a hyperglycaemic stress response during the acute
phase of a critical illness may correlate significantly with the clinical outcome
of these critically ill non-diabetic patients. METHODS: This was a retrospective
study of 700 non-diabetic critically ill patients admitted to the general
intensive care unit (ICU) at Soroka Medical Center, Beer Sheva, Israel. We
analyzed the clinical impact of the blood glucose levels of these patients
measured during their first week of ICU hospitalization on their clinical
outcome. RESULTS: Age, male gender, and the Acute Physiology and Chronic Health
Evaluation (APACHE) score were found to be independent risk factors for new
episodes of infection during the patients' stay in the ICU. Age and the APACHE
and Sequential Organ Failure Assessment scores were found to be independent risk
factors for intra-ICU mortality. In contrast, blood glucose analysis performed
during the patients' stay in the ICU was not found to be an independent predictor
for new infectious events or for mortality during the ICU stay. CONCLUSION: Our
study did not demonstrate an association between blood glucose levels and
clinical outcomes in non-diabetic critically ill patients.
PMID- 29637990
TI - Day and time of admissions to intensive care units - does it matter?
AB - BACKGROUND: The literature data pertaining to the significance of day and time of
ICU admission for outcomes of patients are inconsistent. The issue has not been
analysed in Poland to date. The aim of the study was to gather information about
differences between patients admitted to ICU outside regular working hours (off
hours) and those admitted during working hours (on-hours). METHODS: Analysis
involved 20,651 patients from the Silesian Registry of Intensive Care Units
carried out since 2010. The findings demonstrated that 34.8% of patients were
admitted to ICUs during on-hours (between 8.00 a.m. and 3 p.m. on weekdays) and
65.2% were admitted during off-hours (outside regular working hours). The
incidence of admissions and data of patients in both groups were compared in
terms of the population characteristics and treatment outcomes. RESULTS: The
incidence of admissions (calculated per each 24 hours of treatment) was found to
be almost twice as high during on-hours, as compared to off-hours (14.5 vs. 6.9
patients/day). Patients admitted to the ICU during on-hours were less likely to
be admitted from the surgical department (19.1% vs. 31.0%, P < 0.001), and more
likely to be admitted from the emergency department (25.3% vs. 14.2%, P < 0.001).
The incidence of off-hours admissions of cancer patients was lower (5.3% vs.
10.8%, P < 0.001), as compared with patients with alcohol dependence syndrome
(10.3% vs. 6.9%, P < 0.001). Patients admitted during off-hours were in more
severe conditions and had higher APACHE II scores (on average, 23.8 +/- 8.8 vs.
21.8 +/- 8.8, P < 0.001); their mortality rates were higher compared to the
remaining population (46.8% vs. 39.4%, P < 0.001). CONCLUSIONS: Patients admitted
to ICUs during off-hours are in more severe general condition and their treatment
outcomes are worse, as compared to patients admitted to ICU during on-hours.
PMID- 29637991
TI - Autoimmune anti-N-methyl-D-aspartate receptor encephalitis - the current state of
knowledge based on a clinical case.
AB - The aim of this article is to conduct an overview of the current state of
knowledge about patients presenting anti-Nmethyl- D-aspartate receptor
encephalitis associated with neoplastic process, as well as diagnosis and
treatment. This disease concerns mainly young women and correlates with ovarian
teratoma. Most important problems seems to be the difficulties in making a proper
diagnosis ensuing from the rarity of this syndrome, the period from the
appearance the first symptoms to starting treatment and the correct handling of
intensive care complications. There are only a few articles describing severe,
complicated cases of this type of encephalitis, requiring treatment in an
intensive care unit.
PMID- 29637992
TI - Factors influencing clinician's coherence with local antimicrobial guidelines in
the management of sepsis.
PMID- 29637993
TI - Always check anaesthetic equipment.
PMID- 29637994
TI - Tips and troubleshooting during intubation with AirTraq videolaryngoscope.
PMID- 29637995
TI - High serum procalcitonin concentration and dynamics of its changes as a
prognostic factor of mortality.
PMID- 29637996
TI - Heavily pigmented fibroepithelioma of Pinkus mimicking melanoma.
PMID- 29637997
TI - Synergistic selection regimens drive the evolution of display complexity in birds
of paradise.
AB - Integrated visual displays that combine gesture with colour are nearly ubiquitous
in the animal world, where they are shaped by sexual selection for their role in
courtship and competition. However, few studies assess how multiple selection
regimens operate on different components of these complex phenotypes on a
macroevolutionary scale. Here, we study this issue by assessing how both sexual
and ecological selection work together to influence visual display complexity in
the birds of paradise. We first find that sexual dichromatism is highest in
lekking species, which undergo more intense sexual selection by female choice,
than non-lekking species. At the same time, species in which males directly
compete with one another at communal display courts have more carotenoid-based
ornaments and fewer melanin ornaments. Meanwhile, display habitat influences
gestural complexity. Species that dance in the cluttered understorey have more
complex dances than canopy-displaying species. Taken together, our results
illustrate how distinct selection regimens each operate on individual elements
comprising a complex display. This supports a modular model of display evolution,
wherein the ultimate integrated display is the product of synergy between
multiple factors that select for different types of phenotypic complexity.
PMID- 29637998
TI - Retinal nerve fibre layer thinning is associated with worse visual outcome after
optic neuritis in children with a relapsing demyelinating syndrome.
AB - AIM: Optic neuritis may be monophasic or occur as part of a relapsing
demyelinating syndrome (RDS), such as multiple sclerosis, aquaporin-4 antibody
(AQP4-Ab) neuromyelitis optical spectrum disorder (NMOSD), or myelin
oligodendrocyte glycoprotein antibody (MOG-Ab)-associated disease. The aims of
this study were to test whether clinical, electrophysiological, and
microstructural parameters differ in multiple-sclerosis-associated optic neuritis
(MS-ON) and antibody-associated optic neuritis (Ab-ON); to identify the clinical
and paraclinical characteristics of children suffering worse long-term visual
outcome of RDS-optic neuritis; and to explore the relationship between RNFL
thickness and clinical parameters in RDS-optic neuritis. METHOD: Forty-two
children with optic neuritis were retrospectively studied: 22 with multiple
sclerosis (MS-ON) and 20 with antibody-associated demyelination (Ab-ON: MOG-Ab=16
and AQP4-Ab=4). Clinical and paraclinical features were analysed. RESULTS:
Complete recovery of visual acuity was reported in 25 out of 42 children; eight
out of 38 (21%) suffered moderate or severe visual impairment (logarithm of the
minimum angle of resolution [logMAR]>0.5) in their worse eye, including four out
of 38 who were blind (logMAR>1.3) in their worse eye (two with multiple
sclerosis, two with AQP4-Ab NMOSD). None of the children with MOG-Ab were blind.
Recurrence of optic neuritis was more common in the Ab-ON group than the MS-ON
group (15 out of 20 vs seven out of 22, p=0.007). Retinal nerve fibre layer
(RNFL) thickness at baseline inversely correlated with visual acuity at final
follow-up (r=-0.41, p=0.008). There was no significant relationship between the
number of episodes of optic neuritis and mean RNFL (r=-0.08, p=0.628), nor any
significant relationship between the number of episodes of optic neuritis and
visual impairment (r=0.03, p=0.794). INTERPRETATION: In children with RDS, long
term visual impairment inversely correlated with RNFL thickness, but not with the
number of relapses of optic neuritis. Optical coherence tomography may have a
role in assessing children with optic neuritis to monitor disease activity and
inform treatment decisions. WHAT THIS PAPER ADDS: Long-term visual impairment is
reported in 40% of children with a relapsing demyelinating syndrome following
optic neuritis. Relapse of optic neuritis, occurring more frequently in the non
multiple-sclerosis group. Retinal nerve fibre layer thinning is associated with
worse visual outcome. Optical coherence tomography can be used alongside clinical
parameters as an objective measure of neuroretinal loss.
PMID- 29638000
TI - Concentric targetoid scaly plaques in a patient with severe rheumatoid arthritis.
PMID- 29638001
TI - A child's day: trends in time use in the UK from 1975 to 2015.
AB - This paper examines change in school-age children's (8-16 years) time use in the
United Kingdom between 1975 and 2015. Over this period, concerns for children's
safety, technological change, and increased emphasis on success in school are
widely argued to have altered children's daily lives, leading for example to less
time outdoors, more time in screen-based activities, and more time focused on
education. Using data from three national time use surveys collected in 1974-5,
2000-01 and 2014-15, this paper explores the extent to which these arguments
reflect actual change in how children spend their time throughout the day. The
results show that between 1975 and 2015 children increased their time at home,
and spent more time in screen-based activities and doing homework. Decreases in
time in out-of-home activities were concentrated in time in unstructured play,
partially offset by increased time in sport. A decomposition of trends revealed
that, despite a narrowing of the gender gap in time in housework, gender remains
a significant factor determining many aspects of children's time use. In
contrast, the significance of age declined in most leisure activities, with the
exception of screen-based activities where significant age differences emerged in
2000 and widened further in 2015.
PMID- 29638002
TI - Beyond the heartlands: deindustrialization, naturalization and the meaning of an
'industrial' tradition.
AB - Deindustrialization is a complex and multifaceted series of processes and
transitions, reflecting the equally complicated web of social relationships and
interdependencies that constitute(d) an industrial society. Contemporary scholars
have looked beyond just the economic impact of industrial loss, to the cultural,
temporal and spatial legacies and impacts wrought by the mass closures of the
1980s, as well as the continuing presence of an industrial identity in struggles
over representation and regeneration. However, deindustrialization has a history
that precedes the volatility and culmination of that period, and has impacted
upon a more geographically diverse range of former industrial locations than are
commonly represented. The narratives that surround some sites are complicated by
their displacement in time, place and discourse; they lack the political capital
of an 'industrial' identity through this disassociation. In this article I aim to
go beyond what we might consider the industrial 'heartlands' of the UK to a place
that has felt the impact of deindustrialization, but which falls outside of the
usual representations of the UK's industrial past. I explore how the industrial
identity and memory of a place can be naturalized and selectively reworked for
the needs of the hour, the very meaning of 'industrial' altered in the process. I
argue that for sites unable to access or utilize the imagery of modern, heavy
industry for community or promotional aims, deindustrialization becomes a process
of rewriting an historic identity - one that sheds new light on industrial loss
in diverse situations, and at an ever-increasing distance from closure.
PMID- 29638003
TI - An ascending visual pathway to the dorsal telencephalon through the optic tectum
and nucleus prethalamicus in the yellowfin goby Acanthogobius flavimanus
(Temminck & Schlegel, 1845).
AB - Dual visual pathways reaching the telencephalon appear to be an ancient
vertebrate trait, but some teleost fish seem to possess only one pathway via the
optic tectum. We undertook the present study to determine if and when this loss
occurred during evolution. Tracer injection experiments to the optic nerve, the
optic tectum, and the dorsal telencephalon were performed in the present study,
to investigate ascending visual pathways to the dorsal telencephalon in an
acanthopterygian teleost, the yellowfin goby Acanthogobius flavimanus (Temminck &
Schlegel, 1845). We confirmed the presence of a nucleus prethalamicus (PTh) in
the goby, which has been convincingly identified only in holocentrids, suggesting
that this nucleus is present in other acanthopterygians. We found that the optic
tectum projects to the PTh bilaterally. The PTh projects in turn to the dorsal
telencephalon, ipsilaterally. These results suggest that the yellowfin goby
possesses only an extrageniculate-like pathway, while a geniculate-like pathway
could not be identified. This situation is common with that of holocentrids and
may be a character common in acanthopterygians. It is possible that a geniculate
like system was lost in the common ancestor of acanthopterygians, although the
scenario for the evolution of ascending visual systems in actinopterygians
remains uncertain due to the lack of precise knowledge in a number of
actinopterygian taxons.
PMID- 29638004
TI - Gray-bluish cutaneous pigmentation and ice-pick scars induced by vandetanib
therapy.
PMID- 29637999
TI - Impacts of microbiome metabolites on immune regulation and autoimmunity.
AB - A vast number of studies have demonstrated a remarkable role for the gut
microbiota and their metabolites in the pathogenesis of inflammatory diseases,
including multiple sclerosis (MS). Recent studies in experimental autoimmune
encephalomyelitis, an animal model of MS, have revealed that modifying certain
intestinal bacterial populations may influence immune cell priming in the
periphery, resulting in dysregulation of immune responses and neuroinflammatory
processes in the central nervous system (CNS). Conversely, some commensal
bacteria and their antigenic products can protect against inflammation within the
CNS. Specific components of the gut microbiome have been implicated in the
production of pro-inflammatory cytokines and subsequent generation of Th17 cells.
Similarly, commensal bacteria and their metabolites can also promote the
generation of regulatory T-cells (Treg), contributing to immune suppression.
Short-chain fatty acids may induce Treg either by G-protein-coupled receptors or
inhibition of histone deacetylases. Tryptophan metabolites may suppress
inflammatory responses by acting on the aryl hydrocarbon receptor in T-cells or
astrocytes. Interestingly, secretion of these metabolites can be impaired by
excess consumption of dietary components, such as long-chain fatty acids or salt,
indicating that the diet represents an environmental factor affecting the complex
crosstalk between the gut microbiota and the immune system. This review discusses
new aspects of host-microbiota interaction and the immune system with a special
focus on MS as a prototype T-cell-mediated autoimmune disease of the CNS.
PMID- 29638005
TI - Cancer mortality update with an exposure response analysis among styrene-exposed
workers in the reinforced plastics boatbuilding industry.
AB - BACKGROUND: There is sparse and inconsistent evidence of an association between
styrene exposure and cancer. METHODS: This study examines mortality patterns in a
previously studied cohort of 5201 workers employed in two Washington boat
building facilities, extending follow-up 5 years. Standardized mortality ratios
(SMR) were calculated using state rates as referent. Cox regression calculated
rate ratios (RR) per year employed in styrene-exposed exposed jobs. RESULTS: No
excess deaths from lymphohematopoietic cancers (LHCs) were observed (SMR: 0.99,
95%CI: 0.74-1.30) when compared to the referent population; however, the relative
risk increased with duration of employment in internal analyses. Conversely, lung
cancer mortality was significantly elevated (SMR: 1.24, 95%CI: 1.08-1.41), but
there was no evidence of a dose-response relationship. CONCLUSION: We found
evidence that occupational exposure to styrene was associated with increased LHC
risk, while no such association was observed for lung cancer.
PMID- 29638006
TI - Effect of homogeneous static magnetic treatment on the adsorption capacity in
maize seeds (Zea mays L.).
AB - In order to analyze the treatment of seeds with homogeneous static magnetic field
on the water adsorption process, an experimental-theoretical study of hygroscopic
equilibrium in maize seeds (Zea mays L. cv. ICAV305) was carried out. Four
treatments with magnetic induction of 80.0, 120.0, 160.0, and 200.0 mT were
applied for 10 min, and there was a control group without treatment. The doses
were selected because they showed a decrease in mean germination time. Treated
seeds were subjected to an environment with a stable temperature of 30.0 degrees
C +/- 0.1 degrees C and atmospheres of different relative humidity (h), using
eight salt solutions saturated with water activity between 0.216 and 0.970.
Curves were adjusted using the D'Arcy-Watt and modified Oswin models. It was
found that the magnetic treatment of seeds affects adsorption, and the 200 mT-10
min one was the most significant in affecting the mechanisms of adsorption of
water, and increasing the number of adsorption sites connected to weak binds with
D'Arcy-Watt's adjustment. Using the Oswin model for simulation, we verified that
the magnetic stimulus affected the enthalpy of adsorption and the mechanism of
incorporation of water vapor molecules to the structure of seminal cover. These
results show that the magnetic pre-treatment of seeds has an effect on a seed's
specific biophysical and physiological processes in the early stages of
germination. Bioelectromagnetics. 39:343-351, 2018. (c) 2018 Wiley Periodicals,
Inc.
PMID- 29638007
TI - General and oral health problems among adults with focus on dentally anxious
individuals.
AB - OBJECTIVES: The objective of this epidemiological survey was to analyse the
association between dental anxiety and health-related quality of life (HRQL)
among adults. METHODS: Individuals were randomly selected (n = 3500), and they
were interviewed by telephone and asked to complete a questionnaire concerning
dental anxiety, HRQL [Oral Health Impact Profile-5 (OHIP-5) and EuroQol-5D (EQ
5D)] and several socioeconomic variables. RESULTS: Dental anxiety was revealed as
a significant factor relative to the OHIP-5 and EQ-5D. Dental anxiety had a
stronger association with the OHIP-5 [odds ratio (OR): 3.50] than with the EQ-5D
(OR: 1.75). In addition, socioeconomic status demonstrated a gradient, with
higher ORs the lower the income and the likelihood of reporting poor HRQL.
CONCLUSION: High dental anxiety was associated with lower HRQL.
PMID- 29638008
TI - Automated video-based detection of nocturnal convulsive seizures in a residential
care setting.
AB - People with epilepsy need assistance and are at risk of sudden death when having
convulsive seizures (CS). Automated real-time seizure detection systems can help
alert caregivers, but wearable sensors are not always tolerated. We determined
algorithm settings and investigated detection performance of a video algorithm to
detect CS in a residential care setting. The algorithm calculates power in the 2
6 Hz range relative to 0.5-12.5 Hz range in group velocity signals derived from
video-sequence optical flow. A detection threshold was found using a training set
consisting of video-electroencephalogaphy (EEG) recordings of 72 CS. A test set
consisting of 24 full nights of 12 new subjects in residential care and
additional recordings of 50 CS selected randomly was used to estimate
performance. All data were analyzed retrospectively. The start and end of CS
(generalized clonic and tonic-clonic seizures) and other seizures considered
desirable to detect (long generalized tonic, hyperkinetic, and other major
seizures) were annotated. The detection threshold was set to the value that
obtained 97% sensitivity in the training set. Sensitivity, latency, and false
detection rate (FDR) per night were calculated in the test set. A seizure was
detected when the algorithm output exceeded the threshold continuously for 2
seconds. With the detection threshold determined in the training set, all CS were
detected in the test set (100% sensitivity). Latency was <=10 seconds in 78% of
detections. Three/five hyperkinetic and 6/9 other major seizures were detected.
Median FDR was 0.78 per night and no false detections occurred in 9/24 nights.
Our algorithm could improve safety unobtrusively by automated real-time detection
of CS in video registrations, with an acceptable latency and FDR. The algorithm
can also detect some other motor seizures requiring assistance.
PMID- 29638009
TI - Care Like Kin: Community Based Youth Serving Organizations and the Social
Reproduction of Disconnected Youth in Rural America.
AB - Increasing economic insecurity faced by older youth in rural America presents a
crisis of social reproduction for disconnected youth in these areas. Increasingly
community based youth serving organizations (CBYSOs) are recognizing and
responding to the social reproduction needs of this particularly vulnerable youth
population. Such responses are often hidden from funders, government agencies,
and community residents. Yet these institutions play an important substitution
function for disconnected youth and provide critical social support and social
leverage for this population. Based on case studies of three CBYSOs in the San
Joaquin Valley of California, this article explores how and why CBYSOs play a
substitution function for disconnected youth in rural communities. It is the
argument of this article that the social reproduction work of CBYSOs is
undertaken with a ethic of care that may have the capacity to transform the
political, social, and economic contexts that face this marginalized youth
population.
PMID- 29638010
TI - Ultrahigh-Performance Self-Powered Flexible Double-Twisted Fibrous Broadband
Perovskite Photodetector.
AB - Self-powered flexible photodetectors without an external power source can meet
the demands of next-generation portable and wearable nanodevices; however, the
performance is far from satisfactory becuase of the limited match of flexible
substrates and light-sensitive materials with proper energy levels. Herein, a
novel self-powered flexible fiber-shaped photodetector based on double-twisted
perovskite-TiO2 -carbon fiber and CuO-Cu2 O-Cu wire is designed and fabricated.
The device shows an ultrahigh detectivity of 2.15 * 1013 Jones under the
illumination of 800 nm light at zero bias. CuO-Cu2 O electron block bilayer
extends response range of perovskite from 850 to 1050 nm and suppresses dark
current down to 10-11 A. The fast response speed of less than 200 ms is nearly
invariable after dozens of cycles of bending at the extremely 90 bending angle,
demonstrating excellent flexibility and bending stability. These parameters are
comparable and even better than reported flexible and even rigid photodetectors.
The present results suggest a promising strategy to design photodetectors with
integrated function of self-power, flexibility, and broadband response.
PMID- 29638011
TI - Effects of vitamin E and selenium supplementation on blood lipid peroxidation and
cortisol concentration in dairy cows undergoing omentopexy.
AB - Twenty dairy cows with left abomasal displacement were used to investigate the
effects of vitamin E and selenium treatment on thiobarbituric acid reactive
substances (TBARS) and blood cortisol in dairy cows stressed by omentopexy. The
cows were randomly divided into two groups. Ten hours before surgery 6 g of DL
alpha-tocopheryl acetate (6 mg/kg) and 67 mg of natrium selenite (0.1 mg/kg) in
volume of 40 ml (Vitaselen(r) ) were administered subcutaneously to 10 cows; the
control animals (n = 10) received an equivalent volume of injectable water (40
ml). The injection of vitamin E and selenium produced a rapid rise (p < .05) in
blood alpha-tocopherol and selenium concentrations. The serum vitamin E increased
several times 10 hr after vitamin E and Se injection and raised continuously to
the highest average concentration 21.6 mg/L at hr 24 after the surgery. The
highest selenium concentration was seen 10 hr after selenium administration with
holding the increased concentrations in comparison with initial ones during the
whole study. Two-way ANOVA did not show significant treatment effect on plasma
concentrations TBARS in the study. The plasma concentrations of thiobarbituric
acid reactive substances reached the maximum value of 0.18 MUmol/L in the control
group 5 hr after the surgery. Twenty-four hours after the surgery, the TBARS
values returned to the initial ones. Serum cortisol increased in both groups
after surgery. The highest cortisol concentrations were reached at 1 hr after
surgery in the experimental and control group (56.7 +/- 28.8 and 65.3 +/- 26.1
MUg/L respectively). A return to the levels similar to the initial ones was
recognized 24 hr after the surgery. The ANOVA revealed a significant effect of
vitamin E and selenium injection on plasma cortisol (p < .05). In conclusion, we
have demonstrated that abdominal surgery resulted in typical stress changes with
no significant effects of a single vitamin E/Se injection on blood lipid
peroxidation. In addition, a weaker cortisol response to the abdominal surgery
was recognized in animals treated with vitamin E and selenium.
PMID- 29638013
TI - Perovskite-Initiated Photopolymerization for Singly Dispersed Luminescent
Nanocomposites.
AB - Metal halide perovskites have demonstrated rich photophysics and remarkable
potential in photovoltaic and electroluminescent devices. However, the
photoactivity of perovskite semiconductors in chemical processes remains
relatively unexplored. Here, a general approach toward the synthesis of
luminescent perovskite-polymer nanocomposites is reported, whereby perovskite
nanocrystals are used as photoinitiators in the polymerization of vinyl monomers.
The white-light illumination of a perovskite-monomer mixture triggers a free
radical chain-growth polymerization process, giving rise to high molecular weight
polymers of ~200 kDa. The in situ growth of polymer chains from the perovskite
crystal surface allows the formation of individually dispersed nanocrystal cores
within an encapsulating polymer matrix, and leads to a significant threefold
enhancement in photoluminescence quantum yield. This photoluminescence
enhancement is attributed to the spatial separation of the perovskite
nanocrystals and hence the deactivation of energy transfer to dark crystals. The
resulting perovskite-polymer nanocomposites exhibit excellent stability against
moisture and are shown to be useful as functional downconversion phosphor films
for luminescent displays and lighting.
PMID- 29638012
TI - Evaluation of a new biphasic calcium phosphate for maxillary sinus floor
elevation: Micro-CT and histomorphometrical analyses.
AB - OBJECTIVES: Synthetic biphasic calcium phosphate (BCP) with a hydroxyapatite/beta
tricalcium phosphate (HA/beta-TCP) ratio of 60/40 (BCP60/40) is successfully used
as alternative for autologous bone in patients undergoing maxillary sinus floor
elevation (MSFE) for dental implant placement. A high percentage of HA in
BCP60/40 may hamper efficient scaffold remodeling. Osteogenesis and
neovascularization are pivotal in effective bone regeneration. We aimed to
investigate whether differences exist in osteogenic and/or vasculogenic potential
of BCP60/40 and BCP20/80 in patients undergoing MSFE. MATERIALS AND METHODS:
Twenty patients undergoing MSFE were treated with BCP60/40 (n = 10) or BCP20/80
(n = 10). Bone and graft volumes were determined by micro-computed tomography and
histomorphometrical analysis of biopsies of the augmented region. Osteoid
volumes, number of osteoclasts, and blood vessels were determined by
histomorphometrical analysis. The biopsies were taken 6.5 months (26 weeks)
postoperatively prior to dental implant placement. RESULTS: Bone and osteoid
volumes were 9.7% and 0.8% higher at the most cranial side of the BCP20/80
biopsies compared to the BCP60/40 biopsies. Graft volumes, number of osteoclasts,
and blood vessels were similar in both groups. CONCLUSIONS: BCP20/80 showed
enhanced osteogenic potential in patients undergoing MSFE compared to BCP60/40,
due to either a faster bone remodeling rate or an earlier start of bone formation
in BCP20/80-treated patients, suggesting that a higher TCP content positively
contributes to the bone remodeling rate. Therefore, BCP20/80 might perform
better, at least in the short term, as a scaffold for bone augmentation in the
MSFE model than BCP60/40 as more bone is formed, and more osteoid is deposited at
the cranial side in BCP20/80-treated patients compared to BCP60/40-treated
patients. However, catch-up of BCP60/40 in the long term cannot be ruled out.
PMID- 29638014
TI - Effects of lactic acid bacteria and smectite after aflatoxin B1 challenge on the
growth performance, nutrient digestibility and blood parameters of broilers.
AB - This study aimed to investigate the effect of lactic acid bacteria (LAB) and
smectite on the growth performance, nutrient digestibility and blood parameters
of broilers that were fed diets contaminated with aflatoxin B1 (AFB1 ). A total
of 480 newly hatched male Arbor Acres broilers were randomly allocated into four
groups with six replicates of 20 chicks each. The broilers were fed diets with
the AFB1 (40 MUg/kg) challenge or without (control) it and supplemented with
smectite (3.0 g/kg) or LAB (4.0 * 1010 CFU/kg) based on the AFB1 diet. The trial
lasted for 42 days. The results showed that during days 1-42 of AFB1 challenge,
the feed intake (FI) and body weight gain (BWG) were depressed (p < .05). The
inclusion of LAB and smectite increased (p < .05) the BWG by 71.58 and 41.89
g/bird, respectively, which reached the level of the control diet (p >= .05), but
there were no differences (p >= .05) in performance between LAB and smectite. LAB
and smectite also increased (p < .05) the apparent total tract digestibility of
the crude protein. Regarding the blood parameters, AFB1 decreased (p < .05) the
levels of red blood cell count, haematocrit, mean corpuscular volume,
haemoglobin, albumin and total protein. In the meantime, the AFB1 increased (p <
.05) leucocyte counts, urea nitrogen, cholesterol, total bilirubin, creatinine,
glutamic-pyruvic transaminase, glutamic oxaloacetic transaminase and alkaline
phosphatase. By contrast, LAB and smectite affected (p < .05) these parameters in
the opposite direction. It can be concluded that after the AFB1 challenge, LAB
and smectite have similar effects on the growth and health of the broilers,
suggesting that LAB could be an alternative against AFB1 in commercial animal
feeds.
PMID- 29638015
TI - Processing traits and digestibility of extruded dog foods with soy protein
concentrate.
AB - Soya bean protein concentrate (SPC) with two particle sizes were evaluated on
extrusion parameters, kibble formation, digestibility and palatability of dog
foods. Eight diets were extruded: PBM-control diet based on poultry by-product
meal (PBM); GM-a diet in which corn gluten meal (GM) replaced 45% of the diet
protein; cSPC15%, cSPC30% and cSPC45%-diets in which SPC of coarse particle size
(600 MUm) replaced 15%, 30% and 45% of the diet protein; and sSPC15%, sSPC30% and
sSPC45%-diets in which SPC of small particle size (200 MUm) replaced 15%, 30% and
45% of the diet protein. The digestibility of nutrients was evaluated for the
PBM, GM, cSPC45% and sSPC45% diets, using six dogs per food. The PBM, GM and
cSPC45% diets were compared for palatability. Data were submitted for analysis of
variance, and the means were compared by polynomial contrasts or Tukey's test (p
< .05). The cSPC increased the specific mechanical energy (SME) application,
extrusion temperature and pressure linearly, resulting in lower kibble density
and higher expansion and starch gelatinization (SG) (p < .01). When comparing the
PBM, GM, cSPC45% and sSPC45% diets, higher SME, extrusion temperature and
pressure, SG and kibble expansion were verified for the cSPC45% diet (p < .05).
The DM, fat and crude protein digestibility were similar among diets. Faecal pH,
ammonia and lactate did not differ, demonstrating that the removal of
oligosaccharides and soluble non-starch polysaccharides of SPC produces an
ingredient with mostly non-fermentable fibre. Dogs preferred the PBM to the GM
diet (p < .05), but consumed the PBM and cSPC45% foods equally. In conclusion,
SPC exhibited good extrusion functionality, favouring kibble expansion and SG,
with high digestibility, similar to that of PBM. The removal of soluble compounds
from soya beans resulted in an ingredient with low fermentable fibre content,
which did not alter faecal formation or characteristics.
PMID- 29638016
TI - Congenital aortic paravalvular tunnel masquerading as a recurrent ventricular
septal defect.
AB - A 4-year-old female underwent surgical repair of an unusual variation of an aorto
left ventricular tunnel (ALVT). Serial echocardiograms had demonstrated previous
spontaneous closure of a perimembranous ventricular septal defect (VSD). The
patient presented with concern for residual VSD which was later demonstrated to
be an eccentric jet through an ALVT. This case emphasizes early and accurate
diagnosis for ALVTs and how they can be misdiagnosed as VSDs.
PMID- 29638017
TI - Magnetoactive Acoustic Metamaterials.
AB - Acoustic metamaterials with negative constitutive parameters (modulus and/or mass
density) have shown great potential in diverse applications ranging from sonic
cloaking, abnormal refraction and superlensing, to noise canceling. In
conventional acoustic metamaterials, the negative constitutive parameters are
engineered via tailored structures with fixed geometries; therefore, the
relationships between constitutive parameters and acoustic frequencies are
typically fixed to form a 2D phase space once the structures are fabricated.
Here, by means of a model system of magnetoactive lattice structures, stimuli
responsive acoustic metamaterials are demonstrated to be able to extend the 2D
phase space to 3D through rapidly and repeatedly switching signs of constitutive
parameters with remote magnetic fields. It is shown for the first time that
effective modulus can be reversibly switched between positive and negative within
controlled frequency regimes through lattice buckling modulated by theoretically
predicted magnetic fields. The magnetically triggered negative-modulus and cavity
induced negative density are integrated to achieve flexible switching between
single-negative and double-negative. This strategy opens promising avenues for
remote, rapid, and reversible modulation of acoustic transportation, refraction,
imaging, and focusing in subwavelength regimes.
PMID- 29638018
TI - Evolocumab and lipoprotein apheresis combination therapy may have synergic
effects to reduce low-density lipoprotein cholesterol levels in heterozygous
familial hypercholesterolemia: A case report.
AB - A 49 years old woman (weight 68 kg, BMI 27.3 kg/m2 ) with heterozygous familial
hypercholesterolemia (HeFH) and multiple statin intolerance with muscle aches and
creatine kinase elevation, presented at the Outpatient Lipid Clinic of Verona
University Hospital in May 2015. Hypercholesterolemia was firstly diagnosed
during adolescence, followed in adulthood by a diagnosis of Cogan's syndrome, a
rheumatologic disorder characterized by corneal and inner ear inflammation. No
xanthomas, corneal arcus, or vascular bruits were detectable at physical
examination. Screening for macrovascular complications did not reveal relevant
damages. Ongoing medical therapy included salicylic acid, methylprednisolone,
methotrexate, and protonic-pump inhibitor. In the absence of specific lipid
lowering therapy, plasma lipid levels at first visit were: total-cholesterol =
522 mg/dL, LDL-cholesterol = 434 mg/dL, HDL-cholesterol = 84 mg/dL, triglycerides
= 120 mg/dL, Lp(a) = 13 mg/dL. On December 2015, evolocumab 140 mg sc every 2
weeks was initiated. After a 24-week treatment, the LDL-cholesterol levels
decreased by an average of 21.2% to 342 +/- 22 mg/dL (mean +/- SD). On May 2016,
LDL-apheresis (H.E.L.P.system) was started as add-on therapy. Compared to the
average levels obtained during the evolocumab monotherapy period, the LDL
cholesterol was reduced by 49.4%, thus reaching an inter-apheresis level (mean +/
SD) of 173 +/- 37 mg/dL. This report suggests that a combination therapy with
evolocumab and lipoprotein-apheresis may have synergic effects on circulating
lipid levels. Its relevance as a highly effective treatment option for
hyperlipidemia in HeFH patients warrants further investigation in larger
datasets.
PMID- 29638019
TI - A Hepatocyte-Mimicking Antidote for Alcohol Intoxication.
AB - Alcohol intoxication causes serious diseases, whereas current treatments are
mostly supportive and unable to remove alcohol efficiently. Upon alcohol
consumption, alcohol is sequentially oxidized to acetaldehyde and acetate by the
endogenous alcohol dehydrogenase and aldehyde dehydrogenase, respectively.
Inspired by the metabolism of alcohol, a hepatocyte-mimicking antidote for
alcohol intoxication through the codelivery of the nanocapsules of alcohol
oxidase (AOx), catalase (CAT), and aldehyde dehydrogenase (ALDH) to the liver,
where AOx and CAT catalyze the oxidation of alcohol to acetaldehyde, while ALDH
catalyzes the oxidation of acetaldehyde to acetate. Administered to alcohol
intoxicated mice, the antidote rapidly accumulates in the liver and enables a
significant reduction of the blood alcohol concentration. Moreover, blood
acetaldehyde concentration is maintained at an extremely low level, significantly
contributing to liver protection. Such an antidote, which can eliminate alcohol
and acetaldehyde simultaneously, holds great promise for the treatment of alcohol
intoxication and poisoning and can provide therapeutic benefits.
PMID- 29638021
TI - Modified maxillary reconstruction technique for Brown's class III defects by
subdividing a rhomboid shaped iliac crest into 2 subunits.
AB - BACKGROUND: Men and women share a common maxillary morphology with heterogeneity
in size. This indicated that our technique of reconstruction with a rhomboid
shaped iliac crest bone flap incorporating a 30 degrees vertical wedge osteotomy
may be widely used for Brown's class III maxillectomy defect reconstruction among
a population with class I skeletal profile. The reconstruction of Brown's class
III maxillary defects is extremely challenging. The purpose of this study was to
closely study the maxilla geometrically in order to establish a standardized
maneuver, which facilitates conversion of the iliac bone flap into a natural
maxilla's contours. METHODS: We evaluated the geometries of 40 adult maxillas.
The perimeter lengths of perinasal and infraorbital subunits were analyzed, in
addition to the intersecting angle (delta) of both subunits. Sex variation was
evaluated using the Student's t test. RESULTS: In the 80 studied unilateral
maxillas (40 maxillas from 18 men and 22 women), there were no significant sex
differences for delta (P = .1527). In addition, both sexes shared common
morphological features, hence, in surgical reconstruction, the delta can be
constantly set at 150 degrees . Perimeter of bone segments had a greater
intersubject variability (coefficient of variation [CV] of approximately 4.5-11).
From both cadaveric dissections and clinical applications, our results have shown
that our standard maneuver was reproducible and reliable in reestablishing
natural facial contours. CONCLUSION: Our standard maneuver can serve as a
universal guideline, with individualized perimeter manipulations, to yield an
aesthetically natural and functional outcome.
PMID- 29638020
TI - Noninvasive prediction of portal pressure with MR elastography and DCE-MRI of the
liver and spleen: Preliminary results.
AB - BACKGROUND: Portal hypertension (PH), defined by hepatic venous pressure gradient
(HVPG) >=5 mmHg and clinically significant PH, defined by HVPG >=10 mmHg, are
complications of chronic liver disease. PURPOSE: To assess the diagnostic
performance of MR elastography (MRE) and dynamic contrast-enhanced MRI (DCE-MRI)
of the liver and spleen for the prediction of PH and clinically significant PH,
in comparison with a qualitative PH imaging scoring system. STUDY TYPE: IRB
approved prospective study. POPULATION: In all, 34 patients with chronic liver
disease who underwent HVPG measurement. FIELD STRENGTH/SEQUENCE: 1.5/3T
examination including 2D-GRE MRE (n = 33) and DCE-MRI of the liver/spleen (n =
28). ASSESSMENT: Liver and spleen stiffness were calculated from elastogram maps.
DCE-MRI was analyzed using model-free parameters and pharmacokinetic modeling.
Two observers calculated qualitative PH imaging scores based on routine images.
STATISTICAL TESTS: Imaging parameters were correlated with HVPG. Receiver
operating characteristic (ROC) analysis was performed for prediction of PH and
clinically significant PH. RESULTS: There were significant correlations between
DCE-MRI parameters (liver time-to-peak, r = 0.517 / P = 0.006, liver distribution
volume, r = 0.494 / P = 0.009, liver upslope, r = -0.567 / P = 0.002), liver
stiffness (r = 0.478 / P = 0.016), PH imaging score (r = 0.441 / P = 0.009), and
HVPG. ROC analysis provided significant area under the ROC (AUROCs) for PH (liver
upslope 0.765, liver stiffness 0.809, spleen volume/diameter 0.746-0.731, PH
imaging score 0.756) and for clinically significant PH (liver and spleen
perfusion parameters 0.733-0.776, liver stiffness 0.742, PH imaging score 0.742).
The ratio of liver stiffness to liver upslope had the highest AUROC for
diagnosing PH (0.903) and clinically significant PH (0.785). DATA CONCLUSION:
These preliminary results suggest that the combination of liver stiffness and
perfusion metrics provide excellent accuracy for diagnosing PH, and fair accuracy
for clinically significant PH. Combined MRE and DCE-MRI outperformed qualitative
imaging scores for prediction of PH. LEVEL OF EVIDENCE: 1 Technical Efficacy:
Stage 2 J. Magn. Reson. Imaging 2018;48:1091-1103.
PMID- 29638022
TI - Cytopathology of non-invasive follicular thyroid neoplasm with papillary-like
nuclear features: A comparative study with similar patterned papillary thyroid
carcinoma variants.
AB - OBJECTIVE: Noninvasive follicular thyroid neoplasm with papillary-like nuclear
features (NIFTP) is a recently described, indolent thyroid tumor, with well
defined histopathological diagnostic criteria. Cytology features are not well
documented. We reviewed cytology of histologically proven cases of NIFTP and some
of its common differentials to look for salient diagnostic features. METHODS:
Cases reported on histopathology as follicular variant of papillary thyroid
carcinoma (FVPTC), or NIFTP between July 2015 and April 2017 having available
cytology smears were retrieved and reclassified as NIFTP, FVPTC, and classical
papillary thyroid carcinoma with predominant follicular pattern (PTC-FP).
Cytological features were assessed, classified as per The Bethesda System for
Reporting Cytopathology and compared. RESULTS: There were 23 NIFTP cases, 18
FVPTC and 8 PTC-FP. A microfollicle-predominant pattern was seen in all. Nuclear
score was 2 in most NIFTP cases (61%). Pseudoinclusions were absent. NIFTP showed
features of atypia of undetermined significance/follicular lesion of undetermined
significance (AUS/FLUS) (III) in 61%, follicular neoplasm/suspicious for a
follicular neoplasm (FN/SFN) (IV) in 35% and suspicious for malignancy (SFM) (V)
in 4%. Most of the FVPTCs were also called FN/SFN (IV) (56%) or AUS/FLUS (III)
(22%). Nuclear features did not statistically differ from NIFTP. PTC-FP showed
high-grade cytology in 75%, and higher nuclear score (3 in 75%) in contrast to
NIFTP (P = .003). CONCLUSION: NIFTP and FVPTC show a similar distribution among
the Bethesda categories hence precluding conclusive distinction on cytology. PTC
FP, in contrast, was found to have a statistically significant higher nuclear
score and more commonly showed malignant cytology.
PMID- 29638024
TI - 4D flow MRI quantification of mitral and tricuspid regurgitation: Reproducibility
and consistency relative to conventional MRI.
AB - BACKGROUND: In patients with mitral or tricuspid valve regurgitation, evaluation
of regurgitant severity is essential for determining the need for surgery. While
transthoracic echocardiography is widely accessible, it has limited
reproducibility for grading inlet valve regurgitation. Multiplanar cardiac MRI is
the quantitative standard but requires specialized local expertise, and is thus
not widely available. Volumetric 4D flow MRI has potential for quantitatively
grading the severity of inlet valve regurgitation in adult patients. PURPOSE: To
evaluate the accuracy and reproducibility of volumetric 4D flow MRI for
quantification of inlet valvular regurgitation compared to conventional
multiplanar MRI, which may simplify and improve accessibility of cardiac MRI.
STUDY TYPE: This retrospective, HIPAA-compliant imaging-based comparison study
was conducted at a single institution. SUBJECTS: Twenty-one patients who
underwent concurrent multiplanar and 4D flow cardiac MRI between April 2015 and
January 2017. FIELD STRENGTH/SEQUENCES: 3T; steady-state free-precession (SSFP),
2D phase contrast (2D-PC), and postcontrast 4D flow. ASSESSMENT: We evaluated the
intertechnique (4D flow vs. 2D-PC), intermethod (direct vs. indirect
measurement), interobserver and intraobserver reproducibility of measurements of
regurgitant flow volume (RFV), fraction (RF), and volume (RVol). STATISTICAL
TESTS: Statistical analysis included Pearson correlation, Bland-Altman
statistics, and intraclass correlation coefficients. RESULTS: There was high
concordance between 4D flow and multiplanar MRI, whether using direct or indirect
methods of quantifying regurgitation (r = 0.813-0.985). Direct interrogation of
the regurgitant jet with 4D flow showed high intraobserver consistency (r = 0.976
0.999) and interobserver consistency (r = 0.861-0.992), and correlated well with
traditional indirect measurements obtained as the difference between stroke
volume and forward outlet valve flow. DATA CONCLUSION: 4D flow MRI provides
highly reproducible measurements of mitral and tricuspid regurgitant volume, and
may be used in place of conventional multiplanar MRI. LEVEL OF EVIDENCE: 4
Technical Efficacy: Stage 2 J. Magn. Reson. Imaging 2018;48:1147-1158.
PMID- 29638023
TI - Association between serum uric acid, high sensitive C-reactive protein and pro
oxidant-antioxidant balance in patients with metabolic syndrome.
AB - There is persuasive evidence that oxidative stress and inflammation are features
of the metabolic syndrome (MetS). We have investigated the relationship between
serum pro-oxidant-antioxidant balance (PAB), serum uric acid, and high sensitive
C-reactive protein (hs-CRP) in 7,208 participants from the MASHAD study cohort,
who were categorized as having MetS, or not, using International Diabetes
Foundation (IDF) criteria. Serum hs-CRP was measured by Polyethylene glycol (PEG)
enhanced immunoturbidimetry method using an Alycon analyzer (ABBOTT, Chicago, IL,
USA). A colorimetric method was used to determine serum PAB. Serum PAB values
were significantly higher in the individuals with MetS compared to those without
(P < 0.001). Furthermore, there was a step-wise increase in mean serum PAB
concentrations as the number of components of the MetS increased. The combination
of features of MetS had different association with serum PAB and hs-CRP. Multiple
linear regression analysis showed that body mass index (BMI, B = 2.04, P <
0.001), physical activity level (PAL, B = 18.728, P = 0.001), serum uric acid (B
= -1.545, P = 0.003), and serum C-reactive protein (B = 0.663, P < 0.001) were
associated with serum PAB in individuals with MetS. Multiple logistic regression
analysis showed that serum PAB (B = 0.002, P < 0.001, CI = 1.001-1.003), serum C
reactive protein (B = 0.007, P < 0.015, CI = 1.001-1.013), and serum uric acid (B
= 0.207, P < 0.001, CI = 1.186-1.277) were all significantly associated with
MetS. Serum PAB was strongly associated with serum uric acid and serum hs-CRP.
Moreover, serum PAB as well as serum uric acid and serum hs-CRP were
independently associated with MetS. Individual features of MetS were also
associated with serum hs-CRP and PAB. (c) 2018 BioFactors, 44(3):263-271, 2018.
PMID- 29638026
TI - Bioinspired Hydrogel Interferometer for Adaptive Coloration and Chemical Sensing.
AB - Living organisms ubiquitously display colors that adapt to environmental changes,
relying on the soft layer of cells or proteins. Adoption of soft materials into
an artificial adaptive color system has promoted the development of material
systems for environmental and health monitoring, anti-counterfeiting, and stealth
technologies. Here, a hydrogel interferometer based on a single hydrogel thin
film covalently bonded to a reflective substrate is reported as a simple and
universal adaptive color platform. Similar to the cell or protein soft layer of
color-changing animals, the soft hydrogel layer rapidly changes its thickness in
response to external stimuli, resulting in instant color change. Such
interference colors provide a visual and quantifiable means of revealing rich
environmental metrics. Computational model is established and captures the key
features of hydrogel stimuli-responsive swelling, which elucidates the mechanism
and design principle for the broad-based platform. The single material-based
platform has advantages of remarkable color uniformity, fast response, high
robustness, and facile fabrication. Its versatility is demonstrated by diverse
applications: a volatile-vapor sensor with highly accurate quantitative
detection, a colorimetric sensor array for multianalyte recognition, breath
controlled information encryption, and a colorimetric humidity indicator.
Portable and easy-to-use sensing systems are demonstrated with smartphone-based
colorimetric analysis.
PMID- 29638025
TI - Molecular cloning and expression of FGF2 gene in pre-implantation developmental
stages of in vitro-produced sheep embryos.
AB - Early embryonic mortality is one of the main sources of reproductive loss in
domestic ruminants including sheep. Fibroblast growth factor-2 (FGF-2) is a
member of FGFs family that mediates trophoblast activities and regulates
embryonic development in various species. In this study, we have cloned,
characterized sheep FGF2 cDNA (KU316368) and studied the expression in sheep
embryos. Ovaries of non-pregnant sheep were collected from local abattoir and
matured in culture medium at 38.5oC, 5% CO2 , 95% humidity for 22-24 hr. The
matured oocytes were inseminated with capacitated spermatozoa in Brackett and
Oliphant medium and resulted embryos were cultured in CO2 incubator for 6-7 days
to complete the developmental stages from two cells to blastocyst stage. Total
RNA was extracted from immature oocytes (n = 100), mature oocytes (n = 100) and
different stages of embryos such as 2 cell (n = 50), 4 cell (n = 25), 8 cell (n =
12), 16 cell (n = 6), morula (n = 5) and blastocyst (n = 3). The total RNA
isolated from the oocytes and embryos was reverse transcribed and subjected to
real-time polymerase chain reaction using sequence-specific primers and SYBR
green as the DNA dye. On sequence analysis, the nucleotide sequence of sheep FGF2
exhibited highest sequence similarity with cattle (100%) and least with rat and
mouse (69.2%). At the deduced amino acid level, a highest degree of similarity
was noticed with cattle, buffalo, goat, pig, camel and horse (100%) and lowest
degree of identity with rat, human and mouse (98.2%). The FGF2 mRNA expression
was higher in immature and mature oocytes and gradually decreases from 2-cell
stage of embryo to the blastocyst stage. More over a significant differences in
FGF2 mRNA expression (p < .05) were observed between immature oocytes and all pre
implantation stages of embryo. It can be concluded that FGF-2 plays a significant
role in pre-implantation and early development of embryos in sheep.
PMID- 29638027
TI - Oleic acid enhances keratinocytes differentiation via the upregulation of miR-203
in human epidermal keratinocytes.
AB - BACKGROUND: Oleic acid (OA) is an unsaturated free fatty acid (FFA) constituent
of sebum. FFAs modulate keratinocyte differentiation. In this study, we
determined whether OA affects keratinocyte differentiation in neonatal human
epidermal keratinocytes (HEKn). METHODS: HEKn was grown in EpiLife medium. The
cells were treated with various concentrations of OA. The expression levels of
keratin 10 and involucrin were determined using Western blotting (for the
proteins) and quantitative real time polymerase chain reaction (qRT-PCR) (for the
mRNAs). Cytoskeletal changes were investigated by immunofluorescent staining. The
levels of microRNA (miR)-203 were determined by stem-loop qRT-PCR. The effect of
miR-203 on keratinocyte differentiation was evaluated using anti-miR-203.
RESULTS: Treatment with OA promoted the expression of keratin 10 and involucrin,
which are markers of spinous and granular layer keratinocytes, respectively.
Treatment with OA also induced cell stratification and cytoskeletal changes such
as the concentric ring organization of actin, a loss of planar polarity, and
increased localization of epithelial cadherin (E-cadherin) at cell-cell contacts.
OA increased the expression of miR-203, which is associated with keratinocyte
differentiation, and reduced the expression of p63, a target of miR-203, in HEKn.
Furthermore, transfection with anti-miR-203 suppressed the OA-induced expression
of involucrin. CONCLUSIONS: Oleic acid accelerates keratinocyte differentiation
via the upregulation of miR-203 in HEKn under sub-confluent conditions.
PMID- 29638028
TI - Exercise interventions in healthy older adults with sarcopenia: A systematic
review and meta-analysis.
AB - OBJECTIVE: To systematically assess the effects of exercise interventions on body
composition and functional outcomes in older adults with sarcopenia. METHODS:
PubMed/Medline, Embase and Cochrane Library were searched from 2006 to 2017 for
exercise randomised controlled trials and controlled clinical trials in adults 60
years and older with sarcopenia. Preferred Reporting Items for Systematic Review
and Meta-Analysis protocol (PRISMA-P) and Physiotherapy Evidence Database (PEDro)
scale assessed internal validity. Meta-analysis and sensitivity analysis were
performed. RESULTS: Searches retrieved 1512 titles. Thirty-two full texts were
evaluated, and six trials were included. Methodological quality was 5.5 (0-10).
Meta-analysis revealed that knee-extension strength (P <= 0.01), timed up and go
(P < 0.0001), appendicular muscle mass (P = 0.04) and leg muscle mass (P = 0.04)
significantly improved in response to exercise interventions. CONCLUSIONS:
Exercise interventions significantly improved strength, balance and muscle mass.
However, the number of trials was small and the training effect was inconsistent
due to heterogeneity in exercise mode, duration and intensity. Lack of detailed
description makes it impossible to reflect on the progressive resistance training
approaches used. More research is needed to confirm these findings.
PMID- 29638029
TI - Comparative thermographic analysis at pre- and postcryolipolysis treatment:
Clinical case report.
AB - INTRODUCTION: Although the exact cryolipolysis mechanisms on adipose tissue
remain unknown, the current literature indicates that it may occur a
crystallization followed by an ischemic reaction which generates an inflammatory
process. OBJECTIVE: To evaluate thermographically, the tissue's circulatory
pattern of a patient submitted to the cryolipolysis technique and the beginning
of the inflammatory process, through variations of temperature gradients and the
evaluation of the pains degree of the patient in these same moments. METHODS: A
descriptive study, of an interventional case report, performed in an 18-year-old
patient who had clearly visible fat in the lower abdomen area, with a skin fold
greater than 2 centimeters and body mass index <= 30 kg/m2 . RESULTS: Comparing
the maximum temperatures showed on the last thermography with the pre-application
image, an increase of 0.4 degrees C was observed, which is suggestive of
abnormality. Regarding the minimum temperatures verified, there was a
significative decrease in the temperature with the procedure, which was observed
on the last 5 minutes (DeltaT = 9.8 degrees C). However, in 48 hour, the minimum
temperature began to recover (DeltaT = 0.3 degrees C). CONCLUSION: The observed
data indicate that there was a significant decrease in the temperature during the
cooling phase, whereas in the recovery phase, the inverse was found.
PMID- 29638030
TI - Interfacial Passivation of the p-Doped Hole-Transporting Layer Using General
Insulating Polymers for High-Performance Inverted Perovskite Solar Cells.
AB - Organic-inorganic lead halide perovskite solar cells (PVSCs), as a competing
technology with traditional inorganic solar cells, have now realized a high power
conversion efficiency (PCE) of 22.1%. In PVSCs, interfacial carrier recombination
is one of the dominant energy-loss mechanisms, which also results in the
simultaneous loss of potential efficiency. In this work, for planar inverted
PVSCs, the carrier recombination is dominated by the dopant concentration in the
p-doped hole transport layers (HTLs), since the F4-TCNQ dopant induces more
charge traps and electronic transmission channels, thus leading to a decrease in
open-circuit voltages (VOC ). This issue is efficiently overcome by inserting a
thin insulating polymer layer (poly(methyl methacrylate) or polystyrene) as a
passivation layer with an appropriate thickness, which allows for increases in
the VOC without significantly sacrificing the fill factor. It is believed that
the passivation layer attributes to the passivation of interfacial recombination
and the suppression of current leakage at the perovskite/HTL interface. By
manipulating this interfacial passivation technique, a high PCE of 20.3% is
achieved without hysteresis. Consequently, this versatile interfacial passivation
methodology is highly useful for further improving the performance of planar
inverted PVSCs.
PMID- 29638031
TI - Overrated hedonic judgment of odors in patients with schizophrenia.
AB - AIMS: The odor identification ability and its hedonic judgment in patients with
schizophrenia were evaluated in the study. The association between olfactory
performance and negative symptoms and beta-endorphin concentration was also
analyzed. METHODS: Study groups consisted of 23 patients with negative symptoms
(PN) and 25 without predominant negative symptoms (PP) and 21 healthy
individuals. The University of Pennsylvania Smell Identification Test, odor
hedonic evaluation, and plasma concentrations of beta-endorphin assay in all
participants were performed. RESULTS: PN perceived the poorer olfactory
identification; nevertheless, they evaluated unpleasant odors as more pleasant
than PP and controls. Beta-endorphin concentration was significantly higher among
PN than in other study groups. No association was observed between beta-endorphin
and odors identification and odor hedonic judgment among all study groups.
CONCLUSIONS: There is potential relationship between increased beta-endorphin
concentration and severity of negative symptoms. Patients with predominant
negative symptoms tend to evaluate odors as significantly more pleasant.
Individuals with this subtype of schizophrenia might present specific, altered
pattern of smell identification and hedonic judgment. Presumably, beta-endorphin
has no direct influence on olfactory identification performance and hedonic
judgment in schizophrenia.
PMID- 29638033
TI - Commentary on Degenhardt et al. (2018): Cause or consequence? Disentangling the
relationship between psychosis and substance use.
PMID- 29638035
TI - Post-thyroidectomy suture granuloma: A diagnosis on fine needle aspiration
cytology.
AB - Suture granulomas result from the use of nonabsorbable suture and is an
infrequent complication following thyroidectomy. When they occur, suture
granulomas may mimic both benign and malignant diagnoses, posing a potential
diagnostic challenge. Ultrasound-guided fine needle aspiration cytology is an
accurate diagnostic modality for the workup of nodules within the thyroidectomy
bed. We herein present 2 cases of post-thyroidectomy suture granulomas,
presenting as a painless, palpable mass in the surgical bed and occurring as a
late complication of thyroidectomy that were diagnosed on fine needle aspiration
cytology.
PMID- 29638036
TI - Diagnosis of pseudo-gout (calcium pyrophosphate deposition disease) clinched on
cytology.
AB - Calcium pyrophosphate dihydrate (CPPD) deposition disease is a metabolic
arthropathy which results from deposition of calcium pyrophosphate crystals in
and around joints especially the hyaline cartilage and disc material. This
metabolic disease in uncommon in the smaller joints and multiple diagnostic
modalities along with biochemical investigations would be necessary for definite
diagnosis. We highlight the case of a 48 year old male who presented with a
painful mass at the base of left thumb and was clinic-radiologically suspected as
malignancy. Cytological examination (fine needle aspiration cytology [FNAC])
showed numerous extracellular rods shaped and rhomboid blunt-ended crystals along
with foreign body type of giant cells. The main emphasis here is on the pivotal
role played by FNAC in accurately diagnosing the disease where other modalities
like radiology and histopathology failed to do so. The exact categorization of
crystals is important from treatment point of view to avoid any major deformities
in the joints/systemic manifestation.
PMID- 29638037
TI - Robust Production of Ultrahigh Surface Area Carbon Sheets for Energy Storage.
AB - Nanostructured carbon materials play essential roles in electrochemical energy
storage devices. However, scalable production of high surface area carbon with a
cost-effective process while controlling the morphology is challenging. Herein, a
one-step procedure to produce carbon sheets with very high specific surface area
up to 3411 m2 g-1 by direct pyrolysis of dipotassium ethylene diamine
tetraacetate is reported. Unlike that of biomass-pyrolyzed carbons, the surface
area of prepared carbon sheets is not sensitive to pyrolysis conditions (e.g.,
heating temperature and time), which makes the production robust and scalable.
Moreover, the pore structure is stable against posttreatments, including solvent
washing, which are detrimental to that of graphene-based soft sheet assemblies.
When used as supercapacitor electrodes, the ultrahigh surface area carbon sheets
(HSACS) show a high specific capacitance of 268 F g-1 at 5 mV s-1 , and retain
70% of the capacitance at 100 times higher scan rate in 6 m KOH aqueous
electrolyte. Furthermore, the HSACS also exhibit a high specific capacitance of
266 F g-1 within a 1.6 V symmetric supercapacitor potential window in 2 m Li2 SO4
aqueous electrolyte. The symmetric supercapacitor delivers a maximum specific
energy of 23.6 W h kg-1 and high power density of 6.4 kW kg-1 .
PMID- 29638038
TI - Genetic characterization of a novel group of avastroviruses in geese.
AB - As part of aetiological studies, we tested 28 samples collected from domestic
geese showing enteritis and sporadic death. A novel group of avastroviruses was
found in six samples. One isolate was completely sequenced, which comprised 7,148
nucleotides. Pairwise comparisons and phylogenetic analysis demonstrated that the
goose astrovirus is highly divergent from all previously described
avastroviruses. Our data support the view that domestic geese can be infected by
astroviruses with different genetic backgrounds, and have raised a concern about
the role of domestic geese as reservoirs for diverse astroviruses.
PMID- 29638039
TI - Engineering a pH-Sensitive Liposomal MRI Agent by Modification of a Bacterial
Channel.
AB - MscL is a bacterial mechanosensitive channel that serves as a cellular emergency
release valve, protecting the cell from lysis upon a drop in external osmolarity.
The channel has an extremely large pore (30 A) and can be purified and
reconstituted into artificial membranes. Moreover, MscL is modified to open in
response to alternative external stimuli including changes in pH. These
properties suggest this channel's potential as a triggered "nanopore" for
localized release of vesicular contents such as magnetic resonance imaging (MRI)
contrast agents and drugs. Toward this end, several variants of pH-triggered MscL
nanovalves are engineered. Stealth vesicles previously been shown to evade normal
in vivo clearance and passively accumulate in inflamed and malignant tissues are
reconstituted. These vesicles are loaded with 1,4,7,10-tetraazacyclododecane
tetraacetic acid gadolinium complex (Gd-DOTA), an MRI contrast reagent, and the
resulting nanodevices tested for their ability to release Gd-DOTA as evidenced by
enhancement of the longitudinal relaxation rate (R1 ) of the bulk water proton
spins. Nanovalves that are responsive to physiological pH changes are identified,
but differ in sensitivity and efficacy, thus giving an array of nanovalves that
could potentially be useful in different settings. These triggered nanodevices
may be useful in delivering both diagnostic and therapeutic agents.
PMID- 29638040
TI - An unusual case of anemia in a cardiac transplant recipient.
AB - Anemia is a well-described comorbidity in patients with heart failure and has
been associated with decreased survival rates after heart transplant. The causes
of anemia are broad, and identification of the underlying etiology is critical
for management. Herein, we report an unusual case of severe anemia complicating
cardiac transplantation.
PMID- 29638041
TI - L-rhamnose induces browning in 3T3-L1 white adipocytes and activates HIB1B brown
adipocytes.
AB - Induction of the brown adipocyte-like phenotype in white adipocytes (browning) is
considered as a novel strategy to fight obesity due to the ability of brown
adipocytes to increase energy expenditure. Here, we report that L-rhamnose
induced browning by elevating expression levels of beige-specific marker genes,
including Cd137, Cited1, Tbx1, Prdm16, Tmem26, and Ucp1, in 3T3-L1 adipocytes.
Moreover, L-rhamnose markedly elevated expression levels of proteins involved in
thermogenesis both in 3T3-L1 white and HIB1B brown adipocytes. L-rhamnose
treatment in 3T3-L1 adipocytes also significantly elevated protein levels of p
HSL, p-AMPK, ACOX, and CPT1 as well as reduced levels of ACC, FAS, C/EBPalpha,
and PPARgamma, suggesting its possible role in enhancement of lipolysis and lipid
catabolism as well as reduced adipogenesis and lipogenesis, respectively. The
quick technique of efficient molecular docking provided insight into the strong
binding of L-rhamnose to the fat-digesting glycine residue of beta3 -adrenergic
receptor (AR), indicating strong involvement of L-rhamnose in fat metabolism.
Further examination of the molecular mechanism of L-rhamnose revealed that it
induced browning of 3T3-L1 adipocytes via coordination of multiple signaling
pathways through beta3 -AR, SIRT1, PKA, and p-38. To the best of our knowledge,
this is the first study to demonstrate that L-rhamnose plays multiple modulatory
roles in the induction of white fat browning, activation of brown adipocytes, as
well as promotion of lipid metabolism, thereby demonstrating its therapeutic
potential for treatment of obesity. (c) 2018 IUBMB Life, 70(6):563-573, 2018.
PMID- 29638042
TI - Microfluidic enrichment of plasma cells improves treatment of multiple myeloma.
AB - Cytogenetic alterations form the basis for risk stratification for multiple
myeloma (MM) and guide the selection of therapy; however, current pathology
assays performed on bone marrow samples can produce false-negatives due to the
unpredictable distribution and rarity of MM cells. Here, we report on a
microfluidic device used to facilitate CD45 depletion to enhance the detection of
cytogenetic alterations in plasma cells (PCs). Bone marrow samples from 48
patients with MM were each divided into two aliquots. One aliquot was subjected
to classic flow cytometry and fluorescent in situ hybridization (FISH). The other
first went through CD45+ cell depletion, further enriched by microfluidic size
selection. The enriched samples were then analyzed using flow cytometry and FISH
and compared to those analyzed using the classic method only. Unlike the
traditional method, the microfluidic device removed the CD45+ leukocytes and
specifically selected PCs from the remaining white blood cells. Therefore, the
microfluidic method (MF-CD45-TACs) significantly increased the percentage of
CD38+ /CD138+ cells to 37.7 +/- 20.4% (P < 0.001) from 10.3 +/- 8.5% in bone
marrow. After the MF-CD45-TAC enrichment, the detection rate of IgH
rearrangement, del(13q14), del(17p), and 1q21 gains, rose to 56.3% (P < 0.001),
37.5% (P < 0.001), 22.9% (P < 0.001), and 41.7% (P = 0.001), respectively; all
rates of detection were significantly increased compared to the classically
analyzed samples. In this clinical trial, this microfluidic-assisted assay
provided a precise detection of cytogenetic alterations in PCs and improved
clinical outcomes.
PMID- 29638043
TI - Assessing the usefulness of B-mode and colour Doppler sonography, and
measurements of circulating progesterone concentrations for determining ovarian
responses in superovulated ewes.
AB - The main goal of this study was to assess the usefulness of two imaging
modalities, namely the B-mode and colour Doppler sonography, and serum
progesterone (P4 ) concentrations for determining the ovarian response in
superovulated ewes. Twenty-four sexually mature Santa Ines ewes underwent the
superovulatory treatment consisting of eight injections of porcine FSH (total
dose of 200 or 133 or 100 mg; n = 8 ewes/total dose) given at 12-hr intervals and
initiated 48 hr before CIDR(r) (Pfizer Inc., Auckland, New Zealand) removal. Six
days after natural mating, the ovaries of all donor ewes were visualized and
examined with transrectal ultrasonography and then with videolaparoscopy to
identify and enumerate corpora lutea (CL) and luteinized unovulated follicles
(LUFs). Jugular blood samples were collected just prior to ovarian examinations.
The total number of CL (r = .78 and 0.83, p < .0001) and LUFs (r = .74 and 0.90,
p < .0001) enumerated using the B-mode and colour Doppler ultrasonographic
technique, respectively, were correlated with that ascertained by
videolaparoscopy. Circulating concentrations of P4 were related directly to the
number of healthy CL (r = .73, p = .0002) and inversely to the number of
prematurely regressing CL (r = -.46, p = .03), but the accuracy of predicting the
number of short-lived CL with serum P4 concentrations was very poor. The present
results indicate that ultrasonographic imaging and serum P4 measurements on the
day of embryo recovery are useful indicators of total/normal CL numbers and both
ultrasonographic techniques can be used to quantify LUFs in superovulated ewes.
PMID- 29638044
TI - Undiagnosed HIV infections among gay and bisexual men increasingly contribute to
new infections in Australia.
AB - INTRODUCTION: We determined the contribution of undiagnosed HIV to new infections
among gay and bisexual men (GBM) over a 12-year period in Australia where there
has been increasing focus on improving testing and HIV treatment coverage.
METHODS: We generated annual estimates for each step of the HIV cascade and the
number of new HIV infections for GBM in Australia over 2004 to 2015 using
relevant national data. Using Bayesian melding we then fitted a quantitative
model to the cascade and incidence estimates to infer relative transmission
coefficients associated with being undiagnosed, diagnosed and not on ART, on ART
with unsuppressed virus, or on ART with suppressed virus. RESULTS: Between 2004
and 2015, we estimated the percentage of GBM with HIV in Australia who were
unaware of their status to have decreased from 14.5% to 7.5%. During the same
period, there was a substantial increase in the number and proportion of GBM
living with HIV on treatment and with suppressed virus, with the number of
virally suppressed GBM increasing from around 3900 (30.2% of all GBM living with
HIV) in 2004 to around 14,000 (73.7% of all GBM living with HIV) in 2015. Despite
the increase in viral suppression, the annual number of new infections rose from
around 660 to around 760 over this period. Our results have a wide range due to
the uncertainty in the cascade estimates and transmission coefficients.
Nevertheless, undiagnosed GBM increasingly appear to contribute to new
infections. The proportion of new infections attributable to undiagnosed GBM
almost doubled from 33% in 2004 to 59% in 2015. Only a small proportion (<7%)
originated from GBM with suppressed virus. DISCUSSION: Our study suggests that an
increase in HIV treatment coverage in Australia has reduced the overall risk of
HIV transmission from people living with HIV. However, the proportion of
infections and the rate of transmission from undiagnosed GBM has increased
substantially. These findings highlight the importance of HIV testing and
intensified prevention for Australian GBM at high risk of HIV.
PMID- 29638046
TI - Bacteriological findings in the canine uterus during Caesarean section performed
due to dystocia and their correlation to puppy mortality at the time of
parturition.
AB - Canine intrauterine bacteriological flora during dystocia is unknown. Thus,
frequency (bacterial growth (not) detected), quality (species and number of
different bacterial isolates) and quantity (colony-forming units) of intrauterine
bacteria in relation to in utero foetal death in 50 bitches undergoing emergency
Caesarean section were investigated. Bacterial growth was quantified from single
colonies, (+) (0.5), to strong growth, +++ (3) and was observed in 34 bitches
(68%), with Staph. epidermidis (n = 12), Staph. intermedius-group (n = 7), beta
haemolytic streptococci (n = 6), Staph. aureus, alpha- and gamma-haemolytic
streptococci (n = 4 each) being most common and one to four bacteria per sample.
Regarding the quantity, most often (n = 46) low growth was identified. In bitches
with living pups only (group I), mean number of isolates was 0.78 +/- 0.83
compared to 1.60 +/- 1.10 (living + stillborn pups, group II) and 1.0 +/- 1.15
(stillborn pups only, group III) and mean bacterial growth in groups I/II/III was
+ (1.0, quantity), + (1.4) and ++ (1.6). Taking just positive samples into
consideration, mean number of bacterial isolates was significantly higher in
group II compared to I (p = .0088). We concluded that the canine uterus cannot be
considered free of bacteria during dystocia. Mean numbers of different bacterial
isolates and quantity of bacterial growth are higher in bitches with in utero
foetal death.
PMID- 29638045
TI - ACE inhibition in goats under fixed-time artificial insemination protocol
increases the pregnancy rate and twin births.
AB - To assess the effect of the angiotensin-converting enzyme (ACE) inhibition on the
efficiency of the fixed-time artificial insemination (TAI), 69 goats were divided
randomly into two groups: enalapril (n = 35) and control (n = 34). In the
experiment, all animals underwent the protocol of fixed-time artificial
insemination for 12 days. Enalapril group received enalapril maleate dissolved in
saline (Enalapril, Lab Teuto Ltda) subcutaneously at the following doses: 0.2
mg/kg/day in D0-D2; 0.3 mg/kg/day in D3-D6 and 0.4 mg/kg/day in D7-D11. The
control group received the corresponding volume of 0.9% saline solution. We
performed a single insemination 36 hr after sponge removal using frozen semen
from two adult male goats with recognized fertility. The ultrasound pregnancy
diagnosis was 30 days after the artificial insemination (AI). There was
significant increase in pregnancy rates and twinning as well as a decrease in
foetal loss in animals receiving enalapril (p < .01). The use of ACE inhibitors
during the TAI protocol was shown to be a promising alternative to increase the
efficiency of such reproductive biotechnology.
PMID- 29638047
TI - In vivo study of self-assembled alkylsilane coated degradable magnesium devices.
AB - Magnesium (Mg) and its alloys are candidate materials for resorbable implantable
devices, such as orthopedic devices or cardiovascular stents. Mg has a number
advantages, including mechanical properties, light weight, its osteogenic effects
and the fact that its degradation products are nontoxic and naturally present in
the body. However, production of H2 gas during the corrosion reaction can cause
formation of gas pockets at the implantation site, posing a barrier to clinical
applications of Mg. It is therefore desirable to develop methods to control
corrosion rate and gas pocket formation around the implants. Here we evaluate the
potential of self-assembled multilayer alkylsilane (AS) coatings to control Mg
device corrosion and formation of gas pockets in vivo and to assess effects of
the AS coatings on the surrounding tissues in a subcutaneous mouse model over a 6
weeks' period. The coating significantly slowed down corrosion and gas pocket
formation as evidenced by smaller gas pockets around the AS coated implants
(ANOVA; p = 0.013) and decrease in the weight loss values (t test; p = 0.07).
Importantly, the microCT and profilometry analyses demonstrated that the coating
inhibited the pitting corrosion. Specifically, the roughness of the coated
samples was ~30% lower than uncoated specimen (p = 0.02). Histological assessment
of the tissues under the implant revealed no inflammation or foreign body
reaction. Overall, our results demonstrate the feasibility of use of the seld
assembled AS coatings for reduction of gas pocket formation around the resorbable
Mg devices. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl
Biomater, 2018.
PMID- 29638048
TI - Tissue reaction after subcutaneous implants of a new material composed of
ethylene-vinyl acetate and starch for future use as a biomaterial.
AB - This study aimed to evaluate the tissue reaction of ethylene-vinyl acetate (EVA)
in 4 different compositions and processing: EVA foamed at high pressure with
ultrasound (EVACU); EVA with 15% starch foamed at high pressure with ultrasound
(EVAMCU); EVA with 15% starch foamed at high pressure without ultrasound and EVA
foamed at high pressure without ultrasound as future use as a porous scaffold.
Scanning electron microscopy images showed the influence of starch, reducing the
diameter of pores. The number of open pores was also reduced with the addition of
starch. The ultrasound applied during the manufacturing of composites does not
affect these characteristics. Eighteen rats were used to test the tissue reaction
of materials and PTFE (polytetrafluoroethylene), proven biocompatible material.
After 7, 15, and 60 days of surgery, the materials were removed and processed for
microscopic evaluation and counting of the inflammatory infiltrate. The data
shows inflammatory reaction similar to PTFE. However, in the quantitative
analysis at 60 days, the EVACU and EVAMCU showed less quantity of mononuclear
cells (p < 0.05). Thus, the results suggest that the use of ultrasound in the
production method (EVA) seems to have improved cell behavior regarding the
reduction of infiltration over the period, with tissue response equivalent to the
PTFE. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater,
2018.
PMID- 29638049
TI - Visual Evoked Potentials and Glaucoma.
AB - Visual evoked potentials (VEP) can be used to assess the function of the visual
system objectively. Research on VEP testing as a method of glaucoma evaluation
has been performed for many years. Pattern VEP has shown good specificity and
sensitivity in the detection of glaucoma in some studies, but other studies have
not shown similar efficacy. Multifocal VEP can produce a topographical measure of
glaucomatous damage and has been shown to be able to detect a similar number of
defects in patients with glaucoma or ocular hypertension as compared with the
visual field test. Despite promising data on these VEP test modalities in the
assessment of glaucoma, multiple aspects of test administration make their
routine use impractical in a clinical setting. New VEP testing modalities, such
as short-duration transient VEP and isolated-check VEP, allow the test to be
performed more quickly and easily. Further research on these more recent
technologies may allow us to use VEP effectively in the diagnosis and management
of glaucoma.
PMID- 29638050
TI - EVOLVING SCENARIO OF PHARMACEUTICAL CARE IN PAKISTAN AND OTHER COUNTRIES: HEALTH
IMPACT ASSESSMENT IN PUBLIC HEALTH PRACTICE.
AB - Worldwide, pharmaceutical care has been recognized as the primary mission of
pharmacy. According to the philosophy of pharmaceutical care, pharmacist is not
only responsible to dispense the medicines but also responsible to improve the
patient's quality of life. Pharmaceutical care practice is required to be
introduced in the developing countries to decrease drug related mortality and
morbidity. This paper aimed to highlight the quality of pharmaceutical care
practice in the developing countries, predominantly in Pakistan. The paper
highlights the health status and current scenario and barriers to pharmaceutical
care practice in Pakistan. Pharmacists in Pakistan are not much involved in the
provision of pharmaceutical care services due to a number of barriers that
include insufficient number of pharmacists, lack of proper time, inadequate
skills and training, lack of fmancial support and limited recognition of
pharmacists in the public. A majority of community pharmacies are running without
a pharmacist under the supervision of unprofessional personnel.
PMID- 29638051
TI - QUANTIFICATION AND PHARMACOKINETICS OF 1 -METHYLPYRIDINIUM AND 1,4
DIMETHYLPYRIDINIUM IN RATS BY LIQUID CHROMATOGRAPHY TANDEM MASS SPECTROMETRY.
TISSUE DISTRIBUTION OF 1,4-DIMETHYLPYRIDINIUM IN RATS.
AB - A sensitive and specific liquid chromatography tandem mass spectrometry method
for quantification of 1-methylpyridinium (1-MP) and 1,4-dimethylpyridinium (1,4
DMP) in rat plasma and tissues homogenates was developed. Chromatographic
separation was performed on an Aquasil C18 analytical column with an isocratic
elution of acetonitrile and water, both with an addition of formic acid (0.1%,
v/v). Detection was achieved by triple quadrupole mass spectrometer TSQ Quantum
Ultra equipped with a heated electrospray ionization source (HESI). The limit of
quantification for both compounds was 0.05 pg/mL in plasma and 0.25 MUg/g in
studied tissues. The method was applied to pharmacokinetics and bioavailability
of both 1-MP and 1,4-DMP with tissue distribution of 1,4-DMP in rats.
Pharmacokinetic studies of 1-MP and 1,4-DMP were carried out following their
intravenous or intragastric administration to male Wistar rats at the dose of 100
mg/kg. The terminal half-lives of I-MP and 1,4-DMP after their intravenous
administration were 55.3 and 70.8 min, respectively. The absolute bioavailability
was 51 and 31% for t-MP and 1,4-DMP, respectively.
PMID- 29638052
TI - DETERMINATION OF TENOXICAM IN THE PLASMA BY REVERSE PHASE HPLC METHOD USING
SINGLE STEP EXTRACTION TECHNIQUE: A RELIABLE AND COST EFFECTIVE APPROACH.
AB - A simple and cost effective RPLC-UV bio-analytical method was developed and used
for tenoxicam quantification on ODS Hypersil C-18 column using classical liquid
liquid extraction technique for sample preparation. Acetonitrile was used as
precipitating agent for plasma proteins and supernatant was taken for injection
without any further modification. The bio-analytical method depends upon
isocratic elution using binary mixture of aqueous 0.1 M potassium dihydrogen
phosphate and acetonitrile in 6 : 4 ratio. The pH of mobile phase was adjusted to
2.8 which favor tenoxicam to remain undissociated throughout the analysis. The
optimized flow rate of 1.0 mL/min provided proper separation of peaks and column
clean up within 5 min. The UV detection was achieved at 381 nm and 4.29 min.
Reproducible calibration curve gave 0.325 MUg/mL LOQ, linear dynamic range from
0.325 to 20 MUg/mL and recovery from plasma was 98.5% with %CV 0.2314 achieved.
After validation, the method was applied in pharmacokinetic study in healthy
human volunteers (n = 8). The pharmacokinetic parameters were evaluated using
kinetica version 4.1.1. The values of C. and area under curve for current study
were 1.776 +/- 0.003 pg/mL and 179.97 +/- 0.0681 (mean +/- SEM) pg x h/mL. The
values of t, and volume of distribution for tenoxicam in current study were
74.103 0.167 h (mean +/- SEM) and 11.962 +/- 0.0677 L/kg (mean +/- SEM),
respectively. This method was simple, sensitive and successfully applied in
pharmacokinetic studies. It can be extended to bioequivalence studies and
evaluation of tenoxicam in different clinical situations.
PMID- 29638053
TI - THE EFFECT OF METHANOL USED AS VEHICULUM ON SERUM PHENACETIN CONCENTRATION IN THE
RAT.
AB - The xenobiotic absorption process is dependent on many factors, related both to
the substance and form of its administration. During administration of small
amounts of drugs, the effect of vehiculum on drug fate in the body becomes also
evident. The intensity of absorption depends on numerous factors not necessarily
related to the substance and its formulation, and also on biotransformation and
active transport processes. Additional problem is the fact that many medicines
are lipophilic compounds and insoluble in the water (e.g. phenacetin). Methanol
and its aqueous solutions facilitate administration to the experimental animals,
in the dissolved form of a number of medicines practically insoluble in water.
Taking into consideration that methanol is particularly for rats, of low
toxicity, it is quite frequently applied as vehiculum. The aim of this study was
to investigate the potential interactions that may occur during the use of
methanol as vehiculum and compare changes when were used solution 1% of
carboxymethylcellulose. The study was performed on male Wistar rats. The tests
were performed using phenacetin, which is recognized as biomarker of CYP 2E 1
isoform activity. Phenacetin was given per os in a single dose of 100 mg/kg b. w.
Various procedures of phenacetin administration were tested, including
solubilization in methanol or suspension in 1% water solution of
carboxymethylcellulose. The results of this study show that methanol influences
the phenacetin bioavailability and kinetics. Comparing the administration of this
drug in methanol solutions against 1% of carboxymethylcellulose, it is in the
case of phenacetin triple increase in AUC0-4 h. The presence of methanol affects
the shape of kinetic curves of phenacetin causing higher their course until 4
hours after administration.
PMID- 29638054
TI - CYTOTOXIC AND GENOTOXIC STUDIES OF QUERCETIN, QUERCETIN SODIUM SALT AND QUERCETIN
COMPLEXES WITH NICKEL (II) AND ZINC (II).
AB - The aim of this study was to compare the cyto- and genotoxic effects of
quercetin, quercetin sodium salt as well as its complexes with nickel (II) and
zinc (II) with the use of Escheiichia coli K-12 recA::gfp microbial biosensor
strain containing transcriptional fusion between DNA-damage genotoxin-inducible
recA promoter involved in the SOS regulon response and fast folding GFP (green
fluorescent protein) variant reporter gene - gfpnmt2. Obtained results indicate
that recA::gfpmut2 genetic system was a sensitive biosensor to the most of tested
chemicals. The complex of quercetin with sodium, nickel and zinc increased (and
in some cases modulated) the reactivity of ircA promoter in relation to control
sample. The results indicated that E. coli K-12 iecA::gfp mut2 strain could be
potentially useful for monitoring of cytotoxic and genotoxic effect of some
biological natural compounds, potentially used in anticancer chemoprevention and
therapy.
PMID- 29638055
TI - DESIGN, SYNTHESIS AND ANTICANCER ACTIVITY OF SOME NOVEL 1,2,4-TRIAZOLES CARRYING
BIOLOGICALLY ACTIVE SULFONAMIDE MOIETIES.
AB - Thirteen novel 1, 2, 4-triazoles incorporating a biologically active sulfonamide
moieties 1-13 were designed and synthesized. The structures of the prepared
compounds were elucidated on the basis of elemental analyses, IR, 'H-NMR, "C-NMR
and mass spectral data. All the newly synthesized compounds were evaluated for
their in vino anticancer activity against various cancer cell lines. The
corresponding triazole carrying a biologically active free sulfonamide with
unsubstituted phenyl ring 1 and triazole bearing sulfonamide with
dimethylpyrimidine 11 were the most potent in this study which showed higher
activity than the reference drug 2',7'-dichlorofluorescein (DCF). Cytotoxic
screening of the tested compounds could offer an encouraging framework in the
field that may lead to the discovery of potent anticancer activity.
PMID- 29638056
TI - SYNTHESIS AND ANTIMICROBIAL ACTIVITY OF 5-SUBSTITUED 4-THIAZOLIDINONES WITH
SULFANILAMIDE PHARMACOPHORE.
AB - After incorporation pharmacophores of allylamine and sulfanilamide into 4
thiazolidinone's ring - no antimicrobial activity was determined. This outcome
stimulated synthesis of new group - 5-substituted 4-thiazolidinones. In the
literature it is noted that the fragment of aldehyde in 5 position of 4
thiazolidinone's ring should give or increase biological activity. So, it was
decided to incorporate fragment of aldehyde into 4-thiazolidinone's ring together
with sulfanilamide pharmacophore, investigate antimicrobial activity and compare
it with initial compounds - sulfanilamides. It was established that new compounds
suppressed growth of S. arteus, E. coli, B. subtilis, P. mirabilis, C. albicans.
Sulfanilamide, sulfapyridine and/or 2-chlorobenzaldehyde were incorporated into
the structure of the most active compounds. It was concluded that synthesis of 4
thiazolidinones substituted by aldehyde in 5 position and sulfanilamide in 2
position are not potential antimicrobial agents.
PMID- 29638057
TI - SYNTHESIS, BIOLOGICAL EVALUATION AND MOLECULAR DOCKING STUDIES OF AROMATIC
SULFONAMIDE DERIVATIVES AS ANTI-INFLAMMATORY AND ANALGESIC AGENTS.
AB - In this study, [4-(N-substituted sulfamoyl)phenyl]carbonohydrazonoy dicyanides 3a
c were synthesized and condensed with various hydrazine hydrate derivatives to
produce the corresponding 3,5-diaminopyrazole derivatives 4-9, respectively.
Furthermore, condensation of 3b with ax-naphthol, urea and thiourea yielded the
pyrimidine derivatives 10 and 11a,b, respectively. Also, condensation of 3b with
hydroxylamine hydrochloride produced the isoxazole derivative 12. Treatment of 3b
with different secondary amines afforded the piperidine and piperazine
derivatives 13a-c, respectively, while its condensation with diamines yielded the
corresponding diazepine, benzodiazepine and benzooxazepine derivatives 14-16.
Reaction of 3b with malononitrile or diazonium salt 2b with MND followed by
treatment with malononitrile afforded the pyrido-pyridazine derivative 18. Anti
inflammatory and analgesic evaluation of some of the synthesized compounds as
representative examples exhibited equipotent activity to that of the reference
drug celecoxib. The ulcerogenic potential of the tested derivatives showed a
complete safety profile on G.I.T. system. Molecular docking studies showed that
the tested compounds induced good fitting and forming different hydrogen bonds
with the amino acid residues at the active sites of COX-2 enzyme.
PMID- 29638058
TI - SYNTHETIC DERIVATIVES OF ISOQUINOLINE, DICARBOXYLIC ACID IMIDES AND THIOIMIDES AS
BIOACTIVE COMPOUNDS.
AB - This study is a continuation of a research program aimed at identifying potent
drugs against bacterial infections, in which a series of organic compounds:
dicarboxylic acid imides and thioimides, isoquinoline derivatives and open chain
compounds, were examined for antimicrobial properties against Staphylococcus
auneus and Escheiichia coli. In effect of this investigation, the most active
compounds (35-40, 47) were selected for in vitiv tests against fourteen
clinically important pathogenic isolates, the methicillin resistant
Staphylococcus aueus (MRSA) and several reference Gram-negative bacteria: Prteus
vulgaris, Pseudomonas aeruginosa, Klebsiella pneumonia, Stenonophoinonas
inaltophilia, and Acinetobacter baumannii. The obtained data revealed that seven
compounds (three dithioimides, 35, 39, 47, and four thioimides, 36-38, 40)
exhibit effective antibacterial activity against the tested Staphylococcus auirus
MSSA and MRSA strains. Among them, dicarboxylic acid thioimides 37 and 38 were
proven to be the most active.
PMID- 29638059
TI - IN SILICO ADME STUDIES OF POLYAMINE CONJUGATES AS POTENTIAL ANTICANCER DRUGS.
AB - The ADME properties and drug-likeness of several polyamine derivatives containing
dimeric phthalimide, quinoline, cinnoline and chromone moieties with three
different polyamines: 1,4-bis(3-aninopropyl)piperazine, 4,9-dioxa-1,12
dodecanediamine and 3,3-diamino-N-methyldipropylamine as linkers were presented.
These compounds are structurally related to DNA bisintercalators, a group of
agents with anti-cancer activity. Their biological properties were previously
assessed in vitio in a highly aggressive melanoma cell line A375. Current studies
evaluate their potential to be qualified as drugs by performing in silico ADME
screening. The research is carried out using Discovery Studio 3.0 ADMET protocol
obtained from Accelrys and might be useful for further developing and
optimization of potential anticancer drugs.
PMID- 29638060
TI - SYNTHESIS AND IMMUNOREGULATORY PROPERTIES OF SELECTED 5-AMINO-3-METHYL-4
ISOXAZOLECARBOXYLIC ACID BENZYLAMIDES.
AB - The aim of the study was to characterize a series of isoxazole derivatives in
several immunological tests in vitro and in vivo, in mouse and human models. The
human model included measurement of: viability of peripheral blood mononuclear
cells (PBMC), phytohemagglutinin A (PHA)-induced proliferation of PBMC,
production of tumor necrosis factor a (TNF a) in whole blood cultures stimulated
with lipopolysaccharide (LPS) and growth of SW-948 and L1210 tumor cell lines.
Experiments in mice encompassed the following tests: secondary, humoral immune
response splenocytes to sheep erythrocytes (SRBC) in vitiv, delayed type
hypersensitivity (DTH) to ovalbumin (OVA) and carrageenan-induced foot edema. All
compounds were non-toxic against PMBC and displayed differential, dose-dependent
suppressive properties in the model of PHA- induced PMBC proliferation. They also
exhibited differential, mostly inhibitory effects on TNF a production. The
inhibitory actions on growth of tumor cell lines were moderate. M05 (5-amino-3
methyl-N-(4-methyl-benzyl)-4-isoxazolecarboxamide) was most suppressive in the
proliferation and TNF a production tests, it was, therefore, selected for in
vitro and in vivo studies in the mouse models. The compound inhibited the humoral
immune response in vitro, stimulated the inductive phase of DTH in vivo, although
it inhibited the eliciting phase of that response. The compound also inhibited
the carrageenan skin reaction. M05 combines strong anti-proliferative and anti
inflammatory activities, it is therefore attractive for further studies in more
advanced animal models as a potential therapeutic.
PMID- 29638062
TI - ANTIOXIDANT, ANTIBACTERIAL AND GUT MODULATING ACTIVITIES OF KALANCHOE LACINIATA.
AB - Kalanchoe laciniata (L.) DC. (Crassulaceae) is a widely distributed plant in
Africa and Asia. Traditionally, various communities use this plant for the
treatment of a variety of ailments such as gut prob- lems, allergic conditions
and wounds. The current study was designed to explore the antibacterial,
antioxidant and gut modulating activities of K. laciniata in order to provide
scientific rationale for its traditional uses. Phytochemical compounds were
assessed through screening 70% crude methanolic extract of K. laciniata. Its gut
modulatory activity was evaluated by in vitro tissue experiments on rabbit
jejunum which yielded maximal spasmogenic response of 28.4 +/- 4.6% (n = 4) at 3
mg/mL, while spasmolytic response was recorded with EC50 value of 3.2 mg/mL (2.8
3.5, 95% CI, n = 5). In antibacterial assays crude extract was found effective
against Stapllococcus aurus and Bacillus subtilis, with MIC value of 5 and 2.5
mg/mL, respectively. The testing of the methanolic crude extract for antioxidants
resulted in total phenolic contents of 27.8 +/- 1.8 mg GAE/g DW and 22.7 +/- 2.1
mg AAE/g DW total antioxidant activity. It also scavenged 17.3 +/- 3.0% of DPPH
free radical when compared with quercitin.
PMID- 29638061
TI - ASSESSMENT OF SOME BIOLOGICAL ACTIVITIES OF ALYSSUM L. KNOWN AS MADWORT.
AB - In this research the phenolic composition, and antioxidant, antibacterial and
cytotoxic activities of the methanolic extracts obtained from three Alyssum L.
taxa (A.foliosum var. megalocaipum, A. simplex and A. stHgosum subsp. stfigosum)
were investigated for the first time. The antioxidant activity of the extracts
was evaluated by DPPH, metal chelating, phosphomolybdenum, P-carotene/linoleic
acid and ferric reducing power assays. In addition, total phenolic and flavonoid
contents in the extracts were determined. The brine shrimp lethality test was
used to screen for possible cytotoxic activity of the extracts. Also, the
extracts were evaluat- ed for cytotoxicity against three human cancer cell lines:
MCF-7, H1299 and HeLa. HPLC analysis showed that cinnamic acid, chlorogenic acid
and rutin were the major phenolics in extracts tested. Among the three Alyssum
extracts evaluated, the highest biological activities were obtained from A.
simplex compared to other two Alyssum L. taxa. Based upon its name, future
studies will be targeted at investigating which components can be caused
neurorehabilitation in Alyssum.
PMID- 29638063
TI - EFFECT OF JASMONIC ACID ON TOTAL PHENOLIC CONTENT AND ANTIOXIDANT ACTIVITY OF
EXTRACT FROM THE GREEN AND PURPLE LANDRACES OF SWEET BASIL.
AB - Basil (Ocimum basilicun L.) belongs to the family Lamiaceae and is an important
aromatic and medicinal plant, which it widely cultivated in many countries. This
plant is a good source of phenolic com- pounds and natural antioxidants. The main
aim of present study was to determine effect of jasmonic acid on total phenolic
content and antioxidant activity of ethanolic extract from the aerial parts of
the purple and green landraces of basil. The pot experiment was conducted in an
experimental field with cold and semiarid climate in southwestern Iran.
Treatments comprised control (water), ethanol as solvent, 200 and 400 pLjasmonic
acid. The total phenolic content of the extract by Folin-Ciocalteu method and the
antioxidant activity using DPPH assay were determined. Results indicated that the
different levels of jasmonic acid had significant effects on total phenolics
content and antioxidant activity of the extracts. Foliar-applied jasmonic acid in
particular 400 MUL increased total phenolic content in the plants as compared to
untreated plants. In conclusion, it is suggested that jasmonic acid as an abiotic
elicitor could be a promising material used to increase biological activity and
pro-health functional value of basil plants.
PMID- 29638064
TI - ANTI-OXIDATIVE, (alpha-GLUCOSIDASE AND alpha-AMYLASE INHIBITORY ACTIVITY OF VITEX
DONIANA: POSSIBLE EXPLOITATION IN THE MANAGEMENT OF TYPE 2 DIABETES.
AB - Vitex doniana is an important African medicinal plant traditionally used for the
treatment of many diseases including type 2 diabetes (T2D). In this study, ethyl
acetate, ethanol and aqueous extracts of the stem bark, root and leaf of V.
doniana were analyzed for in vitro anti-oxidative activity and the results
indicated that the ethanolic extract of the leaves had the best anti-oxidative
activity. Subsequently, the ethanolic extract of the leaves was partitioned
between hexane, dichloromethane, ethyl acetate and water. The aqueous fraction
had a significantly ( p < 0.05) higher phenolics content and also showed the best
anti-oxidative activity within the fractions. Furthermore, the aqueous fraction
demonstrated significantly (p < 0.05) more potent inhibitory activities against
alpha-glucosidase and alpha-amylase than other fractions. Steady state kinetics
analysis revealed that the aqueous fraction inhibited both (alpha-glucosidase and
(alpha-amylase activities in a non-competitive manner with inhibition binding
constant (Ki) values of 5.93 and 167.44 MUg/mL, respectively. Analysis of the
aqueous fraction by GC-MS showed the presence of resorcinol, 4-hydroxybenzoic
acid, 3,4,5-trimethoxyphenol and 2,4'-dihydroxychalcone identified by their mass
fragmentation patterns and comparison to standard spectra. The results obtained
in this study showed that V doniana leaves have a good in vitro anti-T2D
potential possibly elicited through phenolics.
PMID- 29638066
TI - A STUDY OF COMPRESSION PROCESS AND PROPERTIES OF TABLETS WITH MICROCRYSTALLINE
CELLULOSE AND COLLOIDAL SILICON DIOXIDE.
AB - This paper compares the compressibility and properties of tablets from Prosolv
SMCC 90 and a mixture of Avicel PH-102 and colloidal silicon dioxide with a
different specific surface. The effect of an addition of the lubricant magnesium
stearate on these parameters under varying conditions of mixing and the
homogeneity of the lubricant in the mixtures are also examined. Compressibility
is evaluated by means of the energy balance of the compression process; the
examined properties of tablets are tensile strength and disintegration time. The
total energy of compression was increased with compression force, the highest
being in Prosolv SMCC 90. Its values did not differ for differing conditions of
mixing with the lubricant. Plasticity was slightly decreased with compression
force and in the mixture with magnesium stearate it was not influenced by the
conditions of mixing. Tablets made from Prosolv SMCC 90 and Avicel PH-102 were
stronger than those from the mixtures from Avicel PH-102 and both types of
Aerosil. The addition of magnesium stearate markedly decreased the strength of
tablets from Avicel PH-102. An increase in the period and frequency of mixing
with the lubricant resulted in a further decrease in strength. Disintegration
time was longer in tablets from Avicel PH-102 and Prosolv SMCC 90, and it was
further prolonged by an addition of magnesium stearate.
PMID- 29638065
TI - SECONDARY METABOLITES ISOLATED FROM TWO MEDICINAL PLANT SPECIES, BRIDELIA
MICRANTHA AND SIDEROXYLON INERME AND THEIR ANTIOXIDANT ACTIVITIES.
AB - The indigenous medicinal plant species, Bfidelia micrantha and Sideyoxylon ineime
(both known as 'uMhlalamagwababa' in isiZulu), are used interchangeably by
traditional healers in KwaZulu-Natal, South Africa, to treat a variety of
ailments due to morphological similarities. In this study, a phytochemical
investigation was done on B. inicrantha and S. inenne to determine if they have a
chemotaxonomic link. Three penta- cyclic triterpenes, one carotenoid and one
megastigmane were isolated from S. inerme. Two flavonoids and two triterpenes
were isolated from B. micrantha. These compounds were not previously isolated
from these plant species. The antioxidant capacity of the isolated flavonoids was
comparable to that of the known antioxidant - ascorbic acid. Profiling of the
fruit extracts from both plant species by gas chromatography-mass spectrometry
showed different classes of compounds in the extracts. This study corroborates
the use of S. inerme and B. mici-ntha by traditional healers but does not support
their use interchangeably.
PMID- 29638067
TI - RELATIVE BIOAVAILABILITY OF RISEDRONATE SODIUM ADMINISTERED IN SUPERABSORBENT
COPOLYMER PARTICLES VERSUS ORAL SOLUTION TO NORMAL HEALTHY RABBITS.
AB - In this study, sustained release superabsorbent copolymer particles have been
prepared and analyzed to increase bioavailability of orally administered
risedronate sodium. Formulations were prepared by free radical polymerization of
combination of 2-hydroxyethyl methacrylate (HEMA), itaconic acid (IA), polyvinyl
pyrrolidone (PVP) / chitosan (CTS) by using ethylene glycol dimethacrylate
(EGDMA) as crosslinker, potassium persulfate as initiator, and N,N,N,N
tetramethylethylene diamine as activator. Formulations were successfully loaded
with risedronate sodium. Formulations as gel particles encapsulated in hard
gelatin were analyzed to estimate drug content. The maximum plasma drug
concentration (C.) and its corresponding time (Tmax.), area under the curve and
relative bioavailability (with reference to oral solution of drug administered)
were calculated. It was found a marked increase in Tmax. with lower Cmax. that
confirmed the multiparticulte system to deliver drug at controlled rate. The
results of relative bioavailability after oral administration of these
formulations indicated a remarkable increase in the bioavailability.
PMID- 29638068
TI - FORMULATION AND EVALUATION OF MICROSPHERES CONTAINING LOSARTAN POTASSIUM BY SPRAY
DRYING TECHNIQUE.
AB - Despite numerous applications of microspheres, few works devoted to the
preparation of microspheres containing cardiac medications have been published.
This study presents the potential of receiving microspheres containing losartan
potassium, based on a matrix containing Eudragit L30D55. The study focuses on the
possibilities of controlled release of losartan potassium from microspheres in
order to reduce the dosage frequency, and also provides information on the effect
of the addition of excipients to the quality of the microspheres. Microspheres
are monolithic, porous or smooth microparticles ranging from 1 to 500 microns in
size. For the preparation of microspheres containing losartan potassium, the
spray-drying method was used. The performed study confirmed that the spray-drying
technology used to obtain microspheres meets the criteria of size and morphology
of the microparticles. The assessment of the kinetics of losartan potassium
release from the examined microspheres demonstrated that the release profile
followed the first- and/or zero-order kinetics. The use of spray-drying
techniques as well as Eudragit L30D55 polymer matrix to obtain the microspheres
containing losartan potassium makes it possible to obtain a product with the
required particle morphology and particle size ensuring the release of the active
substance up to 12 h.
PMID- 29638069
TI - ASSESSMENT OF GUAR AND XANTHAN GUM BASED FLOATING DRUG DELIVERY SYSTEM CONTAINING
MEFENAMIC ACID.
AB - We aimed to assess guar and xantban gum based floating drug delivery system
containing mefenamic acid. Floating tablets of nefenamic acid were formulated
with different concentrations of guar and xanthan gum via wet granulation method.
The flow properties of granules that is: bulk density, tapped density, flow rate,
Carr index, Hausner's ratio, compressibility index and angle of repose as well as
physical parameters of the compressed tablets including: hardness, friability,
thickness and swelling indices were determined and found to be good. Xanthan gum
was superior to guar gum in maintaining drug release, but a combination of
polymers was found to be the best for achieving sustained release up to 12 h due
to the synergistic effect of both gums. Drug release mechanism was best explained
by Korsmeyer-Peppas model. Fourier transform infrared spectroscopy (FTIR) and
differential scanning calorimetry (DSC) studies showed absence of any visible
interaction. Stability studies at 400C (75% RH) showed that the formulation was
stable at elevated temperature. It can be concluded that floating tablets can be
used as a sustained release matrix due to their superior characteristics.
PMID- 29638071
TI - FORMULATION AND IN VITRO EVALUATION OF ACYCLOVIR LOADED POLYMERIC MICROPARTICLES:
A SOLUBILITY ENHANCEMENT STUDY.
AB - Objective of present work was to formulate polymeric microparticles of acyclovir
using 0-cyclodextrin by solvent evaporation method and kneading technique. Four
different ratios were fabricated in each case. Sodium lauryl sulfate (4% was
utilized as intestinal permeation enhancer in this study. Prepared microparticles
were characterized for micromeritic properties i.e., angle of repose, Hausner's
ratio, Carr's index, bulk density and tapped density, entrapment efficiency, zeta
size and zeta potential, Fourier transform infrared spectroscopy, differential
scanning calorimetry, powder x-ray diffraction, scanning electron microscopy,
transmission electron microscopy, optical microscopy and permeability studies
across chicken intestine. Kinetic models: zero order, first order, Higuchi and
Korsmeyer Peppas were applied on release data. Based upon the results of
entrapment efficiency (81.25% and 74.50%), product yield (92.50% and 85.50%),
permeability (85.18% and 82.05%), x-ray diffraction (amorphous nature), and
solubility etc., (1 : 2) drug-polymer ratio was declared the best. Moreover,
solid dispersions (1 : 2) had shown promising results. A new potential approach
for solubility, bioavailability and permeability enhancement of acyclovir and
other BCS class IV drugs was successfully established.
PMID- 29638070
TI - STUDIES OF THE CRYSTALLINE FORM OF CEFUROXIME AXETIL: IMPLICATIONS FOR ITS
COMPATIBILITY WITH EXCIPIENTS.
AB - Amorphous and crystalline forms of cefuroxime axetil were identified and
characterized using DSC, XRPD, SEM, FT-IR and Raman spectroscopy. Based on the
results of chromatographic studies, changes in the kinetic mechanism and rate of
degradation of the crystalline form of cefuroxime axetil in binary systems with
excipients were also evaluated. The findings suggest that the mechanism of
degradation of cefuroxime axetil in such systems depends on two factors: the
applied excipient and storage conditions. Cefuroxime axetil in combination with
magnesium stearate, croscarmellose sodium and crospovidone, microcrystalline
cellulose, aerosil is decomposed according to the first-order reaction model in
dry air as well as at an increased relative air humidity, which may be associated
with non-catalytic interactions between the active pharmaceutical ingredient and
the excipients. However, in the presence of mannitol, under elevated humidity
conditions (RH - 76%), the degradation of cefuroxime axetil follows the
autocatalytic model. According to ESP maps, computed binding energies and HOMO -
LUMO gaps, differences of degradation curves between cefuroxime axetil - mannitol
and other investigated systems were explained. This study of the polymorphic
transformation of the crystalline form of cefuroxime axetil and its binary
systems with excipients after exposure to increased temperature and humidity
indicated a conversion towards the amorphous form or the coexistence of both
forms.
PMID- 29638072
TI - OPTIMIZATION OF FUROSEMIDE LIQUISOLID TABLETS PREPARATION PROCESS LEADING TO
THEIR MASS AND SIZE REDUCTION.
AB - The great number of drug substances currently used in solid oral dosage forms is
characterized by poor water solubility. Therefore, various methods of dissolution
rate enhancement are an important topic of research interest in modem drug
technology. The purpose of this study was to enhance the furosemide dissolution
rate from liquisolid tablets while maintaining an acceptable size and mass. Two
types of dibasic calcium phosphate (Fujicalin(r)/Emcompress(r)) and
microcrystalline cellulose (Vivapur(r) 102/Vivapur(r) 12) were used as carriers
and magnesium aluminometasilicate (Neusilin(r) US2) was used as a coating
material. The flowable liquid retention potential for those excipients was tested
by measuring the angle of slide. To evaluate the impact of used excipients on
tablet properties fourteen tablet formulations were prepared. It was found that
LS2 tablets containing spherically granulated dibasic calcium phosphate and
magnesium aluminometasilicate exhibit the best dissolution profile and mechanical
properties while tablets composed only with Neusilin(r) US2 was characterized by
the smallest size and mass with preserved good mechanical properties and
furosemide dissolution.
PMID- 29638073
TI - LEVEL A IN VITRO-IN-IVO CORRELATION DEVELOPMENT AND VALIDA- TION FOR TRAMADOL
HYDROCHLORIDE FORMULATIONS.
AB - The objective of this article is to develop and validate the level A in vitro-in
vivo correlation (IVIVC) for three different formulations of tramadol
hydrochloride. The formulations included were Tramazac(r) (Ml, conventional
tablet) and TRD CONTIN(r) (M2, sustained release tablet), and a new controlled
release tablet prepared on the basis of osmotic technology (formulation IVB). To
develop level A IVIVC, in vivo data were deconvoluted into absorption data by
using Wagner-Nelson equation. The absorption data (percent drug absorbed) was
plotted against percent drug dissolved keeping the former along x-axis and the
later along y-axis. The highest determination coefficient (R2 = 0.9278) of the
level A IVIVC was observed for formulation MI, and then for M2 (R2 = 0.9046) and
IVB (R2 = 0.8796). Additionally, plasma drug levels were approximated from in
vitio dissolution data using convolution approach to calculate the prediction
error (%), which was found to be < 10%.
PMID- 29638074
TI - PHYSICAL AND CHEMICAL STABILITY ANALYSIS OF COSMETIC MULTI- PLE EMULSIONS LOADED
WITH ASCORBYL PALMITATE AND SODIUM ASCORBYL PHOSPHATE SALTS.
AB - Stability of hydrophilic and lipophilic vitamin C derivatives for quenching
synergistic antioxidant activities and to treat oxidative related diseases is a
major issue. This study was aimed to encapsulate hydrophilic and lipophilic
vitamin C derivatives (ascorbyl palmitate and sodium ascorbyl phosphate) as
functional ingredients in a newly formulated multiple emulsion of the W//W type
to attain the synergistic antioxidant effects and the resultant system's long
term physical and chemical stability. Several multiple emulsions using the same
concentration of emulsifiers but different concentrations of ascorbyl palmitate
and sodium ascorbyl phosphate were developed. Three finally selected multiple
emulsions (ME1, ME2 and ME3) were evaluated for physical stability in terms of
rheology, microscopy, conductivity, pH, and organoleptic characteristics under
different storage conditions for 3 months. Chemical stability was determined by
HPLC on Sykam GmbH HPLC system (Germany), equipped with a variable UV detector.
Results showed that at accelerated storage conditions all the three multiple
emulsions had shear thinning behavior of varying shear stress with no influence
of location of functional ingredients in a carrier system. Conductivity values
increased and pH values remained within the skin pH range for 3 months.
Microscopic analysis showed an increase in globule size with the passage of time,
especially at higher temperatures while decreased at low temperatures.
Centrifugation test did not cause phase separation till the 45th day, but little
effects after 2 months. Chemical stability analysis by HPLC at the end of 3
months showed that ascorbyl palmitate and sodium ascorbyl phosphate were almost
stable in all multiple emulsions with no influence of their location in a carrier
system. Multiple emulsions were found a stable carrier for hydrophilic and
lipophilic vitamin C derivatives to enhance their desired effects. Considering
that many topical formulations contain simple vitamin C it is suggested that
present study may contribute to the development of more stable formulations with
a combination of vitamin C derivatives to enhance their cosmetic benefits.
PMID- 29638075
TI - EFFECT OF POLYMER AND PLASTICIZER ON THIN POLYMERIC BUCCAL FILMS OF MELOXICAM
DESIGNED BY USING CENTRAL COMPOSITE ROTATABLE DESIGN.
AB - Aim of the present work was to design fast dissolving buccal film of meloxicam
using central composite rotatable design and to evaluate the effects of polymer
and plasticizer on formulation and characterization of the buccal films.
Meloxicam was incorporated in film as model drug, HPMC E15 was used as film
forming agent and polyethylene glycol (PEG) 400 was used as plasticizer. Films
were fabricated using solvent casting technique. Prepared films were subjected to
study various evaluation parameters. Dissolution studies were carried out for 30
min, using phosphate buffer of pH 6.8. Drug-excipients compatibility was studied
using Fourier transform infra-red spectroscopy (FTIR). X-ray diffractometry (X
RD) was used to observe the crystalline or amorphous nature of the drug.
Differential scanning calorimetry was used for thermal analysis of the drug and
films. Optical microscopy and scanning electron microscopy were used to study the
surface morphology. Results revealed that apparently the films were of smooth
surface with uniform mixing of drug and excipients. Folding fortitude was > 100
in all the formulations. Weight variations were in acceptable range. Moisture
loss was directly linked with concentration of plasticizer. Although buccal films
were showing rapid release of the drug but still it was noticed that increasing
concentration of HPMC E15 was the cause of drug retardation as well as delay in
the total dissolution time, while PEG 400 was facilitating the drug release from
the formulated films. Formulation F5 released approximately 100% drug in 5 min.
All formulations individually showed total dissolving time in the range of 48-120
s. There were no noticeable interactions between drug and excipients. Finally, it
was concluded that meloxicam containing films can be optimized using statistical
tools, and HPMC E15 in combination with PEG 400 as plasticizer can be effectively
used in the films formulation.
PMID- 29638076
TI - THE POSOLOGY AND TROUGH CONCENTRATIONS OF DIGOXIN IN ADULT AND ELDERLY PATIENTS.
AB - Being a narrow therapeutic index drug, digoxin may cause harm if dosed without
regular measurements of serum levels. Due to various limitations in its dosing,
different challenges still exist in clinical practice. This study aimed to assess
digoxin though concentrations after different regimens in adult and elderly
patients, and to identify predictor variables for the ratio of given dose and
digoxin trough level. This was prospective open-label study. Digoxin was
administered per os as 0.125 or 0.25 mg during different continuous and
interrupted dosage regimens. Study protocol allowed an additional therapy
according to contemporary guidelines. Digoxin concentrations were determined
using Abbott AxSYM Digoxin II assay in trough samples (1-3 per patient) after 3-4
weeks stable regimen. In total, 191 concentrations (104 patients) were analyzed.
Digoxin weekly dose was in range 0.375-1.75 mg. On average, we observed slightly
lower digoxin levels in HF patients. Results showed that in patients receiving
digoxin with interrupted dosage regimen post- pause digoxin level was
statistically significantly lower than pre-pause (p < 0.05). Based on multi- ple
linear regression, the ratio of given dose and trough concentration was mainly
predicted by clearance creatinine, and to lesser extent by patient's ideal body
weight. Interrupted dosing schedule shows greater variability in drug levels
comparing to continuous dosing, and it additionally causes difficulties in
reaching and maintaining steady trough levels between doses. Hence,
individualization of dosing regimen should be carefully guided based on target
levels and not solely on clinical signs and symptoms.
PMID- 29638077
TI - BLOOD COUNT IN PATIENTS WITH MULTIPLE SCLEROSIS TREATED WITH MITOXANTRONE IN
SHORT TIME OBSERVATION.
AB - Multiple sclerosis (MS) is an inflammatory, demyelinating disease that affects
the central nervous system. Etiology of MS is undiscovered but it is assumed that
both genetic and environmental triggers play an important role in disease
pathogenesis. Mitoxantrone (MTX) is an antracycline antibiotic that is used in
oncologic treatment of breast, prostate, liver, ovarian and stomach cancer. MTX
is also effective in treatment of primary and secondary progressive multiple
sclerosis and in relapsing - remitting subtype of disease with no reaction for
other drugs therapy. In treatment of MS drug is given intravenously in a dose of
12 mg/m2 in three months intervals to maximal dose of 120-140 mg/m2 of body
surface. MTX treatment can cause transient reduction of leukocyte, erythrocyte
and thrombocyte number in blood but the most dangerous side effect of MTX
treatment is therapy related acute leukemia (TRAL). The aim of this study was to
evaluate influence of MTX treatment on complete blood count in multiple sclerosis
patients. Seventy two patients with multiple sclerosis treated with mitoxantrone
from 2002 to 2014 took part in this study. Control group comprised 60 patients
with multiple sclerosis who weren't given immunomodulatory treatment. In this
study, amount of leukocytes, erythrocytes and thrombocytes after MTX treatment
was compared to those before treatment and in control group. Six patients were
withdrawn from the study because of leucopenia. A decrease of leukocytes,
erythrocytes and thrombocytes number after MTX treatment was observed in
comparison to control group and value before treatment. The decrease of
erythrocytes number after MTX treatment was statistically significant. The most
frequent side effect of mitoxantrone treatment is transient, asymptomatic
leucopenia. Therapy related acute leukemia and other life-threatening
complications weren't observed in the study group.
PMID- 29638078
TI - PHARMACEUTICAL CARE FORM APPLICATION IN ELDERLY PATIENTS RESEARCH.
AB - Many patients, especially elderly, very often don't inform family physicians
about drugs prescribed by other specialist and use a number of preparations to
self-medicate. These actions may supply negative consequences in recovery process
and can be significantly reduced through the implementation of a well-run
pharmaceutical care (PC) in the community pharmacy. Therefore, it is necessary to
design proper PC documentation, which allows for comprehensive gathering
information needed to carry out the analysis of relevant factors impacting on the
prescribed pharmacotherapy effectiveness. The aim of this study was to develop
the proper documentation to conduct PC in community pharmacy and verification of
its correctness in the group of elderly patients, who is receiving treatment from
family physician and additionally at least two specialized doctors. Also an
assessment of PC implementation validity as a specialized service was presented.
A study was carried out from January 2014 to June 2015. The research covered 54
elderly (41.0% men and 59.0% women) in Jarocin (Poland). Pharmacist was filling
in the designed PC form, which subsequently was analyzed mainly for
pharmacotherapy problems. The study indicated that 48.1% of patients were
counselled by at least 3 specialists; 66.7% of patients were treated by
cardiologist and 55.6% by the ophthalmologist; 75.9% of participants had
hypertension. 42.6% of the patients used 10 or more pharmaceuticals and 24.1%
took 8 or 9 drugs. Moreover, 20.4% of participants used drugs irregularly and
7.4% of them took too low doses of medications. Additionally, during the
pharmacotherapy analysis, very significant and significant drug-drug interactions
were noticed (1.1% and 18.4%, respectively). Very significant drug-food
interactions occurred in 1.4% cases and significant in 3.3% cases. Total number
of detected interactions were 553. The substantial analysis of participants' data
allowed for 48 patients selection for pharmaceutical counselling. Six patients
were involved into the group where PC process was reasonable. These patients
often used 10 or more drugs (p = 0.0026), discontinued using medication without
doctor consulting (p = 0.0201) and did not follow up the prescribed
pharmacotherapy (p = 0.0351). This study provides new data about implementation
of PC in Poland. The designed PC documentation may be helpful for proper analysis
of the patient's pharmacotherapy to avoid drug problems and to improve elderly
patients' quality of life. This project may contribute to PC implementation in
Polish community pharmacy.
PMID- 29638079
TI - ROLE OF THE INTERNATIONAL ORGANIZATIONS IN PREVENTING THE COUNTERFEIT MEDICINES
ENTRY INTO THE WORLD MARKETS.
AB - 30 years have passed since Conference of Experts on the Rational Use of Drugs was
held in Nairobi, Kenya, from 25 to 29 November 1985, where the problem of
counterfeit medicines was mentioned as the international for the first time. The
problem of counterfeit medicines is not only a major threat to public health and
national and private economy, but also it is of great interest for key decision
making actors at the international level. The authors analyzed what has been done
since that time by international organizations. Combating the counterfeiting of
medicines cannot be successfully achieved by the health sector alone - World
Health Organization (WHO), - so the efforts of the other United Nations (UN)
organizations relevant to counterfeiting were in need and were studied in the
article: World Intellectual Property Organization (WIPO), World Trade
Organization (WTO), World Customs Organization (WCO), United Nations Office on
Drugs and Crime (UNODC), etc. Today WHO is unable to coordinate all their
activities, so the few existing proposals for establishing a new mechanism of
international cooperation have been examined. Will the MEDICRIME Convention that
will enter into force on January 1, 2016 be the start of the new era in the
combating with the counterfeit medicines? - the authors offered their vision on
the international developments.
PMID- 29638080
TI - ADVERSE DRUG REPORTING IN COMMUNITY PHARMACY PRACTICE IN THE POMERANIAN DISTRICT
IN POLAND.
AB - The changing role of the modern pharmacies involves reporting adverse drug
reactions (ADR's). The aim of the study is to investigate the knowledge of
community pharmacy professional staff (PS) about the new pharmacovigilance (PG)
legislation and to analyze patients' attitudes towards the community pharmacy
role in PG across north central region in Poland. Research material was collected
in a group of pharmacy PS and patients from January 2014 to August 2014 in the
Pomeranian District. A cross-sectional study was conducted. The research project
consisted of three single anonymous surveys. Main outcome measure was knowledge
of PS towards ADRs reporting, attitudes of patients towards ADRs in relation to
PS in a community pharmacy. Over half of surveyed pharmacists (54.7%) and only
13% of pharmaceutical technicians know the correct and current definition of ADR.
PS do not know what kind of ADRs should be reported - only 41% of pharmacists and
12.1% of technicians presented adequate knowledge. As far as the knowledge of
where to notify is concerned - it was present in 60.7% of pharmacists and only
11.2% of pharmaceutical technicians. In most cases pharmacists (72%) know that
patients can make their own notifications, technicians showed such knowledge only
in 37.4% of cases. Patients who detect an ADR occurrence often do not transmit
the information (19% of respondents). However, if they decide to report, they
mostly talk to physicians (76.6%), and, less frequently (14.6%), to pharmacy PS.
In the opinion of patients, however, the pharmacy PS (20.3%) are identified as
sub- jects involved in reporting ADRs and those who can transmit information on
the ADRs. The results suggest that pharmacists' knowledge of the rules of
reporting ADRs is superior to that of pharmaceutical technicians. In fact, PS are
not sufficiently prepared for reporting ADRs. Patients, in turn, do not have
adequate awareness of reporting the observed ADRs. They, however, associate the
community pharmacy with PG system, although the extent is unsatisfactory. In this
regard, a more effective training of PS would be recommended along with tailored
social campaigns to inform about the PG system in Poland.
PMID- 29638081
TI - PHYTOCHEMICAL, ANTIMICROBIAL AND ANTIOXIDANT ACTIVITIES OF PTERIS CRETICA L.
(PTERIDACEAE) EXTRACTS.
PMID- 29638082
TI - CHEMICAL COMPONENTS AND VARIABILITY OF THE ESSENTIAL OILS ISOLATED FROM
INFLORESCENCES OF CARDUUS SPP.
PMID- 29638083
TI - SYNTHESIS AND PHARMACOLOGICAL ACTIVITY OF IMIDAZO[2,1- b][1,3,4]THIADIAZOLE
DERIVATIVES.
PMID- 29638084
TI - RELATIVE BIOAVAILABILITY STUDY OF SUCCINIC ACID COCRYSTAL TABLET AND MARKETED
CONVENTIONAL IMMEDIATE RELEASE TABLET FORMULATION OF CARBAMAZEPINE 200 MG IN
RABBITS.
PMID- 29638085
TI - A perspective on paediatric surgical training: opportunities and challenges.
AB - Globally, the training of paediatric surgeons is facing numerous challenges.
Exposure to rare and complex index pathology is vital in the training of
competent paediatric surgeons, but it is this rarity and complexity that creates
a fundamental challenge to training programmes the world over.
PMID- 29638086
TI - Can an Enhanced Recovery After Surgery(ERAS) programme improve colorectal cancer
outcomes in South Africa?
AB - Colorectal cancer (CRC) is the third most common cancer worldwide and the fourth
most common cause of cancer related deaths. It is estimated that CRC is amongst
the top five malignancies in South Africa (SA) with an age standardised incidence
rate of 10.2 and 6.1 per 100 000 for males and females respectively. The
incidence is projected to increase in South Africa as a result of ageing, a
growing population and an increase in prevalence of risk factors.
PMID- 29638087
TI - Where are general surgeons located in South Africa?
AB - BACKGROUND: Human resources are the backbone of health-care delivery systems and
the lack of surgical workforce in developing countries is often the greatest
challenge to providing surgical care. The workforce availability and composition
is an important indicator of the strength of the health system. This study aimed
to analyse the distribution of general surgeons within South Africa. METHOD: A
descriptive analysis of the general surgical workforce in South Africa was
performed. The total number of specialist and non-specialist general surgeons
working in the public sector in South Africa was documented between the periods
from the 1 October 2014 until 31 December 2014. RESULTS: There were significant
disparities in the number and distribution of general surgeons in South Africa.
There were 1.78 specialist general surgeons per 100 000, of which 0.69 per 100
000 specialist general surgeons were working in the public sector. There were
2.90 non-specialist general surgeons per 100 000. There were 6 specialist general
surgeons per 100 000 insured population working in the private sector, which is
comparable with the United States (US). Urban provinces such as Gauteng, the
Western Cape and KwaZulu-Natal had the largest number of specialist general
surgeons per 100 000. These areas had the largest number of medical aid
beneficiaries and nearly 60% of specialist general surgeons were estimated to
work exclusively in the private sector. CONCLUSION: There was a major shortage of
surgical providers in South Africa, and in particular the public sector.
PMID- 29638088
TI - Malignant and pre-malignant oesophageal pathology in a South African teaching
hospital.
AB - BACKGROUND: South Africa (SA) has one of the highest global incidences of
squamous cell carcinoma of the oesophagus (SCC). A decreasing incidence of
oesophageal SCC in SA has been suggested. The study aimed to assess whether the
incidence of these malignant histopathological subtypes has changed in this
setting. METHOD: A retrospective review of histopathological reports on pre
malignant and malignant oesophageal lesions over three time periods (TP), namely:
2003-4 (TP1), 2008-9 (TP2) and 2013-14 (TP3) was carried out at Inkosi Albert
Luthuli Central Hospital, Durban, South Africa. RESULTS: A total of 1341 specimen
reports were retrieved. TP1-3 consisted of 514 (39.3%), 320 (24.5%) and 474
(36.2%) patients respectively. Six hundred and forty-nine patients were male
(48.3%), 642 were female (47.8%) and 50 were not specified. i.e. a sex ratio of
1.01:1. The mean age was 60.8 (+/- 11.8). There were 1197 Black patients (91.5%),
66 Asian (5.1%), 25 White (1.9%), 9 mixed ancestry (0.7%), and 11 of unknown race
(0.8%). SCC was the most common cancer 1098 (89.1%) followed by adenocarcinoma
(AC) 69 (5.6%). The ratio of SCC to AC remained fairly consistent over the total
time period. Seventy-four oesophageal resections were performed with a yearly
average resection rate of only 5.6%. CONCLUSION: SCC is still the most prevalent
oesophageal cancer (OC) without an increase in the ratio of AC to SCC. The
diagnosis of squamous cell dysplasia is concordant with previously cited rates.
Barrett's oesophagitis remains uncommon. Resection rates for OC are low but
similar to other South African referring centers.
PMID- 29638089
TI - Pattern of mismatch repair protein loss and its clinicopathological correlation
in colorectal cancer in North India.
AB - BACKGROUND: To determine the mismatch repair (MMR) protein loss in colorectal
cancer (CRC) in north Indian patients and its clinicopathological correlation.
METHOD: A prospective study on patients with colorectal cancer from a tertiary
level hospital conducted between May 2014 and June 2015. MMR protein loss was
determined using immunohistochemistry for MLH1, MSH2, PMS2 and MSH6. RESULTS: 52
patients (38 male and 14 females) of CRC, with median age of 52.5 years who
underwent resection form the study group. 18 (35%) patients were < 50 years of
age. Family history of malignancy was present in 3 (6 %) patients. A total of 15
(29%) patients had loss of MMR protein of which 7 (46%) were < 50 years. Most
common MMR loss was combined loss of MSH2 + MSH6 [6 (11.5%)] followed by isolated
loss of PMS2 [5 (9.6%)]. MMR protein loss was more frequent in patients with
right side colon cancer [12 (42%)] compared to left [3 (13%)] (p = 0.033). MMR
protein loss was seen in 11 (34%) out of 32 patients fulfilling the revised
Bethesda criteria compared to 4 (20%) out of 20 patients who did not fulfil the
criteria (p = 0.352). CONCLUSION: This study demonstrates high frequency of MMR
protein loss in colorectal cancer in north Indian patients which was more common
in right colon cancer. Many patients having MMR protein loss do not satisfy the
revised Bethesda criteria and would have been missed if selective testing was
done. Further research and larger studies are required to validate these findings
and develop India specific clinical criteria.
PMID- 29638090
TI - Distal malignant biliary obstruction: a prospective randomised trial comparing
plastic and uncovered self-expanding metal stents in the palliation of
symptomatic jaundice.
AB - BACKGROUND: The aim of this study was to determine the safety and clinical
effectiveness of 10Fr plastic biliary stents compared to uncovered self-expanding
metal stents (SEMS) for palliative treatment of patients with inoperable extra
hepatic malignant biliary obstruction in a public hospital in South Africa.
METHOD: From January 2009 to December 2013, 40 patients who were admitted to a
tertiary academic centre because of distal malignant biliary obstruction were
enrolled in a prospective randomized study. Patients were randomly assigned to
receive an uncovered SEMS or a plastic stent deployed through the biliary
stricture during endoscopic retrograde cholangiopancreatography (ERCP). RESULTS:
Patient survival time in the two groups did not differ significantly (median:
SEMS - 114 days; plastic - 107 days). Stent failure was more common in the
plastic stent group (7/19 vs. 1/21). The results became significant after 6
months of follow-up. There was no significant difference between the two groups
in the incidence of serious adverse events. CONCLUSION: SEMS had a longer
duration of patency than plastic stents, which recommends their use in the
palliative treatment of patients with biliary obstruction due to distal malignant
biliary obstruction.
PMID- 29638091
TI - Five year review of open radical nephrectomies at a regional hospital in South
Africa: room for improvement.
AB - BACKGROUND: To review the presentation and outcomes of patients undergoing open
radical nephrectomy (ORN) for renal cell carcinoma (RCC) at a regional hospital
in KwaZulu-Natal, South Africa. METHOD: A retrospective chart review was
performed of patients having undergone nephrectomy at St Aidan's hospital between
2010 and 2015, focusing on those with RCC. Demographic, operative, histopathology
and outcomes data were collected. RESULTS: Fifty-two patients (51%) had ORN for
suspected malignant disease. Forty-one RCCs were found including one incidental
finding at simple nephrectomy. Data was insufficient to assess risk factors for
RCC. HIV positive patients tended to present earlier (45 vs. 53 years). The mean
tumour size was 10 cm and organ confined disease was present in 73.2% of
patients. Only 11 patients (26.8%) had pT1 disease. The high-grade complication
rate was 9.8%, in-hospital mortality rate 4.9% and transfusion rate 51.2%. The
median operating time was 1h 50min and length of hospital stay 13 days.
CONCLUSION: Open radical nephrectomy is the standard surgical treatment for RCC
at regional level in South Africa. Patients tend to present at a younger age,
particularly if HIV positive, and with large tumours. Further research into risk
factors for RCC in the South African population is needed. There are high
complication and transfusion rates in patients undergoing ORN. Review of
accessibility of blood at St Aidan's hospital and revision of the transfusion
protocol is suggested. A followup study to assess the feasibility and cost
effectiveness of laparoscopic nephrectomy in the resource-constrained South
African environment is necessary.
PMID- 29638092
TI - [Dissections of the supraaortic arteries].
AB - Dissection of the cervical and intracranial vasculature is a rare but important
cause of ischaemic stroke especially in young adults. In the majority of cases it
affects the extracranial vessels, mostly the internal carotid artery. It might be
categorized as spontaneous or traumatic, causing diverse clinical symptoms.
Dissection might lead to ipsilateral stroke mainly by artery-to-artery
embolisation. Due to its relative rarity compared to the classic ischaemic stroke
of the elderly, there are much less clinically relevant information for the
clinician to rely on. Several large, randomised, multicentered, prospective
studies and some smaller, retrospective analyses have been published recently
concerning the genetic background, epidemiology, acute care and secondary
prevention of supraaortic arterial dissection which helps the neurologist to
provide evidence-based care for his patient. Our aim is to give a short, up-to
date overview of arterial dissections with two case reports.
PMID- 29638093
TI - [Eating disorders and pregnancy - a review of literature].
AB - Eating disorders are psychosomatic disorders affecting primarily women, and
influence reproductive functions as well. They have an impact on ovarial cyclem
fertility, course of pregnancy, process of delivery, post partum period.
Moreover, some data show that they can influence the adult health status.
Extensive research from the last three decades call the attention to the fact
that besides the classical eating disorders (anorexia nervosa and bulimia
nervosa) the newer types (e.g., orthorexia nervosa), and subclinical disorders
also occur in a subgroup of pregnant women. For this reason it is of key
importance that the personnel working in the territory of obstetrics and
gynecology have a solid knowledge about the symptoms, screening and therapeutical
opportunities, and outcome of these disorders. The review summarizes the recent
research data about the relationship of eating disorders and pregnancy.
PMID- 29638094
TI - [Functional magnetic resonance imaging studies in pain research].
AB - Functional imaging studies opened a new way to understand the neural activity
underlying pain perception and the pathomechanism of chronic pain syndromes. In
the last twenty years several results of functional magnetic resonance imaging
(fMRI) studies have been published about examining the different aspects of
complex pain experience. The aim of these studies is to understand the
functioning of the pain control system, the so-called pain matrix, activated by
acute nociceptive stimulus. Another important field of pain research is the
investigation of neuronal processes underlying chronic pain, since the
pathomechanism of this is still unclear. Our review aims to provide insight into
the methods of pain research using fMRI and the achievements of the last few
years.
PMID- 29638095
TI - Stress-induced corticosterone rise maintain gastric mucosal integrity in rats.
AB - Background and purpose: To investigate contribution of glucocorticoids to the
maintenance of gastric mucosal integrity during stress we predominantly used
ulcerogenic stress models. Using these models we demonstrated that
glucocorticoids released in response to the ulcerogenic stimuli attenuated their
harmful action on the gastric mucosa. In the present study we hypothesized that
mild stressors does not damage the gastric mucosa due to gastroprotective action
of glucocorticoids released in response to these stressors. Methods: To verify
the hypothesis the effects of normally non-ulcerogenic mild stimuli (15-30 min
cold-restraint) on the gastric mucosal integrity have been studied under the
circumstances of inhibition of the hypothalamic-pituitaryadrenocortical axis in
rats. The hypothalamic-pituitary-adrenocortical axis was inhibited by: 1) fast
inhibitory action of metyrapone, inhibitor glucocorticoid synthesis; 2) fast
inhibitory action of NBI 27914, the selective antagonist of cortricotropin-
releasing factor receptor type 1; 3) delayed inhibitory action of a single
pharmacological dose of cortisol injected one week before the onset of stress
stimulus. Results: Each of these pretreatments significantly decreased 15-30 min
cold-restraint-produced corticosterone levels: 37.2+/-1 vs 22.5+/-1.2 (p<0.05)
after metyrapone; 52.1+/-0.9 vs 41.4+/-1 (p<0.05) after NBI, and 64.2+/-4.2 vs
16.7+/-1.5 (p<0.05) after cortisol pretreatment. The inhibition of stress-induced
corticosterone rise resulted in an ap - pearance of gastric lesions after the
onset of these mild stressors in rats. Conclusion: The results suggest that in
rats with inhibited stress-induced corticosterone rise normally non-ulcerogenic
stimuli are transformed into ulcerogenic ones and confirm the hypothesis. The
findings further support for the point of view that glucocorticoids released
during acute stress are gastroprotective factors.
PMID- 29638096
TI - Cerebral vasomotor reactivity in fibromyalgia patients and its relationship to
central neuropathic pain.
AB - Background - Cerebral vasomotor reactivity, defined as the cerebral vasculature
response to hypoxia, is not wellunderstood in fibromyalgia (FM) patients. This
study investigated the difference in the cerebrovascular reactivity (i.e.,
responsiveness to hypercapnia was evaluated by use of breath- holding index) to
the breath-holding index (BHI) between patients with fibromyalgia and a group of
normal controls. Methods - The study included 40 FM patients and 40 healthy
subjects. Cerebrovascular reactivity was evaluated using the BHI, which is a
nonaggressive, well-tolerated, real-time, reproducible screening method to study
cerebral haemodynamics. Insonation depth and basal velocity were symmetrical and
not significantly different between the two groups (p>0.05). All patients
completed the Revised Fibromyalgia Impact Questionnaire (FIQR), Hospital Anxiety
and Depression Scale (HADS), visual analogue scale (VAS), and the somatization
subscale of the SCL-90-R symptom checklist. Results - The BHI ranged from 0.30 to
2.20 (mean 1.11+/-0.45) in the FM patients and 1.10 to 2.80 (mean 1.90+/-0.35) in
the control group (p<0.001). Disease duration and right BHIaverage and left
BHIaverage values exhibited a significant negative correlation (r=-0.877;
p<0.001, r=-0.842; p<0.001, respectively). As pain and fatigue scores increased,
the right BHIaverage and left BHIaverage values decreased (r=-0.431; p=0.005, r=
0.544; p<0.001, r=-0.341; p=0.031, r=-0.644; p<0.001, respectively). Conclusions
BHI values showed that cerebrovascular reactivity in FM patients decreased in
comparison to healthy individuals. BHI decreased as disease duration and severity
increased. Cerebrovascular reactivity decreased in FM patients, and this
phenomenon should be accepted as an abnormality. Additionally, this outcome may
have been the result of a mechanism responsible for central neuropathic pain.
PMID- 29638098
TI - Adult attachment and parental bonding in irritable bowel syndrome and in panic
disorder - Implications for psychotherapy.
AB - Background and purpose: Attachment theory provides an integrative perspective
about the interplay between cognitive, affective, behavioral and interpersonal
processes and is relevant for understanding irritable bowel syndrome (IBS) and
panic disorder (PD). The aim of the present study was to examine the adult
attachment style and parental bonding of IBS and PD patients. Methods: In a cross
sectional questionnaire-based study, 65 PD and 65 IBS patients with clinical
diagnosis participated. Measures were Attachment Style Questionnaire, Experiences
in Close Relationships Scale - Revised, and Parental Bonding Instrument. Results:
The frequencies of insecure attachment (80.0% vs. 63.1%) and paternal neglect
(35.4% vs. 16.9%) were higher in IBS than in PD (chi2 (1)=4.571, p=0.033, and
chi2 (3)=7.831, p=0.050, respectively). The frequency of secure attachment was
significantly higher for optimal paternal bonding than with suboptimal paternal
bonding (75.0% vs. 21.9%, chi2 (1)=19.408, p<0.001). According to the results of
multiple binary logistic analysis, optimal paternal bonding predicted secure
attachment after adjusting for the background variables (OR=9.26, p=0.001).
Conclusion: A high frequency of insecure attachment was present in both groups,
especially in IBS. With regard to maternal bonding, IBS and PD groups showed
similar patterns, while an apparent difference was observed for paternal bonding.
These highlighted the developmental similarities of these two, symptomatically
different disorders. While optimal maternal bonding did not predict adult
attachment security, paternal bonding did thus replete with therapeutic
implications. Attachment functions, like responsiveness, attunement and affection
modulation were apparent in the psychotherapist-patient relationship as well.
PMID- 29638097
TI - Frequency and types of headaches in patients with metabolic syndrome.
AB - Background and purpose: Metabolic Syndrome (MetS) and headaches are common public
health problems in whole world. The relationship between headaches and the MetS
isn't understood clearly. Purpose - The aim of this study is to determine the
prevalence and types of headaches, and evaluate the relationship between headache
characteristics and clinical and laboratory parameters analyzed in patients
diagnosed with MetS. Methods: Of the patients diagnosed with MetS in
Endocrinology outpatient clinics between July 2011 and July 2012, 202 patients
were included in the study. Hemoglobin, fasting blood glucose (FBG), total
cholesterol, triglyceride, HDL and LDL cholesterol, thyroid function tests and
HbA1c values of all patients were recorded. Beck Depression Inventory (BDI) and
Beck Anxiety Inventory (BAI) were applied to all patients. The headache severity
was assessed by Visual Analog Scale (VAS). Results: The prevalence of headache in
patients with MetS was found to be 61.4%. The incidence of headache was higher in
female patients (F: 86.4%, M: 13.6%). The distribution of the subtypes of
headaches was as follows: Episodic Tension-Type Headaches (ETTH) 24.8%, Episodic
Migraine 14.4%, Chronic Tension-Type Headaches (CTTH) 11.3%, Episodic Tension
Type Headaches (ETTH) and Episodic Migraine 7.9%, and other types of headaches
(Cervicogenic Headache and Cluster Headache) 3%. No statistically significant
relationship was found between headache and non-headache groups in terms of body
mass index, waist circumference, and the laboratory parameters (p>0.05). The mean
BDI and BAI scores were higher in the headache group (p<0.001 and p<0.001). No
significant difference was found between the mean MIDAS scores in the subtypes of
headaches (p=0.35). In the headache group, there was a significant relationship
only between triglyceride levels and attack frequency, duration and severity.
Conclusion: Prevalence of headache in patients with MetS was 61.4%. The incidence
of subtypes of headaches was similar to those in the general population. A
relationship was found between triglyceride levels and attack frequency and
severity. The result may be important to draw attention to the evaluation of
triglyceride levels for reducing the frequency and severity of attacks in
patients with headaches.
PMID- 29638099
TI - [Our experience with the use of Active-C cervical prosthesis].
AB - Background and purpose: The most widely used surgical procedure in the treatment
of cervical spine disc hernias have been the anterior cervical discectomy and
fusion for decades. The usage of cervical disc prostheses enabled us to preserve
the movements of the affected segments, hereby reducing the overexertion of the
adjacent vertebrae and discs. Our goal is to follow our patients operated with
Active-C prosthesis (which is used in the Institute since 2010) to gather
information about the change of their complaints and about the functioning and
unwanted negative effects of the prostheses. Question - Is the usage of Active-C
prosthesis an efficient procedure? Methods: Between 2010 and 2013, performing the
survey of neurological conditions and functional X-ray examinations. We measured
the complaints of the patients using the Visual Analogue Scale, Neck Disability
Index and Cervical Spine Outcomes Questionnaire. The control group consisted of
patients who were operated in one segment using the fusion technique. Results: In
the study group according to the Neck Disability Index scale after 18 months,
seven patients had no complaints, while twelve persons reported mild and the
remaining six moderate complaints. In the control group, moderate complaints were
present in four patients, while twelve patients reported mild complaints. The
other eight persons showed no complaints. According to the results of the Visual
Analogue Scale in the group of prosthesis, the degree of referred pain decreased
from 8.6 to 1.84 one and a half years after the surgery. A decrease was
observable in the case of axial pain too, from 6.6 down to 1.92 (p<0.01). In case
of three from the twenty-five patients there was no sign of movement in the level
of the prosthesis. Conclusion: According to the present short- and mediumterm
studies, the usage of the cervical disc prosthesis can be considered as an
efficient procedure, but at the same time the advantages can only be determined
in the long run, therefore further following and studies are required.
PMID- 29638100
TI - Restless Leg Syndrome/Willis-Ekbom disease in women with iron deficiency anemia.
AB - Background and purpose: Restless Leg Syndrome (RLS) also known as Willis-Ekbom
Disease (WED) is a common condition associated with reduced quality of life and
other medical conditions, particularly cardiovascular diseases. Despite its
common occurrence, it is widely underdiagnosed and undertreated. Therefore,
identification of high-risk individuals for RLS/WED bears diagnostic and
therapeutic significance. Iron deficiency anemia has a role in the
pathophysiology of RLS/WED and both conditions have been reported to occur higher
in females. In this study, the frequency of RLS/WED among women diagnosed with
iron deficiency anemia was examined as well as laboratory variables that could
guide the clinician in the diagnosis of RLS/WED. Methods: A total of 51 women
attending to the department of internal medicine with complaints of fatigue and
tiredness and diagnosed as having iron deficiency anemia were evaluated using the
International Restless Leg Syndrome Study Group (IRLSSG) diagnostic criteria for
RLS. Laboratory variables were recorded. The severity of RLS/WED was assessed
using the RLS rating scale in patients diagnosed with RLS/WED. Results: RLS/WED
was diagnosed in 41.1% of the women with iron deficiency anemia. There were no
significant differences between women with or without RLS /WED in terms of
laboratory variables. Also, no correlations were observed between disease
severity and laboratory variables. Conclusion: There is an 8 to 10-fold increase
in the incidence of RLS/WED among women with iron deficiency anemia as compared
to general population. Therefore, a possible diagnosis of RLS/WED should be kept
in mind in all women with iron deficiency anemia.
PMID- 29638101
TI - [Operation - rehabilitation - employment].
AB - In this article we would like to bring the attention to the importance of early
rehabilitation, coordinated operation of different subdivisions of rehabilitation
and the expanding opportunities after medical care through following a 38- year
old female patient's case who was operated 6 years ago with anaplasticus
oligodendroglioma. We find it important that the experts working on the field of
health care, social services or labour should be aware of the possibilities of
rehabilitation of their patients from the capability assessment, through its
development until their placement in integrated labour market. It is important,
that even during the medical care both the client and their relatives receive
proper information.
PMID- 29638102
TI - Hand-foot-genital syndrome - analysis of two cases.
AB - Hand-food-genital syndrome (HFGS) is a rare genetic condition. This report
describes the cases of two patients, aged 33 and 15, presenting related somatic
abnormalities. HFGS stems from an autosomal anomaly linked to the HOXA 13 gene.
Therapeutic procedures are discussed in order to identify the best treatment
approach to the patients, as well as possible conditioning genetic anomalies.
PMID- 29638103
TI - Caregivers and Families of Critically Ill Patients.
PMID- 29638104
TI - Precise Nanoscale Measurements with Scanning Probe Microscopy (SPM): A Review.
AB - For many of measurements, it is important to know the interaction of the
measuring device with the artefact being measured in order to determine the
accurate magnitude of the quantity. Mechanical, electrical, magnetic, optical and
chemical properties of materials are also important parameters that need to be
quantified at the micro and nanometre level. In this review, we introduce
principles of scanning probe microscopy (SPM) and related technique; and also
give the advance in nano-/micro-electro-mechanical systems (NEMS/MEMS)
measurements using SPM. Firstly, we described state of the SPMs, their essential
components and recent developments in this field. Then, conventional as well as
advanced instruments have been addressed, measurement requirements discussed for
measurement and fabrication. Finally, many different applications of SPMs in
micro/nano electronics fields such as high resolution imaging, micro/nano
electronics, Metrology and manipulation and spectroscopy have been described in
the article. Nevertheless, SPMs are advancing, supported by user-friendly and
application-oriented features, improved software and combination with other
instruments high precision measurement fields.
PMID- 29638105
TI - Applications of Nanomaterials in Dental Science: A Review.
AB - Nanotechnology has revolutionized health care industry in a large scale and its
applications are a boon to modern medicine and dental science. It is expected to
pervade and further revolutionize the art and science of dentistry and may well
have important applications spanning all the aspects of oral diseases, diagnosis,
prevention and treatment. Materials science in dentistry has embraced the
technology to produce nanomaterials that are being used in caries inhibitors,
antimicrobial resins, hard tissue remineralizing agents, targeted drug delivery,
scaffolds, bio-membranes, nanocrystalline hydroxyl apatite, restorative cements,
adhesion promoters and boosters, bioactive glass, tissue conditioners, reinforced
methacrylate resins, root canal disinfectants, friction free orthodontic arch
wires and nano composites life. These upcoming technologies have potential to
bring about significant benefits in the form of improvement in dental science and
to society. The present review presents the latest recent developments in this
interdisciplinary field bridging nanotechnology and dental science.
PMID- 29638106
TI - Enhanced Electrochemical and Thermal Transport Properties of Graphene/MoS2
Heterostructures for Energy Storage: Insights from Multiscale Modeling.
AB - Graphene has been combined with molybdenum disulfide (MoS2) to ameliorate the
poor cycling stability and rate performance of MoS2 in lithium ion batteries, yet
the underlying mechanisms remain less explored. Here, we develop multiscale
modeling to investigate the enhanced electrochemical and thermal transport
properties of graphene/MoS2 heterostructures (GM-Hs) with a complex morphology.
The calculated electronic structures demonstrate the greatly improved electrical
conductivity of GM-Hs compared to MoS2. Increasing the graphene layers in GM-Hs
not only improves the electrical conductivity but also stabilizes the
intercalated Li atoms in GM-Hs. It is also found that GM-Hs with three graphene
layers could achieve and maintain a high thermal conductivity of 85.5 W/(m.K) at
a large temperature range (100-500 K), nearly 6 times that of pure MoS2 [~15
W/(m.K)], which may accelerate the heat conduction from electrodes to the
ambient. Our quantitative findings may shed light on the enhanced battery
performances of various graphene/transition-metal chalcogenide composites in
energy storage devices.
PMID- 29638107
TI - High Catalytic Performance of a CeO2-Supported Ni Catalyst for Hydrogenation of
Nitroarenes, Fabricated via Coordination-Assisted Strategy.
AB - A family of two-dimensional salen-type lanthanide complexes was synthesized
through a facile solution diffusion method. The two-dimensional lanthanide
complexes were characterized by single-crystal X-ray diffraction (SCXRD) and X
ray photoelectron spectroscopy (XPS) analytical techniques. The SCXRD and XPS
analyses reveal that the obtained two-dimensional structures are rich in
uncoordinated imine (-CH?N-) groups located on the skeleton of the salen-type
organic ligand, which retain strong coordination ability with metal ions. On the
basis of this unique feature, a highly dispersed CeO2-supported Ni catalyst
(Ni/CeO2-CAS) with highly strong metal-support interaction was first synthesized
via a coordination-assisted synthesis (CAS) method, which exhibits a much better
catalytic activity in the hydrogenation of nitrobenzene than the traditional
Ni/CeO2-IWI catalyst prepared by incipient wetness impregnation (IWI). The origin
of the improved catalytic activity of Ni/CeO2-CAS as well as the role of Ni@Ce
H2salen was revealed by using diverse characterizations. On the basis of the
comparative characterization results, the superior catalytic performance of
Ni/CeO2-CAS to Ni/CeO2-IWI could have resulted from the smaller and highly
dispersed Ni nanoparticulates, the intensified Ni-CeO2 interaction, the enhanced
NiO reducibility, and the higher concentration of oxygen vacancies, favoring the
H2 dissociation and adsorption of the nitrobenzene reactant. The Ni/CeO2-CAS
catalyst also exhibits high catalytic performance for reduction of diverse
nitroarenes to their corresponding functionalized arylamines. We anticipated that
this coordination-assisted strategy may provide a new way for preparing other
highly oxide-supported catalysts with potential applications in various catalytic
reactions.
PMID- 29638108
TI - Editable TiO2 Nanomaterial-Modified Paper in Situ for Highly Efficient Detection
of Carcinoembryonic Antigen by Photoelectrochemical Method.
AB - In this work, a versatile photoelectrochemical paper-based sensor based on N
carbon dots/TiO2-Pt-modified paper in situ is developed for sensitive detection
of carcinoembryonic antigen (CEA) in clinical serum samples. Interconnected
cellulose fibers on paper provide a porous, 3D, and flexible substrate for
photoelectrochemical sensing. In situ modification of N-carbon dots/TiO2-Pt with
editable structures on paper significantly increases the conductivity, widens the
adsorption range, and enhances the photoelectrochemical ability, which enables
the higher sensitivity and flexibility compared with traditional rigid sensors.
On the basis of this novel protocol, a miniaturized and portable origami device
realizes the CEA determination with a low detection limit of 1.0 pg mL-1 and a
wide linear range from 0.002 to 200 ng mL-1. Thanks to the good biocompatibility,
the paper-based device provides a new avenue for the detection of CEA on the cell
surface which is promising for portable diagnostics in early tumor warning.
PMID- 29638109
TI - CuBi2O4 Prepared by the Polymerized Complex Method for Gas-Sensing Applications.
AB - Multicomponent oxides can be extensively explored as alternative gas-sensing
materials to binary oxides with their structural and compositional versatilities.
In this work, the gas-sensing properties of CuBi2O4 have been investigated toward
various reducing gases (C2H5OH, NH3, H2, CO, and H2S) and oxidizing gas (NO2) for
the first time. For this, the powder synthesis has been developed using the
polymerized complex method (Pechini method) to obtain a single-phase
polycrystalline CuBi2O4. The defect, optical, and electronic properties in the
prepared CuBi2O4 powder were modulated by varying the calcination temperature
from 500 to 700 degrees C. Noticeably, a high concentration of Cu+-oxygen
vacancy ([Formula: see text]) defect complexes and isolated Cu2+ ion clusters was
found in the 500 degrees C-calcined CuBi2O4, where they were removed through air
calcination at higher temperatures (up to 700 degrees C) while making the
compound more stoichiometric. The change in the intrinsic defect concentration
with the calcination temperature led to the variation of the electronic band gap
energy and hole concentration in CuBi2O4 with the polaronic hopping conduction
(activation energy = 0.43 eV). The CuBi2O4 sensor with 500 degrees C-calcined
powder showed the highest gas responses (specifically, 10.4 toward 1000 ppm
C2H5OH at the operating temperature of 400 degrees C) with the highest defect
concentration. As a result, the gas-sensing characteristics of CuBi2O4 are found
to be dominantly affected by the intrinsic defect concentration, which is
controlled by the calcination temperature. Toward reducing H2S and oxidizing NO2
gases, the multiple reactions arising simultaneously on the surface of the
CuBi2O4 sensor govern its response behavior, depending on the gas concentration
and the operating temperature. We believe that this work can be a cornerstone for
understanding the effect of chemical defect on the gas-sensing characteristics in
multicomponent oxides.
PMID- 29638110
TI - Ultrastable Photoelectrodes for Solar Water Splitting Based on Organic Metal
Halide Perovskite Fabricated by Lift-Off Process.
AB - Herein, we report an integrated photoelectrolysis of water employing organic
metal halide (OMH) perovskite material. As generic OMH perovskite material and
device architecture are highly susceptible to degradation by aqueous
electrolytes, we have developed a versatile mold-cast and lift-off process to
fabricate and assemble multipurpose metal encapsulation onto perovskite devices.
With the metal encapsulation effectively protecting the perovskite cell and also
functioning as electrocatalyst, the high-performance perovskite photoelectrodes
exhibit high photovoltage and photocurrent that are effectively inherited from
the original solid-state solar cell. More importantly, thus-fabricated perovskite
photoelectrode demonstrates record-long unprecedented stability even at highly
oxidizing potential in strong alkaline electrolyte. We expect that this versatile
lift-off process can be adapted in a wide variety of photoelectrochemical devices
to protect the material surfaces from corroding electrolyte and facilitate
various electrochemical reactions.
PMID- 29638111
TI - Conformational Transition of Key Structural Features Involved in Activation of
ALK Induced by Two Neuroblastoma Mutations and ATP Binding: Insight from
Accelerated Molecular Dynamics Simulations.
AB - Deregulated kinase activity of anaplastic lymphoma kinase (ALK) has been observed
to be implicated in the development of tumor progression. The activation
mechanism of ALK is proposed to be similar to other receptor tyrosine kinases
(RTKs), but the distinct static X-ray crystal conformation of ALK suggests its
unique conformational transition. Herein, we have illustrated the dynamic
conformational property of wild-type ALK as well as the kinase activation
equilibrium variation induced by two neuroblastoma mutations (R1275Q and Y1278S)
and ATP binding by performing enhanced sampling accelerated Molecular Dynamics
(aMD) simulations. The results suggest that the wild-type ALK is mostly favored
in the inactive state, whereas the mutations and ATP binding promote a clear
shift toward the active-like conformation. The R1275Q mutant stabilizes the
active conformation by rigidifying the alphaC-in conformation. The Y1278S mutant
promotes activation at the expense of a pi-stacking hydrophobic cluster, which
plays a critical role in the stabilization of the inactive conformation of native
ALK. ATP produces a more compact active site and thereby facilitates the
activation of ALK. Taken together, these findings not only elucidate the diverse
conformations in different ALKs but can also shed light on new strategies for
protein engineering and structural-based drug design for ALK.
PMID- 29638112
TI - Surface-Enhanced Raman Spectroscopy for Bioanalysis: Reliability and Challenges.
AB - Surface-enhanced Raman spectroscopy (SERS) inherits the rich chemical fingerprint
information on Raman spectroscopy and gains sensitivity by plasmon-enhanced
excitation and scattering. In particular, most Raman peaks have a narrow width
suitable for multiplex analysis, and the measurements can be conveniently made
under ambient and aqueous conditions. These merits make SERS a very promising
technique for studying complex biological systems, and SERS has attracted
increasing interest in biorelated analysis. However, there are still great
challenges that need to be addressed until it can be widely accepted by the
biorelated communities, answer interesting biological questions, and solve fatal
clinical problems. SERS applications in bioanalysis involve the complex
interactions of plasmonic nanomaterials with biological systems and their
environments. The reliability becomes the key issue of bioanalytical SERS in
order to extract meaningful information from SERS data. This review provides a
comprehensive overview of bioanalytical SERS with the main focus on the
reliability issue. We first introduce the mechanism of SERS to guide the design
of reliable SERS experiments with high detection sensitivity. We then introduce
the current understanding of the interaction of nanomaterials with biological
systems, mainly living cells, to guide the design of functionalized SERS
nanoparticles for target detection. We further introduce the current status of
label-free (direct) and labeled (indirect) SERS detections, for systems from
biomolecules, to pathogens, to living cells, and we discuss the potential
interferences from experimental design, measurement conditions, and data
analysis. In the end, we give an outlook of the key challenges in bioanalytical
SERS, including reproducibility, sensitivity, and spatial and time resolution.
PMID- 29638113
TI - Advances in Tetrazine Bioorthogonal Chemistry Driven by the Synthesis of Novel
Tetrazines and Dienophiles.
AB - Bioorthogonal chemistry has found increased application in living systems over
the past decade. In particular, tetrazine bioorthogonal chemistry has become a
powerful tool for imaging, detection, and diagnostic purposes, as reflected in
the increased number of examples reported in the literature. The popularity of
tetrazine ligations are likely due to rapid and tunable kinetics, the existence
of high quality fluorogenic probes, and the selectivity of reaction. In this
Account, we summarize our recent efforts to advance tetrazine bioorthogonal
chemistry through improvements in synthetic methodology, with an emphasis on
developing new routes to tetrazines and expanding the range of useful
dienophiles. These efforts have removed specific barriers that previously limited
tetrazine ligations and have broadened their potential applications. Among other
advances, this Account describes how our group discovered new methodology for
tetrazine synthesis by developing a Lewis acid-promoted, one-pot method for
generating diverse symmetric and asymmetric alkyl tetrazines with functional
substituents in satisfactory yields. We attached these tetrazines to
microelectrodes and succeeded in controlling tetrazine ligation by changing the
redox state of the reactants. Using this electrochemical control process, we were
able to modify an electrode surface with redox probes and enzymes in a site
selective fashion. This Account also describes how our group improved the ability
of tetrazines to act as fluorogenic probes by developing a novel elimination-Heck
cascade reaction to synthesize alkenyl tetrazine derivatives. In this approach,
tetrazine was conjugated to fluorophores to produce strongly quenched probes
that, after bioorthogonal reaction, are "turned on" to enhance fluorescence, in
many cases by >100-fold. These probes have allowed no-wash fluorescence imaging
in living cells and intact animals. Finally, this Account reviews our efforts to
expand the range of dienophile substrates to make tetrazine bioorthogonal
chemistry compatible with specific biochemical and biomedical applications. We
found that methylcyclopropene is sufficiently stable and reactive in the
biological milieu to act as an efficient dienophile. The small size of the
reactive tag minimizes steric hindrance, allowing cyclopropene to serve as a
metabolic reporter group to reveal biological dynamics and function. We also used
norbornadiene derivatives as strained dienophiles to undergo tetrazine-mediated
transfer (TMT) reactions involving tetrazine ligation followed by a retro-Diels
Alder process. This TMT reaction generates a pair of nonligating products. Using
nucleic acid-templated chemistry, we have combined the TMT reaction with our
fluorogenic tetrazine probes to detect endogenous oncogenic microRNA at picomolar
concentrations. In a further display of dienophile versatility, we used a novel
vinyl ether to cage a near-infrared fluorophore in a nonfluorescent form. Then we
opened the cage in a "click to release" tetrazine bioorthogonal reaction,
restoring the fluorescent form of the fluorophore. Combining this label with a
corresponding nucleic acid probe allowed fluorogenic detection of target mRNA. In
summary, this Account describes improvements in tetrazine and dienophile
synthesis and application to advance tetrazine bioorthogonal chemistry. These
advances have further enabled application of tetrazine ligation chemistry, not
only in fundamental research but also in diagnostic studies.
PMID- 29638114
TI - A Transcription Factor-Based Biosensor for Detection of Itaconic Acid.
AB - Itaconic acid is an important platform chemical that can easily be incorporated
into polymers and has the potential to replace petrochemical-based acrylic or
methacrylic acid. A number of microorganisms have been developed for the
biosynthesis of itaconate including Aspergillus terreus, Escherichia coli, and
Saccharomyces cerevisiae. However, the number of strains and conditions that can
be tested for increased itaconate titers are currently limited because of the
lack of high-throughput screening methods. Here we identified itaconate-inducible
promoters and their corresponding LysR-type transcriptional regulators from
Yersinia pseudotuberculosis and Pseudomonas aeruginosa. We show that the YpItcR/P
ccl inducible system is highly inducible by itaconic acid in the model
gammaproteobacterium E. coli and the betaproteobacterium Cupriavidus necator (215
and 105-fold, respectively). The kinetics and dynamics of the YpItcR/P ccl
inducible system are investigated, and we demonstrate, that in addition to
itaconate, the genetically encoded biosensor is capable of detecting mesaconate,
cis-, and trans-aconitate in a dose-dependent manner. Moreover, the fluorescence
based biosensor is applied in E. coli to identify the optimum expression level of
cadA, the product of which catalyzes the conversion of cis-aconitate into
itaconate. The fluorescence output is shown to correlate well with itaconate
concentrations quantified using high-performance liquid chromatography coupled
with ultraviolet spectroscopy. This work highlights the potential of the YpItcR/P
ccl inducible system to be applied as a biosensor for high-throughput microbial
strain development to facilitate improved itaconate biosynthesis.
PMID- 29638115
TI - Correction to Forward Osmosis Membranes under Null-pressure Condition: Do
Hydraulic and Osmotic Pressures Have Identical Nature?
PMID- 29638116
TI - Influence of the Diphosphine Coordination Mode on the Structural and Optical
Properties of Cyclometalated Platinum(II) Complexes: An Experimental and
Theoretical Study on Intramolecular Pt...Pt and pi...pi Interactions.
AB - The reaction of [Pt(C^N)(CF3CO2)(SMe2)] (1), in which C^N is either benzo[
h]quinolinate (bhq), 1a, or 2-phenylpyridinate (ppy), 1b, with 1 equiv of
bis(diphenylphosphino)methane (dppm) gave the bischelate complexes
[Pt(C^N)dppm]CF3CO2 (2). The binuclear complexes [Pt2(C^N)2(CF3CO2)2(MU-dppm)]
(3) were prepared, using an unusual reaction pathway, by the addition of
equimolar amount of complexes 1 and 2, through the ring opening of the chelating
dppm ligand and coordination of the CF3CO2 anion to the platinum center. The
proposed reaction pathway and effect of the solvent polarity were investigated by
density functional theory (DFT) calculations. The crystal structure of 3a shows
considerable intramolecular Pt...Pt and pi...pi interactions. The crystal
structure and formation pathway toward 3 were compared with the similar analogue
[Pt2(bhq)2(Cl)2(MU-dppm)] (5). All complexes were fully characterized using
multinuclear NMR spectroscopy and elemental analysis. Furthermore, the crystal
structures of some complexes including 1b, 2a, 2b, 3a, and 5 were confirmed by X
ray crystallography. The effect of dimerization via a change in the coordination
mode of dppm, from a chelate mode in complex 2 to a bridge mode in complexes 3
and 5, upon the excited states of the studied compounds was investigated in their
distinguished absorption and emission profiles. The appearance of a remarkably
low energy band in the absorption spectra of 3, which was assigned to a metal
metal to ligand charge transfer [MMLCT; dsigma*(Pt2) -> pi*(C^N)] transition
showing negative solvatochromism, is important evidence for the Pt...Pt
intramolecular interaction. The vibronically resolved and long-lifetime emission
of 2a in poly(methyl methacrylate) media and powder states at 77 and 300 K, along
with time-dependent DFT calculations, suggested that the triplet ligand-centered
(3LC) emission was mixed with some 3MLCT character. Unstructured and short
lifetime emission in 3 refers to the phosphorescence 3MMLCT [dsigma*(Pt2) ->
pi*(C^N)] transition. Although complex 5 is a binuclear compound, the long
distance of the Pt...Pt interaction caused the occurrence of the 3MMLCT
transition to fade and act as a mononuclear unit, and the emission originated
mostly from the 3MLCT transition. As a result, more metal participation leads to
more red-shifted absorption and emission spectra of the studied complexes upon
going from LC to MLCT to MMLCT transitions (lambdaabs and lambdaem: 3a > 3b > 5 >
2a > 2b).
PMID- 29638117
TI - Metal-Organic-Framework-Mediated Nitrogen-Doped Carbon for CO2 Electrochemical
Reduction.
AB - A nitrogen-doped carbon was synthesized through the pyrolysis of the well-known
metal-organic framework ZIF-8, followed by a subsequent acid treatment, and has
been applied as a catalyst in the electrochemical reduction of carbon dioxide.
The resulting electrode shows Faradaic efficiencies to carbon monoxide as high as
~78%, with hydrogen being the only byproduct. The pyrolysis temperature
determines the amount and the accessibility of N species in the carbon electrode,
in which pyridinic-N and quaternary-N species play key roles in the selective
formation of carbon monoxide.
PMID- 29638118
TI - Activity of Antimicrobial Peptide Aggregates Decreases with Increased Cell
Membrane Embedding Free Energy Cost.
AB - Antimicrobial peptides (AMPs) are a promising alternative to antibiotics for
mitigating bacterial infections, in light of increasing bacterial resistance to
antibiotics. However, predicting, understanding, and controlling the
antibacterial activity of AMPs remain a significant challenge. While peptide
intramolecular interactions are known to modulate AMP antimicrobial activity,
peptide intermolecular interactions remain elusive in their impact on peptide
bioactivity. Herein, we test the relationship between AMP intermolecular
interactions and antibacterial efficacy by controlling AMP intermolecular
hydrophobic and hydrogen bonding interactions. Molecular dynamics simulations and
Gibbs free energy calculations in concert with experimental assays show that
increasing intermolecular interactions via interpeptide aggregation increases the
energy cost for the peptide to embed into the bacterial cell membrane, which in
turn decreases the AMP antibacterial activity. Our findings provide a route for
predicting and controlling the antibacterial activity of AMPs against Gram
negative bacteria via reductions of intermolecular AMP interactions.
PMID- 29638119
TI - Profile and Content of Betalains in Plasma and Urine of Volunteers after Long
Term Exposure to Fermented Red Beet Juice.
AB - The aim of this study was to determine profile and content of betalains in
volunteers' plasma and urine after long-term exposure to fermented red beet
juice. During 6 weeks, 24 healthy volunteers consumed juice with a dose of 0.7 mg
betalains/kg body weight. Betalains were analyzed by means of micro-HPLC-MS/MS.
Twelve betalain derivatives were found in blood plasma and urine after juice
intake. The highest betalains level in blood plasma (87.65 +/- 15.71 nmol/L) and
urine (1.14 +/- 0.12 MUmol) was found after the first and second week of juice
intake, respectively. During juice consumption, the contribution of betalain
metabolites was higher than that of native betalains, and interindividual
variability in profile and content of betalains was observed. Summarizing, it was
observed that long-term and regular consumption of the juice causes stabilization
of profile and content of betalains in physiological fluids of volunteers, which
include native compounds and their decarboxylated and dehydrogenated metabolites.
PMID- 29638120
TI - Quantitative Identification of Basic Growth Channels for Formation of
Monodisperse Nanocrystals.
AB - Different mechanisms are proposed to account formation of monodisperse
nanocrystals in literature, each of which is usually proposed to explain one set
of experimental observations. Here, a general model based on mass conservation is
developed to fully describe all possible channels including free growth by direct
incorporation of the monomers converted from the precursors, growth by
dissolution of a portion of the regular nanocrystals in solution, and growth by
dissolution of the clusters in solution. The new model provides convenient yet
quantitative methods to determine the channel ratios at a given time.
Experimentally, an automated microreactor system is developed and applied for
synthesis of monodisperse CdS nanocrystals, which is coupled with liquid-phase
Fourier transform infrared and UV-vis measurements to, respectively, determine
precursor conversion and size/concentration of nanocrystals with high
reproducibility (<1%) and proper time resolution (<1 s). Different from the most
accepted model for formation of monodisperse nanocrystals, a burst of nucleation
followed by growth of all nuclei by direct incorporation of the monomers
converted from the precursors (or "focusing of size distribution"), all three
basic channels are found to coexist during growth of monodisperse CdS
nanocrystals. While the new theory and experimental methods are applied to study
growth of monodisperse nanocrystals, they can be extended to offer a full kinetic
picture for formation of colloidal nanocrystals.
PMID- 29638121
TI - An Efficient Buchwald-Hartwig/Reductive Cyclization for the Scaffold
Diversification of Halogenated Phenazines: Potent Antibacterial Targeting,
Biofilm Eradication, and Prodrug Exploration.
AB - Bacterial biofilms are surface-attached communities comprised of nonreplicating
persister cells housed within a protective extracellular matrix. Biofilms display
tolerance toward conventional antibiotics, occur in ~80% of infections, and lead
to >500000 deaths annually. We recently identified halogenated phenazine (HP)
analogues which demonstrate biofilm-eradicating activities against priority
pathogens; however, the synthesis of phenazines presents limitations. Herein, we
report a refined HP synthesis which expedited the identification of improved
biofilm-eradicating agents. 1-Methoxyphenazine scaffolds were generated through a
Buchwald-Hartwig cross-coupling (70% average yield) and subsequent reductive
cyclization (68% average yield), expediting the discovery of potent biofilm
eradicating HPs (e.g., 61: MRSA BAA-1707 MBEC = 4.69 MUM). We also developed
bacterial-selective prodrugs (reductively activated quinone
alkyloxycarbonyloxymethyl moiety) to afford HP 87, which demonstrated excellent
antibacterial and biofilm eradication activities against MRSA BAA-1707 (MIC =
0.15 MUM, MBEC = 12.5 MUM). Furthermore, active HPs herein exhibit negligible
cytotoxic or hemolytic effects, highlighting their potential to target biofilms.
PMID- 29638122
TI - Correction to "Evidence for Electron-Hole Pair Excitation in the Associative
Desorption of H2 and D2 from Au(111)".
PMID- 29638123
TI - Tn Antigen Mimics by Ring-Opening of Chiral Cyclic Sulfamidates with Carbohydrate
C1- S- and C1- O-Nucleophiles.
AB - Starting from commercially available ( S)-isoserine and effectively accessible (
S)-alpha-methylserine, enantiopure cyclic sulfamidates have been prepared as
chiral building blocks for the synthesis of various S- and O-glycosylated amino
acid derivatives, including unnatural variants of the Tn antigen, through highly
chemo-, regio-, and stereoselective nucleophilic ring-opening reactions with
carbohydrate C1- S- and C1- O-nucleophiles.
PMID- 29638125
TI - Template-Directed Synthesis of a Conjugated Zinc Porphyrin Nanoball.
AB - We report the template-directed synthesis of a pi-conjugated 14-porphyrin
nanoball. This structure consists of two intersecting nanorings containing six
and 10 porphyrin units. Fluorescence upconversion spectroscopy experiments
demonstrate that electronic excitation delocalizes over the whole three
dimensional pi system in less than 0.3 ps if the nanoball is bound to its
templates or over 2 ps if the nanoball is empty.
PMID- 29638126
TI - Materials Informatics.
PMID- 29638124
TI - Casein Hydrolysate with Glycemic Control Properties: Evidence from Cells, Animal
Models, and Humans.
AB - Evidence exists to support the role of dairy derived proteins whey and casein in
glycemic management. The objective of the present study was to use a cell
screening method to identify a suitable casein hydrolysate and to examine its
ability to impact glycemia related parameters in an animal model and in humans.
Following screening for the ability to stimulate insulin secretion in pancreatic
beta cells, a casein hydrolysate was selected and further studied in the ob/ob
mouse model. An acute postprandial study was performed in 62 overweight and obese
adults. Acute and long-term supplementation with the casein hydrolysate in in
vivo studies in mice revealed a glucose lowering effect and a lipid reducing
effect of the hydrolysate (43% reduction in overall liver fat). The postprandial
human study revealed a significant increase in insulin secretion ( p = 0.04)
concomitant with a reduction in glucose ( p = 0.03). The area under the curve for
the change in glucose decreased from 181.84 +/- 14.6 to 153.87 +/- 13.02 ( p =
0.009). Overall, the data supports further work on the hydrolysate to develop
into a functional food product.
PMID- 29638127
TI - Is Calcium-Sensing Receptor a New Molecular Target toward Improving
Gastrointestinal Health?
PMID- 29638129
TI - Rediscovering the Monodispersity of Sulfonatocalix[4]arene-Based Micelles.
AB - When the micellar aggregation number ( Nagg) is small enough (<30), the Nagg
matches the value of vertexes of a regular polyhedron: Platonic solids, and
demonstrates perfect monodispersity. These micelles are named Platonic micelles
and are particularly found in the system of calix[4]arene-based micelles due to
the rigid structure of the backbone molecule. Although sulfonatocalix[4]arene
based micelles are among the most studied host molecules in supramolecular
chemistry, their micellar properties as Platonic micelles have thus far been
overlooked. In this study, we prepared various sulfonatocalix[4]arene-based
amphiphiles bearing alkyl chains with different lengths and investigated their
aggregation behavior. When the amphiphiles formed spherical micelles, they
demonstrated monodispersity in terms of Nagg, whose value changed from 4 to 17,
and then to 24, upon increasing the carbon number in each alkyl chain from C5 to
C6, and then to C7, respectively. Although the numbers 17 and 24 do not match the
vertices of regular polyhedra, these values can be reasonably explained by the
Thomson problem, which considers the Coulomb potential for calculating the best
packing on a sphere with multiple identical spherical caps. This study describes
rediscovery of the monodispersity of sulfonatocalix[4]arene-based micelles, which
is consistent with the idea of Platonic micelles.
PMID- 29638128
TI - Convergent Synthesis of Sialyl LewisX- O-Core-1 Threonine.
AB - Selectins are a class of cell adhesion molecules that play a critical role during
the initial steps of inflammation. The N-terminal domain of P-selectin
glycoprotein ligand-1 (PSGL-1) binds to all selectins, but with the highest
affinity to P-selectin. Recent evidence suggests that the blockade of P
selectin/PSGL-1 interactions provides a viable therapeutic option for the
treatment of many inflammatory diseases. Herein, we report the total synthesis of
threonine bearing sialyl LewisX (sLeX) linked to a Core-1- O-hexasaccharide 1, as
a key glycan of the N-terminal domain of PSGL-1. A convergent synthesis using
alpha-selective sialylation and a regioselective [4+2] glycosylation are the key
features of this synthesis.
PMID- 29638131
TI - Hindered Formation of Photoinactive delta-FAPbI3 Phase and Hysteresis-Free Mixed
Cation Planar Heterojunction Perovskite Solar Cells with Enhanced Efficiency via
Potassium Incorporation.
AB - Organic-inorganic hybrid lead halide perovskite solar cells have demonstrated
competitive power conversion efficiency over 22%; nevertheless, critical issues
such as unsatisfactory device stability, serious current-voltage hysteresis, and
formation of photo nonactive perovskite phases are obstacles for
commercialization of this photovoltaics technology. Herein we report a facial yet
effective method to hinder formation of photoinactive delta-FAPbI3 and hysteresis
behavior in planar heterojunction perovskite solar cells based on K
x(MA0.17FA0.83)1- xPbI2.5Br0.5 (0<= x <= 0.1) through incorporation of potassium
ions (K+). X-ray diffraction patterns demonstrate formation of photoinactive
delta-FAPbI3 was almost completely suppressed after K+ incorporation. Density
functional theory calculation shows K+ prefers to enter the interstitial sites of
perovskite lattice, leading to chemical environmental change in the crystal
structure. Ultrafast transient absorption spectroscopy has revealed that K+
incorporation leads to enhanced carrier lifetime by 50%, which is also confirmed
by reduced trap-assisted recombination of the perovskite solar cells containing
K+ in photovoltage decay. Ultraviolet photoelectron spectroscopy illustrates that
K+ incorporation results in a significant rise of conduction band minimum of the
perovskite material by 130 meV, leading to a more favorable energy alignment with
electron transporting material. At the optimal content of 3% K+ (molar ratio,
relative to the total monovalent cations), nearly hysteresis-free, enhanced power
conversion efficiencies from 15.72% to 17.23% were obtained in this solar cell.
PMID- 29638133
TI - Direct Hybridization of Hydrophobic Nanocrystals with Colloidal Silica via van
der Waals Force.
AB - We present a novel, direct approach to hybridize hydrophobic quantum dot (QD)
nanocrystals with colloidal silica (A) via van der Waals (vdW) force only. The A
is constructed by aggregation of 15-25 nm sized hydrophobic silica nanoparticles
with octadecyl groups. For hybridization, the hydrophobic QDs sit on the crevices
of A via reinforced vdW force by interdigitation of long-chained hydrocarbons
along the enlarged contact area of the crevices. The hybrids (B) are easily
encapsulated with silica with/without functional groups, yielding QD-layer
incorporated silica particles (C) with greatly enhanced PL (up to 690%) and
astonishing photostability compared with their free QDs under an identical QD
concentration. This approach is simple, novel, versatile, and extended to the
cases of three different sized QDs. The hydrophobic product C with phenylethyl
groups is applicable to fabricate a white LED, and its hydrophilic analogues can
be a promising material for bioapplications.
PMID- 29638130
TI - Harnessing the beta-Silicon Effect for Regioselective and Stereoselective
Rhodium(II)-Catalyzed C-H Functionalization by Donor/Acceptor Carbenes Derived
from 1-Sulfonyl-1,2,3-triazoles.
AB - The regioselective and enantioselective intermolecular sp3 C-H functionalization
of silicon-substituted alkanes was accomplished using Rh2( S-NTTL)4 with readily
available 1-sulfonyl-1,2,3-triazoles as carbene precursors. These reactions
generate a diverse array of stereodefined substituted silaalkanes.
PMID- 29638132
TI - Transmembrane Polyproline Helix.
AB - The third most abundant polypeptide conformation in nature, the polyproline-II
helix, is a polar, extended secondary structure with a local organization
stabilized by intercarbonyl interactions within the peptide chain. Here we design
a hydrophobic polyproline-II helical peptide based on an oligomeric
octahydroindole-2-carboxylic acid scaffold and demonstrate its transmembrane
alignment in model lipid bilayers by means of solid-state 19F NMR. As result, we
provide a first example of a purely artificial transmembrane peptide with a
structural organization that is not based on hydrogen-bonding.
PMID- 29638134
TI - The Lithiation/Oxygenation Approach to Calix[6]arenes Selectively Functionalized
at a Pair of Opposite Methylene Bridges.
AB - Lithiation of the calix[6]arene methyl ether 2 followed by reaction with O2
yields derivatives with two opposite methylene groups hydroxylated.
Calix[6]arenes with two opposite bridges functionalized with alkoxy, azido, and m
xylyl groups were prepared via reaction of a dichlorocalix[6]arene derivative
with nucleophiles.
PMID- 29638135
TI - In Vivo Introduction of mRNA Encapsulated in Lipid Nanoparticles to Brain
Neuronal Cells and Astrocytes via Intracerebroventricular Administration.
AB - Gene therapy is a promising strategy for curing certain types of brain diseases.
Supplementation of therapeutic proteins such as aromatic amino acid decarboxylase
(AADC) or nerve growth factor (NGF) have been reported to be successful examples
of such treatments. However, there are safety concerns because these systems are
based on virus-based gene vectors. A safe and efficient artificial carrier is
thus urgently needed as an alternative. In this study, an mRNA based artificial
gene carrier was introduced into the mouse brain via intracerebroventricular
administration. As a carrier, a lipid nanoparticle (LNP) composed of
environmentally sensitive lipid-like materials called an SS-cleavable proton
activated lipid-like material is used. The apolipoprotein E mediated cellular
uptake of the lipid nanoparticles is one of the key features for its superior and
homogeneous transfection activity compared to commercially available transfection
reagents in both in vitro and in vivo situations. Immunostaining of brain
specimens suggested that exogenous proteins can be introduced into neuronal cells
as well as astrocytes using the mRNA-based gene carrier. This cannot be achieved
using DNA-based artificial gene carriers. The findings suggest that a combination
of an mRNA and a lipid based delivery system have great promise as a platform for
the treatment of brain disorders.
PMID- 29638136
TI - Sarinfacetamides A and B, Nitrogenous Diterpenoids with
Tricyclo[6.3.1.01,5]dodecane Scaffold from the South China Sea Soft Coral
Sarcophyton infundibuliforme.
AB - Two novel nitrogenous diterpenoids, sarinfacetamides A (1) and B (2), featuring
an uncommon tricyclo[6.3.1.01,5]dodecane scaffold, and a known related diterpene
(3) were isolated from the South China Sea soft coral Sarcophyton
infundibuliforme. Their structures, including the absolute configuration of 1,
were established by extensive spectroscopic analysis and TDDFT-ECD calculation.
Compounds 1 and 3 exhibited interesting promotion effects on the ConA-induced T
lymphocyte proliferation. A plausible biosynthetic pathway for 1 and 2 was also
proposed.
PMID- 29638137
TI - Electrocapillary Coupling at Metal Surfaces from First Principles: On the Impact
of Excess Charge on Surface Stress and Relaxation.
AB - We study the response of the surface stress to excess charge via ab initio
simulation of metal surfaces in an external electric field. We focus on "simple"
sp-bonded metals to gain insight into the mechanisms underlying electrocapillary
coupling. Both the direct effect on the surface stress via charging of the bonds
and the indirect effect resulting from the charge-induced relaxation are analyzed
and discussed in relation to the trends of the coupling coefficients, which-owing
to a Maxwell relation-are determined in terms of the response of the work
function to strain. Al(111), Mg(0001), and Na(110) are investigated as
prototypical sp-bonded metal surfaces with positive, vanishing, and negative
coupling parameters, respectively. Mg(0001) and Al(111) exhibit an inward
relaxation of the first atomic layer upon negative charging, whereas an outward
relaxation occurs for Na(110). The indirect contribution of the relaxation to the
coupling coefficient has the same sign as the total response and makes up about
30% of its magnitude for Al(111) and Na(110). Our study highlights that even the
response behavior of the so-called simple metals is by no means readily captured
within simple models.
PMID- 29638139
TI - Corrigendum to 10.1177/2048872615574706.
PMID- 29638138
TI - CORRECTION.
PMID- 29638141
TI - Steroid-Induced Psychosis in the Pediatric Population: A New Case and Review of
the Literature.
AB - OBJECTIVES: Iatrogenic steroid-induced psychosis is a rare but serious adverse
side effect seen largely in the adult population that less commonly affects
children and adolescents. Given the significant distress steroid-induced
psychosis may cause, recommendations are needed for effective management. Here we
conducted a systematic review of the literature and report a new case of steroid
induced psychosis in a 12-year-old patient. METHODS: We performed a systematic
search using Embase, PubMed, Scopus, and PsychInfo. Key terms included ("steroid
induced" or "corticosteroid induced" or "glucocorticoid induced") and
("psychosis" or "hallucinations" or "delusions") and ("child" or "adolescent" or
"pediatric"). A total of 15 articles of steroid-induced psychosis in children and
adolescents were found in the scientific literature. This report includes those
articles and a novel case of steroid-induced psychosis. RESULTS: Children with
asthma, autoimmune diseases, and cancer have been reported to experience steroid
induced psychosis. The mean age of children with steroid-induced psychosis was 12
+/- 3.6 years. Our team presents a report of steroid-induced psychosis in a 12
year-old patient with discoid-type lupus erythematosus. Within days of treatment
with 40 mg prednisone daily, this patient began to drool, became mute, and was
responding to internal stimuli. Treatment was difficult secondary to the acute
exacerbation of lupus, requiring ongoing therapy. It was initially unclear
whether the acute psychosis was a manifestation of lupus, a side effect of
medication, or a combination of the two risk factors. Neurology consultation
ruled out lupus cerebritis. Psychosis was treated with haloperidol 5 mg.
Psychosis did not resolve until the steroid taper was complete and the patient
was no longer taking any prednisone. CONCLUSIONS: Given the common use of
glucocorticoid therapy in children, it is important that physicians and parents
recognize the signs of steroid-induced psychosis and are aware of the data on
treating this complication.
PMID- 29638140
TI - Mycobacterial infection influences bone biomarker levels in patients with Crohn's
disease.
AB - Patients with Crohn's disease (CD) have higher risk for osteoporosis following
decreased level of osteocalcin. We hypothesize that active inflammation following
Mycobacterium avium subsp. paratuberculosis (MAP) infection results in elevation
of undercarboxylated osteocalcin (ucOC) and downregulation of active osteocalcin
in CD patients and cow-disease model (Johne's disease). In this study, we
measured ucOC, active osteocalcin, and calcium levels in sera from 42 cattle (21
infected with MAP and 21 healthy cattle), 18 CD patients, and 20 controls. The
level of ucOC in MAP+ bovine samples was higher than that in MAP- controls (318
+/- 57.2 nmol/mL vs. 289 +/- 95.8 nmol/mL, P > 0.05). Consequently, mean calcium
level in bovine MAP+ was significantly higher than that in bovine-MAP- samples
(9.98 +/- 0.998 mg/dL vs. 7.65 +/- 2.12 mg/dL, P < 0.05). Also, the level of ucOC
was higher in CD-MAP+ than in CD-MAP- (561 +/- 23.7 nmol/mL vs. 285 +/- 19.6
nmol/mL, P < 0.05). Interestingly, the mean osteocalcin level in MAP+ bovine was
lower than that in MAP- bovine (797 +/- 162 pg/mL vs. 1190 +/- 43 pg/mL) and it
was lower in CD-MAP+ than in CD-MAP- infection (1.89 +/- 0.184 ng/mL vs. 2.19 +/-
0.763 ng/mL) (P < 0.05). The correlation between MAP infection and elevation of
sera ucOC, reduction of active osteocalcin and increased calcium supports MAP
infection role in CD and complications with osteoporosis.
PMID- 29638142
TI - Patient-reported outcomes are independent predictors of one-year mortality and
cardiac events across cardiac diagnoses: Findings from the national DenHeart
survey.
AB - Aims Patient-reported quality of life and anxiety/depression scores provide
important prognostic information independently of traditional clinical data. The
aims of this study were to describe: (a) mortality and cardiac events one year
after hospital discharge across cardiac diagnoses; (b) patient-reported outcomes
at hospital discharge as a predictor of mortality and cardiac events. Design A
cross-sectional survey with register follow-up. Methods Participants: All
patients discharged from April 2013 to April 2014 from five national heart
centres in Denmark. Main outcomes Patient-reported outcomes: anxiety and
depression (Hospital Anxiety and Depression Scale); perceived health (Short Form
12); quality of life (HeartQoL and EQ-5D); symptom burden (Edmonton Symptom
Assessment Scale). Register data: mortality and cardiac events within one year
following discharge. Results There were 471 deaths among the 16,689 respondents
in the first year after discharge. Across diagnostic groups, patients reporting
symptoms of anxiety had a two-fold greater mortality risk when adjusted for age,
sex, marital status, educational level, comorbidity, smoking, body mass index and
alcohol intake (hazard ratio (HR) 1.92, 95% confidence interval (CI) 1.52-2.42).
Similar increased mortality risks were found for patients reporting symptoms of
depression (HR 2.29, 95% CI 1.81-2.90), poor quality of life (HR 0.46, 95% CI
0.39-0.54) and severe symptom distress (HR 2.47, 95% CI 1.92-3.19). Cardiac
events were predicted by poor quality of life (HR 0.71, 95% CI 0.65-0.77) and
severe symptom distress (HR 1.58, 95% CI 1.35-1.85). Conclusions Patient-reported
mental and physical health outcomes are independent predictors of one-year
mortality and cardiac events across cardiac diagnoses.
PMID- 29638143
TI - Lung Ultrasound and Microbubbles Enhance Aminoglycoside Efficacy and Delivery to
the Lung in Escherichia coli-induced Pneumonia and Acute Respiratory Distress
Syndrome.
PMID- 29638144
TI - Breastfeeding and the United States Opioid Epidemic.
PMID- 29638145
TI - Prevalence and reporting of recruitment, randomisation and treatment errors in
clinical trials: A systematic review.
AB - Background/aims In clinical trials, it is not unusual for errors to occur during
the process of recruiting, randomising and providing treatment to participants.
For example, an ineligible participant may inadvertently be randomised, a
participant may be randomised in the incorrect stratum, a participant may be
randomised multiple times when only a single randomisation is permitted or the
incorrect treatment may inadvertently be issued to a participant at
randomisation. Such errors have the potential to introduce bias into treatment
effect estimates and affect the validity of the trial, yet there is little
motivation for researchers to report these errors and it is unclear how often
they occur. The aim of this study is to assess the prevalence of recruitment,
randomisation and treatment errors and review current approaches for reporting
these errors in trials published in leading medical journals. Methods We
conducted a systematic review of individually randomised, phase III, randomised
controlled trials published in New England Journal of Medicine, Lancet, Journal
of the American Medical Association, Annals of Internal Medicine and British
Medical Journal from January to March 2015. The number and type of recruitment,
randomisation and treatment errors that were reported and how they were handled
were recorded. The corresponding authors were contacted for a random sample of
trials included in the review and asked to provide details on unreported errors
that occurred during their trial. Results We identified 241 potentially eligible
articles, of which 82 met the inclusion criteria and were included in the review.
These trials involved a median of 24 centres and 650 participants, and 87%
involved two treatment arms. Recruitment, randomisation or treatment errors were
reported in 32 in 82 trials (39%) that had a median of eight errors. The most
commonly reported error was ineligible participants inadvertently being
randomised. No mention of recruitment, randomisation or treatment errors was
found in the remaining 50 of 82 trials (61%). Based on responses from 9 of the 15
corresponding authors who were contacted regarding recruitment, randomisation and
treatment errors, between 1% and 100% of the errors that occurred in their trials
were reported in the trial publications. Conclusion Recruitment, randomisation
and treatment errors are common in individually randomised, phase III trials
published in leading medical journals, but reporting practices are inadequate and
reporting standards are needed. We recommend researchers report all such errors
that occurred during the trial and describe how they were handled in trial
publications to improve transparency in reporting of clinical trials.
PMID- 29638146
TI - Detecting Nonvolatile Life- and Nonlife-Derived Organics in a Carbonaceous
Chondrite Analogue with a New Multiplex Immunoassay and Its Relevance for
Planetary Exploration.
AB - Potential martian molecular targets include those supplied by meteoritic
carbonaceous chondrites such as amino acids and polycyclic aromatic hydrocarbons
and true biomarkers stemming from any hypothetical martian biota (organic
architectures that can be directly related to once living organisms). Heat
extraction and pyrolysis-based methods currently used in planetary exploration
are highly aggressive and very often modify the target molecules making their
identification a cumbersome task. We have developed and validated a mild,
nondestructive, multiplex inhibitory microarray immunoassay and demonstrated its
implementation in the SOLID (Signs of Life Detector) instrument for simultaneous
detection of several nonvolatile life- and nonlife-derived organic molecules
relevant in planetary exploration and environmental monitoring. By utilizing a
set of highly specific antibodies that recognize D- or L- aromatic amino acids
(Phe, Tyr, Trp), benzo[a]pyrene (B[a]P), pentachlorophenol, and sulfone
containing aromatic compounds, respectively, the assay was validated in the SOLID
instrument for the analysis of carbon-rich samples used as analogues of the
organic material in carbonaceous chondrites or even Mars samples. Most of the
antibodies enabled sensitivities at the 1-10 ppb level and some even at the ppt
level. The multiplex immunoassay allowed the detection of B[a]P as well as
aromatic sulfones in a water/methanol extract of an Early Cretaceous lignite
sample (c.a., 140 Ma) representing type IV kerogen. No L- or D-aromatic amino
acids were detected, reflecting the advanced diagenetic stage and the fossil
nature of the sample. The results demonstrate the ability of the liquid
extraction by ultrasonication and the versatility of the multiplex inhibitory
immunoassays in the SOLID instrument to discriminate between organic matter
derived from life and nonlife processes, an essential step toward life detection
outside Earth.
PMID- 29638147
TI - The absolute bioavailability and the effect of food on a new magnesium lactate
dihydrate extended-release caplet in healthy subjects.
AB - OBJECTIVE: To assess the absolute bioavailability of 20 mEq magnesium lactate
extended-release (ER) caplets and to assess the effect of food on the
pharmacokinetics of these ER caplets. SIGNIFICANCE: Magnesium in different salt
forms is available as over-the-counter oral formulations. The absorption and
bioavailability is highly affected by the water solubility of the salt form. A
new ER caplet of 10 mEq strength of magnesium L-lactate dihydrate has been
developed to increase the bioavailability of magnesium. METHODS: An open label,
single-dose, randomized, three-period, cross-over study in healthy adults was
conducted with three treatments: (a) single oral dose of 20 mEq magnesium L
lactate dehydrate under fasting conditions, (b) single intravenous (IV) infusion
of 20 mEq magnesium sulfate, and (c) single oral dose of 20 mEq magnesium L
lactate dehydrate under fed conditions. Urine and blood samples were collected
for analysis of urinary and serum magnesium concentrations. RESULTS: Absolute
bioavailabilities of the caplets under fasted and fed conditions, compared to IV
magnesium sulfate, were 20.26% (fasted) and 12.49% (fed) in serum, based on the
geometric mean ratio (GMR) of the baseline-adjusted AUC0-72, and 38.11% (fasted)
and 40.99% (fed) in urine, based on the GMR of the baseline-adjusted Ae0-72.
Relative bioavailability of the caplets comparing the fed and fasted states was
61.67% in serum, based on the GMR of the baseline-adjusted AUC0-72, and 107.57%
in urine, based on the GMR of the baseline-adjusted Ae0-72. CONCLUSIONS: This new
magnesium formulation has reasonable bioavailability and might be a valuable
addition to the currently available magnesium oral products.
PMID- 29638149
TI - Masitinib in treatment of pancreatic cancer.
AB - INTRODUCTION: Pancreatic cancer continues to have high mortality despite the
development of many chemotherapeutic agents. The 5-year relative survival for
stage IV patients is less than 3%. This urgent unmet need warrants the
development of novel and active therapeutic agents, which focus both on targeting
cancer cells and modifying the microenvironment of cancer cells. Areas covered:
In this article, the authors review the development of masitinib, a novel
tyrosine kinase inhibitor of numerous targets, including c-Kit, PDGFR and FGFR.
This review covers its development from the bench to clinical trials assessing
its potential in pancreatic cancer. Expert opinion: While masitinib has not shown
an increase in overall survival (OS) or progression free survival (PFS) compared
to the current standard of care in patients with pancreatic adenocarcinoma,
masitinib may have a role in decreasing inflammation related to those patients
with increased pain scores with pancreatic adenocarcinoma. If we have the tools
to identify accurate subgroups of patients who may benefit from particular
therapies, this agent may be of benefit to these patients. Indeed, if more
sophisticated biomarkers and the identification of patient subgroups are better
explained, the authors believe that masitinib will become part of the
armamentarium against pancreatic adenocarcinoma.
PMID- 29638148
TI - Prevention of upper gastrointestinal bleeding in critically ill Chinese patients:
a randomized, double-blind study evaluating esomeprazole and cimetidine.
AB - OBJECTIVE: To assess the efficacy and safety of esomeprazole in preventing upper
gastrointestinal (GI) bleeding in critically ill Chinese patients, using
cimetidine as an active comparator. METHODS: A pre-specified non-inferiority
limit (5%) was used to compare rates of significant upper GI bleeding in this
randomized, double-blind, parallel-group, phase 3 study across 27 intensive care
units in China. Secondary endpoints included safety and tolerability measures.
Patients required mechanical ventilation and had at least one additional risk
factor for stress ulcer bleeding. Patients were randomized to receive either
active esomeprazole 40 mg, as a 30-min intravenous (IV) infusion twice daily, and
an IV placebo cimetidine infusion or active cimetidine 50 mg/h, as a continuous
infusion following an initial bolus of 300 mg, and placebo esomeprazole
injections, given up to 14 days. Patients were blinded using this double-dummy
technique. RESULTS: Of 274 patients, 2.7% with esomeprazole and 4.6% with
cimetidine had significant upper GI bleeding (bright red blood in the gastric
tube not clearing after lavage or persistent Gastroccult-positive "coffee
grounds" material). Non-inferiority of esomeprazole to cimetidine was
demonstrated. The safety profiles of both drugs were similar and as expected in
critically ill patients. CONCLUSIONS: Esomeprazole is effective in preventing
upper GI bleeding in critically ill Chinese patients, as demonstrated by the non
inferiority analysis using cimetidine as an active control. TRIAL REGISTRATION:
ClinicalTrials.gov identifier NCT02157376.
PMID- 29638152
TI - How Will Virtual Reality Impact Our Understanding of Sexuality?
PMID- 29638150
TI - Emerging drugs for primary progressive multiple sclerosis.
AB - INTRODUCTION: The identification of effective therapies for progressive forms of
multiple sclerosis (MS) has remains a priority and challenge for the global MS
community. Despite a few proposed mechanisms, a more complete understanding of
the mechanisms involved in the pathogenesis of these MS phenotypes, animal models
that incorporate these pathogenic characteristics, novel trial designs, drug
repurposing strategies, and new models of collaboration between clinical and
basic science personnel may be required in identifying effective therapies. Areas
covered: Here, we review the current knowledge on putative pathogenic mechanisms
in primary progressive MS (PPMS). Also, the rationale and outcomes of key phase
II or III trial initiatives in PPMS are summarized. Future perspectives are
outlined. Expert opinion: The recent approval of ocrelizumab is a major milestone
forward in the therapy of PPMS. One reason for success of this drug is
appropriate patient selection. The ultimate goal in PPMS therapy should be the
reversal of disability, and the arrest of disease progression. Our current
understanding of PPMS suggests that a combination of immune-modulatory, myelin
restorative, and neuro-regenerative therapies particularly early in the disease
course would be a reasonable strategy. Finally, selection of appropriate
patients, selection of appropriate outcomes and monitoring therapy is again
crucial for success of therapeutic strategies.
PMID- 29638153
TI - WeChat Addiction Suppresses the Impact of Stressful Life Events on Life
Satisfaction.
AB - The current study examined the influences of stressful life events and WeChat
addiction on life satisfaction, and investigated the mediating role of WeChat
addiction on the relationship between the two research variables. A total of 463
undergraduates completed self-reported scales for stressful life events, WeChat
addiction, and life satisfaction. Structural equation modeling was used to
analyze the questionnaire data. The results showed the suppressing effect of
WeChat addiction on the negative impact of stressful life events on life
satisfaction. Stressful life events affect life satisfaction both directly and
indirectly. Stressful life events are positively associated with WeChat
addiction, which exerts positive impact on life satisfaction. The contributions
of the findings are discussed.
PMID- 29638155
TI - Interactive Effects of Instagram Foodies' Hashtagged #Foodporn and Peer Users'
Eating Disorder on Eating Intention, Envy, Parasocial Interaction, and Online
Friendship.
AB - Drawing from social comparison theory, parasocial interaction (PSI) theory, and
the literature on envy, a randomized experiment addressed the dynamics of body
image, fame, and envy in the context of foodies' foodporn posting on Instagram.
Using a 2 (foodie's body type: fat vs. thin) * 2 (foodie's Instagram popularity:
unpopular vs. popular) between-subjects design, this experiment (N = 141)
examined the effects of an Instagram foodie's body shape and popularity level on
peer Instagram users' eating intention, envy, and PSI with the Instagram foodie.
The results showed main effects of an Instagram foodie's body shape on peer
users' eating intention, and moderating effects of users' self-esteem, body mass
index, perfectionism, anorexia, and bulimia nervosa. Additionally, envy mediated
the effects of the Instagram foodie's body shape and popularity on peer users'
PSI with the foodie and intention to build an Instagram-based friendship.
Theoretical contributions to the literature on social comparison and eating
disorder and practical implications for fitspiration and social media-based
health interventions are discussed.
PMID- 29638156
TI - The Effects of Avatars' Age on Older Adults' Self-Disclosure and Trust.
AB - Older adults are increasingly interacting with other people online via virtual
avatars, yet little is known about how avatars affect older adults' behavior.
This study examines how interacting avatars' age affects older adult's self
disclosure and trust in a relation-building context. Previous studies have found
that users can take on behaviors consistent with characteristics of the avatars.
In social interactions, people also assess their avatar in relation to other
avatars for similarity or differences. We conducted a 2 (self-avatar: old vs.
young) * 2 (other's avatar: old vs. young) experiment with older-adult
participants aged 60+ (n = 95). The findings show that using younger avatars did
not increase self-disclosure. However, the older-adult participants disclosed
more information when their avatar's age matched their partner avatar's age
(i.e., old to old, young to young). They also trust their partners more when the
interacting avatars shared similar age. This study provides theoretical insights
into the role of avatars in online relationship-building and extends our
understanding of the avatar effects on older adults.
PMID- 29638157
TI - Is Media Multitasking Good for Cybersecurity? Exploring the Relationship Between
Media Multitasking and Everyday Cognitive Failures on Self-Reported Risky
Cybersecurity Behaviors.
AB - The current study focused on how engaging in media multitasking (MMT) and the
experience of everyday cognitive failures impact on the individual's engagement
in risky cybersecurity behaviors (RCsB). In total, 144 participants (32 males,
112 females) completed an online survey. The age range for participants was 18 to
43 years (M = 20.63, SD = 4.04). Participants completed three scales which
included an inventory of weekly MMT, a measure of everyday cognitive failures,
and RCsB. There was a significant difference between heavy media multitaskers
(HMM), average media multitaskers (AMM), and light media multitaskers (LMM) in
terms of RCsB, with HMM demonstrating more frequent risky behaviors than LMM or
AMM. The HMM group also reported more cognitive failures in everyday life than
the LMM group. A regression analysis showed that everyday cognitive failures and
MMT acted as significant predictors for RCsB. These results expand our current
understanding of the relationship between human factors and cybersecurity
behaviors, which are useful to inform the design of training and intervention
packages to mitigate RCsB.
PMID- 29638158
TI - Expression of ILK in renal stroma is essential for multiple aspects of renal
development.
AB - Kidney development involves reciprocal and inductive interactions between the
ureteric bud (UB) and surrounding metanephric mesenchyme. Signals from renal
stromal lineages are essential for differentiation and patterning of renal
epithelial and mesenchymal cell types and renal vasculogenesis; however,
underlying mechanisms remain not fully understood. Integrin-linked kinase (ILK),
a key component of integrin signaling pathway, plays an important role in kidney
development. However, the role of ILK in renal stroma remains unknown. Here, we
ablated ILK in renal stromal lineages using a platelet-derived growth factor
receptor B ( Pdgfrb) -Cre mouse line, and the resulting Ilk mutant mice presented
postnatal growth retardation and died within 3 wk of age with severe renal
developmental defects. Pdgfrb-Cre;Ilk mutant kidneys exhibited a significant
decrease in UB branching and disrupted collecting duct formation. From E16.5
onward, renal interstitium was disorganized, forming medullary interstitial
pseudocysts. Pdgfrb-Cre;Ilk mutants exhibited renal vasculature mispatterning and
impaired glomerular vascular differentiation. Impaired glial cell-derived
neurotrophic factor/Ret and bone morphogenetic protein 7 signaling pathways were
observed in Pdgfrb-Cre;Ilk mutant kidneys. Furthermore, phosphoproteomic and
Western blot analyses revealed a significant dysregulation of a number of key
signaling pathways required for kidney morphogenesis, including PI3K/AKT and
MAPK/ERK in Pdgfrb-Cre;Ilk mutants. Our results revealed a critical requirement
for ILK in renal-stromal and vascular development, as well as a noncell
autonomous role of ILK in UB branching morphogenesis.
PMID- 29638159
TI - Klotho plays a protective role against glomerular hypertrophy in a cell cycle
dependent manner in diabetic nephropathy.
AB - There are few studies on the effect of klotho on podocytes in diabetic
nephropathy. Thus, we tested whether klotho exerts a protective effect against
glomerular injury in diabetes. Mouse podocytes were cultured in media containing
5.6 or 30 mM glucose(HG) with or without 200 pM of recombinant klotho (rKL).
Additionally, 32 mice were injected intraperitoneally with either diluent( n =
16, C) or with streptozotocin ( n = 16, DM). Control and diabetic mice underwent
sham operation and unilateral nephrectomy, respectively. Eight mice from each
control and DM group were treated daily with 10 MUg.kg-1.day-1 of rKL, using an
osmotic minipump. Klotho was expressed in podocytes, and its expression was
dependent on peroxisome proliferator-activateed receptor-gamma (PPARgamma). HG
treatment increased the expression of cell cycle-related and apoptotic markers,
and these were significantly attenuated by rKL; rKL inhibited the extracellular
signal-regulated protein kinase-1/2 and p38 signaling pathways in HG-induced
podocyte injury. However, siRNA against klotho gene in HG-treated podocytes
failed to aggravate cell cycle arrest and apoptosis. When HG-treated podocytes
were incubated in the high-klotho-conditioned medium from tubular epithelial
cells, cell injury was significantly attenuated. This effect was not observed
when klotho was inhibited by siRNA. In vivo, the expressions of cell cycle
related and apoptotic markers were increased in diabetic mice compared with
controls, which were significantly decreased by rKL. Glomerular hypertrophy (GH)
and increased profibrotic markers were significantly alleviated after rKL
administration. These results showed that klotho was expressed in glomerular
podocytes that and its expression was regulated by PPARgamma. Additionally,
administration of rKL attenuated GH via a cell cycle-dependent mechanism and
decreased apoptosis.
PMID- 29638160
TI - Distinct morphological features of acute tubular injury in renal allografts
correlate with clinical outcome.
AB - Acute tubular injury (ATI) is common in renal allografts and is related to
inferior long-term allograft function. However, it is unknown which of the
morphological features of ATI can predict outcome and how they should be graded.
Here, we examine features of ATI systematically in protocol biopsies and biopsies
for cause to define the most predictive features. Analyses included 521 protocol
biopsies taken at 6 wk, 3 mo, and 6 mo after transplantation and 141 biopsies for
cause from 204 patients. Features of ATI included brush border loss, tubular
epithelial lucency, flattening, pyknosis, nuclei loss, and luminal debris, each
graded semiquantitatively. Additional immunohistochemical stainings were
performed for markers of cell injury (neutrophil gelatinase-associated
lipocalin), cell death [cleaved caspase-3, fatty acid-coenzyme A ligase 4
(FACL4)], and proliferation (Ki-67). Interobserver reliability was good for
pyknosis, flattening, and brush border loss and poor for lucency, nuclei loss,
and luminal debris. In protocol biopsies between 6 wk and 6 mo, the degree of ATI
remained virtually unchanged. Biopsies for cause had generally higher injury
scores. Deceased donor source, delayed graft function, ganciclovir/valganciclovir
treatment, and urinary tract infection correlated with ATI. The degree of
pyknosis, flattening, and brush border loss correlated best with impaired
allograft function. FACL4 expression was observed in areas of ATI. Only patients
with Ki-67 expression showed stable or improved allograft function in the
longitudinal assessment. Reliable assessment of ATI is possible by
semiquantitative grading of tubular epithelial cell brush border loss,
flattening, and pyknosis. Examination of Ki-67 expression can help determine the
potential for recovery from this damage.
PMID- 29638161
TI - Plasma Insulin Levels and Hypoglycemia Affect Subcutaneous Interstitial Glucose
Concentration.
AB - BACKGROUND: Continuous glucose monitoring (CGM) accuracy during hypoglycemia is
suboptimal. This might be partly explained by insulin or hypoglycemia-induced
changes in the plasma interstitial subcutaneous (SC) fluid glucose gradient. The
aim of the present study was to assess the role of plasma insulin (PI) and
hypoglycemia itself in the plasma and interstitial SC fluid glucose concentration
in patients with type 1 diabetes mellitus. METHODS: Eleven subjects with type 1
diabetes (age 36.5 +/- 9.1 years, HbA1c 7.9 +/- 0.4% [62.8 +/- 2.02 mmol/mol];
mean +/- standard deviation) were evaluated under hyperinsulinemic euglycemia and
hypoglycemia. Each subject underwent two randomized crossover clamps with either
a primed 0.3 (low insulin) or 1 mU/(kg.min) (high insulin) insulin infusion. The
raw CGM signal was normalized with median preclamp values to obtain a
standardized measure of the interstitial glucose (IG) concentration before
statistical analysis. RESULTS: The mean PI concentration was greater in high
insulin studies (HISs) versus low insulin studies (LISs) (412.89 +/- 13.63 vs.
177.22 +/- 10.05 pmol/L). During hypoglycemia, glucagon, adrenaline, free fatty
acids, glycerol, and beta-OH-butyrate were higher in the LIS (P < 0.0001).
Likewise, the IG concentration was significantly different (P < 0.0001). This was
due to lower IG concentration than plasma glucose (PG) concentration during the
euglycemic hyperinsulinemic phases in the HIS. In contrast, no difference was
observed during hypoglycemia. This was the result of an unchanged PG/IG gradient
during the entire LIS, while in the HIS, this gradient increased during the
hyperinsulinemic euglycemia phase. CONCLUSION: Both PI levels and hypoglycemia
affect the relationship between IG and PG concentration. ClinicalTrials.gov
Identifier: NCT01714895.
PMID- 29638162
TI - miR-92b-3p Promotes Colorectal Carcinoma Cell Proliferation, Invasion, and
Migration by Inhibiting FBXW7 In Vitro and In Vivo.
AB - microRNA (miR)-92b is an oncogenic miRNA. F-box and WD-40 domain protein 7
(FBXW7/hCdc4) is a tumor suppressor and a target of miR-92b-3p. This study was
designed to investigate the effect of miR-92b-3p on colorectal carcinoma (CRC)
invasion. The expression levels of miR-92b-3p in human HT29, HCT116, and human
fetal colon (FHC) normal cells were detected. HT29 and HCT116 cells were
transfected with either miR-92b-3p inhibitor or FBXW7 expression plasmids (pcDNA
FBXW7) and combination of miR-92b-3p and siRNA-FBXW7. Cell viability, migration,
invasion, colony formation, cell cycle, and apoptosis in transfected cells were
detected using corresponding methods. Moreover, the target relationship between
miR-92b-3p and FBXW7 was verified using dual-luciferase reporter assay. miR-92b
3p was upregulated in CRC cells in comparison with FHC cells. Then, we
transfected HT29 and HCT116 cells with miR-92b-3p inhibitor or pcDNA-FBXW7 and
found decreased cell proliferation, migration, invasion, and colony formation
ability, as well as the number of upregulated cells at G1 phase of cell cycle and
cell apoptosis. With the cotransfection of miR-92b-3p inhibitor and siRNA-FBXW7,
we determined that siRNA-FBXW7 partially attenuated the effect of miR-92b-3p
inhibitor on cell behaviors. SiRNA-FBXW7 administration to miR-92b-3p inhibitor
treated cells rebooted cell proliferation, cell migration, invasion, and colony
formation. miR-92b-3p inhibition prevented CRC proliferation, invasion, and
migration by upregulating FBXW7, which might suggest the potential role of miR
92b-3p in colorectal carcinogenesis and metastasis.
PMID- 29638164
TI - Long Noncoding RNA AK021443 Promotes Cell Proliferation and Migration by
Regulating Epithelial-Mesenchymal Transition in Hepatocellular Carcinoma Cells.
AB - Long noncoding RNAs (lncRNAs) were dysregulated in many kinds of cancers,
including hepatocellular carcinoma (HCC). AK021443, as a novel lncRNA, was found
to be upregulated in HCC, while its potential value and function are still
unknown. The pathological changes of liver tissues were observed by hematoxylin
and eosin staining. The expression levels of AK021443 in HCC tissues and cell
lines were examined by quantitative real-time polymerase chain reaction (qRT
PCR). The proliferation ability of AK021443 on HepG2 and Bel-7402 cells was
assessed by CCK8 and EdU staining assays. The role of AK021443 in HepG2 and Bel
7402 cell invasion and migration was measured by Transwell and wound healing
assays. Finally, the expression of epithelial-mesenchymal transition (EMT)
markers, including E-cadherin, N-cadherin, vimentin, and snail, was investigated
by qRT-PCR, Western blot, and immunofluorescence. The role of AK021443 in vivo
was also analyzed. Hepatoma cell nucleus increased in HCC tissues compared with
normal liver tissues. AK021443 expression was increased in HCC tissues and cell
lines. Knockdown of AK021443 significantly reduced HepG2 and Bel-7402 cell
proliferation, invasion, and migration. Furthermore, inhibition of AK021443 in
HepG2 and Bel-7402 cells significantly repressed EMT ability. Knockdown of
AK021443 in vivo also significantly inhibited tumor growth with decreased Ki-67
levels and EMT phenotype in tumor tissues. However, these functions could be
reversed by overexpression of AK021443. AK021443 significantly controlled HepG2
and Bel-7402 cell proliferation, colony formation, invasion, and migration by
repressing EMT, which might provide a potential therapeutic target for HCC
diagnosis.
PMID- 29638163
TI - Mining the Virome for Insights into Type 1 Diabetes.
AB - Type 1 diabetes (T1D) is characterized by the autoimmune destruction of insulin
producing pancreatic beta cells. Although environmental factors interplay with
genetic susceptibility to promote immune dysregulation and disease, it remains
unclear as to which potential environmental factors are causative and not simply
correlative. Despite many hints that the microbiome can have a profound effect on
T1D, significant changes in bacterial gut flora and diversity appear to emerge
only after the detection of early signs of T1D. Surprisingly, we recently found
significant differences in the gut virome preceding the initial signs of T1D,
raising the tantalizing possibility that the state of the virome may influence or
predict whether susceptible individuals progress on the path to disease. The
challenge will be to discern whether there is likely a causative relationship
between detected virome differences and T1D.
PMID- 29638165
TI - Intensified Sampling in Response to a Salmonella Heidelberg Outbreak Associated
with Multiple Establishments Within a Single Poultry Corporation.
AB - On June 28, 2013, the Food Safety and Inspection Service (FSIS) was notified by
the Centers for Disease Control and Prevention (CDC) of an investigation of a
multistate cluster of illnesses of Salmonella enterica serovar Heidelberg. Since
case-patients in the cluster reported consumption of a variety of chicken
products, FSIS used a simple likelihood-based approach using traceback
information to focus on intensified sampling efforts. This article describes the
multiphased product sampling approach taken by FSIS when epidemiologic evidence
implicated chicken products from multiple establishments operating under one
corporation. The objectives of sampling were to (1) assess process control of
chicken slaughter and further processing and (2) determine whether outbreak
strains were present in products from these implicated establishments. As part of
the sample collection process, data collected by FSIS personnel to characterize
product included category (whole chicken and type of chicken parts), brand,
organic or conventional product, injection with salt solutions or flavorings, and
whether product was skinless or skin-on. From the period September 9, 2013,
through October 31, 2014, 3164 samples were taken as part of this effort.
Salmonella percent positive declined from 19.7% to 5.3% during this timeframe as
a result of regulatory and company efforts. The results of intensified sampling
for this outbreak investigation informed an FSIS regulatory response and
corrective actions taken by the implicated establishments. The company noted that
a multihurdle approach to reduce Salmonella in products was taken, including on
farm efforts such as environmental testing, depopulation of affected flocks,
disinfection of affected houses, vaccination, and use of various interventions
within the establishments over the course of several months.
PMID- 29638167
TI - Inactivation of Escherichia coli O157:H7 and Salmonella on Fresh Herbs by Plant
Essential Oils.
AB - Consumer awareness of fresh herbs and its demand has increased in recent years
due to health benefits and distinct aroma in prepared food. There are specific
markets for local growers, especially for organically grown herbs. Shiga
toxigenic Escherichia coli and Salmonella spp. have been detected and associated
with foodborne outbreaks from fresh herbs. Limited treatment options are
available in the processing of fresh herbs to prevent the spread of foodborne
pathogens. In this study, plant-based essential oils were evaluated on fresh
herbs for their antimicrobial activities against Salmonella and E. coli O157:H7.
Fresh herbs (basil, cilantro, dill, parsley, and tarragon) were inoculated with
cocktails of either Salmonella or E. coli O157:H7 and then dip treated with
chlorine (50 ppm), cinnamaldehyde (0.3 and 0.5%), and carvacrol (0.1 and 0.3%).
Control herb samples were dipped in sterile water. Samples were collected on days
0, 2, 7, and 14 for enumeration of pathogens during 4 degrees C storage. The
bactericidal efficacy differed with herbs and antimicrobial concentrations.
Treatments with 0.3% carvacrol or 0.5% cinnamaldehyde reduced E. coli O157:H7 and
Salmonella by 5 log CFU/g (p > 0.05%) on cilantro and dill leaves from their
initial inoculum level. Bactericidal efficacy of 0.1% carvacrol and 0.3%
cinnamaldehyde was significant against Salmonella compared with chlorine on all
herb leaves. E. coli O157:H7 and Salmonella populations were reduced further
during storage of treated herbs. There was no visual difference in herbs treated
with 0.3% cinnamaldehyde or 0.1% carvacrol from control samples. Results indicate
that 0.3% cinnamaldehyde and 0.1% carvacrol are effective against E. coli O157:H7
and Salmonella, retain color attributes of fresh herbs, and, therefore, may be an
alternative wash treatment for fresh herbs.
PMID- 29638166
TI - Detection, Prevalence, and Pathogenicity of Non-O157 Shiga Toxin-Producing
Escherichia coli from Cattle Hides and Carcasses.
AB - Cattle are a major reservoir for Shiga toxin-producing Escherichia coli (STEC)
and harbor these bacteria in the intestinal tract. The prevalence, concentration,
and STEC serogroup isolated in cattle varies between individuals. Hide removal at
slaughter serves as a major point of carcass contamination and ultimately beef
products. Certain STEC serogroups, such as O26, O45, O103, O111, O121, O145, and
O157, containing the intestinal adherence factor intimin, pose a large economic
burden to food producers because of testing and recalls. Human infection with
STEC can cause illnesses ranging from diarrhea to hemorrhagic colitis and
hemolytic uremic syndrome, and is commonly acquired through ingestion of
contaminated foods, often beef products. Previously, most studies focused on O157
STEC, but there is growing recognition of the importance of non-O157 STEC
serogroups. This review summarizes detection methods, prevalence, and methods for
prediction of pathogenicity of non-O157 STEC from cattle hides and carcasses. A
synthesis of procedures is outlined for general non-O157 STEC and targeted
detection of specific STEC serogroups. Standardization of sample collection and
processing procedures would allow for more robust comparisons among studies.
Presence of non-O157 STEC isolated from cattle hides and carcasses and specific
factors, such as point of sample collection and season, are summarized. Also,
factors that might influence STEC survival on these surfaces, such as the
microbial population on hides and microbial adherence genes, are raised as topics
for future investigation. Finally, this review gives an overview on studies that
have used genetic and cell-based methods to identify specific phenotypes of non
O157 STEC strains isolated from cattle to assess their risk to human health.
PMID- 29638168
TI - Maintenance of Carbapenemase-Producing Enterobacteriaceae in a Farrow-to-Finish
Swine Production System.
AB - Carbapenemase-producing Enterobacteriaceae (CPE) threaten both agriculture and
public health. While carbapenems are restricted in food-producing animals, other
beta-lactams, such as ceftiofur, are frequently applied in livestock. While the
relationship is not fully elucidated, ceftiofur use may provide selective
pressure that promotes carbapenem resistance. Recently reported in U.S.
livestock, plasmid-mediated CPE are also present in livestock in Europe and Asia.
We previously reported the rare carbapenemase gene, blaIMP-64, in the environment
of a large farrow-to-finish swine operation. To better understand CPE in this
swine production system, in 2016 we followed a cohort of 350+ pigs over 5 months
from late sow gestation to the final finishing phase. We screened both
environmental and fecal samples for CPE using our selective enrichment protocol,
with resulting phenotypic CPE isolates further characterized. Of 55 environmental
and 109 sow fecal samples collected from a farrowing barn on our initial visit,
35 (64%) environmental and 15 (14%) sow fecal samples yielded isolates of
multiple Enterobacteriaceae species carrying the metallo-beta-lactamase gene
blaIMP-64 on an IncQ plasmid. The frequency of IMP-64-positive environmental (n =
32), sow fecal (n = 30), and piglet fecal swab (n = 120) samples was highest for
all groups when the market pig cohort was between 1 and 10 days, with observed
prevalence of 97%, 28%, and 18%, respectively. After weaning, blaIMP-64 was
detected in a single environmental sample from a nursery pen, with no CPE
recovered in the finishing phase. Used in U.S. swine production to treat and
control disease, ceftiofur is administered to piglets on this farm at birth, with
males receiving a second dose at castration (~day 7). Once introduced into animal
agriculture, the common use of ceftiofur may provide the selection pressure
required for CPE dissemination throughout large, intensively managed food animal
populations housed in animal-dense environments.
PMID- 29638169
TI - Characterization of Staphylococcus aureus Isolated from Food Products in Western
Algeria.
AB - The current study aimed to characterize Staphylococcus aureus isolates from
foodstuffs collected from western Algeria. A total of 153 S. aureus isolates from
various raw and processed foods were obtained and identified using matrix
assisted laser desorption and ionization time-of-flight mass spectrometry.
Isolates were characterized by antimicrobial susceptibility testing and toxin
gene detection. Methicillin-resistant Staphylococcus aureus (MRSA) isolates were
identified by detection of the mecA gene and characterized by staphylococcal
cassette chromosome mec (SCCmec) typing. We found that 30.9% (153/495) of food
samples were contaminated with S. aureus. Thirty-three (21.5%) S. aureus isolates
were identified as MRSA, and 16.9% (26/153) carried the mecA gene. Three SCCmec
types were identified of which type IV was the most common (69.2%) followed by
type V (15.3%) and type II (7.6%). Two MRSA isolates were not typable with SCCmec
typing. None of the examined isolates harbored mecC. Furthermore, 14.3% (22/153)
of the isolates were toxigenic S. aureus. The cytotoxin gene pvl was detected in
11.1% of the S. aureus isolates. This gene was more commonly detected (76.4%) in
MRSA isolates than in methicillin-suceptible Staphylococcus aureus (MSSA)
isolates. The tsst-1 gene coding for toxic shock syndrome toxin was isolated
rarely (3.2%) and only in MSSA isolates. According to disk diffusion test
results, 70 isolates were resistant to only one antimicrobial drug, and 51
(33.3%) isolates were multidrug resistant. Other 32 isolates were susceptible to
all antibiotics. Our study highlights, for the first time, a high prevalence of
multidrug-resistant S. aureus isolates carrying pvl or tsst-1 found in food
products in Algeria. The risk of MRSA transmission through the food chain cannot
be disregarded, particularly in uncooked foods.
PMID- 29638170
TI - Seven Salmonella Typhimurium Outbreaks in Australia Linked by Trace-Back and
Whole Genome Sequencing.
AB - Salmonella Typhimurium is a common cause of foodborne illness in Australia. We
report on seven outbreaks of Salmonella Typhimurium multilocus variable-number
tandem-repeat analysis (MLVA) 03-26-13-08-523 (European convention 2-24-12-7
0212) in three Australian states and territories investigated between November
2015 and March 2016. We identified a common egg grading facility in five of the
outbreaks. While no Salmonella Typhimurium was detected at the grading facility
and eggs could not be traced back to a particular farm, whole genome sequencing
(WGS) of isolates from cases from all seven outbreaks indicated a common source.
WGS was able to provide higher discriminatory power than MLVA and will likely
link more Salmonella Typhimurium cases between states and territories in the
future. National harmonization of Salmonella surveillance is important for
effective implementation of WGS for Salmonella outbreak investigations.
PMID- 29638171
TI - Campylobacter Prevalence and Quinolone Susceptibility in Feces of Preharvest
Feedlot Cattle Exposed to Enrofloxacin for the Treatment of Bovine Respiratory
Disease.
AB - Campylobacter spp. can be pathogenic to humans and often harbor antimicrobial
resistance genes. Data on resistance in relation to fluoroquinolone use in beef
cattle are scarce. This cross-sectional study of preharvest cattle evaluated
Campylobacter prevalence and susceptibility to nalidixic acid and ciprofloxacin
in feedlots that previously administered a fluoroquinolone as primary treatment
for bovine respiratory disease. Twenty fresh fecal samples were collected from
each of 10 pens, in each of five feedlots, 1-2 weeks before harvest. Feces were
cultured for Campylobacter using selective enrichment and isolation methods.
Genus and species were confirmed via PCR. Minimum inhibitory concentrations
(MICs) of ciprofloxacin and nalidixic acid were determined using a micro-broth
dilution method and human breakpoints. Antimicrobial use within each pen was
recorded. Data were analyzed using generalized linear mixed-models (prevalence)
and survival analysis (MICs). Overall, sample-level prevalence of Campylobacter
was 27.2% (272/1000) and differed significantly among feedlots (p < 0.01).
Campylobacter coli was the most common species (55.1%; 150/272), followed by
Campylobacter hyointestinalis (42.6%; 116/272). Within-pen prevalence was not
significantly associated with the number of fluoroquinolone treatments, sex, body
weight, or metaphylaxis use, but was associated with the number of days cattle
were in the feedlot (p = 0.03). The MICs for the majority of Campylobacter
isolates were above the breakpoints for nalidixic acid (68.4%; 175/256) and for
ciprofloxacin (65.6%; 168/256). Distributions of MICs for nalidixic acid (p <=
0.01) and ciprofloxacin (p <= 0.05) were significantly different among feedlots,
and by Campylobacter species. However, fluoroquinolone treatments, sex, body
weight, days on feed, and metaphylaxis were not significantly associated with MIC
distributions within pens. We found no evidence that the number of
fluoroquinolone treatments within feedlot pens significantly affected the within
pen fecal prevalence or quinolone susceptibilies of Campylobacter in feedlots
that used a fluoroquinolone as primary treatment for bovine respiratory disease.
PMID- 29638172
TI - Capturing public interest toward new tools for controlling human immunodeficiency
virus (HIV) infection exploiting data from Google Trends.
AB - Human immunodeficiency virus vaccination and pre-exposure prophylaxis represent
two different emerging preventive tools. Google Trends was used to assess the
public interest toward these tools in terms of digital activities. Worldwide web
searches concerning the human immunodeficiency virus vaccine represented 0.34
percent, 0.03 percent, and 46.97 percent of human immunodeficiency virus,
acquired immune deficiency syndrome, and human immunodeficiency virus/acquired
immune deficiency syndrome treatment-related Google Trends queries, respectively.
Concerning temporal trends, digital activities were shown to increase from 0
percent as of 1 January 2004 percent to 46 percent as of 8 October 2017 with two
spikes observed in May and July 2012, coinciding with the US Food and Drug
Administration approval. Bursts in search number and volume were recorded as
human immunodeficiency virus vaccine trials emerged. This search topic has
decreased in the past decade in parallel to the increase in Truvada-related
topics. Concentrated searches were noticed among African countries with high
human immunodeficiency virus/acquired immune deficiency syndrome prevalence.
Stakeholders should take advantage of public interest especially in preventive
medicine in high disease burden countries.
PMID- 29638174
TI - Preliminary evaluation of an adolescent positive health measurement scale: a
salutogenic health promotion approach.
AB - Health measurement tools typically assess indicators of poor health by
identifying the absence, or presence, of disease and risk factors. This article
describes development and validation of the first instrument for measurement of
adolescent engagement in positive health promoting actions from a salutogenic
approach. This cross-sectional study of 406 youths 14-16 years old tested the
validity and reliability of the Salutogenic Wellness Promotion Scale for
Adolescents (SWPS-Adol) and examined the extent to which SWPS-Adol positively
correlated with and was able to predict perceived health among this population.
Findings indicated that SWPS-Adol had good internal consistency ( alpha = 0.84,
subscales alpha = 0.70), a multi-dimensional structure (physical, emotional,
social, vocational and spiritual), and was positively correlated with perceived
health ( r = 0.37, p < 0.001). The SWPS-Adol total score also showed significant
positive correlations with the physical ( p <= 0.001), emotional ( p < 0.001),
social ( p < 0.001) and vocational ( p < 0.039) dimensions. The more adolescents
engaged in positive behaviors, the better their perceived health. The SWPS-Adol
tool provides positive health data to guide adolescent health programs and health
curricula, to inform health professionals and policy makers about how positive
health actions enhance health and community wellness.
PMID- 29638173
TI - Differential expression of hemoglobin receptor, HmbR, between carriage and
invasive isolates of Neisseria meningitidis contributes to virulence: lessons
from a clonal outbreak.
AB - Carriage and invasion balance in the pathogenesis of Neisseria meningitidis was
analyzed during a recent clonal outbreak of meningococcal B in Normandy, France,
that offered the opportunity to compare six isolates undistinguable by
conventional typing (B:14:P1.7,16:F3-3/ST-32) isolated from invasive disease or
pharyngeal asymptomatic carriage. Data from animal model (transgenic mice
rendered susceptible to N. meningitidis infection) showed an absence of virulence
for two non-capsulated carriage isolates, an intermediate virulence for two
capsulated carriage isolates and a marked virulence for two capsulated invasive
isolates. This differential pathogenesis well correlated with whole genome
sequencing analysis that clustered both isolates of each group together, forming
their own arm within the Norman cluster. Gene-by-gene analysis specified that
genes involved in iron acquisition were among the elements differentially
represented in cluster of invasive isolates compared to cluster of capsulated
carriage isolates. The hemoglobin receptor encoding gene hmbR was in an ON-phase
in the capsulated invasive isolates while carriage capsulated isolates were in an
OFF-phase. An ON-phase variant of a capsulated carriage isolate showed enhanced
virulence. These data underline the role of phase variation (ON/OFF) of HmbR in
the balance between disease isolates/carriage isolates.
PMID- 29638175
TI - Measuring functional, interactive and critical health literacy of Chinese
secondary school students: reliable, valid and feasible?
AB - Health literacy is an increasingly important topic in the global context. In
mainland China, health literacy measures mainly focus on health knowledge and
practices or on the functional domain for adolescents. However, little is known
about interactive and critical domains. This study aimed to adopt a skills-based
and three-domain (functional, interactive and critical) instrument to measure
health literacy in Chinese adolescents and to examine the status and determinants
of each domain. Using a systematic review, the eight-item Health Literacy
Assessment Tool (HLAT-8) was selected and translated from English to Chinese (c
HLAT-8). Following the translation process, a cross-sectional study was conducted
in four secondary schools in Beijing, China. A total of 650 students in Years 7-9
were recruited to complete a self-administered questionnaire that assessed socio
demographics, self-efficacy, social support, school environment, community
environment and health literacy. Results showed that the c-HLAT-8 had
satisfactory reliability (Cronbach's alpha = 0.79; intra-class correlation
coefficient = 0.72) and strong validity (translation validity index (TVI) >=0.95;
chi2/ df = 3.388, p < 0.001; comparative fit index = 0.975, Tucker and Lewis's
index of fit = 0.945, normed fit index = 0.965, root mean error of approximation
= 0.061; scores on the c-HLAT-8 were moderately correlated with the Health
Literacy Study-Taiwan, but weakly with the Newest Vital Sign). Chinese students
had an average score of 26.37 (+/-5.89) for the c-HLAT-8. When the determinants
of each domain of health literacy were examined, social support was the strongest
predictor of interactive and critical health literacy. On the contrary, self
efficacy and school environment played more dominant roles in predicting
functional health literacy. The c-HLAT-8 was demonstrated to be a reliable, valid
and feasible instrument for measuring functional, interactive and critical health
literacy among Chinese students. The current findings indicate that increasing
self-efficacy, social support and creating supportive environments are important
for promoting health literacy in secondary school settings in China.
PMID- 29638176
TI - Laparoscopic Treatment of the Isolated Renal Hydatid Cyst: Long-Term Outcomes
from a Single Institution.
AB - OBJECTIVE: To determine the effectiveness of treatment via laparoscopic approach
and to present the long-term outcomes in patients with isolated renal hydatid
cyst. MATERIALS AND METHODS: We reviewed the data of 11 patients who underwent
laparoscopic treatment for isolated renal hydatid cyst between March 2004 and
January 2017. Demographic characteristics and clinical, laboratory, and
radiological findings of cases were examined. Mann-Whitney U and t-test were used
in statistical analysis. RESULTS: The mean age of the patients was 36.7 years (25
51). The common complaint of the patients was lumbar pain and 9 patients had a
palpable mass. It was identified that serologic evaluation of Echinococcus
granulosus was positive in 5 patients. The mean cyst size was determined as 72 mm
(40-150). The mean operation time was 128 minutes (120-150) while the length of
hospital stay was 4 days (3-13). Perioperative major complication was not
observed in any of the patients. The levels of hematocrit and creatinine were
measured, 37.8 (26-43) and 0.93 (0.5-1.3), respectively, in the postoperative
period, while these levels were 39 (26-45) and 0.90 (0.5-1.3) in the preoperative
period and there was no statistically significant difference (P > .05). The mean
follow-up time was 84 months (40-166). No relapses were observed in any patients.
CONCLUSIONS: The treatment of renal hydatid cyst disease with laparoscopic
approach is a safe and effective method. There was no recurrence in any of the
patients in the long-term period. Further studies including a large series of
patients are needed.
PMID- 29638178
TI - Commentary on: Social versus biological psychiatry: It's time for integration!
PMID- 29638177
TI - Phenotypic changes associated with Colistin resistance due to Lipopolysaccharide
loss in Acinetobacter baumannii.
AB - Acinetobacter baumannii can acquire resistance to colistin via complete loss of
lipopolysaccharide (LPS) biosynthesis due to mutations in the lpxA, lpxC and lpxD
genes. However, although colistin is increasingly being used for the treatment of
multidrug resistant infections, very few A. baumannii clinical isolates develop
colistin resistance through loss of LPS biosynthesis. This may suggest that LPS
loss affects virulence traits that play a role in the transmission and
pathogenesis of A. baumannii. In this study we characterize multiple virulence
phenotypes of colistin resistant, LPS-deficient derivatives of the ATCC 19606
strain and five multidrug resistant clinical isolates and their colistin
resistant, LPS-deficient derivatives. Our results indicate that LPS loss results
in growth defects compared to the parental strain in vitro both in laboratory
media and human serum (competition indices of 0.58 and 7.0 * 10-7, respectively)
and reduced ability to grow and disseminate in vivo (competition index 6.7 * 10
8). Infection with the LPS-deficient strain resulted in lower serum levels of pro
inflammatory cytokines TNF-alpha and IL-6 compared to the parent strain, and was
less virulent in a mouse model of disseminated sepsis. LPS loss also
significantly affected biofilm production, surface motility, growth under iron
limitation and susceptibility to multiple disinfectants used in the clinical
setting. These results demonstrate that LPS loss has a significant effect on
multiple virulence traits, and may provide insight into the low incidence of
colistin resistant strains lacking LPS that have been reported in the clinical
setting.
PMID- 29638179
TI - Integration and beyond.
PMID- 29638180
TI - Integration is not necessarily at odds with reductionism.
PMID- 29638181
TI - Integrating social and biological psychiatry: A forensic perspective.
PMID- 29638182
TI - Social versus biological psychiatry: It's time for integration! Commentary by
Nick Rose.
PMID- 29638183
TI - The Birth and Death of Platelets in Health and Disease.
AB - Blood platelets are involved in a wide range of physiological responses and
pathological processes. Recent studies have considerably advanced our
understanding of the mechanisms of platelet production and clearance, revealing
new connections between the birth and death of these tiny, abundant cells. Key
insights have also been gained into how physiological challenges such as
inflammation, infection, and chemotherapy can affect megakaryocytes, the cells
that produce platelets.
PMID- 29638185
TI - Glucose Lowering Strategies for Cardiac Benefits: Pathophysiological Mechanisms.
AB - Recent trials in Type 2 diabetes (T2D) have shown cardiovascular benefits with
specific GLP-1 receptor agonists and SGLT2 inhibitors. We discuss the landscape
of outcome trials in T2D from a pathophysiology viewpoint, review current
knowledge gaps in underlying mechanisms, propose a caloric fuel routing
hypothesis, and highlight areas of future research.
PMID- 29638184
TI - Impaired Autophagy in Motor Neurons: A Final Common Mechanism of Injury and
Death.
AB - Autophagy is a cellular digestion process that contributes to cellular
homeostasis and adaptation by the elimination of proteins and damaged organelles.
Evidence suggests that dysregulation of autophagy plays a role in
neurodegenerative diseases, including motor neuron disorders. Herein, we review
emerging evidence indicating the roles of autophagy in physiological motor neuron
processes and its function in specific compartments. Moreover, we discuss the
involvement of autophagy in the pathogenesis of motor neuron diseases, including
spinal cord injury and aging, and recent developments that offer promising
therapeutic approaches to mitigate effects of dysregulated autophagy in health
and disease.
PMID- 29638188
TI - Production Practice During Language Learning Improves Comprehension.
AB - Language learners often spend more time comprehending than producing a new
language. However, memory research suggests reasons to suspect that production
practice might provide a stronger learning experience than comprehension
practice. We tested the benefits of production during language learning and the
degree to which this learning transfers to comprehension skill. We taught
participants an artificial language containing multiple linguistic dependencies.
Participants were randomly assigned to either a production- or a comprehension
learning condition, with conditions designed to balance attention demands and
other known production-comprehension differences. After training, production
learning participants outperformed comprehension-learning participants on
vocabulary comprehension and on comprehension tests of grammatical dependencies,
even when we controlled for individual differences in vocabulary learning. This
result shows that producing a language during learning can improve subsequent
comprehension, which has implications for theories of memory and learning,
language representations, and educational practices.
PMID- 29638189
TI - Acoustics of ping-pong: Vibroacoustic analysis of table tennis rackets and balls.
AB - The sound resulting from the impact of a table tennis racket and ball can
influence a player's perception of equipment quality in addition to providing
clues to personal performance. This study explores the vibrational modes of both
racket and ball and how those modes contribute to the impact sound. Experimental
modal analysis reveals that the racket exhibits a large number of structural
vibration modes typical of elliptical plates. Acoustic analysis reveals that two
of those structural modes dominate the sound produced by the ball-paddle impact.
The rubber padding provides some damping and a significant mass loading to the
racket vibrations. The hollow cellulose nitrate balls exhibit vibrational modes
typical of a hollow spherical shell, starting with frequencies around 5920 Hz.
Experimental frequencies confirm theoretical and computational models. However,
the contact time between racket and ball is long enough that the lowest acoustic
modes of the ball do not contribute to the radiated sound. Instead, acoustic
analysis suggests that the ball appears to radiate sound at a much higher
frequency sound (8.5-12 kHz) most likely due to snap-through after buckling
common to spherical shells undergoing deformation while impacting a rigid surface
at high speeds.
PMID- 29638186
TI - Helicobacter suis binding to carbohydrates on human and porcine gastric mucins
and glycolipids occurs via two modes.
AB - Helicobacter suis colonizes the stomach of most pigs and is the most prevalent
non-Helicobacter pylori Helicobacter species found in the human stomach. In the
human host, H. suis contributes to the development of chronic gastritis, peptic
ulcer disease and MALT lymphoma, whereas in pigs it is associated with gastritis,
decreased growth and ulcers. Here, we demonstrate that the level of H. pylori and
H. suis binding to human and pig gastric mucins varies between individuals with
species dependent specificity. The binding optimum of H. pylori is at neutral pH
whereas that of H. suis has an acidic pH optimum, and the mucins that H. pylori
bind to are different than those that H. suis bind to. Mass spectrometric
analysis of mucin O-glycans from the porcine mucin showed that individual
variation in binding is reflected by a difference in glycosylation; of 109
oligosaccharide structures identified, only 14 were present in all examined
samples. H. suis binding to mucins correlated with glycans containing sulfate,
sialic acid and terminal galactose. Among the glycolipids present in pig stomach,
binding to lactotetraosylceramide (Galbeta3GlcNAcbeta3Galbeta4Glcbeta1Cer) was
identified, and adhesion to Galbeta3GlcNAcbeta3Galbeta4Glc at both acidic and
neutral pH was confirmed using other glycoconjugates. Together with that H. suis
bound to DNA (used as a proxy for acidic charge), we conclude that H. suis has
two binding modes: one to glycans terminating with Galbeta3GlcNAc, and one to
negatively charged structures. Identification of the glycan structures H. suis
interacts with can contribute to development of therapeutic strategies
alternative to antibiotics.
PMID- 29638187
TI - mirRICH, a simple method to enrich the small RNA fraction from over-dried RNA
pellets.
AB - Techniques to isolate the small RNA fraction (<200nt) by column-based methods are
commercially available. However, their use is limited because of the relatively
high cost. We found that large RNA molecules, including mRNAs and rRNAs, are
aggregated together in the presence of salts when RNA pellets are over-dried.
Moreover, once RNA pellets are over-dried, large RNA molecules are barely soluble
again during the elution process, whereas small RNA molecules (<100nt) can be
eluted. We therefore modified the acid guanidinium thiocyanate-phenol-chloroform
(AGPC)-based RNA extraction protocol by skipping the 70% ethanol washing step and
over-drying the RNA pellet for 1 hour at room temperature. We named this novel
small RNA isolation method "mirRICH." The quality of the small RNA sequences was
validated by electrophoresis, next-generation sequencing, and quantitative PCR,
and the findings support that our newly developed column-free method can
successfully and efficiently isolate small RNAs from over-dried RNA pellets.
PMID- 29638190
TI - Long-term effects of the 11+ warm-up injury prevention programme on physical
performance in adolescent male football players: a cluster-randomised controlled
trial.
AB - This study examined the long-term effects of the 11+ on physical performance in
adolescent male football (soccer) players. Eighty-two 14- to 16-year-old male
football players (11+ = 42 players, control = 40 players) participated. Teams
were randomised to control (CON) and intervention (INT) groups. INT applied the
11+ injury prevention programme for 30 weeks at least twice a week as a warm-up.
CON performed their standard warm-up. Motor performance tests were conducted 1
week prior and 1 week after the competition season. We used magnitude-based
inferences and linear mixed-effects models to analyse performance test results.
INT showed superior results compared to CON in the vertical jump height 7.5% (95%
CI 4.4%, 10.7%), the Bosco 15-s-jump test 7.2% (95%-CI 2.2%, 12.4%), and the
Illinois agility test -2.6% (95%-CI -4.1%, -1.1%). Possibly beneficial effects in
favour of INT were found in the 9.1 m sprint test -3.1% (95%-CI -6.1%, 0.1%).
Possibly harmful effects (i.e. in favour of CON) were observed in the dribbling
test 2.8% (95%-CI -0.8%, 6.4%). The 11+ warm-up programme can improve different
performance measures in football players. Coaches might implement additional
dribbling drills next to the 11+ to achieve improvements observed in dribbling
ability when using a regular warm-up programme.
PMID- 29638191
TI - Shifting views and building bonds: Narratives of internationally adopted children
about their dual culture.
AB - American literature on international adoption suggests that adoptees' pride in
the culture of their birth country improves their self-esteem and helps them to
cope with experiences of racism. Parents are therefore encouraged to teach their
adopted children multicultural skills to improve their psychological well-being.
French psychologists, on the contrary, suggest that adoptees should feel fully
members of their adoptive country and families. These practices shed light on the
respective multicultural and universalist paradigms in the US and France. Few
papers, however, consider the opinions of adoptees. This study explores
internationally adopted children raised in France and their spontaneous curiosity
about their birth country. The present study used semi-structured interviews with
19 adoptees aged 8-18 years old, to explore their attitudes towards the culture
of their birth country. Transcripts of recorded interviews were analyzed
according to interpretative phenomenological analysis. While there was striking
consistency of interest in birth countries, adoptees' expression of curiosity
varied across time. Children described distinctive goals: knowing more about
their history, finding relatives, becoming a multicultural citizen, or simply
helping people. Their parents' involvement was thus seen as helpful, but adoptees
stress the need to feel ready and may prefer independent ways of learning about
their birth country. Adoptees' multiple feelings of belonging derive not only
from multicultural training but from a lifelong construction of self.
Professionals and parents may need to adapt to adoptees' individual development,
distinctive time frames, and ways of learning to provide better support to them.
PMID- 29638192
TI - Quality of life and communication in orthognathic treatment.
AB - OBJECTIVE: The primary aim was to determine what, if any, relationships exist
between communication and quality of life in patients receiving orthognathic
treatment since this has not been explored. A secondary aim was to compare the
Quality of Life (QoL) of a pre-treatment sample with those at 2 years post
surgery. DESIGN: A cross-sectional questionnaire method was used. SETTING:
Outpatient clinics providing orthognathic treatment at four UK hospital sites.
PARTICIPANTS: Two separate samples of pre-treatment (n = 73) and 2-year post
surgery (n = 78) patients participated in the study. METHODS: At clinic
appointments, all eligible patients were invited to complete the Orthognathic
Quality of Life Questionnaire (OQLQ), a previously validated condition-specific
quality of life measure. At the same time, participants at the 2-year post
surgery stage also completed a second short questionnaire, the Communication
Assessment Tool-Team (CAT-T), where they rated the quality of communication they
had received during treatment. RESULTS: One hundred and fifty-one complete
responses were received. The average age was 24.5 years (S.D. 9.77) and the
majority (67%) were female in both groups. Statistically significant associations
were found between QoL and quality of communication in the treated sample.
Findings also showed a comparatively poorer QoL for the pre-treatment
participants. This reduced QoL was more pronounced in females than males for all
aspects except dentofacial appearance. CONCLUSIONS: There was an improvement in
QoL for patients at 2 years post-surgery compared to pre-treatment. There is an
association between QoL and quality of communication as reported by participants
at 2 years post-surgery. These novel findings are similar to outcomes in other
patient settings such as oncology, but further investigation is required to
establish the direction of cause and effect.
PMID- 29638193
TI - Maximizing the Translational Yield of mRNA Therapeutics by Minimizing 5'-UTRs.
AB - The 5'-untranslated region (5'-UTR) of mRNA contains structural elements, which
are recognized by cell-specific RNA-binding proteins, thereby affecting the
translation of the molecule. The activation of an innate immune response upon
transfection of mRNA into cells is reduced when the mRNA comprises chemically
modified nucleotides, putatively by altering the secondary structure of the
molecule. Such alteration in the 5'-UTR in turn may affect the functionality of
mRNA. In this study, we report on the impact of seven synthetic minimalistic 5'
UTR sequences on the translation of luciferase-encoding unmodified and different
chemically modified mRNAs upon transfection in cell culture and in vivo. One
minimalistic 5'-UTR, consisting of 14 nucleotides combining the T7 promoter with
a Kozak consensus sequence, yielded similar or even higher expression than a 37
nucleotides human alpha-globin 5'-UTR containing mRNA in HepG2 and A549 cells.
Furthermore, also the kind of modified nucleotides used in in vitro
transcription, affected mRNA translation when using different translation
regulators (Kozak vs. translation initiator of short UTRs). The in vitro data
were confirmed by bioluminescence imaging of expression in mouse livers, 6 h
postintravenous injection of a lipidoid nanoparticle-formulated RNA in female
Balb/c mice. Luciferase measurements from liver and spleen showed that minimal 5'
UTRs (3 and 7) were either equally effective or better than human alpha-globin 5'
UTR. These findings were confirmed with a human erythropoietin (hEPO)-encoding
mRNA. Significantly, higher levels of hEPO could be quantified in supernatants
from A549 cells transfected with minimal 5'-UTR7 containing RNA when compared to
commonly used benchmarks 5'-UTRs. Our results demonstrate the superior potential
of synthetic minimalistic 5'-UTRs for use in transcript therapies.
PMID- 29638194
TI - The role of novel cytokines in inflammation: Defining peripheral artery disease
among patients with coronary artery disease.
AB - Coronary artery disease (CAD) patients with concomitant peripheral artery disease
(PAD) experience more extensive and calcified atherosclerosis, greater lesion
progression and more common coronary events compared to patients with CAD only.
To characterize the distinct features of this aggressive atherosclerotic disease,
we studied novel cytokines that code different stages of atherogenesis. One
hundred and eighty consecutive subjects (60 patients into each group of CAD+PAD,
CAD and controls) were recruited among patients with stable angina pectoris
scheduled for coronary angiography. An ankle-brachial index (ABI) <=0.9 was
determined as occlusive PAD. Fasting serum tumor necrosis factor (TNF)-like
antigen 1A (TL1A) and its receptor death receptor 3 (DR3), NOGO-B (reticulon 4B)
and its receptor NUS1, high-sensitivity C-reactive protein (hsCRP), A disintegrin
and metalloproteinase with thrombospondin motifs (ADAMTS) 1, 4, 5 and interleukin
(IL) 6 levels were determined. Serum hsCRP and DR3/TL1A concentrations were
similar and higher than controls in the CAD and CAD+PAD groups. Levels of NOGO-B
and its receptor NUS1 were increased and ADAMTS-5 was decreased in patients with
CAD+PAD. Independent predictors of ABI in multivariate analysis were smoking (B =
-0.13, p = 0.04), NUS1 (B = -0.88, p < 0.001), ADAMTS-5 (B = 0.63, p < 0.001) and
SYNTAX score (B = -0.26, p < 0.001). Similarly, smoking (OR = 5.5, p = 0.019),
SYNTAX score (OR = 1.2, p < 0.001), NUS1 (OR = 14.4, p < 0.001), ADAMTS-5 (OR =
1.1, p < 0.001) and age (OR = 1.1, p = 0.042) independently predicted the
involvement of peripheral vasculature in logistic regression. The diagnostic
performance of these cytokines to discriminate CAD+PAD were AUC 0.79 ( p < 0.001)
for NUS1 and 0.37 ( p = 0.013) for ADAMTS-5. We report herein that circulating
cytokines can give clues to the ongoing atherosclerotic process and the extent of
vascular involvement in which distinct features of ADAMTS-5 and NUS1 make them
promising cytokines for future research.
PMID- 29638195
TI - Editorial: O-specific polysaccharide confers lysozyme resistance to
extraintestinal pathogenic Escherichia coli.
PMID- 29638196
TI - Synthesis of Patterned Vertically Aligned Carbon Nanotubes by PECVD Using
Different Growth Techniques: A Review.
AB - Immense development has been taken place not only to increase the bulk
production, repeatability and yield of carbon nanotubes (CNTs) in last 25 years
but preference is also given to acknowledge the basic concepts of nucleation and
growth methods. Vertically aligned carbon nanotubes (VAC-NTs) are forest of CNTs
accommodated perpendicular on a substrate. Their exceptional chemical and
physical properties along with sequential arrangement and dense structure make
them suitable in various fields. The effect of different type of selected
substrate, carbon precursor, catalyst and their physical and chemical status,
reaction conditions and many other key parameters have been thoroughly studied
and analysed. The aim of this paper is to specify the trend and summarize the
effect of key parameters instead of only presenting all the experiments reported
till date. The identified trends will be compared with the recent observations on
the growth of different types of patterned VACNTs. In this review article, we
have presented a comprehensive analysis of different techniques to precisely
determine the role of different parameters responsible for the growth of
patterned vertical aligned carbon nanotubes. We have covered various techniques
proposed in the span of more than two decades to fabricate the different
structures and configurations of carbon nanotubes on different types of
substrates. Apart from a detailed discussion of each technique along with their
specific process and implementation, we have also provided a critical analysis of
the associated constraints, benefits and shortcomings. To sum it all for easy
reference for researchers, we have tabulated all the techniques based on certain
main key factors. This review article comprises of an exhaustive discussion and a
handy reference for researchers who are new in the field of synthesis of CNTs or
who wants to get abreast with the techniques of determining the growth of VACNTs
arrays.
PMID- 29638197
TI - The Development of Newborn Porcine Models for Evaluation of Tissue-Engineered
Small Intestine.
AB - Short bowel syndrome (SBS) is a major cause of morbidity and mortality in the
pediatric population, for which treatment options are limited. To develop novel
approaches for the treatment of SBS, we now focus on the development of a tissue
engineered intestine (also known as an "artificial intestine"), in which
intestinal stem cells are cultured onto an absorbable bioscaffold, followed by
implantation into the host. To enhance the translational potential of these
preclinical studies, we have developed three clinically relevant models in
neonatal piglets, which approximate the size of the human infant and were
evaluated after implantation and establishment of intestinal continuity over the
long term. The models included (1) a staged, multioperation approach; (2) a
single operation with a de-functionalized loop of small intestine; and (3) a
single operation with an intestinal bypass. The first model had complications
related to multiple operations in a short time period, including surgical site
infections and wound hernias. The second model avoided wound complications, but
was associated with high ostomy output, local skin breakdown, and systemic
dehydration with associated electrolyte imbalances. The third model was the most
effective, although resulted in stoma prolapse. In summary, we have now developed
and evaluated three operative methods for the long-term evaluation of the
artificial intestine in the piglet, and conclude that a single operation with a
de-functionalized loop of small intestine may be an optimal approach for
evaluation over the long term.
PMID- 29638198
TI - Utilization of cues in action anticipation in table tennis players.
AB - By manipulating the congruency between body kinematics and subsequent ball
trajectory, this study investigated the anticipation capabilities of regional
level, college-level, and novice table tennis players using a full video
simulation occluder paradigm. Participants watched footage containing congruent,
incongruent, or no ball trajectory information, to predict the landing point of
the ball. They were required to choose between two potential locations to make
their prediction. Percent accuracy and relevant indexes (d-prime, criterion,
effect size) were calculated for each condition. Results indicated that
experienced table tennis players (both regional and college players) were
superior to novices in the ability to anticipate ball trajectory using kinematic
information, but no difference was found between regional-level and college-level
players. The findings of this study further demonstrate the superior anticipation
ability of experienced table tennis players. Furthermore, the present result
suggests that there may be a certain "baseline" level of motor experience in
racquet sports for effective action anticipation, while the addition of further
motor experience does not appear to assist direction anticipation.
PMID- 29638199
TI - The effect of air exposure on leucocyte and cytokine activation in an in-vitro
model of cardiotomy suction.
AB - INTRODUCTION: Cardiopulmonary bypass (CPB) is known to cause a systemic
inflammatory and immune response. OBJECTIVE: An in-vitro model of cardiotomy
suction was designed to quantify the effects of incrementally increased air-blood
exposure on leucocyte marker CD11b and cytokine activation in two common
anticoagulants, heparin and citrate. METHODS: Fresh human blood was exposed to
increasing amounts of air flow for ten minutes. Leucocyte and cytokine levels
were measured prior to and after ten minutes of air flow. Cytokine levels were
also measured after air exposure when incubated for 24 hours at 37oC. RESULTS:
Leucocyte activation, measured by CD11b, was elevated between baseline and air
flow rates up to 50 mL/min. After 10 minutes of air exposure, no measured
cytokine levels were elevated. After 24 hours of incubation, cytokine levels of
TNFalpha, IL-10, IL-6, and IL-8 were elevated. However, only IL-8 was
significantly elevated in citrated blood, but not in heparinized blood, when
compared to baseline samples that were also incubated for 24 hours. CONCLUSION:
This study investigates CD11b levels in response to an air stimulus in blood that
was anticoagulated with citrate or heparin. Exposure to an air stimulus activates
leucocytes. Activation of CD11b was less when using heparin as an anticoagulant
compared to citrate. Cytokine activation occurs with air stimulation, but levels
do not immediately rise, indicating that time is required to generate free
cytokines.
PMID- 29638200
TI - Return to Play After Multiligament Knee Injuries in National Football League
Athletes.
AB - BACKGROUND:: Return to play (RTP) of National Football League (NFL) athletes
after isolated anterior cruciate ligament (ACL) tears has been reported. However,
no studies have reported on RTP of NFL athletes after multiligament knee
injuries. HYPOTHESES:: NFL athletes with multiligament knee injuries have lower
RTP rates and longer recoveries than athletes with isolated ACL tears. Second,
athletes with ACL and medial collateral ligament (MCL) injuries will have higher
RTP rates and shorter time to RTP than athletes with an ACL tear and
posterolateral corner involvement. STUDY DESIGN:: Retrospective cohort study.
LEVEL OF EVIDENCE:: Level 4. METHODS:: Publicly available NFL injury data were
reviewed for all multiligament knee injuries incurred between 2000 and 2016 with
RTP information. Athletes were excluded if RTP was limited for reasons unrelated
to the injury. Extracted data included type of injury, RTP, time to RTP (days),
number and percentage of games played, and performance. RESULTS:: A total of 50
NFL athletes with multiligament knee injuries met inclusion and exclusion
criteria. The overall RTP rate was 64.0%. Athletes with ACL/MCL tears had an RTP
rate of 70.8%, whereas athletes with ACL and posterior collateral
ligament/lateral collateral ligament (PCL/LCL) tears had an RTP rate of 55.6% ( P
= 0.26). Mean time to RTP for all 50 athletes was 388.71 +/- 198.52 days. The
mean time to RTP for athletes with ACL/MCL injuries was 305.1 +/- 58.9 days,
compared with 459.2 +/- 245.1 days ( P = 0.004) and 609.3 +/- 183.1 days ( P <
0.0001) for those with combined ACL and PCL/LCL injuries and frank knee
dislocations, respectively. Athletes with ACL/MCL injuries were more likely to
return to prior performance level (43.5%) than those with ACL and PCL/LCL
injuries (18.5%) ( P < 0.001). CONCLUSION:: The RTP rate for athletes with
multiligament knee injuries is significantly less than the RTP rate for athletes
with isolated ACL tears. In addition, athletes with ACL and MCL tears have a
higher RTP rate, a significantly shorter time to RTP, and a greater likelihood of
returning to prior performance than athletes with ACL and PCL/LCL tears. CLINICAL
RELEVANCE:: Multiligament knee injuries significantly affect the ability of a
football player to return to sport. ACL and MCL tears are associated with better
RTP prognosis compared with ACL and PCL/LCL tears.
PMID- 29638201
TI - Hypocalcaemia induced by priming the cardiopulmonary bypass circuit with Plasma
Lyte 148.
PMID- 29638202
TI - Comparison of two pediatric cases requiring the use of bivalirudin during
cardiopulmonary bypass.
AB - INTRODUCTION: Comparison of two pediatric cases at our institution that utilized
bivalirudin for anticoagulation on cardiopulmonary bypass (CPB); a bilateral lung
transplant (BLT) and a ventricular assist device (VAD) implantation. METHODS: The
same bivalirudin protocol was utilized in both cases with an initial bolus of 1
mg/kg administered by the anesthesia team, a 50 mg bolus in the pump prime at the
time of the initial patient bolus and an initial infusion rate of 2.5 mg/kg/h,
with titration as needed during CPB to maintain kaolin-activated clotting time (K
ACT) values >400 s. RESULTS: The BLT experienced high K-ACT levels (>720 s) for
the majority of the case despite decreasing the bivalirudin infusion rate to 0.5
mg/kg/h. The VAD implantation case required the bivalirudin infusion rate to be
increased to 5.0 mg/kg/h throughout the case due to low K-ACTs. CONCLUSION: The
literature strongly supports a specific infusion rate1-7 (2.5 mg/kg/h) for
bivalirudin anticoagulation during extracorporeal circulation. Clinicians must
consider the loss of clotting factors and the administration of blood products
while adjusting the bivalirudin infusion during bypass. We have now elected to
maintain an infusion rate of >=0.5 mg/kg/h for bivalirudin anticoagulation at our
center, based on institutional experience, though consideration for a higher
infusion rate for an added margin of safety should be considered. It is
imperative to have a well-developed protocol for the management of these
cardiopulmonary bypass patients and we offer our one-page timeline of events to
help guide other pediatric centers looking to use bivalirudin anticoagulation.
PMID- 29638204
TI - Preoperative Serum Insulin-Like Growth Factor 1 Level as a Prognostic Factor in
Patients Undergoing Hepatic Resection for Hepatocellular Carcinoma.
AB - In this study, preoperative serum levels of insulin-like growth factor 1 (IGF-1)
were determined in 216 hepatocellular carcinoma (HCC) patients who underwent
hepatic resection to investigate a possible contribution of IGF-1 to the
increased risk for HCC recurrence and mortality. During January 2010 and December
2013, 216 HCC patients receiving hepatectomy were recruited. The primary endpoint
was the HCC recurrences within the 3-year follow-up. The secondary endpoint was
all-cause mortality. The multivariate analyses with Cox regression model were
applied to explore the clinical significance of IGF-1 serum levels and associated
parameters on death and recurrence of HCC patients. The IGF-1 levels of included
patients were determined, with a median value of 75.5 ng/mL (IQR, 40.3-93.0
ng/mL). The preoperative serum level of IGF-1 was negatively correlated with
tumor size, Child-Pugh class, or tumor stage (P < 0.001 for all). In multivariate
models comparing the first, second, and third quartiles with the fourth quartile
of IGF-1, the levels of IGF-1 in Q1 and Q2 were associated with HCC recurrence,
with an increased risk of 216% [hazard ratios (HR) = 3.16 (95% CI: 1.79-4.28)]
and 106% [3.02 (1.36-3.11)]. Similarly, concentrations of IGF-1 in Q1 and Q2 were
also related to all-cause mortality, with an increased recurrence risk of 238%
[HR = 3.38 (95% CI: 1.85-4.36)] and 117% [3.02 (1.40-3.21)], respectively. Poor
prognosis in HCC patients receiving hepatectomy could be indicated by low
preoperative serum levels of IGF-1, which would be applied as a predictor.
PMID- 29638203
TI - Acquired infection during neonatal and pediatric extracorporeal membrane
oxygenation.
AB - INTRODUCTION: Our objectives are to (1) describe the pathogens, site, timing and
risk factors for acquired infection during neonatal and pediatric ECMO and (2)
explore the association between acquired infection and mortality. METHODS:
Secondary analysis of prospective data collected by the Collaborative Pediatric
Critical Care Research Network between December 2012 and September 2014. Clinical
factors associated with acquired infection were assessed with multivariable Cox
regression. Factors associated with mortality were assessed with logistic
regression. RESULTS: Of 481 patients, 247 (51.3%) were neonates and 400 (83.2%)
received venoarterial ECMO. Eighty (16.6%) patients acquired one or more
infections during ECMO; 60 (12.5%) patients had bacterial, 21 (4.4%) had fungal
and 11 (2.3%) had viral infections. The site of infection included respiratory
for 53 (11.0%) patients, bloodstream for 21 (4.4%), urine for 20 (4.2%) and other
for 7 (1.5%). Candida species were most common. Median time to infection was 5.2
days (IQR 2.3, 9.6). On multivariable analysis, a greater number of procedures
for ECMO cannula placement was independently associated with increased risk of
acquired infection during ECMO (Hazard Ratio 2.13 (95% CI 1.22, 3.72), p<0.01)
and receiving ECMO in a neonatal ICU compared to a pediatric or cardiac ICU was
associated with decreased risk (Hazard Ratio pediatric ICU 4.25 (95% CI 2.20,
8.20), cardiac ICU 2.91 (95% CI 1.48, 5.71), neonatal ICU as reference, p<0.001).
Acquired infection was not independently associated with mortality. CONCLUSION:
ECMO procedures and location may contribute to acquired infection risk; however,
acquired infection did not predict mortality in this study.
PMID- 29638205
TI - Therapeutic Effect of Duck Interferon-Alpha Against H5N1 Highly Pathogenic Avian
Influenza Virus Infection in Peking Ducks.
AB - The antiviral cytokine interferon-alpha (IFN-alpha) plays a critical role in the
innate immune system. Previous studies have shown that recombinant chicken IFN
alpha inhibits avian influenza virus (AIV) replication in vivo; however, the
antiviral effect of recombinant duck IFN-alpha (rDuIFN-alpha) on highly
pathogenic AIV remains unknown. In this study, the duck IFN-alpha gene was
cloned, expressed, and purified. The antiviral effects of the resulting rDuIFN
alpha were further evaluated in vitro and in vivo. Our results showed that rDuIFN
alpha inhibited the replication of vesicular stomatitis virus (VSV) and AIV in
duck embryo fibroblasts in vitro, with antiviral activities against VSV and AIV
of 2.1 * 105 and 4.1 * 105 U/mg, respectively. We next investigated the anti-H5N1
AIV effect of intramuscular injection of rDuIFN-alpha in vivo. rDuIFN-alpha
reduced viral titers in the brains, lungs, and spleens of 2-day-old (2D) ducks
compared with that in the virus-challenged control group, and pretreatment with
rDuIFN-alpha reduced mortality from 60% to 10% in 2D ducks. Moreover, rDuIFN
alpha increased the expression of IFN-stimulated genes in the brains and spleens
of 2D ducks. Our results demonstrate that rDuIFN-alpha blocks VSV and H5N1
influenza virus infection in vitro and exhibits antiviral effects against H5N1
influenza virus infection in 2D ducks.
PMID- 29638207
TI - Interleukin-4 Enhances the Sensitivity of Human Monocytes to Tumor Necrosis
Factor-Related Apoptosis-Inducing Ligand Through Upregulation of Death Receptor
4.
AB - Interleukin (IL)-4 is generally thought to promote tumor cell growth and inhibit
apoptosis. However, its role in characteristics of monocytic leukemia cells was
rarely reported. In this study, we assessed the role of IL-4 in tumor necrosis
factor-related apoptosis-inducing ligand (TRAIL) sensitivity of human monocytes.
After incubation with IL-4 for 24 h, death receptor 4 (DR4) was significantly
increased without downregulation of TRAIL decoy receptors and antiapoptotic
proteins in THP-1 monocytes, and human primary monocytes and U-937 cells also
exhibited increased TRAIL-induced apoptosis compared with control. Enhancement of
TRAIL-mediated apoptosis by IL-4 was blocked by anti-DR4-neutralizing antibodies.
Both upregulation of DR4 and enhancement of TRAIL-mediated apoptosis by IL-4
could be blocked by inhibitors of Janus kinase (JAK)/signal transducer and
activator of transcription (STAT), phosphoinositol 3-kinase (PI3K)/Akt, and
extracellular signal-regulated kinase to varying degrees. Thus, our data
demonstrated a novel effect on TRAIL sensitivity on monocytes and monocytic
leukemia cells of IL-4 and suggested that it may be necessary to reconsider the
impact of current therapies against IL-4, JAK/STAT, and PI3K/Akt pathways with
regard to TRAIL sensitivity.
PMID- 29638206
TI - Development of a Validated Interferon Score Using NanoString Technology.
AB - Chronic elevation of interferon (IFN)-response genes (IRG) in a subset of
patients with systemic immune-dysregulatory diseases, including the Mendelian
Type-I IFN-mediated autoinflammatory diseases and some autoimmune diseases
suggest a causative role of excessive IFN signaling in the disease pathogenesis
and as target for treatment. We developed a 28-IFN response gene scoring system
to calculate either a standardized or geomean score by customizing a NanoString
assay to quantify the expression of putative IRGs. The gene targets were selected
in patients with the IFN-mediated disease chronic atypical neutrophilic
dermatosis with lipodystrophy and elevated temperature (CANDLE) and an adult
patient with chronic hepatitis C who received the first dose of pegylated
interferon alpha-2a. The putative target genes were validated in patients with
STING-associated vasculopathy with onset in infancy (SAVI), a monogenic
autoinflammatory disease caused by gain-of-function mutations in TMEM173 that
encodes the viral sensor stimulator of IFN genes (STING), and had low expression
in clinically active patients with the monogenic IL-1-mediated autoinflammatory
disease, neonatal-onset multisystem inflammatory disease (NOMID) and in healthy
controls. The score calculation on the NanoString assay is rapid and showed high
reproducibility and low intra-, and interassay variability. The utility of this
28-gene IFN score may be explored in the diagnosis of patients with presumed
interferonopathies and as a biomarker to assess disease activity, long-term
outcome, and treatment responses.
PMID- 29638208
TI - Investigation of the Cellular Immune Response to Recombinant Fragments of
Filamentous Hemagglutinin and Pertactin of Bordetella pertussis in BALB/c Mice.
AB - Vaccination with whole-cell or acellular (Ac) vaccines has been very effective
for the control of pertussis. The immune response to Ac vaccines has been
generally associated with a shift toward the Th2 profile. In the present study,
overlapping recombinant fragments of filamentous hemagglutinin (FHA) and
pertactin (PRN) were produced in Escherichia coli. BALB/c mice were immunized
with recombinant FHA and PRN together with the native pertussis toxin and alum or
CpG as adjuvant. Immunized mice were subsequently aerosol challenged with
Bordetella pertussis. Bacterial growth was assessed in bronchoalveolar lavage
samples and the levels of cytokines were quantitated in supernatants of
stimulated splenocytes by enzyme-linked immunosorbent assay. Our results
demonstrated that both PRN and FHA antigens were able to induce IFN-gamma, IL-4,
and to some extent IL-17 cytokines in challenged mice. The level of IFN-gamma was
higher in response to CpG formulated antigens. These findings indicate
immunoprotective efficacy of our recombinant FHA and PRN antigens in mice.
PMID- 29638209
TI - Identification of Staphylococcus aureus genes involved in the formation of
structured macrocolonies.
AB - The human pathogen Staphylococcus aureus causes difficult-to-eradicate biofilm
associated infections that generally become chronic. Understanding the genetic
regulation of biofilm formation in S. aureus is central to a precise definition
of the conditions and genes involved in development of chronic biofilm-associated
infections. Biofilm-related genes have been detected by comparing mutants using
the classical submerged biofilm formation assay, in which cells adhere to the
bottom of a well containing culture medium. We recently developed an alternative
biofilm formation model for S. aureus, based on macrocolony formation on agar
plates, comparable to an assay used to study biofilm formation in a few other
bacterial species. As organism features are the result of environmental
conditions as well as of genes, we used a genome-wide collection of transposon
mapped mutants in this macrocolony assay to seek S. aureus developmental genes
and pathways not identified by the classical biofilm formation assay. We
identified routes related to glucose and purine metabolism and clarified their
regulatory link to macrocolony formation. Our study demonstrates that formation
of microbial communities must be correlated to specific growth conditions, and
the role of metabolism must be considered in S. aureus biofilm formation and
thus, in the development of chronic infections.
PMID- 29638210
TI - Stenotrophomonas lactitubi sp. nov. and Stenotrophomonas indicatrix sp. nov.,
isolated from surfaces with food contact.
AB - Five Gram-stain-negative, rod-shaped, none-spore-forming isolates were obtained
from biofilms on different sites of a milking machine in Germany. Another strain
with similar morphological characteristics was isolated from dirty dishes. Based
on phylogenetic analysis of the 16S rRNA and gyrB genes, all isolates were
assigned to the genus Stenotrophomonas, but were divided into three different
groups. Chemotaxonomic characterization of the isolates led to the detection of
iso-C15 : 0 and anteiso-C15 : 0 as the predominant cellular fatty acids, as well
as small amounts of the hydroxyl fatty acids iso-C11 : 0 3-OH, C12 : 0 3-OH and
iso-C13 : 0 3-OH. One group could be assigned to the species Stenotrophomonas
maltophilia, while the genome sequences of two groups displayed average
nucleotide identity values of less than 94 % between each other and the genome
sequences of the next related type strains Stenotrophomonas maltophilia ATCC
13637T and Stenotrophomonas rhizophila DSM 14405T. Further phylogenetic,
phenotypic and chemotaxonomic analyses enabled the differentiation of these
strains from these closely related species. They are therefore considered to
represent two novel species, for which the names Stenotrophomonaslactitubi and
Stenotrophomonasindicatrix are proposed, with strains M15T (=DSM
104152T=LMG29943T) and WS40T (=DSM28278T=LMG29942T) as type strains.
PMID- 29638212
TI - Differences Between Rural and Urban Areas in Mortality Rates for the Leading
Causes of Infant Death: United States, 2013-2015.
AB - The leading causes of infant death vary by age at death but were consistent from
2005 to 2015 (1-6). Previous research shows higher infant mortality rates in
rural counties compared with urban counties and differences in cause of death for
individuals aged 1 year and over by urbanization level (4,5,7,8). No research,
however, has examined if mortality rates from the leading causes of infant death
differ by urbanization level. This report describes the mortality rates for the
five leading causes of infant, neonatal, and postneonatal death in the United
States across rural, small and medium urban, and large urban counties defined by
maternal residence, as reported on the birth certificate for combined years 2013
2015.
PMID- 29638211
TI - Identification of a 'Candidatus Phytoplasma hispanicum'-related strain,
associated with yellows-type diseases, in smoke-tree sharpshooter (Homalodisca
liturata Ball).
AB - The 16SrXIII group from phytoplasma bacteria were identified in salivary glands
from Homalodisca liturata, which were collected in El Comitan on the Baja
California peninsula in Mexico. We were able to positively identify 15 16S rRNA
gene sequences with the corresponding signature sequence of
'CandidatusPhytoplasma' (CAAGAYBATKATGTKTAGCYGGDCT) and in silico restriction
fragment length polymorphism (RFLP) profiles (F value estimations) coupled with a
phylogenetic analysis to confirm their relatedness to 'CandidatusPhytoplasma
hispanicum', which in turn belongs to the 16SrXIII group. A restriction analysis
was carried out with AluI and EcoRI to confirm that the five sequences belongs to
subgroup D. The rest of the sequences did not exhibit any known RFLP profile
related to a subgroup reported in the 16SrXIII group.
PMID- 29638213
TI - Prevalence of Depression Among Adults Aged 20 and Over: United States, 2013-2016.
AB - Major depression is a common and treatable mental disorder characterizedby
changes in mood, and cognitive and physical symptoms over a 2-weekperiod (1). It
is associated with high societal costs (2) and greater functionalimpairment than
many other chronic diseases, including diabetes and arthritis(3). Depression
rates differ by age, sex, income, and health behaviors (4).This report provides
the most recent national estimates of depression amongadults. Prevalence of
depression is based on scores from the Patient HealthQuestionnaire (PHQ-9), a
symptom-screening questionnaire that allows forcriteria-based diagnoses of
depressive disorders (5). Estimates for non-HispanicAsian persons are presented
for the first time.
PMID- 29638214
TI - Two distinct DNA sequences recognized by transcription factors represent enthalpy
and entropy optima.
AB - Most transcription factors (TFs) can bind to a population of sequences closely
related to a single optimal site. However, some TFs can bind to two distinct
sequences that represent two local optima in the Gibbs free energy of binding
(DeltaG). To determine the molecular mechanism behind this effect, we solved the
structures of human HOXB13 and CDX2 bound to their two optimal DNA sequences,
CAATAAA and TCGTAAA. Thermodynamic analyses by isothermal titration calorimetry
revealed that both sites were bound with similar DeltaG. However, the interaction
with the CAA sequence was driven by change in enthalpy (DeltaH), whereas the TCG
site was bound with similar affinity due to smaller loss of entropy (DeltaS).
This thermodynamic mechanism that leads to at least two local optima likely
affects many macromolecular interactions, as DeltaG depends on two partially
independent variables DeltaH and DeltaS according to the central equation of
thermodynamics, DeltaG = DeltaH - TDeltaS.
PMID- 29638215
TI - The genetic landscape of a physical interaction.
AB - A key question in human genetics and evolutionary biology is how mutations in
different genes combine to alter phenotypes. Efforts to systematically map
genetic interactions have mostly made use of gene deletions. However, most
genetic variation consists of point mutations of diverse and difficult to predict
effects. Here, by developing a new sequencing-based protein interaction assay -
deepPCA - we quantified the effects of >120,000 pairs of point mutations on the
formation of the AP-1 transcription factor complex between the products of the
FOS and JUN proto-oncogenes. Genetic interactions are abundant both in cis
(within one protein) and trans (between the two molecules) and consist of two
classes - interactions driven by thermodynamics that can be predicted using a
three-parameter global model, and structural interactions between proximally
located residues. These results reveal how physical interactions generate
quantitatively predictable genetic interactions.
PMID- 29638217
TI - Mechanical and electronic properties of Janus monolayer transition metal
dichalcogenides.
AB - The mechanical and electronic properties of Janus monolayer transition metal
dichalcogenides MXY (M = Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W; X/Y = S, Se, Te)
were investigated using density functional theory. Results show that breaking the
out-of-plane structural symmetry can be used to tune the electronic and
mechanical behavior of monolayer transition metal dichalcogenides. The band gaps
of monolayer WXY and MoXY are in the ranges of 0.16-1.91 and 0.94-1.69 eV,
respectively. A semiconductor to metallic phase transition occurred in Janus
monolayer MXY (M = Ti, Zr and Hf). The monolayers MXY (M = V, Nb, Ta and Cr)
show metallic characteristics, which show no dependence on the structural
symmetry breaking. The mechanical properties of MXY depended on the composition.
Monolayer MXY (M = Mo, Ti, Zr, Hf and W) showed brittle characteristic, whereas
monolayer CrXY and VXY are with ductile characteristic. The in-plane stiffness of
pristine and Janus monolayer MXY are in the range between 22 and 158 N m-1. The
tunable electronic and mechanical properties of these 2D materials would advance
the development of ultra-sensitive detectors, nanogenerators, low-power
electronics, and energy harvesting and electromechanical systems.
PMID- 29638218
TI - Phase transformation synthesis of TiO2/CdS heterojunction film with high visible
light photoelectrochemical activity.
AB - CdS/TiO2 heterojunction film used as a photoanode has attracted much attention in
the past few years due to its good visible light photocatalytic activity.
However, CdS/TiO2 films prepared by conventional methods (successive ionic layer
adsorption and reaction, chemical bath deposition and electrodeposition) show
numerous grain boundaries in the CdS layer and an imperfect contact at the
heterojunction interface. In this study, we designed a phase transformation
method to fabricate CdS/TiO2 nanorod heterojunction films. The characterization
results showed that the CdS layer with fewer grain boundaries was conformally
coated on the TiO2 nanorod surface and the formation mechanism has been explained
in this manuscript. Moreover, the prepared CdS/TiO2 films show a high
photocatalytic activity and the photocurrent density is as high as 9.65 mA cm-2
at 0.80 V versus RHE. It may be attributed to fewer grain boundaries and a
compact heterojunction contact, which can effectively improve charge separation
and transportation.
PMID- 29638216
TI - An efficient and scalable pipeline for epitope tagging in mammalian stem cells
using Cas9 ribonucleoprotein.
AB - CRISPR/Cas9 can be used for precise genetic knock-in of epitope tags into
endogenous genes, simplifying experimental analysis of protein function. However,
Cas9-assisted epitope tagging in primary mammalian cell cultures is often
inefficient and reliant on plasmid-based selection strategies. Here, we
demonstrate improved knock-in efficiencies of diverse tags (V5, 3XFLAG, Myc, HA)
using co-delivery of Cas9 protein pre-complexed with two-part synthetic modified
RNAs (annealed crRNA:tracrRNA) and single-stranded oligodeoxynucleotide (ssODN)
repair templates. Knock-in efficiencies of ~5-30%, were achieved without
selection in embryonic stem (ES) cells, neural stem (NS) cells, and brain-tumor
derived stem cells. Biallelic-tagged clonal lines were readily derived and used
to define Olig2 chromatin-bound interacting partners. Using our novel web-based
design tool, we established a 96-well format pipeline that enabled V5-tagging of
60 different transcription factors. This efficient, selection-free and scalable
epitope tagging pipeline enables systematic surveys of protein expression levels,
subcellular localization, and interactors across diverse mammalian stem cells.
PMID- 29638219
TI - Efficient broadband energy detection from the visible to near-infrared using a
plasmon FET.
AB - Plasmon based field effect transistors (FETs) can be used to convert energy
induced by incident optical radiation to electrical energy. Plasmonic FETs can
efficiently detect incident light and amplify it by coupling to resonant
plasmonic modes thus improving selectivity and signal to noise ratio. The
spectral responses can be tailored both through optimization of nanostructure
geometry as well as constitutive materials. In this paper, we studied various
plasmonic nanostructures using gold for a wideband spectral response from visible
to near-infrared. We show, using empirical data and simulation results, that
detection loss exponentially increases as the volume of metal nanostructure
increases and also a limited spectral response is possible using gold
nanostructures in a plasmon to electric conversion device. Finally, we
demonstrate a plasmon FET that offers a broadband spectral response from visible
to telecommunication wavelengths.
PMID- 29638220
TI - Evoked haptic sensations in the hand via non-invasive proximal nerve stimulation.
AB - OBJECTIVE: Haptic perception of a prosthetic limb or hand is a crucial, but often
unmet, need which impacts the utility of the prostheses. In this study, we seek
to evaluate the feasibility of a non-invasive transcutaneous nerve stimulation
method in generating haptic feedback in a transradial amputee subject as well as
intact able-bodied subjects. APPROACH: An electrode grid was placed on the skin
along the medial side of the upper arm beneath the short head of the biceps
brachii, in proximity to the median and ulnar nerves. Varying stimulation
patterns were delivered to different electrode pairs, in order to emulate
different types of sensations (Single Tap, Press-and-Hold, Double Tap) at
different regions of the hand. Subjects then reported the magnitude of sensation
by pressing on a force transducer to transform the qualitative haptic perception
into a quantitative measurement. MAIN RESULTS: Altering current stimulations
through electrode pairs on the grid resulted in repeatable alterations in the
percept regions of the hand. Most subjects reported spatial coverage of
individual fingers or phalanges, which can resemble the whole hand through
different pairs of stimulation electrodes. The different stimulation patterns
were also differentiable by all subjects. The amputee subject also reported
haptic sensations similar to the able-bodied subjects. SIGNIFICANCE: Our findings
demonstrated the capabilities of our transcutaneous stimulation method. Subjects
were able to perceive spatially distinct sensations with graded magnitudes that
emulated tapping and holding sensation in their hands. The elicitation of haptic
sensations in the phantom hand of an amputee is a significant step in the
development of our stimulation method, and provides insight into the future
adaptation and implementation of prostheses with non-invasive sensory feedback to
the users.
PMID- 29638221
TI - Self-assembled human osseous cell sheets as living biopapers for the laser
assisted bioprinting of human endothelial cells.
AB - A major challenge during the engineering of voluminous bone tissues is to
maintain cell viability in the central regions of the construct. In vitro
prevascularization of bone substitutes relying on endothelial cell bioprinting
has the potential to resolve this issue and to replicate the native bone
microvasculature. Laser-assisted bioprinting (LAB) commonly uses biological
layers of hydrogel, called 'biopapers', to support patterns of printed cells and
constitute the basic units of the construct. The self-assembly approach of tissue
engineering allows the production of biomimetic cell-derived bone extracellular
matrix including living cells. We hypothesized that self-assembled osseous sheets
can serve as living biopapers to support the LAB of human endothelial cells and
thus guide tubule-like structure formation. Human umbilical vein endothelial
cells were bioprinted on the surface of the biopapers following a predefined
pattern of lines. The osseous biopapers showed relevant matrix mineralization and
pro-angiogenic hallmarks. Our results revealed that formation of tubule-like
structures was favored when the cellular orientation within the biopaper was
parallel to the printed lines. Altogether, we validated that human osseous cell
sheets can be used as biopapers for LAB, allowing the production of human
prevascularized cell-based osseous constructs that can be relevant for autologous
bone repair applications.
PMID- 29638222
TI - Role of cardiac T1 mapping and extracellular volume in the assessment of
myocardial infarction.
AB - Although late gadolinium enhancement on cardiac magnetic resonance imaging
remains the reference standard for scar assessment, it does not provide
quantitative information about the extent of pathophysiological changes within
the scar tissue. T1 mapping and extracellular volume (ECV) mapping are steadily
becoming diagnostic and prognostically useful tests for in vivo myocardial
histology, influencing clinical decision-making. Quantitative native T1 maps
(acquired without a contrast agent) represent the longitudinal relaxation time
within the myocardium and changes with myocardial extracellular water (edema,
focal, or diffuse fibrosis), fat, iron, and amyloid protein content. Post
contrast ECV maps estimate the size of the extracellular space and have
sensitivity in the identification of interstitial disease. Both pre- and post
contrast T1 mapping are emerging as comprehensive tools for the assessment of
numerous conditions including ischemic scarring that occurs post myocardial
infarction (MI). This review outlines the current evidence and potential future
role of T1 mapping in MI. We conclude by highlighting some of the remaining
challenges such as quality control, standardization of image acquisition for
clinical practice, and automated methods for quantifying infarct size, area at
risk, and myocardial salvage post MI.
PMID- 29638223
TI - A different approach to multilayer flow modulator implantation in aortic
aneurysm.
PMID- 29638224
TI - Milking-like effect in the left anterior descending artery secondary to systolic
expansion of a post-infarction left ventricular aneurysm.
PMID- 29638225
TI - A case with a giant interatrial septal lipomatous hypertrophy, and thickened
epicardial and visceral fat: Different faces of a common metabolic problem?
PMID- 29638226
TI - Increased microvolt T-wave alternans in children and adolescents with Eisenmenger
syndrome.
AB - OBJECTIVE: To determine the values of microvolt T-wave alternans (MTWA) in
children and adolescents with Eisenmenger syndrome (ES) and controls. METHODS:
Thirteen were included in the study. After analyzing the 24-h ECG recordings,
MTWA was considered using three leads (V5, V1, and aVF). Right heart
catheterization and 6-minute walk test (6-MWD) were applied to the patients and
pro-brain natriuretic peptide levels were assessed; echocardiographic parameters
were obtained from both the groups and the results were compared. RESULTS: The
MTWA value in lead V5 was 81.08+/-10.73 uV in the patient group (63.50+/-18.78 uV
in the control group), in lead V1 was 75.00+/-16.86 uV (73.94+/-16.77 uV in the
control group), and in lead aVF was 73.77+/-17.81 uV (72.61+/-16.21 uV in the
control group). Comparison of MTWA values between patients and controls revealed
that only lead V5 values were statistically different in the ES group. The 6-MWD
scores significantly correlated with lead V5. Right atrial volume and right
ventricular fractional area change were significantly correlated with lead V1.
The Tei index was significantly correlated with lead aVF. CONCLUSION: The MTWA
lead V5 value was significantly higher in children with ES than in controls and
was also correlated with decreased exercise tolerance.
PMID- 29638227
TI - A toolbox of immunoprecipitation-grade monoclonal antibodies to human
transcription factors.
AB - A key component of efforts to address the reproducibility crisis in biomedical
research is the development of rigorously validated and renewable protein
affinity reagents. As part of the US National Institutes of Health (NIH) Protein
Capture Reagents Program (PCRP), we have generated a collection of 1,406 highly
validated immunoprecipitation- and/or immunoblotting-grade mouse monoclonal
antibodies (mAbs) to 737 human transcription factors, using an integrated
production and validation pipeline. We used HuProt human protein microarrays as a
primary validation tool to identify mAbs with high specificity for their cognate
targets. We further validated PCRP mAbs by means of multiple experimental
applications, including immunoprecipitation, immunoblotting, chromatin
immunoprecipitation followed by sequencing (ChIP-seq), and immunohistochemistry.
We also conducted a meta-analysis that identified critical variables that
contribute to the generation of high-quality mAbs. All validation data,
protocols, and links to PCRP mAb suppliers are available at
http://proteincapture.org.
PMID- 29638229
TI - Influence of the 6-month physical activity programs on renal function in obese
boys.
AB - BackgroundWe intended to evaluate the effects of physical activity (PA) programs
on renal function in obese boys.MethodsThirty-nine boys participated in one of
the following three groups: soccer (SG, n=13), traditional PA (AG, n=13), and
sedentary control (CG, n=13). SG and AG were involved in 6-month PA programs,
involving three sessions/week for 60-90 min. Anthropometric measurements, body
composition, creatinine and cystatin C plasmatic levels, and estimated glomerular
filtration rate (eGFR) were evaluated.ResultsAt baseline (n=39), age and lean
mass index (LMI) were positively correlated with creatinine levels. After 6
months, both intervention groups decreased the BMI z-score and waist
circumference, while the CG increased the body fat percentage (BFP). LMI
increased in all the groups. SG presented a small increment in plasma creatinine
and a decrease in the eGFR values, using the Schwartz formula. Concerning the
cystatin C levels and eGFR values using Filler (cystatin C-based) or Combined
Zappitelli (creatinine/cystatin C-based) formulas, no significant changes were
observed in any group.ConclusionThe combined Zappitelli formula showed no
significant impact of PA on eGFR in obese boys. Although plasma creatinine is
significantly influenced by lean body mass, cystatin C is likely to be a more
accurate marker of renal function in this population.
PMID- 29638228
TI - Vascular endothelial growth factor accelerates compensatory lung growth by
increasing the alveolar units.
AB - BackgroundDeficiency of vascular endothelial growth factor (VEGF) is associated
with hypoplastic lung diseases, such as congenital diaphragmatic hernia.
Provision of VEGF has been demonstrated to be beneficial in hyperoxia-induced
bronchopulmonary dysplasia, and hence could induce lung growth and improve the
outcome in hypoplastic lung diseases. We aimed to determine the effects of
exogenous VEGF in a rodent model of compensatory lung growth after left
pneumonectomy.MethodsEight-to-ten-week-old C57Bl6 male mice underwent left
pneumonectomy, followed by daily intra-peritoneal injections of saline or VEGF
(0.5 mg/kg). Lung volume measurement, pulmonary function tests, and morphometric
analyses were performed on post-operative day (POD) 4 and 10. The pulmonary
expression of angiogenic factors was analyzed by quantitative polymerase chain
reaction and western blot.ResultsLung volume on POD 4 was higher in the VEGF
treated mice (P=0.03). On morphometric analyses, VEGF increased the parenchymal
volume (P=0.001), alveolar volume (P=0.0003), and alveolar number (P<0.0001) on
POD 4. The VEGF group displayed higher levels of phosphorylated-VEGFR2/VEGFR2
(P=0.03) and epidermal growth factor (EGF) messenger RNA (P=0.01).ConclusionVEGF
accelerated the compensatory lung growth in mice, by increasing the alveolar
units. These changes may be mediated by VEGFR2 and EGF-dependent mechanisms.
PMID- 29638230
TI - Coupling free radical catalysis, climate change, and human health.
AB - We present the chain of mechanisms linking free radical catalytic loss of
stratospheric ozone, specifically over the central United States in summer, to
increased climate forcing by CO2 and CH4 from fossil fuel use. This case directly
engages detailed knowledge, emerging from in situ aircraft observations over the
polar regions in winter, defining the temperature and water vapor dependence of
the kinetics of heterogeneous catalytic conversion of inorganic chlorine (HCl and
ClONO2) to free radical form (ClO). Analysis is placed in the context of
irreversible changes to specific subsystems of the climate, most notably coupled
feedbacks that link rapid changes in the Arctic with the discovery that
convective storms over the central US in summer both suppress temperatures and
inject water vapor deep into the stratosphere. This places the lower stratosphere
over the US in summer within the same photochemical catalytic domain as the lower
stratosphere of the Arctic in winter engaging the risk of amplifying the rate
limiting step in the ClO dimer catalytic mechanism by some six orders of
magnitude. This transitions the catalytic loss rate of ozone in lower
stratosphere over the United States in summer from HOx radical control to ClOx
radical control, increasing the overall ozone loss rate by some two orders of
magnitude over that of the unperturbed state. Thus we address, through a
combination of observations and modeling, the mechanistic foundation defining why
stratospheric ozone, vulnerable to increased climate forcing, is one of the most
delicate aspects of habitability on the planet.
PMID- 29638231
TI - Rapid and label-free identification of single leukemia cells from blood in a high
density microfluidic trapping array by fluorescence lifetime imaging microscopy.
AB - The rapid screening and isolation of single leukemia cells from blood has become
critical for early leukemia detection and tumor heterogeneity interrogation.
However, due to the size overlap between leukemia cells and the more abundant
white blood cells (WBCs), the isolation and identification of leukemia cells
individually from peripheral blood is extremely challenging and often requires
immunolabeling or cytogenetic assays. Here we present a rapid and label-free
single leukemia cell identification platform that combines: (1) high-throughput
size-based separation of hemocytes via a single-cell trapping array, and (2)
leukemia cell identification through phasor approach and fluorescence lifetime
imaging microscopy (phasor-FLIM), to quantify changes between free/bound
nicotinamide adenine dinucleotide (NADH) as an indirect measurement of metabolic
alteration in living cells. The microfluidic trapping array designed with 1600
highly-packed addressable single-cell traps can simultaneously filter out red
blood cells (RBCs) and trap WBCs/leukemia cells, and is compatible with low
magnification imaging and fast-speed fluorescence screening. The trapped single
leukemia cells, e.g., THP-1, Jurkat and K562 cells, are distinguished from WBCs
in the phasor-FLIM lifetime map, as they exhibit significant shift towards
shorter fluorescence lifetime and a higher ratio of free/bound NADH compared to
WBCs, because of their glycolysis-dominant metabolism for rapid proliferation.
Based on a multiparametric scheme comparing the eight parameter-spectra of the
phasor-FLIM signatures, spiked leukemia cells are quantitatively distinguished
from normal WBCs with an area-under-the-curve (AUC) value of 1.00. Different
leukemia cell lines are also quantitatively distinguished from each other with
AUC values higher than 0.95, demonstrating high sensitivity and specificity for
single cell analysis. The presented platform is the first to enable high-density
size-based single-cell trapping simultaneously with RBC filtering and rapid label
free individual-leukemia-cell screening through non-invasive metabolic imaging.
Compared to conventional biomolecular diagnostics techniques, phasor-FLIM based
single-cell screening is label-free, cell-friendly, robust, and has the potential
to screen blood in clinical volumes through parallelization.
PMID- 29638232
TI - Assembling silicon quantum dots into wires, networks and rods via metal ion
bridges.
AB - Wires and networks of Si quantum dots (QDs) with a length of over 1 MUm and a
width of ~30 nm are produced by bridging Si QDs with metal ions in solution. It
is shown that the width of the wires is almost independent of the preparation
parameters and is always about 30 nm, except for the case when Si QDs larger than
30 nm are used, while the length of the wires depends strongly on the kinds of
ions, the amount of ions and the amount of Si QDs in a solution. In addition to
the microscopic size assemblies, macroscopic size rods of Si QDs with a width of
~20 MUm are produced by using Zn2+ ions. The XPS analyses reveal that Si QDs are
connected to each other via a ZnO layer in the rod. The rods have much higher
conductivity and photo-response than Si QD solids produced without metal ions.
PMID- 29638233
TI - Total synthesis of incargranine A.
AB - Synthetic studies into the origins of the alkaloid incargranine A have resulted
in the development of a four-step (longest linear sequence) total synthesis. This
synthesis has been scaled-up to provide gram-scale quantities of material, which
would alternatively require extraction of several metric-tons of dried-whole
Chinese Trumpet-Creeper plants (Incarvillea mairei var. grandiflora).
PMID- 29638234
TI - Polymer platforms for micro- and nanomotor fabrication.
AB - Artificial, self-propelled micro- and nanomotors are small devices capable of
autonomous movement, which are a powerful scientific innovation for solving
various medical and environmental issues. Their design is frequently inspired by
complex biological structures which are composed of biopolymers and their
composites. The choice of materials for nano- and micromachines is crucial for
their shape, mechanism and efficiency of propulsion. In this review, we discuss
the utilization and fabrication of polymers as soft components of micro- and
nanomotors.
PMID- 29638235
TI - [Head injury; the forgotten child of the psychiatry?]
PMID- 29638236
TI - [The development of a guideline to improve the efficiency and effectiveness of
FACT board meetings using a Delphi study].
AB - BACKGROUND: The FACTboard meeting structures the multidisciplinary meetings held
by FACT teams, held for the 10-20% most care intensive patients. The FACT manual
only provides a general outline for the FACTboard meeting, leaving out criteria
specifying the methods to structure meetings. Precisely describing these criteria
could improve the quality of these meetings. AIM: To develop a more detailed
guideline on how to structure a FACTboard meeting by means of a Delphi study.
METHOD: The panel of the Delphi study existed of 22 professions working in
certified FACT teams and 8 experts in the field of FACT. Panel members
individually assessed 113 items according to whether the statement should be
included in the guideline. Statements rated important or essential by >=80% of
the panel members were included in the guideline. The panel members' commentary
was used to shape and adjust the statements to clarify why they were regarded as
important or unimportant. RESULTS: 54 statements were rated essential or
important by >=80% of the panel members. These statements pertained to the
organization and structure of the FACTboard meeting and the roles and
responsibilities of the team members. CONCLUSION: The developed guideline could
be used by FACT and possibly ACT teams to structure the FACTboard meeting.
PMID- 29638237
TI - [Efficacy of integrated dual disorder treatment for dual disorder patients: a
systematic literature review].
AB - BACKGROUND: Dual diagnosis (substance use disorder combined with a comorbid
mental illness) is a common problem. One of the methods to treat this is
integrated dual diagnosis treatment (IDDT). IDDT consists of the simultaneous,
integrated application of different treatment components. Their efficacy,
however, has only been studied separately. As a result, the effectiveness of the
IDDT program as a whole remains unclear. AIM: To evaluate the scientific evidence
relevant to the effectiveness of IDDT in dual diagnosis patients. METHOD: A
systematic literature review using MEDLINE, Embase and PsycINFO (Prisma
guideline). RESULTS: Six studies were found: a randomised controlled study (RCT),
two non-randomised controlled studies, and three uncontrolled pre-post studies .
There was a notably large diversity in outcome measures. The results differed
significantly, including some studies concluding a significant (additional)
effect, while others concluded that there was no indication of a significant
effect of IDDT. CONCLUSION: In clinical practice, IDDT is recommended and chosen
frequently as the treatment for patients with dual diagnosis. However, it is
remarkable how limited and unthorough the research is pertaining to the effects
of the full IDDT program on dual diagnosis.
PMID- 29638238
TI - [How to act in case of a homicidal patient; a literature review and a practice
guideline].
AB - BACKGROUND: Death threats are common in the therapist's office. Many therapists
don't know how to handle these.
AIM: To provide background information and
tools for the practitioner who receives a homicidal threat from a patient.
METHOD: A systematic literature review of the epidemiology, diagnostics, risk
assessment and treatment of homicidal threats.
RESULTS: During consultation,
a death threat is not equatable with the announcement of murder. There are far
more instances where the patient does not follow through with their threat. A
psychotic disorder, an antisocial personality disorder, alcohol abuse, (bipolar)
depression, long-existing (severe) domestic violence, possession of a weapon or a
previous conviction for a death threat are important risk increasing factors. The
risk assessment evaluates the motives and the proclivity to act. emdr and
aggression regulation therapy appear to be effective treatments for underlying
trauma and anger. However, psycho-dynamic aspects and feelings of
countertransference should also be taken into account during therapy. Three Dutch
guidelines about professional secrecy are important when making an assessment
about whether or not one should break the rules of confidentiality.
CONCLUSION: Any practitioner who may be faced with a homicidal patient should
have ready access to the relevant guidelines. Mental health institutions are
encouraged to actively support this process.
PMID- 29638239
TI - [The prevention of Korsakoff's syndrome by offering involuntary care?]
AB - BACKGROUND: The new draft Law on care and coercion focuses on the care of people
with a psychogeriatric disorder or intellectual disability. Perhaps this law can
be applied to people suffering from chronic alcoholism, associated with an
increased risk of Korsakoff's syndrome. AIM: To explore whether the new draft law
on care and coercion can be applied to people suffering from chronic alcoholism
who still live at home. METHOD: A description of possibilities of involuntary
homecare to people suffering from chronic alcoholism based on literature, current
legislation and two new draft laws. RESULTS: It seems possible to apply the law
care and coercion to people suffering from chronic alcoholism. CONCLUSION: The
application of the draft law care and coercion offers the possibility of
providing involuntary homecare to people suffering from chronic alcoholism,
enabling the administration of thiamine. This reduces the chances of developing
Korsakoff's syndrome. Further research is necessary to explore the practical
possibilities in offering involuntary care.
PMID- 29638240
TI - [Employment prospects of persons with severe mental illness after an integrated
mental and psychomotor training in a vocational rehabilitation programme].
AB - BACKGROUND: There is a lower employment rate among persons with severe mental
illness.
AIM: In order to increase the employment rate among mentally ill
patients, azertie, a centre for vocational rehabilitation, has integrated a
combination of psychomotor and mental training (the I Care concept) into its
vocational rehabilitation programme. We investigated the results of the azertie
system via a pilot study
METHOD: Over a period of 10 week period of guided
training for work, persons with severe mental illness receive three sessions per
week of mental training and psychomotor training.
RESULTS: 149 clients
participated in the study. Of these, 70 clients (47%) found employment within the
year. 50 participants were still receiving training; of these, 10 (6.7%) were
likely to find employment. At a follow-up after at least one year of employment
90% of the clients was still at work.
CONCLUSION: The results of this pilot
study should be interpreted with some caution because we did not use a control
group. Nevertheless, when we compare the total employment rate (53.7%) with the
employment rate in the period before the start-up of the I Care programme (10%)
we can conclude that our programme led to a five-fold increase in the percentage
of persons in this vulnerable group.
PMID- 29638241
TI - [Position Statement on religion and spirituality in psychiatry].
AB - BACKGROUND: In December 2015 the Executive Committee of the World Psychiatric
Association approved a Position Statement on religion and spirituality in
psychiatry. This remarkable event remained unnoticed in the Netherlands.
AIM: To bring this statement to the attention of the Dutch psychiatry.
METHOD: An explanation of the criteria on which the statement is based.
RESULTS: Religion and spirituality are a part of daily psychiatric practice,
scientific research, residency training and continuous medical education.
CONCLUSION: The Executive Commitee of the World Psychiatric Association has made
a major accomplishment in favour of psychiatric practice around the world.
PMID- 29638242
TI - [An adolescent with conversion deafness].
AB - A 16-year-old adolescent with progressive hearing loss was diagnosed with a
conversion disorder after extensive somatic investigations. Psychotherapy led to
a successful rehabilitation and improved quality of life. In the discussion we
address the recommendations relating to both diagnostics and approach in good
clinical practice. The importance of multidisciplinary collaboration is supported
by research, but remains a challenge in daily practice.
PMID- 29638243
TI - ?
PMID- 29638244
TI - ?
PMID- 29638245
TI - Abstracts of the International Psycho-Oncology Society (IPOS) 10th World Congress
of Psycho-Oncology, 9-13 June 2008, Madrid, Spain.
PMID- 29638269
TI - Ajulemic acid: potential treatment for chronic inflammation.
AB - Ajulemic acid (AJA, CT-3, IP-751, JBT-101, anabasum) is a first-in-class,
synthetic, orally active, cannabinoid-derived drug that preferentially binds to
the CB2 receptor and is nonpsychoactive. In preclinical studies, and in Phase 1
and 2 clinical trials, AJA showed a favorable safety, tolerability, and
pharmacokinetic profile. It also demonstrated significant efficacy in preclinical
models of inflammation and fibrosis. It suppresses tissue scarring and stimulates
endogenous eicosanoids that resolve chronic inflammation and fibrosis without
causing immunosuppression. AJA is currently being developed for use in 4 separate
but related indications including systemic sclerosis (SSc), cystic fibrosis,
dermatomyositis (DM), and systemic lupus erythematosus. Phase 2 clinical trials
in the first 3 targets demonstrated that it is safe, is a potential treatment for
these orphan diseases and appears to be a potent inflammation-resolving drug with
a unique mechanism of action, distinct from the nonsteroidal anti-inflammatory
drug (NSAID), and will be useful for treating a wide range of chronic
inflammatory diseases. It may be considered to be a disease-modifying drug unlike
most NSAIDs that only provide symptomatic relief. AJA is currently being
evaluated in 24-month open-label extension studies in SSc and in skin-predominant
DM. A Phase 3 multicenter trial to demonstrate safety and efficacy in SSc has
recently been initiated.
PMID- 29638270
TI - Equipping an urban hospital police and security team with Narcan.
AB - Narcan, the nasal-spray form of naloxone, has been approved by the FDA as an easy
to-use version of a drug for saving lives of people who have overdosed on opioids
-heroin or prescription painkillers. Communities across the US have been
equipping first responders and police with the spray. Now, as the increase in
overdose deaths has spread to hospitals, those facilities will have to decide
whether their police/security officers should be equipped with. naloxone and
trained in its use. In this article, the authors relate their health system's
decision, how it was reached, and how it has been implemented.
PMID- 29638271
TI - Are you ready for Medical Cannabis?
AB - In states which permit the use of medical cannabis, a number of problems have
arisen for hospitals when they admit patients which have such permission, the
author reports. In this article he presents the many issues that medical cannabis
will create and stresses that now is the time to prepare the strategy to address
these issues.
PMID- 29638272
TI - If we're not looking, the children will remain missing.
AB - In every community human trafficking has become more apparent to healthcare
providers. Children who are missing are at risk for ex- ploitation and harm. In
response to the identification of missing youths in the emergency room setting, a
guideline has been developed as a tool for healthcare providers to identify and
appropriately respond to a child who is reported missing and at risk for harm. In
this article, the author provides guidance for security and other ER personnel on
how to identify such children.
PMID- 29638273
TI - Security's role in supporting a hospital's Early Intervention Program for inner
city children.
AB - The availability of an Early Intervention Program for at-risk children in New
Jersey's city with the highest crime rate and poverty level has been enhanced
several fold by a volunteer escort service provided by security officers of
hospitals which serve the community. In this article, the lead author and
contributors explain in detail how an Early Intervention Program works and the
key contribution to its success by hospital security escorts.
PMID- 29638274
TI - The case for body cameras in a healthcare environment.
AB - The author, an experienced investigator and trainer, makes the case in this
article for the use of body cameras by healthcare security and non-security
personnel to confirm that they acted within policy, law, and regulatory
guidelines during an incident. The many benefits of such cameras in enhancing
training of healthcare personnel are also described.
PMID- 29638275
TI - Disaster preparedness and response in healthcare: a review of the basics.
AB - In this article, the author reviews the four elements of disaster preparation
that healthcare facilities should have in place to respond effectively in an
emergency situation.
PMID- 29638276
TI - The role of security in the prevention, detection and response to drug diversion
by healthcare personnel.
AB - Drug diversion by healthcare personnel poses substantial and growing risks to
patients, staff, healthcare institutions and the community, the author reports.
It is essential that clinical, pharmacy, and security personnel work together on
diversion-related issues to reduce those risks, she says. In this article she
spells out the critical roles of security personnel in the investigation of
suspected diversion and the response to confirmed diversion.
PMID- 29638277
TI - Do it yourself biology--committed hobbyists or dangers to the public safety?
AB - In this article some of the concepts that undergird the Do It Yourself Bio or the
"DIY Bio" movement will be explored; the challenges of citizen science will be
considered; some of the public policy concerns linked to Do it Yourself Bio will
be sketched; and some actionable recommendations made, especially for healthcare
institutions.
PMID- 29638278
TI - The battle against violence in U.S. hospitals: an analysis of the recent I IAHSS
Foundation's healthcare crime surveys.
AB - In this article, the author analyzes the possible reasons for the reported drop
in hospital violence in the 2016IAHSS Crime Survey compared to previous surveys.
He also reviews the one statistic that has remained constant in all the recent
crime surveys and recommends an approach in violence prevention programs that may
prove successful in reducing workplace violence and staff injuries.
PMID- 29638279
TI - All tied up: the fine art of balancing regulatory restraint compliance and
excellent patient care.
AB - This article presents examples of different resources that can be implemented to
help manage a patient in crisis. It discusses challenges and solutions in regard
to the ED boarding of behavioral health patients and reviews various restraint
types and definitions (violent, non-violent, forensic). It stresses the
importance of teamwork between security police and clinicians.
PMID- 29638280
TI - Evaluating the need for firearms in healthcare security.
AB - This article is a condensed version of a document/position paper written by the
authors for ODS Security Solutions on "Defensive Weapons and Equipment in the
Healthcare Environment." For the complete paper, which also includes a review of
alternative weapons and equipment and other equipment carried by security
officers go to: http://ods-security.com/wp-content/uploads/2016/05/Defensive
Weapons _Digital
2.pdf?utm_source=Defensive+Weapons&utm_campaign=spring+sentinel&utm_medium=email.
PMID- 29638281
TI - The benefits of Taser CEWs in a healthcare setting.
AB - In this article, the authors maintain that if properly used by trained security
officers Taiser CEWs result in significant reductions in injuries and reduction
in costs by type of injury. HSS's security officers have carried Taser CEW's in
hospitals for more than 10 years in 40 facilities across the country producing no
negative CMS finding or excessive use of force claims, they report.
PMID- 29638283
TI - Increasing your HCAHPS scores with Extreme Customer Service.
AB - Providing great customer service is extremely critical in the healthcare setting,
especially when it comes to HCAHBPS (Hospital Consumer Assessment of Health care
Providers and Systems) scores, the author says. While there are several service
training programs within healthcare, they often require six to eight minutes of
interaction with patients or guests. This works well for clinical staff, he says,
but when it comes to non-clinical staff, including security officers, many times
they only have fifteen or thirty seconds to create positive patient or guest
experience. In this article he describes Extreme Customer Service
PMID- 29638282
TI - A new approach to handling incoming verifications.
AB - Outside requests for data on current or former employees are handled in different
ways by healthcare organizations and present considerable liability risks if a
corporate policy for handling such risks is not in place. In this article, the
authors present a strategy for responsible handling of sensitive information.
PMID- 29638285
TI - How an acute care hospital reduced workplace violence injuries by more than 50
percent in a single year.
AB - The formation of well trained, organized, and supervised clinical staff working
in collaboration with security officers, has resulted in a reduction of work
place violence injuries to staff, according to the authors. In addition, the rate
of restraint deployment for disruptive patients has also been reduced.
PMID- 29638284
TI - Why security fails.
AB - When a hospital suffers a serious loss or act of violence, the blame frequently
centers on the facility's Security Department, but, as the author, a longtime
security consultant, points out, there's plenty of blame to go around--including
Administration at all levels, and employees, both clinical and non clinical. In
this article, he presents the many reasons why security can fail and what should
be done to prevent such failure.
PMID- 29638286
TI - Reducing the risk of patient-generated violence in healthcare: a case study.
AB - This case study excerpted from a white paper by HSS, Denver, CO, demonstrates how
an integrated approach to addressing the problem of violence in healthcare
through staff training and adopting environmental controls can significantly
improve employee safety, business measures and practices, and allow staff to
focus on providing high quality patient-focused care. For the complete white
paper, go to the following link: http://hss-us.com/wp
content/uploads/2014/11/EDSafe-TEAM-Methodist-White-Paper-2014. pdf.
PMID- 29638287
TI - Modern strategy for operational excellence: building agile and adaptive
organizations.
AB - Healthcare security leaders who serve in an industry built on traditional and
static protection and response protocols must become more agile and adaptive in
planning and responding to evolving threat and risk profiles, the author states.
In this article, he tells how to use operational excellence to continuously
improve performance.
PMID- 29638288
TI - Community model of healthy aging framed in resilience and generativity
AB - The Research Unit in Gerontology at the Facultad de Estudios Superiores (FES)
Zaragoza, from the Universidad Nacional Autonoma de Mexico (UNAM), has developed
a comprehensive model aimed at the promotion of healthy aging within the context
of community development. The model is centered on the implementation of
intensive educational programs addressed towards groups of older persons in what
is known as "Gerontological Nuclei". Although it is true that there are
satisfactory results, one limitation of the model is the difficulty of its
implementation without supervision and university support. For this reason, two
components have been included: resilience and generativity, which together could
positively impact the model by strengthening contextual and personal components
that are linked to healthy behavior. The approach of generativity and resilience
are basic elements for the strengthening of human capacities during aging, since
they can favor the autonomy, independence and decision-making capacity of people
in the personal and community spheres. The article presents a model of healthy
aging with possibilities of application at community level.
PMID- 29638289
TI - Progress on Fault Mechanisms for Gear Transmissions in Coal Cutting Machines:
From Macro to Nano Models.
AB - Numerical modeling has been recognized as the dispensable tools for mechanical
fault mechanism analysis. Techniques, ranging from macro to nano levels, include
the finite element modeling boundary element modeling, modular dynamic modeling,
nano dynamic modeling and so forth. This work firstly reviewed the progress on
the fault mechanism analysis for gear transmissions from the tribological and
dynamic aspects. Literature review indicates that the tribological and dynamic
properties were separately investigated to explore the fault mechanism in gear
transmissions. However, very limited work has been done to address the links
between the tribological and dynamic properties and scarce researches have been
done for coal cutting machines. For this reason, the tribo-dynamic coupled model
was introduced to bridge the gap between the tribological and dynamic models in
fault mechanism analysis for gear transmissions in coal cutting machines. The
modular dynamic modeling and nano dynamic modeling techniques are expected to
establish the links between the tribological and dynamic models. Possible future
research directions using the tribo dynamic coupled model were summarized to
provide potential references for researchers in the field.
PMID- 29638291
TI - The Preparation of an Optical Hydrogen Peroxide Sensor Using Polydopamine as a
Sensing Medium.
AB - Polydopamine (PDA) is well known as an excellent coating material. It has various
novel properties such as good biocompatibility, biodegradability, nontoxicity,
easiness of deposit on all types of substrates, and strong stability as a primary
natural pigment. In this research, in situ preparation of fluorescent PDA was
performed by the addition of hydrogen peroxide (H2O2) to dopamine (DA) in the
presence of Cu2+. DA does not show any fluorescence while the in situ self
initiated PDA has a strong fluorescence at 480 nm with excitation wavelength
ranged from 330 nm to 420 nm due to the oxidation of DA by H2O2. A novel H2O2
sensor was fabricated using PDA as a fluorescence sensing medium. High
sensitivity and linear response were achieved with a detection limit of 0.7 MUM.
The sensing medium is not toxic and allows biodegradation, which indicates high
potential for in vivo application.
PMID- 29638290
TI - Phenomena of Nano- and Micro-Pore Formation on Ti-(10~50)Ta Alloys by Plasma
Electrolytic Oxidation for Dental Implants.
AB - In this study, the phenomena of nano and micro-pore formation on Ti-(10~50)Ta
alloys by plasma electrolytic oxidation for dental implants was investigated
using various experimental techniques. The Ti-xTa alloys having Ta contents of
10, 30, and 50 wt.% were prepared using arc-melting vacuum furnace. Micro-pore
formation was performed using a potentiostat in 1 M H3PO4 electrolyte by using a
potentiostat at various applied voltage (180 V, 210 V, and 240 V). The
microstructure of Ti-xTa alloys changed from alpha' phase to beta + alpha" phase
with Ta content increased. The applied potential increased, the numbers of micro
pore per unit area decreased, whereas the area ratio of occupied by micro-pores
increased. The Ta contents increased, the numbers of micro-pore per unit area
decreased, whereas the area ratio of occupied by micro-pores increased at 210 V
and 240 V. The thickness of oxide layer and micro-pore size can be controlled by
applied potential.
PMID- 29638292
TI - Sol-Gel Synthesis of Manganese Doped Titanium Oxide Nanoparticles for
Electrochemical Sensing of Hydroquinone.
AB - Here we report development of a hydroquinone (HQ) electrochemical sensor using
nanosized manganese doped titanium oxide as a composite material. The
nanomaterial was synthesized with sol gel method using calculated amount of Mn
and Ti atoms resulting into a composite metal oxide. Morphological observations
indicated a uniform particle size and shape distribution with almost spherical
shape and size of about 20-30 nm. While structural analysis indicated formation
of mixed phase of TiO2 and MnO forming MnTiO3. The synthesized nanomaterial was
used as a matrix for fabrication of hydroquinone electrochemical sensor and
tested over a wide range from 2 mM to 10 mM. The developed electrochemical sensor
exhibited sensitivity of 2.96 MUA mM-1 (23.55 MUA mM-1 cm-2) with a detection
limit of 7.5 MUM, which is rarely reported for such composite nanomaterial.
PMID- 29638306
TI - House Infection in Cholera and Cancer.
PMID- 29638307
TI - A Case of Extensive Resection of Small Intestine, with a Clinical Study of
Recorded Cases.
PMID- 29638293
TI - Microbial Precipitation of Cr(III)-Hydroxide and Se(0) Nanoparticles During
Anoxic Bioreduction of Cr(VI)- and Se(VI)-Contaminated Water.
AB - This study examined the microbial precipitations of Cr(III)-hydroxide and Se(0)
nanoparticles during anoxic bioreductions of Cr(VI) and Se(VI) using metal
reducing bacteria enriched from groundwater. Metal-reducing bacteria enriched
from groundwater at the Korea Atomic Energy Research Institute (KAERI)
Underground Research Tunnel (KURT), Daejeon, S. Korea were used. Metal reduction
and precipitation experiments with the metal-reducing bacteria were conducted
using Cr(VI)- and Se(VI)-contaminated water and glucose as a carbon source under
an anaerobic environment at room temperature. XRD, SEM-EDX, and TEM-EDX analyses
were used to characterize the mineralogy, crystal structure, chemistry, shape,
and size distribution of the precipitates. The metal-reducing bacteria reduced
Cr(VI) of potassium chromate (K2CrO4) to Cr(III) of chromium hydroxide [Cr(OH)3],
and Se(VI) of sodium selenate (Na2SeO4) to selenium Se(0), with changes of color
and turbidity. XRD, SEM-EDX, and TEM-EDX analyses revealed that the chromium
hydroxide [Cr(OH)3] was formed extracellularly with nanoparticles of 20-30 nm in
size, and elemental selenium Se(0) nanoparticles had a sphere shape of 50-250 nm
in size. These results show that metal-reducing bacteria in groundwater can aid
or accelerate precipitation of heavy metals such as Cr(VI) and Se(VI) via
bioreduction processes under anoxic environments. These results may also be
useful for the recovery of Cr and Se nanoparticles in natural environments.
PMID- 29638308
TI - Plastic Repair of the Face and Limbs.
PMID- 29638309
TI - Clinical Meeting.
PMID- 29638310
TI - The Occupation-Cure in Neurasthenia.
PMID- 29638311
TI - The Treatment of Diabetes with Insulin.
PMID- 29638312
TI - The Benefits of Organisation in the Treatment of Lupus.
PMID- 29638313
TI - Artificial Pneumothorax in the Treatment of Pulmonary Tuberculosis.
PMID- 29638314
TI - Discussion on Focal Infection in Relation to Disease.
PMID- 29638315
TI - Influenza, Cerebro-Spinal Meningitis, and Epidemic Encephalitis: Some Etiological
Considerations.
PMID- 29638317
TI - The Nutritional Treatment of Tuberculosis.
PMID- 29638316
TI - Hydrocephalus.
PMID- 29638319
TI - Principles of Bone Graft Surgery.
PMID- 29638318
TI - Exhibition of Patients.
PMID- 29638320
TI - Case of Intestinal Obstruction from Carcinoma of Descending Colon in a Man Aged
22 Suffering from Achondroplasia-Formation of Artificial Anus; Subsequent
Resection of Affected Bowel, and Reunion of the Bowel-Recovery.
PMID- 29638321
TI - Valedictory Address.
PMID- 29638322
TI - Medicine.
PMID- 29638323
TI - On Addison's Disease, with Two Cases; and Also a Case Which Presented the
Symptoms of Disease of the Suprarenal Capsules.
PMID- 29638324
TI - Pathology and Bacteriology.
PMID- 29638325
TI - Therapeutics.
PMID- 29638326
TI - The Decrease in the Birth-Rate.
PMID- 29638328
TI - Obstetrics and Gynaecology.
PMID- 29638327
TI - Surgery.
PMID- 29638330
TI - Diseases of the Eye.
PMID- 29638331
TI - Physiology.
PMID- 29638329
TI - The Therapeutic Value of Relaxing Climates.
PMID- 29638333
TI - Reports of the Medical Societies.
PMID- 29638332
TI - A Case of Anaemia and One of Acute Lymphocythaemia, with Special Reference to
Conditions of Aplasia of the Haemopoietic Tissues in Leukaemia.
PMID- 29638334
TI - Case of Typhus Fever, Followed by Right Hemiplegia and Loss of Intellectual
Language, Both Articulate and Written (Amnesic Aphasia).
PMID- 29638335
TI - Nature of Eczema and Psoriasis.
PMID- 29638336
TI - Case of Molluscum.
PMID- 29638337
TI - Erratum: Public Health Practice in the Tropics.
AB - [This corrects the article on p. 492 in vol. 38.].
PMID- 29638338
TI - Medical News.
PMID- 29638339
TI - Medical News.
PMID- 29638341
TI - Medical News.
PMID- 29638340
TI - Medical News.
PMID- 29638342
TI - Medical News.
PMID- 29638343
TI - Medical News.
PMID- 29638344
TI - Report on Ophthalmology.
PMID- 29638345
TI - Observations on the Therapeutic Value of Chloral.
PMID- 29638346
TI - On Feigned Diseases, Their Detection and Management.
PMID- 29638347
TI - Case of Puerperal Scarlet Fever.
PMID- 29638348
TI - Notes from Practice, New and Old.
PMID- 29638350
TI - On Atmospheric Influences.
PMID- 29638349
TI - A Few Words on Vaccination and Revaccination.
PMID- 29638351
TI - On Dementia.
PMID- 29638353
TI - Physiological Notes.
PMID- 29638352
TI - Clinical Lectures on Diseases of the Heart.
PMID- 29638354
TI - The Restoration of the Perineum.
PMID- 29638355
TI - Case of Sudden Death from an Aneurism of the Arch Bursting into the Pericardium.
PMID- 29638356
TI - Notes of a Case of Scleriasis.
PMID- 29638357
TI - The Normal Products of Hepatic Action.
PMID- 29638359
TI - Meetings of Societies.
PMID- 29638358
TI - On the Mode of Introducing the Midwifery Forceps; with Note on Their
Modification.
PMID- 29638360
TI - On the Use of Carbolic Acid to Prevent Pitting after Smallpox.
PMID- 29638361
TI - Periscope.
PMID- 29638362
TI - Intestinal Obstruction from a Knot on the Lower Part of the Ileum.
PMID- 29638363
TI - On Some Cases Illustrating the Results of Excision of the Wrist for Caries, the
Treatment of Deformity from Contracted Cicatrix, and Antiseptic Dressing under
Circumstances of Difficulty, Including Amputation at the Hip-Joint.
PMID- 29638364
TI - Notes of a Case of Ovarian Disease-Ascites-Anasarca-Solid Tumour in Both Ovaries.
PMID- 29638365
TI - On Mining Exhalations.
PMID- 29638366
TI - Medical News.
PMID- 29638369
TI - Chloral in Obstetrics.
PMID- 29638368
TI - Medical News.
PMID- 29638367
TI - On the Principles Which Have Determined the Classifications of Mental Diseases.
PMID- 29638370
TI - Record of the Cases of Tumours of the Breast Admitted into the Clinical Wards of
the Edinburgh Royal Infirmary from 1833 to 1869.
PMID- 29638371
TI - Polypus of the Uterus.
PMID- 29638372
TI - Meetings of Societies.
PMID- 29638373
TI - A Graduation Address.
PMID- 29638374
TI - Note on the History of Ovariotomy.
PMID- 29638377
TI - The Therapeutic Actions and Uses of Turpentine.
PMID- 29638375
TI - Carbolic Acid, from a Septic Point of View.
PMID- 29638376
TI - Criminal Lunacy in Scotland for Quarter of a Century, Viz., from 1846 to 1870,
Both Inclusive.
PMID- 29638379
TI - Nitro-Muriatic Acid in Urticaria.
PMID- 29638378
TI - On a Case of Trance.
PMID- 29638380
TI - Medical News.
PMID- 29638381
TI - Case of Paralysis and Sudden Death in the Pregnant State.
PMID- 29638382
TI - Letter from Creuznach.
PMID- 29638383
TI - Diphtheria of the Throat.
PMID- 29638385
TI - Navy Medical Department.
PMID- 29638384
TI - On Feigned Diseases, Their Detection and Management.
PMID- 29638386
TI - Medical News.
PMID- 29638387
TI - Ergotine as a Haemostatic.
PMID- 29638388
TI - Information Regarding Medical Education and Examinations.
PMID- 29638389
TI - A New Test for Hysteria.
PMID- 29638390
TI - On Artificial Tuberculosis, and Its Relation to Cellular Pathology and the Growth
of Tumours.
PMID- 29638391
TI - Hydrate of Chloral in Insanity.
PMID- 29638393
TI - Suggestions as to the Use of Calabar Bean in Cholera Asiatica.
PMID- 29638392
TI - Remarks on Medical Study.
PMID- 29638394
TI - Perforation of the Uterine Walls by a Sound.
PMID- 29638395
TI - Army Medical Department.
PMID- 29638396
TI - Army Medical School.
PMID- 29638397
TI - Indian Medical Service.
PMID- 29638398
TI - General Neuralgia.
PMID- 29638400
TI - Chloride of Sodium.
PMID- 29638399
TI - Case of Deformity of the Pelvis, in Which Caesarean Section Was Performed; with
Remarks.
PMID- 29638402
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29638401
TI - Sympathetic Insanity Illustrated-The Influence of the Body on the Mind, and the
Mind on the Body.
PMID- 29638403
TI - Notes on Cases of Yellow Fever in St Kitts, W.I., during 1868, '69, '70.
PMID- 29638404
TI - Digitalis, Its Use and Action.
PMID- 29638406
TI - How to Keep a Horse Quiet.
PMID- 29638405
TI - A Few Cursory Remarks upon the Treatment of Some of the Specific and Septic
Fevers.
PMID- 29638407
TI - Cases of Amputation of the Penis; with Remarks.
PMID- 29638410
TI - Treatment of Hip-Joint Disease.
PMID- 29638408
TI - The Normal Products of Hepatic Action.
PMID- 29638409
TI - Case of Ovario-Mania.
PMID- 29638412
TI - Medical News.
PMID- 29638411
TI - Meetings of Societies.
PMID- 29638413
TI - Dangers of Chromic Acid.
PMID- 29638414
TI - Ovaritis.
PMID- 29638415
TI - Medical News.
PMID- 29638417
TI - Chlorine Water in the Treatment of Diphtheria.
PMID- 29638416
TI - Penetrating Wounds of the Chest.
PMID- 29638418
TI - Sympathetic Insanity Illustrated-The Influence of the Body on the Mind, and the
Mind on the Body.
PMID- 29638420
TI - A Cause of Heart Disease in the Army.
PMID- 29638419
TI - On Feigned Diseases, Their Detection and Management.
PMID- 29638421
TI - Case of Haematemesis Treated by the Hypodermic Injection of Ergotine.
PMID- 29638422
TI - On the Local Effects of Crude Paraffin.
PMID- 29638423
TI - Meetings of Societies.
PMID- 29638424
TI - On the Treatment of Diabetes by Lactic Acid (Cantani's Method).
PMID- 29638425
TI - Case of Popliteal Aneurism.
PMID- 29638426
TI - Introductory Address, Delivered at the Opening of the Edinburgh School of
Medicine, on the 1st of November 1871.
PMID- 29638427
TI - Dislocation of the Scaphoid.
PMID- 29638429
TI - Case of Strangulated Hernia.
PMID- 29638430
TI - Letters from Vienna.-No. I.
PMID- 29638428
TI - Injury to the Cervical Vertebrae.
PMID- 29638431
TI - The Melanomata. A Histological, Clinical, and Critical Study.: Bibliography.
PMID- 29638432
TI - The Melanomata. A Histological, Clinical, and Critical Study.: Preface.
PMID- 29638433
TI - The Melanomata. A Histological, Clinical, and Critical Study.: IV. Critical
Discussion.
PMID- 29638434
TI - The Melanomata. A Histological, Clinical, and Critical Study.: Plates and
Descriptions of Plates.
PMID- 29638435
TI - The Melanomata. A Histological, Clinical, and Critical Study.: II. Histological
Study.
PMID- 29638436
TI - The Melanomata. A Histological, Clinical, and Critical Study.: I. Introduction.
PMID- 29638437
TI - The Melanomata. A Histological, Clinical, and Critical Study.: III. Clinical
Study.
PMID- 29638439
TI - House Infection in Cholera and Cancer.
PMID- 29638438
TI - The Melanomata. A Histological, Clinical, and Critical Study.: V. Conclusion.
PMID- 29638442
TI - Clinical Meeting.
PMID- 29638440
TI - A Case of Extensive Resection of Small Intestine, with a Clinical Study of
Recorded Cases.
PMID- 29638441
TI - Plastic Repair of the Face and Limbs.
PMID- 29638443
TI - The Occupation-Cure in Neurasthenia.
PMID- 29638444
TI - The Treatment of Diabetes with Insulin.
PMID- 29638445
TI - The Benefits of Organisation in the Treatment of Lupus.
PMID- 29638446
TI - Artificial Pneumothorax in the Treatment of Pulmonary Tuberculosis.
PMID- 29638447
TI - Discussion on Focal Infection in Relation to Disease.
PMID- 29638448
TI - Influenza, Cerebro-Spinal Meningitis, and Epidemic Encephalitis: Some Etiological
Considerations.
PMID- 29638449
TI - Hydrocephalus.
PMID- 29638450
TI - The Nutritional Treatment of Tuberculosis.
PMID- 29638451
TI - Exhibition of Patients.
PMID- 29638452
TI - Principles of Bone Graft Surgery.
PMID- 29638453
TI - On the Construction of Hospitals.
PMID- 29638454
TI - Meetings of Societies.
PMID- 29638455
TI - Observations on Amputation at the Hip-Joint.
PMID- 29638456
TI - Medical News.
PMID- 29638458
TI - General Council of Medical Education and Registration. Session 1870.
PMID- 29638457
TI - Case of Popliteal Aneurism Treated by Compression.
PMID- 29638459
TI - An Aid to Parturition, and to the Treatment of Displacements of the Uterus, by a
New Mechanical Appliance.
PMID- 29638461
TI - Surgery.
PMID- 29638460
TI - Remarks on Pelvic Peritonitis and Pelvic Cellulitis, with Illustrative Cases.
PMID- 29638463
TI - Medical News.
PMID- 29638464
TI - Removal of a Large Uterine Fibrous Polypus.
PMID- 29638462
TI - Case of Death from a Strangulated Ovarian Tumour.
PMID- 29638465
TI - On Unilateral Convulsions, Localization, Etc.
PMID- 29638466
TI - Surgery.
PMID- 29638467
TI - Sanitary State of Hong-Kong.
PMID- 29638468
TI - Meetings of Societies.
PMID- 29638470
TI - Report on Ophthalmology.
PMID- 29638469
TI - The Medical Council and Its Critics: A Re-Vindication.
PMID- 29638471
TI - Contribution to Vital Statistics.
PMID- 29638472
TI - Our Existing System of Hospitalism and Its Effects.
PMID- 29638473
TI - Four Cases of Spinal Myosis; with Remarks on the Action of Light on the Pupil.
PMID- 29638474
TI - On the Use of Petroleum or Earth-Oil as an Antiseptic in the Treatment of
Surgical Diseases.
PMID- 29638475
TI - Diseases of Women and Children.
PMID- 29638476
TI - Clinical Medicine.
PMID- 29638477
TI - Note on the Sudden Change of Temperature in the Last Week of August 1869.
PMID- 29638478
TI - University of Edinburgh.
PMID- 29638480
TI - On the Combined External and Internal Method of Version, with Cases.
PMID- 29638479
TI - Meetings of Societies.
PMID- 29638481
TI - The Mortality of Childbed.
PMID- 29638483
TI - Medical News.
PMID- 29638482
TI - Surgery.
PMID- 29638484
TI - A Case of Heart Disease (Cardiac Thrombosis).
PMID- 29638486
TI - Royal College of Surgeons, Edinburgh.
PMID- 29638485
TI - The English Doctor in Southern Manchooria.
PMID- 29638487
TI - Medicine.
PMID- 29638488
TI - Dr Wood's Correction in Regard to Clinical Examinations of London Apothecaries'
Company.
PMID- 29638489
TI - The Indian Medical Service.
PMID- 29638491
TI - Recent Researches on Tuberculosis.
PMID- 29638490
TI - On the Action of the Cobra Poison.
PMID- 29638493
TI - Medical News.
PMID- 29638492
TI - Medical News.
PMID- 29638494
TI - Continued Observations on Haemoptysis.
PMID- 29638495
TI - Meetings of Societies.
PMID- 29638497
TI - University of Edinburgh.
PMID- 29638496
TI - Case of Pelvic Haematocele.
PMID- 29638499
TI - Medicine.
PMID- 29638498
TI - Amputation of the Scapula, along with Two-Thirds of the Clavicle and the Remains
of the Arm.
PMID- 29638500
TI - General Council of Medical Education and Registration.
PMID- 29638501
TI - The Climate of Madeira.
PMID- 29638502
TI - Medical News.
PMID- 29638505
TI - Erratum: Obstetrical Society's Proceedings.
AB - [This corrects the article on p. 170 in vol. 15.].
PMID- 29638503
TI - Surgery.
PMID- 29638504
TI - A Contribution to the Statistics of the Operation for Strangulated Femoral
Hernia, Being a Record of Fourteen Cases.
PMID- 29638507
TI - Medicine.
PMID- 29638506
TI - Graduation in Medicine at the University of Edinburgh.
PMID- 29638508
TI - On the Therapeutical Value of the Sulphites.
PMID- 29638510
TI - Address to Dr Joseph Bell.
PMID- 29638509
TI - Address to the Edinburgh Medical Graduates.
PMID- 29638511
TI - Surgery.
PMID- 29638512
TI - Medical News.
PMID- 29638514
TI - Medical News.
PMID- 29638513
TI - On the Action of the Cobra Poison.
PMID- 29638515
TI - Continued Observations on Haemoptysis.
PMID- 29638516
TI - Successful Case of Artificial Respiration by the Sylvester Method.
PMID- 29638518
TI - General Council of Medical Education and Registration.
PMID- 29638517
TI - Insanity in British Emigrants of the Middle and Upper Ranks.
PMID- 29638519
TI - On the Employment of Hare-Lip Suture in the Treatment of Vesico-Vaginal Fistula.
PMID- 29638520
TI - Army Medical Department.
PMID- 29638521
TI - On the Action of the Cobra Poison.
PMID- 29638522
TI - On the Morbid Appearances Met with in the Brains of Thirty Insane Persons.
PMID- 29638523
TI - Case of Unilateral Paralysis Agitans.
PMID- 29638524
TI - General Council of Medical Education and Registration.
PMID- 29638525
TI - The Medical Council and Its Critics: A Vindication.
PMID- 29638527
TI - Glasgow Medico-Chirurgical Society.
PMID- 29638526
TI - Remarks on Medical Study.
PMID- 29638528
TI - Navy Medical Department.
PMID- 29638530
TI - Information Regarding Medical Education and Examinations.
PMID- 29638529
TI - Army Medical School.
PMID- 29638532
TI - Testimonial to Mr Syme.
PMID- 29638531
TI - Indian Medical Service.
PMID- 29638533
TI - Actual Experience in Hospital Practice of Recently Vaunted Remedies.
PMID- 29638534
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29638535
TI - Case of Amputation at the Ankle.
PMID- 29638536
TI - Meetings of Societies.
PMID- 29638538
TI - Treatment of Congestions of Erectile Organs by Hypodermic Injection of Morphia.
PMID- 29638537
TI - On the Rare Mobility of Intra-Pelvic Parametric Phlegmon and Abscess.
PMID- 29638539
TI - Calculus Successfully Removed from a Cavity in the Kidney, with Observations on
the Operation of Nephrotomy.
PMID- 29638540
TI - Case of Tumour of the Bones of the Skull.
PMID- 29638541
TI - Case of Strangulated Hernia, with Perforation of the Bowel, in Which the
Occlusion of the Aperture by Ligature Was Successfully Adopted.
PMID- 29638542
TI - Traumatic Varicose Femoral Aneurism Successfully Treated by Ligature.
PMID- 29638543
TI - The Climate of Madeira.
PMID- 29638544
TI - Professor Syme's Observations on the Reform of Medical Education.
PMID- 29638545
TI - Extensive Injury to the Heart in a Dog by a Pistol-Ball; Death Not Immediate.
PMID- 29638546
TI - Address to Professor Syme from India.
PMID- 29638547
TI - Further Observations on the Treatment of Aneurism by Iodide of Potassium, with
Additional Cases.
PMID- 29638549
TI - Special Clinical Meeting.
PMID- 29638548
TI - The Alkaline Treatment of Rheumatic Fever.
PMID- 29638550
TI - Exhibition of Patients.
PMID- 29638551
TI - Discussion on Insomnia.
PMID- 29638552
TI - The Musculature of the Aorta and of the Cardiac Valves, Anatomically,
Physiologically, and Clinically Considered.
PMID- 29638553
TI - Preparation of SiO2@Ag Composite Nanoparticles and Their Antimicrobial Activity.
AB - At normal atmospheric temperature, the modified sol-gel method was employed to
synthesize SiO2 nanospheres (SiO2 NSs) whose average size was about 352 nm.
Silver nanoparticles (Ag NPs) were uniformly distributed on the surface of SiO2
nanospheres (SiO2 NSs) by applying chemical reduction method at 95 degrees C and
the size of silver nanoparticles (Ag NPs) could be controlled by simply tuning
the reaction time and the concentration of sodium citrate. Besides, the size,
morphology, structure and optical absorption properties of SiO2@Ag composite
nanoparticles were measured and characterized by laser particle size analyzer
(LPSA), transmission electron microscope (TEM), scanning electron microscope
(SEM), X-ray diffraction (XRD) and ultraviolet visible absorption spectrometer
(UV-Vis), respectively. Furthermore, antimicrobial effect experiments that
against gram-negative bacteria (E. coli) and gram-positive bacteria (S. aureus)
were carried out to characterize the antibacterial activity of synthesized
SiO2@Ag composite nanoparticles. The results show that the prepared SiO2@Ag
composite nanoparticles have strong antimicrobial activity, which is associated
with the size of silver nanoparticles.
PMID- 29638554
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638555
TI - Notes on Physiology and Experimental Pathology.
PMID- 29638556
TI - On a New Form of "Boot" for the Treatment of Talipes Equinus.
PMID- 29638558
TI - Correspondence.
PMID- 29638557
TI - On Suppurating Ovarian Cysts.
PMID- 29638559
TI - Inversion of Bladder.
PMID- 29638561
TI - Tracheotomy in Emergency.
PMID- 29638560
TI - Intravenous Injection of Ammonia.
PMID- 29638562
TI - The Morisonian Lectures, Delivered before the Royal College of Physicians of
Edinburgh: Session 1874.
PMID- 29638563
TI - Ether at Night.
PMID- 29638564
TI - Dr Anger.
PMID- 29638565
TI - Enlargement of the Thyroid Body in Pregnancy.
PMID- 29638566
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638567
TI - Distal Ligature of Carotid and Subclavin Arteries for a Case of Innominate
Aneurism.
PMID- 29638568
TI - Immediate Transfusion.
PMID- 29638569
TI - Cases Illustrative of the Principles of Conservative Surgery.
PMID- 29638570
TI - Notes on "Changed Aloin" and the Resin of Aloes.
PMID- 29638571
TI - Clinical Lectures on Diseases of the Heart.
PMID- 29638572
TI - Haematinuria.
PMID- 29638573
TI - Meetings of Societies.
PMID- 29638574
TI - Contributions to the Determination of the Diminution of the Uterus after
Delivery.
PMID- 29638576
TI - Maggots.
PMID- 29638575
TI - Are the Kidneys Active Eliminators of Ether?
PMID- 29638577
TI - Excision of an Ovarian Cyst from a Child Seven and a Half Years Old.
PMID- 29638578
TI - Lithotomy in the Service.
PMID- 29638579
TI - Medical News.
PMID- 29638580
TI - Valedictory Address.
PMID- 29638581
TI - Demonstration of Patients Wearing Artificial Limbs for Amputations at Different
Levels in the Lower Extremity : With an Explanation and Demonstration of the Type
of Artificial Limb Used in Each Case.
PMID- 29638582
TI - Special Clinical Meeting.
PMID- 29638583
TI - Internal Strangulation of the Small Intestine Caused by Bands, Adhesions, and
Kinks.
PMID- 29638585
TI - Simple Enlargement of the Prostate.
PMID- 29638584
TI - Diagnosis and Prognosis in Kidney Cases by Tests for Renal Function.
PMID- 29638586
TI - The Significance and Treatment of Glycosuria.
PMID- 29638588
TI - Meetings of Societies.
PMID- 29638587
TI - The Prognosis of Croupous Pneumonia in the Older Patient, with a Suggestion as to
a Radical Modification of the Usual Plan of Treatment.
PMID- 29638589
TI - On Two Cases of Diphtheritic Paralysis Simulating Locomotor Ataxia.
PMID- 29638590
TI - On Rapid Pulmonary Congestion, and Pulmonary Apoplexy, as a Cause of Death after
Surgical Operations or Injuries.
PMID- 29638592
TI - On the Treatment of Delirium Tremens by the Hydrate of Chloral.
PMID- 29638591
TI - On the Production of Presentation of the Face.
PMID- 29638593
TI - Extirpation of a Kidney in Man.
PMID- 29638594
TI - Surgery.
PMID- 29638595
TI - Notes of an Epidemic of Typhoid Fever at Donaldson's Hospital.
PMID- 29638597
TI - Medicine.
PMID- 29638596
TI - Medical News.
PMID- 29638600
TI - On a New Splint for the Knee-Joint.
PMID- 29638599
TI - On the Action of the Cobra Poison.
PMID- 29638598
TI - A Peculiar Paralytic Condition of the Lower Extremities Following Gastric Fever.
PMID- 29638601
TI - Notes on the Pathology of Pneumonia and Hepatitis.
PMID- 29638602
TI - Medical News.
PMID- 29638603
TI - Acute Muscular Atrophy.
PMID- 29638604
TI - Chronic Hepatic Fistula-Cure by Injection of Iodine.
PMID- 29638606
TI - Case of Union of a Fractured Bone in a Paralyzed Limb.
PMID- 29638605
TI - Case of Pseudo-Hypertrophic Paralysis (of Duchenne) Occurring in an Adult.
PMID- 29638607
TI - On the So-Called Synclitic Motion of the Foetal Head in the Mechanism of
Parturition.
PMID- 29638609
TI - Case of Tuberculosis of the Kidneys.
PMID- 29638608
TI - On the Causes of Endemic Fever in Lower Bengal, the Influence of Canal Irrigation
in Their Production in Upper India, and the Means of Prevention to Be Employed.
PMID- 29638610
TI - Meetings of Societies.
PMID- 29638611
TI - Cases of Acute Leucocythemia in Connexion with Pregnancy.
PMID- 29638612
TI - On the Action of the Cobra Poison.
PMID- 29638613
TI - On Digitalis in Heart Disease.
PMID- 29638614
TI - Vegetable Parasites.
PMID- 29638615
TI - Red Blood-Corpuscles of Oviparous Vertebrata.
PMID- 29638616
TI - Case of Cerebral Abscess, Consequent on Caries of Temporal Bone.
PMID- 29638617
TI - Medical News.
PMID- 29638618
TI - On the Cause of Intermittent and Remittent Fevers.
PMID- 29638620
TI - Red and White Corpuscles in Blood-Stains.
PMID- 29638619
TI - Chronic Rheumatism and Its Counterfeits.
PMID- 29638621
TI - Elephantiasis Arabum.
PMID- 29638622
TI - Furrows of the Nails after Sickness.
PMID- 29638623
TI - A Few Remarks on District-Physician John Finsen's Contributions to Our Knowledge
of the Echinococci Endemic in Iceland.
PMID- 29638624
TI - A Case of Aphasia.
PMID- 29638625
TI - Removal of an Embedded Uterine Fibroid.
PMID- 29638626
TI - Case of Unclosed Urachus, with Umbilical Fistula.
PMID- 29638627
TI - History of the Itch.
PMID- 29638628
TI - Meetings of Societies.
PMID- 29638629
TI - On the Treatment of Diabetes by Milk, Etc.: Being Clinical Lecture Delivered at
the Edinburgh Royal Infirmary.
PMID- 29638630
TI - Case of Sloughing Hernia.
PMID- 29638633
TI - On the Action of the Cobra Poison.
PMID- 29638632
TI - Surgery.
PMID- 29638631
TI - On Galvano-Puncture of Naevus.
PMID- 29638634
TI - Remarkable Case of Fibro-Nucleated or Cancroid Growth in the Pericardium.
PMID- 29638635
TI - On Fatty Hernia.
PMID- 29638636
TI - Commissions in the British Medical Service.
PMID- 29638637
TI - Medical News.
PMID- 29638638
TI - Meetings of Societies.
PMID- 29638639
TI - Case of Diphtheritic Ophthalmia.
PMID- 29638640
TI - On the Structural Relation between Urea and Uric Acid, Etc.
PMID- 29638641
TI - On Croup.
PMID- 29638642
TI - On the Action of the Cobra Poison.
PMID- 29638644
TI - Practical Suggestions as to Medical Study: Being the Introductory Address
Delivered at the Opening of the Medical School, Edinburgh, for the Session 1869
70.
PMID- 29638643
TI - Medical News.
PMID- 29638645
TI - Meetings of Societies.
PMID- 29638646
TI - On Polypus of the Rectum.
PMID- 29638647
TI - Case of Removal of a Sequestrum from the Centre of the Frontal Bone, after Twenty
Eight Years' Suffering.
PMID- 29638648
TI - On the Condition of the Optic Disk and Retina in Acute Iritis.
PMID- 29638650
TI - Contribution to Vital Statistics.
PMID- 29638649
TI - Notes of Cases of Scarlet Fever.
PMID- 29638651
TI - Periscope.
PMID- 29638652
TI - On Aggregation in the Dublin Lying-In Hospital.
PMID- 29638653
TI - Three Cases of Recovery from Pyaemia, in All of Which the Secondary Complications
Had Been Distinctly Marked; with Remarks on Prognosis and Treatment.
PMID- 29638654
TI - Effects on Antibacterial Activity and Osteoblast Viability of Non-Thermal
Atmospheric Pressure Plasma and Heat Treatments of TiO2 Nanotubes.
AB - The aim of this study was to evaluate the antibacterial activity against
Porphyromonas gingivalis and osteoblast viability of heat and plasma treatment of
TiO2 nanotubes. Specimens were divided into four groups: the Ti (polished
titanium), Nano (TiO2 nanotube), NH 300 (heat treated at 300 degrees C on TiO2
nanotube) and NH 400 (heat treated at 400 degrees C on TiO2 nanotube) groups.
Antibacterial activity and osteoblast viability were evaluated in the four groups
according to plasma treatment. Surface adhesion of Porphyromonas gingivalis was
evaluated by crystal violet assay. Osteoblast viability was examined by XTT
assay. Adhesion of Porphyromonas gingivalis was significantly decreased in the Ti
group, Nano group and NH 300 group after plasma treatment (P < 0.05). Osteoblast
viability was increased in the NH 400 group in comparison to the Ti group before
plasma treatment (P < 0.05). Within the limitations of this study, plasma
treatment was found to reduce the adhesion of P. gingivalis but had no influence
on osteoblast activation.
PMID- 29638655
TI - A Case of Oblique and Direct Inguinal Hernia Occurring on the Same Side of the
Body.
PMID- 29638656
TI - Medical News.
PMID- 29638657
TI - Remarks on Taenia, and Treatment by Ethereal Extract of Male Fern in the
Abyssinian Expedition.
PMID- 29638658
TI - Further Observations on Arrested Twin-Development.
PMID- 29638659
TI - On the Use of Indian Hemp in Chorea.
PMID- 29638660
TI - Our Existing System of Hospitalism and Its Effects.
PMID- 29638661
TI - On OEdema of the Conjunctiva as a Symptom of Surgical Fever.
PMID- 29638662
TI - Notes on Some of the Diseases Prevalent in Victoria, Australia.
PMID- 29638664
TI - Report of the Council of the Royal College of Physicians of Edinburgh on "The
Nomenclature of Diseases," Drawn up by a Joint Committee Appointed by the Royal
College of Physicians of London, and Published This Year by That College, and
Which Is to Be Sent to Every Registered Practitioner in the United Kingdom, at
the Expense of the Government.
PMID- 29638663
TI - Lithotrity, with a New Lever Lithotrite.
PMID- 29638666
TI - Appointments.
PMID- 29638665
TI - On the Inguinal Site of Parametric Phlegmon and Abscess.
PMID- 29638667
TI - Notes from Practice.
PMID- 29638668
TI - Materia Medica and Therapeutics.
PMID- 29638669
TI - Cases of Ovariotomy.
PMID- 29638670
TI - Meetings of Societies.
PMID- 29638671
TI - Case of Aneurism of the Descending Thoracic Aorta, Which Burst into the
Pericardium and Left Bronchus.
PMID- 29638672
TI - Our Existing System of Hospitalism and Its Effects.
PMID- 29638673
TI - Surgery.
PMID- 29638674
TI - On the Action of the Cobra Poison.
PMID- 29638675
TI - Digitalis in Disease of the Heart.
PMID- 29638676
TI - Observations on the Operation for Congenital Cleft Palate, with Cases.
PMID- 29638677
TI - Case of Acute Yellow Atrophy of Liver.
PMID- 29638678
TI - Surgery.
PMID- 29638679
TI - Goodsir and Virchow.
PMID- 29638681
TI - Medical News.
PMID- 29638680
TI - Meetings of Societies.
PMID- 29638683
TI - The Indian Medical Service.
PMID- 29638682
TI - Case of Congenital Diaphragmatic Hernia, with Remarks.
PMID- 29638684
TI - Naval Medical Department.
PMID- 29638685
TI - Medicine and Pathology.
PMID- 29638686
TI - Case of Artificial Anus, Successfully Treated by Dupuytren's Enterotome.
PMID- 29638687
TI - Bronchial Carcinoma.
PMID- 29638689
TI - Diet and Subacute Combined Degeneration of the Spinal Cord.
PMID- 29638688
TI - Nephrosis.
PMID- 29638691
TI - Paget's Disease of the Male Nipple and Areola.
PMID- 29638692
TI - The Protection of the Child from Tuberculosis.
PMID- 29638690
TI - The Food Disorders of Infancy.
PMID- 29638694
TI - Post-Inflammatory Subluxation of the Atlas.
PMID- 29638693
TI - On the Relation between the Hormones of the Anterior Lobe of the Pituitary and
Clinical Syndromes.
PMID- 29638696
TI - Painful Nodule of the Ear.
PMID- 29638695
TI - Spinal Drainage in Alcoholic Deliria and Other Acute Alcoholic Psychoses.
PMID- 29638697
TI - Neurological Notes.
PMID- 29638698
TI - Copper Therapy of Anaemia in Infants.
PMID- 29638699
TI - Ligation of the Palmar Arches.
PMID- 29638700
TI - The Surgical Treatment of the Solitary Hepatoma.
PMID- 29638701
TI - Tumours of the Cauda Equina.
PMID- 29638703
TI - Localised Osteosclerosis.
PMID- 29638702
TI - Vesiculitis.
PMID- 29638704
TI - Hyperthyreoidism: A Statistical Presentation of Its Symptomatology.
PMID- 29638705
TI - Clinical Types of Mental Deficiency in Young Children.
PMID- 29638707
TI - Tumours of the Seminal Vesicles.
PMID- 29638706
TI - A Study of 523 Cases of Obesity.
PMID- 29638708
TI - Recurrence in "Benign" Giant-Cell Tumour.
PMID- 29638709
TI - Spina Bifida Occulta and Enuresis.
PMID- 29638710
TI - A Consideration of the Catarrhal States in Relation to Diet.
PMID- 29638712
TI - Megacolon.
PMID- 29638711
TI - Concussion and Memory.
PMID- 29638714
TI - Types of Bright's Disease.
PMID- 29638713
TI - Some Limitations of the Wassermann Reaction.
PMID- 29638715
TI - Hypervitaminosis-D, with Report of a Fatal Case in a Child.
PMID- 29638717
TI - The Abortive Treatment of Syphilis.
PMID- 29638716
TI - Tumours of the Carotic Glomus.
PMID- 29638718
TI - Spontaneous Fracture Lumbar Transverse Process.
PMID- 29638719
TI - Fibroma of the Mesentery.
PMID- 29638720
TI - Syphilitic Chancre of the Palpebral Conjunctiva.
PMID- 29638721
TI - Sodium Amytal.
PMID- 29638722
TI - Essential Hypertension-Evaluation of Therapeutic Results.
PMID- 29638723
TI - Hirschsprung's Disease. The Report of a Case Treated by Lumbar Ganglionectomy and
Ramisectomy.
PMID- 29638724
TI - The Production of Orthopnoea.
PMID- 29638725
TI - Social Psychiatry. Being the Morison Lectures for 1931 at the Royal College of
Physicians, Edinburgh.
PMID- 29638727
TI - Treatment of Intestinal Tuberculosis.
PMID- 29638726
TI - The Diuretic Effect of Theocalcin in Congestive Heart Failure.
PMID- 29638728
TI - A Further Method of Estimating the Potency of Liver Extract.
PMID- 29638730
TI - Neurological Notes.
PMID- 29638729
TI - Leucocyte Counts in Surgical Prognosis.
PMID- 29638731
TI - Earphone Dermatitis.
PMID- 29638733
TI - Dermatitis Due to Jewellery.
PMID- 29638732
TI - Self-Inflicted Eruption Leading to Amputation of the Fingers.
PMID- 29638734
TI - Cervical Fracture-Dislocations.
PMID- 29638735
TI - The Autonomic Nervous System in Relation to Surgery.
PMID- 29638737
TI - "Idiopathic" Cervical Luxation.
PMID- 29638736
TI - Notes.
PMID- 29638738
TI - Injuries of the Cervical Spine.
PMID- 29638739
TI - Accessory Lateral Thyreoid Glands.
PMID- 29638740
TI - Nodal Fever of Lendon.
PMID- 29638741
TI - Gastric Secretion in Normal Persons.
PMID- 29638742
TI - Otitic Hydrocephalus.
PMID- 29638743
TI - The Boltz (A.A.S.) Test in Cerebrospinal Fluid. A Critical Review.
PMID- 29638744
TI - Medical Education in Scotland.
PMID- 29638745
TI - An Analysis of Bacterial Antigens on the Basis of Chemistry and Function.
PMID- 29638746
TI - Notes.
PMID- 29638747
TI - Unilateral Puberal Mammary Hypertrophy.
PMID- 29638748
TI - The Convalescent State and Its Management at the Astley Ainslie Institution.
PMID- 29638750
TI - Humility in Medicine.
PMID- 29638749
TI - Clinical Meeting.
PMID- 29638752
TI - The Hypochlorhydria of Asthma in Childhood.
PMID- 29638751
TI - Gastric Tissue in the Treatment of Pernicious Anaemia.
PMID- 29638754
TI - Note upon Ergotine, Its Inconveniences and Its Dangers.
PMID- 29638753
TI - Cardiac Output and Oxygen Utilisation in Some Types of Heart Disease.
PMID- 29638755
TI - Occasional Periscope of Dermatology.
PMID- 29638756
TI - Correspondence.
PMID- 29638757
TI - Remarks on the Diagnosis and Treatment of Scabies.
PMID- 29638758
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638760
TI - Modification in the Application of Sayre's Plaster-Jacket.
PMID- 29638759
TI - Three Cases of Parametritis, with Observations on Its Diagnosis and Treatment.
PMID- 29638761
TI - On Diastases in the Bones of the Lower Extremities of the Foetus, Produced by the
Accoucheur.
PMID- 29638763
TI - Meetings of Societies.
PMID- 29638762
TI - Notes of Cases of Erysipelas Occurring during an Epidemic in the Winter 1879-80,
and Treated in the Old Royal Infirmary.
PMID- 29638764
TI - Notes on the Fever Which Attacked the Army of Occupation in Cyprus, 1878 to 1879.
PMID- 29638766
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29638765
TI - A Note on Surgical Statistics.
PMID- 29638767
TI - Periscope of Ophthalmology.
PMID- 29638768
TI - Periscope of Otology.
PMID- 29638769
TI - Physics and Physic.
PMID- 29638770
TI - Address to the Medico-Chirurgical Society of Edinburgh on January 7th.
PMID- 29638771
TI - Periscope of Otology.
PMID- 29638773
TI - Meetings of Societies.
PMID- 29638772
TI - Method of Case-Taking in Gynecology.
PMID- 29638774
TI - On a Case of Molluscum Verrucosum, Presenting Certain Unusual Features.
PMID- 29638775
TI - Epilepsy, Vertigo, and Ear Disease.
PMID- 29638776
TI - Occasional Periscope of Dermatology.
PMID- 29638777
TI - Dr Farr.
PMID- 29638778
TI - The New Edinburgh Infirmary.
PMID- 29638779
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29638780
TI - Correspondence.
PMID- 29638782
TI - On the Treatment of Abortion.
PMID- 29638781
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638783
TI - The Causation of Some Primitive Face Cases.
PMID- 29638784
TI - Periscope of Ophthalmology.
PMID- 29638785
TI - Clinical Observations on the Temperature Changes, Acute Decubitis, Urinary
Disorders, Etc., Following Fracture of the Vertebral Column.
PMID- 29638786
TI - Case of Diphtheria Complicating Pregnancy.
PMID- 29638787
TI - No-Pay Patients.
PMID- 29638788
TI - Basilysis: A Suggestion for Comminuting the Foetal Head in Cases of Obstructed
Labour.
PMID- 29638789
TI - Meetings of Societies.
PMID- 29638790
TI - Periscope of Syphilology.
PMID- 29638791
TI - Ice as a Vehicle of Disease.
PMID- 29638792
TI - Occasional Periscope of Dermatology.
PMID- 29638793
TI - On the Position and Distention of the Female Bladder.
PMID- 29638794
TI - General Exfoliative Dermatitis.
PMID- 29638795
TI - On Delirium Potatorum Traumaticum.
PMID- 29638796
TI - Absorbing Powers of Granulating Substances.
PMID- 29638797
TI - Disturbances of Vision Produced by Poisoning by Unsound Meat.
PMID- 29638799
TI - List of Successful Candidates for British and Indian Medical Service.
PMID- 29638798
TI - University of Edinburgh.
PMID- 29638800
TI - On Croup: Its Nature and Treatment.
PMID- 29638801
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638803
TI - Grateful Patient.
PMID- 29638802
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29638804
TI - Medical Pauperism.
PMID- 29638805
TI - Report of a Case of Metro-Perimetritis Ending in Abscess of the Uterus.
PMID- 29638806
TI - Occasional Periscope of Dermatology.
PMID- 29638807
TI - A Case of Bilharzia Haematobia.
PMID- 29638809
TI - Berlin International Fishery Exhibition, 1880.
PMID- 29638808
TI - Case of Pleuro-Pneumonia.
PMID- 29638810
TI - Address Delivered at the Opening of the Winter Session of the Edinburgh Medical
School, October 28th, 1879.
PMID- 29638811
TI - Observations on Some Points in Dextral Valvular Disease of the Heart, Being a
Graduation Thesis.
PMID- 29638812
TI - The Protection Bed and Its Uses.
PMID- 29638813
TI - Royal College of Surgeons, Edinburgh.
PMID- 29638814
TI - Periscope of Otology.
PMID- 29638816
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638815
TI - Elephantiasis Arabum.
PMID- 29638817
TI - Meetings of Societies.
PMID- 29638819
TI - List of Candidates for H.M. British Medical Service.
PMID- 29638818
TI - Valedictory Address, Delivered before the Obstetrical Society of Edinburgh,
November 12th, 1879.
PMID- 29638820
TI - Personal Reminiscences of the International Medical Congress at Amsterdam.
PMID- 29638823
TI - Periscope of Ophthalmology.
PMID- 29638822
TI - University of Edinburgh.
PMID- 29638821
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29638826
TI - Meetings of Societies.
PMID- 29638825
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29638824
TI - Correspondence.
PMID- 29638828
TI - Case of Resuscitation of New-Born Infant.
PMID- 29638827
TI - Report of the Edinburgh Royal Maternity and Simpson Memorial Hospital for the
Quarter Ending 31st January 1880.
PMID- 29638829
TI - Edinburgh Royal Maternity and Simpson Memorial Hospital.
PMID- 29638830
TI - Correspondence.
PMID- 29638831
TI - Correspondence.
PMID- 29638832
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638833
TI - Periscope of Ophthalmology.
PMID- 29638834
TI - Notes of a Case of Death during the Administration of Chloroform; with Remarks as
to the Possible and Probable Causes of Death.
PMID- 29638835
TI - Nurses and Doctors.
PMID- 29638837
TI - Minto House Medical School.
PMID- 29638836
TI - Animal Vaccination.
PMID- 29638838
TI - Periscope of Otology.
PMID- 29638839
TI - On a Labour Obstructed from an Unusual Cause.
PMID- 29638840
TI - Jugular Reflux and Tricuspid Regurgitation.
PMID- 29638841
TI - On the Rate of Cooling of the Human Body after Death.
PMID- 29638843
TI - On Intrauterine Puerperal Coagula.
PMID- 29638842
TI - Quarterly Report of Royal Maternity and Simpson Memorial Hospital.
PMID- 29638844
TI - Vaccination Notes and Recollections.
PMID- 29638845
TI - Laryngismus Stridulus, or Tetany.
PMID- 29638846
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29638847
TI - The Protection Bed and Its Uses.
PMID- 29638848
TI - Observations on Some Points in Dextral Valvular Disease of the Heart, Being a
Graduation Thesis.
PMID- 29638850
TI - A Doctor's Use of the Carrier Pigeon.
PMID- 29638849
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638851
TI - Elephantiasis Arabum.
PMID- 29638853
TI - Case of Pleuro-Pneumonia.
PMID- 29638852
TI - On Medical Education in Spain.
PMID- 29638854
TI - Meetings of Societies.
PMID- 29638855
TI - Periscope of Ophthalmology.
PMID- 29638856
TI - Periscope of Otology.
PMID- 29638857
TI - On Local Hygienic Influences.
PMID- 29638858
TI - Claws of Felidae.
PMID- 29638859
TI - Extracts from Harveian Address of 1874.
PMID- 29638860
TI - Royal Edinburgh Asylum Papers. Hemiplegia in Relation to Insanity.
PMID- 29638861
TI - On the Occasional Arrestive and Discutient Influence of Pregnancy over Pelvi
Abdominal Tumours.
PMID- 29638862
TI - Comparative Anatomy of the Arterial Cerebral Circulation in Animals and the Human
Subject, as Bearing on Parturient Apoplexy and Convulsions.
PMID- 29638863
TI - Meetings of Societies.
PMID- 29638864
TI - On a Simple Apparatus for the Estimation of Urea by the Nitrogen Process.
PMID- 29638866
TI - An Obstetric History : A Vesico-Vaginal Fistula, with Subsequent Conceptions.
PMID- 29638865
TI - Treatment of Exostosis by Subcutaneous Fracture.
PMID- 29638867
TI - Dr Taylor's Paper.
PMID- 29638868
TI - Medical News.
PMID- 29638869
TI - Medical News.
PMID- 29638871
TI - The Relation of the Cranial Contents to the Pressure of the Atmosphere.
PMID- 29638870
TI - Effects of Improved Sanitary Arrangements on the Mortality of the Town of Leven.
PMID- 29638872
TI - An Unnatural Position of the Head a Cause of Death from Chloroform and Other
Anaesthetics.
PMID- 29638873
TI - Treatment of Burns.
PMID- 29638874
TI - Case of Poisoning by the Inhalation of Coal-Gas.
PMID- 29638875
TI - Case of Fibroid of the Uterus, Complicating Pregnancy, and Proving Fatal by
Torsion of the Pedicle.
PMID- 29638876
TI - Case of Cystic Tumour Attached to Kidney Simulating Ovarian Disease; Extirpation
of Kidney; Recovery.
PMID- 29638877
TI - On the Influence of Anaemia on the Nutrition of the Muscles of the Heart.
PMID- 29638878
TI - Notes on Congenital Absence of the Kidney.
PMID- 29638879
TI - Syphilitic Multiple Herpetiform Chancre.
PMID- 29638880
TI - Esmarch's "Bloodless Method" Employed for the Excision of a Scrotal Tumour.
PMID- 29638881
TI - A Case of Pregnancy in a Rudimentary Horn of the Uterus; Death by Haemorrhage;
Transmigratio Seminis Extrauterina.
PMID- 29638882
TI - The Fungus of Alopecia Areata.
PMID- 29638883
TI - Oxygen for Aeronauts.
PMID- 29638884
TI - Jaborandi.
PMID- 29638885
TI - Medical News.
PMID- 29638886
TI - Note of a Case of Recurrent Peculiar Transverse Presentation, Apparently Due to
Malformation of the Uterus.
PMID- 29638887
TI - On Section of the Buccal Nerve from the Mouth.
PMID- 29638888
TI - Case of Absorption of an Exostosis.
PMID- 29638889
TI - Physiological Papers: Comparative Observations on the Physiological Action of
Chloral and Bromal Hydrates, and Iodoform.
PMID- 29638890
TI - Meetings of Societies.
PMID- 29638891
TI - On the Surgical Use of Gastric Juice in the Treatment of Cancerous Tumours.
PMID- 29638892
TI - Triple Amputation.
PMID- 29638893
TI - Royal Edinburgh Asylum Papers. On Two Cases of Infantile Paralysis, Followed by
Epilepsy and Insanity.
PMID- 29638894
TI - On the Non-Existence of Pressure on, or Compression of, the Brain.
PMID- 29638895
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29638896
TI - Case of Mechanical Obstruction to the Flow of Urine for Eleven Days.
PMID- 29638897
TI - Observations upon the Nature and Treatment of Difficult Occipito-Posterior
Positions of the Head, Founded upon an Analysis of Twenty-Six Operative Cases.
PMID- 29638898
TI - Epidemic of Dengue in the Island of Mauritius, in 1873.
PMID- 29638899
TI - Information Regarding Medical Education and Examinations.
PMID- 29638900
TI - Indian Medical Service.
PMID- 29638901
TI - Army Medical Department.
PMID- 29638902
TI - Army Medical School.
PMID- 29638903
TI - Medical News.
PMID- 29638904
TI - Chloral for Anatomical Injections.
PMID- 29638905
TI - Fournier on Syphilitic Gummata.
PMID- 29638906
TI - Note of a Case of Temporary Loss of Voluntary Power, Produced by a Touch on the
Head.
PMID- 29638908
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh, during the Year 1873-74.
PMID- 29638907
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638909
TI - Coccobacteria Septica.
PMID- 29638910
TI - Navy Medical Department.
PMID- 29638911
TI - Royal Edinburgh Asylum Papers: Clinical Notes (1.) On a Case of Microcephaly;
(2.) On a Case of General Paralysis of the Insane of Unusually Long Duration.
PMID- 29638912
TI - Limitation of Examinations.
PMID- 29638913
TI - Address to the Edinburgh Medical Graduates.
PMID- 29638914
TI - Dislocation Forwards of the Styloid End of the Ulna.
PMID- 29638916
TI - Medical News.
PMID- 29638915
TI - Correspondence.
PMID- 29638917
TI - The Causes of Death among the Assured of the Scottish Widows' Fund Life Assurance
Society, from 1867 to 1873 Inclusive.
PMID- 29638920
TI - Gastrotomy.
PMID- 29638919
TI - The Treatment of Intestinal Obstruction.
PMID- 29638918
TI - University Graduation.
PMID- 29638923
TI - The Morisonian Lectures, Delivered before the Royal College of Physicians of
Edinburgh: Session 1874.
PMID- 29638922
TI - A Case of Strangulated Hernia Successfully Treated by Pneumatic Aspiration.
PMID- 29638921
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638925
TI - On the Digestibility of the Gelatine-Yielding Tissues.
PMID- 29638924
TI - Royal Edinburgh Asylum Papers: Mania a Potu.
PMID- 29638926
TI - To the Knowledge of the Minute Pathology of Abdominal Typhus.
PMID- 29638928
TI - Meetings of Societies.
PMID- 29638927
TI - Erratum in the November Number of the Journal.
AB - [This corrects the article on p. 414 in vol. 20.].
PMID- 29638929
TI - Medical News.
PMID- 29638931
TI - A New Pneumatic Aural Speculum.
PMID- 29638930
TI - The Mechanism of Hearing.
PMID- 29638932
TI - Lawrie on the Temperature in Health.
PMID- 29638933
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638934
TI - Upon the Medicinal Properties of Silica in Cancer, Fibroid Tumours, and Diabetes.
PMID- 29638935
TI - On the Physical Exploration of the Lungs.
PMID- 29638936
TI - Exostoses of the External Auditory Meatus.
PMID- 29638937
TI - Notes on the Case of a Woman Who Swallowed a Pint of Paraffin-Oil.
PMID- 29638938
TI - Royal Edinburgh Asylum Papers: Case of Determined Suicidal Melancholia.
PMID- 29638939
TI - Atrophy of the Ossicula of the Ear as Well as of the Membrana Tympani.
PMID- 29638940
TI - On the Pecuniary Value of the Emoluments and Pensions of Army Medical Officers.
PMID- 29638941
TI - Medical News.
PMID- 29638942
TI - The Morisonian Lectures, Delivered before the Royal College of Physicians of
Edinburgh: Session 1874.
PMID- 29638943
TI - A New Operation for Aneurism.
PMID- 29638944
TI - A Case of Direct Arterial Blood-Transfusion from Animals.
PMID- 29638945
TI - Note on the Carbolic Acid Mode of Dressing Wounds (Lister's Process), and on the
Development of Vibrios in the Wounds.
PMID- 29638946
TI - Case of Sciatica Depending on Pressure by an Intrapelvic Tumour.
PMID- 29638947
TI - The Resistance of the Vascular Walls in a Normal Condition and during
Inflammation.
PMID- 29638948
TI - Correspondence.
PMID- 29638949
TI - On Two Cases of Muscular Atrophy Observed in the Course of General Paralysis.
PMID- 29638950
TI - On the Different Action of Coffein on the Rana Temporaria and the Rana Esculenta.
PMID- 29638951
TI - Two Victims of Hydrophobia.
PMID- 29638952
TI - Fracture of the Styloid Process of the Ulna.
PMID- 29638953
TI - Excision of Scapula: Contribution to the History of Complete Removal of Scapula,
with Preservation of the Arm. Operation Followed by Success, Lasting Five Years
and Four Months.
PMID- 29638954
TI - Correspondence.
PMID- 29638955
TI - Circumcision.
PMID- 29638957
TI - Spontaneous Fractures in Patients Suffering from Ataxia.
PMID- 29638956
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29638958
TI - Researches on Bone-Absorption and Giant Cells.
PMID- 29638960
TI - Ueber Cysten an der hinteren Blasenwand bei Mannern.
PMID- 29638959
TI - Case of Preputial Calculus.
PMID- 29638961
TI - Asiatic Cholera.
PMID- 29638962
TI - Clinical Lectures on Diseases of the Heart.
PMID- 29638963
TI - On the Minute Anatomy of Muscle and Tendon, and Some Notes Regarding the
Structure of the Cornea.
PMID- 29638964
TI - Notes of a Case of Chylous Urine.
PMID- 29638966
TI - Meetings of Societies.
PMID- 29638965
TI - Case of Sudden Death from Angina Pectoris, with Remarks.
PMID- 29638967
TI - Tracheotomy for Foreign Body.
PMID- 29638969
TI - Medical News.
PMID- 29638968
TI - Notes of Some Recent Surgical Cases Bearing on Legal Medicine.
PMID- 29638971
TI - Aberrant Familial Diphtheria.
PMID- 29638970
TI - Hermaphroditismus Verus Lateralis.
PMID- 29638972
TI - Psychiatry and Race Betterment.
PMID- 29638973
TI - Hypoglycaemia.
PMID- 29638974
TI - Constancy of the Day-To-Day Leucocyte Blood Count.
PMID- 29638975
TI - The Problem of Stuttering: The Present Position.
PMID- 29638976
TI - Ganglionectomy and Sciatica.
PMID- 29638978
TI - The Diagnostic and Therapeutic Use of Tuberculin in Certain Ocular Conditions.
PMID- 29638977
TI - Studies on Prenatal Lesions of Striated Muscle as a Cause of Congenital
Deformity: I.-Congenital Tibial Kyphosis. II.-Congenital High Shoulder. III.
Myodystrophia Foetalis Deformans.
PMID- 29638979
TI - Notes on a Series of Thirty-Seven Cases of Chronic B. coli Cystitis.
PMID- 29638981
TI - Notes.
PMID- 29638980
TI - Ovarian Autografting.
PMID- 29638982
TI - The Alkali Reserve and Fat-Content of the Blood.
PMID- 29638983
TI - OEsophageal Reconstruction.
PMID- 29638984
TI - Myoma of the Rectum.
PMID- 29638985
TI - The Tuberculous Nature of Phlyctenular Keratoconjunctivitis.
PMID- 29638986
TI - Pigmentation of Pregnancy.
PMID- 29638987
TI - The Treatment of Pulmonary Tuberculosis by Artificial Pneumothorax and Other
Methods of Collapse.
PMID- 29638988
TI - Simultaneous Medial and Lateral Dislocation of the Clavicle.
PMID- 29638989
TI - The Treatment of Acute Rheumatism by Streptococcus Antitoxin.
PMID- 29638990
TI - Acute Appendicitis: A Statistical Survey of 8000 Cases.
PMID- 29638991
TI - Operation in Metastatic Cerebral Carcinoma.
PMID- 29638992
TI - Transplantation of Heterogenous Bone in Hypocalcaemia.
PMID- 29638993
TI - Some Problems of Dermatitis.
PMID- 29638994
TI - Resorbable Metal Nails in Fractures.
PMID- 29638995
TI - Syphilis among the Buriats.
PMID- 29638996
TI - Diabetes Mellitus and Pregnancy.
PMID- 29638997
TI - On the Mechanism of Sodium Depletion in Addison's Disease.
PMID- 29638998
TI - Evipan Anaesthesia.
PMID- 29638999
TI - Type II Auriculo-Ventricular Block: And the Role of Digitalis in the AEtiology of
Auriculo-Ventricular Block.
PMID- 29639000
TI - Appendicular Varicocele.
PMID- 29639001
TI - Malakoplakia of the Urinary Bladder: Report of a Case.
PMID- 29639002
TI - Diet of Tuberculous and Non-Tuberculous Children.
PMID- 29639004
TI - Aortic Aneurysm Simulating Thrombophlebitis.
PMID- 29639003
TI - Clinical Meeting.
PMID- 29639005
TI - Peripheral Neuritis. The Morrison Lectures, 1932, Delivered before the Royal
College of Physicians of Edinburgh, May 1932.
PMID- 29639006
TI - Some Medical Heroes of the 17th, 18th, and 19th Centuries.
PMID- 29639007
TI - The Diagnosis and Treatment of Neurosyphilis.
PMID- 29639008
TI - Notes.
PMID- 29639009
TI - Continuous Intravenous Infusion.
PMID- 29639010
TI - Hernia of the Ligamentum Teres Hepatis.
PMID- 29639011
TI - Pregnancy and Diabetes.
PMID- 29639012
TI - Medical Education in Scotland.
PMID- 29639013
TI - The Association of Adams-Stokes Attacks with Cheyne-Stokes Respiration: With a
Case Report.
PMID- 29639014
TI - The After-Effects of Head Injury.
PMID- 29639015
TI - Amoebiasis and the Autonomic Nervous System.
PMID- 29639016
TI - Notes.
PMID- 29639018
TI - The Classification and Treatment of Anaemia, with Special Reference to the
Nutritional Factor.
PMID- 29639017
TI - Sweat Gland Carcinoma of the Breast: A Morpho-Histological Study.
PMID- 29639019
TI - Occupational Dermatitis Due to Arsenic.
PMID- 29639021
TI - Gastro-Intestinal Allergy.
PMID- 29639020
TI - Studies on Blood and Tissue Reactions: IV. The Peripheral Blood Picture is the
Image of the Focal Lesion.
PMID- 29639022
TI - A Fatal Case of Lead Poisoning Due to a Hair Dye.
PMID- 29639023
TI - Spondylitis and Innervation.
PMID- 29639024
TI - The Medical History of Sir Walter Scott.
PMID- 29639026
TI - Forced Water Drinking in Epileptic Children.
PMID- 29639025
TI - The Classification and Treatment of Anaemia, with Special Reference to the
Nutritional Factor.
PMID- 29639027
TI - Spontaneous Cure of Arterio-Venous Aneurysm.
PMID- 29639028
TI - Sir Walter Scott and Res Medica.
PMID- 29639029
TI - The Cephalic Features of Sir Walter Scott.
PMID- 29639031
TI - Notes.
PMID- 29639030
TI - Toxic Goitre: A Survey of Cases Treated during Ten Years.
PMID- 29639032
TI - Haemorrhagic Bright's Disease.
PMID- 29639034
TI - Persistent Flexion of the Thumb.
PMID- 29639033
TI - Coeliac Disease in the Adult.
PMID- 29639035
TI - Bilateral Carcinoma Mammae.
PMID- 29639036
TI - Obesity Osteoporosis.
PMID- 29639037
TI - Splenomegalic Arthropathy.
PMID- 29639038
TI - Suppression of Urine and Deficiency of Renal Secretion.
PMID- 29639039
TI - Bone Transplantation in Spinal Deformity.
PMID- 29639040
TI - Intramammary Angeioma.
PMID- 29639042
TI - Maxillary Hyperostosis.
PMID- 29639041
TI - Congenital Air-Cysts of the Lung.
PMID- 29639043
TI - A Histological Study of the Normal Mamma in Relation to Tumour Growth. I.-Early
Development to Maturity.
PMID- 29639044
TI - Fracture of the Femur: A Statistical Analysis of 285 Cases.
PMID- 29639045
TI - Recurrent Bleeding from the Eyes.
PMID- 29639047
TI - Enostoses.
PMID- 29639046
TI - Non-Specific Protein Therapy in Rheumatic Conditions.
PMID- 29639048
TI - Ligation of the Right and Left Gastric Arteries in Carcinoma and Ulcer of the
Stomach.
PMID- 29639049
TI - Passage of a Needle through the Heart.
PMID- 29639051
TI - Dermoid Cysts of the Anterior Abdominal Wall.
PMID- 29639050
TI - Notes.
PMID- 29639052
TI - Peripheral Neuritis. The Morrison Lectures, 1932, Delivered before the Royal
College of Physicians of Edinburgh, May 1932.
PMID- 29639053
TI - Clinical Meeting.
PMID- 29639055
TI - Hereditary Familial Telangiectasia.
PMID- 29639054
TI - Peripheral Neuritis. The Morison Lectures, 1932, Delivered before the Royal
College of Physicians of Edinburgh, May 1932.
PMID- 29639056
TI - Notes.
PMID- 29639057
TI - The Report of an Investigation into the Process of the Healing of Fractured
Bones, with Some Clinical Applications.
PMID- 29639058
TI - Malignant Disease of the Breast. A Statistical Survey of 1000 Case Records.
PMID- 29639059
TI - Lipoidproteinosis.
PMID- 29639060
TI - Septic Maxillary Periostitis in Infants.
PMID- 29639061
TI - Cheloid and Acne Cheloid.
PMID- 29639062
TI - Traumatic Fat Embolism.
PMID- 29639063
TI - A Study of Peptic Ulcer.
PMID- 29639065
TI - Blindness from Haemorrhage.
PMID- 29639064
TI - Medical Education in Scotland.
PMID- 29639066
TI - The National Consumption of Carbohydrates in Relation to Disease.
PMID- 29639068
TI - Notes.
PMID- 29639067
TI - The Human Heart in Anaesthesia. An Electrocardiographic Study.
PMID- 29639069
TI - Post-Traumatic Stretching of Ligaments.
PMID- 29639071
TI - Stenosing Tendovaginitis.
PMID- 29639070
TI - Cinematograph Demonstration.
PMID- 29639072
TI - Medical Notes about Shakespere and His Times: Being the Harveian Address to the
Edinburgh Society, on April 12, 1875.
PMID- 29639073
TI - The Temperature of the Uterus.
PMID- 29639074
TI - Ovariotomy beyond the Indus.
PMID- 29639075
TI - Meetings of Societies.
PMID- 29639076
TI - Attack of Hysteria in a Man, Treated and Cured by Compression of the Testicles.
PMID- 29639077
TI - Experimental Investigations into the Growth of Long Bones in Pathological
Conditions.
PMID- 29639079
TI - On the Influence of Chloral Hydrate on the Excitability of the Nervous System to
Stricker's Jahrbucher.
PMID- 29639078
TI - Notes on "Changed Aloin" and the Resin of Aloes.
PMID- 29639080
TI - Gesellschaft der Aerzte.
PMID- 29639081
TI - Cases of Fatal Haemorrhage after Childbirth.
PMID- 29639082
TI - Report of and Observations upon a Case of Puerperal Tetanus.
PMID- 29639083
TI - Correspondence.
PMID- 29639084
TI - Method of Increasing the Power of the Operator in Cases of Delivery by Forceps.
PMID- 29639085
TI - Four Cases of Infection from Vaccine Lymph, and Fatal Termination.
PMID- 29639086
TI - Wound of the Liver.
PMID- 29639088
TI - Midwives and Puerperal Fever.
PMID- 29639087
TI - Glimpses at the Health Resorts of the Bay of Naples in Ancient and Modern Times.
PMID- 29639089
TI - On the Treatment of Certain Cases of Stricture of the Urethra by a Combination of
Internal and External Division.
PMID- 29639091
TI - The Aleppo Evil or Button.
PMID- 29639090
TI - Note on Intrauterine Craniometry.
PMID- 29639092
TI - Periscope.
PMID- 29639093
TI - A Case of Intrauterine Fibrous Polypus.
PMID- 29639094
TI - La Transfusione del Sangue negli alienati.
PMID- 29639095
TI - On the Means of Ascertaining the Length of Gestation by Measurements of the
Foetus and Gravid Uterus during the Second Period of Pregnancy.
PMID- 29639096
TI - Notes and Observations on a Case of Poisoning by Aconite.
PMID- 29639098
TI - Ascites: Recovery after Paracentesis Had Been Performed One Hundred and Thirty
Three Times.
PMID- 29639097
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639099
TI - Iodide of Potassium in Aneurism.
PMID- 29639100
TI - Researches into the Mode of Action of the Emetics Most Commonly Used.
PMID- 29639101
TI - Bloodless Surgery.
PMID- 29639102
TI - Meetings of Societies.
PMID- 29639103
TI - The Temperature of the External Ear in Physiological and in Pathological
Conditions.
PMID- 29639104
TI - On the Risks Run by Obstetricans.
PMID- 29639105
TI - MM. Budin and Coyne.
PMID- 29639106
TI - The Morisonian Lectures, Delivered before the Royal College of Physicians of
Edinburgh: Session 1874.
PMID- 29639107
TI - Case of Poisoning by Strychnia, Treated by Chloral Hydrate, and Followed by
Recovery.
PMID- 29639108
TI - Notes on the Grouse Disease.
PMID- 29639110
TI - Fournier on Syphilitic Gummata.
PMID- 29639109
TI - On Prolapsus and Retroversion as Alternating Misplacements of the Gravid Uterus.
PMID- 29639113
TI - The Morisonian Lectures, Delivered before the Royal College of Physicians of
Edinburgh: Session 1874.
PMID- 29639111
TI - Medical News.
PMID- 29639112
TI - On Local Hygienic Influences.
PMID- 29639115
TI - Medical News.
PMID- 29639114
TI - Antiseptic Incisions and Aid to Surgical Diagnosis.
PMID- 29639116
TI - Change of Wet-Nurses.
PMID- 29639118
TI - To Correspondents.
PMID- 29639117
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639119
TI - Meetings of Societies.
PMID- 29639121
TI - Dr Dougall's Treatment of Leprosy with Gurjun-Oil.
PMID- 29639120
TI - Edinburgh Asylum Papers: Cases Illustrating the Effect of Peripheral Irritations
in Epilepsy.
PMID- 29639123
TI - Fournier on Syphilitic Gummata.
PMID- 29639122
TI - On the Influence of Mercurial Preparations on the Richness of the Blood in Red
and White Globules.
PMID- 29639124
TI - Suppression of Urine.
PMID- 29639125
TI - Inaugural Address to the Royal Medical Society of Edinburgh at the Opening of the
137th Session.
PMID- 29639126
TI - Notes of a Case of Abscess of the Liver.
PMID- 29639127
TI - Correspondence.
PMID- 29639128
TI - The Morisonian Lectures, Delivered before the Royal College of Physicians of
Edinburgh: Session 1874.
PMID- 29639129
TI - On Two Cases of Anchylosis of the Knee-Joint Treated bg Osteotomy.
PMID- 29639130
TI - On the Treatment of Secondary Syphilis.
PMID- 29639131
TI - Cases in Medical Jurisprudence.
PMID- 29639132
TI - The Death-Rate in Glasgow-The Debate at the Police Board.
PMID- 29639133
TI - Glimpses at the Health Resorts of the Bay of Naples in Ancient and Modern Times.
PMID- 29639134
TI - The Result of a Series of Experiments.
PMID- 29639135
TI - Case of Salivary Calculus in the Parotid Duct.
PMID- 29639137
TI - Meetings of Societies.
PMID- 29639136
TI - Chloral Hydrate in the Treatment of the So-Called Dry Chronic Catarrh of the
Middle Ear.
PMID- 29639138
TI - On a Case of Necrosis of the Jaws from Phosphorus.
PMID- 29639139
TI - Case of Suppurative Pericarditis.
PMID- 29639141
TI - Abortive Treatment of Gonorrhaea.
PMID- 29639140
TI - Correspondence.
PMID- 29639143
TI - In Variola.
PMID- 29639142
TI - Chemical Vapours, Fog, and the Death-Rate.
PMID- 29639145
TI - Medical News.
PMID- 29639144
TI - M. Malassez.
PMID- 29639146
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639147
TI - Case of Hydrocystis Capitis, Occurring in a Child after Birth.
PMID- 29639148
TI - M. Malassez.
PMID- 29639149
TI - Description of a Diprosopus Triophthalmus Monster.
PMID- 29639151
TI - Meetings of Societies.
PMID- 29639150
TI - Eucalyptus Globulus.
PMID- 29639152
TI - On Prickle Cells in the Capsule of a Fibrous Polypus of the Uterus.
PMID- 29639153
TI - Reply to above.
PMID- 29639154
TI - Clinical Lectures on Diseases of the Heart.
PMID- 29639155
TI - In Vitro Study on the Plasma-Polymerization of Propionaldehyde and Allylglycidyl
Ether for Immobilization of Recombinant Human Bone Morphogenetic Protein-2.
AB - In this study, recombinant human bone morphogenetic protein-2 (rhBMP-2) was
directly immobilized on the plasma-polymerized propionaldehyde (PA) and
allylglycidyl ether (AGE) surface through the imine bonding and epoxy-amine
bonding, respectively. Aldehyde and epoxide plasma-polymerization were carried
out at plasma power 60 W for 10 min and monomers were used to PA and AGE. After
the plasma-polymerization and rhBMP-2 immobilization, substrate surfaces were
characterized by contact angle, field emission scanning electron microscopy, and
attenuated total reflectance Fourier transform infrared. In addition, the
biological activities of MC3T3-E1 cells were evaluated by initial adhesion and
alkaline phosphate (ALP) activity. The rhBMP-2 immobilized PA and AGE surfaces
promoted significantly higher ALP activity of MC3T3-E1 cells than pristine
surface.
PMID- 29639157
TI - The Treatment of Tetanus.
PMID- 29639156
TI - Vital Staining Methods in the Diagnosis, Prognosis and Treatment of Medical and
Surgical Conditions.
PMID- 29639158
TI - Fractures of the Lumbar Transverse Processes.
PMID- 29639160
TI - Latent Hypernephroma.
PMID- 29639159
TI - The Increase in the National Cancer Death-Rate and Its Causation.
PMID- 29639161
TI - Diplopia without Extra-Ocular Palsies, Caused by Heteronymous Defects in the
Visual Fields Associated with Defective Macular Vision.
PMID- 29639163
TI - Diverticulum Ilei (Meckel) within the Layers of the Mesentery.
PMID- 29639162
TI - Macroglossia.
PMID- 29639164
TI - Post-Operative Motor Paralysis of the Femoral Nerve.
PMID- 29639165
TI - Subacute Combined Degeneration of the Cord: Symptomatology and Effects of Liver
Therapy.
PMID- 29639166
TI - A Classification of Bright's Disease.
PMID- 29639167
TI - Sternotomy in Mediastinal Tumours.
PMID- 29639168
TI - Cystadenoma of the Liver.
PMID- 29639169
TI - Secondary Carcinoma of the Lung with Inconspicuous Primary in the Gall-Bladder.
PMID- 29639170
TI - Simple Ulcer Small Intestine.
PMID- 29639171
TI - Classification of Tumours.
PMID- 29639172
TI - Phrenicectomy.
PMID- 29639173
TI - Local Lipodystrophy Following Insulin Injections.
PMID- 29639174
TI - Connective Tissue Tumour of Blood Vessel Sheath.
PMID- 29639176
TI - Thanatophoric Fish.
PMID- 29639175
TI - Prolonged Retention of a Vaginal Pessary.
PMID- 29639177
TI - Adult Ringworm of the Scalp.
PMID- 29639178
TI - Urachal Fistulae.
PMID- 29639179
TI - The Clinical Features of Myocardial Infarction.
PMID- 29639180
TI - Imperforate Vagina-Urethral Coitus.
PMID- 29639181
TI - Bullet Embedded in the Diaphragm.
PMID- 29639182
TI - A Case of Purpura Annularis Telangiectodes.
PMID- 29639184
TI - Tuberculous Cranial Osteitis.
PMID- 29639183
TI - Nephrectomy in the Kyphotic.
PMID- 29639185
TI - Iliac Embolectomy.
PMID- 29639186
TI - A Case of Microcephaly Following Embryonic Roentgen Irradiation.
PMID- 29639187
TI - Experimental Diabetes Insipidus.
PMID- 29639189
TI - Notes.
PMID- 29639188
TI - Case of Acute Pneumonic Phthisis Becoming Chronic, Characterised by Complete
Absence of Cough in the Acute Stage.
PMID- 29639190
TI - Post-Vaccination Encephalitis.
PMID- 29639191
TI - Uroselectan: Excretion Urography.
PMID- 29639192
TI - Hydrophobia.
PMID- 29639194
TI - Spinal Symptoms in Chloroma and Leukaemia.
PMID- 29639193
TI - Post-Operative Pulmonary Collapse.
PMID- 29639195
TI - Acetylcholine in Arterial Spasm.
PMID- 29639196
TI - The Cutireaction Test for Hydatid Cysts.
PMID- 29639197
TI - Tuberculosis in Children.
PMID- 29639198
TI - The Nirvanol Treatment of Chorea.
PMID- 29639199
TI - Sarcoma and Radiotherapy.
PMID- 29639200
TI - Notes.
PMID- 29639201
TI - The Analogy of Black Colostrum to Melanhidrosis, with Some Remarks on Coloured
Milk and Coloured Sweat.
PMID- 29639202
TI - Melanogenesis: A Review.
PMID- 29639204
TI - An Experience with Avertin Anaesthesia in Gynaecology.
PMID- 29639203
TI - On the Nature and Structure of the Suprarenal Cortex.
PMID- 29639206
TI - Osteocartilaginous Bodies in Tendon Sheaths.
PMID- 29639205
TI - Cardiac Output in Hyperthyreoidism.
PMID- 29639207
TI - The Corpus Callosum and Its Tumours.
PMID- 29639208
TI - Preliminary Note on Blood Pressure during Avertin Anaesthesia.
PMID- 29639209
TI - Tumours of the Pineal, Corpora Quadrigemina and Third Ventricle: The Inter
Relationship of Their Syndromes and Their Surgical Treatment.
PMID- 29639211
TI - Medical News.
PMID- 29639210
TI - Medical Education in Scotland.
PMID- 29639212
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639214
TI - Tracheotomy by the Galvanic Cautery.
PMID- 29639213
TI - Meetings of Societies.
PMID- 29639215
TI - On an Epidemic of Diphtheria Observed at Naples in 1871, with an Attempt to
Determine the Common Origin of Cerebro-Spinal Meningitis, Typhoid Fever, and
Diphtheria.
PMID- 29639216
TI - Cases of Poisoning by Strychnia.
PMID- 29639217
TI - On a Substitute for Animal Food, in Convalescence, in Certain Disorders of the
Stomach, and for Poor People.
PMID- 29639218
TI - On the Feeding of the Insane.
PMID- 29639220
TI - Intermuscular Injections of Muriate of Morphia in Tetanus.
PMID- 29639219
TI - Asthenic Insanity.
PMID- 29639221
TI - The Swelled Leg of Fevers.
PMID- 29639222
TI - Medical News.
PMID- 29639223
TI - The Anti-Malarial and Other Therapeutic Properties of Eucalyptus Globulus.
PMID- 29639224
TI - Successful Case of Amputation at the Hip-Joint for Cancerous Tumour of Femur.
PMID- 29639225
TI - Case of Puerperal Convulsions.
PMID- 29639226
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639227
TI - Meetings of Societies.
PMID- 29639228
TI - Dissection of Malformed Foetus Having Deficiency of Anterior Abdominal Wall.
PMID- 29639229
TI - Medical News.
PMID- 29639230
TI - Dystocia: The Exact Diagnosis of the Placental Position in Placenta Praevia by
Vaginal Stethoscopy, and a Direct Mode of Haemostatic Treatment.
PMID- 29639232
TI - Epilepsy Treated by Bromide of Potassium.
PMID- 29639231
TI - Hypochondriasis: Its Nature and Treatment.
PMID- 29639234
TI - Amputation after Injury, in the Country.
PMID- 29639233
TI - Treatment of Retention of Urine.
PMID- 29639235
TI - Notes of a Case of Persistent Priapism.
PMID- 29639236
TI - Notes of a Recent Epidemic of Typhoid Fever, and Its Mode of Propagation.
PMID- 29639237
TI - The Physiological Action of Chloral, Illustrated by Experiments.
PMID- 29639238
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639239
TI - A Few More Words on Centenarianism.
PMID- 29639241
TI - Medical News.
PMID- 29639240
TI - Meetings of Societies.
PMID- 29639242
TI - Cases Illustrative of a Form of Asthenic Insanity.
PMID- 29639243
TI - Cirrhosis of the Stomach.
PMID- 29639245
TI - On the Surgical Applications of Electricity: Introductory to a Course of Lectures
on Systematic Surgery.
PMID- 29639244
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639246
TI - Medical News.
PMID- 29639247
TI - On a Curious Form of Injury to a Female Produced by a Goat.
PMID- 29639248
TI - Introductory Address, Edinburgh Medical School.
PMID- 29639249
TI - Meetings of Societies.
PMID- 29639250
TI - Erratum.
AB - [This corrects the article on p. 1123 in vol. 17.].
PMID- 29639251
TI - Case of Traumatic Tetanus, Successfully Treated with Chloral and Bromide of
Potassium.
PMID- 29639252
TI - Medical News.
PMID- 29639253
TI - Case of Sudden Death during an Operation, Due Either to Chloroform or to the
Entrance of Air into the Veins.
PMID- 29639254
TI - Some Remarks on the Pathology and Treatment of Acne.
PMID- 29639256
TI - Case of Shoulder Presentation, with Rigid Os and Prolapse of Funis: Turning
Impossible; Delivery Effected by Spondylotomy.
PMID- 29639255
TI - Case of Gastrotomy.
PMID- 29639257
TI - Correspondence.
PMID- 29639258
TI - Meetings of Societies.
PMID- 29639259
TI - Lecture I.-On the Connexion between the Chemical Properties and the Physiological
Action of Active Substances.
PMID- 29639260
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639262
TI - Indigestion and Its Management.
PMID- 29639261
TI - The Therapeutic Actions of Muriate of Lime.
PMID- 29639263
TI - On the Erectile Action of the Blood-Pressure in Inspiration, and Its Important
Agency in the Various Functions of Life.
PMID- 29639264
TI - Information Regarding Medical Education and Examinations.
PMID- 29639265
TI - Army Medical School.
PMID- 29639266
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29639267
TI - Medical News.
PMID- 29639269
TI - Experiments in Epilepsy.
PMID- 29639268
TI - Treatment of Pruritus Vulvae.
PMID- 29639270
TI - Army Medical Department.
PMID- 29639272
TI - Indian Medical Service.
PMID- 29639271
TI - The Generative Expenditure of Man.
PMID- 29639273
TI - The Suicidal Tendency as Manifested in Asthenic Insanity.
PMID- 29639274
TI - A Simple Mode of Delivery in Shoulder Presentation, in Which Version Cannot Be
Safely Effected.
PMID- 29639275
TI - Address to the Edinburgh Medical Graduates, 1st August 1872.
PMID- 29639276
TI - Remarks on Medical Study.
PMID- 29639278
TI - Meetings of Societies.
PMID- 29639277
TI - Action of Digitalis.
PMID- 29639280
TI - Physiological Action of Tobacco-Smoke.
PMID- 29639279
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639281
TI - Navy Medical Department.
PMID- 29639282
TI - Medical News.
PMID- 29639283
TI - Extirpation of the Lower End of the Rectum.
PMID- 29639284
TI - Valedictory Address to the Medico-Chirurgical Society of Edinburgh, Delivered on
the Occasion of Retiring from the Chair of the Society, 7th January 1874.
PMID- 29639285
TI - Children's Hospital Papers: Clinical Observations on Pneumonia.
PMID- 29639286
TI - Case of Extensive Chronic Eczema of the Face and Extremities, of Seven Years'
Standing, in a Child, and Complicated with Spasmodic Asthma, Cured by Pitch, Soft
Soap, Zinc, and the Iodide of Potassium.
PMID- 29639287
TI - Lister's Method of the Treatment of Wounds: Einige Worte zum Verstandniss der
Lister'schen Methode der Wundbehandlung.
PMID- 29639288
TI - Report of a Case of Intracranial Tumour; with Remarks.
PMID- 29639289
TI - Penetrating Gunshot Wound of the Pelvis.
PMID- 29639290
TI - Meetings of Societies.
PMID- 29639291
TI - The Bromide Question.
PMID- 29639292
TI - Animal Locomotion, or Walking, Swimming, and Flying.
PMID- 29639293
TI - Post-Mortem.
PMID- 29639294
TI - Experiments Confirming Dr Fergus's Views as to the Passage of Gases through Water
Traps.
PMID- 29639295
TI - Case of Arterio-Venous Aneurism of the Arch of the Aorta.
PMID- 29639296
TI - A New Sign of Death.
PMID- 29639297
TI - Report of, and Observations upon, a Case of Twins with Double Placenta Praevia
(Partial), and Both Children Presenting Transversely-Abortion from Typhoid Fever
in Previous Pregnancy.
PMID- 29639298
TI - Contra-Indications of Operative Interference in Cases of Melanotic Tumour Derived
from a Microscopic Examination of the Blood and of the Urine.
PMID- 29639299
TI - Medical News.
PMID- 29639300
TI - The Use of the Electro-Magnetic Current in Labour: Notes of Two Cases.
PMID- 29639301
TI - Large Doses of the Bromide of Potassium in Epilepsy.
PMID- 29639302
TI - Meetings of Societies.
PMID- 29639304
TI - Case of Extirpation of the Kidney.
PMID- 29639303
TI - On the Etiology of Variola.
PMID- 29639305
TI - The Early Cases of a Typhus Outbreak.
PMID- 29639306
TI - Report on Ophthalmology.
PMID- 29639307
TI - Excision of the Anterior Tarsus and Base of the Metatarsus-A New Operation.
PMID- 29639308
TI - Sudden Death from Embolism of the Pulmonary Artery, after Inflammation of
Varicose Veins.
PMID- 29639310
TI - The Natural History of Infectious Diseases.
PMID- 29639309
TI - Valedictory Address to the Medico-Chirurgical Society of Edinburgh, Delivered on
the Occasion of Retiring from the Chair of the Society, 7th January 1874.
PMID- 29639311
TI - The Operation of Repositio Ciliorum for Trichiasis.
PMID- 29639312
TI - Sulphate of Cinchonia.
PMID- 29639313
TI - Aspiration in Retention.
PMID- 29639315
TI - A Case of Cornu Humanum of External Ear.
PMID- 29639314
TI - Medical News.
PMID- 29639316
TI - Extirpation of Kidney.
PMID- 29639317
TI - Children's Hospital Papers: Clinical Observations on Pneumonia.
PMID- 29639318
TI - Physiological Papers: Observations on the Mechanism of the Ear.
PMID- 29639319
TI - On Idiocy, Especially in Its Physical Aspects.
PMID- 29639320
TI - Death from Inhalation of the Products of Combustion, in an Open Fireplace with a
Chimney.
PMID- 29639321
TI - On a Cause of Retention of Urine in Fibrous Tumour of the Uterus, with Cases.
PMID- 29639322
TI - Amputation in Aged Subjects.
PMID- 29639323
TI - The School of Physiology at Leipzig.
PMID- 29639324
TI - Cases Illustrating Diseases of the Ear.
PMID- 29639325
TI - Epidemic of Goitre in a Barrack.
PMID- 29639326
TI - Meetings of Societies.
PMID- 29639327
TI - Statistics of Gunshot Wounds of the Hip-Joint.
PMID- 29639328
TI - Researches as to the Pathology of Perforating Disease of the Foot.
PMID- 29639329
TI - Case of Siren-Like Malformed Foetus at Full Time, with a Well-Formed Penis on the
Posterior Aspect of the Body.
PMID- 29639330
TI - Carbazotate of Ammonia as a Substitute for Sulphate of Quinia.
PMID- 29639331
TI - In Favour of a New Method of Healing Ulcers.
PMID- 29639332
TI - Grafting the Skin of a White Man upon a Negro.
PMID- 29639333
TI - Twin-Pregnancy after Ovariotomy.
PMID- 29639334
TI - Three Cases of Pleural Effusion Treated Successfully by Pneumatic Aspiration.
PMID- 29639335
TI - On the Extension and Increase of the Scientific Spirit in Medicine.
PMID- 29639336
TI - Case of Cephalotripsy after Turning.
PMID- 29639337
TI - Physiological Papers: On the Inhibitory or Restraining Action Which the
Encephalon Exerts on the Reflex Centres of the Spinal Cord.
PMID- 29639338
TI - Fracture of the Clavicle Treated by Placing the Arm behind the Back.
PMID- 29639339
TI - Statistics of Births and Deaths.
PMID- 29639340
TI - On Idiocy, Especially in Its Physical Aspects.
PMID- 29639341
TI - Meetings of Societies.
PMID- 29639342
TI - The Superior Value of Artificial Premature Labour and Turning, over the High
Forceps Operation and Craniotomy, in Cases of Contracted Pelvis; with Cases.
PMID- 29639343
TI - The Sewage Question; with Remarks and Experiments Showing the Inefficiency of Our
Present System of Trapping.
PMID- 29639345
TI - Inflammation of Ganglion Cells.
PMID- 29639344
TI - Polypus of the Larynx-Removal by Section of the Thyroid Cartilage-Recovery.
PMID- 29639346
TI - Medical News.
PMID- 29639347
TI - Clinical Lectures on Diseases of the Heart.
PMID- 29639348
TI - Medical News.
PMID- 29639350
TI - Dissection of a Recent Case of Colles's Fracture of the Radius, with Remarks on
the Pathology.
PMID- 29639349
TI - Pelvimetry: A Method of Estimating the Conjugata Vera.
PMID- 29639351
TI - Fork-Swallowers.
PMID- 29639352
TI - Medical News.
PMID- 29639353
TI - On the Sulphides of Potassium, Sodium, and Calcium.
PMID- 29639354
TI - Valedictory Address to the Medico-Chirurgical Society of Edinburgh, Delivered on
the Occasion of Retiring from the Chair of the Society, 7th January 1874.
PMID- 29639356
TI - Syphilitic Membranoid Occlusion of the Rima Glottidis.
PMID- 29639355
TI - Meetings of Societies.
PMID- 29639357
TI - Report on Ophthalmology.
PMID- 29639358
TI - Case of Infantile Convulsions Treated by Means of Bromide of Potassium.
PMID- 29639359
TI - M. Charcot on Meniere's Disease, or Vertigo ab Aure Laesa.
PMID- 29639361
TI - Valedictory Address to the Medico-Chirurgical Society of Edinburgh.
PMID- 29639360
TI - Correspondence.
PMID- 29639362
TI - Danger of Sharp-Pointed Foreign Bodies in the Bladder.
PMID- 29639364
TI - Aspiration as a Means of Treating Cases of Urgent Retention of Urine.
PMID- 29639363
TI - Double Spleen and Kidneys.
PMID- 29639365
TI - Case of Madura Foot-Mycetoma.
PMID- 29639367
TI - Meetings of Societies.
PMID- 29639368
TI - On the Size of Aperture Necessary for the Passage of the Placenta and for the
Passage of the Accoucheur's Hand.
PMID- 29639366
TI - Albuminuria in Cases of Vascular Bronchocele and Exophthalmos.
PMID- 29639369
TI - Complete Removal of the Larynx.
PMID- 29639370
TI - Abortive Treatment of Boils.
PMID- 29639371
TI - On Certain Organic Disorders and Defects of Memory.
PMID- 29639372
TI - Action for the Fees of a Consultant in a Midwifery Case in France.
PMID- 29639373
TI - Professor Mosler on the Introduction of Large Quantities of Fluid into the
Intestinal Canal in the Treatment of Internal Diseases.
PMID- 29639374
TI - Abstract of a Pamphlet on the Origin of Syphilis.
PMID- 29639375
TI - Royal College of Physicians.
PMID- 29639376
TI - Gunshot Wound of the Cerebellum-Absence of Special Symptoms.
PMID- 29639378
TI - On the Origin of Cancer.
PMID- 29639377
TI - Gastrotomy in Extra-Uterine Pregnancy.
PMID- 29639379
TI - Hypodermic Injection of Ergotin in Internal Haemorrhages.
PMID- 29639380
TI - Case of Renal Abscess with Contained Calculus, Successfully Relieved by
Operation.
PMID- 29639382
TI - Medical News.
PMID- 29639381
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639383
TI - Hypodermic Injection of Sulphate of Morphia in Autumnal Catarrh.
PMID- 29639384
TI - Obstinate Recurrent Neuroma after Amputation; Cure by Electro-Puncture.
PMID- 29639385
TI - Tenotomy of the Superior Rectus.
PMID- 29639387
TI - Meetings of Societies.
PMID- 29639386
TI - Galvano-Acupuncture for Aortic Aneurism.
PMID- 29639388
TI - Puncture of the Bladder above the Pubes.
PMID- 29639390
TI - The Cold-Bath Treatment in Acute Disease.
PMID- 29639389
TI - On the Differential Stethoscope, and Its Value in the Diagnosis of Diseases of
the Lungs and Heart.
PMID- 29639391
TI - Medico-Topographical Report of the Station of Futtehgurh, N.W.P. India.
PMID- 29639392
TI - Consumption in the Highlands and Islands to the West of Scotland, with Reference
to the Immunity Claimed for These Districts While the People Remain at Home.
PMID- 29639393
TI - Medical News.
PMID- 29639394
TI - Case of Rare Tumour of the Lower Jaw Successfully Removed without Division of the
Bone.
PMID- 29639395
TI - Medical News.
PMID- 29639396
TI - Case of Hypospadias with Cleft Scrotum, Believed a Female Till Fourteen Years of
Age.
PMID- 29639397
TI - Meetings of Societies.
PMID- 29639398
TI - Medical News.
PMID- 29639399
TI - Case of Elephantiasis of the Leg; Successful Amputation through the Elephantiasis
Tissue.
PMID- 29639401
TI - A Case of Dilatation of the Bile-Ducts, Followed by Abscess of the Liver.
PMID- 29639400
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639403
TI - Medical News.
PMID- 29639402
TI - Note of a Proof of the Free Intercommunication, near the Chorionic Surface,
between Different Parts of the System of Maternal Cells or Blood-Caverns of the
Placenta, in the Same, and in Different Cotyledons.
PMID- 29639404
TI - On an Apparatus for Maintaining Artificial Respiration in Physiological
Experiments.
PMID- 29639406
TI - Carbonate of Ammonia in Uraemia.
PMID- 29639405
TI - Acute Rheumatism in Private Practice.
PMID- 29639407
TI - Cases Observed in the Royal Edinburgh Hospital for Sick Children; with
Commentaries, Clinical and Pathological.
PMID- 29639408
TI - Note of a Case of Rare Inguinal Swelling.
PMID- 29639409
TI - The Connexion between Pulmonary Haemorrhage and Phthisis.
PMID- 29639410
TI - Some Remarks on Insanity.
PMID- 29639411
TI - Medical News.
PMID- 29639413
TI - On the Antiseptic Plan of Treatment. Reports of a Few Cases.
PMID- 29639412
TI - On the Effects of Lightning.
PMID- 29639414
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639416
TI - Meetings of Societies.
PMID- 29639415
TI - On a Case of Rupture of the Axillary Artery in an Attempt to Reduce a Dislocation
of the Shoulder.
PMID- 29639417
TI - Nitrate of Land in the Treatment of Onychia Maligna.
PMID- 29639418
TI - Case of Aneurism of the Arch of the Aorta, Treated Successfully by Iodide of
Potassium.
PMID- 29639419
TI - On the Changes Undergone by the Cervix Uteri during Labour.
PMID- 29639420
TI - Polypus of Posterior Nares Destroyed by Electricity.
PMID- 29639422
TI - Mode of Increasing or Assisting the Growth of Bones.
PMID- 29639421
TI - Latent Gonorrhaea in the Female Sex, with Special Relation to the Puerperal
State.
PMID- 29639423
TI - On a Rare Cause of Mistake in Testing Urine for Albumen by the Ordinary
Processes.
PMID- 29639424
TI - Calabar Bean in Constipation.
PMID- 29639425
TI - Medical News.
PMID- 29639427
TI - Medical News.
PMID- 29639426
TI - Clement's Solution of Arsenic.
PMID- 29639428
TI - New Method of Treating Functional Dyspepsia, Anaemia, and Chlorosis.
PMID- 29639430
TI - Notes of a Few Surgical Cases Treated in the Country.
PMID- 29639429
TI - On the Causes of the Predisposition to Phthisis among the Natives of the Hebrides
and West Highlands, and the Suitability of the Country as a Resort for Invalids.
PMID- 29639432
TI - Hunting out Cholera.
PMID- 29639431
TI - Propylamine.
PMID- 29639434
TI - The Thermometer as a Means of Diagnosis in Cases of Uraemia.
PMID- 29639433
TI - A Few Words on the Means Necessary to Be Taken for Preventing the Spread of
Zymotic Disease.
PMID- 29639435
TI - Brief Retrospect of the Recent History of Lithotomy, with an Account of a New
Method of Performing the Operation.
PMID- 29639436
TI - Chloral Hydrate to Restore Blood-Corpuscles.
PMID- 29639437
TI - Hyoscyamia.
PMID- 29639438
TI - Meetings of Societies.
PMID- 29639440
TI - Some Remarks on Insanity.
PMID- 29639439
TI - A Remedy for Haemoptysis.
PMID- 29639441
TI - Medical News.
PMID- 29639442
TI - Meetings of Societies.
PMID- 29639443
TI - Acne and Its Treatment.
PMID- 29639444
TI - On Excision of the Extremity of the Humerus as a Remedial Measure in Cases of
Anchylosis of the Elbow-Joint Resulting from Injury.
PMID- 29639447
TI - Notes on Two Cases of Accidental Poisoning.
PMID- 29639446
TI - Case of Pre-Parturient Insanity; Suicide of Patient.
PMID- 29639445
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639448
TI - Dr Handyside's Additional Remarks on Dr Graham's Case of Hypospadias with Cleft
Scrotum, Etc.
PMID- 29639449
TI - On the Treatment of Haemorrhoids in Pregnant and Puerperal Women.
PMID- 29639450
TI - On the Treatment of Scabies in Children.
PMID- 29639451
TI - Medico-Topographical Report of the Station of Futtehgurh, N.W.P. India.
PMID- 29639452
TI - Case of Pregnancy and Labour Complicated with Diabetes Mellitus.
PMID- 29639453
TI - The Sensory and Mental Deficiencies of Idiots.
PMID- 29639454
TI - Tracheotomy for Croup in Child of Ten Monthly-Cure.
PMID- 29639455
TI - On the Physiology of the Circulation in Plants, in the Lower Animals, and in Man.
PMID- 29639456
TI - Note of an Unusual Form of Haematocele.
PMID- 29639458
TI - Meetings of Societies.
PMID- 29639457
TI - On Infantile Enteralgia.
PMID- 29639459
TI - Jolly on the Dangers of Chloral Hydrate.
PMID- 29639460
TI - Correspondence.
PMID- 29639461
TI - The Efficacy and Mode of Employing Collodion in Erysipelas.
PMID- 29639463
TI - Tannin Packing in Prolapsus Uteri, Etc.
PMID- 29639462
TI - On the Necessary Conditions of Sensations, as Illustrated by the Sense of
Hearing.
PMID- 29639464
TI - Medical News.
PMID- 29639465
TI - Medical News.
PMID- 29639466
TI - Fractures of the Patella in Children.
PMID- 29639467
TI - Benign Giant-Cell Tumours of Joints.
PMID- 29639468
TI - Tracheocele.
PMID- 29639469
TI - Some Points in Regard to the Genesis of Mesoblastic Tumours.
PMID- 29639471
TI - Arterial Perforations by Exostoses.
PMID- 29639470
TI - A Neolithic War Wound.
PMID- 29639472
TI - Trauma and Benign Tumours.
PMID- 29639473
TI - Some Observations on the Treatment of Mental Diseases.
PMID- 29639474
TI - Ptosis and Periarterial Sympathectomy.
PMID- 29639475
TI - Traumatic Thyreoid Haematocele.
PMID- 29639476
TI - The Mechanism of Spinal Anaesthesia in Intestinal Ileus.
PMID- 29639477
TI - A Departure from the Usual Methods in Treating Obesity.
PMID- 29639478
TI - Aneurysm and Popliteal Exostosis.
PMID- 29639479
TI - Lithiasis in Horseshoe Kidney.
PMID- 29639480
TI - Chemical Methods Applied to the Determination of Sex. A Review of Recent Work.
PMID- 29639481
TI - An Edinburgh Medical Family.
PMID- 29639482
TI - Schistosomiasis of the Conjunctiva.
PMID- 29639483
TI - Tabetic Arthropathy (Charcot's Arthritis) of the Knee of a Young Girl.
PMID- 29639485
TI - Acute Septic Osteitis of the Calcaneum.
PMID- 29639484
TI - Haematomata of the Anterior Abdominal Wall.
PMID- 29639487
TI - Spontaneous Cure of Cancer.
PMID- 29639486
TI - Melanotic Tumours.
PMID- 29639488
TI - Unilateral Furring of the Tongue.
PMID- 29639490
TI - Narcolepsy.
PMID- 29639489
TI - The Prophylaxis of Spinal Anaesthesia Sequelae.
PMID- 29639492
TI - Primary Osteomyelitis of the Ribs.
PMID- 29639491
TI - Therapeutic Uses of Carbonic Acid.
PMID- 29639493
TI - Local Accidents Following Intramuscular Therapy.
PMID- 29639494
TI - Volvulus of the Healthy Uterine Tube.
PMID- 29639495
TI - The Inter-Relations of the Thyroid, Adrenals and Nervous System.
PMID- 29639497
TI - Fixation of Fractures by Operation.
PMID- 29639496
TI - Cutaneous Metastases in Lingual Cancer.
PMID- 29639499
TI - Review of the Comparative Values of Surgery, Deep X-Ray Therapy and Radium in
Uterine Disease.
PMID- 29639498
TI - A Scrotal Kidney.
PMID- 29639500
TI - The Skull in Osteosclerotic Anaemia.
PMID- 29639503
TI - Periarterial Sympathectomy in Perforating Ulcer.
PMID- 29639502
TI - Familial Cystinuria.
PMID- 29639501
TI - The Bronchoscopic Diagnosis and Treatment of Bronchiectasis and Other Chronic Non
Tubercular Diseases of the Chest.
PMID- 29639504
TI - Notes.
PMID- 29639505
TI - Volvulus of the Testicular Appendix.
PMID- 29639507
TI - Acute Septic Vertebral Osteomyelitis.
PMID- 29639506
TI - The Treatment of Lobar Pneumonia, with Special Reference to the Use of Nuclein
and of Vaccines.
PMID- 29639508
TI - Subacute Infarction of the Testis and Torsion of the Cord.
PMID- 29639509
TI - Wounds of the Cavernous Sinus.
PMID- 29639510
TI - Acute Pneumonia in the Recent Influenza Epidemic: A Clinical and Bacteriological
Study in a Series of Fifty Cases.
PMID- 29639511
TI - Post-Encephalitic Delinquency.
PMID- 29639512
TI - The Care and Prevention of Rheumatism in Childhood.
PMID- 29639513
TI - Clinical Arterioscopy and Arteriography in the Living Subject.
PMID- 29639514
TI - Obstetrical Symphysiotomy.
PMID- 29639515
TI - Cervical Air Cyst from the Larynx.
PMID- 29639516
TI - Clinical Meeting.
PMID- 29639518
TI - Medical Education in Scotland.
PMID- 29639517
TI - Egypt and Medicine: Retrospective and Prospective.
PMID- 29639519
TI - Mental Inefficiency in School Children.
PMID- 29639521
TI - Post-Operative Pulmonary Embolism.
PMID- 29639520
TI - The Surgical Aspects of Chronic Pulmonary Suppuration.
PMID- 29639524
TI - Some Observations on the Clinical and Bacteriological Aspects of Suppuration of
the Lung.
PMID- 29639523
TI - Intrahepatic Cholelithiasis.
PMID- 29639522
TI - Sacrococcygeal Fistulae.
PMID- 29639525
TI - The Dangers of Intra-Uterine Injections for Radiography.
PMID- 29639526
TI - Notes.
PMID- 29639528
TI - Traumatic Rupture of Quadriceps Femoris.
PMID- 29639527
TI - Immediate Traumatic Blindness.
PMID- 29639529
TI - Pancreatic Cysts.
PMID- 29639530
TI - Rupture of Abdominal Cicatrices.
PMID- 29639532
TI - Pes Cavus: A Clinical Study with Special Reference to Its Etiology.
PMID- 29639531
TI - Bee's Sting of the Eyeball.
PMID- 29639534
TI - Congenital Scoliosis and Dolichocephaly as Correlated Developmental Defects.
PMID- 29639533
TI - Illustration of Tumours.
PMID- 29639536
TI - Volvulus of the Appendix.
PMID- 29639535
TI - Sudden Death and Suprarenal Disease.
PMID- 29639537
TI - Notes.
PMID- 29639538
TI - Mixed Carcinoma of the Kidney.
PMID- 29639539
TI - Accidental Uterine Perforation.
PMID- 29639541
TI - Cyst of the Mesosigmoid.
PMID- 29639540
TI - The Tolerance of Intact Mucosa.
PMID- 29639544
TI - Surgery.
PMID- 29639542
TI - Ureteral Prolapse.
PMID- 29639543
TI - Post-Traumatic Suture of the Radial Nerve.
PMID- 29639546
TI - Case of Epilepsy Connected with Vesical Calculus, Cured by Lithotomy.
PMID- 29639545
TI - Reports of Cases of Extractions by Linear Flap.
PMID- 29639547
TI - Thermometrical Observations.
PMID- 29639548
TI - General Council of Medical Education and Registration.
PMID- 29639549
TI - Cancer Curing: Letter to the Editor.
PMID- 29639550
TI - Medicine.
PMID- 29639551
TI - Medical News.
PMID- 29639552
TI - The Hepatic System in Animals an Apparatus for Preventing the Animal Cells from
Being Coated by Cellulose, and Fixed.
PMID- 29639553
TI - Sketch of the Early History of Anatomy: An Address Delivered to the Hunterian
Medical Society, in the University of Edinburgh, at the Commencement of the
Session 1867-68.
PMID- 29639554
TI - Meetings of Societies.
PMID- 29639555
TI - Aix-La-Chapelle: Letter from an Edinburgh Doctor to a Professional Friend at
Home.
PMID- 29639556
TI - On Haemoptysis, Especially When Fatal, in Its Anatomical and Clinical Aspects.
PMID- 29639557
TI - On the Use of Croton Oil as a Counter-Irritant in Head Affections.
PMID- 29639558
TI - A Brief Review and Estimate of the Professional Writings of Benjamin Bell,
F.R.C.S.E., F.R.S.E., Author of "A System of Surgery," and Other Works.
PMID- 29639559
TI - Case of Ovarian Cystic Tumour.
PMID- 29639561
TI - Fatal Case of Intussusception, Being the Sequel of "A Case of Intussusception
Terminating in Sloughing and Discharge of the Intussuscepted Portion of
Intestine, and Recovery".
PMID- 29639560
TI - Medical News.
PMID- 29639562
TI - Case of Arm Presentation Terminating by Spontaneous Evolution.
PMID- 29639563
TI - Report on Ophthalmology.
PMID- 29639564
TI - General Council of Medical Education and Registration.
PMID- 29639566
TI - Surgery.
PMID- 29639565
TI - On the Therapeutical Action of Medicines in Dilated Conditions of the
Bloodvessels-Digitalis.
PMID- 29639567
TI - Address to the Edinburgh Medical Graduates, August 1, 1868.
PMID- 29639568
TI - Important to the Medical Profession.
PMID- 29639570
TI - Medical News.
PMID- 29639569
TI - Two Cases of Hydrophobia.
PMID- 29639571
TI - Graduation in Medicine at the University of Edinburgh.
PMID- 29639572
TI - Medicine.
PMID- 29639573
TI - On a New Lesion Observed in the Brain of an Insane Person.
PMID- 29639575
TI - Meetings of Societies.
PMID- 29639574
TI - Case of Infantile Convulsions.
PMID- 29639576
TI - On a Case of Gliomatous Tumour of the Brain.
PMID- 29639577
TI - Medical News.
PMID- 29639578
TI - On Haemoptysis, Especially When Fatal, in Its Anatomical and Clinical Aspects.
PMID- 29639579
TI - Medical News.
PMID- 29639580
TI - Pathology.
PMID- 29639581
TI - A Somewhat Peculiar Case of Fever.
PMID- 29639582
TI - Cases of Ovariotomy.
PMID- 29639584
TI - Materia Medica and Therapeutics.
PMID- 29639583
TI - Midwifery and Diseases of Women.
PMID- 29639585
TI - On the Construction of the Cephalotribe.
PMID- 29639587
TI - Meetings of Societies.
PMID- 29639586
TI - On the Action of the Cobra Poison.
PMID- 29639589
TI - British Association for the Advancement of Science.
PMID- 29639588
TI - A Case of Meningo-Cerebritis, Caused Probably by Exposure to the Sun.
PMID- 29639590
TI - Reasons for Preferring Lithotrity to Lithotomy in Operating for Stone in the
Adult Male, with Cases.
PMID- 29639591
TI - Naval Medical Department.
PMID- 29639592
TI - Meetings of Societies.
PMID- 29639593
TI - Reports of Cases of Extractions by Linear Flap.
PMID- 29639595
TI - Surgery.
PMID- 29639594
TI - On the Treatment of Aneurism by Iodide of Potassium.
PMID- 29639596
TI - Scottish Universities Union.
PMID- 29639597
TI - Case of Labour Impeded by a Strong Septum of the Vagina.
PMID- 29639598
TI - Case of Plastic Bronchitis, with "Quasi" Diphtheritic Exudation.
PMID- 29639599
TI - On the Early History of Syphilis in China.
PMID- 29639600
TI - On Typhomania.
PMID- 29639601
TI - The Indian Medical Service.
PMID- 29639602
TI - Three Cases of Puerperal Convulsions Coming on at the Commencement of Labour.
PMID- 29639604
TI - Midwifery.
PMID- 29639603
TI - Report on the Hurdwar Cholera of 1867.
PMID- 29639605
TI - Navy Medical Department.
PMID- 29639606
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29639607
TI - Army Medical School.
PMID- 29639608
TI - Remarks on Medical Study.
PMID- 29639609
TI - Army Medical Department.
PMID- 29639610
TI - Medico-Chirurgical Society of Glasgow.
PMID- 29639611
TI - Inhalation of Bichloride of Methylene.
PMID- 29639612
TI - Medical News.
PMID- 29639613
TI - Indian Medical Service.
PMID- 29639614
TI - Information Regarding Medical Education and Examinations.
PMID- 29639615
TI - Cases of Ovariotomy.
PMID- 29639617
TI - General Council of Medical Education and Registration.
PMID- 29639616
TI - Observations on the Physiology and Pathology of Hemi-Chorea.
PMID- 29639618
TI - Surgery.
PMID- 29639619
TI - Case of Removal of a Large Piece of Sealing-Wax from the Bladder of the Male by
Lithotrity.
PMID- 29639620
TI - Case of Tetanus, Treated by Bromide of Potassium.
PMID- 29639621
TI - Cases Illustrative of the Antiseptic Use of Carbolic Acid.
PMID- 29639622
TI - Meetings of Societies.
PMID- 29639623
TI - On the Action of the Cobra Poison.
PMID- 29639624
TI - Cases of Ovariotomy.
PMID- 29639626
TI - On the Treatment of Aneurism by Compression, with Two Cases of Its Successful
Application.
PMID- 29639627
TI - Medical News.
PMID- 29639625
TI - Case of Traumatic Tetanus: Recovery under the Use of the Calabar Bean.
PMID- 29639629
TI - On Cholera at Shanghai.
PMID- 29639628
TI - The Treatment of Congenital Talipes Varus in the Infant.
PMID- 29639630
TI - On an Interesting Series of Eye-Symptoms in a Case of Spinal Disease, with
Remarks on the Action of Belladonna on the Iris, Etc.
PMID- 29639631
TI - Thermometrical Observations.
PMID- 29639632
TI - Pathology and Treatment of Uterine Displacements.
PMID- 29639633
TI - Meetings of Societies.
PMID- 29639635
TI - Medical News.
PMID- 29639634
TI - Case of Heart Disease; Partial Fibroid Degeneration, the Result of Myocarditis.
PMID- 29639636
TI - Medicine.
PMID- 29639638
TI - Surgery.
PMID- 29639637
TI - Medical News.
PMID- 29639640
TI - Meetings of Societies.
PMID- 29639639
TI - Traumatic Cerebral Abscess.
PMID- 29639641
TI - Case of Enlarged Spleen Complicated with Ascites, Both Depending upon Varicose
Dilatation and Thrombosis of the Portal Vein.
PMID- 29639642
TI - Case of Congenital Malformation of the OEsophagus.
PMID- 29639643
TI - On the Variation and Vanishing of Cardiac Organic Valvular Murmurs.
PMID- 29639644
TI - Acupuncture in a Case of Cancer.
PMID- 29639645
TI - Case of Rupture of the Uterus during Parturition.
PMID- 29639646
TI - Spasm of the Group of Muscles Supplied by the Musculo-Spiral Nerve.
PMID- 29639647
TI - The Arrestment of Haemorrhage after Operations.
PMID- 29639648
TI - Naval Medical Department.
PMID- 29639650
TI - Midwifery.
PMID- 29639649
TI - Case of Craniotomy.
PMID- 29639651
TI - Report on Ophthalmology.
PMID- 29639652
TI - The Increased Length of the Cervix Uteri after Labour, and in Other Conditions.
PMID- 29639653
TI - Account of a Case of Gastric Ulcer Terminating by Perforation, Which Ran an
Unusually Rapid Course.
PMID- 29639654
TI - Meetings of Societies.
PMID- 29639655
TI - Remarks on Retroversion of the Gravid Uterus.
PMID- 29639656
TI - Monthly Retrospect of Gynaecology.
PMID- 29639657
TI - Meetings of Societies.
PMID- 29639658
TI - Periscope of Otology.
PMID- 29639659
TI - Minto House Medical School, Edinburgh.
PMID- 29639660
TI - Temperature and Pulse Chart.
PMID- 29639662
TI - On Some of the Surgical Uses of Elastic Compression.
PMID- 29639661
TI - Tartrate of Morphia as an Agent for Hypodermic Injection.
PMID- 29639664
TI - Occasional Periscope of Dermatology.
PMID- 29639663
TI - The Eucalyptus.
PMID- 29639665
TI - Remarks on the Use of Solution of Perchloride of Iron as a Styptic and Caustic in
Gynecological Practice.
PMID- 29639666
TI - Case in Which a Strangulated Hernia, Reduced "en bloc," Was Relieved by a Median
Abdominal Incision.
PMID- 29639667
TI - Somnambulism.
PMID- 29639668
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29639670
TI - The Eucalyptus.
PMID- 29639669
TI - Periscope of Otology.
PMID- 29639671
TI - Meetings of Societies.
PMID- 29639672
TI - Case of Guinea Worm.
PMID- 29639673
TI - The Premurmuric Stage of Aortic Valvulitis.
PMID- 29639674
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639675
TI - Cases of Intra-Cranial Tumour.
PMID- 29639676
TI - Observations on Some Points in Dextral Valvular Disease of the Heart, Being a
Graduation Thesis.
PMID- 29639677
TI - The Histology of Psoriasis.
PMID- 29639678
TI - Aberdeen University-Appointments to Vacant Chairs.
PMID- 29639680
TI - Royal Medical Society-New Office-Bearers.
PMID- 29639679
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639682
TI - Meetings of Societies.
PMID- 29639681
TI - On Croup: Its Nature and Treatment.
PMID- 29639683
TI - Gleanings from a Continental Holiday-The Inaugural Address at the First Meeting
of the Royal Medical Society of Edinburgh, for the Session 1878-79.
PMID- 29639684
TI - The Post-Mortem Appearances in a Case of Chronic Insanity; Hydrocephalus; Absence
of Corpus Callosum.
PMID- 29639685
TI - Notes of a Case of Successive Aneurisms.
PMID- 29639686
TI - Remarks on the Condition of the Cervix Uteri during the Latter Months of
Pregnancy, with Translation of a Paper by P. Muller of Berne on That Subject.
PMID- 29639687
TI - Periscope of Otology.
PMID- 29639688
TI - New Italian Medical Journal.
PMID- 29639689
TI - Cases of Intra-Cranial Tumour.
PMID- 29639690
TI - On Dystocia with Dorsal Displacement of the Arm.
PMID- 29639691
TI - Dr Politzer's Text-Book of Aural Surgery.
PMID- 29639692
TI - On the Influence of Head-Movements on Audition.
PMID- 29639693
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639695
TI - A Case of Aphasia.
PMID- 29639694
TI - On the Therapeutic Value of Hydrocyanic Acid in Arresting the Night Cough of
Children after Failure with the Bromides.
PMID- 29639696
TI - Opening of the New Hertford British Hospital, Paris.
PMID- 29639697
TI - Meetings of Societies.
PMID- 29639698
TI - Case of Milk-Like Urine.
PMID- 29639700
TI - The Late William Howitt.
PMID- 29639699
TI - Cases Illustrating the Management of Tedious Labours.
PMID- 29639701
TI - Eucalyptus Vegetation.
PMID- 29639704
TI - Aural Periscope.
PMID- 29639703
TI - Pain as a Symptom of Fracture.
PMID- 29639702
TI - On the Adenoid Tumours of the Naso-Pharynx, and Their Influence on the Hearing,
Breathing, and Phonation, and on Their Treatment.
PMID- 29639705
TI - Suspension as an Aid to Surgical Demonstrations and Practice.
PMID- 29639706
TI - On the Head-Flexion in Labour.
PMID- 29639707
TI - Large Tumour Growing from the Clavicle.
PMID- 29639708
TI - Periscope of Otology.
PMID- 29639709
TI - Case of Poisoning from Fusel Oil and Aconite.
PMID- 29639711
TI - Clinical Remarks on Gleet: Its Causes and Treatment.
PMID- 29639710
TI - A Study of Two Mesial Vertical Sections of the Female Pelvis in Relation to the
Normal Support of the Uterus and Prolapsus Uteri.
PMID- 29639712
TI - Meetings of Societies.
PMID- 29639713
TI - On the Adenoid Tumours of the Naso-Pharynx, and Their Influence on the Hearing,
Breathing, and Phonation, and on Their Treatment.
PMID- 29639714
TI - Concerning the Operation of John Hunter in Certain Cases of Impassable Stricture.
PMID- 29639715
TI - A Description of Tarnier's Forceps.
PMID- 29639716
TI - The Treatment of Knock-Knee.
PMID- 29639717
TI - Correspondence.
PMID- 29639718
TI - Occasional Periscope of Dermatology.
PMID- 29639719
TI - Note of a Case of Spasmodic Contraction of the Lower Uterine Segment during the
First Stage of Labour.
PMID- 29639720
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639721
TI - On a New Form of Section-Knife Especially Adapted to the Cutting of Large
Sections.
PMID- 29639722
TI - Membranous Croup and Diphtheria.
PMID- 29639723
TI - Observations on the Pathology of the Biliary Passages and Their Clinical Bearing.
PMID- 29639725
TI - A Case of Unilateral Hypertrophy.
PMID- 29639724
TI - Resection of Superior Cervical Sympathetic Ganglia for Exophthalmic Goitre.
PMID- 29639726
TI - Late Arthritis after Enteric Fever.
PMID- 29639728
TI - A Case of Retropharyngeal (? Tonsillar) Myxosarcoma Observed during a Period of
Twenty-Two Years.
PMID- 29639727
TI - Ossifying Spondylitis.
PMID- 29639729
TI - An Investigation of a Case of Rat-Bite Fever: Clinical Observations.
Bacteriological Investigation.
PMID- 29639730
TI - Syphilitic Broncho-Pneumonia.
PMID- 29639731
TI - Enteric Pneumatosis.
PMID- 29639732
TI - Localised Saponification of Fat.
PMID- 29639734
TI - Multiple Myelomata.
PMID- 29639733
TI - Pneumococcal Peritonitis.
PMID- 29639735
TI - The Disciples of Boerhaave in Edinburgh.
PMID- 29639736
TI - Retroperitoneal Cysts: With Reports of Two Cases.
PMID- 29639737
TI - Wounds of the Spinal Theca.
PMID- 29639739
TI - The Strawberry Gall-Bladder.
PMID- 29639738
TI - Intersigmoid Hernia.
PMID- 29639740
TI - The Earlier Recognition of Cancer.
PMID- 29639742
TI - Medical Education in Scotland.
PMID- 29639741
TI - The Failing Heart. The George Alexander Gibson Memorial Lecture.
PMID- 29639744
TI - On the Diagnosis of Pericardial Effusion.
PMID- 29639745
TI - Analytical Note: Allisatin-Femergin.
PMID- 29639743
TI - Thyroxine: Recent Research.
PMID- 29639746
TI - Notes.
PMID- 29639747
TI - Syringomyelia with Cavities in Posterior Nerve Roots.
PMID- 29639748
TI - Scarlatina, Diphtheria and Measles, Their Relations and Inter-Relations from the
Medico-Sociological Standpoint.
PMID- 29639749
TI - Ectopic Endometrial Tumours.
PMID- 29639750
TI - The Re-Expansion of the Lung in Empyema, with Notes Regarding the Mechanical
Effects of Carbon Dioxide Inhalation.
PMID- 29639751
TI - Pruritis Ani: Treatment by Alcohol Injection.
PMID- 29639753
TI - Analytical Note: Vitalia Meat Juice.
PMID- 29639752
TI - On Symmetrical Thinness of the Parietal Bones.
PMID- 29639755
TI - Ocular Phenomena Associated with Temporal Lobe Tumours.
PMID- 29639754
TI - Leucocytic Changes after the Injection of Peptone, with Particular Reference to
the Arneth Count.
PMID- 29639756
TI - Paroxysmal Tachycardia.
PMID- 29639757
TI - Osteitis Fibrosa.
PMID- 29639758
TI - Varicose Ulcers.
PMID- 29639759
TI - Cleft-Sternum and Ectopia Cordis.
PMID- 29639761
TI - Treatment of Oxyuriasis.
PMID- 29639760
TI - Artificial Immunity against Tuberculosis.
PMID- 29639762
TI - Notes.
PMID- 29639763
TI - Cranial Metastasis of Hypernephroma.
PMID- 29639765
TI - Coronary Arterio-Sclerosis.
PMID- 29639764
TI - Scrofula and Tubercle: With Reference to Ancient and Modern Doctrines of Abnormal
Constitution in Tuberculosis.
PMID- 29639766
TI - An Anomaly of the Bile Ducts.
PMID- 29639768
TI - Traumatic Penile Displacement of the Testis.
PMID- 29639769
TI - Discussion on Rheumatoid Arthritis.
PMID- 29639767
TI - The Failing Heart. The George Alexander Gibson Memorial Lecture.
PMID- 29639770
TI - Marriage of near Kin in Royalty.
PMID- 29639771
TI - Cranial Pneumatocele.
PMID- 29639772
TI - Leukoplakia Buccalis.
PMID- 29639773
TI - Malignant Adenoma of the Sigmoid.
PMID- 29639774
TI - Note on a Case of Abnormal Intestinal Rotation.
PMID- 29639775
TI - Notes.
PMID- 29639776
TI - The Suprarenals in Relation to Arteritis Obliterans Juvenilis.
PMID- 29639777
TI - Acute Capillary Bronchitis Accompanying Pregnancy.
PMID- 29639779
TI - New Views on the Thyroid.
PMID- 29639778
TI - The Treatment of Scarlet Fever with Specific Antitoxic Serum.
PMID- 29639780
TI - Parasitic Infection of the Urinary Tract: Report of a Case of Infection of the
Urinary Tract by Acari, Together with an Analysis of Previously Reported Cases.
PMID- 29639781
TI - Disease of the Fourth Ventricle of the Brain, with Glycosuria; Paralysis of the
Fifth and Seventh Cranial Nerves on the Left Side; Paralysis of the Glosso
Pharyngeal and Ultimately Pneumogastric Nerves; Disease of the Right Optic
Thalamus and Gyral Softening with Partial Parlaysis of Sensation and Motion in
the Left Arm and Leg, Etc.; Death; Necropsy.
PMID- 29639782
TI - Occlusion of the Vagina.
PMID- 29639783
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639784
TI - Report of One Hundred Cases of Diseases of the Ear.
PMID- 29639786
TI - Valedictory Address to the Medico-Chirurgical Society of Edinburgh, on Retiring
from the Presidency.
PMID- 29639785
TI - Terebene, as a Dressing for Wounds.
PMID- 29639787
TI - Notes on Two Cases of Stricture of the OEsophagus.
PMID- 29639788
TI - Meetings of Societies.
PMID- 29639790
TI - List of Successful Army Medical Candidates.
PMID- 29639789
TI - Correspondence.
PMID- 29639791
TI - List of Successful Indian Medical Candidates.
PMID- 29639792
TI - On the Treatment of Anomalies in the Spanning of the Drumhead.
PMID- 29639793
TI - Clinical Notes on Some of the Eccentricities of Enteric Fever.
PMID- 29639794
TI - The Dull Wire Curette in Gynecological Practice.
PMID- 29639796
TI - Meetings of Societies.
PMID- 29639795
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639797
TI - On Retention of Urine in the Female.
PMID- 29639798
TI - Cases of Aneurism of the Aorta Treated by the Iodide of Potassium.
PMID- 29639800
TI - The Sewage Question.
PMID- 29639799
TI - On the Rhythm of Auricular Impulses.
PMID- 29639801
TI - On the Essential Pathology of Puerperal Eclampsia.
PMID- 29639802
TI - Extraordinary Tolerance of a Poisonous Dose of Chloral Hydrate.
PMID- 29639803
TI - A Very Extensively Diffused Case of Lupus Erythematosus.
PMID- 29639804
TI - Notes of a Case of Extroversion of the Bladder and Epispadias.
PMID- 29639805
TI - Abdominal Aorta Nearly Severed by a Bullet-Life Remaining at Least an Hour and
Ten Minutes.
PMID- 29639806
TI - Placenta Praevia, or Unavoidable Haemorrhage.
PMID- 29639807
TI - Hydrophobia and Rabies.
PMID- 29639808
TI - Medical Students of Paris.
PMID- 29639809
TI - Meetings of Societies.
PMID- 29639810
TI - On the Diagnosis of Anomalies in the Conducting-Apparatus of the Ear.
PMID- 29639812
TI - Professor J. Bell Pettigrew.
PMID- 29639811
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639813
TI - Royal Medical Society.
PMID- 29639814
TI - On Third Stage of Labour; Its Management: With Remarks on Position, Diet, Etc.,
after Delivery.
PMID- 29639815
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29639816
TI - Mader.
PMID- 29639817
TI - Note on the Antiseptic Dressing of Wounds.
PMID- 29639818
TI - The Treatment of Fibroid Tumours of the Uterus: An Address at the Opening of the
37th Session of the Edinburgh Obstetrical Society.
PMID- 29639819
TI - Lecture Delivered at the Opening of Anderson College.
PMID- 29639820
TI - The Course of Diphtheria in Two Brothers Almost Simultaneously Stricken-A
Clinical Study.
PMID- 29639821
TI - On Two Heart Cases Which Presented a Rare Form of Irregularity.
PMID- 29639822
TI - The Warren Prize.
PMID- 29639823
TI - Note on Some of the Therapeutic Virtues of the Eucalyptus Globulus.
PMID- 29639824
TI - Dr Menzel of Trieste.
PMID- 29639825
TI - On the Richness of the Red Globules in Hemoglobine.
PMID- 29639827
TI - Doctors' Fees.
PMID- 29639826
TI - Thoughts and Suggestions Concerning the Education of Deaf Children.
PMID- 29639828
TI - Case of Injury of the Brain, Presenting Certain Anomalous Symptoms.
PMID- 29639829
TI - Some Conditions Which Simulate Organic Disease of the Heart.
PMID- 29639830
TI - Quinine a Physiological Antidote to the Malarial Poison.
PMID- 29639831
TI - Mo.
PMID- 29639832
TI - The Protection Bed and Its Uses.
PMID- 29639833
TI - The Treatment of Certain Cases of Ununited Fracture.
PMID- 29639834
TI - Hobon.
PMID- 29639835
TI - On Wounds of the Palmar Arches.
PMID- 29639836
TI - Successful Operation on a Very Large Vesico-Vaginal Fistula, Occurring in a Child
Eight Years of Age.
PMID- 29639837
TI - The New Dental Bill for 1878.
PMID- 29639838
TI - A Case of Arsenical Poisoning Treated with Dialyzed Iron.
PMID- 29639839
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639841
TI - Meetings of Societies.
PMID- 29639840
TI - Concerning the So-Called Fistula Auris Congenita: Anomaly of Formation.
PMID- 29639842
TI - Balanitis; Oil Dressing.
PMID- 29639843
TI - The Electric Telephone as a Means of Testing or Measuring the Hearing Power.
PMID- 29639844
TI - The Theory and Practice of Non-Restraint in the Treatment of the Insane.
PMID- 29639845
TI - On Sanitary Inspection.
PMID- 29639847
TI - Cases of Congenital Displacement of Both Crystalline Lenses.
PMID- 29639846
TI - Tapping the Uterus.
PMID- 29639848
TI - Fracture of the Neck of the Scapula.
PMID- 29639849
TI - Correspondence.
PMID- 29639850
TI - On Retention of Urine in the Female.
PMID- 29639851
TI - Chancroids.
PMID- 29639852
TI - Meetings of Societies.
PMID- 29639853
TI - Catheter Broken off after Making a False Passage, Extracted by Perineal Section.
PMID- 29639855
TI - The Condition of the Hymen and Its Remains by Cohabitation, Childbearing, and
Lying-In.
PMID- 29639854
TI - Cases of Aneurism of the Aorta, Treated by Iodide of Potassium.
PMID- 29639856
TI - The Dull Wire Curette in Gynecological Practice.
PMID- 29639857
TI - Sewer-Gas and Ear Disease: A Record of Struggles with Foul Drains and Other
Unsanitary Conditions.
PMID- 29639859
TI - List of Successful Candidates for Appointments as Surgeons in H. M. Medical
Service.
PMID- 29639858
TI - Ulceration of the Rectum; Treatment.
PMID- 29639860
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639861
TI - Structure of a Channelled Polypus of the Cervix.
PMID- 29639862
TI - The Theory and Practice of Non-Restraint in the Treatment of the Insane.
PMID- 29639863
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29639864
TI - Non-Symmetry of the Human Form.
PMID- 29639865
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29639866
TI - The Sewage Question.
PMID- 29639867
TI - Diffuse Spermatic Aneurism.
PMID- 29639868
TI - Cases of Aneurism of the Aorta, Treated by the Iodide of Potassium.
PMID- 29639869
TI - Placenta Praevia, or Unavoidable Haemorrhage.
PMID- 29639870
TI - Fibroma Vaginae.
PMID- 29639871
TI - Tapping the Male Bladder in Operations on Urethral Fistulae.
PMID- 29639872
TI - Dearth of Candidates for the Army Medical Service.
PMID- 29639873
TI - Meetings of Societies.
PMID- 29639874
TI - Use of Indiarubber in Surgery.
PMID- 29639875
TI - List of Gentlemen Who Passed Their First Professional Examination.
PMID- 29639876
TI - Complete Torsion of the Cervix Uteri (with Considerable Thickening and Dilatation
of the Os) of a Cow.
PMID- 29639877
TI - Knot on the Umbilical Cord Formed during Pregnancy.
PMID- 29639878
TI - Social Psychiatry. Being the Morison Lectures for 1931 at the Royal College of
Physicians, Edinburgh.
PMID- 29639879
TI - Ununited Fractures of the Clavicle.
PMID- 29639880
TI - Potassium Thiocyanate in the Treatment of Patients with Hypertension.
PMID- 29639881
TI - The Problem of Obesity.
PMID- 29639883
TI - Bacterial or Infective Endocarditis. The Gibson Lectures for 1930: Lecture II.
PMID- 29639882
TI - The Course and Prognosis of Myocardial Infarction.
PMID- 29639884
TI - Pregnancy and Hyperthyroidism.
PMID- 29639886
TI - Antenuptial Examination.
PMID- 29639887
TI - The "Vital" Factor in Diet: A Theory of the Nature of Vitamins.
PMID- 29639885
TI - Social Psychiatry. Being the Morison Lectures for 1931 at the Royal College of
Physicians, Edinburgh.
PMID- 29639888
TI - Localized Congenital Defects of the Scalp.
PMID- 29639889
TI - Rectal Stenosis Following Radium Treatment of Cervical Cancer.
PMID- 29639890
TI - The Treatment of Spondylolisthesis.
PMID- 29639891
TI - Osteodystrophy from Cattle Disease.
PMID- 29639892
TI - Parathyreoidectomy in Osteodystrophia Fibrosa.
PMID- 29639893
TI - Bacterial or Infective Endocarditis. The Gibson Lectures for 1930: Lecture I.
PMID- 29639894
TI - Acetylcholine Hypodermically in Varicose Ulcers.
PMID- 29639896
TI - Salicylates in the Prevention of Rheumatic Manifestations.
PMID- 29639895
TI - Acholuric Jaundice Complicated by Bacillus coli Septicaemia.
PMID- 29639897
TI - The Active Constituent of Liver Extract.
PMID- 29639899
TI - Auriculae.
PMID- 29639898
TI - The Influence of Acid-Forming and Base-Forming Diets.
PMID- 29639901
TI - Vesical Syphilis.
PMID- 29639900
TI - Spinal Anaesthesia.
PMID- 29639902
TI - Recurrent Gelatinous Cysts of the Fingers.
PMID- 29639903
TI - The Evolution of Modern Medicine, with Special Reference to Medical Research.
PMID- 29639904
TI - Retrospect and Prospect.
PMID- 29639905
TI - Centenarian Pathology.
PMID- 29639907
TI - Tuberculous Subdeltoid Bursitis.
PMID- 29639906
TI - The Detection and Estimation of Lactose in Urine.
PMID- 29639908
TI - The Effect of Acidic and Basic Diets in Chronic Nephritis.
PMID- 29639909
TI - The Radium Treatment of Primary Carcinoma of the Breast.
PMID- 29639910
TI - Ileocaecal Lymphosarcoma in a Child.
PMID- 29639911
TI - Regeneration of Peripheral Nerves: An Experimental Study.
PMID- 29639913
TI - Manic-Depressive Psychosis in Identical Twins.
PMID- 29639912
TI - Puerperal Tetanus.
PMID- 29639914
TI - Mediastinal Tumour. Sarcoma of the Heart.
PMID- 29639916
TI - Arterial Encephalography.
PMID- 29639917
TI - Arteriography in Gangrene.
PMID- 29639915
TI - The Care of the Surgical Diabetic.
PMID- 29639919
TI - Dehydration in Intestinal Obstruction.
PMID- 29639918
TI - Pernicious Anaemia: A Clinical Study of Recent Cases, with Special Reference to
Prognosis and Treatment.
PMID- 29639920
TI - Local Vascular Changes in Splenic Anaemia.
PMID- 29639922
TI - Chronic Pudendal Hypertrophy.
PMID- 29639921
TI - Acute Volvulus of the Stomach.
PMID- 29639923
TI - Notes.
PMID- 29639924
TI - The Sedimentation Rate of the Erythrocytes in Chronic Arthritis.
PMID- 29639925
TI - Fibroma of the Biceps Muscle.
PMID- 29639926
TI - Some Observations on Tuberculosis in the Hebrides, with Special Reference to
Milk.
PMID- 29639927
TI - Thoracoplasty in Pulmonary Tuberculosis, with a Discussion of Six Cases.
PMID- 29639928
TI - Some Orthopaedic Problems.
PMID- 29639929
TI - The Milk Supply of the Country: Policy?
PMID- 29639931
TI - Enteric Tuberculosis.
PMID- 29639930
TI - Tuberculous Hyperplasia of the Large Intestine.
PMID- 29639932
TI - Notes.
PMID- 29639933
TI - Intrathoracic Tuberculosis of Childhood.
PMID- 29639934
TI - Microbic Dissociation; with Reference to the Attenuated Tubercle Bacillus of
Calmette and Guerin (B.C.G.).
PMID- 29639935
TI - Irradiated Ergosterol in Tuberculosis.
PMID- 29639936
TI - Primary Tuberculosis of the Patella.
PMID- 29639937
TI - Tuberculous Pericarditis in the Senile.
PMID- 29639939
TI - Clinical Meeting.
PMID- 29639938
TI - Some Local and General Problems in Tuberculosis Administration.
PMID- 29639940
TI - Colonial Lunacy Boards; with Special Reference to New Zealand.
PMID- 29639941
TI - Absorption of Insoluble Matter.
PMID- 29639942
TI - Retention of Urine. Puncture of the Bladder with Dieulafoy's Instrument.
PMID- 29639943
TI - Anti-Odontalgics.
PMID- 29639945
TI - Apomorphia.
PMID- 29639944
TI - On the Relative Weight of the Brain in the Sane and Insane.
PMID- 29639946
TI - On Simple Menorrhagia and Simple Metrorrhagia.
PMID- 29639947
TI - Meetings of Societies.
PMID- 29639948
TI - The Treatment of Heart Disease.
PMID- 29639950
TI - On the Diagnosis of Syphilis from the Microscopic Examination of the Blood.
PMID- 29639949
TI - Medical News.
PMID- 29639951
TI - Penetrating Gunshot Wound of the Cranium; Recovery with the Ball Still in the
Brain.
PMID- 29639952
TI - The Lung Test.
PMID- 29639953
TI - Notes of Successful Operations on Aged Patients.
PMID- 29639955
TI - Cases of Vesico-Vaginal Fistula.
PMID- 29639956
TI - On the Treatment of Club-Foot.
PMID- 29639954
TI - Obturator Hernia.
PMID- 29639957
TI - Compound Syrup of Assafoetida.
PMID- 29639958
TI - On a Form of Fracture of the Bones of the Face, and Its Diagnosis.
PMID- 29639959
TI - On Leprosy at Hankow.
PMID- 29639960
TI - Meetings of Societies.
PMID- 29639961
TI - On Menstrual Coagula.
PMID- 29639962
TI - Traumatic Tetanus Cured with Hydrate of Chloral.
PMID- 29639963
TI - Physiological and Therapeutical Effects of Antidestructive Alimentation.
PMID- 29639964
TI - Correspondence.
PMID- 29639966
TI - Medical News.
PMID- 29639965
TI - Clinical Lectures on Diseases of the Heart.
PMID- 29639967
TI - Procidentia of the Pelvic Viscera.
PMID- 29639968
TI - On the Treatment of Strangulated Hernia by the Removal of Air by Subcutaneous
Puncture.
PMID- 29639969
TI - On Vascular Murmurs within the Lungs.
PMID- 29639970
TI - Medical News.
PMID- 29639971
TI - Some of the Medico-Legal Relations of Insanity to Will-Making.
PMID- 29639972
TI - Artificial Production of Epilepsy in Guineapigs.
PMID- 29639973
TI - Correspondence.
PMID- 29639974
TI - On the Sanitary Aspect of the Sewage Question; with Remarks on a Little-Noticed
Cause of Typhoid Fever, and Other Zymotics.
PMID- 29639975
TI - On the Therapeutical Value of the Hypodermic Injection of Ergotine in
Haemoptysis.
PMID- 29639977
TI - On Earache.
PMID- 29639976
TI - Report on Toxicology, Forensic Medicine, and Hygiene.
PMID- 29639978
TI - Pythogenic Fever in Reykjavik during the Summer of 1871.
PMID- 29639979
TI - Meetings of Societies.
PMID- 29639980
TI - On the Stability and Health, the Ovulation and Granulation of the Organism, and
the Degeneration of Tissue.
PMID- 29639981
TI - On the Use of Bromide of Pottassium in Epilepsy.
PMID- 29639983
TI - Notes on Chloral.
PMID- 29639984
TI - Letters to the Editor.
PMID- 29639982
TI - On the Nutrition of Muscular and Pulmonary Tissue in Health and in Consumption;
with Remarks on the Colloid Condition of Matter.
PMID- 29639985
TI - Letters to the Editor.
PMID- 29639986
TI - A Concise History of the Royal Infirmary, Edinburgh: Being an Address Read before
the Royal Medical Society of Edinburgh, 1st Dec. 1871.
PMID- 29639987
TI - Colonial Lunacy Boards; with Special Reference to New Zealand.
PMID- 29639988
TI - Case of Haemorrhage Resulting from an Internal Wound of the OEsophagus Treated by
Operation.
PMID- 29639989
TI - Medical News.
PMID- 29639990
TI - Meetings of Societies.
PMID- 29639991
TI - Case of Strychnia-Poisoning, Treated by the Administration of Chloral Hydrate.
PMID- 29639992
TI - Some of the Medico-Legal Relations of Insanity to Will-Making.
PMID- 29639993
TI - Notes of a Case of Ligature of the Subclavian Artery under Somewhat Unusual
Circumstances.
PMID- 29639994
TI - Notes from Practice.
PMID- 29639996
TI - Meetings of Societies.
PMID- 29639995
TI - Cases from Practice, with Clinical Remarks.
PMID- 29639997
TI - On the Nature and Development of Cystic Tumours of the Breast.
PMID- 29639999
TI - A Case of Obstruction of the Bowels, Attended by Unusual Symptoms; with Remarks.
PMID- 29639998
TI - On Antiseptic Surgery.
PMID- 29640000
TI - The Nature of Rotheln or German Measles: Being Part of an Inaugural Thesis
Commended by the Medical Faculty, Edinburgh Universiry, 1871.
PMID- 29640001
TI - Medical News.
PMID- 29640002
TI - Dysmenorrhoea.
PMID- 29640004
TI - On Subcutaneous Injection of Ergotine in Varix.
PMID- 29640003
TI - Cures for Coryza.
PMID- 29640005
TI - On the Action of Chloral Hydrat.
PMID- 29640006
TI - Edible Snails.
PMID- 29640007
TI - Meetings of Societies.
PMID- 29640008
TI - Xylol in Smallpox.
PMID- 29640009
TI - On the Use of the Bowl during Delivery.
PMID- 29640010
TI - Remarks on the "Health Resorts" of Europe.
PMID- 29640012
TI - On the Treatment of Hyperpyrexia by the External Application of Cold.
PMID- 29640011
TI - Medical News.
PMID- 29640014
TI - Removal of Corns.
PMID- 29640013
TI - Pleuro-Pericarditis-Paracentesis Pericardii.
PMID- 29640015
TI - A Novel Plan for Soothing Children.
PMID- 29640016
TI - Case of Recurrent Secondary Haemorrhage after Amputation of the Leg, Successfully
Treated by Ligature of the Femoral.
PMID- 29640017
TI - On the Treatment of Dilatation of the Stomach by the Method of Kussmaul; with
Cases.
PMID- 29640018
TI - Coexistence of Smallpox and Cowpox.
PMID- 29640019
TI - Some of the Medico-Legal Relations of Insanity to Will-Making.
PMID- 29640021
TI - The Use of Barnes's Dilators in the Treatment of Complete Placenta Praevia. With
Two Illustrative Cases.
PMID- 29640020
TI - Insanity Dependent upon Constitutional Syphilis.
PMID- 29640023
TI - Endoarteritis Chronica Deformans, and Its Relation to Rupture of the Heart.
PMID- 29640022
TI - Edinburgh University Graduation.
PMID- 29640024
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640025
TI - English Practitioners and Practice at the End of the Seventeenth and Commencement
of the Eighteenth Centuries, Portrayed by Themselves.
PMID- 29640026
TI - A New Method of Wound-Drainage.
PMID- 29640027
TI - On the Etiology and History of Leprosy.
PMID- 29640028
TI - Puerperal Fever and Septicaemia: Their Relations and Probable Identity.
PMID- 29640029
TI - A Successful Case of Ovariotomy; Tumour Sessile; Cauterization.
PMID- 29640031
TI - List of Successful Indian Medical Candidates.
PMID- 29640030
TI - Malta Fever.
PMID- 29640032
TI - Diphtheria and Croup:-What Are They?
PMID- 29640034
TI - Chancellor Inglis: A Graduation Song for 1876.
PMID- 29640033
TI - On Passive Cerebral Pressure.
PMID- 29640035
TI - Personal Experience of Death from Aneurism and Disease of the Heart in the
Service: A Clinical Note.
PMID- 29640037
TI - Abeles on Sugar in Urine.
PMID- 29640036
TI - Cases of Paraplegia.
PMID- 29640038
TI - Vivisection: A Satire.
PMID- 29640040
TI - On an Outbreak of Diphtheria in Auchtergaven, Perthshire; with Remarks.
PMID- 29640039
TI - Malta Fever.
PMID- 29640041
TI - Appointment.
PMID- 29640042
TI - Causes of Gleet.
PMID- 29640043
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640044
TI - Cases of Puerperal Fever, with Reference to Epidemic Origin.
PMID- 29640045
TI - Meetings of Societies.
PMID- 29640046
TI - Sclerosis in Scattered Patches.
PMID- 29640047
TI - Diphtheria: Typical Cases, in Illustration of Its Diagnosis, Prognosis, and
Treatment; Together with Remarks on the Signification of Albuminuria in That
Disease.
PMID- 29640048
TI - On the Formation of an Artificial Ureter.
PMID- 29640049
TI - General Medical Council.
PMID- 29640050
TI - On the Registration Pseudonyms of Puerperal Fevers.
PMID- 29640051
TI - Cases of Paraplegia.
PMID- 29640053
TI - A Model Case. Successful Removal of an Extra-Uterine Faetus.
PMID- 29640052
TI - Case of Extra-Uterine Foetation, Successfully Treated by Abdominal Section.
PMID- 29640054
TI - Professor Lister's Address in Surgery.
PMID- 29640056
TI - Chair of Physiology in the University of Glasgow.
PMID- 29640057
TI - Notes on Surgical Practice among the Natives in Shanghai.
PMID- 29640055
TI - Note in Supplement to a Previous Paper, Entitled, "Diphtheria and Croup: What Are
They?"
PMID- 29640059
TI - Exposure of a Spiritualist by Physicians.
PMID- 29640058
TI - Compound Fractures Treated Antiseptically in the Greenock Infirmary, during the
Course of Twelve Months.
PMID- 29640060
TI - On the Etiology and History of Leprosy.
PMID- 29640061
TI - Case of Puerperal Scarlatina.
PMID- 29640062
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640064
TI - Chair of Practice of Physic in the University of Edinburgh.
PMID- 29640063
TI - Notes on Salicylic Acid.
PMID- 29640065
TI - Puerperal Fever: Some Practical Clinical Facts.
PMID- 29640068
TI - Syphilis as Affecting the Bursae.
PMID- 29640066
TI - On Salicylate of Quinine as an Antipyretic.
PMID- 29640067
TI - Reports of Cases Treated in Leith Hospital.
PMID- 29640069
TI - Sclerosis in Scattered Patches.
PMID- 29640070
TI - Arsenic in the Treatment of Skin Diseases.
PMID- 29640071
TI - Meetings of Societies.
PMID- 29640072
TI - Cases of Paraplegia.
PMID- 29640073
TI - English Practitioners and Practice at the End of the Seventeenth and Commencement
of the Eighteenth Centuries, Portrayed by Themselves.
PMID- 29640074
TI - The Recognition of the Blood of Man and of Animals in Dried Spots in Medico-Legal
Investigations.
PMID- 29640075
TI - Case of Operation for Strangulated Inguinal Hernia.
PMID- 29640076
TI - Antiseptic for Country Practice.
PMID- 29640077
TI - Primary Carcinoma of the Kidneys in Childhood.
PMID- 29640078
TI - The Medical Society of Chile.
PMID- 29640080
TI - Cases from Practice.
PMID- 29640079
TI - A Case of Haemathidrosis.
PMID- 29640081
TI - Anti-Vivisectors in the Box.
PMID- 29640082
TI - University of Edinburgh Degrees of Bachelor and Doctor of Science in the
Department of Public Health.
PMID- 29640083
TI - The Active Element in Vaccine Lymph.
PMID- 29640084
TI - Poisoning by Digitalis.
PMID- 29640085
TI - On Ejaculation of Semen in Persons Who Are Hanged.
PMID- 29640086
TI - On the Structure of Three Cervical Polypi.
PMID- 29640087
TI - A Case of Disease of the Tongue.
PMID- 29640088
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640089
TI - Sclerosis in Scattered Patches.
PMID- 29640090
TI - The Treatment of Erysipelas by the Muriated Tincture of Iron.
PMID- 29640091
TI - Personal Experience of Death from Aneurism and Disease of the Heart in the
Service: A Clinical Note.
PMID- 29640092
TI - Paraffin Epithelioma of the Scrotum.
PMID- 29640093
TI - Case of Spontaneous Circular Rupture of the Aorta.
PMID- 29640095
TI - List of Candidates Who Received Degrees in the University of Edinburgh, 1st
August 1876.
PMID- 29640094
TI - Portuguese Medical Literature.
PMID- 29640096
TI - Opium.
PMID- 29640097
TI - Information Regarding Medical Education and Examinations.
PMID- 29640098
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29640100
TI - Successful Candidates for the Army Medical Service.
PMID- 29640099
TI - Notes on a Case of Sunstroke.
PMID- 29640101
TI - On Malta Fever.
PMID- 29640103
TI - Fothergillian Gold Medal.
PMID- 29640102
TI - Course of Study Required by the Various Boards of the United Kingdom.
PMID- 29640104
TI - Mercurial Poisoning from Canned Meats.
PMID- 29640105
TI - Sclerosis in Scattered Patches.
PMID- 29640106
TI - 1836-1876.
PMID- 29640107
TI - An Original Suicide.
PMID- 29640108
TI - Army Medical School.
PMID- 29640110
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh, with Examination Papers.
PMID- 29640109
TI - Datura.
PMID- 29640111
TI - Compound Fracture of the Patella.
PMID- 29640113
TI - Litholysis.
PMID- 29640112
TI - Medical Schools of Scotland, 1876-77.
PMID- 29640114
TI - Force of Ciliary Motion.
PMID- 29640116
TI - Indian Medical Service.
PMID- 29640115
TI - On the Causes of the Hypertrophy of the Vascular System in Granular Degeneration
of the Kidney.
PMID- 29640117
TI - Cupping and Ice in Acute Gastritis.
PMID- 29640119
TI - Army Medical Department.
PMID- 29640118
TI - Case of Transverse Fracture of the Patella, Treated by a New Method.
PMID- 29640120
TI - Navy Medical Department.
PMID- 29640122
TI - Conservative Surgery.
PMID- 29640121
TI - Local Treatment of Burns.
PMID- 29640123
TI - Dyspnoea from the Dropping of a Molar Tooth into the Trachea.
PMID- 29640124
TI - On the Human Voice.
PMID- 29640125
TI - The Father of Medicine.
PMID- 29640126
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640127
TI - On Giant Urticaria.
PMID- 29640128
TI - Condylomatous Excrescences of the Anus Treated by Escharotics.
PMID- 29640129
TI - Medico-Chirurgical Society-Discussion on Mr Annandale's Paper on Excision of the
Joint between the Astragalus and Os Calcis.
PMID- 29640130
TI - Surgical Therapeutic: Antiseptic Surgery at Edinburgh.
PMID- 29640131
TI - Notes of Cases of Disease of the Heart; with Remarks on the Effect of Over-Work
on the Heart and Aorta.
PMID- 29640132
TI - Clinical Notes on Some Fevers at Naples.
PMID- 29640133
TI - Stirling District Lunacy Notes: A Case of Child-Murder.
PMID- 29640134
TI - Treatment of Erysipelas by the Muriated Tincture of Iron.
PMID- 29640135
TI - Salicine as an Antipyretic.
PMID- 29640137
TI - Meetings of Societies.
PMID- 29640136
TI - Statistics of Amputation of the Thigh in Bengal.
PMID- 29640138
TI - The Circulating Fluid: The Harveian Oration delivered 30th May 1930.
PMID- 29640139
TI - Pyelography by Intravenous Injection.
PMID- 29640140
TI - Rupture of the Psoas Muscle.
PMID- 29640141
TI - Acetylcholine in Embolism.
PMID- 29640142
TI - Spontaneous Umbilical Parturition.
PMID- 29640143
TI - Spasmodic Narrowing of the OEsophagus.
PMID- 29640144
TI - The Use of Epinephrine as a Diagnostic Test for Angina Pectoris.
PMID- 29640146
TI - Tumours of Bone.
PMID- 29640145
TI - Clinical Meeting.
PMID- 29640148
TI - Accidental Corneal Vaccination.
PMID- 29640147
TI - On the Paramastoid Process in the Human Subject and Its Association with
Deformation of the Atlas and Epistropheus.
PMID- 29640149
TI - The Evolution of Voice in Animals and in Man; a Study in Comparative Physiology.
PMID- 29640150
TI - The Cartilages of Conjugation in Bone-Growth.
PMID- 29640151
TI - Notes.
PMID- 29640153
TI - Migraine Equivalent.
PMID- 29640152
TI - On the Use of Common Compounds of Carbon in Disease, with Special Reference to
Cholera.
PMID- 29640154
TI - The Basophilic Substance of the Erythrocyte.
PMID- 29640155
TI - Raynaud's Disease.
PMID- 29640156
TI - Electrospun Cytocompatible Polycaprolactone Blend Composite with Enhanced
Wettability for Bone Tissue Engineering.
AB - Electrospinning is recently used in tissue engineering due to their excellent
ability to mimic the structure of extra cellular matrix (ECM), a prerequisite for
creating an optimal microenvironment for cell growth. Electrospun nanofibrous
composite scaffolds consisting of polycaprolactone (PCL)/Poly(1,4-butylene
adipate-co-polycaprolactam) (PBAPCL) blend with hydroxyapatite (HA) have been
fabricated to enhance the wettability and osseointegrative properties. Fourier
transform-infrared spectroscopy (FT-IR) confirmed molecular interactions of the
polymer blend along with the presence of HA. X-ray diffraction analysis (XRD)
indicated semi-crystalline nature of the mat and also the presence of HA in the
composite mat. The morphology of the fibres, were analyzed using scanning
electron microscopy (SEM) and the diameter was found to be in the range of 400
600 nm. The composite fibers were of larger diameter compared to their polymer
counterparts. Improved wettability of the electrospun composite mat has been
observed by contact angle analysis. In vitro cell culture studies by Live/Dead
assay and MTT using human osteosarcoma (HOS) cells indicated the cytocompatible
nature of electrospun mat which was further confirmed by cell adhesion using SEM
and Actin-phalloidin staining. Addition of PBAPCL and HA to PCL have a beneficial
effect on cell growth and proliferation thereby making the composite, a
prospective scaffold for bone tissue engineering applications.
PMID- 29640158
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640157
TI - Report Prepared by the Puerperal Fever Committee of the Berlin Obstetrical
Society, and Laid before the Prussian Minister of Public Health-Dr Falk.
PMID- 29640159
TI - The Physics of the Bladder and Ureters.
PMID- 29640160
TI - Clinical Lecture on the Lochial Discharge, Delivered at the Glasgow Maternity
Hospital.
PMID- 29640161
TI - Chronic Cervical Metritis Treated by Interstitial Injection, Coupled with
Dilatation.
PMID- 29640162
TI - On Death from the Insufficient Administration of Chloroform.
PMID- 29640163
TI - Dearth of Candidates for the Army Medical Service.
PMID- 29640164
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640165
TI - Indian Medical Service.
PMID- 29640166
TI - Meetings of Societies.
PMID- 29640167
TI - Correspondence.
PMID- 29640168
TI - Medical Schools of Scotland.
PMID- 29640169
TI - Army Medical Department.
PMID- 29640170
TI - Army Medical School.
PMID- 29640171
TI - The Physics of the Bladder and Ureters.
PMID- 29640172
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh.
PMID- 29640174
TI - 1878-9-Address to Students.
PMID- 29640173
TI - Navy Medical Department.
PMID- 29640175
TI - Occasional Periscope of Dermatology.
PMID- 29640177
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640176
TI - Cases of Intra-Cranial Tumour.
PMID- 29640178
TI - On Curettes and Curetting.
PMID- 29640179
TI - The Sewage Question.
PMID- 29640181
TI - List of Hospitals, Etc., in Connexion with the Medical Schools of Scotland.
PMID- 29640180
TI - Displacement of the Bladder and Peritoneum in the Male by Distention of the
Rectum.
PMID- 29640182
TI - Day-Schools for the Deaf and Dumb.
PMID- 29640183
TI - Information Regarding Medical Education and Examinations.
PMID- 29640184
TI - On Hydrophobia: Its History and Cure.
PMID- 29640185
TI - A Case of Poisoning by Chloral Hydrate; Introducing a New Test.
PMID- 29640187
TI - On the Etiology and History of Leprosy.
PMID- 29640186
TI - The Sewage Question.
PMID- 29640189
TI - Meetings of Societies.
PMID- 29640188
TI - Alcohol in Disease.
PMID- 29640190
TI - Chrysophanic Acid in Von Hebra's Clinic at Vienna.
PMID- 29640191
TI - Cases of Hemiplegic Aphasia.
PMID- 29640192
TI - Notes of Three Cases of Accidental Haemorrhage, with Remarks.
PMID- 29640193
TI - Notes of Cases of Spinal Curvature Treated after Dr Sayre's Method.
PMID- 29640194
TI - Case of Unreduced Pott's Fracture Remedied by Operation.
PMID- 29640195
TI - Medicine Chest of Thevenot's Globules.
PMID- 29640196
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640197
TI - On the Treatment of Spasmodic Asthma.
PMID- 29640198
TI - List of Candidates for Commissions as Surgeons at London and Netley.
PMID- 29640200
TI - On Hydrophobia: Its History and Cure.
PMID- 29640199
TI - Comments on a Case of Hyperpyrexia.
PMID- 29640201
TI - Notes on a Case of Umbilical Urinary Fistula.
PMID- 29640202
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29640205
TI - Hunter's Carbolic Acid Injections.
PMID- 29640204
TI - Address to the Medical Graduates in the University of Edinburgh, 1st August 1878.
PMID- 29640203
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640207
TI - Meetings of Societies.
PMID- 29640206
TI - Cases of Intracranial Tumour.
PMID- 29640208
TI - Some Account of a Disease Called "Shima-Mushi," or "Island-Insect Disease," by
the Natives of Japan; Peculiar, It Is Believed, to That Country, and Hitherto Not
Described.
PMID- 29640209
TI - The Cause of Distortions in the Spinal Paralysis of Children.
PMID- 29640211
TI - The Risks and Treatment of Intra-Uterine Hydrocephalus as a Complication of
Labour, with the History of a Case.
PMID- 29640210
TI - Note on the Physiology of Respiration.
PMID- 29640212
TI - Drs Lossen and Braun on Neurectomy.
PMID- 29640213
TI - A Few Practical Remarks on the More Common Fevers of Syria.
PMID- 29640214
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640215
TI - Heroic Aural Surgery.
PMID- 29640216
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640217
TI - On the Etiology and History of Leprosy.
PMID- 29640218
TI - Refracture for the Relief of Deformity Following Badly-Treated Fracture of the
Extremities.
PMID- 29640220
TI - The Sewage Question.
PMID- 29640219
TI - Note of a Case of Retroversion of the Gravid Uterus.
PMID- 29640221
TI - Trellis-Work Dressing for Fractures.
PMID- 29640222
TI - On the Modes of Administering Mercury in Syphilis.
PMID- 29640223
TI - Bucellas Hock.
PMID- 29640224
TI - On Croup: Its Nature and Treatment.
PMID- 29640225
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640226
TI - On the Etiology and History of Leprosy.
PMID- 29640227
TI - Spontaneous Amputation of the Thigh.
PMID- 29640228
TI - Cases of Amenorrhaea.
PMID- 29640229
TI - An Improved Method of Treating Club-Foot.
PMID- 29640230
TI - Cases of Intra-Cranial Tumour.
PMID- 29640231
TI - Periscope of Otology.
PMID- 29640233
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640232
TI - Cases of Hystero-Epilepsy.
PMID- 29640234
TI - Case of Poisoning by Opium.
PMID- 29640235
TI - Case of Idiopathic Anaemia.
PMID- 29640237
TI - Australian Gum Trees.
PMID- 29640236
TI - Occasional Periscope of Dermatology.
PMID- 29640238
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29640240
TI - Three Cases of Pneumothorax Occurring as an Early Symptom of Pulmonary Disease.
PMID- 29640239
TI - Gastric Ulcers and Perforating Ulcer of Duodenum after a Burn.
PMID- 29640241
TI - Notes of an Epidemic of Typhoid Fever, with Special Reference to Its Antipyretic
Treatment.
PMID- 29640242
TI - Note on the Practically Absolute Safety of Profoundly Induced Anaesthesia in
Childbirth as Compared with Its Employment in General Surgery.
PMID- 29640244
TI - On Infantile Habiliments.
PMID- 29640243
TI - Note on the Treatment of Rupture of the Ligamentum Patellae.
PMID- 29640245
TI - Foreign Health Statistics.
PMID- 29640246
TI - Lister's Antiseptic Method in Ovariotomy.
PMID- 29640247
TI - Meetings of Societies.
PMID- 29640249
TI - Pancreotomy.
PMID- 29640248
TI - Original Observations and Experiments on the Nervous System, and on the Process
of Innervation and Nutrition.
PMID- 29640250
TI - A Certain Sign of Real Death for Physicians and Non-Professional Men.
PMID- 29640251
TI - Notes on Surgical Practice among the Natives in Shanghai.
PMID- 29640253
TI - On the Distinction between Inflammatory and Diphtheritic Croup.
PMID- 29640254
TI - "Shut Your Mouth and Save Your Life:" Being Remarks on Mouth-Breathing, and Some
of Its Consequences, Especially to the Apparatus of Hearing: A Contribution to
the AEtiology of Ear-Disease.
PMID- 29640252
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640255
TI - On Some of the Relations of the Foetal Head to Rupture of the Perineum and
Injuries of the External Genital Organs.
PMID- 29640256
TI - On the External Use of Salicylate of Iron.
PMID- 29640257
TI - On the Importance of the Uterine Ebb as a Factor in Pelvic Surgery.
PMID- 29640258
TI - Case of Accidental Penetration of Abdomen (per Vaginam); with Remarks.
PMID- 29640259
TI - On the Physiological Position of the Uterus.
PMID- 29640260
TI - On Excision of the Joint between the Os Calcis and Astragalus.
PMID- 29640261
TI - On the Application of the Nitrate of Silver to Ulcers.
PMID- 29640263
TI - Meetings of Societies.
PMID- 29640262
TI - Details of a Case, in Which Death Is Supposed to Have Resulted from Ether Used as
an Anaesthetic.
PMID- 29640264
TI - Monthly Report of the Morpeth Rural Sanitary Authority.
PMID- 29640267
TI - Notes of Cases of Susceptibility of the Action of Medicines.
PMID- 29640266
TI - The Umbilical Cord Felt through the Abdominal Wall.
PMID- 29640265
TI - Is Syphilis Inoculable through Milk?
PMID- 29640269
TI - Successful Inoculation of Cancer in Dogs.
PMID- 29640268
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640270
TI - Royal Medical Society.
PMID- 29640271
TI - Scald of Glottis.
PMID- 29640272
TI - Note on the Treatment of Pityriasis Versicolor.
PMID- 29640273
TI - On the Therapeutic Action of the Secale Cornutum.
PMID- 29640274
TI - Spindle-Celled Sarcoma.
PMID- 29640275
TI - On Rupture of the Urinary Bladder and Its Surgical Treatment.
PMID- 29640276
TI - A Steam Spray-Producer for Hospital Use.
PMID- 29640277
TI - Dr Terrillon on Sprain of the "Medio-Tarsal" Articulation.
PMID- 29640278
TI - Case of Lead-Poisoning.
PMID- 29640279
TI - Army Medical Service-Successful Candidates.
PMID- 29640280
TI - Correspondence.
PMID- 29640281
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640282
TI - Meetings of Societies.
PMID- 29640283
TI - On the Condition of the Cervix Uteri in the Latter Months of Utero-Gestation.
PMID- 29640284
TI - The Diagnosis of General Paralysis of the Insane.
PMID- 29640285
TI - Professor Dolbeau on the Treatment of False Joint.
PMID- 29640286
TI - Case of Aneurism in Lateral Ventrical of Brain.
PMID- 29640287
TI - On Filiform Bougies: Their Uses and Advantages.
PMID- 29640288
TI - Case of Hernia, with Traumatic Rupture and Formation of Faecal Fistula.
PMID- 29640289
TI - Correspondence.
PMID- 29640290
TI - Case in Which a Knitting-Needle Penetrated the Brain through the Orbit.
PMID- 29640291
TI - Fissures Occurring in Long Bones.
PMID- 29640292
TI - Experimental Researches on the Action and Sounds of the Heart.
PMID- 29640293
TI - Professor Blum on Traumatic Shock.
PMID- 29640294
TI - On the Therapeutics of Aloin.
PMID- 29640295
TI - Societe Clinique de Paris.
PMID- 29640296
TI - Gurjon-Oil Treatment of Leprosy.
PMID- 29640297
TI - The Astringent Action of Salicylic Acid.
PMID- 29640298
TI - Professor Dolbeau on Reduction of Dislocations of the Hip by Flexion and
Rotation.
PMID- 29640299
TI - Dualistic Theory of Venereal Sores.
PMID- 29640300
TI - Case of Badly-United Fracture of the Femur Treated by Sawing across the Bone at
Its Angle, with Antiseptic Precautions.
PMID- 29640301
TI - Note on Esmarch's Operation for the Relief of Permanent Closure of the Jaws.
PMID- 29640302
TI - Treatment of Wounds of the Radial and Ulnar Arteries by Acupressure.
PMID- 29640303
TI - Clinical Study of the Treatment of Some Complications of the Urethra.
PMID- 29640304
TI - List of Indian Medical Candidates.
PMID- 29640305
TI - Case of Tetanus Occurring after a Burn.
PMID- 29640306
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640307
TI - The Operative Treatment of Genu Valgum.
PMID- 29640309
TI - On Oil of Turpentine in Sciatica.
PMID- 29640308
TI - Five Cases of Complete Inversion of the Uterus; with Remarks on the Operation for
Chronic Inversion.
PMID- 29640311
TI - Endowment of a Demonstratorship of Physiology in the University of Glasgow, Etc.
PMID- 29640310
TI - On the Influence of Modern Education on Health.
PMID- 29640312
TI - Meetings of Societies.
PMID- 29640314
TI - Gangrenous Tuberculo-Ulcerating Syphilid.
PMID- 29640313
TI - On the Etiology and History of Leprosy.
PMID- 29640316
TI - The Spread of Cancer.
PMID- 29640315
TI - Correspondence.
PMID- 29640317
TI - Letter from Mentone.
PMID- 29640318
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640319
TI - Faecal Accumulations, Simulating Utero-Ovarian Tumours.
PMID- 29640320
TI - Case of Empyema.
PMID- 29640321
TI - So-Called Septic Agents in Situations Inaccessible to Air.
PMID- 29640322
TI - A Case of Lymphadenoma (with Leukemia?).
PMID- 29640323
TI - Two Cases of Puerperal Pleuropneumonia.
PMID- 29640324
TI - Meetings of Societies.
PMID- 29640325
TI - Resignation of Sir Robert Christison.
PMID- 29640326
TI - Litholysis.
PMID- 29640327
TI - Struck by Lightning, Etc.
PMID- 29640329
TI - Note on the Use of the Stethoscope in Obstetrics.
PMID- 29640328
TI - Lecture on the Behaviour of Bloodclot under Aseptic Conditions.
PMID- 29640330
TI - Incompatibility as Applied to Medicine.
PMID- 29640331
TI - Note of a Case of Painless Second Stage of Labour in a Primipara.
PMID- 29640332
TI - Correspondence.
PMID- 29640333
TI - Staff-Surgeon Reid's Case of Aneurism Successfully Treated by Esmarch's Elastic
Bandage and Compressor.
PMID- 29640334
TI - Varieties of Infecting Chancre.
PMID- 29640335
TI - Correspondence.
PMID- 29640336
TI - On the Operative Treatment of Internal Piles.
PMID- 29640338
TI - Meetings of Societies.
PMID- 29640337
TI - William Pulteney Alison, His Work in Relation to Harvey: Being the Harveian
Discourse to the Edinburgh Society, 12th April 1877.
PMID- 29640339
TI - Lunacy Administration in Scotland.
PMID- 29640340
TI - On a Remarkable Case of Spermatocele Occurring in Mr Lister's Practice in the
Royal Infirmary, Edin.
PMID- 29640341
TI - Duplay on Antiseptic Surgery.
PMID- 29640343
TI - Lunacy Laws.
PMID- 29640342
TI - Abstract of a Lecture on Pernicious Anaemia.
PMID- 29640344
TI - Professor Lister.
PMID- 29640345
TI - Salomonsen on Bacteria in Metastatic Abscesses.
PMID- 29640346
TI - Cases Treated in Leith Hospital.
PMID- 29640348
TI - Pasteur, Joubert, and Chamberland on the Germ Theory.
PMID- 29640347
TI - Murchison Memorial.
PMID- 29640349
TI - Periscope of Syphilology.
PMID- 29640351
TI - On the Unity of Poison in Scarlatina, Puerperal Fever, Typhoid, Diphtheria,
Erysipelas, Etc.
PMID- 29640350
TI - My Professional Peers at Cork.
PMID- 29640352
TI - Menthol: An Anti-Neuralgic.
PMID- 29640353
TI - Brief Notes of a Case of Double Floating Kidney, Diagnosed during Life, and
Verified by Post-Mortem Examination.
PMID- 29640354
TI - On Foreign Bodies in the Air-Passages, with Cases.
PMID- 29640355
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640356
TI - Murchison Memorial.
PMID- 29640357
TI - Army Medical School, Netley.
PMID- 29640358
TI - On a Source of Error in the Clinical Estimation of the Elongation of the Cervix
during Labour.
PMID- 29640359
TI - Occasional Periscope of Dermatology.
PMID- 29640360
TI - A Short Sketch of the Recent Visitation of the Plague in Bagdad and Its Vicinity.
PMID- 29640361
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29640363
TI - Address to the Medical Graduates in the University of Edinburgh, 1st August 1879.
PMID- 29640362
TI - Periscope of Ophthalmology.
PMID- 29640364
TI - Hilliard's Surgical Cabinet.
PMID- 29640365
TI - Meetings of Societies.
PMID- 29640366
TI - Correction.
AB - [This corrects the article on p. 165 in vol. 25.].
PMID- 29640367
TI - Ethidene Dichloride as an Anaesthetic.
PMID- 29640368
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640370
TI - Periscope of Ophthalmology.
PMID- 29640369
TI - Bernard and Pasteur on Alcoholic Fermentation.
PMID- 29640371
TI - Transfusion of Human Blood.
PMID- 29640372
TI - The Treatment of Aneurisms by Electrolysis.
PMID- 29640374
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640373
TI - Periscope of Otology and Ophthalmology.
PMID- 29640376
TI - On Anaesthesia and Anaesthetics.
PMID- 29640375
TI - On the Etiology and History of Leprosy.
PMID- 29640377
TI - The Historical Evolution of the Sense of Colour; Refutation of the Theories of
Gladstone and Magnus.
PMID- 29640378
TI - Hypodermic Injection of Morphia.
PMID- 29640380
TI - On the Occurrence of the Common Fluke (Fasciola Hepatica) in the Human Subject.
PMID- 29640379
TI - Statistical Report of Results of Operations Performed.
PMID- 29640381
TI - Monthly Report of the Progress of Therapeutics.
PMID- 29640382
TI - Meetings of Societies.
PMID- 29640383
TI - Statistical Society, Howard Medal.
PMID- 29640384
TI - Observations on Some Points in Dextral Valvular Disease of the Heart, Being a
Graduation Thesis.
PMID- 29640386
TI - Observations on Some Points in Dextral Valvular Disease of the Heart, Being a
Graduation Thesis.
PMID- 29640385
TI - Note on the Active Treatment of Severe Cases of Primary Keratitis.
PMID- 29640387
TI - Occasional Periscope of Dermatology.
PMID- 29640389
TI - Dr Cameron on Potts's Fracture of the Fibula.
PMID- 29640388
TI - Correspondence.
PMID- 29640390
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640392
TI - Periscope of Ophthalmology.
PMID- 29640391
TI - The Differential Diagnosis of Lead Encephalopathy and Intra-Cranial Tumours.
PMID- 29640393
TI - Periscope of Otology.
PMID- 29640394
TI - On the Medical Uses of Electricity: A Clinical Lecture.
PMID- 29640396
TI - Case of Perityphlitis.
PMID- 29640395
TI - Statistical Report of Results of Operations Performed.
PMID- 29640397
TI - Note on the Excretion of Urea.
PMID- 29640398
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640399
TI - The Therapeutics of Belladonna in Intestinal Obstruction.
PMID- 29640400
TI - Rotten Teeth: A Rhapsody, with a Remedy.
PMID- 29640401
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29640402
TI - Meetings of Societies.
PMID- 29640403
TI - Two Cases of Cerebral Abscesses.
PMID- 29640404
TI - Address to Students, 1879-80.
PMID- 29640405
TI - Indian Medical Service.
PMID- 29640406
TI - Meetings of Societies.
PMID- 29640407
TI - Navy Medical Department.
PMID- 29640408
TI - List of Hospitals, Etc., in Connexion with the Medical Schools of Scotland.
PMID- 29640409
TI - Information Regarding Medical Education and Examinations.
PMID- 29640410
TI - Perthshire Medical Association.
PMID- 29640411
TI - Occasional Periscope of Dermatology.
PMID- 29640413
TI - Periscope of Otology and Ophthalmology.
PMID- 29640412
TI - Monthly Report of the Progress of Therapeutics.
PMID- 29640414
TI - Postponement of Examination for the Army Medical Department.
PMID- 29640415
TI - The Use of the Volsella in Gynecology.
PMID- 29640417
TI - Army Medical Department.
PMID- 29640416
TI - Report of Cases Treated in Connexion with the Edinburgh Royal Maternity and
Simpson Memorial Hospital during the First Quarter, with Remarks.
PMID- 29640418
TI - Remarks on the Examination and Classification of Cases of Colour-Blindness.
PMID- 29640420
TI - Medical Schools of Scotland.
PMID- 29640419
TI - A Short Sketch of the Recent Visitation of the Plague in Bagdad and Its Vicinity.
PMID- 29640421
TI - Army Medical School.
PMID- 29640422
TI - The Treatment of Aneurisms by Electrolysis.
PMID- 29640423
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh, during the Year 1879-80.
PMID- 29640425
TI - Case of Prolapse of Uterus, with Eversion of Vagina, Complicating Labour.
PMID- 29640424
TI - Observations on the Nature, Symptoms, and Treatment of Constricted or
Strangulated Hernia, Reduced En Bloc.
PMID- 29640426
TI - Note of a Case of Labour, Complicated with Locomotor Ataxia.
PMID- 29640427
TI - Cases of Intra-Cranial Tumour.
PMID- 29640428
TI - Report of a Case of Puerperal Eclampsia.
PMID- 29640429
TI - Periscope of Ophthalmology and Otology.
PMID- 29640430
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640431
TI - Treatment of Puerperal Septicaemia.
PMID- 29640432
TI - Occasional Periscope of Dermatology.
PMID- 29640433
TI - On the Adenoid Tumours of the Naso-Pharynx, and Their Influence on the Hearing,
Breathing, and Phonation, and on Their Treatment.
PMID- 29640434
TI - Examination of Candidates for British Medical Service.
PMID- 29640436
TI - On the Etiology and History of Leprosy.
PMID- 29640435
TI - Recurrent Tumours in the Parotid Region.
PMID- 29640437
TI - Case of Hystero-Epilepsy, Aggravated by Pregnancy and Necessitating Premature
Labour.
PMID- 29640438
TI - Observations on Some Points in Dextral Valvular Disease of the Heart.
PMID- 29640439
TI - Monthly Report of the Progress of Therapeutics.
PMID- 29640440
TI - Meetings of Societies.
PMID- 29640441
TI - Correspondence.
PMID- 29640442
TI - The Bearings of the Shape of the Foetal Head on the Mechanism of Labour.
PMID- 29640443
TI - Messrs T. & H. Smith & Co. and the Sydney International Exhibition.
PMID- 29640444
TI - Murchison Memorial.
PMID- 29640445
TI - Monthly Report of the Progress of Therapeutics.
PMID- 29640446
TI - Periscope of Syphilology.
PMID- 29640448
TI - Meetings of Societies.
PMID- 29640447
TI - Case of Reunion of a Severed Portion of Finger.
PMID- 29640449
TI - Occasional Periscope of Dermatology.
PMID- 29640451
TI - The New Royal Infirmary.
PMID- 29640450
TI - The Study of Mental Disease; Being the Introductory Lecture Delivered in the
University of Edinburgh, on the Institution of the Lectureship on Mental
Diseases, May 1879.
PMID- 29640452
TI - Lipaemia and Fat Embolism in the Fatal Dyspnoea and Coma of Diabetes.
PMID- 29640453
TI - On the Operation for Stone in the Female Bladder.
PMID- 29640454
TI - Spurious Hydrophobia in the Lower Animals.
PMID- 29640455
TI - Aural Periscope.
PMID- 29640456
TI - On the Adenoid Tumours of the Naso-Pharynx, and Their Influence on the Hearing,
Breathing, and Phonation, and on Their Treatment.
PMID- 29640458
TI - Royal Visit to the New Hertford British Hospital, Paris.
PMID- 29640457
TI - Note on a Case of Lateral Curvature of the Spine Treated by a New Method.
PMID- 29640459
TI - Sudden Death from Aneurism by Rupture.
PMID- 29640461
TI - To See Ourselves as Others See Us.
PMID- 29640460
TI - Correspondence.
PMID- 29640462
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640463
TI - On the Etiology and History of Leprosy.
PMID- 29640465
TI - Pleural Effusion, Its Diagnosis and Treatment.
PMID- 29640464
TI - Idiopathic or Progressive Pernicious Anaemia, with Cases.
PMID- 29640466
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29640467
TI - Conference of Dentists.
PMID- 29640468
TI - Appointment to the Chair of Clinical Surgery.
PMID- 29640469
TI - On Puerperal Fever.
PMID- 29640470
TI - Italian Medical Periodicals.
PMID- 29640471
TI - Case of Molluscous Tumour of the Ear, Complicated with Polypi and a Fistulous
Opening behind the Auricle Communicating with the Internal Ear, and Stretching up
to a Cavity on the Temple Two Inches above the Auricle under the Muscular
Structures.
PMID- 29640472
TI - Treatment of Anomalies in the Spanning of the Membrana Tympani.
PMID- 29640473
TI - On the Human Voice.
PMID- 29640474
TI - On the Management of Natural Labour.
PMID- 29640475
TI - Doctors in the English Army.
PMID- 29640476
TI - Concerning the Normal Arched or Spanned Conditions of the Healthy Membrana
Tympani in the Human Subject.
PMID- 29640477
TI - Notes on a Case of Chronic Chloral Poisoning.
PMID- 29640478
TI - Tubercular Leprosy.
PMID- 29640479
TI - Army Medical Candidates.
PMID- 29640481
TI - The Anti-Vivisection Act.
PMID- 29640480
TI - On Certain Manifestations of Gout, Rheumatism, and Rheumatoid Disease.
PMID- 29640483
TI - List of Candidates Who Received Medical Degrees in the University of Edinburgh.
PMID- 29640482
TI - Reports of Cases from the Medical Mission Register of Neyoor, Travancore, South
India.
PMID- 29640484
TI - Excision of the Lower End of the Rectum in Cases of Cancer.
PMID- 29640486
TI - Candidates for India Medical Service.
PMID- 29640485
TI - Notes and Remarks on Three Cases of Puerperal Convulsions.
PMID- 29640487
TI - The Model Physician.
PMID- 29640489
TI - Recent Progress in Surgery.
PMID- 29640488
TI - Opium in Relation to Population.
PMID- 29640490
TI - Death and Danger from Ether.
PMID- 29640491
TI - On the Nature and Mechanism of Spontaneous Rupture of the Uterus in Its Cervical
Portion.
PMID- 29640492
TI - Puerperal Embolism.
PMID- 29640493
TI - Meetings of Societies.
PMID- 29640494
TI - Over-Population-Effects Produced by It, and the Remedies Proposed.
PMID- 29640495
TI - Dilatation of the Ureters and Renal Pelves.
PMID- 29640496
TI - Meetings of Societies.
PMID- 29640497
TI - What Is the Use of a Hospital?
PMID- 29640498
TI - Note on a Mode of Saving Blood in Great Operations.
PMID- 29640499
TI - Notes on Surgical Practice among the Natives of Shanghai.
PMID- 29640500
TI - On the Etiology and History of Leprosy.
PMID- 29640501
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640502
TI - Venomous Animals.
PMID- 29640503
TI - A New Way of Applying Mr Lister's Method of Treating Wounds.
PMID- 29640505
TI - Some Remarks on Fibrous Tumours of the Uterus.
PMID- 29640504
TI - Mercury in Syphilis.
PMID- 29640507
TI - Penetrating Wound of the Abdomen, with Prolapse of the Omentum.
PMID- 29640506
TI - Notes of a Case of Scirrhus in Both Mammae.
PMID- 29640508
TI - Giant-Cells in Syphilomata.
PMID- 29640509
TI - Meetings of Societies.
PMID- 29640510
TI - Case of Emphysema during Labour-Short Forceps-Rupture of Perineum-Operation for
Its Restoration.
PMID- 29640511
TI - Notes of a Case of Supplementary Axillary Mammae.
PMID- 29640512
TI - Sound Vibrations of Soap-Film Membranes.
PMID- 29640513
TI - Ophthalmology in Its Relation to General Medicine.
PMID- 29640514
TI - Gangrenous Tuberculo-Ulcerating Syphilid.
PMID- 29640515
TI - The Surgical Function of the Omentum.
PMID- 29640516
TI - Description of an Acardiac Foetus.
PMID- 29640517
TI - Urethral Polypus.
PMID- 29640518
TI - Dislocation of the Thumb Backwards.
PMID- 29640519
TI - Case of Procidentia Uteri.
PMID- 29640520
TI - Subscapular Friction.
PMID- 29640521
TI - Chair of Clinical Surgery.
PMID- 29640523
TI - Disease from Worry and Mental Strain. Phenomena of Reduced Vascular Tension.
PMID- 29640522
TI - Case of Triplets, Complicated with Puerperal Convulsions after Delivery.
PMID- 29640524
TI - Chronic Endo-Carditis, Independent of Rheumatism and Amenable to Treatment,
Simulating Confirmed and Irremediable Valvular Disease.
PMID- 29640526
TI - MM. Vincent and Guignard on Disease and Excision of the Calcaneum.
PMID- 29640525
TI - Case of Infanticide by Asphyxia, in Which the Four Cavities of the Heart Were
Found Empty.
PMID- 29640527
TI - Chair of Materia Medica.
PMID- 29640528
TI - Case of Spontaneous Version after the Rupture of the Membranes in a Primipara.
PMID- 29640529
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640530
TI - Observations on the Hypodermic Injection of Remedies, with More Especial
Reference to the Hypodermic Injection of Morphia and Cold Water.
PMID- 29640531
TI - The Effect of Inaudible Vibrations upon Sensitive Flames.
PMID- 29640532
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh.
PMID- 29640533
TI - Medical Schools of Scotland.
PMID- 29640534
TI - Dr Matthews Duncan.
PMID- 29640535
TI - A Synopsis of Private Obstetrical Practice for Forty-Two Years Previous to 1st
January 1876.
PMID- 29640536
TI - Navy Medical Department.
PMID- 29640537
TI - Summary of Dr Marion Sim's Paper on the Discovery of Anaesthesia.
PMID- 29640538
TI - Typical Tubercular Leprosy.
PMID- 29640539
TI - List of Hospitals, Etc., in Connexion with the Medical Schools of Scotland.
PMID- 29640540
TI - Mammary Abscess Treated Antiseptically.
PMID- 29640541
TI - Surgical Statistics.
PMID- 29640542
TI - Excision of the Lower End of the Rectum in Cases of Cancer.
PMID- 29640543
TI - Information Regarding Medical Education and Examinations.
PMID- 29640544
TI - Surgical Notes.
PMID- 29640545
TI - Note of a Case of Haematocele Simulating a Retroverted Gravid Uterus.
PMID- 29640546
TI - Hertford British Hospital of Paris.
PMID- 29640547
TI - Formation of Epidermis by the Transplanting of Hairs.
PMID- 29640548
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29640549
TI - Army Medical Department.
PMID- 29640550
TI - Case of Pyaemia.
PMID- 29640551
TI - On Tubercle in the Human Lung.
PMID- 29640552
TI - Sequeloe of the So-Called "Paludal Fever of Mauritius".
PMID- 29640553
TI - Case of a Lacerated Wound of the Axilla.
PMID- 29640554
TI - Indian Medical Service.
PMID- 29640556
TI - Professional Ethics and Etiquette.
PMID- 29640555
TI - On the Auricular Impulse.
PMID- 29640557
TI - Army Medical School.
PMID- 29640558
TI - Treatment of Anomalies in the Spanning of the Drumhead.
PMID- 29640559
TI - Coal-Gas Poisoning. Successful Case.
PMID- 29640560
TI - The Antiseptic Dressing of Wounds.
PMID- 29640561
TI - Antiseptic Treatment of Chronic Bursitis.
PMID- 29640563
TI - Advice to a Friend.
PMID- 29640562
TI - Introductory Address, Delivered at the Opening of the Edinburgh Medical School on
30th October 1877.
PMID- 29640565
TI - Meetings of Societies.
PMID- 29640564
TI - Functions of the Spleen.
PMID- 29640567
TI - On the Etiology and History of Leprosy.
PMID- 29640566
TI - Medical Specimens.
PMID- 29640568
TI - Ueber eine neue Methode zur Vervollstandigung des Unterrichtes in der
Gynakologie.
PMID- 29640570
TI - The Survival of the Fittest.
PMID- 29640571
TI - Notice of Capo d'Istria.
PMID- 29640569
TI - A. Blum on Diseases of the Urethra in Women.
PMID- 29640573
TI - Insanity and the Revival Movement.
PMID- 29640572
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29640574
TI - On a New Preparation for Allaying Irritation of the Actively Secreting Mammary
Glands.
PMID- 29640575
TI - Dinner to Dr J. Matthews Duncan.
PMID- 29640576
TI - Observations on Antipyretics.
PMID- 29640577
TI - Eczema among Woodcutters.
PMID- 29640578
TI - A Preliminary Note upon the Occurrence of Incomplete Development of the Striated
Muscle Fibre as a Cause of Certain Congenital Deformities of the Extremities.
PMID- 29640579
TI - Case of Myositis Ossificans Progressiva.
PMID- 29640580
TI - The Relation of Hyperfunction of the Posterior Lobe of the Hypophysis to
Eclampsia and Nephropathy of Pregnancy.
PMID- 29640582
TI - The Heart in Lobar Pneumonia.
PMID- 29640581
TI - The Treatment of Chorea by Nirvanol.
PMID- 29640583
TI - Studies on Blood and Tissue Reactions: III. The Specificity of Tissue and Blood
Reaction.
PMID- 29640584
TI - Peptic Ulcer and Its Treatment: A Study of 200 Hospital Cases.
PMID- 29640585
TI - Artificial Pneumothorax.
PMID- 29640586
TI - Edward Clark Chair of Child Life and Health: Inaugural Address.
PMID- 29640587
TI - Dupuytren's Contraction and Dysparathyreoidism.
PMID- 29640588
TI - Multiple Duodenal Ulcers.
PMID- 29640589
TI - Heart Block in Toxic Goitre: A Report of Two Cases.
PMID- 29640590
TI - Varicose Veins in Pregnancy.
PMID- 29640591
TI - Notes.
PMID- 29640592
TI - Myositis Ossificans Progressiva.
PMID- 29640593
TI - Valedictory Address on "Fifty Years of Medicine".
PMID- 29640594
TI - Clinical Meeting.
PMID- 29640595
TI - Therapeutic Pneumothorax.
PMID- 29640596
TI - Notes.
PMID- 29640597
TI - Periarterial Sympathectomy in Radiodermatitis.
PMID- 29640598
TI - Cirsoid Aneurysm.
PMID- 29640599
TI - Arteriectomy.
PMID- 29640600
TI - Epilepsy after Ligation of Carotid Artery and Internal Jugular Vein.
PMID- 29640602
TI - Myositis Ossificans Progressiva.
PMID- 29640601
TI - Brain Involvement in Head Injury. A Preliminary Study of 200 Cases.
PMID- 29640604
TI - Osteoma of the Tongue.
PMID- 29640603
TI - Traumatic Venous Thrombosis in the Upper Extremity.
PMID- 29640605
TI - Clinlcal Meeting.
PMID- 29640606
TI - Calcium Chloride to Relieve Peristaltic Pain.
PMID- 29640607
TI - Studies on Blood and Tissue Reactions: I. Notes on Technique of Blood
Examination.
PMID- 29640608
TI - Glandular Fever or Infective Mononucleosis.
PMID- 29640610
TI - Hyperinsulinism.
PMID- 29640611
TI - The Treatment of Nephritic OEdema by Acid.
PMID- 29640609
TI - The Relation of Glycosuria to Glycaemia and the Determination of the Renal
Threshold for Glucose.
PMID- 29640612
TI - The Genesis of Blood Platelets.
PMID- 29640613
TI - The Treatment of Pernicious Anaemia with Campolon.
PMID- 29640614
TI - Complement Fixation in Meningococcal Infections as an Aid to Diagnosis: With Note
on Clinical Standpoint.
PMID- 29640616
TI - Extract of Suprarenal Cortex in the Treatment of Addison's Disease.
PMID- 29640615
TI - A Clinico-Pathological Study of Carcinoma of the Stomach, Using Large Microscopic
Sections and Dissecting the Lymphatic Spread.
PMID- 29640617
TI - Operations on the Nerves of the Urinary Bladder.
PMID- 29640619
TI - Insulin and the Healing of Fractures.
PMID- 29640618
TI - Milker's Whitlow.
PMID- 29640620
TI - Notes.
PMID- 29640621
TI - The Genesis of Blood Platelets.
PMID- 29640622
TI - Underlying Principles in the Treatment of Bone and Joint Tuberculosis.
PMID- 29640623
TI - Human Tuberculosis of Bovine Origin.
PMID- 29640624
TI - Angina Agranulocytica and Its Treatment.
PMID- 29640625
TI - Tuberculosis Schemes-Administrator and Clinician.
PMID- 29640626
TI - Pregnancy in Tuberculosis.
PMID- 29640628
TI - Primary OEsophageal Tuberculosis.
PMID- 29640627
TI - Pneumoperitoneum in the Treatment of Tuberculous Enterocolitis.
PMID- 29640629
TI - Remarks by the President: The Incidence of the Bovine Type of Tuberculous
Infection in Scotland.
PMID- 29640630
TI - Remarks by the President (Sir Robert Philip).
PMID- 29640631
TI - The Incidence of the Human and Bovine Types of Tuberculous Infection in Children
Living in the West of Scotland.
PMID- 29640632
TI - Some Observations on the Death-Rate from Tuberculosis in Glasgow.
PMID- 29640633
TI - Some Observations on Tuberculides.
PMID- 29640634
TI - The Cause and Treatment of Displacements of the Heart in Pulmonary Tuberculosis.
PMID- 29640635
TI - Protection against Tuberculosis.
PMID- 29640637
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29640638
TI - On the Adenoid Tumours of the Naso-Pharynx, and Their Influence on the Hearing,
Breathing, and Phonation, and on Their Treatment.
PMID- 29640636
TI - Harveian Discourse, 14th April 1879.
PMID- 29640639
TI - Reduction en masse of a Strangulated Inguinal Hernia in a Woman.
PMID- 29640640
TI - Ligature of the Ischial Artery.
PMID- 29640641
TI - Cases of Intra-Cranial Tumour.
PMID- 29640642
TI - Haematemesis in the Newly-Born Child (Illustrated by a Case Associated with the
Haemorrhagic Diathesis in the Mother).
PMID- 29640644
TI - Monthly Report of the Progress of Therapeutics.
PMID- 29640645
TI - Presentation to Dr Fowler, Corstorphine.
PMID- 29640643
TI - Meetings of Societies.
PMID- 29640647
TI - Occasional Periscope of Dermatology.
PMID- 29640646
TI - Sudden Death from Aneurism by Rupture.
PMID- 29640648
TI - Aural Periscope.
PMID- 29640649
TI - On the Prevention of Mammary Abscess.
PMID- 29640650
TI - Spurious Hydrophobia in the Lower Animals.
PMID- 29640651
TI - Observations on Some Forms of Sterility and on Placenta Praevia in First Labours:
With Illustrative Cases.
PMID- 29640652
TI - Surgical Cases-Injuries and Operations.
PMID- 29640653
TI - Army Medical School, Netley.
PMID- 29640654
TI - Remarks on the Etiology of "Puerperal Fever".
PMID- 29640655
TI - Royal Maternity Hospital.
PMID- 29640657
TI - Case of Aneurism of the Aorta Projecting into the Neck, and Accompanied by
Contraction of the Pupil on the Affected Side.
PMID- 29640656
TI - On Croup: Its Nature and Treatment.
PMID- 29640658
TI - Medicine.
PMID- 29640659
TI - On the Os Sacrum Considered as Forming Part of the Vault of the Pelvis, and on
Its Function in the Development of the Lateral Expansion of That Cavity.
PMID- 29640660
TI - Diseases of the Spinal Cord and Its Membranes.
PMID- 29640661
TI - Case of Encephaloid Cancer of the Right Kidney and of the Lung, Simulating
Ascites, and Developed at a Very Early Age.
PMID- 29640663
TI - Surgery.
PMID- 29640662
TI - Case of Labour Complicated with Emphysema.
PMID- 29640664
TI - Varieties.
PMID- 29640666
TI - Obstetrical Society of Edinburgh.
PMID- 29640665
TI - Case of Traumatic Rupture of the Duodenum.
PMID- 29640667
TI - On the Extensive Diffusion and Frequency of Starch Corpuscles, in the Tissues of
the Human Body.
PMID- 29640668
TI - Observations on Croup:-Symptomatography.
PMID- 29640669
TI - Case of Aneurism of the Aorta, Occupying the Arch, and Obstructing the Left
Carotid and Subclavian Arteries; with Lesser Aneurisms, One of Which Opened into
the Left Auricle; and with Aortic and Mitral Regurgitation.
PMID- 29640670
TI - Hints to Students on the Prosecution of Their Studies: Being Extracts from an
Address Delivered at Surgeons' Hall, Edinburgh, at the Commencement of the Winter
Session 1854.
PMID- 29640671
TI - The Month.
PMID- 29640672
TI - Case of Branchial Fistula of the Neck.
PMID- 29640673
TI - Medical Schools of Scotland, 1855-6.
PMID- 29640674
TI - On the Composition of Bread.
PMID- 29640675
TI - Case of Tubercular Leprosy or Elephantiasis Groecorum: Condensed from a Paper
Read before the Medico-Chirurgical Society of Edinburgh.
PMID- 29640676
TI - Case of Rupture of the Aorta.
PMID- 29640678
TI - Course of Study Required by the Various Boards of the United Kingdom.
PMID- 29640677
TI - Town Council Proceedings.
PMID- 29640679
TI - Proceedings of the Poor-Law Commissioners of England.
PMID- 29640680
TI - Diseases of the Spinal Cord and Its Membranes.
PMID- 29640681
TI - Case of Un-United Fracture of Humerus, Cured by Resection.
PMID- 29640682
TI - On the Speculum in Local Bleeding in Diseases of the Uterus.
PMID- 29640683
TI - Notes on the Application of Statistics, to Questions in Medical Science,
Particularly as to the External Causes of Diseases.
PMID- 29640684
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye-Infirmary.
PMID- 29640685
TI - Edinburgh Obstetrical Society.
PMID- 29640686
TI - List of Graduates.
PMID- 29640687
TI - Peculiar Case of an Extensive Sanguineous Tumour, or Extravasation of Blood into
the Substance of Thigh.
PMID- 29640688
TI - On Synchronous, or Simultaneous Double Amputation.
PMID- 29640689
TI - Varieties.
PMID- 29640690
TI - Therapeutical Record.
PMID- 29640691
TI - On Medical Evidence in the Preliminary Investigation of Criminal Cases in
Scotland.
PMID- 29640692
TI - On the Horizontal Curvature of the Internal Femoral Condyle: On the Movements and
Relations of the Patella; Semilunar Cartilages; and Synovial Pads of the Human
Knee-Joint.
PMID- 29640693
TI - Midwifery.
PMID- 29640695
TI - Observations on Croup:-Nosography.
PMID- 29640694
TI - Perineal Fistula Left by the Transit of the Infant through the Perineum.
PMID- 29640696
TI - Surgery.
PMID- 29640697
TI - Medicine.
PMID- 29640698
TI - Case of Femoral Hernia, Containing the Caput Coecum, and Complicated with an
Irregular Obturator Artery Surrounding and Constricting the Protrusion.
PMID- 29640699
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640700
TI - The Board of Supervision.
PMID- 29640701
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary.
PMID- 29640702
TI - Cases and Observations in Surgery.
PMID- 29640704
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640703
TI - On Blood-Letting in Internal Inflammations.
PMID- 29640705
TI - Varieties.
PMID- 29640706
TI - Cases of Gastro-Colic Fistula, with Observations on Its Pathology, Diagnosis,
etc.
PMID- 29640707
TI - Toxicology.
PMID- 29640708
TI - Medical News.
PMID- 29640709
TI - Clinical Observations on a Characteristic of the Urine in Rheumatism and Gout,
with Special Reference to Diathetic Diagnosis.
PMID- 29640711
TI - Case in Which Inflammation and Ulceration of the Sound Skin Was Caused by the
Application of a Strong Arsenical Solution.
PMID- 29640710
TI - Account of a Species of Diarrhoea in Which the Stools Contain Fatty Corpuscles.
PMID- 29640712
TI - Notes on the Cholera Which Appeared at Varna in the Year 1854, and More
Especially in Her Majesty's Ship "Agamemnon," in the Black Sea, between the 1st
August 1854, and 8th September 1855.
PMID- 29640714
TI - Statement by the Medical Incorporations with Regard to Medical Reform.
PMID- 29640713
TI - The Month.
PMID- 29640715
TI - Notes on the Cholera Which Appeared at Varna in the Year 1854, and More
Especially in Her Majesty's Ship "Agamemnon," in the Black Sea, between the 1st
August 1854, and 8th September 1855.
PMID- 29640716
TI - On the Prevalence of Calculus Vesicoe, and the Result of the Operation of
Lithotomy in the Bengal Presidency.
PMID- 29640718
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640717
TI - Cases and Observations in Surgery.
PMID- 29640720
TI - Varieties.
PMID- 29640719
TI - The Doctrine of the Duration of Labour.
PMID- 29640721
TI - Obstetrical Society of Edinburgh.
PMID- 29640722
TI - The Month.
PMID- 29640723
TI - Medicine.
PMID- 29640725
TI - Royal College of Surgeons.
PMID- 29640724
TI - Suggestions for Observations on the Influence of Cholera, and Other Epidemic
Poisons, on the Lower Animals.
PMID- 29640726
TI - Cases of Gastro-Colic Fistula, with Observations on Its Pathology, Diagnosis,
etc.
PMID- 29640728
TI - Bubo (?) within the Abdomen.
PMID- 29640727
TI - Case of Poisoning by Aq. Ammonia.
PMID- 29640729
TI - Hygiene.
PMID- 29640730
TI - Reply to the Foregoing "Remarks" of Dr Gairdner.
PMID- 29640731
TI - Successful Case of Staphylography.
PMID- 29640732
TI - Notes on the Cholera Which Appeared at Varna in the Year 1854, and More
Especially in Her Majesty's Ship "Agamemnon," in the Black Sea, between the 1st
August 1854, and 8th September 1855.
PMID- 29640733
TI - Obstetrical Society of Edinburgh.
PMID- 29640734
TI - The Month.
PMID- 29640735
TI - Cases and Observations in Surgery.
PMID- 29640736
TI - Statistics.
PMID- 29640737
TI - Midwifery.
PMID- 29640738
TI - Remarks on Dr Bennett's Paper on Blood-Letting and Antiphlogistic Treatment, in
the Edinburgh Medical Journal for March 1857.
PMID- 29640739
TI - Varieties.
PMID- 29640740
TI - Cases and Observations in Surgery.
PMID- 29640741
TI - Half-Yearly Medical Report of Fife County Prison, Ending June 30, 1857.
PMID- 29640742
TI - Epidemic Cholera.
PMID- 29640744
TI - Varieties.
PMID- 29640743
TI - Contributions to the Pathology of the Brain, Fevers, etc.
PMID- 29640745
TI - Surgery.
PMID- 29640746
TI - Syphilization in Norway.
PMID- 29640747
TI - On Injections of the Bronchi in Pulmonary Diseases.
PMID- 29640748
TI - Contribution to the Statistics of Pneumonia.
PMID- 29640749
TI - Cases of Suicidal Poisoning with Arsenic.
PMID- 29640750
TI - Royal College of Surgeons.
PMID- 29640751
TI - Medicine.
PMID- 29640752
TI - On the Compulsory and Unpaid Registration of Deaths by Medical Men.
PMID- 29640753
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640754
TI - The Internal Surface of the Uterus after Delivery.
PMID- 29640755
TI - Claims of Scotch Practitioners to Practise in England.
PMID- 29640756
TI - Phthisis and Climate.
PMID- 29640757
TI - Medical Reform.
PMID- 29640758
TI - Therapeutical Record.
PMID- 29640759
TI - Introductory Address.
PMID- 29640760
TI - Graduation Address.
PMID- 29640762
TI - Surgery.
PMID- 29640761
TI - Officers of the Association of the King and Queen's College of Physicians in
Ireland, for 1857-58.
PMID- 29640763
TI - Varieties.
PMID- 29640764
TI - Mr Macleod's Case of Ileus.
PMID- 29640765
TI - Medicine.
PMID- 29640766
TI - Three Case Sof Ruptured Perineum and Sphincter Ani, in Which Operative Treatment
Proved Successful.
PMID- 29640768
TI - Midwifery.
PMID- 29640767
TI - On the Quantity of Poison Which Has Been Found in the Stomach in Murder by
Poisoning with Arsenic.
PMID- 29640769
TI - Dr Livingstone in Edinburgh.
PMID- 29640770
TI - Contributions to the Pathology of the Brain, Fevers, etc.
PMID- 29640771
TI - Case of Dislocation of the Humerus Backwards.
PMID- 29640772
TI - Course of Study Required by the Various Boards of the United Kingdom.
PMID- 29640773
TI - Surgery.
PMID- 29640774
TI - Cases and Observations in Surgery.
PMID- 29640775
TI - Case of Empyema and Pneumo-Thorax; with a Peculiar Metallic Phenomenon in
Connection with the Sounds of the Heart. Death by Epileptic Coma (Uroemic
Poisoning).
PMID- 29640777
TI - Notice of a Case in Which a Tumour of the Pia Mater Caused Compression of the
Spinal Cord.
PMID- 29640776
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640778
TI - Letters to Dr Alison, on the Supposed Change of Type of Inflammatory Diseases.
PMID- 29640779
TI - Medicine.
PMID- 29640780
TI - Medical Schools of Scotland, 1857-8.
PMID- 29640781
TI - The Month.
PMID- 29640782
TI - Addresses of Dr Faye on Syphilization, and of Dr Clay on Ovariotomy.
PMID- 29640783
TI - Medical Notes on India.
PMID- 29640784
TI - Case of Ulcer of OEsophagus Perforating the Aorta.
PMID- 29640785
TI - Preparation of Cantharidis.
PMID- 29640786
TI - Case of Suppurating Tumour in the Groin, Resembling Hernia.
PMID- 29640787
TI - Address Delivered to the Members of the Harveian Society of Edinburgh, at Their
Annual Meeting Held on the 13th April, 1857.
PMID- 29640788
TI - Varieties.
PMID- 29640790
TI - Hints to Students: On the Prosecution of Their Studies.
PMID- 29640789
TI - Statistics.
PMID- 29640791
TI - Case of Enteritis, with Some Remarks on the Treatment of Inflammations.
PMID- 29640794
TI - Case of Tracheotomy Performed on a Child Three Months Old.
PMID- 29640793
TI - On Law and Medicine in Insanity: An Introductory Lecture.
PMID- 29640792
TI - Case of Extreme Debility after Acute Disease, Successfully Treated by Pepsine.
PMID- 29640795
TI - Quarterly Return of Births, Deaths, and Marriages.
PMID- 29640796
TI - Practice of Physic.
PMID- 29640797
TI - Epidemic of Scarlet Fever at Donaldson's Hospital during the Autumn and Winter of
1861.
PMID- 29640798
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640799
TI - Varieties.
PMID- 29640800
TI - Case of Inversion of the Uterus Occurring Spontaneously Eighty Hours after
Delivery.
PMID- 29640801
TI - Statistics of Prisoners: Cases of Homicidal Mania.
PMID- 29640802
TI - On the Occurrence of Aguish Diseases on Board Ship.
PMID- 29640803
TI - Description of the Native Operation for Depression of Cataract in India.
PMID- 29640805
TI - Letter from Paris.
PMID- 29640804
TI - Case of Poisoning by Sulphuric Acid.
PMID- 29640806
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640808
TI - Another Case of Amputation of the Thigh at Its Upper Fourth, in Which Acupressure
Was Successfully Employed;-With Remarks.
PMID- 29640807
TI - On the Elephantiasis Scroti of Bengal.
PMID- 29640809
TI - Varieties.
PMID- 29640810
TI - Contributions to the Knowledge of Osteomalacia.
PMID- 29640812
TI - Practice of Medicine.
PMID- 29640811
TI - Case of Strangulated Hernia, Accompanied with Chronic Abscess in the
Neighbourhood of Poupart's Ligament.
PMID- 29640814
TI - Professors Syme and Velpeau on the Treatment of Fracture of the Femur.
PMID- 29640813
TI - Small-Pox and Vaccination in Campbelton, 1860-61.
PMID- 29640815
TI - The Wire Seton in Hydrocele.
PMID- 29640816
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640817
TI - Contributions to the Knowledge of Osteomalacia.
PMID- 29640818
TI - University of Edinburgh.
PMID- 29640819
TI - Royal Medical Society of Edinburgh.
PMID- 29640820
TI - Hygiene.
PMID- 29640821
TI - Scottish Universities Commission.
PMID- 29640822
TI - Case of Punctured Wound of the Brain-Recovery.
PMID- 29640823
TI - Some Remarks on the Treatment of Scalds and Burns.
PMID- 29640824
TI - Midwifery.
PMID- 29640825
TI - Case of Cancer of the Coecum, Accompanied with Coeco-Duodenal and Coeco-Colic
Fistuloe.
PMID- 29640826
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29640827
TI - Medical News.
PMID- 29640828
TI - On the Temperature of Mineral Waters.
PMID- 29640829
TI - Report of Clinical Cases Treated during the Session 1860-61, in the Surgical
Wards of the Royal Infirmary.
PMID- 29640831
TI - Jottings from the Parisian Hospitals.-No. II.
PMID- 29640830
TI - Royal College of Physicians.
PMID- 29640832
TI - Varieties.
PMID- 29640833
TI - An Inquiry into the Chemistry and Properties of the Cytisus Laburnum.
PMID- 29640834
TI - Practice of Medicine.
PMID- 29640835
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29640836
TI - On Cancrum Oris.
PMID- 29640837
TI - Notice of Some of the Cases Treated in the Clinical Surgical Wards of the Royal
Infirmary of Edinburgh, during February 1862.
PMID- 29640838
TI - Materia Medica and Therapeutics.
PMID- 29640839
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640840
TI - Case of Coesarean Section.
PMID- 29640842
TI - Report of the Edinburgh Ear Dispensary; with Notes of One Hundred Cases of
Diseases of the Ear, Treated in Succession during the First Months of 1861.
PMID- 29640841
TI - Half-Yearly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140 George
Street.
PMID- 29640843
TI - Report on the Causes of Death among the Assured in the North British Insurance
Company, from the Commencement of the Business in 1823, up to 31st December 1860:
Being a Period of Thirty-Seven Years.
PMID- 29640845
TI - Quarterly Return of Births, Deaths, and Marriages.
PMID- 29640844
TI - Scottish Universities Commission.
PMID- 29640847
TI - Remarks on Certain Medico-Legal Aspects of the Maclean Will Case.
PMID- 29640846
TI - Notice of Some of the Cases Treated in the Clinical Surgical Wards of the Royal
Infirmary of Edinburgh, during January 1862.
PMID- 29640848
TI - Jottings from the Parisian Hospitals.
PMID- 29640849
TI - Midwifery.
PMID- 29640850
TI - Case of Suppuration within the Peritoneum.
PMID- 29640851
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640852
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29640853
TI - Cases of Poisoning by Goats' Milk.
PMID- 29640854
TI - Important Trial for Alleged Malpraxis.
PMID- 29640855
TI - Surgery.
PMID- 29640856
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29640858
TI - Diphtheria and Its Sequels: A Narrative.
PMID- 29640857
TI - Notice of Some of the Cases Treated in the Clinical Surgical Wards of the Royal
Infirmary of Edinburgh, during March 1862.
PMID- 29640859
TI - Arsenic and Sesquicarbonate of Ammonia in Ague.
PMID- 29640860
TI - On the Removal of Stumps, Decayed Teeth, etc.
PMID- 29640861
TI - Jottings from the Parisian Hospitals.-No. III.
PMID- 29640862
TI - University Appointments.
PMID- 29640864
TI - An Inquiry into the Chemistry and Properties of the Cytisus Laburnum.
PMID- 29640863
TI - Observations on the Absorbing Power of the Human Skin.
PMID- 29640865
TI - Royal College of Physicians of London.
PMID- 29640866
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29640867
TI - Quarterly Report on Physiology.
PMID- 29640868
TI - On Diseases of the Cerebellum.
PMID- 29640869
TI - Erratum.
AB - [This corrects the article on p. 960 in vol. 7.].
PMID- 29640870
TI - Phosphorus Pills.
PMID- 29640871
TI - Medical News.
PMID- 29640872
TI - Case of Numerous Cutaneous Cysts Scattered over the Body.
PMID- 29640873
TI - Salicin in Obstinate Diarrhoea.
PMID- 29640875
TI - The Use of the Electro-Magnetic Current in the Second Stage of Labour.
PMID- 29640876
TI - Excision of the Thyroid Gland.
PMID- 29640874
TI - The Febrifuge Properties of the Cinchona Alkaloids-Cinchonia, Quinidia, and
Cinchonidia: Being the Abstract of a Thesis, for Which a Gold Medal Was Awarded
by the Medical Faculty of the University of Edinburgh, at Graduation on 1st
August 1872.
PMID- 29640877
TI - The Typhoid Condition.
PMID- 29640879
TI - Triplex Pill of Dr John W. Francis.
PMID- 29640878
TI - On the Comparative Advantages of Forceps, of Turning, and of Premature Labour in
Contracted Pelvis: Illustrated by the Obstetrical Histories of Two Women.
PMID- 29640880
TI - Case of Strychnine Poisoning Successfully Treated by Atropine.
PMID- 29640882
TI - Meetings of Societies.
PMID- 29640881
TI - Two Cases of Intussusception in Children.
PMID- 29640884
TI - Homines Hirsuti.
PMID- 29640883
TI - On a Method of Operating in Certain Cases of Strangulated Hernia.
PMID- 29640885
TI - Case of Polypus of the Cavity of the Cervix Uteri; with Its Microscopical
Examination.
PMID- 29640887
TI - Ice as a Remedy in Stricture.
PMID- 29640886
TI - Medical News.
PMID- 29640888
TI - Method of Examining and Recording Medical Cases.
PMID- 29640890
TI - Median Lithotomy.
PMID- 29640889
TI - The Action of Inorganic Substances When Introduced Directly into the Blood.
PMID- 29640891
TI - On the Use and Abuse of Purgatives.
PMID- 29640892
TI - Case of Recovery from Extensive Wound of the Brain.
PMID- 29640893
TI - Correspondence.
PMID- 29640894
TI - Fracture of Spine-Reduction of Displaced Fragments-Cure.
PMID- 29640895
TI - Circular Referred to by Dr Playfair: When Cholera is Epidemic.
PMID- 29640896
TI - Reduction of Large Herniae by Means of Indiarubber Bands.
PMID- 29640897
TI - Intussusception-Recovery.
PMID- 29640898
TI - Cases of Tetanus Cured by Section of Nerves.
PMID- 29640899
TI - On the Action and Sounds of the Heart.
PMID- 29640900
TI - Resection of Hip-Joint.
PMID- 29640901
TI - Trachetomy in Diphtheria.
PMID- 29640902
TI - Treatment of Diabetes by Arsenic.
PMID- 29640903
TI - The Danger of Badly-Made and Old Catheters.
PMID- 29640904
TI - Death from Chloroform.
PMID- 29640906
TI - The Use and Abuse of Nux Vomica and Its Alkaloids.
PMID- 29640905
TI - Case of Chronic Tetanus Cured by the Removal of a Cicatrix and Involved Nerve.
PMID- 29640907
TI - On the Cause of the Prophylactic and Therapeutic Value of Table Salt, Iodide of
Potassium, Etc.
PMID- 29640908
TI - On the Haemorrhage That Occurs during the Continuance of Pregnancy in Cases of
Placenta Praevia.
PMID- 29640909
TI - Case of Chronic Abscess Treated by Carbolic Acid; with Remarks on Its Use in
Similar Cases.
PMID- 29640910
TI - Medical News.
PMID- 29640911
TI - Picric Acid as Test for Albumen in Clinical Medicine.
PMID- 29640912
TI - A Case of Intrauterine Polypus.
PMID- 29640913
TI - Meetings of Societies.
PMID- 29640914
TI - On the Treatment of Glandular Affections.
PMID- 29640915
TI - Surgical Cases in Relation to Temperature.
PMID- 29640916
TI - Extirpation of the Whole Uterus in a Case of Inversion.
PMID- 29640917
TI - Fragmentary Remarks on New and Old Medicine.
PMID- 29640919
TI - On the Medicinal Use of Green Soap.
PMID- 29640918
TI - Memorandum of the Identification of Blood-Stains.
PMID- 29640920
TI - On Darwinism in Its Relation to the Higher Faculties of Man.
PMID- 29640921
TI - The Late Professor Goodsir and Professor Virchow.
PMID- 29640922
TI - On Ether and Chloroform in London Practice.
PMID- 29640923
TI - On Re-Vaccination as a Means of Preventing and "Stamping-Out" Smallpox.
PMID- 29640924
TI - On the Value of the Corpus Luteum as a Proof of Impregnation; with a Case in
Which an Unimpregnated Ovum Was Found in the Virgin Uterus.
PMID- 29640925
TI - On Endometritis Decidualis Chronica, as a Cause of Abortion in Some Cases of
Displacement of the Pregnant Uterus.
PMID- 29640926
TI - Address to the Scottish Midland and Western Medical Association. Read at the
Annual Meeting on 30th July 1872.
PMID- 29640927
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh, during the Year 1873-74.
PMID- 29640929
TI - Bone Absorption by Means of Giant Cells.
PMID- 29640928
TI - Remarks on Medical Study.
PMID- 29640930
TI - The Structure of Tendon.
PMID- 29640931
TI - The Electrolytic Treatment of Cancer (Neftel).
PMID- 29640932
TI - Information Regarding Medical Education and Examinations.
PMID- 29640933
TI - Navy Medical Department.
PMID- 29640934
TI - An Experimental Inquiry into the Physiological Actions of Theine, Caffeine,
Guaranine, Cocaine, and Theobromine.
PMID- 29640935
TI - Medical News.
PMID- 29640936
TI - Medicine as a Profession: A Graduation Address, Delivered 1st August 1873.
PMID- 29640937
TI - Indian Medical Service.
PMID- 29640939
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29640938
TI - On the Causes of the Predisposition to Phthisis among the Natives of the Hebrides
and West Highlands.
PMID- 29640940
TI - On Abscess of the Larynx Simulating Croup.
PMID- 29640941
TI - Army Medical Department.
PMID- 29640942
TI - Army Medical School.
PMID- 29640943
TI - Little on the Use of Digitalis in the Failing Heart and Delirium of Acute
Diseases.
PMID- 29640944
TI - In-Fleshed Toe-Nail.
PMID- 29640945
TI - Resina Copaibae as a Diuretic (Lancet, 22d March 1873).
PMID- 29640946
TI - Action of Cold Water on the Spleen.
PMID- 29640947
TI - On Chronic Poisoning with Chloral Hydrate.
PMID- 29640948
TI - Siredey and Cordiner on the Treatment of Anasarca, Ascites, and Obstinate
Pleuritic Effusions by Milk.
PMID- 29640949
TI - The Early Symptoms and Treatment of Insanity.
PMID- 29640951
TI - Emetics and Nauseants.
PMID- 29640950
TI - Cerebral Haemorrhage Mistaken for Hysteria.
PMID- 29640952
TI - A Rare Case of Restitution of the Membrana Tympani after Fifteen Years of
Disease.
PMID- 29640953
TI - Professor Gairdner's Lecture on Criminal Insanity.
PMID- 29640954
TI - Army Medical Warrant.
PMID- 29640955
TI - Isnard on the Treatment of Constipation by Arsenic.
PMID- 29640957
TI - On Septicaemia: A Record of Investigations Made by Dr Gesualdo Clementi and Dr
George Thin, in the Institute for Experimental Pathology, Vienna.
PMID- 29640956
TI - Remarks on Insanity.
PMID- 29640958
TI - Some Remarks on the History and Origin of Syphilis.
PMID- 29640959
TI - Diarrhoea in Teething.
PMID- 29640960
TI - Embolism in Endocarditis.
PMID- 29640961
TI - Note of a Case of Secondary Syphilis Communicated by an Infant to Its Nurse.
PMID- 29640962
TI - Internal Fracture of the Skull, with Puncture of the Brain.
PMID- 29640963
TI - Digitalis an Anaphrodisiac.
PMID- 29640964
TI - Morisonian Lectures.
PMID- 29640965
TI - Fuming Nitric Acid for Internal Piles.
PMID- 29640966
TI - Notes of a Case of Laceration of the Urethra with Haemorrhage into the Bladder,
from Direct Injury.
PMID- 29640967
TI - Notes of Practice and Peculiarities in Treatment in the New York Charity
Hospital.
PMID- 29640968
TI - Puerperal Tetanus.
PMID- 29640969
TI - Podolinski on the Expulsion of Carbonic Oxide and Nitric Oxide from the Blood.
PMID- 29640970
TI - The Medical Act Amendent Bill.
PMID- 29640971
TI - Meetings of Societies.
PMID- 29640972
TI - The Pulvis Glycyrrhizae Compositus.
PMID- 29640973
TI - Difference of Longitude.
PMID- 29640975
TI - Nephrotomy.
PMID- 29640974
TI - Poisonous Doses of Chloral.
PMID- 29640977
TI - Hypodermic Atropia in Cholera.
PMID- 29640976
TI - Metallic Catheter Broken in the Urethra.
PMID- 29640978
TI - Acute Periostitis and Subsequent Removal of the Whole Clavicle.
PMID- 29640979
TI - Correspondence.
PMID- 29640980
TI - On the Mechanism of Arrestment of Haemorrhage in Cases of Placenta Praevia.
PMID- 29640981
TI - Medical News.
PMID- 29640982
TI - Meetings of Societies.
PMID- 29640983
TI - Some Reforms in Surgical Statistics.
PMID- 29640984
TI - Introductory Address Delivered at the Opening of the Edinburgh Medical School on
3d November 1873.
PMID- 29640985
TI - Bromide of Calcium in the Treatment of Syphilitic Neuralgia.
PMID- 29640987
TI - Resection of Coccyx to Facilitate the Formation of an Anus in the Perineum in
Cases of Imperforate Rectum.
PMID- 29640986
TI - Remarks on the Employment of Bulbous Bougies.
PMID- 29640988
TI - Dermatology.-Scleroderma.
PMID- 29640989
TI - The Saccharifying Influence of Infants' Saliva (Schiffer).
PMID- 29640990
TI - Surgical Treatment of Ozaena.
PMID- 29640992
TI - Accidental Poisoning by Carbolic Acid.
PMID- 29640991
TI - On Relapsing Fever; with Special Relation to the Epidemic in Bradford in 1869-70.
PMID- 29640993
TI - Fracture of the Skull, with Chronic Abscess-Death in Three Months.
PMID- 29640994
TI - Special Clinical Meeting.
PMID- 29640995
TI - Oxygen-Want: Its Causes, Signs, and Treatment.
PMID- 29640996
TI - Note on Sthenic Dyspepsia-"Hyperchlorhydria".
PMID- 29640998
TI - Lateral Dislocation in the Lumbar Spine.
PMID- 29640997
TI - Passage of Latchkey through the Intestinal Canal.
PMID- 29640999
TI - The Protection of Mankind against Tuberculosis: Being an Address before the
Medico-Chirurgical Society of Edinburgh.
PMID- 29641000
TI - Notes.
PMID- 29641002
TI - Some Factors Modifying the Nutrition of Children: A Survey of 3000 of the Most
Marked Cases of Malnutrition in Viennese Children, Made in the Summer of 1921.
PMID- 29641001
TI - Variola in Baghdad: Notes Regarding the 168 Civilian Cases of Variola Admitted to
the Civil Isolation Hospital, Baghdad, during the Autumn Epidemic of 1921.
PMID- 29641003
TI - Observations on Spinal Anaesthesia.
PMID- 29641005
TI - The Plastic Surgery of the Nose.
PMID- 29641004
TI - On the Significance of Pyuria in Children.
PMID- 29641006
TI - The Therapeutic Value of Quinidine Sulphate in Auricular Fibrillation.
PMID- 29641007
TI - Notes.
PMID- 29641008
TI - Observations on the Action of Quinidine Sulphate on Three Cases of Auricular
Fibrillation.
PMID- 29641009
TI - Observations on Spinal Anaesthesia.
PMID- 29641010
TI - Letter from Vienna.
PMID- 29641011
TI - The Urethroscope as an Aid in the Diagnosis and Treatment of Gonorrhoea.
PMID- 29641012
TI - Private Business.
PMID- 29641013
TI - Congenital Hyperkeratosis.
PMID- 29641014
TI - The Father of Ovariotomy.
PMID- 29641015
TI - The Pathological and Clinical Aspects of Deaf-Mutes.
PMID- 29641016
TI - Methods for the Therapeutic Administration of Oxygen.
PMID- 29641017
TI - Scottish Society of Anaesthetists.
PMID- 29641018
TI - Letter from Vienna.
PMID- 29641019
TI - OEsophageal Achalasia.
PMID- 29641020
TI - Oxygen-Want: Its Causes, Signs, and Treatment.
PMID- 29641021
TI - Early Anatomical Instruction at Edinburgh.
PMID- 29641022
TI - The Choice of Methods Employed in the Surgical Diagnosis of Renal Disease.
PMID- 29641023
TI - Chronic Interstitial Nephritis in Childhood: Renal Infantilism(?): A Clinical
Record with Post-Mortem Report.
PMID- 29641024
TI - A Case of Solitary Cyst in the Humerus.
PMID- 29641025
TI - An Old-Time Reminiscence of Trench Foot: Crimea, 1854-55.
PMID- 29641026
TI - Letter from Vienna.
PMID- 29641028
TI - Notes.
PMID- 29641027
TI - Notes.
PMID- 29641029
TI - A Case of Myositis Ossificans Progressiva in a Child.
PMID- 29641030
TI - The Urethroscope as an Aid in the Diagnosis and Treatment of Gonorrhoea.
PMID- 29641031
TI - A Case of Congenital Narrowing of the OEsophagus.
PMID- 29641032
TI - The Treatment of Disabilities of the Hand and Wrist: An Anatomical Review in
Relation to Function.
PMID- 29641033
TI - The Medical Curriculum.
PMID- 29641034
TI - Aortitis, with Special Reference to Syphilitic Aortitis.
PMID- 29641035
TI - Variations in Respiratory Exchange with Masks of Different Types.
PMID- 29641036
TI - Special Clinical Meeting.
PMID- 29641038
TI - Ova of Oxyuris in the Appendix.
PMID- 29641039
TI - Ulcerative Aortitis.
PMID- 29641037
TI - An Alternative Method of Administering Vaccines.
PMID- 29641041
TI - Stomach in Inguinal Hernia.
PMID- 29641040
TI - An Eighteenth Century Neurologist.
PMID- 29641042
TI - Morison Lectures on "Mental Invalids"-II.
PMID- 29641044
TI - An Eighteenth Century Consultant.
PMID- 29641043
TI - The Effect of Anti-Scarlatinal Serum in a Case of Erythema Nodosum.
PMID- 29641045
TI - Van Den Bergh's Test in Jaundice.
PMID- 29641046
TI - Arteriosclerosis and Diabetes.
PMID- 29641047
TI - Sources of Joint Strength.
PMID- 29641048
TI - Treatment of Exophthalmic Goitre.
PMID- 29641049
TI - A Note upon Twenty Cases Treated with Peptone.
PMID- 29641050
TI - Schistoglossus and Double Tongue.
PMID- 29641052
TI - The Diagnosis of Gall-Stone Ileus.
PMID- 29641051
TI - The Oculo-Cardiac Reflex and Endocrinology.
PMID- 29641053
TI - Coronary Sclerosis.
PMID- 29641054
TI - Post-Operative Treatment of Resection of the Colon.
PMID- 29641055
TI - Imperfectly Descended Testis.
PMID- 29641057
TI - Notes.
PMID- 29641058
TI - Cases of Congenital Cardiac Defect in Children.
PMID- 29641056
TI - Solitary Non-Parasitic Cysts of the Liver.
PMID- 29641060
TI - Neurasthenia and the Spring.
PMID- 29641059
TI - A Study of Tuberculosis in Childhood, Based upon a Review of Forty-Two Post
Mortem Cases.
PMID- 29641061
TI - Cyst of the Vomeronasal Organ.
PMID- 29641062
TI - Notes.
PMID- 29641063
TI - Renal Decapsulation for Nephritis.
PMID- 29641064
TI - Further Note on a Case of Adenoma of the Bile Ducts.
PMID- 29641065
TI - Ruptured Aneurysms of the Abdominal Aorta Due to Tuberculosis: A Report of Two
Cases.
PMID- 29641066
TI - The Treatment of Spastic Paralysis by Sympathetic Ramisection.
PMID- 29641067
TI - The Unity of Variola, Vaccinia, and Varicella.
PMID- 29641068
TI - Regurgitation of the Vesical Contents.
PMID- 29641069
TI - The Radical Cure of Hernia in Infants and Young Children.
PMID- 29641070
TI - Tuberculosis.
PMID- 29641072
TI - Some Considerations Regarding Tuberculosis Work in Edinburgh in Comparison with
Anti-Tuberculosis Organisation in Russia.
PMID- 29641073
TI - Thoracoplasty.
PMID- 29641071
TI - The Direct Cultural Isolation and Early Cultural Investigation of a Series of
Strains of Tubercle Bacilli from Tuberculous Lesions in Animals.
PMID- 29641075
TI - Pulmonary Fibrosis-Tuberculous and Non-Tuberculous.
PMID- 29641074
TI - Remarks upon the Problem of Tuberculosis in Cuba.
PMID- 29641076
TI - Occlusion of the Coronary Arteries.
PMID- 29641078
TI - Recurrence of Renal Calculi after Operation.
PMID- 29641077
TI - Cases of Congenital Cardiac Defect in Children.
PMID- 29641079
TI - Diabetic Surgery.
PMID- 29641080
TI - Three Cases of Acute Perforating "Ulcer" of the Small Intestine.
PMID- 29641081
TI - Oxygen Therapy: Indications, Principles, and Methods.
PMID- 29641082
TI - The Treatment of Malignant Diseases by Goat Serum and Oleates.
PMID- 29641083
TI - Endothermy in the Treatment of Carcinoma.
PMID- 29641084
TI - Urinary Obstruction in Children.
PMID- 29641085
TI - The Late Results in a Series of Forty-Nine Cases of Perforated Gastric and
Duodenal Ulcers.
PMID- 29641087
TI - Notes.
PMID- 29641086
TI - Xanthomatosis: Some Aspects of Its Blood Chemistry and Pathology.
PMID- 29641088
TI - Cancer of the Mouth.
PMID- 29641089
TI - Congenital Stenosis of the Pylorus.
PMID- 29641090
TI - Congenital Microcolon.
PMID- 29641091
TI - Amount of Opium Required for Legitimate Medical Purposes.
PMID- 29641092
TI - An Experimental Study of the Streptococci Found in Pyorrhoea Alveolaris.
PMID- 29641093
TI - Tuberculosis of the Thyroid.
PMID- 29641094
TI - Radiography of the Gall-Bladder.
PMID- 29641095
TI - Fibrositis.
PMID- 29641096
TI - Periarterial Sympathectomy.
PMID- 29641097
TI - Morison Lectures on "Mental Invalids".
PMID- 29641098
TI - Notes.
PMID- 29641099
TI - Analytical Reports.
PMID- 29641100
TI - Notes.
PMID- 29641101
TI - The Treatment by Aspiration and Mobilisation of Traumatic Joint Effusions.
PMID- 29641102
TI - Spontaneous Arterio-Venous Aneurysm in Thorax.
PMID- 29641103
TI - Dr Samuel Johnson and Medicine.
PMID- 29641104
TI - Volvulus of the Gall-Bladder.
PMID- 29641106
TI - Analytical Note.
PMID- 29641105
TI - Maldevelopment of the Wrist and Hand.
PMID- 29641107
TI - Primary Carcinoma of the Gall-Bladder and Bile Ducts.
PMID- 29641108
TI - A New Procedure in the Treatment of Bone Deformities.
PMID- 29641109
TI - Megacolon without Constipation.
PMID- 29641110
TI - Cases of Congenital Cardiac Defect in Children.
PMID- 29641111
TI - Observations on the Bones in Congenital Proximal Radio-Ulnar Synostosis.
PMID- 29641112
TI - Spontaneous Arterio-Venous Aneurysm in Thorax.
PMID- 29641113
TI - Implantation Fibro-Adenoma.
PMID- 29641114
TI - Notes.
PMID- 29641116
TI - Medical Education in Scotland.
PMID- 29641115
TI - Morison Lectures on "Mental Invalids"-I.
PMID- 29641117
TI - Haemorrhagic Purpura.
PMID- 29641118
TI - Tetanus Following Burn.
PMID- 29641119
TI - Light Treatment in Tuberculous Glands.
PMID- 29641120
TI - Treatment of Empyema by a Closed Method.
PMID- 29641121
TI - The Development of Therapeutics.
PMID- 29641122
TI - A Case of Cerebral Haemorrhage with Glycosuria in a Child.
PMID- 29641124
TI - Immunity to the Pneumococcus.
PMID- 29641123
TI - Exhibition of Patients and Specimens.
PMID- 29641126
TI - The Milk Supply of the Country and Its Bearings on Health.
PMID- 29641125
TI - The Role of Auto-Intoxication or Auto-Infection in the Etiology of Disease of the
Higher and Lower Nervous System.
AB - A hundred years ago, leading French alienists taught that mental disorders had
their origin in abdominal disorders-cf. melancholia. This teaching has been
largely disregarded, and never submitted to investigation from the standpoint of
general medicine. Much attention has been drawn to the subject in the last few
years, but the fringe of the subject has hardly yet been touched. In the last
twenty-five years neurologists have added much information to our knowledge of
their subject, especially the symptoms and minute anatomy of disease of the lower
nervous system, without corresponding advance in the knowledge of etiology and
treatment. It is necessary to take a less specialised conception of these
disorders and investigate them from the standpoint of general medicine. (This
conception does not invalidate the importance of the hereditary factor in
disease.) A valuable opportunity afforded to the Edinburgh School to contribute
its share in advancing knowledge, either by submitting fresh facts or disproving
facts alleged by others. Reference to the author's views as published since 1900,
dealing with the factor of auto-sepsis, taking origin in one or other of the
mucous surfaces of the body: e.g., faulty state of teeth and gums, abnormal
conditions of the digestive tract as revealed by (a) physical examination, (b)
examination of stools, (c) X-rays, etc., and abnormalities in the urine, more
especially the presence of bacteria, cells, etc. etc. The influence of auto
sepsis in aggravating and complicating other disorders, e.g., specific infection,
tuberculosis, etc. Reference to recent work on disseminated sclerosis and to the
conclusions tentatively drawn by experts from it, as to the intestinal tract
being the primary source of the toxic agent responsible for the changes in the
nervous system. This work has been all but ignored by neurologists. Reference to
author's experience of the common disorders of the nervous system (syphilis
excluded), of the physical disorders present apart from the nervous system, and
the results of treatment directed to correct these physical disorders. Criticism
invited on the following:- 1. The need for more clinical research work on the
subject, conducted on general rather than on "neurological" or "psychiatric"
lines, and more especially the collection of facts dealing with the existence of
physical disorder in disease of the central nervous system. 2. The results of
treatment of disease of the central nervous system will be much more favourable
if these disorders are investigated and treated on general lines than on the
lines largely obtaining at the present time. 3. The criticism here of unwise
specialisation in nervous disorders is equally applicable to bacteriological
science. The outlook and practice of bacteriologists in this direction is
unfavourable to real advance in our knowledge of the etiology and treatment of
the disorders in question. The fault is a serious one; it applies to the system,
not to the individual. 4. The principles contended for in the above are equally
applicable to departments of medicine other than the "nervous" system. Lantern
slides from Dr James Dawson's work on disseminated sclerosis, and two patients
suffering from disseminated sclerosis to illustrate some of Dr Chalmers Watson's
views, were shown.
PMID- 29641127
TI - Clinical Meeting.
PMID- 29641129
TI - Erythroedema.
PMID- 29641128
TI - The So-Called Parkinsonian Syndrome with Special Reference to Epidemic
Encephalitis.
PMID- 29641130
TI - A Case of Neuroblastoma (Neurocytoma).
PMID- 29641131
TI - Discussion on the Pituitary.
PMID- 29641132
TI - Intracapsular Extraction of Cataract, with Cinematograph of Operation as
Performed with Specially Designed Forceps.
PMID- 29641133
TI - Observations on the Surgery of the Biliary Passages.
PMID- 29641135
TI - Fibrosis of the Bronchi and Lungs in Childhood Following Bronchopneumonia.
PMID- 29641134
TI - Clinical Meeting.
PMID- 29641136
TI - Exhibition of Patients.
PMID- 29641137
TI - Exhibition of Patients.
PMID- 29641138
TI - Immunity and Sensitisation in Ringworm and Favus, and the Treatment of These
Diseases with Vaccines.
PMID- 29641139
TI - Presentation to Dr Irvine, Pitlochry.
PMID- 29641140
TI - Case of Hour-Glass Relaxation, or Irregular Contraction of the Uterus.
PMID- 29641141
TI - Case of Peritonitis from Bursting of an Abscess; with Post-Mortem Examination of
the Body.
PMID- 29641142
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29641143
TI - Illustrations of a Novel and Successful Treatment of Psoriasis.
PMID- 29641144
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29641145
TI - Trial of Chantrelle.
PMID- 29641146
TI - Meetings of Societies.
PMID- 29641147
TI - On the Study and Practice of Aural-Surgery: Being the Introductory Address to a
Course of Lectures on Aural-Surgery, and of Practical Instruction in the
Diagnosis and Treatment of the Diseases of the Ear, Given during the Summer
Session of 1878 in the Glasgow Royal Infirmary, and in Its Medical School.
PMID- 29641149
TI - The Sewage Question.
PMID- 29641148
TI - Refracture for the Relief of Deformity Following Badly-Treated Fracture of the
Extremities.
PMID- 29641150
TI - Periscope of Otology.
PMID- 29641151
TI - The Sewage Question.
PMID- 29641152
TI - Case of Ascites Cured by Paracentesis and Compression.
PMID- 29641153
TI - The Course of Diphtheria in Two Brothers Almost Simultaneously Stricken : A
Clinical Study.
PMID- 29641154
TI - The Comparative Merits of Animal Vaccination and Arm-To-Arm Vaccination.
PMID- 29641155
TI - Tubercular Leprosy.
PMID- 29641156
TI - Meetings of Societies.
PMID- 29641157
TI - Biomineralization of Mg-Enriched Calcium Carbonates by Aerobic Microorganisms
Enriched from Rhodoliths.
AB - The objective of this study was to investigate the effect of Mg:Ca ratio in the
medium on the formation of low- and high-Mg calcite by aerobic microorganisms
enriched from rhodoliths (mainly Proteus mirabilis, Wu Do-1). XRD analyses showed
that both low- and high-Mg calcites were formed depending on the Mg:Ca ratio in
the medium. Calcite was formed at Ca:Mg ratios of 6:0 and 3:1 and high-Mg calcite
was formed at Ca:Mg ratios of 1:1 and 1:3 in the medium. Huntite was formed with
a Ca:Mg ratio of 0:6. SEM-EDS analyses showed that the low- and high-Mg calcite
crystals had a rhombohedron shape and consisted of Ca, Si and Mg with
extracellular polymeric substances (EPS). These results indicate that Wu Do-1
induced precipitation of low- and high-Mg calcite crystals depending on the Ca:Mg
ratio in the medium. The carbonate minerals were precipitated on the cell walls
and EPS via the accumulation of Ca and/or Mg ions. Therefore, microbial formation
of carbonate minerals may play an important role in Ca, Mg, and carbon
biogeochemistry as well as CO2 fixation in the natural environments.
PMID- 29641158
TI - Optimization of Electropolishing on NiTi Alloy Stents and Its Influence on
Corrosion Behavior.
AB - Nitinol or NiTi alloys are well-known as an attractive biomedical material due to
their unique properties such as the shape memory effect, super-elasticity and
biocompatibility. These characteristics enable them to be best candidates for
implant materials such as stent. One of the major factors that strongly affect
the performance of nitinol stent is its unique surface properties. In this study,
the influence of electropolishing on nitinol stents and its corrosion behavior
were observed. Electropolishing is an effective method for surface treatment,
which not only controls the surface state but also helps to produce uniform
surface layers. Therefore, to improve the surface quality of nitinol stents, we
conducted an electropolishing under various conditions from 30-40 V and 10-30 s
as a post heat treatment for nitinol stent manufacturing process. In order to
find the optimal surface state of NiTi stents, various electropolished samples
were explored using various characterization techniques. Furthermore, the
potentiodynamic polarization tests were also performed to determine the corrosion
resistance. The electropolished nitinol stents under the condition of 40 V for 10
s exhibited the best corrosion performance as well as surface quality.
PMID- 29641159
TI - Capmul MCM/Solutol HS15-Based Microemulsion for Enhanced Oral Bioavailability of
Rebamipide.
AB - Rebamipide (RBP) is a potent anti-ulcer and anti-oxidative agent, which is a BCS
class IV drug with a low oral bioavailability of less than 10%. Thus, the
systemic absorption of RBP into the blood circulation is an essential
prerequisite for exerting its pharmacological activities after oral dosing.
Herein, we report on microemulsion (ME) systems for the enhancement of oral RBP
bioavailability. In this study, MEs consisting of Capmul MCM (oil), Solutol HS15
(surfactant), and ethanol (co-surfactant) were prepared by the construction of
pseudo-ternary phase diagram. The RBP-loaded MEs had spherical nano-sized
droplets with narrow size distribution and neutral zeta potential. Moreover, the
prepared MEs significantly enhanced the dissolution and oral bioavailability of
RBP with no discernible intestinal toxicity. These results suggest that the
present ME system could be further developed as an alternative oral formulation
for RBP.
PMID- 29641160
TI - Aripiprazole Nanocrystal Impregnated Buccoadhesive Films for Schizophrenia.
AB - Aripiprazole is an atypical antipsychotic drug approved worldwide for treatment
of acute and long term schizophrenia in adults. Increasingly atypical
antipsychotics are playing a key role in the management of schizophrenia. The aim
of the present study was to design a mucoadhesive dosage form for buccal delivery
of aripiprazole which could provide a rapid drug delivery to the systemic
circulation. Nanocrystals of aripiprazole were prepared by nano-precipitation
using acid-base neutralization. These nanocrystals were then incorporated into
buccoadhesive chitosan films. All the drug loaded films were found to smooth, non
tacky possessing high mechanical strength. Films were characterized for
crystallinity of drug, surface pH, thickness, folding endurance, swelling
behavior, mucoadhesive strength, drug release and ex-vivo permeation across
rabbit cheek mucosa. In-vitro drug release studies indicated distinctly higher
drug release from nanocrystal loaded films, FAPZ 13 and FAPZ 14. Permeation
studies indicated a higher flux from films FAPZ 14 (442.34 +/- 51.08 MUg/cm2/h, P
< 0.0001) when compared to film FAPZ 12. These promising results indicate that
the developed nanocrystal loaded buccal films FAPZ 14 have the potential to
provide a faster availability of aripiprazole and the buccoadhesive films offer
promising option to patients with schizophrenia especially for geriatric
patients.
PMID- 29641161
TI - RGD Conjugated Dendritic Polylysine for Cellular Delivery of Antisense
Oligonucleotide.
AB - Dendritic polylysines (DPL) are highly branched nano-sized spherical polymer with
positively charged primary amino groups on surface. This structural feature is
useful for a delivery of antisense oligonucleotide or siRNA. In this study, we
modified the surface of DPL with cyclic RGD (and iRGD) peptide by conjugation
reaction generating RGD (and iRGD) peptide conjugated dendritic poly-lysines, RGD
DPL or iRGD-DPL. The prepared conjugates were evaluated for integrin receptor
mediated cellular delivery of antisense oligonucleotide. The conjugation of RGD
or iRGD peptide on DPL was monitored by measuring the retention time in capillary
zone electrophoresis and the absorbance at UV-Vis spectroscopy. Cellular delivery
by DPL-RGD (or -iRGD)/antisense oligonucleotide complex was examined by antisense
splicing correction assay on integrin alpha v/beta 3 positive A375B3-Luc cells,
which were stably transfected with plasmid pLuc/705. DPL-RGD (or -iRGD)/antisense
oligonucleotide complexes exhibited integrin receptor mediated uptake on A375B3
cells without inducing cellular toxicity. In addition, the delivery of antisense
oligonucleotide was integrin receptor-dependent with moderate efficiency.
PMID- 29641162
TI - CONSUMER EXPECTATION ON SERVICE QUALITY PROVIDE BY PHARMACIST IN SELF MEDICATION
PRACTICES AND ITS ASSOCIATED FACTORS IN BANDUNG, INDONESIA.
AB - Self-medication is the use of medicines for therapeutic intent without
aclinician's advice or prescription. The National Socioeconomic Survey for
Indonesiain 2009 found the percentage of the Indonesians engaging in self
medicationis increasing. The objectives of this study were to assess consumers'
expectationsregarding service quality provide by pharmacist in self-medication
practices anddetermine the factors associated with self-medication in Bandung,
Indonesia. Weconduct a cross-sectional survey at eight randomly selected
community pharmaciesduring July-November 2012 and purposely sampled 1,200
costumers purchasingmedication at those sites. Subjects reported they understood
the informationabout the medicines given by the pharmacist but still wanted more
informationand time to consult with the pharmacist about their medicines. Factors
associatedwith self-medication were younger age, male gender, greater education
andlower income. The intervention is needed to improve appropriate self
medication.
PMID- 29641163
TI - ON THE PRESENCE OF A TAENIA SAGINATA-LIKE PARASITE IN A TODDLER IN THAILAND.
PMID- 29641164
TI - PERSONALITY TRAITS AND STRESS LEVELS AMONG SENIOR DENTAL STUDENTS: EVIDENCE FROM
MALAYSIA AND SINGAPORE.
AB - This study aimed to evaluate the association between dental students'personality
traits and stress levels in relation to dental education programs amongsenior
dental students in University Malaya (UM) in Malaysia and National Universityof
Singapore (NUS). A cross-sectional survey using a self-administeredquestionnaire
was conducted on UM and NUS senior dental students. The questionnairecomprised
items on demographic background, the Big Five InventoryPersonality Traits (BFIPT)
test and a modified Dental Environment Stress (DES)scale. Rasch analysis was used
to convert raw data to interval scores. Analyseswere done by t-test, Pearson
correlation, and Hierarchical regression statistics.The response rate was 100%
(UM=132, NUS=76). Personality trait Agreeableness(mean=0.30) was significantly
more prevalent among UM than NUS students(mean=0.15, p=0.016). In NUS,
Neuroticism (mean=0.36) was significantly moreprevalent than in UM (mean=0.14,
p=0.002). The DES mean score was higheramong NUS (mean=0.23) than UM students
(mean=0.07). In UM, Neuroticismwas significantly correlated with stress levels
(r=0.338, p<0.001). In NUS, thesewere Neuroticism (r=0.278, p=0.015),
Agreeableness (r=0.250, p=0.029) and Conscientiousness(r=-0.242, p=0.035)
personality traits. The correlation was strongestfor personality trait
Neuroticism in both schools. Hierarchical regression analysisshowed that gender
and Neuroticism were significant predictors for students'stress levels (p<0.05)
with the latter exerting a bigger effect size (R2=0.18) thangender (R2=004). This
study showed that gender and Neuroticism personalitytrait were significant
predictors for stress levels among selected groups of dentalstudents in Southeast
Asia. Information on students' personality may be useful innew students' intake,
stress management counseling and future program reviews.
PMID- 29641165
TI - PRSS1 and SPINK1 Mutations in Alcoholic and Idiopathic Chronic Pancreatitis.
AB - Several recent studies have reported associations between gene mutations and
chronic pancreatitis (CP); however, little is known about their association with
risk of CP in the Chinese Han population. The aim of this study was to describe
mutations in the cationic trypsinogen (PRSS1) and serine protease inhibitor Kazal
type 1 (SPINK1) genes in patients with alcoholic chronic pancreatitis (ACP) and
idiopathic chronic pancreatitis (ICP) and to investigate their influence on the
clinical course of the disease. One hundred patients (24 with ACP, 76 with ICP)
and 100 healthy volunteers (control group) were enrolled in the study. PRSS1
(R122H) mutations were detected in one (1.3%) patient with ICP and SPINK1 (N34S)
mutations were present in one (4.1%) patient with ACP. PRSS1 and SPINK1 mutations
were not detected in the control populations. There were no statistically
significant differences between the CP patients and the control group. Those
preliminary data suggest low prevalence of SPINK1 and PRSS1 mutations in the
Chinese population, generally, as well as in CP patients, indicating that these
mutations do not contribute to the development of CP.
PMID- 29641166
TI - Nanoemulsion-Based Hydrogel for Topical Delivery of Highly Skin-Permeable Growth
Factor Combinations: Preparation and In Vitro Evaluation.
AB - Topical administration of growth factors has been suggested as a promising
strategy for promoting the healing process and skin regeneration in wound
management. However, several restrictions hinder their successful clinical use;
specifically, limited percutaneous absorption causes inconsistent efficacy, and
various growth factors with specific functionalities are required at different
stages of healing. To overcome these shortcomings, previously we have constructed
highly skin-permeable analogues of epidermal growth factor (EGF), insulin-like
growth factor-I (IGF-I), and platelet-derived growth factor-A (PDGF-A) (LMWP-EGF,
LMWP-IGF-I and LMWP-PDGF-A) by genetically conjugating the low-molecular-weight
protamine (LMWP) to their N-terminus. In the present study, we determined the
optimal concentration ratio of these growth factors by investigating In Vitro
cell proliferation and the scratch wound repairing assay. After confirming
synergetic effects of growth factors in combinations, we developed a topical
delivery system consisting of a nanoemulsion (NE)-dispersed polyvinylpyrrolidone
hydrogel loaded with all three growth factors. In Vitro permeability studies were
also performed to assess whether the LMWP-conjugated growth factors in the
formulation enhanced their skin permeation compared to native growth factors.
Combinations of native or LMWP-fused growth factors significantly promoted
fibroblast proliferation and scratch wound recovery, and the synergy of LMWP-EGF,
LMWP-IGF-I and LMWP-PDGF-A was optimal at a ratio of 100:100:10 by concentration.
The growth factor combination-loaded NE appeared to be spherical under cryo
transmission electron microscopy and the average droplet diameter was 127+/-4.30
nm. The LMWP-conjugated growth factors allowed significantly higher skin
permeation than native growth factors from the NE-dispersed hydrogel. Thus, the
LMWP-conjugated growth factor combination-loaded NE-dispersed hydrogel is
expected to induce more rapid and prolonged wound healing.
PMID- 29641168
TI - Crystallographic Trapping of Reaction Intermediates in Quinolinic Acid Synthesis
by NadA.
AB - NadA is a multifunctional enzyme that condenses dihydroxyacetone phosphate (DHAP)
with iminoaspartate (IA) to generate quinolinic acid (QA), the universal
precursor of the nicotinamide adenine dinucleotide (NAD(P)) cofactor. Using X-ray
crystallography, we have (i) characterized two of the reaction intermediates of
QA synthesis using a "pH-shift" approach and a slowly reacting Thermotoga
maritima NadA variant and (ii) observed the QA product, resulting from the
degradation of an intermediate analogue, bound close to the entrance of a long
tunnel leading to the solvent medium. We have also used molecular docking to
propose a condensation mechanism between DHAP and IA based on two previously
published Pyrococcus horikoshi NadA structures. The combination of reported data
and our new results provide a structure-based complete catalytic sequence of QA
synthesis by NadA.
PMID- 29641167
TI - Development of Polyethylene Glycol-Conjugated Chitosan Oligosaccharide Derivative
Stabilized Gold Nanoassemblies.
PMID- 29641169
TI - Self-Assembled Rose Bengal-Exopolysaccharide Nanoparticles for Improved
Photodynamic Inactivation of Bacteria by Enhancing Singlet Oxygen Generation
Directly in the Solution.
AB - It is of great value to develop new antibacterial photodynamic therapy (PDT)
strategies to improve antibacterial PDT efficacy of noncationic photosensitizers
without introducing cytotoxicity, which is a great challenge for current leading
efforts on antimicrobial PDT based on cell surface engineering. In this research,
the hydrophobic and anionic photosensitizer rose bengal (RB) was chemically
conjugated with bacterial exopolysaccharide (EPS) to generate an amphiphilic and
negatively charged compound EPS-RB that could self-assemble into nanoparticles
(NPs) in solution. These EPS-RB NPs possessed an increased singlet oxygen
generation property in solution. As a result, EPS-RB exhibited improved
photoinactivation for both Gram-negative and Gram-positive bacteria, leading to a
record low RB working concentration, 8 MUM or 500 nM for Escherichia coli or
Staphylococcus aureus, respectively. Upon light irradiation, more EPS-RB bound to
the cell surface and penetrated into bacteria than RB, with EPS-RB staying around
the cell surface of the most irradiated E. coli while entering all irradiated S.
aureus. Both scanning electron microscopy and fluorescence confocal imaging
results show that the cell membrane of E. coli was damaged heavily but not S.
aureus. All of these observations indicate that both the enhanced singlet oxygen
production of EPS-RB NPs in solution and their consequently increased membrane
binding and cellular penetration into the bacteria through the damaged cell
membrane contribute to their significantly improved bacterial photoinactivation
efficiency. In addition, EPS-RB has low cytotoxicity and negligible hemolytic
activity, showing great biocompatibility. Therefore, the construction of EPS-RB
provides a new strategy for the PDT effectiveness improvement of the separated
cell/sensitizer systems and thus the design of next-generation antimicrobial
agents.
PMID- 29641171
TI - Chemiresistive Graphene Sensors for Ammonia Detection.
AB - The primary objective of this work is to demonstrate a novel sensor system as a
convenient vehicle for scaled-up repeatability and the kinetic analysis of a
pixelated testbed. This work presents a sensor system capable of measuring
hundreds of functionalized graphene sensors in a rapid and convenient fashion.
The sensor system makes use of a novel array architecture requiring only one
sensor per pixel and no selector transistor. The sensor system is employed
specifically for the evaluation of Co(tpfpp)ClO4 functionalization of graphene
sensors for the detection of ammonia as an extension of previous work.
Co(tpfpp)ClO4 treated graphene sensors were found to provide 4-fold increased
ammonia sensitivity over pristine graphene sensors. Sensors were also found to
exhibit excellent selectivity over interfering compounds such as water and common
organic solvents. The ability to monitor a large sensor array with 160 pixels
provides insights into performance variations and reproducibility-critical
factors in the development of practical sensor systems. All sensors exhibit the
same linearly related responses with variations in response exhibiting Gaussian
distributions, a key finding for variation modeling and quality engineering
purposes. The mean correlation coefficient between sensor responses was found to
be 0.999 indicating highly consistent sensor responses and excellent
reproducibility of Co(tpfpp)ClO4 functionalization. A detailed kinetic model is
developed to describe sensor response profiles. The model consists of two
adsorption mechanisms-one reversible and one irreversible-and is shown capable of
fitting experimental data with a mean percent error of 0.01%.
PMID- 29641170
TI - Ultrasmall TiO2-Coated Reduced Graphene Oxide Composite as a High-Rate and Long
Cycle-Life Anode Material for Sodium-Ion Batteries.
AB - Because of the low cost and abundant nature of the sodium element, sodium-ion
batteries (SIBs) are attracting extensive attention, and a variety of SIB cathode
materials have been discovered. However, the lack of high-performance anode
materials is a major challenge of SIBs. Herein, we have synthesized ultrasmall
TiO2-nanoparticle-coated reduced graphene oxide (TiO2@RGO) composites by using a
one-pot hydrolysis method, which are then investigated as anode materials for
SIBs. The morphology of TiO2@RGO has been characterized using transmission
electron microscopy, indicating that the TiO2 nanospheres uniformly grow on the
surface of the RGO nanosheet. As-prepared TiO2@RGO composites exhibited a
promising electrochemical performance in terms of cycling stability and rate
capability, especially the initial cycle Coulombic efficiency of 60.7%, which is
higher than that in previous reports. The kinetics of the electrode reaction has
been investigated by cyclic voltammetry. The results indicate that the sodium-ion
intercalation/extraction behavior is not controlled by the semiinfinite diffusion
process, which gives rise to an outstanding rate performance. In addition, the
electrochemical performance of TiO2@RGO composites in full cells, coupled with
carbon-coated Na3V2(PO4)3 as the positive material, has been investigated. The
discharge specific capacity was up to 117.2 mAh g-1, and it remained at 84.6 mAh
g-1 after 500 cycles under a current density of 2 A g-1, which shows excellent
cycling stability.
PMID- 29641172
TI - Hierarchical and Well-Ordered Porous Copper for Liquid Transport Properties
Control.
AB - Liquid delivery through interconnected pore network is essential for various
interfacial transport applications ranging from energy storage to evaporative
cooling. The liquid transport performance in porous media can be significantly
improved through the use of hierarchical morphology that leverages transport
phenomena at different length scales. Traditional surface engineering techniques
using chemical or thermal reactions often show nonuniform surface nanostructuring
within three-dimensional pore network due to uncontrollable diffusion and
reactivity in geometrically complex porous structures. Here, we demonstrate
hierarchical architectures on the basis of crystalline copper inverse opals using
an electrochemistry approach, which offers volumetric controllability of
structural and surface properties within the complex porous metal. The
electrochemical process sequentially combines subtractive and additive steps
electrochemical polishing and electrochemical oxidation-to improve surface
wetting properties without sacrificing structural permeability. We report the
transport performance of the hierarchical inverse opals by measuring the
capillary-driven liquid rise. The capillary performance parameter of
hierarchically engineered inverse opal ( K/ Reff = ~5 * 10-3 MUm) is shown to be
higher than that of a typical crystalline inverse opal ( K/ Reff = ~1 * 10-3 MUm)
owing to the enhancement in fluid permeable and hydrophilic pathways. The new
surface engineering method presented in this work provides a rational approach in
designing hierarchical porous copper for transport performance enhancements.
PMID- 29641173
TI - Monodisperse Metal-Organic Framework Nanospheres with Encapsulated Core-Shell
Nanoparticles Pt/Au@Pd@{Co2(oba)4(3-bpdh)2}4H2O for the Highly Selective
Conversion of CO2 to CO.
AB - A new microporous metal-organic framework (MOF) with formula {Co2(oba)4(3
bpdh)2}4H2O [oba = 4,4'-oxybis(benzoic acid); 3-bpdh = N, N'-bis-(1-pyridine-3-yl
ethylidene)-hydrazine] was assembled, and its morphology was found to undergo a
microrod-to-nanosphere transformation with temperature variation. Core-shell
Au@Pd functional nanoparticles (NPs) were successfully encapsulated in the center
of the monodisperse nanospheres, and Pt NPs were well-dispersed and fully
immobilized on the surface of Au@Pd@1Co to build the Pt/Au@Pd@1Co composites,
which exhibited NPs catalytic activity for the reverse water gas shift reaction.
The core-shell Au@Pd NPs in MOF significantly enchanced the CO selectivity of the
catalyst, and the Pt NP loading on the surface of the nanosphere afforded a
desirable CO2 conversion.
PMID- 29641174
TI - Electrodeposited Ni-Based Magnetic Mesoporous Films as Smart Surfaces for Atomic
Layer Deposition: An "All-Chemical" Deposition Approach toward 3D Nanoengineered
Composite Layers.
AB - Mesoporous Ni and Cu-Ni (Cu20Ni80 and Cu45Ni55 in at. %) films, showing a three
dimensional (3D) porous structure and tunable magnetic properties, are prepared
by electrodeposition from aqueous surfactant solutions using micelles of P-123
triblock copolymer as structure-directing entities. Pores between 5 and 30 nm and
dissimilar space arrangements (continuous interconnected networks, circular
pores, corrugated mesophases) are obtained depending on the synthetic conditions.
X-ray diffraction studies reveal that the Cu-Ni films have crystallized in the
face-centered cubic structure, are textured, and exhibit certain degree of phase
separation, particularly those with a higher Cu content. Atomic layer deposition
(ALD) is used to conformally coat the mesopores of Cu20Ni80 film with amorphous
Al2O3, rendering multiphase "nano-in-meso" metal-ceramic composites without
compromising the ferromagnetic response of the metallic scaffold. From a
technological viewpoint, these 3D nanoengineered composite films could be
appealing for applications like magnetically actuated micro/nanoelectromechanical
systems (MEMS/NEMS), voltage-driven magneto-electric devices, capacitors, or as
protective coatings with superior strength and tribological performance.
PMID- 29641175
TI - New Dopamine D2 Receptor Agonist, [3H]MCL-536, for Detecting Dopamine D2high
Receptors in Vivo.
AB - Increases in the D2 receptor high affinity state are associated with certain
neurological disorders. We synthesized and characterized the high-affinity D2high
ligand [3H]MCL-536 in competition binding against the D2/3 agonist R-(-)- N- n
propylnorapomorphine (NPA) and the D2/3 antagonist raclopride. The total binding
of [3H]MCL-536 (minus that in the presence of 100 nM NPA) was measured by
saturation binding in CHO cells expressing human D2long; the data yielded
separable, nonsaturable nonspecific, and saturable specific components. The
former represents an aporphine site common to NPA and [3H]MCL-536. The latter
indicated specific binding to the total D2 receptors (both high and low-affinity
states). [3H]MCL-536 had a Kd of 0.8 nM. In competition binding, NPA had a Ki of
0.16 nM, and raclopride had a Ki of 0.9 nM. Co-incubation with
guanylylimidodiphosphate abolished binding to D2high. This unique profile makes
radiolabeled MCL-536 a versatile tool for diagnostics and therapeutics, and may
quantify D2high sites in schizophrenia and PD patients in vivo.
PMID- 29641176
TI - Formation of Lead Halide Perovskite Based Plasmonic Nanolasers and Nanolaser
Arrays by Tailoring the Substrate.
AB - Hybrid plasmonic nanolasers are intensively studied due to their nanoscale mode
confinement and potentials in highly integrated photonic and quantum devices.
Until now, the characteristics of plasmonic nanolasers are mostly determined by
the crystal facets of top semiconductors, such as ZnO nanowires or nanoplates. As
a result, the spasers are isolated, and their lasing wavelengths are random and
difficult to tune. Herein, we experimentally demonstrate the formation of lead
halide perovskite (MAPbX3) based hybrid plasmonic nanolasers and nanolaser arrays
with arbitrary cavity shapes and controllable lasing wavelengths. These spasers
are composed of MAPbX3 perovskite nanosheets, which are separated from Au
patterns with a 10 nm SiO2 spacer. In contrast to previous reports, here, the
spasers are determined by the boundary of Au patterns instead of the crystal
facets of MAPbX3 nanosheets. As a result, whispering gallery mode based circular
spasers and spaser arrays were successfully realized by patterning the Au
substrate into circles and gratings, respectively. The standard wavelength
deviation of spaser arrays is as small as 0.3 nm. Meanwhile, owing to the anion
exchangeable property of MAPbX3 perovskite, the emission wavelengths of spasers
were tuned more than 100 nm back and forth by changing the stoichiometry of
perovskite postsynthetically.
PMID- 29641177
TI - Reducing Coercive-Field Scaling in Ferroelectric Thin Films via Orientation
Control.
AB - The desire for low-power/voltage operation of devices is driving renewed interest
in understanding scaling effects in ferroelectric thin films. As the dimensions
of ferroelectrics are reduced, the properties can vary dramatically, including
the robust scaling relationship between coercive field ( Ec) and thickness ( d),
also referred to as the Janovec-Kay-Dunn (JKD) law, wherein Ec ? d-2/3. Here, we
report that whereas (001)-oriented heterostructures follow JKD scaling across the
thicknesses range of 20-330 nm, (111)-oriented heterostructures of the canonical
tetragonal ferroelectric PbZr0.2Ti0.8O3 exhibit a deviation from JKD scaling
wherein a smaller scaling exponent for the evolution of Ec is observed in films
of thickness ? 165 nm. X-ray diffraction reveals that whereas (001)-oriented
heterostructures remain tetragonal for all thicknesses, (111)-oriented
heterostructures exhibit a transition from tetragonal-to-monoclinic symmetry in
films of thickness ? 165 nm as a result of the compressive strain. First
principles calculations suggest that this symmetry change contributes to the
deviation from the expected scaling, as the monoclinic phase has a lower energy
barrier for switching. This structural evolution also gives rise to changes in
the c/ a lattice parameter ratio, wherein this ratio increases and decreases in
(001)- and (111)-oriented heterostructures, respectively, as the films are made
thinner. In (111)-oriented heterostructures, this reduced tetragonality drives a
reduction of the remanent polarization and, therefore, a reduction of the domain
wall energy and overall energy barrier to switching, which further exacerbates
the deviation from the expected scaling. Overall, this work demonstrates a route
toward reducing coercive fields in ferroelectric thin films and provides a
possible mechanism to understand the deviation from JKD scaling.
PMID- 29641178
TI - Encapsulating Silica/Antimony into Porous Electrospun Carbon Nanofibers with
Robust Structure Stability for High-Efficiency Lithium Storage.
AB - To address the volume-change-induced pulverization problems of electrode
materials, we propose a "silica reinforcement" concept, following which silica
reinforced carbon nanofibers with encapsulated Sb nanoparticles (denoted as
SiO2/Sb@CNFs) are fabricated via an electrospinning method. In this composite
structure, insulating silica fillers not only reinforce the overall structure but
also contribute to additional lithium storage capacity; encapsulation of Sb
nanoparticles into the carbon-silica matrices efficiently buffers the volume
changes during Li-Sb alloying-dealloying processes upon cycling and alleviates
the mechanical stress; the porous carbon nanofiber framework allows for fast
charge transfer and electrolyte diffusion. These advantageous characteristics
synergistically contribute to the superior lithium storage performance of
SiO2/Sb@CNF electrodes, which demonstrate excellent cycling stability and rate
capability, delivering reversible discharge capacities of 700 mA h/g at 200 mA/g,
572 mA h/g at 500 mA/g, and 468 mA h/g at 1000 mA/g each after 400 cycles. Ex
situ as well as in situ TEM measurements confirm that the structural integrity of
silica-reinforced Sb@CNF electrodes can efficiently withstand the mechanical
stress induced by the volume changes. Notably, the SiO2/Sb@CNF//LiCoO2 full cell
delivers high reversible capacities of ~400 mA h/g after 800 cycles at 500 mA/g
and ~336 mA h/g after 500 cycles at 1000 mA/g.
PMID- 29641179
TI - Surface-Enhanced Molecular Electron Energy Loss Spectroscopy.
AB - Electron energy loss spectroscopy (EELS) in a scanning transmission electron
microscope (STEM) is becoming an important technique in spatially resolved
spectral characterization of optical and vibrational properties of matter at the
nanoscale. EELS has played a significant role in understanding localized
polaritonic excitations in nanoantennas and also allows for studying molecular
excitations in nanoconfined samples. Here we theoretically describe the
interaction of a localized electron beam with molecule-covered polaritonic
nanoantennas, and propose the concept of surface-enhanced molecular EELS
exploiting the electromagnetic coupling between the nanoantenna and the molecular
sample. Particularly, we study plasmonic and infrared phononic antennas covered
by molecular layers, exhibiting either an excitonic or vibrational response. We
demonstrate that EEL spectra of these molecule-antenna coupled systems exhibit
Fano-like or strong coupling features, similar to the ones observed in far-field
optical and infrared spectroscopy. EELS offers the advantage to acquire spectral
information with nanoscale spatial resolution, and importantly, to control the
antenna-molecule coupling on demand. Considering ongoing instrumental
developments, EELS in STEM shows the potential to become a powerful tool for
fundamental studies of molecules that are naturally or intentionally located on
nanostructures supporting localized plasmon or phonon polaritons. Surface
enhanced EELS might also enable STEM-EELS applications such as remote- and thus
damage-free-sensing of the excitonic and vibrational response of molecules,
quantum dots, or 2D materials.
PMID- 29641180
TI - Recombinant Spider Silk Functionalized with a Motif from Fibronectin Mediates
Cell Adhesion and Growth on Polymeric Substrates by Entrapping Cells During Self
Assembly.
AB - In vitro endothelialization of synthetic grafts or engineered vascular constructs
is considered a promising alternative to overcome shortcomings in the
availability of autologous vessels and in-graft complications with synthetics. A
number of cell-seeding techniques have been implemented to render vascular grafts
accessible for cells to attach, proliferate, and spread over the surface area.
Nonetheless, seeding efficiency and the time needed for cells to adhere varies
dramatically. Herein, we investigated a novel cell-seeding approach (denoted co
seeding) that enables cells to bind to a motif from fibronectin included in a
recombinant spider silk protein. Entrapment of cells occurs at the same time as
the silk assembles into a nanofibrillar coating on various substrates. Cell
adhesion analysis showed that the technique can markedly improve cell-seeding
efficiency to nonfunctionalized polystyrene surfaces, as well as establish cell
attachment and growth of human dermal microvascular endothelial cells on bare
polyethylene terephthalate and polytetrafluoroethylene (PTFE) substrates.
Scanning electron microscopy images revealed a uniform endothelial cell layer and
cell-substratum compliance with the functionalized silk protein to PTFE surfaces.
The co-seeding technique holds a great promise as a method to reliably and
quickly cellularize engineered vascular constructs as well as to in vitro
endothelialize commercially available cardiovascular grafts.
PMID- 29641181
TI - O-GlcNAcase Fragment Discovery with Fluorescence Polarimetry.
AB - The attachment of the sugar N-acetyl-D-glucosamine (GlcNAc) to specific serine
and threonine residues on proteins is referred to as protein O-GlcNAcylation. O
GlcNAc transferase (OGT) is the enzyme responsible for carrying out the
modification, while O-GlcNAcase (OGA) reverses it. Protein O-GlcNAcylation has
been implicated in a wide range of cellular processes including transcription,
proteostasis, and stress response. Dysregulation of O-GlcNAc has been linked to
diabetes, cancer, and neurodegenerative and cardiovascular disease. OGA has been
proposed to be a drug target for the treatment of Alzheimer's and cardiovascular
disease given that increased O-GlcNAc levels appear to exert a protective effect.
The search for specific, potent, and drug-like OGA inhibitors with
bioavailability in the brain is therefore a field of active research, requiring
orthogonal high-throughput assay platforms. Here, we describe the synthesis of a
novel probe for use in a fluorescence polarization based assay for the discovery
of inhibitors of OGA. We show that the probe is suitable for use with both human
OGA, as well as the orthologous bacterial counterpart from Clostridium
perfringens, CpOGA, and the lysosomal hexosaminidases HexA/B. We structurally
characterize CpOGA in complex with a ligand identified from a fragment library
screen using this assay. The versatile synthesis procedure could be adapted for
making fluorescent probes for the assay of other glycoside hydrolases.
PMID- 29641182
TI - Effect of Sodium Selenate on Hippocampal Proteome of 3*Tg-AD Mice-Exploring the
Antioxidant Dogma of Selenium against Alzheimer's Disease.
AB - Selenium (Se), an antioxidant trace element, is an important nutrient for
maintaining brain functions and is reported to be involved in Alzheimer's disease
(AD) pathologies. The present study has been designed to elucidate the protein
changes in hippocampus of 3*Tg-AD mice after supplementing sodium selenate as an
inorganic source of selenium. By using iTRAQ proteomics technology, 113
differentially expressed proteins (DEPs) are found in AD/WT mice with 37
upregulated and 76 downregulated proteins. Similarly, in selenate-treated 3*Tg-AD
(ADSe/AD) mice, 115 DEPs are found with 98 upregulated and 17 downregulated
proteins. The third group of mice (ADSe/WT) showed 75 DEPs with 46 upregulated
and 29 downregulated proteins. Among these results, 42 proteins (40 downregulated
and 2 upregulated) in the diseased group showed reverse expression when treated
with selenate. These DEPs are analyzed with different bioinformatics tools and
are found associated with various AD pathologies and pathways. Based on their
functions, selenate-reversed proteins are classified as structural proteins,
metabolic proteins, calcium regulating proteins, synaptic proteins, signaling
proteins, stress related proteins, and transport proteins. Six altered AD
associated proteins are successfully validated by Western blot analysis. This
study shows that sodium selenate has a profound effect on the hippocampus of the
triple transgenic AD mice. This might be established as an effective therapeutic
agent after further investigation.
PMID- 29641183
TI - An Automated Design Framework for Multicellular Recombinase Logic.
AB - Tools to systematically reprogram cellular behavior are crucial to address
pressing challenges in manufacturing, environment, or healthcare. Recombinases
can very efficiently encode Boolean and history-dependent logic in many species,
yet current designs are performed on a case-by-case basis, limiting their
scalability and requiring time-consuming optimization. Here we present an
automated workflow for designing recombinase logic devices executing Boolean
functions. Our theoretical framework uses a reduced library of computational
devices distributed into different cellular subpopulations, which are then
composed in various manners to implement all desired logic functions at the
multicellular level. Our design platform called CALIN (Composable Asynchronous
Logic using Integrase Networks) is broadly accessible via a web server, taking
truth tables as inputs and providing corresponding DNA designs and sequences as
outputs (available at http://synbio.cbs.cnrs.fr/calin ). We anticipate that this
automated design workflow will streamline the implementation of Boolean functions
in many organisms and for various applications.
PMID- 29641184
TI - Access to Household Water Quality Information Leads to Safer Water: A Cluster
Randomized Controlled Trial in india.
AB - Household-specific feedback on the microbiological safety of drinking water may
result in changes to water management practices that reduce exposure risks. We
conducted a randomized, controlled trial in India to determine if information on
household drinking water quality could change behavior and improve
microbiological quality as indicated by Escherichia coli counts. We randomly
assigned 589 participating households to one of three arms: (1) a messaging-only
arm receiving messaging on safe water management ( n = 237); (2) a standard
testing arm receiving the same messaging plus laboratory E. coli testing results
specific to that household's drinking water ( n = 173); and (3) a test kit arm
receiving messaging plus low-cost E. coli tests that could be used at the
household's discretion ( n = 179). Self-reported water treatment increased
significantly in both the standard testing arm and the test kit arm between
baseline and follow-up one month later. Mean log10 E. coli counts per 100 mL in
household stored drinking water increased in the messaging-only arm from 1.42 to
1.87, while decreasing in the standard testing arm (1.38 to 0.89, 65% relative
reduction) and the test kit arm (1.08 to 0.65, 76% relative reduction). Findings
indicate that household-specific water quality information can improve both
behaviors and drinking water quality.
PMID- 29641185
TI - Toxicokinetics in Risk Evaluations.
AB - Quantitative predictions of in vivo chemical levels based on in vitro data will
become a cornerstone of next generation nonanimal risk evaluations. Both
regulatory and scientific experience with quantitative toxicokinetics must
increase now for this transition to happen.
PMID- 29641186
TI - Spatial-Resolution Cell Type Proteome Profiling of Cancer Tissue by Fully
Integrated Proteomics Technology.
AB - Increasing attention has been focused on cell type proteome profiling for
understanding the heterogeneous multicellular microenvironment in tissue samples.
However, current cell type proteome profiling methods need large amounts of
starting materials which preclude their application to clinical tumor specimens
with limited access. Here, by seamlessly combining laser capture microdissection
and integrated proteomics sample preparation technology SISPROT, specific cell
types in tumor samples could be precisely dissected with single cell resolution
and processed for high-sensitivity proteome profiling. Sample loss and
contamination due to the multiple transfer steps are significantly reduced by the
full integration and noncontact design. H&E staining dyes which are necessary for
cell type investigation could be selectively removed by the unique two-stage
design of the spintip device. This easy-to-use proteome profiling technology
achieved high sensitivity with the identification of more than 500 proteins from
only 0.1 mm2 and 10 MUm thickness colon cancer tissue section. The first cell
type proteome profiling of four cell types from one colon tumor and surrounding
normal tissue, including cancer cells, enterocytes, lymphocytes, and smooth
muscle cells, was obtained. 5271, 4691, 4876, and 2140 protein groups were
identified, respectively, from tissue section of only 5 mm2 and 10 MUm thickness.
Furthermore, spatially resolved proteome distribution profiles of enterocytes,
lymphocytes, and smooth muscle cells on the same tissue slices and across four
consecutive sections with micrometer distance were successfully achieved. This
fully integrated proteomics technology, termed LCM-SISPROT, is therefore
promising for spatial-resolution cell type proteome profiling of tumor
microenvironment with a minute amount of clinical starting materials.
PMID- 29641187
TI - Artificial Mating-Type Conversion and Repetitive Mating for Polyploid Generation.
AB - The yeast Saccharomyces cerevisiae is one of the best-understood biological
systems and can produce numerous useful compounds. Sexual hybridization (mating)
can drive dramatic evolution of yeasts by the inheritance of half of the parental
genomic information from each cell. Unfortunately, half of the parental genomic
information is lost in individual cells in the next generation. Additionally,
recombination of homologous chromosomes during meiosis gives rise to diversity in
the next generation; hence, it is commonly employed to identify targets from
diverse cell populations, based on the mating machinery. Here, we established a
system for generating polyploids that inherit all genetic information from the
parental strains via artificial mating-type conversion and repetitive mating. We
prepared alpha-type haploid strains whose chromosomes were tagged with genes
encoding fluorescent proteins or transcriptional factors. Only the mating-type
locus was successfully converted from alpha-type to a-type sequence by the
endonuclease Ho, and the resultant a-type cells mated with each alpha-type
haploid to yield an a/alpha-type diploid strain with all genetic information from
both parental strains. Importantly, we repeatedly converted the mating-type of
polyploid cells to obtain a-type cells capable of mating with alpha-type cells.
This approach can potentially facilitate yeast-strain development with
unparalleled versatility, utilizing vast available resources.
PMID- 29641188
TI - Reciprocating Compression of ZnO Probed by X-ray Diffraction: The Size Effect on
Structural Properties under High Pressure.
AB - Zinc oxide, ZnO, an important technologically relevant binary compound, was
investigated by reciprocating compress the sample in a diamond anvil cell using
in situ high-pressure synchrotron X-ray diffraction at room temperature. The
starting sample (~200 nm) was compressed to 20 GPa and then decompressed to
ambient condition. The quenched sample, with average grain size ~10 nm, was
recompressed to 20 GPa and then released to ambient condition. The structural
stability and compressibility of the initial bulk ZnO and quenched nano ZnO were
compared. Results reveal that the grain size and the fractional cell distortion
have little effect on the structural stability of ZnO. The bulk modulus of the B4
(hexagonal wurtzites structure) and B1 (cubic rock salt structure) phases for
bulk ZnO under hydrostatic compression were estimated as 164(3) and 201(2) GPa,
respectively. Importantly, the effect of pressure in atomic positions, bond
distances, and bond angles was obtained. On the basis of this information, the B4
to-B1 phase transformation was demonstrated to follow the hexagonal path rather
than the tetragonal path. For the first time, the detail of the intermediate
hexagonal ZnO, revealing the B4-to-B1 transition mechanism, was detected by
experimental method. These findings enrich our knowledge on the diversity of the
size influences on the high-pressure behaviors of materials and offer new
insights into the mechanism of the B4-to-B1 phase transition that is commonly
observed in many other wurzite semiconductor compounds.
PMID- 29641189
TI - Enantioselective Ni-Al Bimetallic Catalyzed exo-Selective C-H Cyclization of
Imidazoles with Alkenes.
AB - A Ni-Al bimetallic catalyzed enantioselective C-H exo-selective cyclization of
imidazoles with alkenes has been developed. A series of bi- or polycyclic
imidazoles with beta-stereocenter were obtained in up to 98% yield and >99% ee.
The bifunctional SPO ligand-promoted bimetallic catalysis proved to be critical
to this challenging stereocontrol.
PMID- 29641191
TI - Characterization and Use of TurboLuc Luciferase as a Reporter for High-Throughput
Assays.
AB - Luciferase-based reporter assays are powerful tools for monitoring gene
expression in cells because of their ultrasensitive detection capacity and wide
dynamic range. Here we describe the characterization and use of a luciferase
reporter enzyme derived from the marine copepod Metridia luciferase family,
referred to as TurboLuc luciferase (TurboLuc). To develop TurboLuc, the wild-type
luciferase was modified to decrease its size, increase brightness, slow
luminescent signal decay, and provide for efficient intracellular expression. To
determine the enzyme susceptibility to compound inhibition and judge the
suitability of using of TurboLuc as a reporter in screening assays, purified
TurboLuc enzyme was screened for inhibitors using two different compound
libraries. No inhibitors of this enzyme were identified in a library
representative of typical diverse low molecular weight (LMW) compounds using a
purified TurboLuc enzyme assay supporting that such libraries will show very low
interference with this enzyme. We were able to identify a few inhibitors from a
purified natural product library which can serve as useful tools to validate
assays using TurboLuc. In addition to the inhibitor profile for TurboLuc we
describe the use of this reporter in cells employing miniaturized assay volumes
within 1536-well plates. TurboLuc luciferase is the smallest luciferase reporter
enzyme described to date (16 kDa), shows bright luminescence and low interference
by LMW compounds, and therefore should provide an ideal reporter in assays
applied to high-throughput screening.
PMID- 29641192
TI - Synergetic Influence of Alkali-Metal and Lone-Pair Cations on Frameworks of
Tellurites.
AB - Four new tellurites were hydrothermally synthesized by the adjustment of
different alkali-metal ions, and all of the compounds demonstrate 2D layer
structures. Rb2Te4O9.2H2O in centrosymmetric space group Pccn features a new
[Te4O9]infinity2- anion sheet consisting of rare [Te8O22]12- groups.
RbNaTe8O14(OH)6.8H2O in CS space group P1 exhibits a [Te4O9]infinity2- anion
layer by linkage of the TeO3 and TeO4 units. NaPb4Te4O12F located in CS space
group P4/ n displays an unusual [PbTeO3]infinity neutral layer made up of PbO3
and TeO3 trigonal pyramids. RbK3Te8O18.5H2O lying in noncentrosymmetric (NCS)
space group Cc shows a [Te4O9]infinity2- anion layer composed of the TeO3 and
TeO4 units; its second-harmonic-generation response is about 0.2 times that of
KH2PO4; structure analysis and local dipole moment calculation verify that the
weak polarization mostly from the [Te4O9]infinity2- layer results from the
inverse arrangement of TeO n units, and further theoretical calculation confirms
that TeO n groups dominate the band gap of RbK3Te8O18.5H2O and optical
properties. Meanwhile, systematic analyses of a series of metal tellurites reveal
that the alkali-metal cations exert a considerable impact on polarization of the
crystal structures, which puts forward a feasible idea about the design of new
NCS materials.
PMID- 29641190
TI - Ir(III)-Catalyzed Carbocarbation of Alkynes through Undirected Double C-H Bond
Activation of Anisoles.
AB - A novel, electron-deficient cyclopentadienyl iridium(III) catalyst enables
sequential cleavage of arene C(sp2)-H and methoxy C(sp3)-H bonds of anisoles,
generating reactive metalacycles that insert difluoroalkynes to afford chromenes
under mild reaction conditions. This transformation is an arylalkylation of an
alkyne-a carbocarbation-via a nonchelate-assisted cleavage of two C-H bonds.
PMID- 29641193
TI - Localized Vibrations of Bi Bilayer Leading to Ultralow Lattice Thermal
Conductivity and High Thermoelectric Performance in Weak Topological Insulator n
Type BiSe.
AB - Realization of high thermoelectric performance in n-type semiconductors is of
imperative need on account of the dearth of efficient n-type thermoelectric
materials compared to the p-type counterpart. Moreover, development of efficient
thermoelectric materials based on Te-free compounds is desirable because of the
scarcity of Te in the Earth's crust. Herein, we report the intrinsic ultralow
thermal conductivity and high thermoelectric performance near room temperature in
n-type BiSe, a Te-free solid, which recently has emerged as a weak topological
insulator. BiSe possesses a layered structure consisting of a bismuth bilayer
(Bi2) sandwiched between two Bi2Se3 quintuple layers [Se-Bi-Se-Bi-Se], resembling
natural heterostructure. High thermoelectric performance of BiSe is realized
through the ultralow lattice thermal conductivity (kappalat of ~0.6 W/mK at 300
K), which is significantly lower than that of Bi2Se3 (kappalat of ~1.8 W/mK at
300 K), although both of them belong to the same layered homologous family (Bi2)
m(Bi2Se3) n. Phonon dispersion calculated from first-principles and the
experimental low-temperature specific heat data indicate that soft localized
vibrations of bismuth bilayer in BiSe are responsible for its ultralow kappalat.
These low energy optical phonon branches couple strongly with the heat carrying
acoustic phonons, and consequently suppress the phonon mean free path leading to
low kappalat. Further optimization of thermoelectric properties of BiSe through
Sb substitution and spark plasma sintering (SPS) results in high ZT ~ 0.8 at 425
K along the pressing direction, which is indeed remarkable among Te-free n-type
thermoelectric materials near room temperature.
PMID- 29641194
TI - One-Dimensional Chains of Paddlewheel-Type Dichromium(II,II) Tetraacetate
Complexes: Study of Electronic Structure Influenced by sigma- and pi-Donation of
Axial Linkers.
AB - Paddlewheel-type carboxylate-bridged dichromium(II,II) complexes possess
intriguing properties such as high redox activity and thermally assisted
paramagnetism. However, the relationship of their structures with electronic
states and physical properties has not been extensively studied. In this work, we
investigated a series of one-dimensional chain complexes based on the paddlewheel
type dichromium(II,II) tetraacetate complex ([Cr2II,II(OAc)4] = [Cr2II,II]) with
pyridine/pyrazine-type organic linkers (MU2-Lax) having different sigma- and pi
donating abilities to clarify the electronic structure of [Cr2II,II] assemblies.
The chain compounds are stable in air, probably owing to their robust polymerized
forms. X-ray crystallographic studies and magnetic measurements revealed that the
basicity (p Kb) of Lax, which quantitatively correlates with the sigma-donor
strength of Lax, modulates the Cr-Cr and Cr-Lax distances and the energy
separation ( ES-T) between the diamagnetic (singlet) and thermally populated
paramagnetic (triplet) states. The Cr-Cr and Cr-Lax distances are strongly
influenced by sigma- and pi-donation from Lax, while the frontier delta orbital
makes only a small contribution to the structural features. Density functional
theory calculations were conducted to clarify this issue. The calculations
produced the following unanticipated results against the long-known model: (i)
the sigma bonding orbital is the HOMO and dominates bonding in the [Cr2II,II]
unit, (ii) the total Cr-Cr bond order is less than 1.0, and (iii) the delta
orbital electron density is almost completely localized on the chromium sites.
The computational results accurately predict the magnetic behavior and provide
evidence for a new configuration of frontier orbitals in [Cr2II,II(RCO2)4(Lax)2].
PMID- 29641195
TI - Oxidative Ring Contraction of Cyclobutenes: General Approach to
Cyclopropylketones including Mechanistic Insights.
AB - An original oxidative ring contraction of easily accessible cyclobutene
derivatives for the selective formation of cyclopropylketones (CPKs) under
atmospheric conditions is reported. Comprehensive mechanistic studies are
proposed to support this novel, yet unusual, rearrangement. Insights into the
mechanism ultimately led to simplification and generalization of the ring
contraction of cyclobutenes using mCPBA as an oxidant. This unique and functional
group tolerant transformation proceeds under mild conditions at room temperature,
providing access to a new library of polyfunctionalized motifs. With CPKs being
attractive and privileged pharmacophores, the elaboration of such a simple and
straightforward strategy represents a highly valuable tool for drug discovery and
medicinal chemistry. Additionally, the described method was employed to generate
a pool of bioactive substances and key precursors in a minimum number of steps.
PMID- 29641196
TI - Influence of Agricultural Management on Phytochemicals of Colored Corn Genotypes
( Zea mays L.). Part 2: Sowing Time.
AB - Among the agronomic practices carried out in corn cultivation, the early sowing
time is increasingly used by farmers of temperate regions to improve yield and
reduce mycotoxin contamination of corn grains. The present study determined the
influence of sowing time on the phytochemical content of grains of 10 colored
genotypes of corn. There was a significant improvement of both grain yield
(+26%), thousand kernel weight (+3%), and test weight (+2%) in plots sown early.
The early sowing also significantly influenced the chemical composition of corn
grains, with an increase in the concentration of cell-wall-bound phenolic acids
(+5%) and beta-cryptoxanthin (+23%) and a decrease in the concentration of lutein
(-18%) and total anthocyanins (-21%). Environmental conditions that occurred
during grain development significantly influenced the phytochemical content of
corn grain, and early spring sowing could impart advantages in terms of both
productivity and content of some antioxidants of whole-meal corn flour.
PMID- 29641197
TI - Electronic Spectra of Cs2NaYb(NO2)6: Is There Quantum Cutting?
AB - The crystal structure and electronic spectra of the T h symmetry
hexanitritoytterbate(III) anion have been studied in Cs2NaY0.96Yb0.04(NO2)6,
which crystallizes in the cubic space group Fm3. The emission from Yb3+ can be
excited via the NO2- antenna. The latter electronic transition is situated at
more than twice the energy of the former, but at room temperature, one photon
absorbed at 470 nm in the triplet state produces no more than one photon emitted.
Some degree of quantum cutting is observed at 298 K under 420 nm excitation into
the singlet state and at 25 K using excitation into either state. The quantum
efficiency is ~10% at 25 K. The energy level scheme of Yb3+ has been deduced from
excitation and emission spectra and calculated by crystal field theory. New
improved energy level calculations are also reported for the Cs2NaLn(NO2)6 (Ln =
Pr, Eu, Tb) series using the f- Spectra package. The neat crystal Cs2NaYb(NO2)6
has also been studied, but results were unsatisfactory due to sample
decomposition, and this chemical instability makes it unsuitable for
applications.
PMID- 29641198
TI - Jahn-Teller Effect of the Benzene Radical Cation: A Direct ab Initio Molecular
Dynamics Study.
AB - The benzene radical cation (Bz+) is a typical model molecule of the Jahn-Teller
(J-T) active species. Bz+ has two structural forms due to the J-T effect. These
are the compressed and elongated forms, expressed as Bz+(comp) and Bz+(elong),
respectively. In Bz+(comp), the hexagonal structure of the benzene ring is
compressed up and down, and in Bz+(elong), it is pulled up and down. From
electron spin resonance experiments, it was found that Bz+ takes a compressed
form in low-temperature Freon matrices (CF3Cl and CF2ClCFCl2), whereas the
elongated form was found in argon matrices. However, the selectivity of these
structural forms is still unclear. In this study, the ionization dynamics of
isolated benzene (Bz) and benzene-M complexes (where M denotes counter-molecules,
M = NH3, H2O, CF3Cl, CH4, CH3OH, Ar, SH2, ammonia dimer, or water dimer) have
been investigated by means of the direct ab initio molecular dynamics (AIMD)
method in order to shed light on the Bz+ formation mechanism. The static ab
initio calculations showed that Bz+(comp) is slightly more energetically stable
than Bz+(elong), although the energy difference was only 0.1 kcal/mol at the
CCSD/6-311++G(d,p) level. The direct AIMD calculations indicated that Bz+(comp)
was formed from the Bz-M complexes when M was NH3, CF3Cl, or an ammonia dimer,
whereas the ionization of Bz-M when M was H2O, CH4, CH3OH, SH2, or a water dimer
formed Bz+(elong). In the case of complexes with an argon dimer, Bz(Ar)2, both
forms were obtained from a slight orientation change of Ar on Bz. A selective
rule is discussed on the basis of the calculated results.
PMID- 29641199
TI - Influence of Agricultural Management on Phytochemicals of Colored Corn Genotypes
( Zea mays L.). Part 1: Nitrogen Fertilization.
AB - In this study, the influence of nitrogen (N) fertilization (170 versus 300 kg of
N/ha) on the content of bioactive compounds of whole-meal flour of 10 different
colored corn genotypes was investigated. Considerable differences in antioxidant
capacity and phytochemical concentrations were observed among genotypes. Higher N
fertilization rates significantly ( p < 0.05) increased the content of both total
cell-wall-bound phenolics and xanthophylls (lutein and zeaxanthin). Nevertheless,
the main phenolic acids (ferulic, p-coumaric, and sinapic acids) as well as the
antioxidant capacity and content of beta-cryptoxanthin, beta-carotene, and total
anthocyanins did not show significant differences as far as the N fertilization
rate is concerned. For corn cultivation, the application of high N fertilization
rates, generally carried out to obtain higher grain yields, could positively
influence the content of some bioactives particularly in years characterized by
high rainfall levels responsible for N leaching from the soil.
PMID- 29641200
TI - Holistic Approach to Partial Covalent Interactions in Protein Structure
Prediction and Design with Rosetta.
AB - Partial covalent interactions (PCIs) in proteins, which include hydrogen bonds,
salt bridges, cation-pi, and pi-pi interactions, contribute to thermodynamic
stability and facilitate interactions with other biomolecules. Several score
functions have been developed within the Rosetta protein modeling framework that
identify and evaluate these PCIs through analyzing the geometry between
participating atoms. However, we hypothesize that PCIs can be unified through a
simplified electron orbital representation. To test this hypothesis, we have
introduced orbital based chemical descriptors for PCIs into Rosetta, called the
PCI score function. Optimal geometries for the PCIs are derived from a
statistical analysis of high-quality protein structures obtained from the Protein
Data Bank (PDB), and the relative orientation of electron deficient hydrogen
atoms and electron-rich lone pair or pi orbitals are evaluated. We demonstrate
that nativelike geometries of hydrogen bonds, salt bridges, cation-pi, and pi-pi
interactions are recapitulated during minimization of protein conformation. The
packing density of tested protein structures increased from the standard score
function from 0.62 to 0.64, closer to the native value of 0.70. Overall, rotamer
recovery improved when using the PCI score function (75%) as compared to the
standard Rosetta score function (74%). The PCI score function represents an
improvement over the standard Rosetta score function for protein model scoring;
in addition, it provides a platform for future directions in the analysis of
small molecule to protein interactions, which depend on partial covalent
interactions.
PMID- 29641201
TI - Nuclear Quantum Effects and Thermodynamic Properties for Small (H2O)1-21X-
Clusters (X- = F-, Cl-, Br-, I-).
AB - We carried out accurate diffusion Monte Carlo (DMC) studies for small (H2O) NX-
clusters ( N = 1-5; X- = F-, Cl-, Br-, I-) and their D2O isotopologues. We found
remarkably good agreement (i.e., ~0.1 kcal/mol or better) with no exceptions
between the DMC solvation energies and the corresponding harmonic approximation
(HA) estimates, due, apparently, to massive error cancellations. This is
surprising, in particular, because HA does not account for a substantial (i.e., ~
3%) increase of the mean O-O distances, caused by the anharmonicity in
conjunction with the nuclear quantum effects, although the other distances in the
system are affected to a much lesser extent. This agreement for the solvation
energies motivated us to extend the current study to larger ( N = 6-21) clusters
to explore their thermodynamic properties using the harmonic superposition method
(HSM). The HSM results for the solvation free energies in turn reveal that at
finite temperatures the nuclear quantum effects (including the isotope effects)
in these systems are miniscule.
PMID- 29641202
TI - Bonding Properties and Oxidation States of Plutonium in Pu2O n ( n = 1-8)
Molecules Studied by Using Screened Hybrid Density Functional Theory.
AB - The structural and electronic properties of Pu2O n ( n = 1-8) molecules have been
systematically studied within the screened hybrid density functional theory. On
the basis of the calculations, plutonium and oxygen generally prefers forming Pu
O and Pu-O-Pu bonds over to Pu-Pu or O-O bonds. In the ground-state geometries,
we find that the highest oxidation state for plutonium atoms is Pu(VI). Through
fragmentation studies, we find that the Pu2O and Pu2O2 molecules are
energetically stable among all studied compounds. When fixing the Fermi level to
be energy zero, the Pu-5 f states always distribute at the two sides of the Fermi
level. With increasing the number of oxygen atoms and the oxidation state of
plutonium, the Pu-6d states shift down from above to below the Fermi level.
PMID- 29641203
TI - Prenylcoumarins in One or Two Steps by a Microwave-Promoted Tandem Claisen
Rearrangement/Wittig Olefination/Cyclization Sequence.
AB - The one-pot synthesis of 8-prenylcoumarins from 1,1-dimethylallylated
salicylaldehydes and the stabilized ylide
[(ethoxycarbonyl)methylene]triphenylphosphorane under microwave conditions was
found to have a limited scope. The sequence suffers from a difficult and
sometimes low-yielding synthesis of the precursors and from a competing
deprenylation upon microwave irradiation. This side reaction occurs in particular
with electron rich arenes with two or more alkoxy groups at adjacent positions, a
prominent substitution pattern in naturally occurring 8-prenylcoumarins. Both
limitations of this one-step sequence were overcome by a two-step synthesis
consisting of a microwave-promoted tandem allyl ether Claisen
rearrangement/Wittig olefination and a subsequent olefin cross metathesis with 2
methyl-2-butene. The cross metathesis step proceeds with a high selectivity and
yields exclusively the desired prenyl, rather than the alternative crotyl
substituent. Several naturally occurring 8-prenylcoumarins that were previously
inaccessible have been synthesized in good overall yields along this route.
PMID- 29641205
TI - Modified Julia-Kocienski Reagents for a Stereoselective Introduction of
Trisubstituted Double Bonds: A Formal Total Synthesis of Limazepine E and
Barmumycin.
AB - A formal total synthesis of pyrrolo[1,4]benzodiazepine anticancer antibiotic
family member limazepine E is described. The synthesis features a stereoselective
introduction of a trisubstituted double bond using novel sterically demanding
Julia-Kocienski reagents, allowing the number of linear steps to be significantly
reduced. The potential of the newly developed reagents has also been demonstrated
by the formal total synthesis of barmumycin.
PMID- 29641204
TI - Natural Product Micheliolide (MCL) Irreversibly Activates Pyruvate Kinase M2 and
Suppresses Leukemia.
AB - Metabolic reprogramming of cancer cells is essential for tumorigenesis in which
pyruvate kinase M2 (PKM2), the low activity isoform of pyruvate kinase, plays a
critical role. Herein, we describe the identification of a nature-product-derived
micheliolide (MCL) that selectively activates PKM2 through the covalent binding
at residue cysteine424 (C424), which is not contained in PKM1. This interaction
promotes more tetramer formation, inhibits the lysine433 (K433) acetylation, and
influences the translocation of PKM2 into the nucleus. In addition, the pro-drug
dimethylaminomicheliolide (DMAMCL) with similar properties as MCL significantly
suppresses the growth of leukemia cells and tumorigenesis in a zebrafish
xenograft model. Cell-based assay with knock down PKM2 expression verifies that
the effects of MCL are dependent on PKM2 expression. DMAMCL is currently in
clinical trials in Australia. Our discovery may provide a valuable
pharmacological mechanism for clinical treatment and benefit the development of
new anticancer agents.
PMID- 29641207
TI - Formation of Tertiary Alcohols from the Rhodium-Catalyzed Reactions of
Donor/Acceptor Carbenes with Esters.
AB - Rhodium(II)-catalyzed reactions between isopropyl acetate and trichloroethyl
aryldiazoacetates result in the formation of oxirane intermediates that ring open
under the reaction conditions to form tertiary alcohols. When the reaction is
catalyzed by the dirhodium tetrakis(triarylcyclopropanecarboxylate) complex, Rh2(
S-2-Cl,4-BrTPCP)4, the tertiary alcohols are formed with good asymmetric
induction (80-88% ee).
PMID- 29641206
TI - Estrogen Receptor (ER) Subtype Selectivity Identifies 8-Prenylapigenin as an
ERbeta Agonist from Glycyrrhiza inflata and Highlights the Importance of Chemical
and Biological Authentication.
AB - Postmenopausal women are increasingly using botanicals for menopausal symptom
relief due to the increased breast cancer risk associated with traditional
estrogen therapy. The deleterious effects of estrogens are associated with
estrogen receptor (ER)alpha-dependent proliferation, while ERbeta activation
could enhance safety by opposing ERalpha effects. Three medicinal licorice
species, Glycyrrhiza glabra ( G. glabra), G. uralensis, and G. inflata, were
studied for their differential estrogenic efficacy. The data showed higher
estrogenic potency for G. inflata in an alkaline phosphatase induction assay in
Ishikawa cells (ERalpha) and an estrogen responsive element (ERE)-luciferase
assay in MDA-MB-231/beta41 breast cancer cells (ERbeta). Bioassay-guided
fractionation of G. inflata led to the isolation of 8-prenylapigenin (3).
Surprisingly, a commercial batch of 3 was devoid of estrogenic activity. Quality
control by MS and qNMR revealed an incorrect compound, 4'- O
methylbroussochalcone B (10), illustrating the importance of both structural and
purity verification prior to any biological investigations. Authentic and pure 3
displayed 14-fold preferential ERbeta agonist activity. Quantitative analyses
revealed that 3 was 33 times more concentrated in G. inflata compared to the
other medicinal licorice extracts. These data suggest that standardization of G.
inflata to 3 might enhance the safety and efficacy of G. inflata supplements used
for postmenopausal women's health.
PMID- 29641208
TI - In Situ and Real-Time Studies, via Synchrotron X-ray Scattering, of the
Orientational Order of Cellulose Nanocrystals during Solution Shearing.
AB - In this manuscript, we report on the ordering of the cellulose nanocrystals
(CNCs) as they experience shear forces during the casting process. To achieve
these measurements, in situ and in real time, we used synchrotron-based grazing
incidence wide-angle X-ray scattering (GIWAX). We believe that the GIWAX
technique, although not commonly used to probe these types of phenomena, can open
new avenues to gain deeper insights into film formation processes and surface
driven phenomena. In particular, we investigated the influence of solution
concentration, shear-cast velocity, and drying temperature on the ordering of
cellulose nanocrystals (CNCs) using GIWAXS. The films were prepared from aqueous
suspensions of cellulose nanocrystals at two concentration values (7 and 9 wt %).
As the films were cast, the X-ray beam was focused on a fixed position and GIWAXS
patterns were recorded at regular time intervals. Structural characterization of
the dry films was carried out via polarized optical microscopy and scanning
electron microscopy. In addition, a rheological study of the CNC suspensions was
performed. Our results show that the morphology of the CNC films was
significantly influenced by shear velocity, concentration of the precursor
suspension, and evaporation temperature. In contrast, we observed that the
orientation parameter of the films was not significantly affected. The scattering
intensity of the peak (200) was analyzed as a function of time, following a
sigmoidal profile, hence indicating short- and long-range interactions within the
anisotropic domains as they reached their final orientation state. A model
capable of describing the resulting film morphologies is also proposed. The
results and analysis presented in this manuscript provide new insights into the
controlled alignment of cellulose nanocrystals under shear. This controlled
alignment has significant implications in the development of advanced coatings
and films currently used in a myriad of applications, such as catalysis, optics,
electronics, and biomedicine.
PMID- 29641209
TI - A Cost-Effective High-Throughput Plasma and Serum Proteomics Workflow Enables
Mapping of the Molecular Impact of Total Pancreatectomy with Islet
Autotransplantation.
AB - Blood is an ideal body fluid for the discovery or monitoring of diagnostic and
prognostic protein biomarkers. However, discovering robust biomarkers requires
the analysis of large numbers of samples to appropriately represent
interindividual variability. To address this analytical challenge, we established
a high-throughput and cost-effective proteomics workflow for accurate and
comprehensive proteomics at an analytical depth applicable for clinical studies.
For validation, we processed 1 MUL each from 62 plasma samples in 96-well plates
and analyzed the product by quantitative data-independent acquisition liquid
chromatography/mass spectrometry; the data were queried using feature
quantification with Spectronaut. To show the applicability of our workflow to
serum, we analyzed a unique set of samples from 48 chronic pancreatitis patients,
pre and post total pancreatectomy with islet autotransplantation (TPIAT) surgery.
We identified 16 serum proteins with statistically significant abundance
alterations, which represent a molecular signature distinct from that of chronic
pancreatitis. In summary, we established a cost-efficient high-throughput
workflow for comprehensive proteomics using PVDF-membrane-based digestion that is
robust, automatable, and applicable to small plasma and serum volumes, e.g.,
finger stick. Application of this plasma/serum proteomics workflow resulted in
the first mapping of the molecular implications of TPIAT on the serum proteome.
PMID- 29641210
TI - Dynamics of Influenza-induced Lung-Resident Memory T Cells, Anatomically and
Functionally Distinct Lung Mesenchymal Populations, and Dampening of Acute Lung
Injury by Neutrophil Transfer of Micro-RNA-223 to Lung Epithelial Cells.
PMID- 29641211
TI - Reply to Mummadi et al.: Overfitting and Use of Mismatched Cohorts in Deep
Learning Models: Preventable Design Limitations.
PMID- 29641212
TI - Efficacy of Hippotherapy Versus Pharmacotherapy in Attention
Deficit/Hyperactivity Disorder: A Randomized Clinical Trial.
AB - OBJECTIVES: Pharmacotherapy among children with attention-deficit/hyperactivity
disorder (ADHD) is effective, but many patients suffer from secondary psychiatric
problems even after improvement of ADHD core symptoms. Hippotherapy have been
used as adjunct treatment options for physical and psychosocial rehabilitation as
well as to ameliorate core symptoms. The aim of this study was to investigate the
effects of Hippotherapy versus pharmacotherapy for children with ADHD. DESIGN:
Thirty-four participants with ADHD were randomly assigned at a 1:1 ratio to
either 24 sessions of a twice-weekly hippotherapy or pharmacotherapy. To assess
therapeutic effects, the ADHD Rating Scale (ARS) was used pretreatment and
posttreatment as the primary outcome measure. Secondary outcomes included the
Child Behavior Checklist (CBCL), Self-Esteem Scale (SES), Pediatric Quality of
Life Inventory (PedsQL) child and parent report version, Developmental
Coordination Disorder Questionnaire (DCDQ), Clinical Global Impressions-Severity
(CGI-S), and quantitative electroencephalography. RESULTS: Both groups showed
marked improvements in ADHD symptoms, CGI-S. No significant differences between
groups were detected regarding treatment outcome except thought problem subscales
of CBCL. Twelve weeks of hippotherapy improved attention,
impulsivity/hyperactivity, and quality of life. CONCLUSION: This trial is
promising, but further studies are required to evaluate the long-term clinical
effectiveness of hippotherapy. The study is registered with ClinicalTrials.gov,
number NCT 02482649.
PMID- 29641217
TI - Overfitting and Use of Mismatched Cohorts in Deep Learning Models: Preventable
Design Limitations.
PMID- 29641213
TI - Systemic IL-6 regulation of eccentric contraction-induced muscle protein
synthesis.
AB - Systemic cytokines and contractile activity are established regulators of muscle
protein turnover. Paradoxically, the IL-6 cytokine family, which shares the
ubiquitously expressed membrane gp130 receptor, has been implicated in skeletal
muscle's response to both contractions and cancer-induced wasting. Although we
have reported that tumor-derived cachectic factors could suppress stretch-induced
protein synthesis in cultured myotubes, the ability of systemic cytokines to
disrupt in vivo eccentric contraction-induced protein synthesis has not been
established. Therefore, we examined whether systemic IL-6 regulates basal and
eccentric contraction-induced protein synthesis through muscle gp130 signaling.
Systemic IL-6 overexpression was performed for 2 wk, and we then examined basal
and eccentric contraction-induced protein synthesis and mammalian target of
rapamycin complex 1 (mTORC1) signaling in tibialis anterior muscle of male wild
type, muscle-specific gp130 receptor knockout, and tumor-bearing ApcMin/+ mice.
Systemic IL-6 overexpression suppressed basal protein synthesis and mTORC1
signaling independently of IL-6 level, which was rescued by muscle gp130 loss.
Interestingly, only high systemic IL-6 levels suppressed eccentric contraction
induced protein synthesis. Systemic IL-6 overexpression in precachectic tumor
bearing ApcMin/+ mice accelerated cachexia development, which coincided with
suppressed basal and eccentric contraction-induced muscle protein synthesis. The
suppression of eccentric contraction-induced protein synthesis by IL-6 occurred
independently of mTORC1 activation. Collectively, these findings demonstrate that
basal protein synthesis suppression was more sensitive to circulating IL-6
compared with the induction of protein synthesis by eccentric contraction.
However, systemic IL-6 can interact with the cancer environment to suppress
eccentric contraction-induced protein synthesis independently of mTORC1
activation.
PMID- 29641218
TI - Forced into aging: Analytical prediction of the flavor-stability of lager beer. A
review.
AB - Despite years of research, sensory deterioration during beer aging remains a
challenge to brewing chemists. Therefore, sensorial and analytical tools to
investigate aging flavors are required. This review aims to summarize the
available analytical methods and to highlight the problems associated with
addressing the flavor-stability of beer. Carbonyls are the major contributors to
the aroma of aged pale lager beer, which is especially susceptible to
deterioration. They are formed via known pathways during storage, but, as recent
research indicates, are mainly released from the bound-state during aging.
However, most published studies are based on model systems, and thus the
formation and breakdown parameters of these adducts are poorly understood. This
concept has not been previously considered in previous forced-aging analysis.
Only weak parallels can be drawn between forced and natural aging. This is likely
due to the different activation energies of the chemical processes responsible
for aging, but may also be due to heat-promoted release of bound aldehydes. Thus,
precursors and their binding parameters must be investigated to make appropriate
technological adjustments to forced-aging experiments. In combination with
sophisticated data analysis, the investigation of volatile indicators and non
volatile precursors can lead to more reliable predictions of flavor stability.
PMID- 29641219
TI - Mechanical Ventilation in Acute Respiratory Distress Syndrome. Insights into
Opening the Lung and Driving Pressure.
PMID- 29641220
TI - Enantioseparation by Capillary Electrophoresis Using Ionic Liquids as Chiral
Selectors.
AB - Capillary electrophoresis (CE) is one of the most widely employed analytical
techniques to achieve enantiomeric separations. In spite of the fact that there
are many chiral selectors commercially available to perform enantioseparations by
CE, one of the most relevant topics in this field is the search for new selectors
capable of providing high enantiomeric resolutions. Chiral ionic liquids (CILs)
have interesting characteristics conferring them a high potential in chiral
separations although only some of them are commercially available. The aim of
this article is to review all the works published on the use of CILs as chiral
selectors in the development of enantioselective methodologies by CE, covering
the period from 2006 (when the first research work on this topic was published)
to 2017. The use of CILs as sole chiral selectors, as chiral selectors in dual
systems or as chiral ligands will be considered. This review also provides
detailed analytical information on the experimental conditions used to carry out
enantioseparations in different fields as well as on the separation mechanism
involved.
PMID- 29641221
TI - Airway Pressure Release Ventilation in Pediatric Acute Respiratory Distress
Syndrome. A Randomized Controlled Trial.
AB - RATIONALE: Although case series describe benefits of airway pressure release
ventilation (APRV), this mode of ventilation has not been evaluated against the
conventional low-tidal volume ventilation (LoTV) in children with acute
respiratory distress syndrome (ARDS). OBJECTIVES: To compare the effect of APRV
and conventional LoTV on ventilator-free days in children with ARDS. METHODS:
This open-label, parallel-design randomized controlled trial was conducted in a
15-bed ICU. Children aged 1 month to 12 years satisfying the modified Berlin
definition were included. We excluded children with air leaks, increased
intracranial pressure, poor spontaneous breathing efforts, chronic lung disease,
and beyond 24 hours of ARDS diagnosis or 72 hours of ventilation. Children were
randomized using unstratified, variable-sized block technique. A priori interim
analysis was planned at 50% enrollment. All enrolled children were followed up
until 180 days after enrollment or death, whichever was earlier. MEASUREMENTS AND
MAIN RESULTS: The trial was terminated after 50% enrollment (52 children) when
analysis revealed higher mortality in the intervention arm. Ventilator-free days
were statistically similar in both arms (P = 0.23). The 28-day all-cause
mortality was 53.8% in APRV as compared with 26.9% among control subjects (risk
ratio, 2.0; 95% confidence interval, 0.97-4.1; Fisher exact P = 0.089). The
multivariate-adjusted risk ratio of death for APRV compared with LoTV was 2.02
(95% confidence interval, 0.99-4.12; P = 0.05). Higher mean airway pressures,
greater spontaneous breathing, and early improvement in oxygenation were seen in
the intervention arm. CONCLUSIONS: APRV, as a primary ventilation strategy in
children with ARDS, was associated with a trend toward higher mortality compared
with the conventional LoTV. Limitations should be considered while interpreting
these results. Clinical trial registered with www.clinicaltrials.gov
(NCT02167698) and Clinical Trials Registry of India (CTRI/2014/06/004677).
PMID- 29641222
TI - Kava for Generalized Anxiety Disorder: A Review of Current Evidence.
AB - BACKGROUND: Generalized anxiety disorder (GAD) is a chronic and debilitating
condition characterized by persistent and overpowering anxiety. Treatment of GAD
with antidepressants and benzodiazepines is only moderately effective and not
free from side effects. Kava (Piper methysticum) has been explored as a potential
phytotherapeutic option for GAD. OBJECTIVES: To perform a systematic review and
meta-analysis of the available evidence on Kava as a treatment for GAD. METHODS:
Systematic search of English-language publications from major databases for
clinical trials reporting the effects of Kava for the treatment of GAD. RESULTS:
Twelve articles were included in this review. Evidence supporting Kava as an
effective treatment for GAD was found in two placebo-controlled trials and a
reference-controlled trial. One negative trial demonstrated that Kava was not
more effective than placebo. Meta-analyses of the results of three placebo
controlled trials (n = 130) favored Kava for GAD treatment with effect sizes
between 0.59 and 0.99 (standard mean difference) without reaching statistical
significance. Kava is an appealing treatment option to GAD patients who are more
attune to natural remedies or lifestyle approaches to reduce stress. Positive
patient experiences and improvement of vagal cardiac control due to Kava
treatment were also reported in the literature. Kava is safe and well tolerated
for short-term (4-8 weeks) therapeutic use at a dosage of 120-280 mg per day of
Kavalactones, regardless of dosage schedule. CONCLUSIONS: Current evidence,
although promising, is insufficient to confirm the effect of Kava for GAD
treatment beyond placebo. New evidence is expected from a large, multisite
ongoing trial.
PMID- 29641223
TI - Safety and feasibility audit of a home-based drug-transitioning approach for
patients with pulmonary arterial hypertension: an observational study.
AB - BACKGROUND: Newer endothelin receptor antagonists (ERAs) used to treat patients
with pulmonary arterial hypertension (PAH) are associated with fewer drug-drug
interactions than bosentan and require less monitoring. This, combined with a
pharmacokinetic basis for improved efficacy, means there may be a clinical
rationale for changing therapies. However, this can be challenging and few data
on its safety in patients with PAH are available. AIMS: At the Royal Free
Hospital in London, UK, home-based medication transitioning has been standard
practice since 2009 to avoid unnecessary hospital visits for patients, unless
there is a clinical imperative. In this audit of standard practice we evaluated
the consequences of adopting such a strategy when transitioning PAH patients
between ERA therapies. METHODS AND RESULTS: Using a Clinical Nurse Specialist
led, home-based transitioning strategy, 92 patients with PAH were transitioned
from bosentan to macitentan or ambrisentan. Observational data were analysed
retrospectively. The majority of patients were female with PAH associated with
connective tissue disease and their ERA was changed in the hope of improving
efficacy. The process was well tolerated with no adverse events associated with
the process. Seventeen patients died during the study (macitentan, n = 5;
ambrisentan, n = 12). None of the deaths was considered related to ERA treatment.
The majority of patients remained clinically stable, based on WHO functional
class and exercise capacity. CONCLUSION: An established home-based transitioning
strategy can be adopted safely for patients with PAH changing ERA therapies. Most
patients remained stable and the therapy change was well tolerated.
PMID- 29641224
TI - Breast cancer: influence of tumour volume estimation method at MRI on prediction
of pathological response to neoadjuvant chemotherapy.
AB - OBJECTIVE: Does method of tumour volume measurement on MRI influence prediction
of treatment outcome in patients with primary breast cancer undergoing
neoadjuvant chemotherapy (NAC)?. METHOD: The study comprised of 136 women with
biopsy-proven breast cancer scheduled for MRI monitoring during NAC treatment.
Dynamic contrast-enhanced images were acquired at baseline (pre-NAC) and interim
(post three NAC cycles) time points. Functional tumour volumes (FTVs),
automatically derived using vendor software and enhancing tumour volumes (ETVs),
user-derived using a semi-automated thresholding technique, were calculated at
each time point and percentage changes calculated. Response, assessed using
residual cancer burden (RCB) score on surgically resected specimens, was compared
statistically with volumetric changes and receiver operating characteristic
analysis performed. RESULTS: Mean volumetric differences for each RCB response
category were (FTV/ETV): pathological complete response (pCR) 95.5/96.8%, RCB-I
69.8/66.7%, RCB-II 64.0/65.5%, RCB-III 25.4/24.0%. Differences were significant
between pCR and RCB-II/RCB-III categories (p < 0.040; unpaired t-test) using FTV
measures and between pCR and RCB-I/RCB-II/RCB-III categories (p < 0.006; unpaired
t-test) when ETV was used. Receiver operating characteristic analysis for pCR
identification post-NAC yielded area under the curve for FTV/ETV of 0.834/0.920
respectively. Sensitivity and specificity for FTV was 80.0 and 76.8% for FTV and
81.0 and 91.8% for ETV. CONCLUSION: ETV changes can identify patients likely to
achieve a complete response to NAC. Potentially, this could impact patient
management regarding the possible avoidance of post-NAC surgery. Advances in
Knowledge: Interim changes in ETV are more useful than FTV in predicting final
pathological response to NAC. ETV differentiates patients who will achieve a
complete response from those who will have residual disease.
PMID- 29641225
TI - Developmental Origins of Chronic Lung Diseases. Mechanical Stretch, Micro-RNAs,
and Hydrogels.
PMID- 29641226
TI - The impact of contrast-enhanced spectral mammogram (CESM) and three-dimensional
breast ultrasound (3DUS) on the characterization of the disease extend in cancer
patients.
AB - OBJECTIVE: The main importance of imaging breast cancer is to guide conservative
surgeries. In this study, we evaluated the role of contrast-enhanced spectral
mammogram (CESM) in correlation with three-dimensional (3D) breast ultrasound in
characterizing the extension of the intramammary cancer in view of the: (i) the
size of the main tumor, (ii) the multiplicity of the breast cancer, and (iii) the
peri-tumoral stromal involvement (i.e. free or intraductal extension of the
cancer). METHODS: The study is a prospective analysis that included 300 breast
masses proved to be malignant. The masses were evaluated for their size,
multiplicity and surrounding stromal involvement. Contrast-based mammography
performed with low (22-33 kVp) and high (44-49 kVp) energy exposures that were
taken after i.v. injection of contrast agent and followed by bilateral 3D breast
ultrasound. Operative data were the gold standard reference. RESULTS: There was
no significant difference between the sizes of the included cancers as measured
by CESM and 3D ultrasound and that measured at the pathological analysis. CESM
showed higher accuracy (32.7%, n = 98) than 3D ultrasound (24.7%, n = 74) in the
size agreement within 5% range. CESM was the most accurate modality (94%, n =
282) in detecting tumor multiplicity, followed by traditional sonomammogram (88%,
n = 264), then 3D breast ultrasound (84%, n = 252). Intraductal extension of the
breast cancer was best evaluated by the 3D ultrasound with an accuracy value of
98% (n = 294) compared to only 60% (n = 180) by CESM. CONCLUSION: CESM is a
recommended investigation in breast cancer to increase the accuracy of size
measurement and the detection of multiple tumors. The addition of 3D ultrasound
can enhance the detection of intraductal extension. Advances in knowledge: Choice
of conservative breast surgery vs mastectomy is still a debate. We used an
advanced, contrast-based, application of the mammogram: CESM and a non-invasive
3D breast ultrasound in the assessment of the local extension of the breast
cancer regarding size, perifocal stromal infiltration and multiplicity to guide
the selection of proper management in proved cases of breast cancer.
PMID- 29641228
TI - REDO: RNA Editing Detection in Plant Organelles Based on Variant Calling Results.
AB - RNA editing is a post-transcriptional or cotranscriptional process that changes
the sequence of the precursor transcript by substitutions, insertions, or
deletions. Almost all of the land plants undergo RNA editing in organelles
(plastids and mitochondria). Although several software tools have been developed
to identify RNA editing events, there has been a great challenge to distinguish
true RNA editing events from genome variation, sequencing errors, and other
factors. Here we introduce REDO, a comprehensive application tool for identifying
RNA editing events in plant organelles based on variant call format files from
RNA-sequencing data. REDO is a suite of Perl scripts that illustrate a bunch of
attributes of RNA editing events in figures and tables. REDO can also detect RNA
editing events in multiple samples simultaneously and identify the significant
differential proportion of RNA editing loci. Comparing with similar tools, such
as REDItools, REDO runs faster with higher accuracy, and more specificity at the
cost of slightly lower sensitivity. Moreover, REDO annotates each RNA editing
site in RNAs, whereas REDItools reports only possible RNA editing sites in
genome, which need additional steps to obtain RNA editing profiles for RNAs.
Overall, REDO can identify potential RNA editing sites easily and provide several
functions such as detailed annotations, statistics, figures, and significantly
differential proportion of RNA editing sites among different samples.
PMID- 29641227
TI - Primary postpartum hemorrhage: outcome of uterine artery embolization.
AB - OBJECTIVE: To assess the efficacy of uterine artery embolization (UAE) for the
management of primary postpartum hemorrhage (PPH) and to determine the factors
associated with clinical outcomes especially in relation to the ovarian artery.
METHODS: A retrospective analysis of 33 patients who underwent UAE for primary
PPH was performed. Clinical data were used regarding maternal characteristics,
cause of bleeding, mode of delivery, bleeding onset after delivery, risk factors
for PPH, presence of coagulopathy, details regarding the UAE procedure, and types
of ovarian artery flow. We defined two types of ovarian artery flow by initial
aortography before UAE (Type A: ovarian artery flow arose directly from the aorta
to the uterine artery; Type B: ovarian artery flow that did not obviously arise
from the aorta). Clinical success was defined as cessation of bleeding after UAE
without the need for hysterectomy. Univariate analysis was performed to determine
factors related to clinical outcomes. RESULTS: The major indication of UAE was
uterine atony (82%; 27/33). Extravasation of contrast medium was observed in 15
(45%) patients. The clinical success rate was 85% (28/33). In five patients,
embolization failed, and these patients were managed by hysterectomy. Univariate
analysis showed that retained placental tissue (p < 0.001), type of ovarian
artery (p < 0.001) and the existence of extravasation of contrast medium (p =
0.049) were related to the clinical success rate. CONCLUSION: The clinical
success rate was 85% (28/33), and some PPH patients were thought to need ovarian
artery embolization in addition to UAE. Advances in knowledge: Initial
aortography before UAE may be useful to predict the failure of UAE and the need
of ovarian artery embolization. Obvious ovarian arterial blood flow to the uterus
by aortography could be a warning sign.
PMID- 29641229
TI - Coumarins improved type 2 diabetes induced by high-fat diet and streptozotocin in
mice via antioxidation.
AB - Coumarins extensively exist in plants and are utilized against diabetes in some
folk medicines. Recent studies have demonstrated that oxidative stress plays a
crucial role in the etiology and pathogenesis of diabetes mellitus. We
investigated the antioxidant ability of 3 coumarins (osthole, esculin, and
fraxetin) in type 2 diabetes. After being fed a high-fat diet, ICR mice were
exposed to low doses of streptozotocin and then treated with experimental
coumarins for 5 weeks. We found osthole, esculin, and metformin significantly
lowered fasting blood glucose, HOMA-IR, and 3 blood lipids (total cholesterol,
total triglyceride, free fatty acids), and increased insulin levels, while
fraxetin only enhanced insulin levels and lessened free fatty acids. Both osthole
and esculin had antioxidative effects in pancreas through elevating the
activities of glutathione peroxidase, catalase, and superoxide dismutase;
fraxetin, however, merely heightened catalase activity. By contrast, 3 coumarins
significantly increased those antioxidase activities in liver. Hematoxylin and
eosin staining revealed 3 coumarins, especially osthole, attenuated cellular
derangement, blurry fringes of hepatic sinusoid and extensive vacuolization due
to hepatocellular lipid accumulation, and lessened inflammatory infiltration in
pancreas. The glomerular and islet structure of diabetic mice were improved, with
reduced mesangial matrix and glomerular basement membrane thickening. Therefore,
our study supports that coumarins could be promising candidates against type 2
diabetes through antioxidative mechanisms.
PMID- 29641230
TI - PyPathway: Python Package for Biological Network Analysis and Visualization.
AB - Life science studies represent one of the biggest generators of large data sets,
mainly because of rapid sequencing technological advances. Biological networks
including interactive networks and human curated pathways are essential to
understand these high-throughput data sets. Biological network analysis offers a
method to explore systematically not only the molecular complexity of a
particular disease but also the molecular relationships among apparently distinct
phenotypes. Currently, several packages for Python community have been developed,
such as BioPython and Goatools. However, tools to perform comprehensive network
analysis and visualization are still needed. Here, we have developed PyPathway,
an extensible free and open source Python package for functional enrichment
analysis, network modeling, and network visualization. The network process module
supports various interaction network and pathway databases such as Reactome,
WikiPathway, STRING, and BioGRID. The network analysis module implements
overrepresentation analysis, gene set enrichment analysis, network-based
enrichment, and de novo network modeling. Finally, the visualization and data
publishing modules enable users to share their analysis by using an easy web
application. For package availability, see the first Reference.
PMID- 29641232
TI - Soleus muscle stability in wild hibernating black bears.
AB - Based on studies of fast skeletal muscles, hibernating black and brown bears
resist skeletal muscle atrophy during months of reduced physical activity and not
feeding. The present study examined atrophy sparing in the slow soleus muscle,
known to be highly prone to disuse atrophy in humans and other mammals. We
demonstrated histochemically that the black bear soleus is rich in slow fibers,
averaging 84.0 +/- 6.6%. The percentages of slow fibers in fall (87.3 +/- 4.9%)
and during hibernation (87.1 +/- 5.6%) did not differ ( P = 0.3152) from summer.
The average fiber cross-sectional area to body mass ratio (48.6 +/- 11.7 um2/kg)
in winter hibernating bears was not significantly different from that of summer
(54.1 +/- 11.8 um2/kg, P = 0.4186) and fall (47.0 +/- 9.7 um2/kg, P = 0.9410)
animals. The percentage of single hybrid fibers containing both slow and fast
myosin heavy chains, detected biochemically, increased from 2.6 +/- 3.8% in
summer to 24.4 +/- 24.4% ( P = 0.0244) during hibernation. The shortening
velocities of individual hybrid fibers remained unchanged from that of pure slow
and fast fibers, indicating low content of the minority myosins. Slow and fast
fibers in winter bears exhibited elevated specific tension (kN/m2; 22%, P =
0.0161 and 11%, P = 0.0404, respectively) and maintained normalized power. The
relative stability of fiber type percentage and size, fiber size-to-body mass
ratio, myosin heavy chain isoform content, shortening velocity, power output, and
elevated specific tension during hibernation validates the ability of the black
bear to preserve the biochemical and performance characteristics of the soleus
muscle during prolonged hibernation.
PMID- 29641231
TI - A role for the CXCR4-CXCL12 axis in the little skate, Leucoraja erinacea.
AB - The interaction between C-X-C chemokine receptor type 4 (CXCR4) and its cognate
ligand C-X-C motif chemokine ligand 12 (CXCL12) plays a critical role in
regulating hematopoietic stem cell activation and subsequent cellular
mobilization. Extensive studies of these genes have been conducted in mammals,
but much less is known about the expression and function of CXCR4 and CXCL12 in
non-mammalian vertebrates. In the present study, we identify simultaneous
expression of CXCR4 and CXCL12 orthologs in the epigonal organ (the primary
hematopoietic tissue) of the little skate, Leucoraja erinacea. Genetic and
phylogenetic analyses were functionally supported by significant mobilization of
leukocytes following administration of Plerixafor, a CXCR4 antagonist and
clinically important drug. Our results provide evidence that, as in humans,
Plerixafor disrupts CXCR4/CXCL12 binding in the little skate, facilitating
release of leukocytes into the bloodstream. Our study illustrates the value of
the little skate as a model organism, particularly in studies of hematopoiesis
and potentially for preclinical research on hematological and vascular disorders.
PMID- 29641233
TI - Efficacy and safety of antenatal steroids.
AB - Antenatal steroids (ANS) are among the most important and widely utilized
interventions to improve outcomes for preterm infants. A significant body of
evidence demonstrates improved outcomes in preterm infants (24-34 wk) delivered
between 1 and 7 days after the administration of a single course of ANS.
Moreover, ANS have the advantage of being widely available, low cost, and easily
administered via maternal intramuscular injection. The use of ANS to mature the
fetal lung is, however, not without contention. Their use in pregnancy is not FDA
approved, and treatment doses and regimens remain largely unoptimized. Their mode
of use varies considerably between countries, and there are lingering concerns
regarding the safety of exposing the fetus to high doses of exogenous steroids. A
significant proportion of women deliver outside the 1- to 7-day therapeutic
window after ANS treatment, and this delay may be associated with an increased
risk of adverse outcomes for both mother and baby. Today, animal-based studies
are one means by which key questions of dosing and safety relating to ANS may be
resolved, allowing for further refinement(s) of this important therapy.
Complementary approaches using nonhuman primates, sheep, and rodents have
provided invaluable advances to our understanding of how exogenous steroid
exposure impacts fetal development. Focusing on these three major model groups,
this review highlights the role of three key animal models (sheep, nonhuman
primates, rodents) in the development of antenatal steroid therapy, and provides
an up-to-date synthesis of current efforts to refine this therapy in an era of
personalised medicine.
PMID- 29641234
TI - Adipose cell size: importance in health and disease.
AB - Adipose tissue is necessary to harbor energy. To handle excess energy, adipose
tissue expands by increasing adipocyte size (hypertrophy) and number
(hyperplasia). Here, we have summarized the different experimental techniques
used to study adipocyte cell size and describe adipocyte size in relation to
insulin resistance, type 2 diabetes, and diet interventions. Hypertrophic
adipocytes have an impaired cellular function, and inherent mechanisms restrict
their expansion to protect against cell breakage and subsequent inflammation.
Reduction of large fat cells by diet restriction, physical activity, or bariatric
surgery therefore is necessary to improve cellular function and health. Small fat
cells may also be dysfunctional and unable to expand. The distribution and
function of the entire cell size range of fat cells, from small to very large fat
cells, are an important but understudied aspect of adipose tissue biology. To
prevent dysmetabolism, therapeutic strategies to expand small fat cells, recruit
new fat cells, and reduce large fat cells are needed.
PMID- 29641235
TI - Time course of red blood cell intracellular pH recovery following short
circuiting in relation to venous transit times in rainbow trout, Oncorhynchus
mykiss.
AB - Accumulating evidence is highlighting the importance of a system of enhanced
hemoglobin-oxygen (Hb-O2) unloading for cardiovascular O2 transport in teleosts.
Adrenergically stimulated sodium-proton exchangers (beta-NHE) create H+ gradients
across the red blood cell (RBC) membrane that are short-circuited in the presence
of plasma-accessible carbonic anhydrase (paCA) at the tissues; the result is a
large arterial-venous pH shift that greatly enhances O2 unloading from pH
sensitive Hb. However, RBC intracellular pH (pHi) must recover during venous
transit (31-90 s) to enable O2 loading at the gills. The halftimes ( t1/2) and
magnitudes of RBC beta-adrenergic stimulation, short-circuiting with paCA and
recovery of RBC pHi, were assessed in vitro, on rainbow trout whole blood, and
using changes in closed-system partial pressure of O2 as a sensitive indicator
for changes in RBC pHi. In addition, the recovery rate of RBC pHi was assessed in
a continuous-flow apparatus that more closely mimics RBC transit through the
circulation. Results indicate that: 1) the t1/2 of beta-NHE short-circuiting is
likely within the residence time of blood in the capillaries, 2) the t1/2 of RBC
pHi recovery is 17 s and within the time of RBC venous transit, and 3) after
short-circuiting, RBCs reestablish the initial H+ gradient across the membrane
and can potentially undergo repeated cycles of short-circuiting and recovery.
Thus, teleosts have evolved a system that greatly enhances O2 unloading from pH
sensitive Hb at the tissues, while protecting O2 loading at the gills; the
resulting increase in O2 transport per unit of blood flow may enable the
tremendous athletic ability of salmonids.
PMID- 29641236
TI - Nationwide Epidemiologic Study of Atypical Antipsychotic Use Among Pediatric
Population with Mental Illness in Korea.
AB - OBJECTIVE: This population-based epidemiologic study aimed to analyze the
prevalence and trends of atypical antipsychotic (AAP) use and identify factors
associated with AAP prescribing among children and adolescents in Korea. METHODS:
Using the Health Insurance Review and Assessment Service-Pediatric Patients
Sample data between 2010 and 2014, we assessed the prevalence of and secular
trends in AAP use; utilization of individual AAP drug based on the type of
healthcare services, healthcare institutions, and health security programs; and
factors associated with AAP use. RESULTS: The average annual prevalence of AAP
use was 417 per 100,000 children and adolescents, which increased by 40% from
2010 to 2014. The major AAP drug of choice has shifted from risperidone (72.70%
and 49.29% in 2010 and 2014, respectively) to aripiprazole (11.31% and 34.64% in
2010 and 2014, respectively). Quetiapine was mainly prescribed for inpatients.
Therefore, hospitals have 2-3 times higher proportion of quetiapine use than
clinics. A prominent difference exists in the prescribing rates and AAP drug of
choice based on income level. Patients enrolled in the Medical Aid (MA) program
had a higher AAP prescription rate than those enrolled in the National Health
Insurance (NHI) program (adjusted odds ratio: 7.78). Moreover, MA recipients were
more frequently prescribed with cheaper alternatives, such as risperidone,
compared with NHI recipients. CONCLUSION: AAP has been increasingly used among
the pediatric population in Korea. Future studies are needed to identify the
causes of this increase and establish evidence on appropriate AAP use. In
addition, relevant policies should be developed to ensure that low-income
mentally ill children could take advantage of the best available therapy.
PMID- 29641237
TI - Optimization of Methylphenidate Extended-Release Chewable Tablet Dose in Children
with ADHD: Open-Label Dose Optimization in a Laboratory Classroom Study.
AB - OBJECTIVE: To examine methylphenidate extended-release chewable tablets (MPH
ERCT) dose patterns, attention-deficit/hyperactivity disorder (ADHD) symptom
scores, and safety during the 6-week, open-label (OL) dose-optimization period of
a phase 3, laboratory classroom study. METHODS: Boys and girls (6-12 years)
diagnosed with ADHD were enrolled. MPH ERCT was initiated at 20 mg/day;
participants were titrated in 10-20 mg/day increments weekly based on efficacy
and tolerability (maximum dose, 60 mg/day). Dose-optimization period efficacy
assessments included the ADHD Rating Scale (ADHD-RS-IV), analyzed by week in a
post hoc analysis using a mixed-effects model for repeated measures with final
optimized dose (20, 30/40, or 50/60 mg), visit, final optimized dose and visit
interaction, and baseline score as terms. Adverse events (AEs) and concomitant
medications were collected throughout the study. RESULTS: Mean MPH ERCT daily
dose increased weekly from 29.4 mg/day after the first dose adjustment at week 1
(n = 90) to 42.8 mg/day after the final adjustment at week 5 (n = 86). Final
optimized MPH ERCT dose ranged from 20 to 60 mg/day. Mean final optimized MPH
ERCT dose ranged from 40.0 mg/day in 6-8 year-old participants to 44.8 mg/day for
11-12 year-old participants. There was a progressive decrease in mean (standard
deviation) ADHD-RS-IV total score from 40.1 (8.72) at baseline to 12.4 (7.88) at
OL week 5, with similar improvement patterns for hyperactivity/impulsivity and
inattentiveness subscale scores. Participants optimized to MPH ERCT 50/60 mg/day
had a significantly higher mean (standard error) ADHD-RS-IV score at baseline
compared with participants optimized to MPH ERCT 20 mg/day (42.4 [1.34] vs. 35.1
[2.55]; p = 0.013). Treatment-emergent AEs were reported by 65/90 (72.2%)
participants in the dose-optimization period. CONCLUSIONS: Dose-optimization
period results describing relationships between change in ADHD symptom scores and
final optimized MPH ERCT dose will be valuable for clinicians optimizing MPH ERCT
dose.
PMID- 29641239
TI - Antipsychotics in Dutch Youth: Prevalence, Dosages, and Duration of Use from 2005
to 2015.
AB - OBJECTIVES: The use of antipsychotic drugs by youth is associated with serious
side effects, especially when prescribed in higher dosages and for a longer
period. Despite this, little is known about recent trends in the dosages and
duration of use of antipsychotic drugs in children and adolescents. The aim of
this study was to describe trends in prevalence, incidence, dosages, duration of
use, and preceding psychotropic medication in Dutch youth who had been prescribed
antipsychotic drugs from 2005 to 2015. METHODS: We analyzed 84,828 antipsychotic
prescriptions of youths aged 0-19 years between 2005 and 2015, derived from a
large Dutch community pharmacy-based prescription database (IADB.nl). RESULTS:
Since a peak of 9.8 users per 1000 youths in 2009, prevalence rates stabilized.
Dosages in milligram per kilogram declined for the most frequently prescribed
antipsychotic drugs during the study period. The median duration of use was 6.0
(95% CI 5.4-6.6) months. Boys used antipsychotic drugs significantly longer than
girls, with a median of 6.9 (95% CI 6.1-7.7) versus 4.6 (95% CI 3.9-5.3) months
(p < 0.01). Of the youths prescribed antipsychotics, 12.4% used them for at least
48 months. The majority of youths had used other psychotropic agents in the year
before the start of an antipsychotic drug (62.4% in 2005 and 64.7% in 2015).
CONCLUSIONS: Despite a stabilization of usage rates and decline in dosages and
duration of use, one in eight youths still used antipsychotic drugs for 4 years
or longer. A substantial share of youths may, therefore, be at high risk for
serious side effects.
PMID- 29641238
TI - Comparing Nurse Practitioner and Physician Prescribing of Psychotropic
Medications for Medicaid-Insured Youths.
AB - OBJECTIVE: To describe psychotropic medication prescribing practices of nurse
practitioners (NP) and physicians for Medicaid-insured youths in 2012-2014 in a
mid-Atlantic state where NP independent prescribing is authorized. METHOD: From
annual computerized administrative claims data in a mid-Atlantic state, we
analyzed 1,034,798 dispensed psychotropic medications prescribed by NPs and
physicians for 61,526 continuously enrolled Medicaid-insured youths aged 2-17
years. Demographic and clinical characteristics of psychotropic medication users
were compared for youths who received psychotropic medication dispensings by NP
only, physician-only, or by both providers using descriptive statistics and
generalized estimating equations. We then characterized psychotropic medication
prescribing practices by providers within each specialty. RESULTS: From 2012 to
2014, the number of psychotropic medication dispensings increased from 346,922 to
349,080. There was a 50.9% increase in the proportion of psychotropic medications
prescribed by psychiatric NPs (from 5.9% to 8.8%) and a 28.6% proportional
increase by non-psychiatric NPs (from 4.9% to 6.3%). By contrast, the proportion
of psychotropic medications prescribed by psychiatrists and by non-psychiatric
physicians declined (56.9%-53.0% and 32.3%-31.8%, respectively). Youths diagnosed
with depression or anxiety were more commonly treated by NP-only than by
physician-only (AOR = 1.33, 95% CI = 1.24-1.43), whereas youths with two or more
psychiatric comorbidities were significantly more commonly treated by both NP and
physician providers (AOR = 1.44, 95% CI = 1.39-1.50). Psychiatric specialists
prescribed the bulk of antidepressants (82.0%) and lithium (92.3%), with much
lower prescribing by non-psychiatric specialists (18.0% and 7.7%, respectively).
Antipsychotic orders originated from psychiatric specialists 7.4 times more than
from their non-psychiatric specialty counterparts, whether physician or NP.
CONCLUSIONS: NPs, relative to physicians, have taken an increasing role in
prescribing psychotropic medications for Medicaid-insured youths. The quality of
NP prescribing practices deserves further attention.
PMID- 29641240
TI - Decreasing Trend in the Use and Long-Term Use of Benzodiazepines Among Young
Adults.
AB - OBJECTIVE: Patterns of benzodiazepine (BZD) use and long-term use among young
adults are not well known. Our aim was to study trends in BZD use and long-term
use among 18-25-year-old young adults by gender and active substance in a
nationwide retrospective longitudinal register-based setting. METHODS: All Finns
aged 18-25 years with reimbursed purchases of BZDs in 2006-2014 recorded to the
Finnish Prescription Register were included. Annual prevalence rates of BZD use
and long-term use among young adults were reported overall, according to gender,
drug group (anxiolytic or hypnotic), and active substance. Long-term use of BZDs
was defined as purchasing >=180 Defined Daily Doses (DDDs) in at least two drug
purchases during a calendar year. RESULTS: Overall prevalence of BZD use among
young adults decreased from 24.0 to 18.8 users per 1000 inhabitants in 2006-2014.
Prevalence of long-term use decreased from 5.5 to 3.3 users per 1000 inhabitants.
Overall BZD use was higher among females, whereas long-term use was more common
among males. Use of anxiolytics was more common than use of hypnotics. Oxazepam,
alprazolam, zopiclone, and zolpidem were the most used BZDs, whereas alprazolam
and clonazepam were the substances with most long-term use. The use and long-term
use of BZDs have decreased annually since 2008 among Finnish young adults.
Further research is needed to investigate the reasons behind the decline.
PMID- 29641241
TI - N-Acetyl Cysteine and Curcumin in Pediatric Acute-Onset Neuropsychiatric
Syndrome.
PMID- 29641242
TI - Matrix Analysis of Traditional Chinese Medicine Differential Diagnoses in Gulf
War Illness.
AB - OBJECTIVE: To qualitatively categorize Traditional Chinese Medicine (TCM)
differential diagnoses in a sample of veterans with Gulf War Illness (GWI) pre-
and postacupuncture treatment. SUBJECTS AND METHODS: The authors randomized 104
veterans diagnosed with GWI to a 6-month acupuncture intervention that consisted
of either weekly or biweekly individualized acupuncture treatments. TCM
differential diagnoses were recorded at baseline and at 6 months. These TCM
diagnoses were evaluated using Matrix Analysis to determine co-occurring patterns
of excess, deficiency, and channel imbalances. These diagnoses were examined
within and between participants to determine patterns of change and to assess
stability of TCM diagnoses over time. RESULTS: Frequencies of diagnoses of
excess, deficiency, and channel patterns were tabulated. Diagnoses of excess
combined with deficiency decreased from 43% at baseline to 39% of the sample at 6
months. Excess+deficiency+channel imbalances decreased from 26% to 17%, while
deficiency+channel imbalances decreased from 11% to 4% over the study duration.
The authors observed a trend over time of decreased numbers of individuals
presenting with all three types of differential diagnosis combinations. This may
suggest that fewer people were diagnosed with concurrent excess, deficiency, and
channel imbalances and perhaps a lessening in the complexity of their
presentation. CONCLUSION: This is the first published article that organizes and
defines TCM differential diagnoses using Matrix Analysis; currently, there are no
TCM frameworks for GWI. These findings are preliminary given the sample size and
the amount of missing data at 6 months. Characterization of the TCM clinical
presentation of veterans suffering from GWI may help us better understand the
potential role that East Asian medicine may play in managing veterans with GWI
and the design of effective acupuncture treatments based on TCM. The development
of a TCM manual for treating GWI is merited.
PMID- 29641243
TI - An Evolutionary Theory to Explain the Mechanism of Pain Control by Acupuncture
Therapy.
PMID- 29641244
TI - Adiponectin Increase in Mildly Obese Women After Massage Treatment.
PMID- 29641245
TI - Evaluation of "Energy Resonance by Cutaneous Stimulation" Among Women Treated by
In Vitro Fertilization.
AB - OBJECTIVES: Pregnancy rate in in vitro fertilization (IVF) depends on many
factors, such as the characteristics of the couple and the clinicobiological
parameters. Interest in alternative and complementary medicine (ACM) for IVF is
discussed because of the lack of scientific evidence. Energy resonance by
cutaneous stimulation (ERCS), an acupuncture-like technique, consists of skin
stimulation to transmit vibratory messages. The aim of this study was to evaluate
the effect of ERCS on live birth rates (LBRs) in IVF. DESIGN: A prospective
observation study was performed in the Unit of Assisted Reproductive Technologies
of a University Teaching Hospital. Every woman who agreed to participate in this
study and received a fresh embryo transfer (ET) after IVF or Intracytoplasmic
Sperm Injection (ICSI) was included. Patients randomly underwent an ERCS session
on the day of ET according to the schedule of the midwife performing this
technique. The control group consisted of women undergoing ET under usual
conditions. The main outcome measure was the LBR per transfer. RESULTS: Three
hundred-eighteen women were included, 120 in the ERCS + ET group and 198 in the
ET without ERCS group. None of the women dropped out. The clinical
characteristics in both groups were comparable. There was a significant
difference in the clinical pregnancy rates, 31.7% in the ERCS group versus 21.7%
in the No ERCS group (p = 0.037). The LBR in the ERCS group was nearly
significantly higher, 29.2% versus 20.7% in the No ERCS group (p = 0,059).
CONCLUSIONS: Women undergoing ERCS on the day of ET had a significantly higher
IVF pregnancy rate. However, this methodology made not possible to draw
conclusions about the mechanisms that induced the increase of IVF LBRs: placebo
effect, "cocooning," or ERCS self-effect? Further well-conducted studies are
strongly needed to assess ERCS efficacy.
PMID- 29641246
TI - Colonialism, Health Justice, and (Re)Examination of the Value of Traditional
Practitioners and Licensed Integrative Health Professionals.
PMID- 29641247
TI - Effect of Hydroalcoholic Extracts of Capsella Bursa-Pastoris on Heavy Menstrual
Bleeding: A Randomized Clinical Trial.
AB - OBJECTIVES: Heavy menstrual bleeding (HMB) is one of the leading causes of low
quality of life and iron deficiency anemia in women. This study aimed to
determine the effect of hydroalcoholic extracts of Capsella bursa-pastoris on
HMB. DESIGN: This study is a triple-blinded, randomized clinical trial. SETTING:
The study was conducted in gynecology clinics affiliated to Shahid Beheshti
University of Medical Sciences, Tehran, Iran. SUBJECTS: Our patients affected to
a complaint of HMB. After obtaining a complete medical history of the women and
filling out the demographic forms, the participants were assigned randomly into
the experimental (n = 42) and control groups (n = 42). INTERVENTION: The eligible
participants were given a pictorial blood loss assessment chart (PBLAC) to
confirm HMB during the menstrual cycle. The experimental group received two
capsules of mefenamic acid (500 mg) every 8 h and two Capsella bursa-pastoris
capsules every 12 h. The intervention started from the first day of menstruation
to the end of this period up to 7 days for two consecutive cycles. In the control
group, the patients received mefenamic acid and placebo instead of Capsella bursa
pastoris capsules. OUTCOME MEASUREMENTS: The PBLAC score and number of bleeding
days, incidence of any possible problems, as well as participant satisfaction
were measured. The data were analyzed using t-test, Chi-square, repeated-measures
ANOVA, and ANCOVA tests in PASW Statistics ver. 18. RESULTS: After the
intervention, there was observed significant decrease in the amount of menstrual
bleeding in both groups. However, the mean decrease in the amount of bleeding was
significantly more in the Capsella bursa-pastoris group (p < 0/001). CONCLUSION:
Compared with control group, hydroalcoholic extracts of Capsella bursa-pastoris
capsule appeared to be effective in reducing menstrual bleeding in this study.
Further research regarding the efficacy and safety of Capsella bursa-pastoris is
required.
PMID- 29641248
TI - Improved Search of Large Transcriptomic Sequencing Databases Using Split Sequence
Bloom Trees.
AB - Enormous databases of short-read RNA-seq experiments such as the NIH Sequencing
Read Archive are now available. These databases could answer many questions about
condition-specific expression or population variation, and this resource is only
going to grow over time. However, these collections remain difficult to use due
to the inability to search for a particular expressed sequence. Although some
progress has been made on this problem, it is still not feasible to search
collections of hundreds of terabytes of short-read sequencing experiments. We
introduce an indexing scheme called split sequence bloom trees (SSBTs) to support
sequence-based querying of terabyte scale collections of thousands of short-read
sequencing experiments. SSBT is an improvement over the sequence bloom tree (SBT)
data structure for the same task. We apply SSBTs to the problem of finding
conditions under which query transcripts are expressed. Our experiments are
conducted on a set of 2652 publicly available RNA-seq experiments for the breast,
blood, and brain tissues. We demonstrate that this SSBT index can be queried for
a 1000 nt sequence in <4 minutes using a single thread and can be stored in just
39 GB, a fivefold improvement in search and storage costs compared with SBT.
PMID- 29641250
TI - From the Editor-in-Chief's Desk.
PMID- 29641251
TI - Medication Reconciliation: Making Bupropion Work After a "Bad Experience".
PMID- 29641249
TI - BBK* (Branch and Bound Over K*): A Provable and Efficient Ensemble-Based Protein
Design Algorithm to Optimize Stability and Binding Affinity Over Large Sequence
Spaces.
AB - Computational protein design (CPD) algorithms that compute binding affinity, Ka,
search for sequences with an energetically favorable free energy of binding.
Recent work shows that three principles improve the biological accuracy of CPD:
ensemble-based design, continuous flexibility of backbone and side-chain
conformations, and provable guarantees of accuracy with respect to the input.
However, previous methods that use all three design principles are single
sequence (SS) algorithms, which are very costly: linear in the number of
sequences and thus exponential in the number of simultaneously mutable residues.
To address this computational challenge, we introduce BBK*, a new CPD algorithm
whose key innovation is the multisequence (MS) bound: BBK* efficiently computes a
single provable upper bound to approximate Ka for a combinatorial number of
sequences, and avoids SS computation for all provably suboptimal sequences. Thus,
to our knowledge, BBK* is the first provable, ensemble-based CPD algorithm to run
in time sublinear in the number of sequences. Computational experiments on 204
protein design problems show that BBK* finds the tightest binding sequences while
approximating Ka for up to 105-fold fewer sequences than the previous state-of
the-art algorithms, which require exhaustive enumeration of sequences.
Furthermore, for 51 protein-ligand design problems, BBK* provably approximates Ka
up to 1982-fold faster than the previous state-of-the-art iMinDEE/[Formula: see
text]/[Formula: see text] algorithm. Therefore, BBK* not only accelerates protein
designs that are possible with previous provable algorithms, but also efficiently
performs designs that are too large for previous methods.
PMID- 29641252
TI - Special Issue Preface: 13th International Symposium on BioinformaticsResearch and
Applications (ISBRA 2017).
PMID- 29641253
TI - Constructing a Novel Hypoxia-Inducible Bidirectional shRNA Expression Vector for
Simultaneous Gene Silencing in Colorectal Cancer Gene Therapy.
AB - BACKGROUND: Nonspecific siRNA expression limits its application in cancer gene
therapy. Therefore, a tightly regulated and reversibly inducible RNAi system is
required to conditionally control the gene expression. This investigation aims at
constructing a hypoxia/colorectal tumor dual-specific bidirectional short hairpin
RNA (shRNA) expression vector. MATERIALS AND METHODS: First, carcinoma embryonic
antigen (CEA) promoter designed in two directions. Then, pRNA-bipHRE-CEA vector
was constructed by insertion of the vascular endothelial growth factor enhancer
between two promoters for hypoxic cancer-specific gene expression. To confirm the
therapeutic effect of the dual-specific vector, two shRNA oligonucleotides were
inserted in the downstream of each promoter. QRT-polymerase chain reaction and
western blot assays were performed to estimate the mRNA and protein expression
levels. RESULTS: Both mRNA and protein levels were significantly reduced (50%
60%) in the hypoxic colorectal cancer-treated cells when compared with the
controls. CONCLUSION: The novel bidirectional hypoxia-inducible shRNA expression
vector may be efficient in colorectal cancer-specific gene therapy.
PMID- 29641254
TI - More Thoughts on Standards and Reproducibility.
PMID- 29641255
TI - MiR-17 Regulates Prostate Cancer Cell Proliferation and Apoptosis Through
Inhibiting JAK-STAT3 Signaling Pathway.
AB - OBJECTIVE: STAT3 is an important protein in Janus kinase (JAK)-signal transducer
and activator of transcription (STAT) signaling pathway that facilitates B-cell
lymphoma 2 (Bcl-2) expression. MiR-17 was found to be significantly reduced in
prostate cancer tissues and cells, suggesting that it might be a tumor suppressor
in prostate cancer tumorigenesis. Bioinformatics analysis showed the
complementary binding site between miR-17 and STAT3. This study aimed to
investigate the role of miR-17 in regulating JAK-STAT signaling pathway, as well
as prostate cancer cell proliferation and apoptosis. MATERIALS AND METHODS: Dual
luciferase assay was used to verify the targeted relationship between miR-155 and
STAT3. LNCaP cells were cultured in vitro and divided into four groups, including
mimic NC, miR-17 mimic, si-NC, and si-STAT3 groups. STAT3, p-STAT3, and Bcl-2
expressions were tested by western blot. Cell apoptosis was detected by flow
cytometry. Cell proliferation was assessed by EdU staining. RESULTS: MiR-17 mimic
transfection significantly reduced the relative luciferase activity in HEK293T
cells. MiR-17 targeted regulated STAT3 expression. MiR-17 expression and cell
apoptosis were obviously declined, while STAT3 level and cell proliferation
markedly were elevated in LNCaP cells compared with RWPE-1 cells. MiR-17 mimic
and/or si-STAT3 transfection significantly downregulated the expression of STAT3,
p-STAT3, and Bcl-2, attenuated cell proliferation, and enhanced cell apoptosis in
LNCaP cells. CONCLUSIONS: Upregulation of miR-17 inhibited LNCaP cell
proliferation and induced cell apoptosis by downregulating the expression of
STAT3, p-STAT3, and Bcl-2.
PMID- 29641257
TI - Effects of Repeated Aurora-A siRNA Transfection on Cilia Generation and
Proliferation of SK-MES-1 or A549 Cells.
AB - BACKGROUND: Suppression of Aurora kinase A (Aurora-A, AURKA) by siRNA of Aurora-A
(siAurora-A, siA) has been used in lung tumor treatment. However, the dose and
frequency of gene transfection still need to be confirmed further. We imitated
multiple administration of solid tumor and attempted to make out the effects of
thrice transfection of siAurora-A on cilia generation and apoptosis of SK-MES-1
cells (SK) or A549 cells. METHODS: The Aurora-A mRNA levels of cells cultured
with serum for 6 d or without serum for 2, 4, or 6 d were examined with real-time
quantitative PCR; Cells were transfected single or repeatedly with siAurora-A or
siControl (siC), their Aurora-A mRNA levels were determined with PCR; Their cilia
were examined with immunohistochemistry. Cell viability was measured with the MTT
assay. Protein expression was analyzed with western blot. RESULTS: Cell viability
showed a downward trend along with the prolongation of starvation time to the
second, fourth, and even to the sixth day in both types of cells. But, the
expression level of Aurora-A mRNA flipped to rise at the sixth day instead of
decreasing at the fourth day. Protein expression trend of total Aurora-A in the
two groups was consistent with Aurora-A mRNA expression trend. Compared with siC
3 group (transfected three times with siControl), siAurora-A significantly
reduced the Aurora-A mRNA expression in siA-3 group (transfected three times with
siAurora-A). Similarly, the cell viability of siA-3 group was lower than that of
siC-3 group. The cell viability of siC-3 group was higher than that of serum-free
6d group, but, levels of Aurora-A mRNA expression of siC-3 group had no
difference with serum-free-6d group. Finally, among groups transfected once or
three times or starved for 6 d, there was no significant difference of ciliated
cell proportions in both types of cells respectively. CONCLUSIONS: Repeated
siAurora-A transfection decreased Aurora-A expression that resulted in effective
suppression proliferation of SK-MES-1 or A549 cells, but did not affect cilia
generation.
PMID- 29641258
TI - Plantar Pressure Measurements and Geometric Analysis of Patients With and Without
Morton's Neuroma.
AB - BACKGROUND: The purpose of this research was to see if there were any differences
in peak pressure, contact time, pressure-time integrals, and geometric variables
such as forefoot width, foot length, coefficient of spreading, and arch index
between subjects with Morton's neuroma (MN) and control subjects. METHODS:
Dynamic peak plantar pressure, contact time, pressure-time integral, and
geometric data were extracted using the EMED-X platform in 52 subjects with MN
and 31 control subjects. Differences in peak pressure, contact time, pressure
time integral, and geometric data between participants with and those without MN
were determined using independent-samples t tests. There were no significant
differences in age, weight, height, and body mass index between patients with MN
and control subjects. RESULTS: There were no significant differences in the peak
pressures of all masked areas and pressure-time integrals under metatarsal 2 to 4
heads between patients with MN and control subjects. In addition, no significant
differences were observed between patients with MN and control subjects in
geometric measurements of forefoot length, width, coefficient of spreading, foot
progression angle, and arch index. CONCLUSION: No relationship was found in this
study between peak pressure, contact time, and pressure-time integral under the
metatarsal heads, forefoot width, foot length, coefficient of spreading, and foot
progression angle in a symptomatic MN group compared with a control group. The
need to perform osteotomies to treat MN not associated with other lesser
metatarsal phalangeal joint pathologies is questionable. LEVEL OF EVIDENCE: Level
III, Case-Control Study.
PMID- 29641256
TI - CLR 125 Auger Electrons for the Targeted Radiotherapy of Triple-Negative Breast
Cancer.
AB - PURPOSE: Auger electrons emitted by radioisotopes such as 125I have a high linear
energy transfer and short mean-free path in tissue (<10 MUm), making them
suitable for treating micrometastases while sparing normal tissues. The authors
developed and subsequently investigated a cancer cell-selective small molecule
phospholipid ether analog to deliver 125I to triple-negative breast cancer (TNBC)
cells in vivo. METHODS: A Current Good Manufacturing Practice (cGMP) method to
radiolabel 125I-CLR1404 (CLR 125) with >95% radiochemical purity was established.
To estimate CLR 125 in vivo dosimetry and identify dose-limiting organs, the
biodistribution of the analog compound 124I-CLR1404 (CLR 124) was investigated
using micro-positron emission tomography (PET)/computed tomography (CT) in
conjunction with a Monte Carlo dosimetry platform to estimate CLR 125 dosimetry.
In vivo antitumor efficacy was tested by injecting nude mice bearing either MDA
MB-231-luc orthotopic xenografts or lung metastases with 74 MBq (3.7 GBq/kg) of
CLR 125 or an equivalent mass amount of nonradiolabeled CLR 125. Longitudinal
tumor measurements using calipers and bioluminescence imaging were obtained for
the xenografts and lung metastases, respectively. RESULTS: Dosimetry analysis
estimated that CLR 125 would impart the largest absorbed dose to the tumor per
injected activity (0.261 +/- 0.023 Gy/MBq) while the bone marrow, which is
generally the dose-limiting organ for CLR1404, appears to have the lowest (0.063
+/- 0.005 Gy/MBq). At administered activities of up to 74 MBq (3.7 GBq/kg), mice
did not experience signs of toxicity. In addition, a single dose of CLR 125
reduced the volume of orthotopic primary TNBC xenografts by ~60% compared to
control vehicle (p < 0.001) and significantly extended survival. In addition, CLR
125 was efficacious against preclinical metastatic TNBC models by inhibiting the
progression of micrometastases (p < 0.01). CONCLUSIONS: Targeted radionuclide
therapy with CLR 125 displayed significant antitumor efficacy in vivo, suggesting
promise for treatment of TNBC micrometastases.
PMID- 29641259
TI - Independent Coactors May Improve Performance and Lower Workload: Viewing
Vigilance Under Social Facilitation.
AB - OBJECTIVE: The purpose of the present study was to examine the effects of an
independent coactor on vigilance task performance. It was hypothesized that the
presence of an independent coactor would improve performance in terms of the
proportion of false alarms while also increasing perceived workload and stress.
BACKGROUND: Vigilance, or the ability to maintain attention for extended periods,
is of great interest to human factors psychologists. Substantial work has focused
on improving vigilance task performance, typically through motivational
interventions. Of interest to vigilance researchers is the application of social
facilitation as a means of enhancing vigilance. Social facilitation seeks to
explain how social presence may improve performance. METHOD: A total of 100
participants completed a 24-min vigil either alone or in the presence of an
independent (confederate) coactor. Participants completed measures of perceived
workload and stress. RESULTS: The results indicated that performance (i.e.,
proportion of false alarms) was improved for those who completed the vigil in the
presence of an independent coactor. Interestingly, perceived workload was
actually lower for those who completed the vigil in the presence of an
independent coactor, although perceived stress was not affected by the
manipulation. CONCLUSION: Authors of future research should extend these findings
to other forms of social facilitation and examine vigilance task performance in
social contexts in order to determine the utility of social presence for
improving vigilance. APPLICATION: The use of coactors may be an avenue for
organizations to consider utilizing to improve performance because of its
relative cost-effectiveness and easy implementation.
PMID- 29641261
TI - Corrigendum.
PMID- 29641262
TI - Results of External Fixation and Metatarsophalangeal Joint Fixation With K-Wire
in Brachymetatarsia.
AB - BACKGROUND: Brachymetatarsia is a rare foot deformity caused by the premature
closure of the metatarsal physis. It may result in functional as well as cosmetic
alterations, which may require operative management. METHODS: A prospective study
examining outcomes of 48 cases of brachymetatarsia with gradual bone lengthening
at a rate of 1 mm/d using an external fixator and metatarsophalangeal joint
fixation was performed. The difference between the length before treatment and
after external fixator removal was measured. The patients were assessed at 2, 4,
6, and 8 weeks postoperatively; at the end of the period of distraction; and 1
year after surgery. The total number of patients was 26, and surgery was
performed in 48 metatarsals. The mean age was 17.0 +/- 4.1 (range, 11-24) years,
and all were female. RESULTS: The fourth metatarsal was the most frequently
affected, representing 98% of the cases; the third metatarsal represented the
other 2%. The average length gained was 18.6 +/- 6.7 mm, and the average length
gained as a proportion of the original metatarsal length was 38.2% +/- 3.1%
(range, 13%-24%). The mean healing time was 71.0 (range, 64-104) days, and the
mean healing index (healing time divided by centimeters of length gained [d/cm])
was 38.4 (range, 38.2-50.1) d/cm. CONCLUSION: Gradual bone lengthening at a rate
of 1 mm/d using an external fixator and intramedullary nailing was a safe and
efficient method, representing a minimally invasive procedure with a low
incidence of complications and satisfactory results for the patient. LEVEL OF
EVIDENCE: Level IV, retrospective case series.
PMID- 29641260
TI - A comparison of safety benefits of pedestrian countdown signals with and without
pushbuttons in Michigan.
AB - OBJECTIVE: This study evaluated the safety impacts of pedestrian countdown
signals (PCSs) with and without pushbuttons based on pedestrian crashes and
pedestrian injuries in Michigan. METHODOLOGY: This study used 10 years of
intersection data-5 years before PCSs were installed and 5 years after they were
installed-along with a comparison group, to evaluate the crash impacts of PCSs;
at 107 intersections the PCS had a pushbutton and at 96 it did not. At these
intersections, and at their comparison sites (where no PCS was installed), crash
data (from 2004 to 2016) were examined, along with traffic and geometric
characteristics, population, education, and poverty level data. RESULTS:
Intersections where PCSs with pushbuttons have been installed showed a 29%
reduction in total pedestrian crashes and a 30% reduction in fatal/injury
pedestrian crashes. Further, when considering only pedestrians age 65 and below,
these respective reductions are 33 and 35%. Intersections with PCSs but without
pushbuttons did not show any significant change in any type of pedestrian crash.
CONCLUSIONS: Although the Manual on Uniform Traffic Control Devices (Federal
Highway Administration [FHWA] 2009 ) requires the use of PCSs at new traffic
signal installations, this study suggests a safety benefit of installing PCSs
with pushbutton at signals where a PCS without a pushbutton is present.
PMID- 29641263
TI - Vitamin D supply in shift working nurses.
AB - We studied determinants of Vitamin D in serum of 67 female health care workers
(aged 25-60 years), including age, body mass index, physical activity, and shift
work. Overall, vitamin D levels were low, ranging from 6 to 51 ng/mL (median: 20
ng/mL). Lower serum levels were found in samples drawn in winter and spring and
in obese subjects. Shift work had only small effects on vitamin D levels. The
high prevalence of vitamin D undersupply is in line with observations from the
German general population. Vitamin D supply particularly in winter and spring
should be ensured to avoid health problems.
PMID- 29641264
TI - Impulsivity interacts with momentary PTSD symptom worsening to predict alcohol
use in male veterans.
AB - BACKGROUND: Posttraumatic stress disorder (PTSD) is prevalent among veterans who
served post-9/11, and co-occurs with problem alcohol and substance use. Studies
using ecological momentary assessment have examined the temporal association
between time-varying PTSD symptoms and alcohol use. Results suggest individual
differences in these associations. OBJECTIVES: We tested hypotheses that alcohol
use measured by momentary assessment would be explained by acute increases in
PTSD symptoms, and the PTSD-alcohol association would be moderated by trait
impulsivity. METHODS: A sample of 28 male post-9/11-era veterans who reported
past-month PTSD symptoms and risky alcohol use were enrolled. On a quasi-random
schedule, participants completed three electronic assessments daily for 28 days
measuring past 2-h PTSD symptoms, alcohol, and substance use. At baseline, trait
impulsivity was measured by the Barratt Impulsiveness Scale. Past-month PTSD
symptoms and alcohol use were measured. Using three-level hierarchical models,
number of drinks recorded by momentary assessment was modeled as a function of
change in PTSD symptoms since last assessment, controlling for lag-1 alcohol and
substance use and other covariates. A cross-level interaction tested moderation
of the within-time PTSD-alcohol association by impulsivity. RESULTS: A total of
1,522 assessments were completed. A positive within-time association between PTSD
symptom change and number of drinks was demonstrated. The association was
significantly moderated by impulsivity. CONCLUSION: Results provide preliminary
support for a unique temporal relationship between acute PTSD symptom change and
alcohol use among veterans with trait impulsiveness. If replicated in a clinical
sample, results may have implications for a targeted momentary intervention.
PMID- 29641265
TI - Meeting Report of the 33rd Annual Meeting of the Academy of Surgical Research:
Summary of Presentations, Labs, and Workshops, Focusing on Experimental Surgery,
Las Vegas, NV, October 4-6, 2017.
AB - The 33rd Annual Meeting of the Academy of Surgical Research was held from October
4 to 6, 2017 in Las Vegas, Nevada. The meeting welcomed >160 participants from 27
different states and five countries representing the organization's diverse
membership of technicians, veterinarians, medical doctors, and biomedical
researchers. The Academy's annual meeting is focused on promoting the advancement
of professional and academic standards, education, and research related to the
art and science of experimental surgery. Presentations included four invited
keynote speakers and 30 selected lectures and posters. A primary strength of the
meeting was that lectures were complimented with practical sessions that included
four wet lab and two dry lab half-day courses. Likewise, participants were
brought together in workshops emphasizing research workflow from starting
experimental design to readying results for publication. In this report, we
present the highlights from this meeting and some selected abstracts that
illustrate the diverse scientific expertise of the Academy and progress in
surgical research.
PMID- 29641266
TI - Lessons from Hawaii: A Blessing in Disguise.
AB - On January 13, 2018, Hawaii experienced an erroneous alert that falsely warned of
an imminent ballistic missile strike. Rather than focus on the inconvenience
caused by the false alert, we used reporting of the event to identify the missing
elements that would characterize a system that could save lives by alerting and
informing the public in a nuclear detonation. These include warnings that contain
essential information rather than directing recipients to secondary sources; a
system that issues alerts directly from federal agencies that will have the
earliest warning; a robust multimodal alerting system that can deliver messages
before and after the detonation; and swift activation of federal agencies
immediately upon warning.
PMID- 29641267
TI - Oxidized Regenerated Cellulose Can Reduce Hidden Blood Loss after Total Hip
Arthroplasty: A Retrospective Study.
AB - BACKGROUND: There is a large amount of hidden blood loss (HBL) after total hip
arthroplasty (THA), but the effective and safe methods to reduce HBL are still
controversial. METHODS: Sixty-nine consecutive patients who underwent THA in our
hospital from January 2015 to December 2015 were analyzed retrospectively. The
patients were divided into two groups, Group A (THA without oxidized regenerated
cellulose) and Group B (THA with oxidized regenerated cellulose). Demographics,
perioperative laboratory values, intraoperative data, blood loss, transfusion
rate, transfusion reactions, and surgical complications were collected and
analyzed. RESULTS: A total of 37 (54%) patients used oxidized regenerated
cellulose (ORC) in operation. The total blood loss (TBL), postoperative blood
loss (PBL), hemoglobin (Hb) loss, and hidden blood loss (HBL) in group B were
significantly lower than in group A. CONCLUSIONS: The use of ORC to fill the bone
surface and soft tissue gap before incision closure can effectively reduce HBL
and may be a potential treatment for blood prevention after THA.
PMID- 29641268
TI - Medial Structure Injury During Suture Button Insertion Using the Center-Center
Technique for Syndesmotic Stabilization.
AB - BACKGROUND: The center-center technique for syndesmosis fixation has been
described as an improved and reliable technique for proper reduction of the
syndesmosis during ankle fracture repair. Concurrently, the use of flexible
fixation with a suture button is becoming an established means of syndesmosis
stabilization. The purpose of this cadaveric study was to assess for medial
structure injury during the placement of a suture button using the center-center
technique for ankle syndesmosis repair at 3 insertion intervals. METHODS:
Simulated open syndesmosis repair was performed on 10 cadaveric specimens. Three
intervals were measured at 10 mm, 20 mm, and 30 mm proximal to the level of the
distal tibial articular surface along the fibula. Proper longitudinal alignment
of the center-center technique was completed under fluoroscopic guidance and was
marked on the medial aspect of the tibia. The 3 intervals were drilled in the
appropriate technique trajectory. The suture button was subsequently passed
through each drill-hole interval. A single observer used a digital caliper to
measure the distance from each suture button aperture with respect to the
tibialis anterior tendon, tibialis posterior tendon, and greater saphenous vein
and nerve. RESULTS: A total of 30 interval measurements (10 cadavers with 3
suture button segments each) were used for data analysis. Direct impingement on
the greater saphenous vein was seen in 11 of 30 (36.6%) interval measurements.
Six of the 11 (54.5%) observed saphenous structure impingement events occurred at
the 10-mm drill hole. CONCLUSION: The results of the present study suggest that
the use of the center-center technique for syndesmosis repair with suture button
fixation risks preventable injury to the greater saphenous neurovasculature.
CLINICAL RELEVANCE: To understand the medial ankle anatomy, as it pertains to
insertion of flexible syndesmotic fixation in a cadaveric model, to aid in
prevention of clinical iatrogenic injury.
PMID- 29641269
TI - Association of secondhand smoke exposure with asthma symptoms, medication use,
and healthcare utilization among asthmatic adolescents.
AB - OBJECTIVE: To investigate the association between secondhand smoke exposure
(SHSe) and asthma symptoms, medication use, and emergency department (ED)/urgent
care (UC) utilization among adolescents. METHODS: We performed a secondary cross
sectional analysis of Population Assessment of Tobacco and Health Study Wave 2
(2014-2015) including asthmatic adolescents (N = 2198). Logistic regression
models and Poisson regression models were built. RESULTS: Participants with SHSe
>=1 hour in the past 7 days were at increased risk of reporting shortness of
breath and harder to exercise aOR, 1.22; 95% CI, 1.04-1.43), wheezing (aOR, 1.26;
95% CI, 1.01-1.56), wheezing disturbing sleep (aOR, 1.88; 95% CI, 1.35-2.63),
wheezing during/after exercise (aOR, 1.41; 95% CI, 1.19-1.66), wheezing limiting
speech (aOR, 2.11; 95% CI, 1.55-2.86), dry cough at night (aOR, 1.86; 95% CI,
1.54-2.24), and asthma symptoms disturbing sleep (aOR, 2.25; 95% CI, 1.81-2.79).
Participants with SHSe >=1 hour were more likely to take asthma medications (aOR,
1.25; 95% CI, 1.03-1.52), including steroids (aOR, 1.86; 95% CI, 1.19-2.91),
oxygen therapy (aOR, 2.88; 95% CI, 1.82-4.54), and controlling medications (aOR,
1.50; 95% CI, 1.24-1.82). Symptoms and medications varied by living with a smoker
and home SHSe. Participants with SHSe were at increased risk of having a higher
number of asthma attacks that required steroid use. Participants who lived with a
smoker and had home SHSe were at increased risk of having higher ED/UC visits for
asthma. CONCLUSIONS: SHSe reduction efforts are needed for asthmatic adolescents,
and EDs/UCs are promising venues.
PMID- 29641270
TI - Preservation or Ligation of Splenic Vessels During Spleen-Preserving Distal
Pancreatectomy: A Meta-Analysis.
AB - : Purpose/aim: Spleen preservation distal pancreatectomy (SPDP) can be achieved
by either splenic vessel preservation distal pancreatectomy (SVP-DP) or Warshaw
technique (WT). Although studies comparing SVP-DP with WT have been reported,
controversies exist. The aim of our study is to assess and compare the safety and
feasibility of SVP-DP and WT. MATERIALS AND METHODS: Two authors searched the
online database independently till April 30, 2017. Data extraction and quality
assessment were performed independently by two authors. Short- and long-term
outcomes of WT and SVP-DP were evaluated. Subgroup analysis was performed on
laparoscopic surgery. Odds ratios (OR) with 95% confidence interval (CI) and mean
difference (MD) with 95% CI were estimated. RESULTS: A total of 664 patients from
11 retrospective cohort studies were included. Meta-analysis showed the WT group
had a significantly higher incidence of splenic infarction (OR = 0.12; 95% CI:
0.07-0.20; p < 0.00001) and gastric/epigastric varices (OR = 0.11; 95% CI: 0.05
0.24; p < 0.00001). And more patients suffering from splenic infarction from WT
group needed further splenectomy (OR = 0.13; 95% CI: 0.02-0.84; p = 0.03). While
there was no difference between the two procedures in terms of pancreatic fistula
(OR = 0.55; 95% CI: 0.25-1.19; p = 0.13), overall morbidity (OR = 0.87; 95% CI:
0.59-1.30; p = 0.50) and hospital stay (MD = -0.45; 95% CI: -1.73-0.82; p =
0.49). CONCLUSIONS: Due to relatively higher risk of postoperative splenic
infarction, gastric/epigastric varices and Clavien-Dindo III-V complications, WT
is not as safe as SVP-DP. However, well-conducted randomized clinical trials are
still needed due to the limitations of current studies.
PMID- 29641271
TI - Enhancing guideline-based asthma care processes through a multi-state, multi
center quality improvement program.
AB - OBJECTIVE: This study investigated the effectiveness of Enhancing Care for
Patients with Asthma (ECPA)-a collaborative quality improvement program
implemented in 65 community health centers that serve asthma patients in four
states-on clinic-based asthma performance measures consistent with national
guidelines. METHODS: This study utilized a pretest-posttest quasi-experimental
design. Six clinic-based performance measures of each center were collected from
a retrospective chart review at time points: before the ECPA implementation; at
the end of the 12-month long ECPA program; and 6 months after program completion.
The effectiveness of the ECPA was assessed using generalized linear mixed models
with a Poisson distribution and log link by evaluating the change in each measure
from baseline to program completion, from baseline to 6-month post-program
completion and from program completion to 6-month post-program completion.
RESULTS: The ECPA implementation was positively associated with improvement in
all measures from baseline to program completion: documentation of asthma
severity (rate ratio (RR) 1.314; 95% confidence interval (CI) 1.206, 1.432);
Asthma Control Test (RR 3.625; 95% CI 3.185, 4.124); pulmonary function testing
(RR 1.771; 95% CI 1.527, 2.054), asthma education (RR 2.246; 95% CI 2.018,
2.501), asthma action plan (RR 2.335; 95% CI 2.070, 2.634) and controller
medication (RR 1.961; 95% CI 1.504, 2.556). Improvement was sustained for all six
measures at the 6-month post-program completion time point. CONCLUSION: This
study demonstrated the favorable effect of the ECPA program on evidence-based
asthma quality measures. This program could be considered a model worth
replication on a broader scale.
PMID- 29641272
TI - Impact of a Statewide Oral Oncolytic Initiative on Five Participating Practices.
AB - PURPOSE: The shift from infusion to oral oncolytic therapy presents challenges to
oncology practitioners. The purpose of this study was to describe how a statewide
quality-improvement collaborative can enhance quality of care for patients
receiving oral oncolytic therapy. METHODS: The Michigan Oncology Quality
Consortium hosted a series of learning sessions focused on oral oncolytic quality
improvement, providing multiple resources to oncology community practices. The
first five participating practices reported which of the evidence-based Michigan
Oncology Quality Consortium resources provided were implemented at their site.
They also performed prepost self-assessments in October 2013 and April 2015 and
another in December 2017 to assess sustainability. Concordance with the ASCO
Quality Oncology Practice Initiative oral chemotherapy standards, including
documentation (five measures), patient education (seven measures), and follow
up/monitoring (four measures), was compared. RESULTS: All practices showed
improvement between 2013 and 2015 in documentation (32% to 88%; P = .03), patient
education (37% to 100%; P could not be calculated), and monitoring (40% to 80%; P
> .2). Overall, a significant improvement in concordance was observed (36% to
91%; P = .03). Use of resources from each practice varied, and practices that
used more resources showed greater improvements. There was a slight decrease in
overall concordance between 2015 and 2017, which was not found to be significant
(91% to 84%; P = .53). CONCLUSION: Use of tools from a quality-improvement
collaborative improved concordance with national standards of care. Large-scale
deployment of this model program may provide a clinically efficient and effective
mechanism to enhance widespread change.
PMID- 29641273
TI - Monitoring InBaskets With Feedback to Providers Enhances the Timeliness of
Patient Care.
AB - PURPOSE: Electronic health records have changed providers' workflow. Epic's
InBasket supplants traditional communication and is a central hub for clinical
information. Failure to promptly complete records impairs communication and
revenue collection. By tracking providers' InBasket activities and offering
feedback, we hoped to improve InBasket management and interdisciplinary
communication. METHODS: We created a report to track 273 providers' InBasket
activities, including ambulatory transcriptions, chart cosignatures, order
cosignatures, patient calls, results, and billable encounters. The report showed
how often and for how long each activity was delinquent. We completed three Plan
Do-Study-Act cycles. During cycle 1 (November to December 2015), we sent all
providers automated e-mails with their monthly results. During cycle 2 (January
to April 2016), we focused solely on billable encounter closure and sent targeted
e-mails to providers with > 50 delinquent encounters. The e-mails stated that
providers had 30 days to complete encounters or their practices would be closed
to new patients; at 30 days, noncompliant providers had 60 days before practice
suspension. During cycle 3 (May to September 2016), we continued to monitor and
send targeted e-mails to providers who accumulated > 50 encounters. We modeled
the financial impact of the intervention using net closure data, the report's
aging function, and billing logs. RESULTS: InBasket monitoring with structured
feedback decreased open encounters by 53.43%. We did not see improvements in the
other metrics that the report tracked. We estimate that $231,724 was saved as a
result of the intervention and $349,179 was lost to filing deadlines. CONCLUSION:
Automated e-mails did not reduce open encounters; targeted e-mails to providers
improved InBasket management.
PMID- 29641274
TI - The number and function of T regulatory cells in obese atopic female asthmatics.
AB - BACKGROUND: Mechanisms underlying the association between asthma and obesity
remain poorly understood. Obesity appears to be a risk factor for asthma, and
obese asthmatics fare poorly compared to lean asthmatics. OBJECTIVES: To explore
the possibility that reduced regulatory T cell (Treg) number and function
contribute to the obesity-asthma association. We concentrated on obese females
with childhood-onset asthma, since Treg may be involved in this phenotype.
METHODS: We recruited 64 women (ages 18-50) into four groups: lean (BMI 18-25
kg/m2) controls (n = 17) and asthmatics (n = 13), and obese (BMI >= 35 kg/m2)
controls (n = 17) and asthmatics (n = 17). Asthmatics had atopy and childhood
diagnosed asthma. We assessed lung function, asthma control and quality of life.
Peripheral blood CD4+/CD25+/FoxP3+ Treg cells were identified and counted by flow
cytometry and expressed as % total CD4+ T cells. We assessed Treg cell function
by the ability of CD4+/CD25+ Treg cells to suppress autologous CD4+/CD25-
responder T cell (Tresp) proliferation and measured as % suppression of Tresp
cell proliferation. RESULTS: Obese asthmatics had worse lung function, asthma
control, and quality of life compared to lean asthmatics. Compared to lean or
obese control groups, the number of Treg cells in the obese asthmatics was
approximately 1.58- or 1.73-fold higher. The ability of Treg cells from obese
asthmatics to suppress Tresp cell proliferation was reduced. CONCLUSIONS: Obese,
atopic women with childhood diagnosed asthma demonstrate increased Treg cell
number and mildly decreased Treg cell function. Our data do not support the view
that reduced Treg cell number contributes to this obese-asthma phenotype.
PMID- 29641275
TI - Development of a Pain Scoring System for Use in Sheep Surgically Implanted with
Ventricular Assist Devices.
AB - : Purpose/Aim: In prey species, such as sheep, clinical signs of postoperative
pain can manifest in subtle ways or may be concealed entirely. Previous
publications describing pain assessment in ruminants focus on lameness and flock
behavior, often in a farm environment. These indicators of pain may be difficult
to assess in sheep housed in biomedical research settings. We have developed a
novel pain scoring system for sheep undergoing thoracotomy for implantation of
ventricular assist devices that are permanently housed in modified stanchions.
MATERIALS AND METHODS: The pain scoring system includes ruminant-specific
behavioral signs of pain in addition to objective measurements that can be
readily evaluated in a biomedical research setting. A numerical score is
generated by the evaluator for each category. A decision tree is utilized to help
guide further action following the generation of a cumulative score by the
evaluator. A total score of 0-2 requires no intervention, 3-9 requires the
consideration of additional analgesic administration, and a pain score >= 10
warrants the consideration of additional multimodal analgesia. RESULTS: A novel
pain scoring system and decision tree specifically designed for sheep undergoing
thoracotomy in a biomedical research environment was developed and successfully
utilized. Out of 102 postoperative pain scores measured, 86 scores were <2. There
were 17/102 postoperative pain scores >=3, which typically resulted in the
administration of supplemental rescue analgesia in the immediate postoperative
period. CONCLUSIONS: A novel pain scoring system was developed and utilized in a
biomedical research environment for evaluating postoperative pain in sheep
undergoing thoracotomy for implantation of a ventricular assist device. Further
studies are necessary to validate the reliability of this novel pain scoring
system.
PMID- 29641276
TI - A People-as-Means Approach to Interpersonal Relationships.
AB - Interpersonal relationships and goal pursuit are intimately interconnected. In
the present article, we present a people-as-means perspective on relationships.
According to this perspective, people serve as means to goals-helping other
people to reach their goals in a variety of ways, such as by contributing their
time; lending their knowledge, skills, and resources; and providing emotional
support and encouragement. Because people serve as means to goals, we propose
that considering relationship processes in terms of the principles of goal
pursuit can provide novel and important insights into the ways that people think,
feel, and behave in these interpersonal contexts. We describe the principles of
means-goals relations, review evidence for each principle involving people as
means, and discuss implications of our approach for relationship formation,
maintenance, and dissolution.
PMID- 29641277
TI - Influenza vaccination coverage estimates in the fee-for service Medicare
beneficiary population 2006 - 2016: Using population-based administrative data to
support a geographic based near real-time tool.
AB - Older adults are at great risk of developing serious complications from seasonal
influenza. We explore vaccination coverage estimates in the Medicare population
through the use of administrative claims data and describe a tool designed to
help shape outreach efforts and inform strategies to help raise influenza
vaccination rates. This interactive mapping tool uses claims data to compare
vaccination levels between geographic (i.e., state, county, zip code) and
demographic (i.e., race, age) groups at different points in a season. Trends can
also be compared across seasons. Utilization of this tool can assist key actors
interested in prevention - medical groups, health plans, hospitals, and state and
local public health authorities - in supporting strategies for reaching pools of
unvaccinated beneficiaries where general national population estimates of
coverage are less informative. Implementing evidence-based tools can be used to
address persistent racial and ethnic disparities and prevent a substantial number
of influenza cases and hospitalizations.
PMID- 29641278
TI - Respirator fit test methods - Are faster protocols equivalent to OSHA?
PMID- 29641279
TI - Interview with Jean Bennett, MD, PhD.
PMID- 29641280
TI - Gene Therapy Briefs.
PMID- 29641281
TI - A Multicenter, Double-Blind, Phase III Clinical Trial to Evaluate the Efficacy
and Safety of a Cell and Gene Therapy in Knee Osteoarthritis Patients.
AB - The aim of this study was to test the clinical efficacy of TissueGene-C (TG-C), a
cell and gene therapeutic for osteoarthritis consisting of non-transformed and
transduced chondrocytes (3:1) retrovirally transduced to overexpress transforming
growth factor-beta1. A total of 163 Kellgren-Lawrence grade 3 patients with knee
osteoarthritis were randomly assigned to receive intra-articular TG-C or placebo.
Primary efficacy measures included criteria for subjective assessment by
International Knee Documentation Committee (IKDC) and pain severity by Visual
Analog Scale (VAS) for 52 weeks. Secondary efficacy measures included IKDC and
VAS at 26 and 39 weeks; pain, stiffness, and physical function by the Western
Ontario and McMaster Universities Arthritis Index (WOMAC); and pain, symptoms,
daily activities, function in sports and recreation, and quality of life by the
Knee Injury and Osteoarthritis Outcome Score (KOOS), X-ray, magnetic resonance
imaging, and soluble urine and blood biomarkers. TG-C was associated with
statistically significant improvement over placebo in the total IKDC score and
individual categories, and in the VAS score at 26, 39, and 52 weeks. WOMAC and
KOOS scores also improved with TG-C over placebo. Patients treated with TG-C
showed trends directed toward thicker cartilage and slower growing rates of
subchondral bone surface area in the medial tibia, lateral tibia, lateral
patella, and lateral patella femoral regions, although these were not
statistically significant (p > 0.05). Serum C-terminal telopeptide of type I
collagen (CTX-I) and urine CTX-II levels were lower over 1 year in TG-C than
placebo-treated patients, with CTX-I level reaching statistical significance.
These tendencies supported TG-C as holding great potential as a disease-modifying
osteoarthritis drug. The most frequent adverse events in the TG-C group were
peripheral edema (9%), arthralgia (8%), joint swelling (6%), and injection site
pain (5%). TG-C was associated with statistically significant improvements in
function and pain in patients with knee osteoarthritis. The unexpected adverse
events were not observed.
PMID- 29641282
TI - Associations of IL-1, 6, and 10 Gene Polymorphisms with Susceptibility to
Recurrent Aphthous Stomatitis: Insights from a Meta-Analysis.
AB - AIM: To determine if there are significant associations between polymorphisms of
the IL-1, IL-6, and IL-10 genes and susceptibility to recurrent aphthous
stomatitis (RAS). METHODS: The PubMed, Embase, and Web of Science databases were
searched for all eligible studies using both medical subheadings and free terms
through December 2016. A total of 226 citations were retrieved. Odds ratios were
used to quantitatively evaluate the associations of IL-1, IL-6, and IL-10 gene
polymorphisms with RAS risk. A meta-analysis was performed, and heterogeneity,
sensitivity, and subgroup analyses were carried out to clarify and validate the
pooled results. RESULTS: A total of 11 studies were identified that met the
inclusion criteria and were included in the meta-analysis. This current
systematic review indicated that the IL-1b+3954 C/T polymorphism was
significantly associated with an elevated risk of RAS onset for all inheritance
models, except for the dominant model. For the IL-10-592 C/A polymorphism,
protective associations with RAS were found using both the additive and recessive
models, while it increased the risk of RAS in the codominant model. In Asian
populations, the IL-10-1082 G/A polymorphism was associated with a protective
effect for RAS using the allelic, additive, and recessive models. The IL-6-174
G/C polymorphism was not statistically associated with RAS risk. CONCLUSION: The
IL-1b+3954 C/T polymorphism significantly increases RAS risk. In addition, the IL
10-1082 G/A polymorphism provided protective effects for RAS in the Asian
population.
PMID- 29641283
TI - The Role of Dermcidin in the Diagnosis and Staging of Hepatocellular Carcinoma.
AB - INTRODUCTION: Hepatocellular carcinoma (HCC) is a major contributor to cancer
related deaths due to its often late stage diagnosis. Our previous study showed
that dermcidin (DCD) may have the potential to be used as a serum biomarker for
HCC for more timely diagnoses. MATERIALS AND METHODS: In this study, we measured
serum DCD and alpha-fetoprotein (AFP) levels in 87 HCC patients; 33 liver
cirrhosis (LC); and 44 normal controls (NC), evaluated the relationship between
DCD levels and clinicopathological parameters. RESULTS: Serum DCD levels in HCC
patients (27.03 ng/mL) were significantly higher than in LC patients (24.78
ng/mL, p < 0.05), and NC subjects (18.98 ng/mL, p < 0.001). The optimum cutoff
values were 25.75 ng/mL for DCD and 9.86 ng/mL for AFP. DCD had a greater area
under the receiver operating characteristic curve (AUC) for differentiating HCC
from the controls than AFP (AUC = 0.769 vs. 0.729, respectively). Importantly,
our cohort revealed that serum DCD levels were positively correlated with
metastasis in HCC patients versus HCC patients without metastatic disease (32.31
vs. 23.95, p < 0.001). Western blot results showed that DCD expression was
significantly upregulated in seven tumor tissues compared with the noncancerous
adjacent tissues. Immunohistochemistry performed in four paired samples confirmed
the upregulation of DCD expression in the tumor tissues. CONCLUSIONS: Our results
showed that serum DCD levels were significantly increased in HCC patients and in
cancerous tissue. DCD could potentially be used as a biomarker for the diagnosis
of HCC.
PMID- 29641284
TI - Personalized Dosing of Dichloroacetate Using GSTZ1 Clinical Genotyping Assay.
AB - AIMS: Dichloroacetate (DCA) represents the first targeted therapy for pyruvate
dehydrogenase complex deficiency; it is metabolized by glutathione transferase
zeta1 (GSTZ1). Variation in the GSTZ1 haplotype is the principal variable
influencing DCA kinetics and dynamics in humans. We aimed to develop a sensitive
and rapid clinical genetic screening test for determining GSTZ1 haplotype status
in individuals who would be treated with DCA, and then apply the test for the
investigation of the plasma pharmacokinetics (PK) of DCA as a function of GSTZ1
haplotype. MATERIALS AND METHODS: DNA samples from 45 healthy volunteer study
participants were genotyped for three functional GSTZ1 single nucleotide
polymorphisms (rs7975, rs7972, and rs1046428) by TaqMan(r). Prior studies showed
that subjects with at least one EGT haplotype (EGT carrier) metabolized DCA
faster than EGT noncarriers. The clinical genetic test for GSTZ1 was developed
and validated at our CLIA-certified Clinical Laboratory. Four fast metabolizer
EGT carriers and four slow metabolizer EGT noncarriers were selected to complete
a standard PK study. Each participant received a single oral dose of 25 mg/kg of
DCA (IND 028625) for 5 days. RESULTS: The EGT haplotype carrier group
demonstrated significantly faster metabolism of DCA and higher rates of plasma
DCA clearance after 5 days of drug exposure compared with EGT noncarriers (p =
0.04). CONCLUSIONS: These preliminary data establish the validity and
practicality of our rapid genotyping/haplotyping procedure for genetic-based DCA
dosing to mitigate or prevent adverse effects in patients treated chronically
with this drug.
PMID- 29641285
TI - Deiodinases, Organic Anion Transporter Polypeptide Polymorphisms, and Thyroid
Hormones in Patients with Myocardial Infarction.
AB - AIM: To investigate the association among deiodinases (DIO), organic anion
transporting polypeptide 1C1 (OATP1C1) gene polymorphisms, and thyroid hormones
(THs) in patients with acute myocardial infarction (AMI). METHODS: In summary,
290 patients with AMI were evaluated for sociodemographic and clinical
characteristics, coronary artery disease (CAD) risk factors, and comorbidities,
as well as circulating thyroid-stimulating hormone and TH (triiodothyronine [T3],
thyroxine [T4], free T3, free T4, and reverse T3) levels. Ten single nucleotide
polymorphisms for thyroid axis related genes: DIO1 (rs11206244-C/T, rs12095080
A/G, rs2235544-A/C), DIO2 (rs225014-T/C, rs225015-G/A), DIO3 (rs945006-T/G), and
OATP1C1 (rs10444412-T/C, rs10770704-C/T, rs1515777-A/G, rs974453-G/A) were
genotyped. RESULTS: Marginal associations were observed between the DIO1, DIO2,
and OATP1C1 gene polymorphisms and almost all analyzed THs (p's < 0.05). After
controlling for potential confounders, the OATP1C1 rs1515777-A/G minor allele
homozygous genotype (G/G) was associated with a decrease in circulating free T3
and free T3/free T4. In the AMI cohort, associations between: DIO1 rs12095080 and
hypertension; DIO2 rs225015 and diabetes mellitus; and the OATP1C1 rs974453
genotype, and AMI type were established. CONCLUSIONS: DIO1 and DIO2 gene
polymorphisms are mainly associated with T3, free T4, free T3/free T4, and
[natural-log transformed (ln)] reverse T3 levels, while the OATP1C1 minor allele
homozygous genotype is associated with free T3 and free T3/free T4 in CAD
patients after AMI.
PMID- 29641286
TI - Association of RETN and CAP1 SNPs, Expression and Serum Resistin Levels with
Breast Cancer in Mexican Women.
AB - BACKGROUND: Breast cancer is the most common cancer in women worldwide.
Approximately 70% of female breast cancer patients have a body mass index (BMI)
>25. In obesity, adipose tissue secretes additional resistin, which prompts a
proinflammatory effect through its action on adenylate cyclase-associated protein
1 (CAP1). Several studies have associated the RETN gene single nucleotide
polymorphism (SNP) rs1862513 (-420C60 MUg/ml. AngII type 1 receptor (AT1R) inhibitor losartan
inhibited AngII-induced up-regulation of AGGF1, whereas AT2R inhibitor PD123319
further increased AngII-induced up-regulation of AGGF1. Up-regulation of AGGF1 by
AngII was blocked by NF-kappaB inhibitors, and p65 binds directly to a binding
site at the promoter/regulatory region of AGGF1 and transcriptionally activates
AGGF1 expression. AngII-induced endothelial tube formation was blocked by small
interfering RNAs (siRNAs) for RELA (RELA proto-oncogene, NF-kappaB subunit)/p65
or AGGF1, and the effect of RELA siRNA was rescued by AGGF1. AngII-induced
angiogenesis from aortic rings was severely impaired in Aggf1+/- mice, and the
effect was restored by AGGF1. These data suggest that AngII acts as a critical
regulator of AGGF1 expression through NF-kappaB, and that AGGF1 plays a key role
in AngII-induced angiogenesis.-Si, W., Xie, W., Deng, W., Xiao, Y., Karnik, S.
S., Xu, C., Chen, Q., Wang, Q. K. Angiotensin II increases angiogenesis by NF
kappaB-mediated transcriptional activation of angiogenic factor AGGF1.
PMID- 29641289
TI - Executive Function Computerized Training in Very Preterm-Born Children: A Pilot
Study.
AB - OBJECTIVE: Attention problems are one of the most pronounced and documented
consequences of very preterm birth (gestational age <=32 weeks). However, up to
now, there is no research published on suitable interventions at school age aimed
to overcome these problems. Research in this population did show that executive
functions (EFs) are strongly associated with inattention. BrainGame Brian is a
newly developed computerized training, in which, in 25 training sessions, the
core EFs, including working memory, impulse control, and cognitive flexibility,
are trained. This pilot study aimed to examine the feasibility of studying
BrainGame Brian in very preterm-born children with attention problems. DESIGN:
Pilot feasibility intervention study with one baseline and one follow-up
assessment. MATERIALS AND METHODS: Feasibility was measured by the participation
rate, dropout rate, and user experiences with regard to effort, training
characteristics, and recommendation to others. From a larger cohort study, 15
very preterm-born children at age 10 years with parent-reported attention
problems on the Child Behavior Checklist/6-18 years were invited to participate
in this pilot study. BrainGame Brian was performed for a period of 6 weeks.
Training outcome measures included visual working memory, impulse control,
cognitive flexibility, speed variability, and parent-rated attention, for which
pre- and post-training differences were examined at the group level by the
Wilcoxon signed-rank test as well as for each individual child separately by the
reliable change index. RESULTS: Twelve of 15 children and their parents agreed to
participate and 11 children successfully completed BrainGame Brian in the 6-week
period. Parents were positive about training characteristics and lack of
interference with schooling, but scored the effort as high. We found clinically
significant changes in visual working memory and speed variability in post
training assessments. CONCLUSION: BrainGame Brian is a feasible intervention for
very preterm-born children with attention problems.
PMID- 29641290
TI - From infancy and beyond... ensuring a lifetime of hepatitis B virus (HBV) vaccine
induced immunity.
AB - Despite the long-term efficacy and immune persistence observed following HBV
vaccination of infants, the need for a booster dose following infant immunization
continues to be deliberated. Evidence from HBV booster dose response studies and
long-term immunization program reviews are the basis for the recommendation that
a vaccine booster is not necessary. However, further studies continue to emerge
and highlight the need for standardization among observational studies in order
to appropriately compare outcomes. There is an assumption that neonatal and
infant (within 12 months of age) vaccine immune responses are equivalent;
however, evidence exists for distinct vaccine responses within the first year of
life. HBV vaccine programs have evolved over time, particularly regarding the
type and dosage of vaccine used. Several universal neonatal immunization programs
initially incorporated a 2.5 MUg dosage (Recombivax-HB, Merck). This dosage has
been shown in multiple long-term studies and meta-analyses to be associated with
a lower primary response, decreased antibody persistence over time, and a reduced
booster response 10 to 20 years following immunization. Ongoing surveillance of
this and other HBV neonatally-vaccinated populations, particularly in low endemic
regions, is necessary to understand the impact on long-term protection in order
to ensure lifelong protection against hepatitis B infection.
PMID- 29641292
TI - The association between asthma and obstructive sleep apnea (OSA): A systematic
review.
AB - OBJECTIVE: Bronchial asthma and obstructive sleep apnoea (OSA) are common
respiratory disorders that can co-exist. The strength of this association, and
also the impact of OSA on asthma-related clinical outcomes remain unclear. DATA
SOURCES: Literature review was performed in EMBASE and MEDLINE databases. Studies
up to and including 2016 were selected. STUDY SELECTION: Studies were included if
they contained; 1) a population with asthma AND 2) a prevalence of OSA reported
using either polysomnography or validated questionnaires such as the Sleep Apnoea
Scale of the Sleep Disorders Questionnaire (SA-SDQ), STOP BANG or the Berlin
questionnaire. RESULTS: Nineteen studies were identified. Thirteen questionnaire
based studies met the inclusion/exclusion criteria and twelve of these
demonstrated a prevalence of OSA in asthma of 8-52.6%, with one study showing no
association between the two conditions. Six studies using polysomnography
demonstrated a high prevalence of 19.2-60%; which was higher at 50-95% in severe
asthma. Two polysomnography and four questionnaire studies found worse asthma
related clinical outcomes with co-existing OSA. One polysomnography and two
questionnaire studies showed no difference. CONCLUSION: This systematic review
suggests that there is a high prevalence of OSA in asthma, particularly within
severe asthma populations and that co-diagnosis of OSA in asthma patients is
associated with worse clinical outcomes. However this outcome was not uniform and
the number of studies using polysomnography to confirm OSA was small. This
weakens the conclusions that can be drawn and prompts the need for adequately
powered and well-designed studies to confirm or refute these findings.
PMID- 29641291
TI - Sex differences in daily life stress and craving in opioid-dependent patients.
AB - BACKGROUND: Responses to stress and drug craving differ between men and women.
Differences in the momentary experience of stress in relation to craving are less
well-understood. OBJECTIVES: Using ecological momentary assessment (EMA), we
examined sex differences in real-time in two areas: (1) causes and contexts
associated with stress, and (2) the extent to which stress and drug cues are
associated with craving. METHODS: Outpatients on opioid-agonist treatment (135
males, 47 females) reported stress, craving, and behavior on smartphones for 16
weeks. They initiated an entry each time they felt more stressed than usual
(stress event) and made randomly prompted entries 3 times/day. In stress-event
entries, they identified the causes and context (location, activity, companions),
and rated stress and craving severity. RESULTS: The causes reported for stress
events did not differ significantly by sex. Women reported arguing and being in a
store more often during stress events, and men reported working more often during
stress events, compared to base rates (assessed via random prompts). Women showed
a greater increase in opioid craving as a function of stress (p < 0.0001) and had
higher stress ratings in the presence of both stress and drug cues relative to
men (p < 0.01). Similar effects were found for cocaine craving in men (p <
0.0001). CONCLUSION: EMA methods provide evidence based on real-time activities
and moods that opioid-dependent men and women experience similar contexts and
causes for stress but differ in stress- and cue-induced craving. These findings
support sex-based tailoring of treatment, but because not all participants
conformed to the overall pattern of sex differences, any such tailoring should
also consider person-level differences.
PMID- 29641293
TI - Integrated BioTherapeutics.
PMID- 29641294
TI - Adaptive Servoventilation as Treatment for Central Sleep Apnea Due to High
Altitude Periodic Breathing in Nonacclimatized Healthy Individuals.
AB - : Orr, Jeremy E., Erica C. Heinrich, Matea Djokic, Dillon Gilbertson, Pamela N.
Deyoung, Cecilia Anza-Ramirez, Francisco C. Villafuerte, Frank L. Powell, Atul
Malhotra, and Tatum Simonson. Adaptive servoventilation as treatment for central
sleep apnea due to high-altitude periodic breathing in nonacclimatized healthy
individuals. High Alt Med Biol. 19:178-184, 2018. AIMS: Central sleep apnea (CSA)
is common at high altitude, leading to desaturation and sleep disruption. We
hypothesized that noninvasive ventilation using adaptive servoventilation (ASV)
would be effective at stabilizing CSA at altitude. Supplemental oxygen was
evaluated for comparison. METHODS: Healthy subjects were brought from sea level
to 3800 m and underwent polysomnography on three consecutive nights. Subjects
underwent each condition-No treatment, ASV, and supplemental oxygen-in random
order. The primary outcome was the effect of ASV on oxygen desaturation index
(ODI). Secondary outcomes included oxygen saturation, arousals, symptoms, and
comparison to supplemental oxygen. RESULTS: Eighteen subjects underwent at least
two treatment conditions. There was a significant difference in ODI across the
three treatments. There was no statistical difference in ODI between no treatment
and ASV (17.1 +/- 4.2 vs. 10.7 +/- 2.9 events/hour; p > 0.17) and no difference
in saturation or arousal index. Compared with no treatment, oxygen improved the
ODI (16.5 +/- 4.5 events/hour vs. 0.5 +/- 0.2 events/hour; p < 0.003), in
addition to saturation and arousal index. CONCLUSIONS: We found that ASV was not
clearly efficacious at controlling CSA in persons traveling to 3800 m, whereas
supplemental oxygen resolved CSA. Adjustment in the ASV algorithm may improve
efficacy. ASV may have utility in acclimatized persons or at more modest
altitudes.
PMID- 29641296
TI - Postmarketing Modifications of Drug Labels for Cancer Drugs Approved by the US
Food and Drug Administration Between 2006 and 2016 With and Without Supporting
Randomized Controlled Trials.
AB - Purpose Modifications in cancer drug indications, dosing, and related toxicities
after Food and Drug Administration approval are common. It is unclear whether
drug approval without a supporting randomized controlled trial (RCT) influences
the probability of such modifications. Methods We searched the Drugs@FDA Web site
for new drug indications for solid tumors approved between January 2006 and
December 2016. Study characteristics, regulatory pathways, and label
modifications from approval to October 2017 were collected from drug labels.
Label modifications were considered to be major if defined as such in the drug
label. Indications approved with and without supporting RCTs were compared using
logistic regression. The Benjamini-Hochberg false discovery rate method was used
to adjust for multiplicity. Results We identified 59 individual drugs for 109
solid tumor indications. Of these, 17 indications (15.6%) were not supported by
an RCT, with no change over time. Indications not supported by RCTs were more
likely to require companion diagnostic tests (odds ratio [OR], 3.90; P = .02), to
include surrogate end points as primary outcomes (OR, 7.88; P < .001), and to
receive breakthrough therapy designation (OR, 7.62; P = .006) or accelerated
approval (OR, 17.67; P < .001). Indications not supported by RCTs were associated
with significantly higher odds of postapproval modifications in common adverse
events (71% v 29%; OR, 5.78; P = .002). A nonsignificantly higher odds of
postapproval major modifications in warnings and precautions was also observed
(88% v 62%; OR, 4.61; P = .051). Postapproval major modifications in indication
and usage, dosing and administration, boxed warnings, and contraindications were
comparable in the two groups. Conclusion Cancer drug indications not supported
initially by RCTs are associated with more postmarketing safety-related label
modifications. Health care professionals should be vigilant for unrecognized
adverse effects when prescribing drugs approved without a supporting RCT.
PMID- 29641295
TI - Electronic Nose Technology Fails to Sniff Out Acute Mountain Sickness.
AB - : Berendsen, Remco R., Marieke E. van Vessem, Marcel Bruins, Luc J.S.M. Teppema,
Leon P.H.J. Aarts, and Bengt Kayser. Electronic nose technology fails to sniff
out acute mountain sickness. High Alt Med Biol. 19:232-236, 2018. AIM: The aim of
the study was to evaluate whether an electronic nose can discriminate between
individuals with and without acute mountain sickness (AMS) following rapid ascent
to 4554 m. RESULTS: We recruited recreational climbers (19 women, 82 men; age 35
+/- 10 years, mean +/- standard deviation [SD]) upon arrival at 4554 m (Capanna
Regina Margherita, Italy) for a proof of concept study. AMS was assessed with the
Lake Louise self-report score (LLSRS) and the abbreviated Environmental Symptoms
Questionnaire (ESQc); scores >=3 and >=0.7 were considered AMS, respectively.
Exhaled air was analyzed with an electronic nose (Aeonose; The eNose Company,
Netherlands). The collected data were analyzed using an artificial neural
network. AMS prevalence was 44% with the LLSRS (mean score of those sick 4.4 +/-
1.4 [SD]) and 20% with the ESQc (1.2 +/- 0.5). The electronic nose could not
discriminate between AMS and no AMS (LLSRS p = 0.291; ESQc p = 0.805).
CONCLUSION: The electronic nose technology utilized in this study could not
discriminate between climbers with and without symptoms of AMS in the setting of
an acute exposure to an altitude of 4554 m. At this stage, we cannot fully
exclude that this technology per se is not able to discriminate for AMS. The
quest for objective means to diagnose AMS thus continues.
PMID- 29641297
TI - Phase II Study of Two Weeks on, One Week off Sunitinib Scheduling in Patients
With Metastatic Renal Cell Carcinoma.
AB - Purpose Standard frontline treatment of patients with metastatic renal cell
carcinoma currently includes sunitinib. A barrier to long-term treatment with
sunitinib includes the development of significant adverse effects, including
diarrhea, hand-foot syndrome (HFS), and fatigue. This trial assessed the effect
of an alternate 2 weeks on, 1 week off (2/1) schedule of sunitinib on toxicity
and efficacy in previously untreated patients with metastatic renal cell
carcinoma. Methods Patients started with oral administration of 50 mg sunitinib
on a 2/1 schedule and underwent schedule and dose alterations if toxicity
developed. The primary end point was < 15% grade >= 3 fatigue, diarrhea, or HFS.
With 60 patients, the upper bound of the CI would fall below the published 4/2
schedule grade >= 3 toxicity rate of 25% to 30%. Results Fifty-nine patients were
treated between August 2014 and March 2016. Seventy-seven percent were
intermediate or poor risk per Memorial Sloan Kettering Cancer Center criteria.
With a median follow-up of 17 months, 25% of patients experienced grade 3
fatigue, HFS, or diarrhea; 37% required a dose reduction, and 10% discontinued
because of toxicity. The overall response rate was 57%, median progression-free
survival was 13.7 months, and median overall survival was not reached. At 12
weeks, Functional Assessment of Cancer Therapy-General scores dropped between 0%
and 10% from baseline, with less reduction in patients who continued treatment
longer. Conclusion The primary end point of decreased grade 3 toxicity was not
met; however, treatment with a 2/1 sunitinib schedule is associated with a lack
of grade 4 toxicity, a low patient discontinuation rate, and high efficacy.
PMID- 29641298
TI - Correction to: Recent Progress on Genetic Diagnosis and Therapy for beta
Thalassemia in China and Around the World, by Zhang J, Yan J, and Zeng F. Hum
Gene Ther 2018;29(2):197-203. DOI: 10.1089/hum.2017.228.
PMID- 29641299
TI - Temporal exploration in sequential movements shapes efficient neuromuscular
control.
AB - The interaction of early and deliberate practice with genetic predisposition
endows experts with virtuosic motor performance. However, it has not been known
whether ways of practicing shape motor virtuosity. Here, we addressed this issue
by comparing the effects of rhythmic variation in motor practice on neuromuscular
control of the finger movements in pianists. With the use of a novel
electromyography system with miniature active electrodes, we recorded the
activity of the intrinsic hand muscles of 27 pianists while they played the piano
and analyzed it by using a nonnegative matrix factorization algorithm and cluster
analysis. The result demonstrated that practicing a target movement sequence with
various rhythms reduced muscular activity, whereas neither practicing a sequence
with a single rhythm nor taking a rest without practicing changed the activity.
In addition, practice with rhythmic variation changed the patterns of
simultaneous activations across muscles. This alteration of muscular coordination
was associated with decreased activation of muscles not only relevant to, but
also irrelevant to the task performance. In contrast, piano practice improved the
maximum speed of the performance, the amount of which was independent of whether
rhythmic variation was present. These results suggest that temporal variation in
movement sequences during practice co-optimizes both movement speed and
neuromuscular efficiency, which emphasizes the significance of ways of practice
in the acquisition of motor virtuosity. NEW & NOTEWORTHY A key question in motor
neuroscience is whether "ways of practicing" contribute to shaping motor
virtuosity. We found both attenuation of activities and alteration of
coordination of the intrinsic hand muscles of pianists, specifically through
practicing a movement sequence with various rhythms. The maximum speed of the
finger movements was also enhanced following the practice. These results
emphasize the importance of ways of practicing in facilitating multiple skills:
efficiency and speed.
PMID- 29641301
TI - State anxiety disorganizes finger movements during musical performance.
AB - Skilled performance, in many situations, exposes an individual to psychological
stress and fear, thus triggering state anxiety and compromising motor dexterity.
Suboptimal skill execution in people under pressure affects the future career
prospects of trained individuals, such as athletes, clinicians, and musicians.
However, it has not been elucidated in what manner state anxiety affects
multijoint movements and thereby degrades fine motor control. Using principal
component analysis of hand kinematics recorded by a data glove during piano
performances, we tested whether state anxiety affects the organization of
movements of multiple joints or merely constrains the amplitude of the individual
joints without affecting joint movement coordination. The result demonstrated
changes in the coordination of movements across joints in piano performances by
experts under psychological stress. Overall, the change was characterized by
reduction of synergistic movements between the finger responsible for the
keypress and its adjacent fingers. A regression analysis further identified that
the attenuation of the movement covariation between the fingers was associated
with an increase in temporal error during performance under pressure. In
contrast, neither the maximum nor minimum angles of the individual joints of the
hand were susceptible to induced anxiety. These results suggest that degradation
of fine motor control under pressure is mediated by incoordination of movements
between the fingers in skilled piano performances. NEW & NOTEWORTHY A key issue
in neuromuscular control of coordinated movements is how the nervous system
organizes multiple degrees of freedom for production of skillful motor behaviors.
We found that state anxiety disorchestrates the organization of finger movements
so as to decrease synergistic motions between the fingers in musical performance,
which degrades fine motor control. The findings are important to shed light on
mechanisms underlying loss of motor dexterity under pressure.
PMID- 29641302
TI - High-definition transcranial direct-current stimulation of the right M1 further
facilitates left M1 excitability during crossed facilitation.
AB - Cabibel et al. (J Neurophysiol 119: 1266-1272, 2018) report non-polarity-specific
effects of high-definition direct current stimulation (HD-tDCS) on crossed
facilitation (CF), demonstrated by complex excitatory and inhibitory
interhemispheric interactions coupled with HD-tDCS. Choosing a variety of
stimulation and muscle contraction parameters and having all participants undergo
anodal, cathodal, and sham stimulation may increase the current understanding of
HD-tDCS on CF. Furthermore, complementary metrics like the ipsilateral silent
period may provide more clarity regarding the polarity-specific enhancement of HD
tDCS on CF.
PMID- 29641303
TI - Multisensory enhancement of burst activity in an insect auditory neuron.
AB - Detecting predators is crucial for survival. In insects, a few sensory
interneurons receiving sensory input from a distinct receptive organ extract
specific features informing the animal about approaching predators and mediate
avoidance behaviors. Although integration of multiple sensory cues relevant to
the predator enhances sensitivity and precision, it has not been established
whether the sensory interneurons that act as predator detectors integrate
multiple modalities of sensory inputs elicited by predators. Using intracellular
recording techniques, we found that the cricket auditory neuron AN2, which is
sensitive to the ultrasound-like echolocation calls of bats, responds to airflow
stimuli transduced by the cercal organ, a mechanoreceptor in the abdomen. AN2
enhanced spike outputs in response to cross-modal stimuli combining sound with
airflow, and the linearity of the summation of multisensory integration depended
on the magnitude of the evoked response. The enhanced AN2 activity contained
bursts, triggering avoidance behavior. Moreover, cross-modal stimuli elicited
larger and longer lasting excitatory postsynaptic potentials (EPSP) than unimodal
stimuli, which would result from a sublinear summation of EPSPs evoked
respectively by sound or airflow. The persistence of EPSPs was correlated with
the occurrence and structure of burst activity. Our findings indicate that AN2
integrates bimodal signals and that multisensory integration rather than unimodal
stimulation alone more reliably generates bursting activity. NEW & NOTEWORTHY
Crickets detect ultrasound with their tympanum and airflow with their cercal
organ and process them as alert signals of predators. These sensory signals are
integrated by auditory neuron AN2 in the early stages of sensory processing.
Multisensory inputs from different sensory channels enhanced excitatory
postsynaptic potentials to facilitate burst firing, which could trigger avoidance
steering in flying crickets. Our results highlight the cellular basis of
multisensory integration in AN2 and possible effects on escape behavior.
PMID- 29641300
TI - Development, form, and function of the mouse visual thalamus.
AB - The dorsal lateral geniculate nucleus (dLGN) of the thalamus is the exclusive
relay of retinal information en route to the visual cortex. Although much of our
understanding about dLGN comes from studies done in higher mammals, such as the
cat and primate, the mouse as a model organism has moved to the forefront as a
tractable experimental platform to examine cell type-specific relations. This
review highlights our current knowledge about the development, structure, and
function of the mouse dLGN.
PMID- 29641305
TI - Happy 80th birthday to the Journal of Neurophysiology!
PMID- 29641304
TI - Contextual modulation of sensitivity to naturalistic image structure in macaque
V2.
AB - The stimulus selectivity of neurons in V1 is well known, as is the finding that
their responses can be affected by visual input to areas outside of the classical
receptive field. Less well understood are the ways selectivity is modified as
signals propagate to visual areas beyond V1, such as V2. We recently proposed a
role for V2 neurons in representing the higher order statistical dependencies
found in images of naturally occurring visual texture. V2 neurons, but not V1
neurons, respond more vigorously to "naturalistic" images that contain these
dependencies than to "noise" images that lack them. In this work, we examine the
dependency of these effects on stimulus size. For most V2 neurons, the preference
for naturalistic over noise stimuli was modest when presented in small patches
and gradually strengthened with increasing size, suggesting that the mechanisms
responsible for this enhanced sensitivity operate over regions of the visual
field that are larger than the classical receptive field. Indeed, we found that
surround suppression was stronger for noise than for naturalistic stimuli and
that the preference for large naturalistic stimuli developed over a delayed time
course consistent with lateral or feedback connections. These findings are
compatible with a spatially broad facilitatory mechanism that is absent in V1 and
suggest that a distinct role for the receptive field surround emerges in V2 along
with sensitivity for more complex image structure. NEW & NOTEWORTHY The responses
of neurons in visual cortex are often affected by visual input delivered to
regions of the visual field outside of the conventionally defined receptive
field, but the significance of such contextual modulations are not well
understood outside of area V1. We studied the importance of regions beyond the
receptive field in establishing a novel form of selectivity for the statistical
dependencies contained in natural visual textures that first emerges in area V2.
PMID- 29641307
TI - Somatosensory electrical stimulation improves skill acquisition, consolidation,
and transfer by increasing sensorimotor activity and connectivity.
AB - The interaction between the somatosensory and motor systems is important for
normal human motor function and learning. Enhancing somatosensory input using
somatosensory electrical stimulation (SES) can increase motor performance, but
the neuronal mechanisms underlying these effects are largely unknown. With EEG,
we examined whether skill acquisition, consolidation, and interlimb transfer
after SES was related to increased activity in sensorimotor regions, as assessed
by the N30 somatosensory evoked potential or rather increased connectivity
between these regions, as assessed by the phase slope index (PSI). Right- and
left-hand motor performance and EEG measures were taken before, immediately
after, and 24 h ( day 2) after either SES ( n = 12; 5 men) or Control ( n = 12; 5
men). The results showed skill acquisition and consolidation in the stimulated
right hand immediately after SES (6%) and on day 2 (9%) and interlimb transfer to
the nonstimulated left hand on day 2 relative to Control (8%, all P < 0.05).
Increases in N30 amplitudes correlated with skill acquisition while PSI from
electrodes that represent the posterior parietal and primary somatosensory cortex
to the electrode representing the primary motor cortex correlated with skill
consolidation. In contrast, interlimb transfer did not correlate with the EEG
derived neurophysiological estimates obtained in the present study, which may
indicate the involvement of subcortical structures in interlimb transfer after
SES. In conclusion, weak peripheral somatosensory inputs in the form of SES
improve skill acquisition, consolidation, and interlimb transfer that coincide
with different cortical adaptations, including enhanced N30 amplitudes and PSI.
NEW & NOTEWORTHY The relationship between adaptations in synaptic plasticity and
motor learning following somatosensory electrical stimulation (SES) is
incompletely understood. Here, we used for the first time a multifactorial
approach that examined skill acquisition, consolidation, and interlimb transfer
following 20 min of SES. In addition, we quantified sensorimotor integration and
the magnitude and direction of connectivity with EEG. Following artificial
electrical stimulation, increases in sensorimotor integration and connectivity
were found to correlate with skill acquisition and consolidation, respectively.
PMID- 29641306
TI - Functional roles of Kv1-mediated currents in genetically identified subtypes of
pyramidal neurons in layer 5 of mouse somatosensory cortex.
AB - We used voltage-clamp recordings from somatic outside-out macropatches to
determine the amplitude and biophysical properties of putative Kv1-mediated
currents in layer 5 pyramidal neurons (PNs) from mice expressing EGFP under the
control of promoters for etv1 or glt. We then used whole cell current-clamp
recordings and Kv1-specific peptide blockers to test the hypothesis that Kv1
channels differentially regulate action potential (AP) voltage threshold,
repolarization rate, and width as well as rheobase and repetitive firing in these
two PN types. We found that Kv1-mediated currents make up a similar percentage of
whole cell K+ current in both cell types, and only minor biophysical differences
were observed between PN types or between currents sensitive to different Kv1
blockers. Putative Kv1 currents contributed to AP voltage threshold in both PN
types, but AP width and rate of repolarization were only affected in etv1 PNs.
Kv1 currents regulate rheobase, delay to the first AP, and firing rate similarly
in both cell types, but the frequency-current slope was much more sensitive to
Kv1 block in etv1 PNs. In both cell types, Kv1 block shifted the current required
to elicit an onset doublet of action potentials to lower currents. Spike
frequency adaptation was also affected differently by Kv1 block in the two PN
types. Thus, despite similar expression levels and minimal differences in
biophysical properties, Kv1 channels differentially regulate APs and repetitive
firing in etv1 and glt PNs. This may reflect differences in subcellular
localization of channel subtypes or differences in the other K+ channels
expressed. NEW & NOTEWORTHY In two types of genetically identified layer 5
pyramidal neurons, alpha-dendrotoxin blocked approximately all of the putative
Kv1 current (on average). We used outside-out macropatches and whole cell
recordings at 33 degrees C to show that despite similar expression levels and
minimal differences in biophysical properties, Kv1 channels differentially
regulate action potentials and repetitive firing in etv1 and glt pyramidal
neurons. This may reflect differences in subcellular localization of channel
subtypes or differences in the other K+ channels expressed.
PMID- 29641308
TI - Action potential propagation recorded from single axonal arbors using
multielectrode arrays.
AB - We report the presence of co-occurring extracellular action potentials (eAPs)
from cultured mouse hippocampal neurons among groups of planar electrodes on
multielectrode arrays (MEAs). The invariant sequences of eAPs among coactive
electrode groups, repeated co-occurrences, and short interelectrode latencies are
consistent with action potential propagation in unmyelinated axons. Repeated eAP
codetection by multiple electrodes was widespread in all our data records.
Codetection of eAPs confirms they result from the same neuron and allows these
eAPs to be isolated from all other spikes independently of spike sorting
algorithms. We averaged co-occurring events and revealed additional electrodes
with eAPs that would otherwise be below detection threshold. We used these eAP
cohorts to explore the temperature sensitivity of action potential propagation
and the relationship between voltage-gated sodium channel density and propagation
velocity. The sequence of eAPs among coactive electrodes "fingerprints" neurons
giving rise to these events and identifies them within neuronal ensembles. We
used this property and the noninvasive nature of extracellular recording to
monitor changes in excitability at multiple points in single axonal arbors
simultaneously over several hours, demonstrating independence of axonal segments.
Over several weeks, we recorded changes in interelectrode propagation latencies
and ongoing changes in excitability in different regions of single axonal arbors.
Our work illustrates how repeated eAP co-occurrences can be used to extract
physiological data from single axons with low-density MEAs. However, repeated eAP
co-occurrences lead to oversampling spikes from single neurons and thus can
confound traditional spike-train analysis. NEW & NOTEWORTHY We studied action
potential propagation in single axons using low-density multielectrode arrays. We
unambiguously identified the neuronal sources of propagating action potentials
and recorded extracellular action potentials from several positions within single
axonal arbors. We found a surprisingly high density of axonal voltage-gated
sodium channels responsible for a high propagation safety factor. Our experiments
also demonstrate that excitability in different segments of single axons is
regulated independently on timescales from hours to weeks.
PMID- 29641309
TI - The caudal fastigial nucleus and the steering of saccades toward a moving visual
target.
AB - The caudal fastigial nuclei (cFN) are the output nuclei by which the medio
posterior cerebellum influences the production of visual saccades. We
investigated in two head-restrained monkeys their contribution to the generation
of interceptive saccades toward a target moving centrifugally by analyzing the
consequences of a unilateral inactivation (10 injection sessions). We describe
here the effects on saccades made toward a centrifugal target that moved along
the horizontal meridian with a constant (10, 20, or 40 degrees /s), increasing
(from 0 to 40 degrees /s over 600 ms), or decreasing (from 40 to 0 degrees /s
over 600 ms) speed. After muscimol injection, the monkeys were unable to foveate
the current location of the moving target. The horizontal amplitude of
interceptive saccades was reduced during contralesional target motions and
hypermetric during ipsilesional ones. For both contralesional and ipsilesional
saccades, the magnitude of dysmetria increased with target speed. However, the
use of accelerating and decelerating targets revealed that the dependence of
dysmetria upon target velocity was not due to the current velocity but to the
required amplitude of saccade. We discuss these results in the framework of two
hypotheses, the so-called "dual drive" and "bilateral" hypotheses. NEW &
NOTEWORTHY Unilateral inactivation of the caudal fastigial nucleus impairs the
accuracy of saccades toward a moving target. Like saccades toward a static
target, interceptive saccades are hypometric when directed toward the
contralesional side and hypermetric when they are ipsilesional. The dysmetria
depends on target velocity, but the use of accelerating or decelerating targets
reveals that velocity is not the crucial parameter. We extend the bilateral
fastigial control of saccades and fixation to the production of interceptive
saccades.
PMID- 29641311
TI - Asymmetric interference between cognitive task components and concurrent
sensorimotor coordination.
AB - Everyday cognitive tasks are frequently performed under dual-task conditions
alongside continuous sensorimotor coordinations (CSCs) such as driving, walking,
or balancing. Observed interference in these dual-task settings is commonly
attributed to demands on executive function or attentional resources, but the
time course and reciprocity of interference are not well understood at the level
of information-processing components. Here we used electrophysiology to study the
detailed chronometry of dual-task interference between a visual oddball task and
a continuous visuomanual tracking task. The oddball task's electrophysiological
components were linked to underlying cognitive processes, and the tracking task
served as a proxy for the continuous cycle of state monitoring and adjustment
inherent to CSCs. Dual-tasking interfered with the oddball task's accuracy and
attentional processes (attenuated P2 and P3b magnitude and parietal alpha-band
event-related desynchronization), but errors in tracking due to dual-tasking
accrued at a later timescale and only in trials in which the target stimulus
appeared and its tally had to be incremented. Interference between cognitive
tasks and CSCs can be asymmetric in terms of timing as well as affected
information-processing components. NEW & NOTEWORTHY Interference between
cognitive tasks and continuous sensorimotor coordination (CSC) has been widely
reported, but this is the first demonstration that the cognitive operation that
is impaired by concurrent CSC may not be the one that impairs the CSC. Also
demonstrated is that interference between such tasks can be temporally
asymmetric. The asynchronicity of this interference has significant implications
for understanding and mitigating loss of mobility in old age, and for
rehabilitation for neurological impairments.
PMID- 29641310
TI - Effects of a compression garment on sensory feedback transmission in the human
upper limb.
AB - Compression apparel is popular in both medical and sport performance settings.
Perceived benefits are suggested to include changes in sensory feedback
transmission caused by activation of mechanoreceptors. However, little is known
about effects of compression apparel on sensorimotor control. Our purpose was to
mechanistically examine whether compression apparel modulates sensory feedback
transmission and reaching accuracy in the upper limb. Two experiments were
completed under CONTROL and COMPRESSION (sleeve applied across the elbow joint)
conditions. M-waves and H-reflexes were elicited by stimulating the median nerve
and were recorded via surface electromyography (EMG). In experiment 1, H-reflexes
and M-H recruitment curves were assessed at REST, during wrist flexion (10%
EMGmax), and during a cutaneous conditioning of the superficial radial (SR) or
distal median (MED) nerve. Cutaneous reflexes were elicited during 10% wrist
flexion via stimulation of SR or MED. In experiment 2, unconditioned H-reflex
measures were assessed at rest, during arm cycling, and during a discrete
reaching task. Results indicate that compression apparel modulates spinal cord
excitability across multiple sensory pathways and movement tasks. Interestingly,
there was a significant improvement in reaching accuracy while wearing the
compression sleeve. Taken together, the compression sleeve appears to increase
precision and sensitivity around the joint where the sleeve is applied.
Compression apparel may function as a "filter" of irrelevant mechanoreceptor
information allowing for optimal task-related sensory information to enhance
proprioception. NEW & NOTEWORTHY Wearing a customized compression sleeve was
shown to alter the excitability of multiple pathways within the central nervous
system regardless of conditioning input or movement task and was accompanied by
improved accuracy of reaching movements and determination of movement end point.
Compression apparel may assist as a type of "filter function" of tonic and
nonspecific mechanoreceptor information leading to increased precision and
movement sensitivity around the joint where compression is applied.
PMID- 29641312
TI - The Future LGBT Health Professional: Perspectives on Career and Personal
Mentorship.
AB - PURPOSE: Mentorship is a critical factor contributing to career success. There is
limited research on the quality of mentoring relationships for LGBT health
professionals. This study explores facilitators of, obstacles to, and strategies
for successful mentorship for LGBT health professional trainees. METHODS: We
applied a convenience sampling strategy to collect quantitative and qualitative
data among LGBT health professional trainees. The authors identified trends in
data using bivariate analyses and Consensual Qualitative Research methods.
RESULTS: Seventy-five LGBT trainees completed surveys and a subset of 23 survey
respondents also participated in three focus groups. Among survey participants,
100% identified along the queer spectrum; 10.7% identified along the trans
spectrum; 36.0% identified as a racial or ethnic minority; and 61.3% were in
MD/DO-granting programs. Eighty-eight percent of trainees reported working with
at least one mentor and 48.5% of trainees had at least one mentor of the same
sexual orientation. Seventy-two percent of trainees endorsed the importance of
having an LGBT-identified mentor for personal development. Qualitative data
showed that trainees valued such a mentor for positive role modeling and shared
understanding of experiences. Fifty-nine percent of trainees felt it was
important to have an LGBT-identified mentor for career development. LGBT peer
networking and LGBT-related professional advice were cited as unique benefits in
the qualitative findings. CONCLUSION: LGBT health professional trainees have
unique personal and career development needs that may benefit from LGBT
mentorship. Academic health centers that facilitate LGBT mentorship could enhance
LGBT health trainees' academic productivity and personal development.
PMID- 29641313
TI - Targeted Victimization and Suicidality Among Trans People: A Web-Based Survey.
AB - PURPOSE: The aim of this study was to investigate the associations between a
series of empirically known risk and protective factors and suicidality among
trans people in Sweden. METHODS: Participants were self-selected anonymously to a
web-based survey conducted in 2014. Univariable and multivariable logistic
regression analyses were performed to assess associations between contributing
factors and suicide ideation in the past 12 months and lifetime suicide attempts.
RESULTS: The analysis included 796 trans individuals, between 15 and 94 years of
age, who live in Sweden. A total of 37% of respondents reported that they have
seriously considered suicide during the past 12 months and 32% had ever attempted
a suicide. Offensive treatment during the past three months and lifetime exposure
to trans-related violence were significantly associated with suicidality. Less
satisfaction with contacts with friends and acquaintances and with one's own
psychological wellbeing were associated with suicide ideation in the past 12
months. Lack of practical support was associated with lifetime suicide attempts.
CONCLUSIONS: Our findings show that suicidality is directly correlated with trans
related victimization. Preventing targeted victimization is, therefore, a key
preventive intervention against this elevated suicidality.
PMID- 29641314
TI - Healthcare Experiences Among Young Adults Who Identify as Genderqueer or
Nonbinary.
AB - PURPOSE: Research on healthcare among gender-diverse populations has largely
focused on people who describe their gender in binary terms, either as trans men
or trans women. This qualitative study examined the healthcare experiences of
young adults who identify as genderqueer or nonbinary (GQ/NB). METHODS:
Participants (N = 10) were interviewed about experiences seeking and accessing
healthcare. All were young adults (ages 23-33) in the San Francisco Bay area who
had accessed healthcare at least once in the prior 6 months. A semistructured
interview guide elicited conversations about gender identity and experiences of
healthcare. Interview transcripts were analyzed using emergent coding analysis to
identify themes. RESULTS: Participants faced unique challenges even at clinics
specializing in gender-affirming healthcare. They felt misunderstood by providers
who approached them from a binary transgender perspective and consequently often
did not receive care sensitive to nonbinary identities. In response to this
perceived bias, participants sometimes "borrowed" a binary transgender label to
receive care, modified the healthcare they were prescribed, or went without
healthcare. The GQ/NB young adults in our study regularly felt disrespected and
frustrated as they sought and accessed healthcare. Participants felt that the
binary transgender narrative pressured them to conform to binary medical
narratives throughout healthcare interactions. CONCLUSIONS: GQ/NB young adults
have unique healthcare needs but often do not feel understood by their providers.
There is a need for existing healthcare systems to serve GQ/NB young adults more
effectively.
PMID- 29641316
TI - Characteristics and Correlates of Tobacco Use in a Community Sample of Sexual
Minority Men and Women: Implications for Smoking Cessation Program Development.
AB - PURPOSE: Tobacco use is the single most preventable cause of disease, death, and
disability in the United States. Research suggests that sexual minorities have an
increased risk for smoking and tobacco use. This study aimed to identify
characteristics of patients affected by tobacco use disparities and examined
demographic and substance use differences between tobacco users and nonusers in a
sample of sexual minorities. METHODS: Patients at an urban community health
center (specializing in the needs of sexual and gender minorities) were invited
to complete a cross-sectional 25-item questionnaire. RESULTS: Of the 3068
questionnaire respondents, 1543 identified as gay, lesbian, or bisexual. Of these
sexual minority respondents, most identified as White (84.4%), 67.3% identified
as male and 32.7% as female, with a mean age of 37.7 (SD = 9.44). More than a
quarter of sexual minority men (26.7%) and women (28.3%) reported current tobacco
use. Among men, younger age (OR = 0.97, CI: 0.95-0.98, P < 0.001), less education
(OR = 0.58, CI: 0.35-0.96, P < 0.01), heroin use (OR = 3.3, CI: 1.18-9.39, P <
0.05), and alcohol use (OR = 3.0, CI: 1.86-4.80, P < 0.001) were associated with
increased odds of current tobacco use. Among women, younger age (OR = 0.97, CI:
0.95-0.99, P = 0.004), less education (OR = 0.45, CI: 0.22-0.91, P < 0.001), and
alcohol use (OR = 3.78, CI: 1.87-7.65, P < 0.001) were associated with current
tobacco use. CONCLUSION: Cessation programs placed within the context of co
occurring substance and alcohol use for young sexual minority tobacco users from
lower socioeconomic backgrounds may be particularly effective.
PMID- 29641315
TI - Quality Primary Care and Family Planning Services for LGBT Clients: A
Comprehensive Review of Clinical Guidelines.
AB - LGBT clients have unique healthcare needs but experience a wide range of quality
in the care that they receive. This study provides a summary of clinical
guideline recommendations related to the provision of primary care and family
planning services for LGBT clients. In addition, we identify gaps in current
guidelines, and inform future recommendations and guidance for clinical practice
and research. PubMed, Cochrane, and Agency for Healthcare Research and Quality
electronic bibliographic databases, and relevant professional organizations'
websites, were searched to identify clinical guidelines related to the provision
of primary care and family planning services for LGBT clients. Information
obtained from a technical expert panel was used to inform the review. Clinical
guidelines meeting the inclusion criteria were assessed to determine their
alignment with Institute of Medicine (IOM) standards for the development of
clinical practice guidelines and content relevant to the identified themes. The
search parameters identified 2,006 clinical practice guidelines. Seventeen
clinical guidelines met the inclusion criteria. Two of the guidelines met all
eight IOM criteria. However, many recommendations were consistent regarding
provision of services to LGBT clients within the following themes: clinic
environment, provider cultural sensitivity and awareness, communication,
confidentiality, coordination of care, general clinical principles, mental health
considerations, and reproductive health. Guidelines for the primary and family
planning care of LGBT clients are evolving. The themes identified in this review
may guide professional organizations during guideline development, clinicians
when providing care, and researchers conducting LGBT-related studies.
PMID- 29641317
TI - Culturally Competent Care for Sexual and Gender Minority Patients at National
Cancer Institute-Designated Comprehensive Cancer Centers.
AB - PURPOSE: This study sought to identify the policies and guidelines regarding
culturally competent care of sexual and gender minority (SGM) cancer patients and
survivors at National Cancer Institute (NCI)-Designated Comprehensive Cancer
Centers. METHODS: This study used an in-depth interview qualitative approach.
Semistructured interviews were conducted via telephone with representatives from
21 of the 45 NCI-Designated Comprehensive Cancer Centers in 2015. Verbatim
transcripts were created from the audiotapes for content analysis. RESULTS: Two
main themes were identified as follows: (1) patient-focused experiences and
support and (2) organization-focused development activities. Most of the cancer
centers in this study had an advisory committee to assist with SGM policies and
guidelines. Despite the existence of these committees, the majority of centers
did not have explicit policies, guidelines, or routine practices addressing the
following issues: the collection and integration of sexual orientation and gender
identity information in the medical record, gender-neutral language on patient
forms, patient educational materials with SGM-specific health concerns, SGM
specific support for cancer survivors, or required SGM-specific cultural
competency trainings for medical and nonmedical staff. CONCLUSION: In general,
the cancer centers in this study lacked institutional policies, guidelines, and
practices focused on patient-centered cancer care for SGM populations.
Coordinated efforts are needed to systemically improve patient-centered cancer
care for these populations.
PMID- 29641318
TI - Gene Therapy in Lipoprotein Lipase Deficiency: Case Report on the First Patient
Treated with Alipogene Tiparvovec Under Daily Practice Conditions.
AB - One-year results are reported of the first lipoprotein lipase deficiency (LPLD)
patient treated with alipogene tiparvovec, which is indicated for the treatment
of patients with genetically confirmed LPLD suffering from acute and recurrent
pancreatitis attacks (PAs) despite dietary restrictions and expressing >5% of
lipoprotein lipase (LPL) mass compared to a healthy control. During clinical
development, alipogene tiparvovec has shown improvement of chylomicron metabolism
and reduction of pancreatitis incidence up to 5.8 years post treatment. A 43-year
old female presented with severe hypertriglyceridemia (median triglyceride [TG]
value of 3,465 mg/dL) and a history of 37 PAs within the last 25 years, despite
treatment with fibrates, omega 3 fatty acids, and-since 2012-twice-weekly lipid
apheresis. LPLD was confirmed by identification of two different pathogenic
variants in the LPL gene located on separate alleles and therefore constituting a
compound heterozygous state. With a detectable LPL mass level of 55.1 ng/mL, the
patient was eligible for alipogene tiparvovec treatment, and in September 2015,
she receved 40 injections (1 * 1012 genome copies/kg) in the muscles of her upper
legs under epidural anesthesia and immunosuppressive therapy. Alipogene
tiparvovec was well tolerated: no injection site or systemic reactions were
observed. Median TG values decreased by 52%, dropping to 997 mg/dL at month 3 and
increasing thereafter. Within the first 18 months post treatment, the patient
discontinued plasmapheresis and had no abdominal pain or PAs. In March 2017, the
patient suffered from a PA due to diet violation. Within the first 12 months post
treatment, overall quality of life improved, and no change in humoral or cellular
immune response against LPL or AAV-1 was observed. In conclusion, alipogene
tiparvovec was well tolerated, with a satisfactory response to treatment. Long
term effects on the recurrence of pancreatitis continue to be monitored.
PMID- 29641319
TI - Effective Targeting of Multiple B-Cell Maturation Antigen-Expressing
Hematological Malignances by Anti-B-Cell Maturation Antigen Chimeric Antigen
Receptor T Cells.
AB - B-cell maturation antigen (BCMA) expression has been proposed as a marker for the
identification of malignant plasma cells in patients with multiple myeloma (MM).
Nearly all MM tumor cells express BCMA, while normal tissue expression is
restricted to plasma cells and a subset of mature B cells. Consistent BCMA
expression was confirmed on MM biopsies (29/29 BCMA+), and it was further
demonstrated that BCMA is expressed in a substantial number of lymphoma samples,
as well as primary chronic lymphocytic leukemia B cells. To target BCMA using
redirected autologous T cells, lentiviral vectors (LVV) encoding chimeric antigen
receptors (CARs) were constructed with four unique anti-BCMA single-chain
variable fragments, fused to the CD137 (4-1BB) co-stimulatory and CD3zeta
signaling domains. One LVV, BB2121, was studied in detail, and BB2121 CAR
transduced T cells (bb2121) exhibited a high frequency of CAR + T cells and
robust in vitro activity against MM cell lines, lymphoma cell lines, and primary
chronic lymphocytic leukemia peripheral blood. Based on receptor quantification,
bb2121 recognized tumor cells expressing as little as 222 BCMA molecules per
cell. The in vivo pharmacology of anti-BCMA CAR T cells was studied in NSG mouse
models of human MM, Burkitt lymphoma, and mantle cell lymphoma, where mice
received a single intravenous administration of vehicle, control vector
transduced T cells, or anti-BCMA CAR-transduced T cells. In all models, the
vehicle and control CAR T cells failed to inhibit tumor growth. In contrast,
treatment with bb2121 resulted in rapid and sustained elimination of the tumors
and 100% survival in all treatment models. Together, these data support the
further development of anti-BCMA CAR T cells as a potential treatment for not
only MM but also some lymphomas.
PMID- 29641320
TI - High-Throughput Screening Identifies Kinase Inhibitors That Increase Dual Adeno
Associated Viral Vector Transduction In Vitro and in Mouse Retina.
AB - Retinal gene therapy based on adeno-associated viral (AAV) vectors is safe and
efficient in humans. The low intrinsic DNA transfer capacity of AAV has been
expanded by dual vectors where a large expression cassette is split in two halves
independently packaged in two AAV vectors. Dual AAV transduction efficiency,
however, is greatly reduced compared to that obtained with a single vector. As
AAV intracellular trafficking and processing are negatively affected by
phosphorylation, this study set to identify kinase inhibitors that can increase
dual AAV vector transduction. By high-throughput screening of a kinase inhibitors
library, three compounds were identified that increase AAV transduction in vitro,
one of which has a higher effect on dual than on single AAV vectors. Importantly,
the transduction enhancement is exerted on various AAV serotypes and is not
transgene dependent. As kinase inhibitors are promiscuous, siRNA-mediated
silencing of targeted kinases was performed, and AURKA and B, PLK1, and PTK2 were
among those involved in the increase of AAV transduction levels. The study shows
that kinase inhibitor administration reduces AAV serotype 2 (AAV2) capsid
phosphorylation and increases the activity of DNA-repair pathways involved in AAV
DNA processing. Importantly, the kinase inhibitor PF-00562271 improves dual AAV8
transduction in photoreceptors following sub-retinal delivery in mice. The study
identifies kinase inhibitors that increase dual and single AAV transduction by
modulating AAV entry and post-entry steps.
PMID- 29641322
TI - Preclinical Efficacy and Safety of CD19CAR Cytokine-Induced Killer Cells
Transfected with Sleeping Beauty Transposon for the Treatment of Acute
Lymphoblastic Leukemia.
AB - Infusion of patient-derived CD19-specific chimeric antigen receptor (CAR) T cells
engineered by viral vectors achieved complete remission and durable response in
relapsed and refractory (r/r) B-lineage neoplasms. Here, we expand on those
findings by providing a preclinical evaluation of allogeneic non-viral cytokine
induced killer (CIK) cells transfected with the Sleeping Beauty (SB) transposon
CD19CAR (CARCIK-CD19). Specifically, thanks to a large-scale 18-day manufacturing
process, it was possible to achieve stable CD19CAR expression (62.425 +/- 6.399%)
and efficient T-cell expansion (23.36 +/- 3.00-fold). Frozen/thawed CARCIK-CD19
remained fully functional both in vitro and in an established patient-derived
xenograft (PDX) of MLL-ENL rearranged acute lymphoblastic leukemia (ALL). CARCIK
CD19 showed a dose-dependent antitumor response and prolonged persistence in a
PDX, bearing the feature of a Philadelphia-like ALL with PAX5/AUTS2
translocation, and in a survival model of lymphoma, achieving complete
eradication of disseminated tumors. Finally, the infusion of CARCIK-CD19 proved
to be safe and well tolerated in a biodistribution and toxicity model. The
infused cells persisted in the hematopoietic and post-injection perfused organs
until the end of the study and consisted of CD8+, CD56+, and CAR+ T cells.
Overall, these findings provide important implications for non-viral technology
and the proof-of-concept that donor-derived CARCIK-CD19 are indeed effective
against relapsed ALL, a possibility that will be tested in Phase I/II clinical
trials after allogeneic hematopoietic stem-cell transplantation.
PMID- 29641321
TI - A Calsequestrin Cis-Regulatory Motif Coupled to a Cardiac Troponin T Promoter
Improves Cardiac Adeno-Associated Virus Serotype 9 Transduction Specificity.
AB - Adeno-associated virus serotype 9 (AAV9) is an efficient vector for gene transfer
to the myocardium. However, the use of ubiquitous promoters, such as the
cytomegalovirus (CMV) promoter, can result in expression of the transgene in
organs other than the heart. This study tested if the efficiency and specificity
of cardiac transcription from a chicken cardiac troponin T (TnT) promoter could
be further increased by incorporating a cardiomyocyte-specific transcriptional
cis-regulatory motif from human calsequestrin 2 (CS-CRM4) into the expression
cassette (Enh.TnT). The efficiency of luciferase expression from the TnT and
Enh.TnT constructs was compared to expression of luciferase under the control of
the CMV promoter in both adult and neonatal mice. Overall, expression levels of
luciferase in the heart were similar in mice injected with AAV9.TnT.Luc,
AAV9.Enh.TnT.Luc and AAV9.CMV.Luc. In contrast, expression levels of luciferase
activity in nontarget organs, including the liver and muscle, was lower in mice
injected with the AAV9.TnT.Luc compared to AAV9.CMV.Luc and was negligible with
AAV9.Enh.TnT. In neonates, in organs other than the heart, luciferase expression
levels were too low to be quantified for all constructs. Taken together, the data
show that the AAV9 Enh.TnT constructs drives high levels of expression of the
transgene in the myocardium, with insignificant expression in other organs. These
properties reduce the risks associated with the AAV9-mediated expression of the
therapeutic protein of interest in nontarget organs. The excellent cardiac
specificity should allow for the use of higher vector doses than are currently
used, which might be essential to achieve the levels of transgene expression
necessary for therapeutic benefits. Taken together, the findings suggest that the
Enh.TnT transcription unit is a potentially attractive tool for clinical cardiac
gene therapy in adults.
PMID- 29641323
TI - Amelioration of Alpha-1 Antitrypsin Deficiency Diseases with Genome Editing in
Transgenic Mice.
AB - Alpha-1 antitrypsin deficiency (AATD) is a hereditary liver disease caused by
mutations in the SERPINA1 serine protease inhibitor gene. Most severe patients
are homozygous for PiZ alleles (PiZZ; amino acid E324K), which lead to protein
aggregates in hepatocytes and reduced circulating levels of AAT. The liver
aggregates typically lead to fibrosis, cirrhosis, and hepatocellular carcinoma,
and the reduced circulating AAT levels can lead to emphysema and chronic
obstructive pulmonary diseases. In this study, two CRISPR/Cas9 gene editing
approaches were used to decrease liver aggregates and increase systemic AAT-M
levels in the PiZ transgenic mouse. In the first approach, AAT expression in
hepatocytes was reduced more than 98% following the systemic delivery of AAV8
CRISPR targeting exon 2 of hSERPINA1, leading to reduced aggregates in
hepatocytes. In the second approach, a second adeno-associated virus, which
provided the donor template to correct the Z mutation, was also administered.
These treated mice had reduced AAT expression (> 98%) and a low level (5%) of
wildtype AAT-M mRNA. Taken together, this study shows that CRISPR gene editing
can efficiently reduce liver expression of AAT-Z and restore modest levels of
wildtype AAT-M in a mouse model of AATD, raising the possibility of CRISPR gene
editing therapeutic for AATD.
PMID- 29641324
TI - Gene Therapy with Tetracycline-Regulated Human Recombinant COLIA1 cDNA Direct
Adenoviral Delivery Enhances Fracture Healing in Osteoporotic Rats.
AB - A number of previous studies have indicated that the genetic variation at the
collage type I alpha 1 (COLIA1) gene locus influences susceptibility to
osteoporosis. However, seldom have studies reported the effect of gene delivery
using an adenovirus vector carrying human recombinant COLIA1 cDNA on stimulating
osteogenic activity of osteoblasts and enhancing fracture healing of
ovariectomized rats. The current study was performed to demonstrate whether
direct gene delivery using an adenovirus vector carrying human recombinant COLIA1
cDNA could stimulate osteogenic activity of osteoblast in vitro and enhance
fracture healing of ovariectomized rats in vivo. In vitro, the tet-on system
regulated COLIA1 gene adenovirus was constructed and transfected to osteoblasts.
COLIA1 mRNA and collagen type I levels were assessed by reverse transcription
polymerase chain reaction and enzyme-linked immunosorbent assay to determine
whether adenovirus transfected successfully. Osteogenic activity of the
osteoblasts was assessed by alkaline phosphatase activity, immunohistochemical
staining, immunofluorescent staining, mineralized matrix formation, and
extracellular calcium levels. In vivo, adenovirus-delivered COLIA1 gene was
injected into the fracture site of the tibia in an ovariectomized rat model of
osteoporosis, and bone callus condition was assessed to determine whether the
COLIA1 gene could accelerate osteoporotic fracture healing. In vitro, the results
showed that COLIA1 gene adenovirus transfection could increase osteoblast COLIA1
gene expression and collagen type I protein synthesis, increase alkaline
phosphatase activity, and stimulate calcium nodules formation, which exhibited a
direct osteogenic effect on the osteoblasts. In vivo, local injection of COLIA1
gene adenovirus increased collagen type I expression, restored bone mineral
density, and accelerated fracture healing in ovariectomized rats, without
increasing serum collagen type I and liver COLIA1 mRNA levels. This study
suggests direct gene delivery using an adenovirus carrying human COLIA1 cDNA can
stimulate the osteogenic activity of osteoblasts in vitro and enhance bone
fracture healing in vivo. The tet-on system is an ideal gene regulatory system
for effective and safe regulation of the therapeutic gene.
PMID- 29641326
TI - Perioperative mortality rate and risk factors for death in dogs undergoing
surgery for treatment of thoracic trauma: 157 cases (1990-2014).
AB - OBJECTIVE To determine perioperative mortality rate and identify risk factors
associated with outcome in dogs with thoracic trauma that underwent surgical
procedures and to evaluate the utility of the animal trauma triage (ATT) score in
predicting outcome. DESIGN Retrospective case series. ANIMALS 157 client-owned
dogs. PROCEDURES Medical records databases of 7 veterinary teaching hospitals
were reviewed. Dogs were included if trauma to the thorax was documented and the
patient underwent a surgical procedure. History, signalment, results of physical
examination and preoperative laboratory tests, surgical procedure, perioperative
complications, duration of hospital stay, and details of follow-up were recorded.
Descriptive statistics and ATT scores were calculated, and logistic regression
analysis was performed. RESULTS 123 of 157 (78%) patients underwent thoracic
surgery, and 134 of 157 (85.4%) survived to discharge. Mean +/- SD ATT score for
nonsurvivors was 8 +/- 2.4. In the multivariable model, female dogs and dogs that
did not experience cardiac arrest as a postoperative complication had odds of
survival 6 times and 102 times, respectively, those of male dogs and dogs that
did experience cardiac arrest as a postoperative complication. Additionally,
patients with a mean ATT score < 7 had odds of survival 5 times those of patients
with an ATT score >= 7. CONCLUSIONS AND CLINICAL RELEVANCE The overall
perioperative mortality rate was low for patients with thoracic trauma undergoing
surgery in this study. However, male dogs and dogs that experienced cardiac
arrest had a lower likelihood of survival to discharge. The ATT score may be a
useful adjunct to assist clinical decision-making in veterinary patients with
thoracic trauma.
PMID- 29641327
TI - In This Issue * May 1, 2018.
PMID- 29641325
TI - Host genetics contributes to the effectiveness of dendritic cell-based HIV
immunotherapy.
AB - Systems biological analysis has recently revealed how innate immune variants as
well as gut microbiota impact the individual response to immunization. HIV
infected (HIV+) patients have a worse response rate after standard vaccinations,
possibly due to the immune exhaustion, increased gut permeability and microbial
translocation. In the last decade, dendritic cells (DC)-based immunotherapy has
been proposed as an alternative approach to control HIV plasma viral load,
however clinical trials showed a heterogeneity of immunization response.
Hypothesizing that host genetics may importantly affects the outcome of
immunotherapy in HIV+ patients, genetic polymorphisms' distribution and gene
expression modulation were analyzed in a phase I/II clinical trial of DC-based
immunotherapy according to immunization response, and quality of vaccine product
(DC). Polymorphisms in genes previously associated with progression of HIV
infection to AIDS (i.e.: PARD3B, CCL5) contribute to a better response to
immunotherapy in HIV+ individuals, possibly through a systemic effect on host
immune system, but also directly on vaccine product. Genes expression profile
after immunization correlates with different degrees of immune chronic
activation/exhaustion of HIV+ patients (i.e. PD1, IL7RA, EOMES), but also with
anti-viral response and DC quality (i.e.: APOBEC3G, IL8, PPIA), suggested that an
immunocompetent individual would have a better vaccine response. These findings
showed once more that host genetics can affect the response to DC-based
immunotherapy in HIV+ individuals, contributing to the heterogeneity of response
observed in concluded trials; and it can be used as predictor of immunization
success.
PMID- 29641329
TI - Building strong and sustainable partnerships to protect, promote, and advance
your interests.
PMID- 29641328
TI - Emotional responses of clients to veterinarian communication style during a
vaccination visit in companion animal practice.
AB - OBJECTIVE To study the effects of veterinarian communication (ie, the information
provided and gaze and body direction) and vaccination style on the emotions and
physiologic reactions experienced by clients and on clients' evaluation of the
expertise and trustworthiness of the veterinarian. DESIGN Simulation study.
PARTICIPANTS 20 small animal clients. PROCEDURES Participants were shown 12
videos of a female veterinarian in which she first provided information about
puppy vaccination and then performed the procedure. The veterinarian's behavior
varied regarding the information provided about the vaccination (ie, scarce,
factual, or emotional), her gaze and body direction (ie, direct or 30 degrees
averted), and her vaccination style (ie, routine or emotional). While the
participants watched the videos, their corrugator supercilii muscle activity
(corrugator supercilii muscles are activated when frowning) and skin conductance
activity were measured. Participants also rated the emotions they experienced
(ie, valence and arousal) and assessed the veterinarian's behavior (ie, expertise
and trustworthiness). RESULTS Overall, emotional information, a direct gaze and
body direction, and an emotional vaccination style were associated with more
pleasant emotions and higher ratings of the expertise and trustworthiness of the
veterinarian's behavior by clients. CONCLUSIONS AND CLINICAL RELEVANCE Results
suggested that through certain behavioral actions, veterinarians may positively
affect the emotions and feelings experienced by clients during veterinary clinic
visits, even in the case of vaccination visits, which can be considered routine
visits from the viewpoint of the veterinarian.
PMID- 29641330
TI - Survey of veterinary specialists regarding their knowledge of radiation safety
and the availability of radiation safety training.
AB - OBJECTIVE To evaluate the knowledge of various veterinary specialists regarding
various radiation safety matters and determine the availability of radiation
safety training. DESIGN Cross-sectional study. SAMPLE 164 radiology, 81 internal
medicine, and 108 emergency and critical care (ECC) specialists. PROCEDURES An
online survey was developed regarding knowledge of and training in radiation
safety, and invitations were sent via email through the email lists of the
veterinary internal medicine, ECC, and radiology specialty colleges. Responses
were summarized, and comparisons were made between radiologists and internal
medicine and ECC clinicians. RESULTS 65.5% (38 /58) of respondents from academic
institutions and 30.0% (33/110) of respondents from private practices indicated
that radiation safety training was mandatory at their institution for personnel
who work with ionizing radiation-emitting equipment, and 80.2% (85/106) and 56.6%
(77/136), respectively, had received some radiation safety training. Low
proportions of radiologists and internal medicine and ECC clinicians correctly
identified the effective dose of ionizing radiation associated with 3-phase
esophagography and 3-phase abdominal CT. Many radiologists (92/153 [60.1%]) and
nonradiologists (92/179 [51.4%]) believed that the effective doses used in
veterinary practice pose no increased risk of fatal cancer to their patients.
CONCLUSIONS AND CLINICAL RELEVANCE Radiation safety training, although more
common in academia, was not universally available and may not meet radiography
equipment license requirements for some institutions. Most radiologists, internal
medicine clinicians, and ECC clinicians had a poor understanding of the amount of
ionizing radiation associated with medical imaging procedures and the potential
hazards to their patients.
PMID- 29641331
TI - Pathology in Practice.
PMID- 29641332
TI - Factors associated with postoperative complications in healthy horses after
general anesthesia for ophthalmic versus non-ophthalmic procedures: 556 cases
(2012-2014).
AB - OBJECTIVE To compare complications between healthy horses undergoing general
anesthesia for ophthalmic versus non-ophthalmic procedures and identify potential
risk factors for the development of complications. DESIGN Retrospective case
series. ANIMALS 502 horses (556 anesthetic procedures). PROCEDURES Medical
records from January 2012 through December 2014 were reviewed to identify horses
undergoing general anesthesia. Signalment, body weight, drugs administered,
patient positioning, procedure type (ophthalmic, orthopedic, soft tissue, or
diagnostic imaging), specific procedure, procedure time, anesthesia time,
recovery time, recovery quality, and postoperative complications were recorded.
RESULTS Patients underwent general anesthesia for ophthalmic (n = 106),
orthopedic (246), soft tissue (84), diagnostic imaging (110), or combined (10)
procedures. Mean procedure, anesthesia, and recovery times were significantly
longer for patients undergoing ophthalmic versus non-ophthalmic procedures.
Excluding diagnostic imaging procedures, there was a significant positive
correlation between surgery time and recovery time. Within ophthalmic procedures,
surgery time, anesthesia time, and recovery time were significantly greater for
penetrating keratoplasty versus other ophthalmic procedures. There was a
significantly higher rate of postoperative colic following penetrating
keratoplasty, compared with all other ophthalmic procedures. CONCLUSIONS AND
CLINICAL RELEVANCE Results suggested that in healthy horses, duration of general
anesthesia should be minimized to decrease the risk of postanesthetic
complications. Judicious use of orally administered fluconazole is recommended
for horses undergoing general anesthesia. For horses undergoing a retrobulbar
nerve block during general anesthesia, use of the lowest effective volume is
suggested.
PMID- 29641334
TI - What Is Your Diagnosis?
PMID- 29641335
TI - Effects of therapeutic plasma exchange on serum immunoglobulin concentrations in
a dog with refractory immune-mediated hemolytic anemia.
AB - CASE DESCRIPTION A 9-year-old 8.3-kg (18.3-lb) neutered male Miniature Schnauzer
was referred for diagnosis and treatment of a sudden onset of lethargy, anorexia,
vomiting, and pallor. CLINICAL FINDINGS On physical examination, the dog was
lethargic with pale mucous membranes and a capillary refill time >= 2 seconds.
Skin and sclera were mildly icteric. Signs of pain were elicited during abdominal
palpation, and an enlarged spleen was noted. Results of agglutination testing and
cytologic findings were consistent with immune-mediated hemolytic anemia (IMHA).
No contributing factors for development of IMHA were identified. TREATMENT AND
OUTCOME Initial treatment included management with immunosuppressant medications.
Three packed RBC transfusions were administered, but clinical signs continued to
progress. Therefore, therapeutic plasma exchange (TPE) was performed 5 and 9 days
after admission. Following each TPE procedure, the dog had an appreciable
clinical improvement and decrease in RBC autoagglutination, and the Hct
stabilized. Serum IgG and IgM concentrations were measured during and after both
TPE procedures. Despite anticoagulative treatment, the dog developed a thrombus
in the splenic vein, necessitating a splenectomy. CLINICAL RELEVANCE The decrease
and rebound in serum IgG and IgM concentrations following TPE provided evidence
that TPE may have the same immunomodulatory effects in dogs as have been proposed
to occur in people. Further, findings suggested that TPE may be a useful
alternative in dogs with refractory IMHA when traditional treatments fail.
PMID- 29641336
TI - Ultrasonographic evaluation of the canine urinary bladder following cystotomy for
treatment of urolithiasis.
AB - OBJECTIVE To describe the ultrasonographic appearance of the urinary bladder
incision site in dogs that underwent cystotomy for treatment of urolithiasis.
DESIGN Prospective, longitudinal study. ANIMALS 18 client-owned dogs. PROCEDURES
Dogs underwent urinary bladder ultrasonography at baseline (<= 1 day before
surgery) and at 1 day and approximately 2, 6, and 12 weeks after cystotomy for
urocystolith removal. A baseline ratio between ventral (cystotomy site) and
corresponding dorsal midline wall thickness was calculated and used to account
for measurement variations attributable to bladder distension at subsequent
visits. Patient signalment, weight, medications administered, urocystolith
composition, and culture results were recorded. Clinical signs, reoccurrence of
hyperechoic foci, and suture visualization were recorded at follow-up
examinations. Variables were evaluated for association with cystotomy site
thickening and resolution of thickening. RESULTS Median wall thickness at the
ventral aspect of the bladder was significantly greater than that of the
corresponding dorsal aspect at baseline. Cystotomy site thickening peaked 1 day
after surgery and decreased at subsequent visits in a linear manner. Twelve weeks
after surgery, 5 of 10 clinically normal dogs evaluated had persistent cystotomy
site thickening. Eleven of 18 dogs had reoccurrence of hyperechoic foci within
the bladder at some time during the study (median time to first detection, 17
days after surgery). CONCLUSIONS AND CLINICAL RELEVANCE Persistent cystotomy site
thickening can be present up to 3 months after cystotomy for urolithiasis in dogs
without lower urinary tract signs. Reoccurrence of hyperechoic foci in the
bladder, although subclinical, was detected earlier and at a higher rate than
anticipated.
PMID- 29641337
TI - Development and psychometric testing of the Canine Owner-Reported Quality of Life
questionnaire, an instrument designed to measure quality of life in dogs with
cancer.
AB - OBJECTIVE To describe development and initial psychometric testing of an owner
reported questionnaire designed to standardize measurement of general quality of
life (QOL) in dogs with cancer. DESIGN Key-informant interviews, questionnaire
development, and field trial. SAMPLE Owners of 25 dogs with cancer for item
development and pretesting and owners of 90 dogs with cancer for reliability and
validity testing. PROCEDURES Standard methods for development and testing of
questionnaire instruments intended to measure subjective states were used. Items
were generated, selected, scaled, and pretested for content, meaning, and
readability. Response items were evaluated with exploratory factor analysis and
by assessing internal consistency (Cronbach alpha) and convergence with global
QOL as determined with a visual analog scale. Preliminary tests of stability and
responsiveness were performed. RESULTS The final questionnaire-which was named
the Canine Owner-Reported Quality of Life (CORQ) questionnaire-contained 17 items
related to observable behaviors commonly used by owners to evaluate QOL in their
dogs. Several items pertaining to physical symptoms performed poorly and were
omitted. The 17 items were assigned to 4 factors-vitality, companionship, pain,
and mobility-on the basis of the items they contained. The CORQ questionnaire and
its factors had high internal consistency (Cronbach alpha = 0.68 to 0.90) and
moderate to strong correlations (r = 0.49 to 0.71) with global QOL as measured on
a visual analog scale. Preliminary testing indicated good test-retest reliability
and responsiveness to improvements in overall QOL. CONCLUSIONS AND CLINICAL
RELEVANCE The CORQ questionnaire was a valid, reliable owner-reported
questionnaire that measured general QOL in dogs with cancer and showed promise as
a clinical trial outcome measure for quantifying changes in individual dog QOL
occurring in response to cancer treatment and progression.
PMID- 29641339
TI - Pathology in Practice.
PMID- 29641338
TI - Effects of milbemycin oxime, combined with spinosad, when administered orally to
microfilaremic dogs infected with adult heartworms (Dirofilaria immitis).
AB - OBJECTIVE To evaluate the safety of PO administration of a milbemycin oxime (MBO)
and spinosad product to heartworm (Dirofilaria immitis)-positive microfilaremic
dogs. DESIGN Randomized, blinded, complete block trial. ANIMALS 32 purebred
Beagles with a patent heartworm infection. PROCEDURES Dogs ranked by sex and
microfilaria counts (range, 398 to 1,980 microfilaria/mL) were assigned to 4
groups of 8 to receive 3 treatments PO at 28-day intervals beginning on day 0:
placebo (control group) or spinosad-MBO tablets containing MBO at the upper end
of the label dose range (0.75 to 1 mg/kg [0.34 to 0.45 mg/lb]; 1* group) or 3 (3*
group) or 5 (5* group) times that dose. Blood samples were collected at various
points for adult heartworm antigen and Knott tests. Necropsies were performed on
day 65, and recovered adult heartworms were counted. RESULTS 1 control dog died
from heartworm-associated complications. Other adverse events included mild,
transient emesis (1 dog in each of the 1* and 5* groups and 3 dogs in the 3*
group). Similar adult heartworm counts (range, 13 to 41) were obtained for all 4
groups. Results of blood antigen and microfilaria tests were positive throughout
the study, with 1 exception in each of the 3* and 5* groups. Mean microfilaria
counts increased with time in the control group, whereas reductions from baseline
in treated groups ranged from 61.5% to 96.4%. CONCLUSIONS AND CLINICAL RELEVANCE
The evaluated MBO-spinosad formulation caused no severe adverse events when
administered PO to microfilaremic dogs. Although microfilaria counts decreased
following treatment, repeated monthly MBO treatments were incompletely
microfilaricidal, suggesting MBO should not be used as a microfilaricide.
PMID- 29641340
TI - Ulcer-free, hospital-free and activity-rich days: three key metrics for the
diabetic foot in remission.
PMID- 29641341
TI - Traditional culture methods fail to detect principle pathogens in necrotising
soft tissue infection: a case report.
AB - OBJECTIVE: Necrotising soft tissue infections (NSTIs) progress rapidly and
mortality remains high, ranging from 10% to 30%, representing a significant
challenge for health professionals. Early accurate diagnosis is crucial because
timely and aggressive surgical intervention remains the number one indicator for
a better clinical outcome. Understanding the microbial background of NSTIs would
aid early diagnosis. PRESENTATION: We present a case of NSTI, in a seemingly
healthy adult male, originating from a tooth abscess. The NSTI progressed
rapidly, and eventually covered the patient's chest and abdominal skin and
underlying soft tissue. RESULTS: Traditional blood and tissue culture only found
Group C Streptococcus where 16S sequencing detected abundant Prevotella spp., a
more likely causal organisms of the NSTI. The use of antibiotics with the
approriate anaerobe coverage, in combination with timely surgical intervention,
contributed to the ultimate successful clinical outcome. Complete wound healing
and successful graft was achieved within one month of diagnosis of the microbes
present. CONCLUSION: While surgical intervention remains the most important
consideration in treatment of NSTI, correct identifcation of the microbial flora
could also contribute to successful treatment.
PMID- 29641342
TI - Economic and clinical comparison of 2-octyl cyanoacrylate/polymer mesh tape with
skin staples in total knee replacement.
AB - OBJECTIVE: To compare economic and clinical outcomes between skin staples and 2
octyl cyanoacrylate plus polymer mesh tape, Dermabond Prineo skin closure system,
(SCS) among patients undergoing total knee replacement (TKR). METHOD:
Retrospective, observational study using the Premier Healthcare Database, which
comprises hospital administrative and billing data for over 700 hospitals in the
US. Patients selected for study had an elective hospital admission, with
discharge occurring between January 2012 and September 2015, carrying primary ICD
9-CM procedure and diagnosis codes for TKR and osteoarthritis. Patients were
classified into two mutually-exclusive groups based on billing records during the
index admission: those with billing record(s) for the skin closure system (SCS
group); and those with billing record(s) for skin staples (staple group). Primary
outcomes were index admission's length of stay (LOS), total hospital costs, and
discharge status (skilled nursing facility (SNF)/other versus home/home health
care); exploratory outcomes included operating room time (ORT) during index
admission and 30, 60, and 90-day readmissions. The SCS and staple groups were
propensity score matched (1:1/nearest neighbour/caliper=0.10) on patient,
hospital, and provider characteristics. Multivariable regressions accounting for
hospital-level clustering after matching were used to compare outcomes between
study groups. RESULTS: Each group comprised 971 patients (1942 total patients;
mean age: 65.3 years; female: 63.5%). The groups were generally well-balanced on
matching covariates: mean standardised difference calculated across 49
covariates=0.049. Compared with the staple group, the SCS group had statistically
significant shorter LOS (2.8 days versus 3.2 days, p=0.002), lower rate of
discharge to SNF/other versus home/home health-care (26.4% versus 38.5%,
p=0.011), and lower rate of 30, 60, and 90-day readmissions (30-day, 1.8% versus
4.4%, p=0.006; 60-day, 3.0% versus 5.4%, p<0.001; 90-day, 5.4% versus 7.4%,
p=0.016). Differences between the groups for other outcomes were not
statistically significant. CONCLUSION: Among patients undergoing TKR, use of the
SCS was associated with shorter LOS, less resource intensive discharge status,
and lower rates of all-cause readmission as compared with skin staples.
PMID- 29641343
TI - Pressure ulcer prevalence and perceptions on prevention: a hospital-wide survey
of health professionals.
AB - OBJECTIVE: Hospital-acquired pressure ulcers (HAPUs) remain a problem despite
numerous prevention initiatives. To understand why, it is necessary to know
health professionals' perceptions regarding the importance of prevention, and the
usability of current initiatives. We hypothesised that positive perceptions of
existing initiatives would not be correlated with low HAPU prevalence, and that
health professionals would perceive the initiatives to have a low usability.
METHOD: A two-part, online survey was developed and distributed electronically to
nurses, in-training physicians and attending physicians, across all inpatient and
perioperative departments of an academic hospital. Part one of the survey was the
Agency for Healthcare Research and Quality (AHRQ) Staff Attitude Scale on beliefs
regarding PU prevention; part two was additional questions on the usability of
existing preventative initiatives. The results of the survey were compared with
quarterly HAPU prevalence data by hospital unit. RESULTS: In total, 839 health
professionals completed the survey (579 nurses, 131 residents, 119 attending
physicians). The mean score for the AHRQ survey was 42.5 (>=40 denoting positive
perceptions). There was a moderate correlation between AHRQ scores and prevalence
of HAPUs (r=-0.60, p=0.402). For usability, repositioning was felt to be the most
effective intervention (mean: 4.54, standard deviation (SD): 0.64), while
educational posters were felt to be the least effective (mean: 3.31, SD: 0.99).
Respondents generally rated satisfaction much lower, with no single initiative
significantly better than the others (range: 3.21-3.79). Perceived effectiveness
and satisfaction were all positively correlated. CONCLUSION: High HAPU
prevalence, despite position perceptions, suggests that prevention methods are
not as effective as thought, or they are not being used as widely as they should.
Further research should take advantage of positive attitudes by prospectively
investigating the usability of novel interventions.
PMID- 29641344
TI - Glycaemic indices and haemoglobin A1c as predictors for non-healing ulcers.
AB - OBJECTIVE: Non-healing lower extremity ulcers (NHLU) are a common podiatric
complication of diabetes, with poor glycaemic control as a risk factor for
development. Glycaemic indices, such as haemoglobin A1c (HbA1c) and fasting
plasma glucose (FPG), are used to diagnose and to monitor diabetes. Using a
population-based, nationally representative sample, we evaluate the relationship
between glycaemic indices and NHLU (as defined by the patient) to propose
glycaemic thresholds for clinical suspicion of patient NHLU status. METHOD: Using
data from the 1999-2004 National Health and Nutrition Examination Surveys
(NHANES), a total of 9769 adults (>=40 years old) with available self-reported
diabetes and NHLU status were analysed. Glycaemic index markers, including FPG
and HbA1c, were assessed via laboratory analysis from serum blood samples.
Logistic regression models were fitted to determine optimal thresholds for FPG
and HbA1c to predict NHLU status. RESULTS: Compared with those without NHLU, NHLU
patients were older, male, had higher rates of diabetes, were more likely to take
insulin, and had lower total cholesterol. Youden's Index for NHLU identified the
optimal FPG threshold as 117.7mg/dl (sensitivity: 33.5%; specificity: 82.6%). The
optimal HbA1c threshold was 5.9% (sensitivity: 43.2%; specificity: 77.3%). HbA1c
(Odds ratio (OR) 2.44, 95% Confidence Interval (CI) 1.96-3.05; Area under curve
(AUC) 0.62) was a stronger discriminator of NHLU compared to FPG (OR 2.19; 95%CI
1.57-3.05; AUC 0.60). CONCLUSION: This study identified glycaemic thresholds for
suspicion of NHLU development that are lower than the glucose goal levels
recommended as optimal by the American Diabetes Association. Health professionals
should be aware of these glycaemic indices when screening patients with diabetes
for NHLU. Future longitudinal and validation studies are necessary to better
discern the ideal glycaemic index thresholds to identify NHLU.
PMID- 29641345
TI - Transurethral resection of prostate and bleeding: A prospective randomized,
double blind, placebo controlled trial to see efficacy of short term use of
Finasteride and Dutasteride on operative blood loss and prostatic micro-vessel
density.
AB - OBJECTIVE: To determine the efficacy of short duration use of finasteride and
dutasteride prior to transurethral resection of prostate (TURP) on intraoperative
blood loss and microvessel density (MVD) of prostate stroma and suburethral
tissues in benign prostatic hyperplasia (BPH) Methods: This study includes 450
patients who were planned for TURP. They were prospectively randomized into three
groups (150 patients each). Group 1 received placebo, group 2 received
finasteride 5 mg/day and group 3 patients received dutasteride 0.5 mg/day for 4
weeks prior to surgery. The total blood loss, requirement of blood, and MVDs in
prostate stroma and suburethral tissues were calculated in each patient and then
compared among three groups. RESULTS: There was significant reduction in less
mean blood loss, blood loss/time, and total blood loss per gram of resected
tissue in finasteride and dutasteride group compared to placebo. Prostate stromal
and suburethral MVDs were significantly higher compared to placebo. Blood
transfusion was required in 9.3%, 2.7%, and 2% of the patients, respectively
(p=0.004). However, no statistically significant differences were observed
between finasteride and dutasteride groups for these parameters (p >0.05). The
weight of resected prostate, operating time, and amount of irrigation fluid used
did not show any significant difference between the three groups. CONCLUSION:
Short-term pretreatment with finasteride and dutasteride has similar efficacy and
significantly reduces perioperative bleeding during TURP and has minimal negative
impact on sexual function. According to our findings, a 4 weeks' prior
administration of 5-ARIs may reduce operative blood loss and prostatic MVD in
TURP, thus potentially decreasing blood loss- related complications and the
requirement of blood transfusion.
PMID- 29641346
TI - The Impact of Thiazides and Potassium Citrate on Bone Mineral Density Evaluated
by CT Scan in Stone Formers.
AB - INTRODUCTION: Thiazides and citrate prevent kidney stones and improve bone
mineral density (BMD). The objective of this study was to opportunistically
utilize the noncontrast CT (NCCT) scan used for stone detection to identify those
with low BMD and follow the impact of potassium citrate and thiazides on
longitudinal BMD measurements. MATERIALS AND METHODS: A retrospective analysis
was performed on 299 kidney stone patients treated with thiazides and/or
potassium citrate for a minimum of 1 year. For each patient, BMD was estimated at
L1 with CT attenuation measured in HU. A level of 160 HU was chosen to
distinguish normal from low BMD. Pairwise t-test was used to compare the
continuous outcomes before and after treatment for the whole cohort and the low
BMD subgroup. Linear regression was performed to find if any association exists
between the duration of follow-up and the changes in HU. A matched pair t-test
was performed to compare among the medications used and the impact of their doses
on the HU outcomes. RESULTS: Patients with low BMD (HU <160) comprised (n = 186,
62.2%) the cohort. A total of 16.1% normalized after 1 year of treatment and 68%
had an increase in HU. The mean change in HU was 8.6 (p = 0.0001). Linear
regression demonstrated no association between the duration of treatment and the
HU changes (p = 0.64). Hydrochlorothiazide (HCTZ) 50 mg was more effective at
improving BMD (HU +19.7, p = 0.04) compared with 25 mg (+2.9) or 12.5 mg (HU
+6.4). Majority of the low BMD subgroup were either postmenopausal women (n = 88)
or men with age older than 60 (n = 74) and both showed a significant increase in
HU (3.125, 10.731), p-value (0.0453, 0.0007), respectively. CONCLUSION: Stone
health and bone health are synergistic. The impact of thiazides and citrates on
BMD can be monitored opportunistically with the NCCT scan.
PMID- 29641347
TI - Editorial Comment on: Natural History of Conservatively Managed Ureteral Stones:
Analysis of 6600 Patients by Yallappa et al.
PMID- 29641348
TI - Retroperitoneal Single Port Versus Transperitoneal Multiport Donor Nephrectomy: A
Prospective Randomized Control Trial.
AB - BACKGROUND: Laparoscopic donor nephrectomy (LDN) converted a retroperitoneal (RP)
procedure into a transperitoneal (TP) operation with reports of bowel and solid
organ injuries leading to mortality in occasional cases. Laparoscopic RP donor
nephrectomy can reduce these risks but never became popular because of the muscle
cutting approach. Lumbotomy incision can be used to approach retroperitoneum by
incising fascial planes, eliminating disadvantages of the RP approach. This
report compares the outcomes of the standard multiport TP LDN with translumbar
laparoendoscopic single-site donor nephrectomy (LESS-DN). METHODS: Between
January 2016 and June 2017, 50 voluntary kidney donors out of 267 donors were
randomized to undergo LESS-DN vs LDN. Donors with body mass index >=30 kg/m2,
multiple renal arteries, and right-sided nephrectomy were excluded from the
study. Postoperative pain, duration of surgery, length of graft vessels and
ureter, warm ischemia time, intraoperative blood loss, incision length,
convalescence period, duration of hospital stay, and recipients' creatinine at
discharge were compared among both the groups. Pain assessment was done using
visual analogue scale (VAS). RESULTS: The RP group experienced lesser pain (VAS
score 0.3 +/- 0.3 vs 1.1 +/- 0.0, p = 0.000), lesser analgesic requirement (186
+/- 51.07 mg vs 254 +/- 62.7 mg, p = 0.000), and faster convalescence (7.0 +/-
3.0 days vs 10.7 +/- 3.3 days, p = 0.00) related to smaller cumulative incision
(7.8 +/- 0.8 cm vs12.4 +/- 2.0 cm, p = 0.00), and had reduced operative time (142
+/- 26.2 minutes vs 170.8 +/- 34.75 minutes, p = 0.001) and blood loss. Other
recorded parameters were similar in both the groups. CONCLUSIONS: The single port
RP approach significantly reduced postoperative pain and hastened recovery when
compared with the TP approach. Converting to a RP approach presents an
opportunity for surgeons to further reduce morbidity associated with the donor
nephrectomy.
PMID- 29641350
TI - Editorial Comment on: Worldwide Use of Antiretropulsive Techniques: Observations
from the Clinical Research Office of the Endourological Society Ureteroscopy
Global Study by Saussine et al.
PMID- 29641349
TI - Salivary Neutrophil Gelatinase-Associated Lipocalin Sampling Feasibility in Acute
Renal Colic.
AB - PURPOSE: Neutrophil gelatinase-associated lipocalin (NGAL) levels in the serum
and urine are predictive biomarkers of acute kidney injury with correlation to
complication and survival in major surgery. Salivary levels of NGAL during acute
renal colic may reflect the degree of renal injury as it appears in different
compartments encompassing body response in time perspective. Our aim is to
evaluate and examine the feasibility and correlation of salivary NGAL with serum
and urine levels in acute renal colic event. MATERIALS AND METHODS: A prospective
controlled study of all patients presenting to the emergency room with acute
renal colic event diagnosed with single ureteral stone obstruction by noncontrast
CT. Saliva, urine, and blood samples were collected in patients and a control
group during the first morning of admission. RESULTS: The study groups consisted
of 44 patients and 13 controls, mean age 47 +/- 15 years, body mass index 29 +/-
6, mean stone size 6 +/- 4 mm, mean creatinine levels 1.3 +/- 0.7 mg/dL, mean
white blood count 10,900 +/- 3100 counts per field, and C-reactive protein 29 +/-
55. Serum (190 +/- 120 ng/mL vs 81 +/- 24; p < 0.001) and predominantly salivary
(474 +/- 185 vs 328 +/- 134 ng/mL; p < 0.05) NGAL levels were significantly
elevated in patients compared with controls. CONCLUSIONS: Salivary NGAL sampling
is feasible during the acute phase of renal colic. High levels of salivary NGAL
are observed in a single sampling during acute ureteral stone obstruction and may
advance clinical decision-making.
PMID- 29641351
TI - How Accurate Are We in Estimating True Stone Volume? A Comparison of Water
Displacement, Ellipsoid Formula, and a CT-Based Software Tool.
AB - INTRODUCTION: To compare the accuracy and reliability of stone volume estimated
by ellipsoid formula (EFv) and CT-based algorithm (CTv) to true volume (TV) by
water displacement in an in vitro model. MATERIALS AND METHODS: Ninety stone
phantoms were created using clay (0.5-40 cm3, 814 HU +/-91) and scanned with CT.
For each stone, TV was measured by water displacement, CTv was calculated by the
region-growing algorithm in the CT-based software AGFA IMPAX Volume Viewer, and
EFv was calculated by the standard formula pi * L * W * H * 0.167. All
measurements were repeated thrice, and concordance correlation coefficient (CCC)
was calculated for the whole group, as well as subgroups based on volume (<1.5
cm3, 1.5-6 cm3, and >6 cm3). RESULTS: Mean TV, CTv, and EFv were 6.42 cm3 +/-
6.57 (range: 0.5-39.37 cm3), 6.24 cm3 +/- 6.15 (0.48-36.1 cm3), and 8.98 cm3 +/-
9.96 (0.49-47.05 cm3), respectively. When comparing TV to CTv, CCC was 0.99 (95%
confidence interval [CI]: 0.99-0.995), indicating excellent agreement, although
TV was slightly underestimated at larger volumes. When comparing TV to EFv, CCC
was 0.82 (95% CI: 0.78-0.86), indicating poor agreement. EFv tended to
overestimate the TV, especially as stone volume increased beyond 1.5 cm3, and
there was a significant spread between trials. CONCLUSIONS: An automated CT-based
algorithm more accurately and reliably estimates stone volume than does the
ellipsoid formula. While further research is necessary to validate stone volume
as a surrogate for stone burden, CT-based algorithmic volume measurement of
urinary stones is a promising technology.
PMID- 29641352
TI - Analysis of Mechanical Forces Used During Laparoscopic Training Procedures.
AB - OBJECTIVE: To assess the significance of a surgeon's experience on the mechanical
forces applied to tissues through laparoscopic instruments. MATERIALS AND
METHODS: A total of 34 participants were enrolled into the study (8 experts, 10
intermediates, and 16 novices). Laparoscopic graspers with a sensing module to
detect load were used by participants to carry out six ex vivo tasks: to grasp a
porcine ureter in three positions either 1, 5, or 10 times, in turn, with both
dominant and nondominant hands. The data were logged and recorded by a custom
data acquisition software to calculate the peak force (Fmax) and mean force
(Frms). RESULTS: Significant correlation was observed between Fmax and Frms
(Pearson correlation, r = 0.97, p < 0.0005). No statistical significant
difference was observed when comparing the effect of the three different tasks on
peak force (F(2,1084) = 0.28, p = 0.753). There was a statistically significant
difference in mechanical forces applied with those more experienced applying
consistently lower mechanical forces (F(2,1084) = 21.36, p < 0.0005). In
individual training groups, the effect of dominant hand was significant in the
novice (significantly lower, F(1,510) = 6.70, p = 0.010) and consultants
(significantly higher, F(1,250) = 9.601, p < 0.020) with the intermediate group
showing no significant difference between the hands. CONCLUSION: Outcomes have
suggested a relationship between the training level of the surgeon and the forces
imparted on the tissue. This demonstrates a need for further training in surgeons
until a consistent low force can be applied to tissues. Whether such measures
could be used as an indicator of surgeon proficiency is unclear; however, it has
the potential to be used to determine whether more training is needed for
surgeons.
PMID- 29641354
TI - Editorial Comment on: In Vitro Evaluation of Single-Use Digital Flexible
Ureteroscopes: A Practical Comparison for Patient-Centered Approach (From:
Marchini GS, Batagello CA, Monga M, et al. J Endourol 2018;32:184-191).
PMID- 29641353
TI - Does Retrograde Treatment of Upper Urinary Tract Stones Necessitate Postoperative
Upper Urinary Tract Drainage? Conclusions from More Than 500 Single Center
Consecutive Cases.
AB - BACKGROUND AND PURPOSE: Temporary drainage of the upper urinary tract after
ureterorenoscopy for the treatment of urinary stones is almost a routine in
endourologic practice. In these cases, a Double-J stent (DJS) is left for 7 or
more days. In borderline cases, a ureteral catheter attached to the urethral
catheter may be left for 24-48 hours. In some situations, tubeless approach is
possible. The purpose of this study was to evaluate the necessity and immediate
postoperative implications of upper tract drainage by comparing complications and
symptoms at the immediate postoperative period in these three groups of patients.
MATERIALS AND METHODS: A database of 516 consecutive patients who underwent
ureterorenoscopy for ureteral and/or renal stones between October 2014 and
September 2016 was retrospectively evaluated. The cohort was divided according to
postoperative drainage type of the upper urinary tract. The data consisted of
demographic parameters, stone location, number and burden, severity of
preoperative obstruction, and postoperative complications. Symptoms at the
immediate postoperative period, assessed by visual analog scale (VAS) score,
frequency, and type of analgesics used, were evaluated and compared. Categorical
dependent variables were evaluated using chi-square or Spearman's correlation
tests, whereas continuous dependent variables were analyzed using Pearson
correlation analysis. RESULTS: There were 196 (38%) tubeless, 214 (41%) UC, and
106 (21%) DJS cases. Patients who were drained with DJS at the end of the
procedure were significantly with higher stone volume (p < 0.005), higher stone
density (p < 0.005), and with more severe preoperative obstruction (p < 0.005).
Postoperative complications (infection, stone-street, and acute renal failure),
mean VAS score, or analgesics used were not different in the three subgroups.
CONCLUSIONS: A tubeless approach is safe in properly selected cases. There is no
difference in postoperative course compared with drained groups. Tubeless
procedures may facilitate an outpatient approach for treatment of upper urinary
tract stones.
PMID- 29641355
TI - Editorial Comment on: Micro-Costing Analysis Demonstrates Comparable Costs for
LithoVue Compared to Reusable Flexible Fiberoptic Ureteroscopes by Taguchi et al.
PMID- 29641356
TI - Monopolar Transurethral Enucleo-Resection of the Prostate Versus Holmium Laser
Enucleation of the Prostate: A Canadian Novel Experience.
AB - OBJECTIVE: To study the functional outcome of patients undergoing transurethral
enucleation and resection of the prostate (TUERP) vs patients undergoing holmium
laser enucleation of the prostate (HoLEP) in men with bladder outlet obstruction.
MATERIALS AND METHODS: We retrospectively analyzed our prospectively collected
database of two groups of patients. Twenty-four patients underwent TUERP (group
1), and 27 underwent HoLEP (group 2). Preoperative characteristics, intervention
parameters, postoperative functional outcomes, uroflowmetry, and complications
were collected. RESULTS: Mean prostate size in groups 1 and 2 were 87.2 and 93.5
cc, respectively. The mean duration of surgery was 110 minutes in group 1 and 136
minutes in group 2. In group 1, prostate-specific antigen (PSA) dropped from 4.4
to 1.2 ng/cc after 12 months. International Prostate Symptom Score (IPSS) was
3.75 at 12 months with a preoperative value of 20.9. With respect to maximum
urinary flow rate (Qmax), it increased to 21.8 mL/s from a preoperative value of
6.4 mL/s. In group 2, the PSA dropped from 7.6 to 1.3 ng/cc. IPSS dropped from
22.3 to 3.8, Qmax increased from 7.7 to 22.5 mL/s. Hemoglobin, complications, and
all studied parameters were not statistically significant between both groups.
CONCLUSION: In this study, TUERP was safe and efficacious in benign prostatic
hyperplasia patients with large glands. Modifications can be implemented on the
standard transurethral resection of the prostate technique to treat patients with
prostate sizes >70 cc.
PMID- 29641357
TI - Does cross-cultural communication training for physicians improve pediatric
asthma outcomes? A randomized trial.
AB - OBJECTIVE: Adverse cross-cultural interactions are a persistent problem within
medicine impacting minority patients' use of services and health outcomes. To
test whether 1) enhancing the evidence-based Physician Asthma Care Education
(PACE), a continuing medical education program, with cross cultural communication
training (PACE Plus) would improve the asthma outcomes of African American and
Latino/Hispanic children; and 2) whether PACE is effective in diverse groups of
children. METHODS: A three-arm randomized control trial was used to compare PACE
Plus, PACE, and usual care. Participants were primary care physicians (n = 112)
and their African American or Latino/Hispanic pediatric patients with persistent
asthma (n = 867). The primary outcome of interest included changes in emergency
department visits for asthma overtime, measured at baseline, and 9 and 21 months
following the intervention. Other outcomes included hospitalizations, asthma
symptom experience, caregiver asthma-related quality of life, and patient
provider communication measures. RESULTS: Over the long term, PACE Plus
physicians reported significant improvements in confidence and use of patient
centered communication and counseling techniques (p < 0.01) compared to PACE
physicians. No other significant benefit in primary and secondary outcomes was
observed in this trial. CONCLUSION: PACE Plus did not show significant benefit in
asthma-specific clinical outcomes. More trials and multi-component strategies
continue to be needed to address complex risk factors and reduce disparities in
asthma care. TRIAL REGISTRATION: ClinicalTrials.gov: NCT01251523 December 1,
2010.
PMID- 29641358
TI - H3N2 influenza viruses in humans: Viral mechanisms, evolution, and evaluation.
AB - Annual seasonal influenza vaccines are composed of two influenza A strains
representing the H1N1 and H3N2 subtypes, and two influenza B strains representing
the Victoria and Yamagata lineages. Strains from these Influenza A and Influenza
B viruses currently co-circulate in humans. Of these, strains associated with the
H3N2 subtype are affiliated with severe influenza seasons. H3N2 influenza viruses
pre-dominated during 3 of the last 5 quite severe influenza seasons. During the
2016/2017 flu season, the H3N2 component of the influenza vaccine exhibited a
poor protective efficacy (~28-42%) against preventing infection of co-circulating
strains. Since their introduction to the human population in 1968, H3N2 Influenza
viruses have rapidly evolved both genetically and antigenically in an attempt to
escape host immune pressures. As a result, these viruses have added numerous N
linked glycans to the viral hemagglutinin (HA), increased the overall net charge
of the HA molecule, changed their preferences in receptor binding, and altered
the ability of neuraminidase (NA) to agglutinate red blood cells prior to host
entry. Over time, these adaptations have made characterizing these viruses
increasingly difficult. This review investigates these recent changes in modern
H3N2 influenza viruses and explores the methods that researchers are currently
developing in order to study these viruses.
PMID- 29641359
TI - The Frequency of Metabolic Syndrome and Serum Osteopontin Levels in Survivors of
Childhood Acute Lymphoblastic Leukemia.
AB - PURPOSE: Metabolic syndrome (MetS) and obesity have increasingly been reported in
survivors of childhood cancer. Osteopontin (OPN) is primarily synthesized in
adipose tissue and is thought to have a role in obesity and the development of
insulin resistance (IR). The aim of this study was to investigate the frequency
of MetS in survivors of acute lymphoblastic leukemia (ALL) and to establish the
relationship between serum OPN levels and anthropometric measurements and glucose
metabolism. METHODS: A total 50 survivors of ALL (median age: 10.5 years; post
treatment interval 4.54 +/- 2.48 years), and 20 healthy children (median age: 11
years) were included in the study. Anthropometric measurements were taken, and
serum glucose, insulin, homeostasis model assessment and IR index (HOMA-IR
index), lipoprotein, thyroid hormone levels, and OPN levels were measured.
RESULTS: Twenty-one (42%) survivors were overweight/obese, 2 (5.1%) survivors had
MetS, 7 (14%) survivors had IR, and 19 (38%) survivors had dyslipidemia. Fasting
insulin levels and HOMA-IR of the overweight/obese survivors were significantly
higher than those of the normal-weight survivors (p < 0.05 and p < 0.01) and
control group (p < 0.01 and p < 0.01). The serum OPN level was significantly
lower in the overweight/obese survivor than in the normal-weight survivor and
control group (37.42 ng/mL [range, 27.32-62.07], 69.02 ng/mL [range, 40.29
88.21], and 85.7 ng/mL [range 67.7-102.3]; p < 0.01, p < 0.001, respectively).
Serum OPN levels were inversely correlated with anthropometric measurements and
HOMA-IR index in all the subjects. CONCLUSION: Our results showed that obesity
and IR are associated with decreased serum OPN levels in childhood survivors of
ALL.
PMID- 29641360
TI - Facing the Maze: Young Cancer Survivors' Return to Education and Work-A
Professional Expert Key Informant Study.
AB - PURPOSE: An insufficient transition to normal life after cancer treatment in
adolescent and young adults (AYAs) may lead to decreased occupational and
educational opportunities throughout a survivor's lifespan. Key informant
interviews were used to access unique knowledge of the healthcare, educational,
and social systems. METHODS: We used key informant interviews with professionals
representing disciplines from healthcare, educational, and social systems (n =
15). Informants were recruited through purposive sampling and snowball sampling.
Interviews were analyzed thematically using Malterud's Systematic Text
Condensation and verified by member checking. RESULTS: We found four major
themes: the impact of late effects, navigating the system, social reintegration,
and the drive of youth. Although legal frameworks are often in place to assist
AYA cancer survivors, navigating the public, educational, and social systems is a
complex task and many AYAs do not have the required skill set or energy.
Furthermore, AYA survivors often feel different from their peers and
misunderstood by their surroundings, which may hinder reintegration into normal
social life. CONCLUSIONS: In Scandinavia, healthcare and education are free of
charge with equal access for all, primarily funded by government taxes.
Therefore, insurance status and tuition fees should not constitute barriers for
returning to education and work. However, this study finds that the public and
educational systems are complex to navigate, and that AYAs face trouble
mobilizing the energy to receive needed support.
PMID- 29641361
TI - Aerosolization of Zn-DTPA Decorporation Agent Using Jet and Ultrasonic
Nebulizers.
AB - BACKGROUND: Chelating agents such as diethylenetriamine pentaacetic acid (DTPA)
can be used as a decorporation drug in the zinc (Zn) form to treat internal
radioactive contamination after exposure to plutonium or americium in a nuclear
accident. Although Zn-DTPA is normally administered intravenously, inhalation of
Zn-DTPA in aerosol form is a better route for direct delivery to the lungs. This
work investigates the feasibility of synthesizing Zn-DTPA from three common
chemicals and aerosolizing it using a jet or ultrasonic nebulizer. METHODS: The
particle size distribution (PSD) of this decorporation agent at different
concentrations were tested in vitro using two different methods: inertial
impaction and aerodynamic time of flight. The particles were generated using
either a jet nebulizer or an ultrasonic nebulizer. Two parameters, namely the
mass median aerodynamic diameter and the geometric standard deviation, were
assessed to determine the PSD of the generated aerosols. These parameters were
obtained for different concentrations of Zn-DTPA using both nebulizers. RESULTS
AND CONCLUSIONS: Zn-DTPA was successfully synthesized for decorporation purposes.
Aerosol particles within the inhalable range were successfully generated by both
nebulizers from four different concentrations of Zn-DTPA. It was found that the
medication concentration did not affect the PSD of Zn-DTPA. The ultrasonic
nebulizer was observed to produce a slightly larger aerosol particle size and
required slightly longer treatment periods to deliver an effective dose to the
lungs when compared with the jet nebulizer. Both nebulizers can be sustainably
run to administer the agent for effective decorporation treatment of a large
population after any major nuclear accident.
PMID- 29641362
TI - Effect of Topical Lidocaine Patch on Postoperative Pain Management in
Laparoscopic Appendectomy: A Randomized, Double-Blind, Prospective Study.
AB - BACKGROUND: Adequate pain control is a major concern in the immediate
postoperative period. In multiple strategies for postoperative pain management,
topical analgesics have significant advantages of minimizing severe side effects
caused by oral and parenteral administration and drug-drug interactions.
Therefore, we studied the effect of lidocaine patch on postoperative pain control
in laparoscopic appendectomy. PATIENTS AND METHODS: This randomized double-blind
prospective controlled study enrolled 40 acute appendicitis cases that were
treated by laparoscopic appendectomy and randomly divided into two groups.
Lidocaine patches containing lidocaine 175 mg was applied to the umbilical trocar
site of each patient, and pain intensity was assessed with the visual analog
scale every 6 hours up to 48 hours after laparoscopic appendectomy. RESULTS:
There was no significant difference in age, gender, body mass index, the American
society of anesthesiologists score, comorbidity, or underlying disease between
the lidocaine patch and control groups. The postoperative pain scores were lower
in the lidocaine patch group than in the control group, but statistical
significance was not noted at the trocar site or the right lower quadrant of the
abdomen (P = .320 and P = .903, respectively). The mean amounts of pethidine used
after surgery were significantly smaller in the lidocaine patch group than in the
control group (0.10 +/- 0.31 mg versus 0.25 +/- 0.79 mg, P < .001). CONCLUSIONS:
The results of this study suggest that lidocaine patch application to the trocar
site after laparoscopic appendectomy may have a positive effect on the management
of postoperative pain and can eliminate the need to inject additional analgesics
for further postoperative pain control.
PMID- 29641363
TI - A Single Tertiary Center 10-Year Experience in the Surgical Management of
Gastrointestinal Bezoars.
AB - BACKGROUND: Gastrointestinal (GI) bezoars are the most common foreign bodies
causing obstruction in the GI tract. They are frequently seen following upper GI
tract surgery and surgical intervention is required often. The aim of this study
is to describe the surgical management of GI bezoars. MATERIALS AND METHODS: A
retrospective cohort study, including all patients diagnosed with bezoars between
May 2008 and May 2017, was conducted. Patient charts were reviewed, and
demographics, clinical, surgical, and postoperative data were collected and
analyzed. RESULTS: Forty-five patients were included, with a mean age of 62.04
years (Range 18-91). Thirty patients underwent previous surgery (66.6%), most
commonly surgical interventions for peptic ulcer disease (22 patients, 73.3%).
Obstruction was most common in the ileum (27 patients, 60%). Thirty-nine patients
(86.7%) required surgical intervention. Laparoscopy was attempted in 20 patients
(51.2%), but conversion to open procedure was required in 11 patients (55%).
Postoperative complication rate was 41%. No preoperative factors were found to be
correlated with postoperative complications. Postoperative complications were
associated with a longer length of stay (P = .006) and a higher readmission rate
(P = .04). Patients treated with laparoscopy tended to have a lower BMI (P =
.04), less previous surgeries (P = .04), and a bezoar located more proximally (P
= .03), however, laparoscopy showed no benefit in complications rate,
readmissions, and length of stay. CONCLUSIONS: GI bezoars require surgical
intervention at high rates. Postoperative complications are common. Completion of
an upper GI endoscopy is important and should be performed at an early stage of
management.
PMID- 29641364
TI - Thoracoscopic Repair of Congenital Diaphragmatic Hernia After Extracorporeal
Membrane Oxygenation: Feasibility and Outcomes.
AB - INTRODUCTION: Thoracoscopic repair of congenital diaphragmatic hernia (CDH) has
been associated with faster recovery, earlier extubation, and decreased
morbidity. Nevertheless, thoracoscopic repair is rarely attempted in the post
extracorporeal membrane oxygenation (ECMO) patient. Commonly cited reasons for
not attempting thoracoscopy include concerns that the patients' respiratory
status is too tenuous to tolerate insufflation pressures or that presumed defect
size is so large that it precludes thoracoscopic repair. Our purpose is to review
our experience with post-ECMO thoracoscopic CDH repair and evaluate the success
of this approach. METHODS: We performed retrospective analysis of attempted
thoracoscopic CDH repairs after ECMO decannulation at our institution from 2001
to 2015. Primary outcome was rate of conversion. Secondary outcomes were
intraoperative end-tidal CO2, time to extubation, and rate of recurrence.
RESULTS: We identified 21 post-ECMO patients in whom thoracoscopic CDH repair was
attempted. Thoracoscopic repair was successfully completed in 28%. No patients
had reported intolerance to insufflation at 3-7 mmHg. Average end-tidal CO2 at 15
operative minutes was 36.9 mmHg in the thoracoscopic group versus 50.7 mmHg in
the open group and at 60 minutes was 34.25 mmHg versus 45.6 mmHg, respectively.
One patient in the thoracoscopic group died and 1 experienced a large
pneumothorax. In the converted group there was one clinically significant
pneumothorax and three pleural effusions. Survivors after thoracoscopy were
extubated an average of 5.6 +/- 2.6 days after surgery versus 19.4 +/- 10 days in
the converted group (P < .05). Recurrence rates at last follow-up were equal
between the two groups at 20%. CONCLUSIONS: Thoracoscopic CDH repair is both safe
and feasible after ECMO with no increase in operative morbidity or mortality.
Insufflation pressures of 3-7 mmHg are well tolerated without undue increase in
end-tidal CO2. When compared to conversion cases, thoracoscopic repair is
associated with significantly decreased time to extubation with no difference in
recurrence.
PMID- 29641365
TI - Endoscopic Biliary Drainage Versus Percutaneous Transhepatic Biliary Drainage in
Patients with Resectable Hilar Cholangiocarcinoma: A Systematic Review and Meta
Analysis.
AB - BACKGROUND: Hilar cholangiocarcinoma (HCCA) is a rare tumor, usually associated
with obstructive jaundice and unfavorable prognosis. Obstructive jaundice can
affect the liver, kidney, heart, and the immune system of the patients.
Currently, controversy exists in whether preoperative biliary drainage (PBD) is
of any benefit to the patients, and the best way for PBD in patients with
resectable HCCA of malignant biliary obstruction remains to be determined.
OBJECTIVES: To compare the clinical outcomes and effectiveness of endoscopic
biliary drainage (EBD) treatment with those of percutaneous transhepatic biliary
drainage (PTBD) treatment in patients with malignant biliary obstruction caused
by resectable HCCA. MATERIALS AND METHODS: The databases including MEDLINE,
EMBASE, PubMed, CBM (China Biological Medicine Database), and CNKI were employed
to identify the clinic trials on EBD versus PTBD for malignant biliary
obstruction associated with resectable HCCA from January 2008 to October 2017. A
systematic review and meta-analysis were carried out. RESULTS: Six trials were
identified and included in this study. Overall, the differences in technical
success rate, R0 resection, incidence of total complication after resection,
postoperative hospitalization time, resection time, and recurrence were not
statistically significant between the EBD group and PTBD group (all P > .05).
However, the incidence of total complications after EBD treatment is higher than
that after PTBD treatment (P < .05). CONCLUSION: For patients with obstructive
jaundice associated with HCCA, current evidence indicate no superiority of PTBD
over EBD regarding clinical feasibility and success rate, but data suggest a
better clinical safety of PTBD compared with EBD in short-term postoperation. In
long-term evaluation, the differences in clinical outcomes are not statistically
significant between PTBD and EBD.
PMID- 29641366
TI - The Role of Prophylactic Endoscopic Sphincterotomy for Prevention of
Postoperative Bile Leak in Hydatid Liver Disease: A Randomized Controlled Study.
AB - BACKGROUND: Bile leak is the main cause of morbidity and mortality after surgery
for hydatid liver cysts. Aim was to assess the role of prophylactic endoscopic
sphincterotomy (ES) in reducing postoperative bile leak in patients undergoing
partial cystectomy. METHODS: Fifty-four patients with hepatic hydatid cyst met
inclusion criteria, 27 were excluded or declined to participate. Twenty-six women
and 28 men (mean age 44.6 +/- 10.1, range: 22-61 years) were randomly assigned to
either group I with ES (n = 27) or group II without ES (n = 27). RESULTS:
Demographics and clinical, laboratory, and radiological characteristics of cysts
were not statistically different between two groups. Group I had a significant
decrease in bile leak rate compared with group II (11.1% versus 40.7%, P = .013),
with significantly shorter duration of hospital stay (P < .0001). Biliary fistula
in group I had significantly lower daily output (100 mL/day versus 350 mL/day)
with gradual reduction till stoppage of leak in 3-4 days without intervention.
Biliary fistula in group II had a significantly higher need for biliary
intervention through postoperative endoscopic retrograde cholangiopancreatography
with ES compared with biliary fistula in group I (FEP = .002), with significantly
longer mean time of fistula closure (P = .011) and longer time to drain removal
(P < .0001). Nonbiliary complications were comparable between two groups.
CONCLUSION: Prophylactic ES provides significant reduction in postoperative bile
leak rate with shorter hospital stay after partial cystectomy of hydatid cyst.
Biliary fistula in patients with ES has significantly lower daily output with
shorter time of drain removal and shorter time to closure than patients without
ES.
PMID- 29641367
TI - The Case for Simulation-Based Mastery Learning Education Courses for Practicing
Surgeons.
AB - INTRODUCTION: Pediatric surgeons rely on simulation courses to develop skills for
safe minimally invasive repair of complex congenital anomalies. The majority of
minimally invasive surgery (MIS) training courses occur during short "exposure
courses" at annual conferences. Little data are available to support the benefit
of these courses relative to the safe implementation of new skills. The purpose
of this article is to determine the impact of an exposure course for advanced
neonatal MIS on self-perceived comfort levels with independent performance of
advanced MISs. METHODS: Participants of a 4-hour hands-on course for neonatal MIS
were surveyed regarding clinical practices and pre- and post-training perceived
"comfort levels" of MIS skills for thoracoscopic esophageal atresia with
tracheoesophageal fistula (tTEF) repair, thoracoscopic left upper lobe pulmonary
lobectomy (tLobe), and laparoscopic duodenal atresia (lapDA) repair. Descriptive
analyses were performed. RESULTS: Seventeen participants completed pre- and
postcourse surveys. The majority of participants had no prior experience with
tLobe (59%) or lapDA (53%), and 35% had no experience with tTEF repair.
Similarly, the majority were "not comfortable" with these procedures. After the
short course, the majority of surgeons reported that they were "likely to
perform" these operations within 6 months, despite low levels of baseline
experience and comfort levels. CONCLUSION: An exposure training course led to
immediate perception of increased skills and confidence. However, these courses
typically do not provide basic tenets of expert performance that demands
deliberate practice. Future course design should transition to a mastery learning
framework wherein regular skill assessments, milestones, and unlimited education
time are prioritized before implementation of the new skills.
PMID- 29641368
TI - From Laparoscopic Pyeloplasty to Robot-Assisted Laparoscopic Pyeloplasty in
Primary and Reoperative Repairs for Ureteropelvic Junction Obstruction in
Children.
AB - PURPOSE: Robot-assisted laparoscopic pyeloplasty (RALP) and laparoscopic
pyeloplasty (LP) are both minimally invasive surgical options to correct
ureteropelvic junction obstruction in children. There are limited data reporting
surgeons' experience of switching from LP to RALP and comparing the outcomes of
the two procedures. METHODS: We conducted a retrospective study on 63 consecutive
children who underwent either LP or RALP by the same surgeon in a tertiary center
from January 2008 to November 2016. LP had been the standard practice until
January 2014 when it was replaced by RALP in short transition. RESULTS: Thirty
seven LP and 26 RALP were successfully performed in children aged 2 months to 16
years. There was no difference between the two groups in age, body weight,
laterality, clinical presentations, preoperative imagings, primary/reoperative
repairs. The overall success rates were 34/37 (91.9%) and 25/26 (96.2%) for LP
and RALP, respectively (P > .05). RALP was associated with shorter length of stay
(3.1 days versus 4.0 days; P = .03). Surgeon-in-training participation was
greater in RALP group (P < .001). There was no difference in operative time,
complication rates, and analgesic requirement between the two groups.
CONCLUSIONS: Surgeons proficient in LP can adapt quickly to RALP, achieving
comparable outcomes. Robotic technology may facilitate training on minimally
invasive pyeloplasty.
PMID- 29641369
TI - Preliminary Assessment of a Dry-Lab Model for Laparoscopic Percutaneous Inguinal
Ring Suture Training.
AB - INTRODUCTION: Laparoscopic percutaneous inguinal ring suturing (PIRS) is a
valuable technique to repair indirect inguinal hernias in children. The aim of
the study was to show the preliminary results of training in an easy-to-build dry
lab model for laparoscopic PIRS technique. MATERIALS AND METHODS: The dry-lab
model consists of two surgical gloves (being the smaller inside the larger). The
entry of the glove is tied with a rubber letting a 5-mm trocar within it (optic).
Carbon dioxide is inflated through the trocar at 8 mmHg pressure. The four long
fingers of the glove simulate four internal inguinal rings. Eighteen participants
without significant laparoscopic experience were asked to visualize a video
showing a laparoscopic PIRS performed in a 4-year-old girl with a right inguinal
hernia followed by a video showing the same technique performed in our dry-lab
model. They were then asked to repeat the procedure in each of the four fingers,
and the procedures were recorded. Two surgeons using the task-specific checklists
(TSCs), global rating scale of operative performance (GRS), and time for the
whole procedure evaluated the performance blindly. RESULTS: We found significant
improvement in median TSC (P < .05) and median GRS each time the procedure was
repeated (P < .05). There was significant shortening each time the procedure was
repeated (P < .05), except between the third and fourth finger (P = .068).
CONCLUSION: Our dry-lab model might be a good option for starting laparoscopic
PIRS training.
PMID- 29641370
TI - Laparoscopic-Assisted Transhiatal Esophagogastrectomy Without Thoracic or
Cervical Access: A Series of One Hundred Three Consecutive Cases.
AB - AIM: To evaluate short-term and long-term outcomes of laparoscopic-assisted
transhiatal esophagogastrectomy (LTEG) for treatment of adenocarcinoma of the
esophagogastric junction (AEG). METHODS: Patients with AEG who underwent
laparoscopic or open surgery at our department from October 2008 to December 2012
were enrolled in this retrospective study. Patients' demographics, perioperative
outcomes, and survival data were collected. RESULTS: A total of 136 patients with
AEG were enrolled (103 patients underwent laparoscopic surgery and 33 patients
underwent open surgery). Patient characteristics were comparable between two
groups in terms of age, gender, tumor-node-metastasis stage, tumor size,
preoperative complications, and type of surgery. The median operative time was
longer in laparoscopic group (240 versus 210 minutes, P = .048). However, the
estimated blood loss was less, and the rate of pleural rupture was lower in
laparoscopic group (20 versus 70 mL, P < .001 and 18.4% versus 36.4%, P = .033,
respectively). The rate of patients with pleural rupture requiring prolonged use
of mechanical ventilation longer than 12 hours (6/31, 19.4%) was higher than that
of patients without pleural rupture (6/105, 5.7%) (P = .019). The incidence of
reflux symptoms at postoperative month six was similar in two groups (18.4% in
laparoscopic group versus 24.2% in open group, P = .468), as well as the use of
proton pump inhibitors (12.6% versus 15.2%, P = .709). Furthermore, the number of
lymph nodes harvested (22 versus 25), 2-year cumulative overall survival rates
(80.4% versus 57.5%), and the median survival times (51.52 months versus 24.24
months) were similar between two groups (P > .05). CONCLUSION: LTEG is a safe,
feasible, and oncologically effective procedure for AEG when performed by an
experienced surgeon. Laparoscopic surgery is associated with a lower risk of
pleural rupture, but pleural rupture in laparoscopic surgery may cause an adverse
effect on the recovery of pulmonary function presumably due to tension
pneumothorax.
PMID- 29641371
TI - Thoracoscopy Versus Open Surgery for Persistent Ductus Arteriosus and Vascular
Ring Anomaly in Neonates and Infants.
AB - BACKGROUND: The aim of our study was to report our experience in thoracoscopy in
infants and neonates for vascular surgical conditions in neonates and infants and
to compare our results to open surgery regarding the short-term outcome. PATIENTS
AND METHODS: We retrospectively reviewed all the patients operated in a single
institution from 1997 to 2016 for persistent ductus arteriosus (PDA) and vascular
ring (VR) anomalies. We compared our thoracoscopic series to a historical control
group operated by open surgery. Data collection from charts and office notes
included age and weight at surgery, cardiac ultrasound data for PDA, preoperative
clinical symptoms for VR, type of surgery, operating time, analgesic treatment
requirements, ventilation status during postoperative course, and early
complications. RESULTS: The thoracoscopic group included 13 PDA (median age and
weight at surgery: 34 days and 1800 g) and 11 VR (median age and weight at
surgery: 8 months and 7000 g). The thoracoscopic group did not differ in
preoperative symptoms and work-up, operating time, ventilation status, length of
hospital-stay, and postoperative complications with the group operated on by
thoracotomy, for either PDA or VR. CONCLUSION: Our short-term results in
thoracoscopic PDA closure and VR anomalies surgery in neonates and infants are
comparable to open surgery. Thoracoscopy seems to provide less pain especially
for neonates and premature babies and allows to decrease the risk for
postoperative chest wall deformities. Long-term outcome is mandatory to confirm
these preliminary results.
PMID- 29641373
TI - Response to Cao et al. re: Comparison of Surgical Outcomes Between Resection and
Enucleation in Robot-Assisted Laparoscopic Partial Nephrectomy for Renal Tumors
According to the Surface-Intermediate-Base Margin Score: A Propensity Score
Matched Study.
PMID- 29641372
TI - Feasibility of Laparoscopic Resection of Gastrointestinal Stromal Tumor of the
Stomach.
AB - BACKGROUND: Gastrointestinal stromal tumors (GISTs) are the most common
mesenchymal tumors of the gastrointestinal tract. Complete surgical resection of
localized GISTs is the only chance of cure for patients. Laparoscopic resections
(LAP) have been widely accepted as a reasonable approach to treat gastric GISTs.
The current study compares operative outcomes of laparoscopic and open resection
of gastric GISTs. MATERIALS AND METHODS: We retrospectively reviewed patients
with primary gastric GISTs who underwent surgical resection between 2003 and
2015. RESULTS: Of a total of 89 patients, 24 (27%) patients underwent open
resection (OPEN), and 65 (73%) underwent LAP. LAP or OPEN did not differ with
respect to gender, body mass index, and age. Median blood loss was significantly
lower in LAP than in OPEN resection (32.5 mL versus 100 mL, P < .01). Both tumor
location and median operative time were comparable between LAP and OPEN (108
versus 108 min, P = .93). Median tumor size in OPEN was significantly larger than
LAP tumors (6.5 versus 3.8 cm, P < .01). LAP resection yielded a shorter hospital
stay (3.0 versus 6.0 days P < .01) and lower 30-day readmission rate (17% versus
0%; P < .01). Complication rates were 9% after LAP and 12% after OPEN (P = .652).
Two patients in each group died during the study period. Kaplan-Meier analysis
for overall survival showed no significant difference between LAP and OPEN (P =
.23). CONCLUSIONS: LAP of gastric GISTs resulted in similar operative time and
survival rate, but shorter hospital stay compared with open resection.
Consequently, whenever possible, the laparoscopic approach should be preferably
used for treatment of gastric GISTs. However, advanced tumor stage might dictate
the need for open procedure with expected worse results.
PMID- 29641374
TI - Feasibility of medication monitoring sensors in high risk asthmatic children.
PMID- 29641376
TI - Subject-Independent ERP-Based Brain-Computer Interfaces.
AB - Brain-computer interfaces (BCIs) are desirable for people to express their
thoughts, especially those with profound disabilities in communication. The
classification of brain patterns for each different subject requires an
extensively time-consuming learning stage specific to that person, in order to
reach satisfactory accuracy performance. The training session could also be
infeasible for disabled patients as they may not fully understand the training
instructions. In this paper, we propose a unified classification scheme based on
ensemble classifier, dynamic stopping, and adaptive learning. We apply this
scheme on the P300-based BCI, with the subject-independent manner, where no
learning session is required for new experimental users. According to our
theoretical analysis and empirical results, the harmonized integration of these
three methods can significantly boost up the average accuracy from 75.00% to
91.26%, while at the same time reduce the average spelling time from 12.62 to
6.78 iterations, approximately to two-fold faster. The experiments were conducted
on a large public dataset which had been used in other related studies. Direct
comparisons between our work with the others' are also reported in details.
PMID- 29641375
TI - Postharvest control of anthracnose lesions and its causative agent,
Colletotrichum musae by some oils.
AB - Anthracnose of banana is incited by Colletotrichum musae. It is recognized as
one the most destructive diseases of mature and immature banana fruits, resulting
in huge economic losses all over the world. Present research deals with screening
some oils both in vitro and in vivo for their antifungal activity against
C.musae. Clove oil (0.1ul/ml) completely arrested the conidial germination and
mycelial growth of C. musae. Fenugreek and almond oil exhibited significant
inhibition of mycelial growth, 61% and 57% at a concentration of 2ul/ml. However,
olive oil was least inhibitory on the test fungi. Clove oil also a showed marked
reduction in anthracnose lesions on banana fruits, thereby suggesting disease
control. Scanning electron microscopy revealed severely damaged mycelium and
conidia. FTIR studies show the presence of important bands representing phenols,
terpenes, aldehydes, and ketones. Based on our findings; clove, fenugreek and
almond oil demonstrated fungicidal and fungistatic activities against anthracnose
pathogen. Hence, these oils can be considered as potential alternatives to
chemical treatments.
PMID- 29641377
TI - Cognitive Behavior Classification From Scalp EEG Signals.
AB - Electroencephalography (EEG) has become increasingly valuable outside of its
traditional use in neurology. EEG is now used for neuropsychiatric diagnosis,
neurological evaluation of traumatic brain injury, neurotherapy, gaming,
neurofeedback, mindfulness, and cognitive enhancement training. The trend to
increase the number of EEG electrodes, the development of novel analytical
methods, and the availability of large data sets has created a data analysis
challenge to find the "signal of interest" that conveys the most information
about ongoing cognitive effort. Accordingly, we compare three common types of
neural synchrony measures that are applied to EEG-power analysis, phase locking,
and phase-amplitude coupling to assess which analytical measure provides the best
separation between EEG signals that were recorded, while healthy subjects
performed eight cognitive tasks-Hopkins Verbal Learning Test and its delayed
version, Stroop Test, Symbol Digit Modality Test, Controlled Oral Word
Association Test, Trail Marking Test, Digit Span Test, and Benton Visual
Retention Test. We find that of the three analytical methods, phase-amplitude
coupling, specifically theta (4-7 Hz)-high gamma (70-90 Hz) obtained from frontal
and parietal EEG electrodes provides both the largest separation between the EEG
during cognitive tasks and also the highest classification accuracy between pairs
of tasks. We also find that phase-locking analysis provides the most distinct
clustering of tasks based on their utilization of long-term memory. Finally, we
show that phase-amplitude coupling is the least sensitive to contamination by
intense jaw-clenching muscle artifact.
PMID- 29641378
TI - Functional Connectivity Analysis of Mental Fatigue Reveals Different Network
Topological Alterations Between Driving and Vigilance Tasks.
AB - Despite the apparent importance of mental fatigue detection, a reliable
application is hindered due to the incomprehensive understanding of the neural
mechanisms of mental fatigue. In this paper, we investigated the topological
alterations of functional brain networks in the theta band (4 - 7 Hz) of
electroencephalography (EEG) data from 40 male subjects undergoing two distinct
fatigue-inducing tasks: a low-intensity one-hour simulated driving and a high
demanding half-hour sustained attention task [psychomotor vigilance task (PVT)].
Behaviorally, subjects demonstrated a robust mental fatigue effect, as reflected
by significantly declined performances in cognitive tasks prior and post these
two tasks. Furthermore, characteristic path length presented a positive
correlation with task duration, which led to a significant increase between the
first and the last five minutes of both tasks, indicating a fatigue-related
disruption in information processing efficiency. However, significantly increased
clustering coefficient was revealed only in the driving task, suggesting distinct
network reorganizations between the two fatigue-inducing tasks. Moreover, high
accuracy (92% for driving; 97% for PVT) was achieved for fatigue classification
with apparently different discriminative functional connectivity features. These
findings augment our understanding of the complex nature of fatigue-related
neural mechanisms and demonstrate the feasibility of using functional
connectivity as neural biomarkers for applicable fatigue monitoring.
PMID- 29641379
TI - Contact Pressure and Flexibility of Multipin Dry EEG Electrodes.
AB - In state-of-the-art electroencephalography (EEG) Silver/Silver-Chloride
electrodes are applied together with electrolyte gels or pastes. Their
application requires extensive preparation, trained medical staff and limits
measurement time and mobility. We recently proposed a novel multichannel cap
system for dry EEG electrodes for mobile and out-of-the-lab EEG acquisition.
During the tests with these novel polymer-based multipin dry electrodes, we
observed that the quality of the recording depends on the applied normal force
and resulting contact pressure. Consequently, in this paper we systematically
investigate the influence of electrode-skin contact pressure and electrode
substrate flexibility on interfacial impedance and perceived wearing comfort in a
study on 12 volunteers. The normal force applied to the electrode was varied
between the minimum required force to achieve impedances and a maximum of 4 N,
using a new force measurement applicator. We found that for a polymer shore
hardness A98, with increasing normal force, the impedance decreases from and to
and at frontal hairless and temporal hairy positions, respectively. Similar
results were obtained for shore A90, A80, and A70. The best compromise of low and
stable impedances as well as a good wearing comfort was determined for applied
normal forces between 2 and 3 N using electrodes with shore A98 or A90. Our
results provide the basis for improved EEG cap designs with optimal wearing
comfort and recording quality for dry multipin electrodes, which will enable new
fields of application for EEG.
PMID- 29641380
TI - A Deep Learning Architecture for Temporal Sleep Stage Classification Using
Multivariate and Multimodal Time Series.
AB - Sleep stage classification constitutes an important preliminary exam in the
diagnosis of sleep disorders. It is traditionally performed by a sleep expert who
assigns to each 30 s of the signal of a sleep stage, based on the visual
inspection of signals such as electroencephalograms (EEGs), electrooculograms
(EOGs), electrocardiograms, and electromyograms (EMGs). We introduce here the
first deep learning approach for sleep stage classification that learns end-to
end without computing spectrograms or extracting handcrafted features, that
exploits all multivariate and multimodal polysomnography (PSG) signals (EEG, EMG,
and EOG), and that can exploit the temporal context of each 30-s window of data.
For each modality, the first layer learns linear spatial filters that exploit the
array of sensors to increase the signal-to-noise ratio, and the last layer feeds
the learnt representation to a softmax classifier. Our model is compared to
alternative automatic approaches based on convolutional networks or decisions
trees. Results obtained on 61 publicly available PSG records with up to 20 EEG
channels demonstrate that our network architecture yields the state-of-the-art
performance. Our study reveals a number of insights on the spatiotemporal
distribution of the signal of interest: a good tradeoff for optimal
classification performance measured with balanced accuracy is to use 6 EEG with 2
EOG (left and right) and 3 EMG chin channels. Also exploiting 1 min of data
before and after each data segment offers the strongest improvement when a
limited number of channels are available. As sleep experts, our system exploits
the multivariate and multimodal nature of PSG signals in order to deliver the
state-of-the-art classification performance with a small computational cost.
PMID- 29641381
TI - Anomaly Detection of Electromyographic Signals.
AB - In this paper, we provide a robust framework to detect anomalous
electromyographic (EMG) signals and identify contamination types. As a first step
for feature selection, optimally selected Lawton wavelets transform is applied.
Robust principal component analysis (rPCA) is then performed on these wavelet
coefficients to obtain features in a lower dimension. The rPCA based features are
used for constructing a self-organizing map (SOM). Finally, hierarchical
clustering is applied on the SOM that separates anomalous signals residing in the
smaller clusters and breaks them into logical units for contamination
identification. The proposed methodology is tested using synthetic and real world
EMG signals. The synthetic EMG signals are generated using a heteroscedastic
process mimicking desired experimental setups. A sub-part of these synthetic
signals is introduced with anomalies. These results are followed with real EMG
signals introduced with synthetic anomalies. Finally, a heterogeneous real world
data set is used with known quality issues under an unsupervised setting. The
framework provides recall of 90% (+/- 3.3) and precision of 99%(+/-0.4).
PMID- 29641382
TI - Motor Skill Development Alters Kinematics and Co-Activation Between Flexors and
Extensors of Limbs in Human Infant Crawling.
AB - Hands and knees crawling is an important motor developmental milestone but the
current clinical measures of motor function during crawling stage are relatively
subjective. Objective metrics using kinematics and electromyography (EMG) in
infant crawling may provide more stable and accurate measures of such
developmental milestone, demonstrating changes in locomotion during age span. The
purpose of this paper was to determine whether joint kinematics and the
underlying co-activation between flexor and extensor in infant crawling are
different for arms and legs across the infant age span. Surface EMG of two pairs
of flexors and extensors from arms and legs and the corresponding joint kinematic
data were collected in twenty health infants (11 males and 9 females, range 8-15
months), while they were crawling on hands and knees. Co-activation index of
averaged EMG was used to quantify the simultaneous contractions between flexor
and extensor muscles. Coefficient of variation of joint's maximum vertical
acceleration from multiple cycles was used to quantify the repeatability of
kinematics during crawling. Our results indicated that the arm exhibited
significantly higher co-activation and higher repeatability of joint movement
than the leg, suggesting earlier development of arm compared to leg. Moreover,
elder age groups, who had stronger walking ability developed, showed increased co
activation of the leg and significant increase in repeatability of the knee
movement. These results were consistent with the rapid reinforcement of the leg
during motor development from quadrupeds to bipedal walking. Furthermore, the EMG
and kinematic parameters were significantly correlated with clinical variables.
These results suggest that the EMG and kinematic analysis of infant crawling are
useful in building effective assessment of infant's motor function before
independent walking.
PMID- 29641383
TI - A Unified Controller for Walking on Even and Uneven Terrain With a Powered Ankle
Prosthesis.
AB - This paper describes the development of a controller for a powered ankle
prosthesis that is intended to provide appropriate biomechanical behavior for
walking on both even and uneven terrain without having to explicitly detect local
slope to do so. In order to inform development of the controller, the authors
conducted a small study of five healthy subjects walking on even and uneven
terrain. Data from the healthy subject study were used to formulate behavioral
models for the healthy ankle, which were then implemented as controller behaviors
in the powered prosthesis prototype and comparatively assessed on an amputee
subject.
PMID- 29641384
TI - Usability and Validation of the Smarter Balance System: An Unsupervised Dynamic
Balance Exercises System for Individuals With Parkinson's Disease.
AB - Conventional physical and balance rehabilitation programs to improve balance
performance and increase postural stability are often limited due to cost,
availability of physical therapists, and accessibility to rehabilitation
facilities. Exercise compliance is also affected by a loss of memory and decline
in motivation in prescribed home-based balance training. We have developed the
smarter balance system (SBS) incorporating multimodal biofeedback (visual plus
vibrotactile) intended for clinical and home-based balance rehabilitation and
assessed its efficacy on physical therapists' recommended dynamic weight-shifting
balance exercises (dynamic WSBE) in individuals with Parkinson's disease (PD).
The SBS consists of a smartphone and custom belt housing a processing unit,
miniaturized sensors, and vibrating actuators (tactors). Visual and vibrotactile
biofeedback guidance during dynamic WSBE is generated by the SBS's custom app
based on 90% of the user's limits of stability (LOS). Ten individuals with
idiopathic PD having impaired postural stability participated in one unsupervised
session comprising 24 trials of the dynamic WSBE in a laboratory setting.
Participants' limits of stability (LOS) in the anterior-posterior (A/P) and
medial-lateral (M/L) direction were measured at the pre- and post-session. To
assess the efficacy of SBS to provide guidance during balance rehabilitation
using dynamic WSBE, cross-correlation (XCOR), position error (PE), and percent of
tactor activation (PTA) were measured. There was a significant increase in LOS
between the pre- and post-training session in both A/P and M/L directions. The
average XCOR across all participants were 0.87 (SD = 0.11) and 0.76 (SD = 0.11)
for the A/P and M/L direction respectively. The average PE and PTA for the A/P
direction was 1.17 deg (SD = 0.60) and 65.35% (SD = 15.1) respectively and 0.74
deg (SD = 0.28) and 31.3% (SD = 16.42) in the M/L direction respectively. There
was no significant effect of trials for XCOR, PE, and PTA. Participants' LOS
significantly increased after one session of the dynamic WSBE. Individuals with
PD could accurately follow the target movements during the dynamic WSBE using the
SBS. Future studies will assess the efficacy and acceptability of the SBS during
long-term in-home rehabilitative training for balance-impaired individuals.
PMID- 29641385
TI - EMG-Torque Dynamics Change With Contraction Bandwidth.
AB - An accurate model for ElectroMyoGram (EMG)-torque dynamics has many uses. One of
its applications which has gained high attention among researchers is its use, in
estimating the muscle contraction level for the efficient control of prosthesis.
In this paper, the dynamic relationship between the surface EMG and torque during
isometric contractions at the human ankle was studied using system identification
techniques. Subjects voluntarily modulated their ankle torque in dorsiflexion
direction, by activating their tibialis anterior muscle, while tracking a pseudo
random binary sequence in a torque matching task. The effects of contraction
bandwidth, described by torque spectrum, on EMG-torque dynamics were evaluated by
varying the visual command switching time. Nonparametric impulse response
functions (IRF) were estimated between the processed surface EMG and torque. It
was demonstrated that: 1) at low contraction bandwidths, the identified IRFs had
unphysiological anticipatory (i.e., non-causal) components, whose amplitude
decreased as the contraction bandwidth increased. We hypothesized that this non
causal behavior arose, because the EMG input contained a component due to
feedback from the output torque, i.e., it was recorded from within a closed-loop.
Vision was not the feedback source since the non-causal behavior persisted when
visual feedback was removed. Repeating the identification using a nonparametric
closed-loop identification algorithm yielded causal IRFs at all bandwidths,
supporting this hypothesis. 2) EMG-torque dynamics became faster and the
bandwidth of system increased as contraction modulation rate increased. Thus,
accurate prediction of torque from EMG signals must take into account the
contraction bandwidth sensitivity of this system.
PMID- 29641386
TI - Differential Inverse Kinematics of a Redundant 4R Exoskeleton Shoulder Joint.
AB - Most active upper-extremity rehabilitation exoskeleton designs incorporate a
three sequential rotational shoulder joint with orthogonal axes. This kind of
joint has poor conditioning close to singular configurations when all joint axes
become coplanar, which reduces its effective range of motion. We investigate an
alternative approach of using a redundant non-orthogonal 4R shoulder joint. By
inspecting the behavior of the possible nullspace motions, a new method is
devised to resolve the redundancy in the differential inverse kinematics (IK)
problem. A 1D nullspace global attraction method is used, instead of naive
nullspace projection, to guarantee proper convergence. The design of the
exoskeleton and the proposed IK method ensure good conditioning, avoid collisions
with the human head, arm and trunk, can reach the entire human workspace, and
outperforms conventional 3R orthogonal exoskeleton designs in terms of lower
joint velocities and no body collisions.
PMID- 29641387
TI - An Optimal Method of Training the Specific Lower Limb Muscle Group Using an
Exoskeletal Robot.
AB - This paper suggests a novel method of strengthening specific muscle groups in the
lower limb during a functional movement. When the foot of an user wearing an
exoskeletal robot follows a given path, the contribution of each muscle group to
generate the motion changes along the path of the trajectory. The efficiency of
muscle training, which is defined as the ratio of the work of a specific muscle
group to that of all groups, can be maximized by changing the training load along
the path. Based on a musculoskeletal model, the contribution of each muscle group
along the path can be calculated as a function of its position. When a specific
muscle group is chosen for exercise or rehabilitation, the efficiency of training
can be maximized by setting high load where its contribution is high and low load
where its contribution is low. By doing so, the user can exercise longer with the
same amount of energy consumption. The EXOWheel that features a lower limb
exoskeleton is employed to verify the method and the hamstring muscle group is
selected as the specific muscle group. Three healthy subjects participate in the
experiment, and electromyogram sensors are employed to monitor the muscle power.
The results indicate that the efficiency of the hamstring muscle group for a
given circular foot path under the optimal training load is 46.2% compared with
32.5% with a constant load and it means the 42% higher efficiency of the specific
muscle group training.
PMID- 29641388
TI - Focal Vibration Stretches Muscle Fibers by Producing Muscle Waves.
AB - Focal vibration is an effective intervention for the management of spasticity.
However, its neuromechanical effects, particularly how tonic vibration reflex is
induced explicitly, remain implicit. In this paper, we utilize a high-speed
camera and a method of image processing to quantify the muscle vibration
rigorously and disclose the neuromechanical mechanism of focal vibration. The
vibration of 75 Hz is applied on the muscle belly of the biceps brachii and
muscle responses are captured by a high-speed camera in profile. The muscle
silhouettes are identified by the Canny edge detector to represent the stretch of
muscle fibers, and the consistency between the muscle stretch and profile
deformation has been confirmed by the magnetic resonance imaging in advance.
Oscillations of muscle points discretized by pixels are identified by the fast
Fourier transformation, respectively, and results demonstrate that focal
vibration stretches muscle by producing muscle waves. Specifically, each point
vibrates harmonically, and, given the linear phase modulation with transverse
position, the muscle vibration propagates as traveling waves. The propagation of
muscle waves is associated with muscle stretch, whose frequency is the same with
the vibrator due to the curved baseline, and thus induces the tonic vibration
reflex via spinal circuits.
PMID- 29641389
TI - Retraining of Human Gait - Are Lightweight Cable-Driven Leg Exoskeleton Designs
Effective?
AB - Exoskeletons for gait training commonly use a rigid-linked "skeleton" which makes
them heavy and bulky. Cable-driven exoskeletons eliminate the rigid-linked
skeleton, providing a lighter and transparent design. Current cable-driven
exoskeletons are aimed only at gait assistance by providing short bursts of
forces to the leg during walking. It has not yet been shown if these designs are
suitable for gait retraining, where rehabilitative forces need to be continuously
applied to the leg in response to errors from a desired movement. The goal of
this study is to investigate if a cable-driven leg exoskeleton can retrain the
gait of human users. Nine healthy subjects were trained by a cable-driven leg
exoskeleton to walk in a new gait pattern with 30% increase in step height from
their natural gait. After 40 min of training, the gait of the subjects became
significantly closer to the target gait than before the training. In three
different post-training sessions, the step height of the subjects increased by
22%, 29%, and 31% on an average. In a fourth post-training session, when the
subjects were instructed to ignore the training and walk naturally, the step
height remained increased by 11%. These results confirm the potential of cable
driven designs in gait training applications.
PMID- 29641390
TI - Simultaneous Recognition and Assessment of Post-Stroke Hemiparetic Gait by Fusing
Kinematic, Kinetic, and Electrophysiological Data.
AB - Gait analysis for the patients with lower limb motor dysfunction is a useful tool
in assisting clinicians for diagnosis, assessment, and rehabilitation strategy
making. Implementing accurate automatic gait analysis for the hemiparetic
patients after stroke is a great challenge in clinical practice. This study is to
develop a new automatic gait analysis system for qualitatively recognizing and
quantitatively assessing the gait abnormality of the post-stroke hemiparetic
patients. Twenty-one post-stroke patients and twenty-one healthy volunteers
participated in the walking trials. Three of the most representative gait data,
i.e., marker trajectory (MT), ground reaction force (GRF), and electromyogram,
were simultaneously acquired from these subjects during their walking. A
multimodal fusion architecture is established by using these different modal data
to qualitatively distinguish the hemiparetic gait from normal gait by different
pattern recognition techniques and to quantitatively estimate the patient's lower
limb motor function by a novel probability-based gait score. Seven decision
fusion algorithms have been tested in this architecture, and extensive data
analysis experiments have been conducted. The results indicate that the
recognition performance and estimation performance of the system become better
when more modal gait data are fused. For the recognition performance, the random
forest classifier based on the GRF data achieves an accuracy of 92.26%
outperformed other single-modal schemes. When combining two modal data, the
accuracy can be enhanced to 95.83% by using the support vector machine (SVM)
fusion algorithm to fuse the MT and GRF data. When integrating all the three
modal data, the accuracy can be further improved to 98.21% by using the SVM
fusion algorithm. For the estimation performance, the absolute values of the
correlation coefficients between the estimation results of the above three
schemes and the Wisconsin gait scale scores for the post-stroke patients are
0.63, 0.75, and 0.84, respectively, which means the clinical relevance becomes
more obvious when using more modalities. These promising results demonstrate that
the proposed method has considerable potential to promote the future design of
automatic gait analysis systems for clinical practice.
PMID- 29641391
TI - Synthesis of Subject-Specific Human Balance Responses Using a Task-Level
Neuromuscular Control Platform.
AB - Many activities of daily living require a high level of neuromuscular
coordination and balance control to avoid falls. Complex musculoskeletal models
paired with detailed neuromuscular simulations complement experimental studies
and uncover principles of coordinated and uncoordinated movements. Here, we
created a closed-loop forward dynamic simulation framework that utilizes a
detailed musculoskeletal model (19 degrees of freedom, and 92 muscles) to
synthesize human balance responses after support-surface perturbation. In
addition, surrogate response models of task-level experimental kinematics from
two healthy subjects were provided as inputs to our closed-loop simulations to
inform the design of the task-level controller. The predicted muscle activations
and the resulting synthesized subject joint angles showed good conformity with
the average of experimental trials. The simulated whole-body center of mass
displacements, generated from a single kinematics trial per perturbation
direction, were on average, within 7 mm (anterior perturbations) and 13 mm
(posterior perturbations) of experimental displacements. Our results confirmed
how a complex subject-specific movement can be reconstructed by sequencing and
prioritizing multiple task-level commands to achieve desired movements. By
combining the multidisciplinary approaches of robotics and biomechanics, the
platform demonstrated here offers great potential for studying human movement
control and subject-specific outcome prediction.
PMID- 29641392
TI - A Noninvasive Brain-Computer Interface for Real-Time Speech Synthesis: The
Importance of Multimodal Feedback.
AB - We conducted a study of a motor imagery brain-computer interface (BCI) using
electroencephalography to continuously control a formant frequency speech
synthesizer with instantaneous auditory and visual feedback. Over a three-session
training period, sixteen participants learned to control the BCI for production
of three vowel sounds (/ textipa i/ [heed], / textipa A/ [hot], and / textipa u/
[who'd]) and were split into three groups: those receiving unimodal auditory
feedback of synthesized speech, those receiving unimodal visual feedback of
formant frequencies, and those receiving multimodal, audio-visual (AV) feedback.
Audio feedback was provided by a formant frequency artificial speech synthesizer,
and visual feedback was given as a 2-D cursor on a graphical representation of
the plane defined by the first two formant frequencies. We found that combined AV
feedback led to the greatest performance in terms of percent accuracy, distance
to target, and movement time to target compared with either unimodal feedback of
auditory or visual information. These results indicate that performance is
enhanced when multimodal feedback is meaningful for the BCI task goals, rather
than as a generic biofeedback signal of BCI progress.
PMID- 29641393
TI - How are Muscle Synergies Affected by Electromyography Pre-Processing?
AB - Muscle synergies have been used for decades to explain a variety of motor
behaviors, both in humans and animals and, more recently, to steer rehabilitation
strategies. However, many sources of variability such as factorization
algorithms, criteria for dimensionality reduction and data pre-processing
constitute a major obstacle to the successful comparison of the results obtained
by different research groups. Starting from the canonical EMG processing we
determined how variations in filter cut-off frequencies and normalization
methods, commonly found in literature, affect synergy weights and inter-subject
similarity (ISS) using experimental data related to a 15-muscles upper-limb
reaching task. Synergy weights were not significantly altered by either
normalization (maximum voluntary contraction - MVC - or maximum amplitude of the
signal - SELF) or band-pass filter ([20-500 Hz] or [50-500] Hz). Normalization
did, however, alter the amount of variance explained by a set of synergies, which
is a criterion often used for model order selection. Comparing different low-pass
(LP) filters (0.5 Hz, 4 Hz, 10 Hz, 20 Hz cut-offs) we showed that increasing the
low pass filter cut-off had the effect of decreasing the variance accounted for
by a set number of synergies and affected individual muscle contributions.
Extreme smoothing (i.e., LP cut-off 0.5 Hz) enhanced the contrast between active
and inactive muscles but had an unpredictable effect on the ISS. The results
presented here constitute a further step towards a thoughtful EMG pre-processing
for the extraction of muscle synergies.
PMID- 29641394
TI - Voluntary Control of Residual Antagonistic Muscles in Transtibial Amputees:
Feedforward Ballistic Contractions and Implications for Direct Neural Control of
Powered Lower Limb Prostheses.
AB - Discrete, rapid (i.e., ballistic like) muscle activation patterns have been
observed in ankle muscles (i.e., plantar flexors and dorsiflexors) of able-bodied
individuals during voluntary posture control. This observation motivated us to
investigate whether transtibial amputees are capable of generating such a
ballistic-like activation pattern accurately using their residual ankle muscles
in order to assess whether the volitional postural control of a powered ankle
prosthesis using proportional myoelectric control via residual muscles could be
feasible. In this paper, we asked ten transtibial amputees to generate ballistic
like activation patterns using their residual lateral gastrocnemius and residual
tibialis anterior to control a computer cursor via proportional myoelectric
control to hit targets positioned at 20% and 40% of maximum voluntary contraction
of the corresponding residual muscle. During practice conditions, we asked
amputees to hit a single target repeatedly. During testing conditions, we asked
amputees to hit a random sequence of targets. We compared movement time to target
and end-point accuracy. We also examined motor recruitment synchronization via
time-frequency representations of residual muscle activation. The result showed
that median end-point error ranged from -0.6% to 1% maximum voluntary contraction
across subjects during practice, which was significantly lower compared to
testing ( ). Average movement time for all amputees was 242 ms during practice
and 272 ms during testing. Motor recruitment synchronization varied across
subjects, and amputees with the highest synchronization achieved the fastest
movement times. End-point accuracy was independent of movement time. Results
suggest that it is feasible for transtibial amputees to generate ballistic
control signals using their residual muscles. Future work on volitional control
of powered power ankle prostheses might consider anticipatory postural control
based on ballistic-like residual muscle activation patterns and direct continuous
proportional myoelectric control.
PMID- 29641395
TI - Influence of Elbow Flexion and Stimulation Site on Neuromuscular Electrical
Stimulation of the Biceps Brachii.
AB - Functional electrical stimulation (FES) can help individuals with physical
disabilities by assisting limb movement; however, the change in muscle geometry
associated with limb movement may affect the response to stimulation. The aim of
this paper was to quantify the effects of elbow flexion and stimulation site on
muscle torque production. Contraction torque about the elbow was measured in 12
healthy individuals using a custom elbow flexion testbed and a transcutaneous
electrode array. Stimulation was delivered to six distinct sites along the biceps
brachii over 11 elbow flexion angles. Flexion angle was found to significantly
influence the optimal (i.e., torque-maximizing) stimulation site ( ), with post
hoc analysis indicating a proximal shift in optimal stimulation site with
increased flexion. Similarly, the biceps stimulation site was found to
significantly influence the flexion angle at which peak torque occurred ( ), with
post hoc analysis indicating an increase in peak-torque flexion angle as
stimulation site is moved proximally up the biceps. Since maximizing muscle force
per unit stimulation is a common goal in rehabilitative FES, future efforts could
examine methods which compensate for the shift in optimal stimulation site during
FES-induced limb movement.
PMID- 29641396
TI - Toward Optimization of Gaze-Controlled Human-Computer Interaction: Application to
Hindi Virtual Keyboard for Stroke Patients.
AB - Virtual keyboard applications and alternative communication devices provide new
means of communication to assist disabled people. To date, virtual keyboard
optimization schemes based on script-specific information, along with multimodal
input access facility, are limited. In this paper, we propose a novel method for
optimizing the position of the displayed items for gaze-controlled tree-based
menu selection systems by considering a combination of letter frequency and
command selection time. The optimized graphical user interface layout has been
designed for a Hindi language virtual keyboard based on a menu wherein 10
commands provide access to type 88 different characters, along with additional
text editing commands. The system can be controlled in two different modes: eye
tracking alone and eye-tracking with an access soft-switch. Five different
keyboard layouts have been presented and evaluated with ten healthy participants.
Furthermore, the two best performing keyboard layouts have been evaluated with
eye-tracking alone on ten stroke patients. The overall performance analysis
demonstrated significantly superior typing performance, high usability (87% SUS
score), and low workload (NASA TLX with 17 scores) for the letter frequency and
time-based organization with script specific arrangement design. This paper
represents the first optimized gaze-controlled Hindi virtual keyboard, which can
be extended to other languages.
PMID- 29641397
TI - Adaptive Residual Networks for High-Quality Image Restoration.
AB - Image restoration methods based on convolutional neural networks have shown great
success in the literature. However, since most of networks are not deep enough,
there is still some room for the performance improvement. On the other hand,
though some models are deep and introduce shortcuts for easy training, they
ignore the importance of location and scaling of different inputs within the
shortcuts. As a result, existing networks can only handle one specific image
restoration application. To address such problems, we propose a novel adaptive
residual network (ARN) for high-quality image restoration in this paper. Our ARN
is a deep residual network, which is composed of convolutional layers, parametric
rectified linear unit layers, and some adaptive shortcuts. We assign different
scaling parameters to different inputs of the shortcuts, where the scaling is
considered as part parameters of the ARN and trained adaptively according to
different applications. Due to the special construction of ARN, it can solve many
image restoration problems and have superior performance. We demonstrate its
capabilities with three representative applications, including Gaussian image
denoising, single image super resolution, and JPEG image deblocking. Experimental
results prove that our model greatly outperforms numerous state-of-the-art
restoration methods in terms of both peak signal-to-noise ratio and structure
similarity index metrics, e.g., it achieves 0.2-0.3 dB gain in average compared
with the second best method at a wide range of situations.
PMID- 29641398
TI - Visual Navigation Using Projection of Spatial Right-Angle In Indoor Environment.
AB - Helping robots understand indoor scenes has considerable value in computer
vision. However, due to the diversity of indoor scenes, understanding them
remains a big challenge. There are many spatial right-angles in indoor scenes.
These spatial right-angles are projected into diverse 2D projections. These
projections can be considered a composition of a pair of lines (line-pairs).
Given the vanishing points (VPs), line segments can be assigned to 1 of 3 main
orthogonal directions. The line-pairs (intersection of 2 lines), such that each
of them converges to a different VP, are likely to be the projection of a spatial
right-angle onto the image plane. These projections may enable us to estimate
their original orientation and position in 3D scenes. In this paper, we presented
a method to efficiently understand indoor scenes from a single image, without
training or any knowledge of the camera's internal calibration. Through geometric
inference of line-pairs, it is possible to find these spatial right-angle
projections. Then, these projections can be assigned to different clusters, and
the line that lies in the neighbor-cluster helps us estimate the layout of the
indoor scene. The proposed approach required no prior training. We compared the
room layout estimated by our algorithm against the room box ground truth,
measuring the percentage of pixels that were correctly classified. These
experiments showed that our method estimated not only room layout, but also
details of the indoor scene.
PMID- 29641399
TI - Learning-Based Just-Noticeable-Quantization- Distortion Modeling for Perceptual
Video Coding.
AB - Conventional predictive video coding-based approaches are reaching the limit of
their potential coding efficiency improvements, because of severely increasing
computation complexity. As an alternative approach, perceptual video coding (PVC)
has attempted to achieve high coding efficiency by eliminating perceptual
redundancy, using just-noticeable-distortion (JND) directed PVC. The previous
JNDs were modeled by adding white Gaussian noise or specific signal patterns into
the original images, which were not appropriate in finding JND thresholds due to
distortion with energy reduction. In this paper, we present a novel discrete
cosine transform-based energy-reduced JND model, called ERJND, that is more
suitable for JND-based PVC schemes. Then, the proposed ERJND model is extended to
two learning-based just-noticeable-quantization-distortion (JNQD) models as
preprocessing that can be applied for perceptual video coding. The two JNQD
models can automatically adjust JND levels based on given quantization step
sizes. One of the two JNQD models, called LR-JNQD, is based on linear regression
and determines the model parameter for JNQD based on extracted handcraft
features. The other JNQD model is based on a convolution neural network (CNN),
called CNN-JNQD. To our best knowledge, our paper is the first approach to
automatically adjust JND levels according to quantization step sizes for
preprocessing the input to video encoders. In experiments, both the LR-JNQD and
CNN-JNQD models were applied to high efficiency video coding (HEVC) and yielded
maximum (average) bitrate reductions of 38.51% (10.38%) and 67.88% (24.91%),
respectively, with little subjective video quality degradation, compared with the
input without preprocessing applied.
PMID- 29641400
TI - Towards a Closed Form Second-Order Natural Scene Statistics Model.
AB - Previous work on natural scene statistics (NSS)-based image models has focused
primarily on characterizing the univariate bandpass statistics of single pixels.
These models have proven to be powerful tools driving a variety of computer
vision and image/video processing applications, including depth estimation, image
quality assessment, and image denoising, among others. Multivariate NSS models
descriptive of the joint distributions of spatially separated bandpass image
samples have, however, received relatively little attention. Here, we develop a
closed form bivariate spatial correlation model of bandpass and normalized image
samples that completes an existing 2D joint generalized Gaussian distribution
model of adjacent bandpass pixels. Our model is built using a set of diverse,
high-quality naturalistic photographs, and as a control, we study the model
properties on white noise. We also study the way the model fits are affected when
the images are modified by common distortions.
PMID- 29641401
TI - Self-Supervised Video Hashing With Hierarchical Binary Auto-Encoder.
AB - Existing video hash functions are built on three isolated stages: frame pooling,
relaxed learning, and binarization, which have not adequately explored the
temporal order of video frames in a joint binary optimization model, resulting in
severe information loss. In this paper, we propose a novel unsupervised video
hashing framework dubbed self-supervised video hashing (SSVH), which is able to
capture the temporal nature of videos in an end-to-end learning to hash fashion.
We specifically address two central problems: 1) how to design an encoder-decoder
architecture to generate binary codes for videos and 2) how to equip the binary
codes with the ability of accurate video retrieval. We design a hierarchical
binary auto-encoder to model the temporal dependencies in videos with multiple
granularities, and embed the videos into binary codes with less computations than
the stacked architecture. Then, we encourage the binary codes to simultaneously
reconstruct the visual content and neighborhood structure of the videos.
Experiments on two real-world data sets show that our SSVH method can
significantly outperform the state-of-the-art methods and achieve the current
best performance on the task of unsupervised video retrieval.
PMID- 29641402
TI - Prior-Based Quantization Bin Matching for Cloud Storage of JPEG Images.
AB - Millions of user-generated images are uploaded to social media sites like
Facebook daily, which translate to a large storage cost. However, there exists an
asymmetry in upload and download data: only a fraction of the uploaded images are
subsequently retrieved for viewing. In this paper, we propose a cloud storage
system that reduces the storage cost of all uploaded JPEG photos, at the expense
of a controlled increase in computation mainly during download of requested image
subset. Specifically, the system first selectively re-encodes code blocks of
uploaded JPEG images using coarser quantization parameters for smaller storage
sizes. Then during download, the system exploits known signal priors-sparsity
prior and graph-signal smoothness prior-for reverse mapping to recover original
fine quantization bin indices, with either deterministic guarantee (lossless
mode) or statistical guarantee (near-lossless mode). For fast reverse mapping, we
use small dictionaries and sparse graphs that are tailored for specific clusters
of similar blocks, which are classified via tree-structured vector quantizer.
During image upload, cluster indices identifying the appropriate dictionaries and
graphs for the re-quantized blocks are encoded as side information using a
differential distributed source coding scheme to facilitate reverse mapping
during image download. Experimental results show that our system can reap
significant storage savings (up to 12.05%) at roughly the same image PSNR (within
0.18 dB).
PMID- 29641403
TI - Fully Connected Network-Based Intra Prediction for Image Coding.
AB - This paper proposes a deep learning method for intra prediction. Different from
traditional methods utilizing some fixed rules, we propose using a fully
connected network to learn an end-to-end mapping from neighboring reconstructed
pixels to the current block. In the proposed method, the network is fed by
multiple reference lines. Compared with traditional single line-based methods,
more contextual information of the current block is utilized. For this reason,
the proposed network has the potential to generate better prediction. In
addition, the proposed network has good generalization ability on different
bitrate settings. The model trained from a specified bitrate setting also works
well on other bitrate settings. Experimental results demonstrate the
effectiveness of the proposed method. When compared with high efficiency video
coding reference software HM-16.9, our network can achieve an average of 3.4%
bitrate saving. In particular, the average result of 4K sequences is 4.5% bitrate
saving, where the maximum one is 7.4%.
PMID- 29641404
TI - Manifold-Based Visual Object Counting.
AB - Visual object counting (VOC) is an emerging area in computer vision which aims to
estimate the number of objects of interest in a given image or video. Recently,
object density based estimation method is shown to be promising for object
counting as well as rough instance localization. However, the performance of this
method tends to degrade when dealing with new objects and scenes. To address this
limitation, we propose a manifold-based method for visual object counting (M
VOC), based on the manifold assumption that similar image patches share similar
object densities. Firstly, the local geometry of a given image patch is
represented linearly by its neighbors using a predefined patch training set, and
the object density of this given image patch is reconstructed by preserving the
local geometry using locally linear embedding. To improve the characterization of
local geometry, additional constraints such as sparsity and non-negativity are
also considered via regularization, nonlinear mapping, and kernel trick. Compared
with the state-of-the-art VOC methods, our proposed M-VOC methods achieve
competitive performance on seven benchmark datasets. Experiments verify that the
proposed M-VOC methods have several favorable properties, such as robustness to
the variation in the size of training dataset and image resolution, as often
encountered in real-world VOC applications.
PMID- 29641405
TI - A Deep Spatial Contextual Long-Term Recurrent Convolutional Network for Saliency
Detection.
AB - Traditional saliency models usually adopt hand-crafted image features and human
designed mechanisms to calculate local or global contrast. In this paper, we
propose a novel computational saliency model, i.e., deep spatial contextual long
term recurrent convolutional network (DSCLRCN), to predict where people look in
natural scenes. DSCLRCN first automatically learns saliency related local
features on each image location in parallel. Then, in contrast with most other
deep network based saliency models which infer saliency in local contexts,
DSCLRCN can mimic the cortical lateral inhibition mechanisms in human visual
system to incorporate global contexts to assess the saliency of each image
location by leveraging the deep spatial long short-term memory (DSLSTM) model.
Moreover, we also integrate scene context modulation in DSLSTM for saliency
inference, leading to a novel deep spatial contextual LSTM (DSCLSTM) model. The
whole network can be trained end-to-end and works efficiently when testing.
Experimental results on two benchmark datasets show that DSCLRCN can achieve
state-of-the-art performance on saliency detection. Furthermore, the proposed
DSCLSTM model can significantly boost the saliency detection performance by
incorporating both global spatial interconnections and scene context modulation,
which may uncover novel inspirations for studies on them in computational
saliency models.
PMID- 29641406
TI - Vehicle Re-Identification by Deep Hidden Multi-View Inference.
AB - Vehicle re-identification (re-ID) is an area that has received far less attention
in the computer vision community than the prevalent person re-ID. Possible
reasons for this slow progress are the lack of appropriate research data and the
special 3D structure of a vehicle. Previous works have generally focused on some
specific views (e.g., front); but, these methods are less effective in realistic
scenarios, where vehicles usually appear in arbitrary views to cameras. In this
paper, we focus on the uncertainty of vehicle viewpoint in re-ID, proposing two
end-to-end deep architectures: the Spatially Concatenated ConvNet and
convolutional neural network (CNN)-LSTM bi-directional loop. Our models exploit
the great advantages of the CNN and long short-term memory (LSTM) to learn
transformations across different viewpoints of vehicles. Thus, a multi-view
vehicle representation containing all viewpoints' information can be inferred
from the only one input view, and then used for learning to measure distance. To
verify our models, we also introduce a Toy Car RE-ID data set with images from
multiple viewpoints of 200 vehicles. We evaluate our proposed methods on the Toy
Car RE-ID data set and the public Multi-View Car, VehicleID, and VeRi data sets.
Experimental results illustrate that our models achieve consistent improvements
over the state-of-the-art vehicle re-ID approaches.
PMID- 29641407
TI - Automatic Depth Extraction from 2D Images Using a Cluster-Based Learning
Framework.
AB - There has been a significant increase in the availability of 3D players and
displays in the last years. Nonetheless, the amount of 3D content has not
experimented an increment of such magnitude. To alleviate this problem, many
algorithms for converting images and videos from 2D to 3D have been proposed.
Here, we present an automatic learning-based 2D-3D image conversion approach,
based on the key hypothesis that color images with similar structure likely
present a similar depth structure. The presented algorithm estimates the depth of
a color query image using the prior knowledge provided by a repository of color +
depth images. The algorithm clusters this database attending to their structural
similarity, and then creates a representative of each color-depth image cluster
that will be used as prior depth map. The selection of the appropriate prior
depth map corresponding to one given color query image is accomplished by
comparing the structural similarity in the color domain between the query image
and the database. The comparison is based on a K-Nearest Neighbor framework that
uses a learning procedure to build an adaptive combination of image feature
descriptors. The best correspondences determine the cluster, and in turn the
associated prior depth map. Finally, this prior estimation is enhanced through a
segmentation-guided filtering that obtains the final depth map estimation. This
approach has been tested using two publicly available databases, and compared
with several state-of-the-art algorithms in order to prove its efficiency.
PMID- 29641408
TI - Retinal Microaneurysms Detection Using Local Convergence Index Features.
AB - Retinal microaneurysms (MAs) are the earliest clinical sign of diabetic
retinopathy disease. Detection of MAs is crucial for the early diagnosis of
diabetic retinopathy and prevention of blindness. In this paper, a novel and
reliable method for automatic detection of MAs in retinal images is proposed. In
the first stage of the proposed method, several preliminary microaneurysm
candidates are extracted using a gradient weighting technique and an iterative
thresholding approach. In the next stage, in addition to intensity and shape
descriptors, a new set of features based on local convergence index filters is
extracted for each candidate. Finally, the collective set of features is fed to a
hybrid sampling/boosting classifier to discriminate the MAs from non-MAs
candidates. The method is evaluated on images with different resolutions and
modalities (color and scanning laser ophthalmoscope) using six publicly available
data sets including the retinopathy online challenges (ROC) data set. The
proposed method achieves an average sensitivity score of 0.471 on the ROC data
set outperforming state-of-the-art approaches in an extensive comparison. The
experimental results on the other five data sets demonstrate the effectiveness
and robustness of the proposed MAs detection method regardless of different image
resolutions and modalities.
PMID- 29641409
TI - Recurrent and Dynamic Models for Predicting Streaming Video Quality of
Experience.
AB - Streaming video services represent a very large fraction of global bandwidth
consumption. Due to the exploding demands of mobile video streaming services,
coupled with limited bandwidth availability, video streams are often transmitted
through unreliable, low-bandwidth networks. This unavoidably leads to two types
of major streaming-related impairments: compression artifacts and/or rebuffering
events. In streaming video applications, the end-user is a human observer; hence
being able to predict the subjective Quality of Experience (QoE) associated with
streamed videos could lead to the creation of perceptually optimized resource
allocation strategies driving higher quality video streaming services. We propose
a variety of recurrent dynamic neural networks that conduct continuous-time
subjective QoE prediction. By formulating the problem as one of time-series
forecasting, we train a variety of recurrent neural networks and non-linear
autoregressive models to predict QoE using several recently developed subjective
QoE databases. These models combine multiple, diverse neural network inputs, such
as predicted video quality scores, rebuffering measurements, and data related to
memory and its effects on human behavioral responses, using them to predict QoE
on video streams impaired by both compression artifacts and rebuffering events.
Instead of finding a single time-series prediction model, we propose and evaluate
ways of aggregating different models into a forecasting ensemble that delivers
improved results with reduced forecasting variance. We also deploy appropriate
new evaluation metrics for comparing time-series predictions in streaming
applications. Our experimental results demonstrate improved prediction
performance that approaches human performance. An implementation of this work can
be found at https://github.com/christosbampis/NARX_QoE_release.
PMID- 29641410
TI - Joint Denoising/Compression of Image Contours via Shape Prior and Context Tree.
AB - The advent of depth sensing technologies means that the extraction of object
contours in images-a common and important pre-processing step for later higher
level computer vision tasks like object detection and human action recognition
has become easier. However, captured depth images contain acquisition noise and
the detected contours suffer from errors as a result. In this paper, we propose
to jointly denoise and compress detected contours in an image for bandwidth
constrained transmission to a client, who can then carry out aforementioned
application-specific tasks using the decoded contours as input. First, we prove
theoretically that in general a joint denoising/compression approach can
outperform a separate two-stage approach that first denoises then encodes
contours lossily. Adopting a joint approach, we propose a burst error model that
models typical errors encountered in an observed string of directional edges. We
then formulate a rate-constrained maximum a posteriori problem that trades off
the posterior probability of an estimated string given with its code rate. We
design a dynamic programming algorithm that solves the posed problem optimally,
and propose a compact context representation called total suffix tree that can
reduce complexity of the algorithm dramatically. To the best of our knowledge, we
are the first in the literature to study the problem of joint
denoising/compression of image contours and offer a computation-efficient
optimization algorithm. Experimental results show that our joint
denoising/compression scheme can reduce bitrate by up to 18% compared with a
competing separate scheme at comparable visual quality.
PMID- 29641411
TI - SCOM: Spatiotemporal Constrained Optimization for Salient Object Detection.
AB - This paper presents a novel model for video salient object detection called
spatiotemporal constrained optimization model (SCOM), which exploits spatial and
temporal cues, as well as a local constraint, to achieve a global saliency
optimization. For a robust motion estimation of salient objects, we propose a
novel approach to modeling the motion cues from optical flow field, the saliency
map of the prior video frame and the motion history of change detection, which is
able to distinguish the moving salient objects from diverse changing background
regions. Furthermore, an effective objectness measure is proposed with intuitive
geometrical interpretation to extract some reliable object and background
regions, which provided as the basis to define the foreground potential,
background potential, and the constraint to support saliency propagation. These
potentials and the constraint are formulated into the proposed SCOM framework to
generate an optimal saliency map for each frame in a video. The proposed model is
extensively evaluated on the widely used challenging benchmark data sets.
Experiments demonstrate that our proposed SCOM substantially outperforms the
state-of-the-art saliency models.
PMID- 29641412
TI - Insights into the activity control of the kallikrein-related peptidase 6: small
molecule modulators and allosterism.
AB - The activity of kallikrein-related peptidase 6 (KLK6) is deregulated in various
diseases such as cancer and neurodegenerative diseases. KLK6 is thus considered
as an attractive therapeutical target. In this short report, we depict some novel
findings on the regulation of the KLK6 activity. Namely, we identified mechanism
based inhibitors (suicide substrates) from an in-house library of 6-substituted
coumarin-3-carboxylate derivatives. In addition, a molecular dynamics study
evidenced the allosteric behavior of KLK6 similar to that previously observed for
some trypsin-like serine proteases. This allosteric behavior together with the
coumarinic scaffold bring new opportunities for the design of KLK6 potent
activity modulators, useful as therapeutics or activity-based probes.
PMID- 29641413
TI - Neuronal RNP granules: from physiological to pathological assemblies.
AB - Neuronal cells rely on macro- and micro-cellular compartmentalization to rapidly
process information, and respond locally to external stimuli. Such a cellular
organization is achieved via the assembly of neuronal ribonucleoprotein (RNP)
granules, dynamic membrane-less organelles enriched in RNAs and associated
regulatory proteins. In this review, we discuss how these high-order structures
transport mRNAs to dendrites and axons, and how they contribute to the spatio
temporal regulation of localized mRNA translation. We also highlight how recent
biophysical studies have shed light on the mechanisms underlying neuronal RNP
granule dynamic assembly, remodeling and maturation, in both physiological and
pathological contexts.
PMID- 29641414
TI - Comparative hypoglycemic potentials and phytochemical profiles of 12 common leafy
culinary vegetables consumed in Nsukka, Southeastern Nigeria.
AB - Background Metabolic disease like diabetes mellitus is on the increase in
developing countries due to lack of access to orthodox medicine owing to its high
cost. Health benefits of culinary vegetables cannot be overemphasized. This study
therefore aims to profile the hypoglycaemic potentials of 12 common leafy
vegetables consumed in Nsukka, Southeastern Nigeria and advise diabetic patients
accordingly. Methods A total of 75 albino Wistar rats assigned to 15 groups of
five rats per group were used for the study. Diabetes was induced in groups 1-14
rats by intraperitoneal injection of alloxan monohydrate (160 mg/kg), and rats in
group 15 were not made diabetic. Groups 1-12 rats were treated with aqueous
extracts of the vegetables (200 mg/kg), and group 13 rats received glibenclamide
at 2 mg/kg and served as standard control. Rats in groups 14 and 15 received
distilled water (10 mL/kg) to serve as negative and normal controls,
respectively. The fasting blood glucose (FBG) values of the rats were determined
3, 6 and 24 h post-treatment. Phytochemical studies on the vegetables were also
carried out. Results Results revealed that the hypoglycaemic activities of
Gongronema latifolium, Pterocarpus santalinoides, Ocimum gratissimum, Pterocarpus
mildbraedii and Vernonia amygdalina were comparable (p>0.05) to that obtained for
glibenclamide (standard anti-diabetic drug) while Gnetum africanum and Piper
guineense did not show significant hypoglycaemic activities. Phytochemicals such
as flavonoids, alkaloids, tannins, saponins, glycosides, and terpenes were
present in the vegetables. Conclusions It was concluded that the vegetables
possess hypoglycaemic activities at different capacities with G. latifolium being
the most potent.
PMID- 29641416
TI - Physical activity during pregnancy - past and present.
AB - The possible implications of physical activity during the period of pregnancy
have been much debated over recent decades. This brief appraisal integrates
knowledge from an array of position papers, systematic reviews, meta-analyses,
and recommendations provided by specialty board committees. The medical community
is becoming more and more aware of the beneficial effects of mild and moderate
physical activity on the mother and the fetus, including improved clinical
correlates of subsequent vaginal delivery, as contrasted to the clearly
unbeneficial effects of a sedentary lifestyle.
PMID- 29641415
TI - Impact of childhood type 1 diabetes on maternal work-family relations.
AB - BACKGROUND: The aim of the study was to evaluate work-family conflict (WFC) and
work-family facilitation (WFF) of working mothers of children with type 1
diabetes (T1D) compared with those of working mothers of children under follow-up
not requiring treatment and of healthy children, and to explore the impact of
organizational resources and the characteristics of the child and his disease on
this interface. METHODS: The study included 157 working mothers: 50 mothers of
children with T1D, 50 mothers of children on medical follow-up without chronic
illness and 57 mothers of healthy children. The participants completed validated
questionnaires including the WFC scale, WFF scale, organizational resources
support scale, life and work satisfaction questionnaire, a background demographic
questionnaire and a child health questionnaire. Mothers of children with T1D also
completed a questionnaire relating to diabetes management. RESULTS: Almost half
of the mothers of children with T1D found it necessary to reduce their working
hours or to change their workplace. This group also reported a significantly
higher level of colleague support. There were no significant differences in WFC
and WFF between mothers of children with T1D and controls. CONCLUSIONS: This
study demonstrates the effect of raising a T1D child on the mother's vocational
behavior. Despite the additional burden, work is a source of enrichment for these
mothers.
PMID- 29641417
TI - [Fragile X syndrome and FMR1-dependent diseases - clinical presentation,
epidemiology and molecular background].
AB - Fragile X syndrome (FXS) is the second most common inherited cause of
intellectual disability (ID), after Down syndrome. The severity of ID in FXS
patients varies and depends mainly on the patient's sex. Besides intellectual
disorders, additional symptoms, such as psychomotor delay, a specific behavioral
phenotype, or emotional problems are present in FXS patients. In over 99% of the
cases, the disease is caused by the presence of a dynamic mutation in the FMR1
gene localized on the X chromosome. Due to the expansion of CGG nucleotides (over
200 repeats), FMR1 gene expression is decreased and results in the significant
reduction of the FMRP protein level. The CGG expansion to premutation range (55
200 CGG repeats) is equivalent to the FXS carrier status and may cause FMR1
dependent disorders - fragile X-associated primary ovarian insufficiency (FXPOI)
and fragile X-associated tremor/ataxia syndrome (FXTAS). In contrast to FXS,
clinical symptoms of these diseases occur later in adulthood. The aim of the
article is to present the knowledge about the molecular background and
epidemiology of fragile X syndrome and other FMR1-related disorders.
PMID- 29641418
TI - [Fragile X syndrome and FMR1-dependent diseases - diagnostic scheme based on own
experience .]
AB - The presence of dynamic mutation in the FMR1 gene localized on the X chromosome
(Xq28) is the major cause of Fragile X syndrome. As this syndrome is quite
frequently diagnosed in patients with intellectual disability and autism spectrum
disorders, the genetic testing of the FMR1 gene is a routine procedure performed
in these patients. Molecular methods based on the PCR technique are used
commonly, as they allow to identify normal (up to 54 CGG repeats, including grey
zone alleles - 45-54 CGG repeats), premutation (55-200 CGG repeats) and full
mutation (>200 CGG repeats) alleles.The article presents the basic methods used
in the molecular diagnosis of Fragile X syndrome and other FMR1-related
disorders. The following methods are presented: a screening test with GeneScan
analysis, TP-PCR based tests and methods used for methylation analysis. Their
pros and cons, as well as the resulting interpretation are discussed. Moreover,
there is a presentation of the molecular diagnostic scheme following European
Molecular Genetics Quality Network guidelines used in the Department of Medical
Genetics.
PMID- 29641419
TI - The mutation responsible for torsion dystonia type 1 shows the ability to
stimulate intracellular aggregation of mutant huntingtin.
AB - OBJECTIVE: Introduction: Torsion dystonia type 1 is the most common form of early
onset primary dystonia. Previous reports have suggested that torsin 1A, a protein
mutated in this disease, might function as a chaperone that prevents the toxic
aggregation of misfolded polypeptides. The aim of the study: The aim of this
study was to verify the chaperone function of torsin 1A by investigating its
ability to prevent the aggregation of huntingtin model peptides. PATIENTS AND
METHODS: Materials and methods: N-terminal mutant huntingtin fragments of
different length were co-expressed in neuronal HT-22 and non-neuronal HeLa cells
with either the wild-type or mutant (DeltaE302/303) torsin 1A protein. The
transfected cells were immunostained and analyzed for the presence of huntingtin
aggregates using fluorescence microscopy. RESULTS: Results: The
immunofluorescence analysis of huntingtin subcellular distribution within the
transfected cells showed no significant difference between the huntingtin
aggregation levels in cells co-expressing the wild-type torsin 1A and in control
cells co-transfected with an empty vector. Instead, it was the increased level of
huntingtin aggregation in the presence of the torsion dystonia-causing
DeltaE302/303 mutant that reached statistical significance in both neuronal and
non-neuronal cells. CONCLUSION: Conclusions: Either torsin 1A does not function
as a chaperone protein or huntingtin is not an efficient substrate for such a
hypothetical chaperone activity. However, the ability of mutant torsin 1A to
stimulate the accumulation of aggregation-prone polypeptides might constitute an
important source of DeltaE302/303 pathogenicity and thus a potential target for
future therapy.
PMID- 29641420
TI - Neuroimaging results, short-term assessment of psychomotor development and the
risk of autism spectrum disorder in extremely premature infants (<=28 GA) - a
prospective cohort study (preliminary report).
AB - OBJECTIVE: Infants <=28 GA are at particular risk of psychomotor and neurological
developmental disorder. They also remain at a higher risk of developing autism
spectrum disorder (ASD), characterized by persistent deficits in
communication/social interactions and restricted, repetitive behaviors,
activities and interests. Monitoring their development by a team of specialists
(a neurologist, psychologist, psychiatrist) allows us to make an early diagnosis
and to implement appropriate therapy. Neuroimaging studies during the neonatal
period may be helpful in clarifying diagnosis and prognosis. Objective: The aim
of the study was to search for the interrelation between the results of
neuroimaging and the neurological, psychological and psychiatric evaluation at
the age of 2. PATIENTS AND METHODS: Material and methods: Neonates born at <=28
weeks between 01.06.2013 and 31.12.2015 and hospitalized at NICU were enrolled.
We present the results of the first 12 children who have attained 2 years of
corrected age and have undergone both neuroimaging, and neurological,
psychological and psychiatric assessments. Transfontanel ultrasound was performed
according to general standards, MRI between 38 and 42 weeks of corrected age.
Neurological examination based on the Denver scale, ASD screening with use of the
STAT test and psychological DSR assessment were performed at 2 years of corrected
age. RESULTS: Results: Median GA was 26 weeks and median weight 795 g. The
ultrasound examination was normal in 9 cases (75%) and MRI in 4 (33%).
Abnormalities in the cerebellum were the main additional information found in MRI
as compared to US. Neurological examination was normal in 8 infants (67#37;), in
4 of whom neuroimaging was normal. In 4 (33%) infants the neurological
examination was abnormal. Psychomotor development at an average level or above
was found in seven (58#37;) children. In 4 of them neuroimaging was normal,
whereas 3 had ventricular dilatation and haemorrhagic infarct. There were no
abnormalities within the cerebellum in this group. In the remaining 5 children
(42#37;) psychomotor development was rated as delayed. All of them had cerebellar
haemorrhage. An increased risk of ASD was observed in 4 children who developed
cerebellar hemorrhage. CONCLUSION: Conclusions: 1. The use of MRI at a term
equivalent age may contribute to the prognosis of neurodevelopmental outcomes in
extremely premature infants, allowing risk stratification and thus enhancing
early monitoring of a child's development and functional status 2. There is a
clear tendency towards abnormal psychomotor development and positive screening
for ASD to co-occur with abnormal MRI findings in the cerebellum.
PMID- 29641421
TI - [Congenital Cytomegalovirus infection - still a relevant problem (based on own
experience and literature)].
AB - As far as infectious factors are concerned, Cytomegalovirus is considered one of
the most common causes of progressive hearing impairment and neurological
disorders among children. The increasing number of CMV infections creates the
necessity of quick diagnosis and treatment that may reduce the consequences or
even completely resolve the condition. It is essential that the diagnostic team
consists of not only neonatologists but also obstetricians/perinatologists. In
many countries, including Poland, screening is not being carried out among
pregnant women, which delays the diagnosis and the begining of antiviral
treatment or might even indispose the therapy.
PMID- 29641422
TI - The levels of bone alkaline phosphatase (BALP) and soluble epidermal growth
factor receptor-2 (ECD/HER-2) in pediatric patients with osteosarcoma during
clinical treatment.
AB - OBJECTIVE: Aim: The aim of this study was to assess the usefulness of bone
specific alkaline phosphatase (BALP) and the extracelluar domain of human
epidermal growth factor receptor 2 (ECD/HER-2) measurements in pediatric patients
with osteosarcoma as prospective prognostic and predictive markers for monitoring
the treatment and early detection of disease recurrence. PATIENTS AND METHODS:
Material and methods: We studied 22 patients (5 girls, 17 boys) aged 7-20 years
with osteosarcoma (OS) treated at the Institute of Mother and Child in Warsaw.
All the patients were evaluated for the serum levels of BALP and ECD/HER-2 before
treatment, during pre- and postoperative chemotherapy and after the completion of
treatment. Healthy children (n=22) were the reference group. The levels of BALP
and ECD/HER-2 were measured using immunoenzymatic methods. RESULTS: Results: The
values of BALP and ECD/HER-2 proteins were higher (p<0.01; p<0.05, respectively)
in patients with osteosarcoma at the time of diagnosis compared with the control
group. The values of both markers significantly decreased during chemotherapy in
most patients with remission. In contrast to ECD/HER-2, the value of BALP after
therapy was higher in patients with progression than with remission (p<0.001).
CONCLUSION: Conclusions: Our results demonstrate the different pattern of BALP
and ECD/HER-2 proteins during clinical treatment in patients with osteosarcoma.
Higher values of BALP may characterize the progression of the disease and
unfavourable prognosis. Further longitudinal studies are necessary to confirm the
prognostic values of BALP and ECD/HER-2 proteins in this group of patients.
PMID- 29641423
TI - Testicular necrosis secondary to incarcerated inguinal hernia in male infants.
Own observations.
AB - One of the possible consequences of incarcerated inguinal hernia in boys is
testicular ischemia because of the prolonged compression of spermatic cord
structures by the sac contents, resulting in ipsilateral testicular atrophy. This
complication is well described in the literature and occurs in 5-34% of patients.
The incidence of testicular atrophy secondary to incarcerated hernia is estimated
to be 2-3%. Testicular necrosis as the result of hernia incarceration is,
however, an extremely rare clinical setting. We present 4 male infants aged 3-10
weeks with inguinal hernia incarceration which led to ipsilateral testicular
loss. All the boys had to be operated on because of irreducible incarcerated
hernia and in all the cases testicular necrosis was found intraoperatively. The
time of incarceration before surgical intervention ranged from 4 to 12 hours
(mean 6.75). Our data show that every case of hernia incarceration in a very
young male infant requires rapid diagnosis and proper intervention, i.e. surgical
treatment, instead of repeated attempts of manual reduction. Ultrasound
examination should estimate not only blood flow through the incarcerated
intestinal loop, but also through the ipsilateral testis. Moreover, during the
operation of the incarcerated hernia in a boy it is necessary to estimate the
ipsilateral testis.
PMID- 29641424
TI - Outcome of topical steroid application in children with non-retractile prepuce.
AB - True phimosis is overdiagnosed due to the failure to distinguish it from
physiological phimosis, which is a normal developmental non retractability of the
foreskin. The non-retractile prepuce in children is a cause of parental anxiety
and concern. This leads to the majority of the children undergoing surgical
procedures. Pathological phimosis needs to be differentiated from physiologic
phimosis to avoid unnecessary circumcision. In recent years, topical steroid
application use in cases of non-retractile prepuce has shown a good success rate
and is well accepted by the parents. It has low risks, is cost effective and
avoids anaesthetic and surgical complications. This is an observational study of
100 children with non-retractile foreskin who were managed by local application
of topical steroid cream (0.1% Mometasone) over a period of 6 weeks. The non
retractibility was classified according to Kikiro's classification. These
patients were analyzed on the basis of age at presentation, complaints at the
first presentation, grade of phimosis at first presentation (as per Kikiro's
classification), results of the topical steroid application as assessed at 6
weeks after starting application and after stopping of the steroid administered
for 6 weeks. The results were analyzed on the basis of the resolution of symptoms
and the decrease in Kikiro's grade. Those patients in whom there was no response
to treatment or who developed recurrence after stopping steroid treatment
underwent circumcision. A total of 19 patients required surgical intervention in
the form of circumcision. The use of topical steroids yields satisfactory results
in patients with a non-retractile prepuce. It could be a first-line treatment for
management in such cases and is an effective alternative designed to avoid
unnecessary circumcision.
PMID- 29641425
TI - The safety of pulmonary ultrasonography in the neonatal intensive care unit.
AB - OBJECTIVE: Introduction: Due to specific anatomy of children are more vulnerable
to the carcinogenic effects of ionizing radiation from chest X-rays. Lung
ultrasound (LUS) is a validated procedure which can easily be used in diagnosing
pathologies of the neonatal lung. However, experimental studies have shown that
low frequency ultrasound may induce pulmonary capillary hemorrhage (PCH). Aim of
the study: To evaluate the potential relationship between lung ultrasound and
pulmonary hemorrhage in very low birth weight infants. PATIENTS AND METHODS:
Patients and methods: We analysed the medical records of very low birth weight
infants admitted to our neonatal tertiary centre between 2008 and 2011 (group 1),
when CXR was the main procedure used to evaluate the respiratory system, and
between 2013 and 2016 (group 2), when LUS became a routine procedure, replacing
the chest X-ray. RESULTS: Results: 297 infants were enrolled in the first group
and 286 in the second group, respectively. There was no difference in the
frequency of pulmonary hemorrhages between the two groups (p=1). In the first
group there was only one episode of PCH and in the second group no PCH was seen.
Statistically significant differences were seen in a number of patients with
pulmonary hemorrhage risk factors: surfactant administration (p<0.001),
mechanical ventilation (p=0.0003), and hemodynamically significant patent ductus
arteriosus (p=0.025). CONCLUSION: Conclusions: Routine lung ultrasound appears to
be safe in very low birth weight infants; there were no episodes of pulmonary
hemorrhage.
PMID- 29641426
TI - The role of modern diagnostic imaging in diagnosing and differentiating kidney
diseases in children.
AB - Urinary tract diseases are in the group of the most commonly diagnosed medical
conditions in pediatric patients. Many diseases with different etiologies are
accompanied by pain, fever, hematuria, or urinary tract dysfunction. Those most
common ones in children are urinary tract infections and congenital malformation.
They can also represent tumors or changes caused by systemic diseases. Clinical
tests and even more often additional imaging studies are required to make a
proper diagnosis of urinary tract diseases. Just a few decades ago urography,
cystography or voiding cystourethrography were the main methods in diagnostic
imaging of the urinary tract. Today's imaging methods supported by digital
radiographic and fluoroscopy systems, high sensitivity detectors with quantum
detection, advanced algorithms eliminating motion artifacts, modern medical
imaging monitors with a resolution of three or even eight megapixels
significantly differ from conventional radiographic methods. The methods that are
currently usually performed are: computed tomography, magnetic resonance imaging,
isotopic methods and ultrasonography using elastography and new solutions in
Doppler imaging. Modern techniques are currently focused on reducing radiation
exposure with better imaging capabilities. The development of these techniques
became an essential diagnostic aid in nephrological and urological practice. The
aim of this paper is to present the latest solutions that are currently used in
the diagnostic imaging of urinary tract diseases.
PMID- 29641427
TI - Surgical treatment methods of urolithiasis in the pediatric population.
AB - Urolithiasis in the pediatric population represents a major challenge associated
with both the diagnosis and therapy of the condition. Over the past 25 years, the
incidence has increased. The average age of pediatric patients with stones is
about 7-8 years and the recurrence rate is 24%-50%. More than 80% of the stones
are eliminated spontaneously. The remaining ones require conservative or surgical
treatment. Choosing the most appropriate treatment depends on many factors.
Surgical procedures in children are the same as in adults. These include
extracorporeal shockwave lithotripsy (ESWL), ureterolithotripsy (URSL),
retrograde intrarenal surgery (RIRS), percutaneous nephrolithotomy (PCNL) and
laparoscopic or open surgery. ESWL is a method of choice for the treatment of
stones with a diameter of <=20 mm located in the upper urinary tract, while PCNL
is used in the treatment of deposits >=1.5 cm located in the upper pole of the
kidney, deposits of >=1.0 cm located in the lower pole of the kidney, as well as
hard stones such as cystic or struvite ones. URSL/RIRS is a method for ureteral
and renal stones. Open surgery is indicated in cases when anatomical anomalies
coexist with urolithiasis, or when the use of PCNL or ESWL is impossible. The
ideal procedure should be effective, safe and allow the complete evacuation of
the stones after the 1st procedure.
PMID- 29641428
TI - ZnO-carbon nanofibers for stable, high response, and selective H2S sensors.
AB - Hydrogen sulfide (H2S), as a typical atmospheric pollutant, is neurotoxic and
flammable even at a very low concentration. In this study, we design stable H2S
sensors based on ZnO-carbon nanofibers. Nanofibers with 30.34 wt% carbon are
prepared by a facial electrospinning route followed by an annealing treatment.
The resulting H2S sensors show excellent selectivity and response compared to the
pure ZnO nanofiber H2S sensors, particularly the response in the range of 102-50
ppm of H2S. Besides, they exhibited a nearly constant response of approximately
40-20 ppm of H2S over 60 days. The superior performance of these H2S sensors can
be attributed to the protection of carbon, which ensures the high stability of
ZnO, and oxygen vacancies that improve the response and selectivity of H2S. The
good performance of ZnO-carbon H2S sensors suggests that composites with oxygen
vacancies prepared by a facial electrospinning route may provide a new research
strategy in the field of gas sensors, photocatalysts, and semiconductor devices.
PMID- 29641430
TI - Non-Invasive Blood Pressure Estimation from ECG Using Machine Learning
Techniques.
AB - BACKGROUND: Blood pressure (BP) measurements have been used widely in clinical
and private environments. Recently, the use of ECG monitors has proliferated;
however, they are not enabled with BP estimation. We have developed a method for
BP estimation using only electrocardiogram (ECG) signals. METHODS: Raw ECG data
are filtered and segmented, and, following this, a complexity analysis is
performed for feature extraction. Then, a machine-learning method is applied,
combining a stacking-based classification module and a regression module for
building systolic BP (SBP), diastolic BP (DBP), and mean arterial pressure (MAP)
predictive models. In addition, the method allows a probability distribution
based calibration to adapt the models to a particular user. RESULTS: Using ECG
recordings from 51 different subjects, 3129 30-s ECG segments are constructed,
and seven features are extracted. Using a train-validation-test evaluation, the
method achieves a mean absolute error (MAE) of 8.64 mmHg for SBP, 18.20 mmHg for
DBP, and 13.52 mmHg for the MAP prediction. When models are calibrated, the MAE
decreases to 7.72 mmHg for SBP, 9.45 mmHg for DBP and 8.13 mmHg for MAP.
CONCLUSION: The experimental results indicate that, when a probability
distribution-based calibration is used, the proposed method can achieve results
close to those of a certified medical device for BP estimation.
PMID- 29641429
TI - Multifaceted Role of Pneumolysin in the Pathogenesis of Myocardial Injury in
Community-Acquired Pneumonia.
AB - Pneumolysin (PLY), a member of the family of Gram-positive bacterial, cholesterol
dependent, beta-barrel pore-forming cytolysins, is the major protein virulence
factor of the dangerous respiratory pathogen, Streptococcus pneumoniae
(pneumococcus). PLY plays a major role in the pathogenesis of community-acquired
pneumonia (CAP), promoting colonization and invasion of the upper and lower
respiratory tracts respectively, as well as extra-pulmonary dissemination of the
pneumococcus. Notwithstanding its role in causing acute lung injury in severe
CAP, PLY has also been implicated in the development of potentially fatal acute
and delayed-onset cardiovascular events, which are now recognized as being fairly
common complications of this condition. This review is focused firstly on
updating mechanisms involved in the immunopathogenesis of PLY-mediated myocardial
damage, specifically the direct cardiotoxic and immunosuppressive activities, as
well as the indirect pro-inflammatory/pro-thrombotic activities of the toxin.
Secondly, on PLY-targeted therapeutic strategies including, among others,
macrolide antibiotics, natural product antagonists, cholesterol-containing
liposomes, and fully humanized monoclonal antibodies, as well as on vaccine-based
preventive strategies. These sections are preceded by overviews of CAP in
general, the role of the pneumococcus as the causative pathogen, the occurrence
and types of CAP-associated cardiac complication, and the structure and
biological activities of PLY.
PMID- 29641432
TI - Label-Free QCM Immunosensor for the Detection of Ochratoxin A.
AB - Ochratoxin A (OTA) is a potent mycotoxin that poses a risk in food and feed
moieties and subject to worldwide regulation. Laboratory-based analytical methods
are traditionally employed for reliable OTA quantification, but these methods
cannot provide rapid and on-site analysis, where biosensors fill this gap. In
this study a label-free quartz crystal microbalance (QCM)-based immunosensor for
the detection of OTA, which is one of the most important small molecule
contaminants, was developed by direct immobilization of OTA to amine-bearing
sensor surfaces using 1-ethyl-3-(3-dimethylaminopropyl) carbodiimide (EDC)/N
Hydroxysuccinimide (NHS) chemistry. The protein-free sensor surface enabled
regeneration of sensor surface with 50 mM NaOH and 1% SDS up to 13 times without
loss of performance, which would disrupt a protein-containing sensor surface. We
developed a QCM immunosensor using the developed sensor surface with a 17.2-200
ng/mL detection range which can be used for on-site detection of feedstuffs.
PMID- 29641431
TI - Deoxyribonucleic Acid Damage and Repair: Capitalizing on Our Understanding of the
Mechanisms of Maintaining Genomic Integrity for Therapeutic Purposes.
AB - Deoxyribonucleic acid (DNA) is the self-replicating hereditary material that
provides a blueprint which, in collaboration with environmental influences,
produces a structural and functional phenotype. As DNA coordinates and directs
differentiation, growth, survival, and reproduction, it is responsible for life
and the continuation of our species. Genome integrity requires the maintenance of
DNA stability for the correct preservation of genetic information. This is
facilitated by accurate DNA replication and precise DNA repair. DNA damage may
arise from a wide range of both endogenous and exogenous sources but may be
repaired through highly specific mechanisms. The most common mechanisms include
mismatch, base excision, nucleotide excision, and double-strand DNA (dsDNA) break
repair. Concurrent with regulation of the cell cycle, these mechanisms are
precisely executed to ensure full restoration of damaged DNA. Failure or
inaccuracy in DNA repair contributes to genome instability and loss of genetic
information which may lead to mutations resulting in disease or loss of life. A
detailed understanding of the mechanisms of DNA damage and its repair provides
insight into disease pathogeneses and may facilitate diagnosis and the
development of targeted therapies.
PMID- 29641434
TI - Advances in Multi-Sensor Information Fusion: Theory and Applications 2017.
AB - The information fusion technique can integrate a large amount of data and
knowledge representing the same real-world object and obtain a consistent,
accurate, and useful representation of that object. The data may be independent
or redundant, and can be obtained by different sensors at the same time or at
different times. A suitable combination of investigative methods can
substantially increase the profit of information in comparison with that from a
single sensor. Multi-sensor information fusion has been a key issue in sensor
research since the 1970s, and it has been applied in many fields. For example,
manufacturing and process control industries can generate a lot of data, which
have real, actionable business value. The fusion of these data can greatly
improve productivity through digitization. The goal of this special issue is to
report innovative ideas and solutions for multi-sensor information fusion in the
emerging applications era, focusing on development, adoption, and applications.
PMID- 29641433
TI - The Role, Involvement and Function(s) of Interleukin-35 and Interleukin-37 in
Disease Pathogenesis.
AB - The recently identified cytokines-interleukin (IL)-35 and interleukin (IL)-37
have been described for their anti-inflammatory and immune-modulating actions in
numerous inflammatory diseases, auto-immune disorders, malignancies, infectious
diseases and sepsis. Either cytokine has been reported to be reduced and in some
cases elevated and consequently contributed towards disease pathogenesis. In view
of the recent advances in utilizing cytokine profiles for the development of
biological macromolecules, beneficial in the management of certain intractable
immune-mediated disorders, these recently characterized cytokines (IL-35 and IL
37) offer potential as reasonable targets for the discovery of novel immune
modulating anti-inflammatory therapies. A detailed comprehension of their
sophisticated regulatory mechanisms and patterns of expression may provide unique
opportunities for clinical application as highly selective and target specific
therapeutic agents. This review seeks to summarize the recent advancements in
discerning the dynamics, mechanisms, immunoregulatory and anti-inflammatory
actions of IL-35 and IL-37 as they relate to disease pathogenesis.
PMID- 29641435
TI - NIR-Emitting Alloyed CdTeSe QDs and Organic Dye Assemblies: A Nontoxic, Stable,
and Efficient FRET System.
AB - In the present work, we synthesize Near Infrared (NIR)-emitting alloyed
mercaptopropionic acid (MPA)-capped CdTeSe quantum dots (QDs) in a single-step
one-hour process, without the use of an inert atmosphere or any pyrophoric
ligands. The quantum dots are water soluble, non-toxic, and highly photostable
and have high quantum yields (QYs) up to 84%. The alloyed MPA-capped CdTeSe QDs
exhibit a red-shifted emission, whose color can be tuned between visible and NIR
regions (608-750 nm) by controlling the Te:Se molar ratio in the precursor
mixtures and/or changing the time reaction. The MPA-capped QDs were characterized
by UV-visible absorption spectroscopy, fluorescence spectroscopy, transmission
electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS), and zeta
potential measurements. Photostability studies were performed by irradiating the
QDs with a high-power xenon lamp. The ternary MPA-CdTeSe QDs showed greater
photostability than the corresponding binary MPA-CdTe QDs. We report the Forster
resonance energy transfer (FRET) from the MPA-capped CdTeSe QDs as energy donors
and Cyanine5 NHS-ester (Cy5) dye as an energy acceptor with efficiency (E) up to
95%. The distance between the QDs and dye (r), the Forster distance (R0), and the
binding constant (K) are reported. Additionally, cytocompatibility and cell
internalization experiments conducted on human cancer cells (HeLa) cells revealed
that alloyed MPA-capped CdTeSe QDs are more cytocompatible than MPA-capped CdTe
QDs and are capable of ordering homogeneously all over the cytoplasm, which
allows their use as potential safe, green donors for biological FRET
applications.
PMID- 29641436
TI - Social Interventions to Prevent Heat-Related Mortality in the Older Adult in
Rome, Italy: A Quasi-Experimental Study.
AB - This study focuses on the impact of a program aimed at reducing heat-related
mortality among older adults residing in central Rome by counteracting social
isolation. The mortality of citizens over the age of 75 living in three Urban
Areas (UAs) located in central Rome is compared with that of the residents of
four adjacent UAs during the summer of 2015. The data, broken down by UA, were
provided by the Statistical Office of the Municipality of Rome, which gathers
them on a routine basis. During the summer of 2015, 167 deaths were recorded in
those UAs in which the Long Live the Elderly (LLE) program was active and 169 in
those in which it was not, implying cumulative mortality rates of 250/00 (SD +/-
1.4; Cl 95%: 23-29) and 290/00 (SD +/- 6.7; Cl 95%: 17-43), respectively.
Relative to the summer of 2014, the increase of deaths during the summer of 2015
was greater in UAs in which the LLE program had not been implemented (+97.3% vs.
+48.8%). In conclusion, the paper shows the impact of a community-based active
monitoring program, focused on strengthening individual relationship networks and
the social capital of the community, on mortality in those over 75 during heat
waves.
PMID- 29641437
TI - Dietary Behaviors and Caregiver Perceptions of Overweight and Obesity among
Chinese Preschool Children.
AB - Introduction: Early childhood obesity in China has become a pressing public
health concern. A substantial barrier to healthy weight management is poor
parental recognition of child overweight. This study examined the relationship
between caregiver perceptions of child weight and dietary practices. Methods: A
total of 364 children between 2 and 6 years old from six urban preschools in
Changsha (China) were included in a cross-sectional study. Information on
household demographics, health behaviors, and caregiver attitudes was collected
through a self-administered caregiver questionnaire. Chi-squared tests, t-tests,
and multivariable logistic regression analysis were used to determine the
relationship between caregiver perceptions, dietary behaviors, and child weight
status. Results: Over 60% of caregivers with overweight/obese children
underestimated their children's weight status. These caregivers were less likely
to worry about weight and restrict their children's dietary intakes. Children of
caregivers who incorrectly classified their weights were also more likely to have
a poor appetite. Caregivers of male children and those from families with incomes
between 7000 and 11,000 Ren Min Bi (RMB) were more likely to underestimate weight
compared to caregivers with daughters and those from higher income households.
Conclusions: Although accurate weight perception may be important for motivating
healthy behavioral changes, it may also lead to greater restriction of children's
diets, which has been linked to long-term weight gain. Interventions to improve
awareness of child overweight should be coupled with efforts that teach
caregivers about healthy weight management strategies.
PMID- 29641438
TI - Localization Microscopy of Actin Cytoskeleton in Human Platelets.
AB - Here, we measure the actin cytoskeleton arrangement of different morphological
states of human platelets using a new protocol for photo-switching of rhodamine
class fluorophores. A new medium composition was established for imaging the
cytoskeleton using Alexa Fluor 488 conjugated to phalloidin. Morphological states
of platelets bound to a glass substrate are visualized and quantified by two
dimensional localization microscopy at nanoscopic resolution. Marker-less drift
correction yields localization of individual Alexa 488 conjugated to phalloidin
with a positional accuracy of 12 nm.
PMID- 29641439
TI - Exploring Behavioral Correlates of Afferent Inhibition.
AB - (1) Background: Afferent inhibition is the attenuation of the muscle response
evoked from transcranial magnetic stimulation (TMS) by a prior conditioning
electrical stimulus to a peripheral nerve. It is unclear whether the magnitude of
afferent inhibition relates to sensation and movement; (2) Methods: 24 healthy,
young adults were tested. Short-latency afferent inhibition (SAI) and long
latency afferent inhibition (LAI) were obtained following median and digital
nerve stimulation. Temporal tactile acuity was assessed with a temporal order
judgement (TOJ) task, spatial tactile acuity was assessed using a grating
orientation task (GOT), and fine manual dexterity was assessed with the Pegboard
task; (3) Results: Correlation analyses revealed no association between the
magnitude of SAI or LAI with performance on the TOJ, GOT, or Pegboard tasks; (4)
Conclusion: The magnitude of SAI and LAI does not relate to performance on the
sensory and motor tasks tested. Future studies are needed to better understand
whether the afferent inhibition phenomenon relates to human behavior.
PMID- 29641440
TI - Almonds and Cardiovascular Health: A Review.
AB - Several preventive strategies to reduce dyslipidemia have been suggested, of
which dietary modification features as an important one. Dyslipidemia is a major
risk factor for coronary heart disease and strategies to manage dyslipidemia have
been shown to reduce the incidence of cardiovascular disease (CVD). Although
there are proven pharmacological therapies to help manage this condition,
nutritional interventions are a safer option to help prevent and manage
dyslipidemia. Addition of almonds in the daily diet has been proposed to
beneficially impact the lipid profile. This review critically examines the
available evidence assessing the effect of almonds on dyslipidemia in the South
Asian (particularly Indian) context. An extensive review comprised of
epidemiological studies, clinical trials, meta-analyses, and systematic reviews
was conducted from published literature from across the world. Studies examining
the effect of almonds on different aspects of dyslipidemia viz. high low-density
lipoprotein-cholesterol (LDL-C), low high-density lipoprotein-cholesterol (HDL
C), triglyceridaemia, and high total cholesterol levels have been included. In
several studies, almonds have been shown to reduce LDL-C-which is a known risk
factor for CHD-and the effect of almonds has been well documented in systematic
reviews and meta-analysis of clinical trials. Addition of almonds in the diet has
been shown to not only to reduce LDL-C levels, but also to maintain HDL-C levels.
This review provides information about the use of this simple nutritional
strategy which may help manage known major risk factors for heart disease, such
as high LDL-C and low HDL-C levels especially in the context of South Asians.
PMID- 29641441
TI - Cancer Services and Their Initiatives to Improve the Care of Indigenous
Australians.
AB - Indigenous Australians continue to experience significantly poorer outcomes from
cancer than non-Indigenous Australians. Despite the importance of culturally
appropriate cancer services in improving outcomes, there is a lack of awareness
of current programs and initiatives that are aimed at meeting the needs of
Indigenous patients. Telephone interviews were used to identify and describe the
Indigenous-specific programs and initiatives that are implemented in a subset of
the services that participated in a larger national online survey of cancer
treatment services. Fourteen services located across Australia participated in
the interviews. Participants identified a number of factors that were seen as
critical to delivering culturally appropriate treatment and support, including
having a trained workforce with effective cross-cultural communication skills,
providing best practice care, and improving the knowledge, attitudes, and
understanding of cancer by Indigenous people. However, over a third of
participants were not sure how their service compared with others, indicating
that they were not aware of how other services are doing in this field. There are
currently many Indigenous-specific programs and initiatives that are aimed at
providing culturally appropriate treatment and supporting Indigenous people
affected by cancer across Australia. However, details of these initiatives are
not widely known and barriers to information sharing exist. Further research in
this area is needed to evaluate programs and initiatives and showcase the
effective approaches to Indigenous cancer care.
PMID- 29641442
TI - Bovine Peripheral Blood Mononuclear Cells Are More Sensitive to Deoxynivalenol
Than Those Derived from Poultry and Swine.
AB - Deoxynivalenol (DON) is one of the most prevalent mycotoxins, contaminating
cereals and cereal-derived products. Its derivative deepoxy-deoxynivalenol (DOM
1) is produced by certain bacteria, which either occur naturally or are
supplemented in feed additive. DON-induced impairments in protein synthesis are
particularly problematic for highly proliferating immune cells. This study
provides the first comparison of the effects of DON and DOM-1 on the concanavalin
A-induced proliferation of porcine, chicken, and bovine peripheral blood
mononuclear cells (PBMCs). Therefore, isolated PBMCs were treated with DON (0.01
3.37 uM) and DOM-1 (1.39-357 uM) separately, and proliferation was measured using
a bromodeoxyuridine (BrdU) assay. Although pigs are considered highly sensitive
to DON, the present study revealed a substantially higher sensitivity of bovine
(IC50 = 0.314 uM) PBMCs compared to chicken (IC50 = 0.691 uM) and porcine (IC50 =
0.693 uM) PBMCs. Analyses on the proliferation of bovine T-cell subsets showed
that all major subsets, namely, CD4+, CD8beta+, and gammadelta T cells, were
affected to a similar extent. In contrast, DOM-1 did not affect bovine PBMCs, but
reduced the proliferation of chicken and porcine PBMCs at the highest tested
concentration (357 uM). Results confirm the necessity of feed additives
containing DON-to-DOM-1-transforming bacteria and highlights species-specific
differences in the DON sensitivity of immune cells.
PMID- 29641443
TI - Effects of Calcination Holding Time on Properties of Wide Band Gap Willemite
Semiconductor Nanoparticles by the Polymer Thermal Treatment Method.
AB - Willemite is a wide band gap semiconductor used in modern day technology for
optoelectronics application. In this study, a new simple technique with less
energy consumption is proposed. Willemite nanoparticles (NPs) were produced via a
water-based solution consisting of a metallic precursor, polyvinylpyrrolidone
(PVP), and underwent a calcination process at 900 degrees C for several holding
times between 1-4 h. The FT-IR and Raman spectra indicated the presence of metal
oxide bands as well as the effective removal of PVP. The degree of the
crystallization and formation of the NPs were determined by XRD. The mean
crystallite size of the NPs was between 18.23-27.40 nm. The morphology, particle
shape and size distribution were viewed with HR-TEM and FESEM analysis. The
willemite NPs aggregate from the smaller to larger particles with an increase in
calcination holding time from 1-4 h with the sizes ranging between 19.74-29.71
nm. The energy values obtained from the experimental band gap decreased with
increasing the holding time over the range of 5.39 eV at 1 h to at 5.27 at 4 h.
These values match well with band gap obtained from the Mott and Davis model for
direct transition. The findings in this study are very promising and can justify
the use of these novel materials as a potential candidate for green luminescent
optoelectronic applications.
PMID- 29641444
TI - Fucoxanthin and Polyunsaturated Fatty Acids Co-Extraction by a Green Process.
AB - By their autotrophic nature and their molecular richness, microalgae are serious
assets in the context of current environmental and societal challenges. Some
species produce both omega-3 long chain polyunsaturated fatty acids (PUFAs) and
xanthophylls, two molecular families widely studied for their bioactivities in
the fields of nutrition and cosmetics. Whereas most studies separately deal with
the two families, synergies could be exploited with extracts containing both
PUFAs and xanthophylls. The purpose of our work was to determine cost effective
and eco-friendly parameters for their co-extraction. The effect of several
parameters (solvent, solvent/biomass ratio, temperature, duration) were studied,
using two microalgal species, the non-calcifying Haptophyta Tisochrysis lutea,
and the diatom Phaeodactylum tricornutum, that presents a silicified frustule.
Analyses of PUFAs and fucoxanthin (Fx), the main xanthophyll, allowed to compare
kinetics and extraction yields between experimental protocols. Co-extraction
yields achieved using 96% ethanol as solvent were 100% for Fx and docosahexaenoic
acid (DHA) in one hour from T. lutea biomass, and respectively 95% and 89% for Fx
and eicosapentaenoic acid (EPA) in eight hours from P. tricornutum. These
conditions are compatible with industrial applications.
PMID- 29641445
TI - DK1 Induces Apoptosis via Mitochondria-Dependent Signaling Pathway in Human Colon
Carcinoma Cell Lines In Vitro.
AB - Extensive research has been done in the search for innovative treatments against
colon adenocarcinomas; however, the incidence rate of patients remains a major
cause of cancer-related deaths in Malaysia. Natural bioactive compounds such as
curcumin have been substantially studied as an alternative to anticancer drug
therapies and have been surmised as a potent agent but, nevertheless, remain
deficient due to its poor cellular uptake. Therefore, efforts now have shifted
toward mimicking curcumin to synthesize novel compounds sharing similar effects.
A synthetic analog, (Z)-3-hydroxy-1-(2-hydroxyphenyl)-3-phenylprop-2-ene-1-one
(DK1), was recently synthesized and reported to confer improved bioavailability
and selectivity toward human breast cancer cells. This study, therefore, aims to
assess the anticancer mechanism of DK1 in relation to the induction of in vitro
cell death in selected human colon cancer cell lines. Using the3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide(MTT) assay, the
cytotoxicity of DK1 towards HT29 and SW620 cell lines were investigated. Acridine
orange/propidium iodide (AO/PI) dual-staining assay and flow cytometry analyses
(cell cycle analysis, Annexin/V-FITC and JC-1 assays) were incorporated to
determine the mode of cell death. To further determine the mechanism of cell
death, quantitative real-time polymerase chain reaction (qRT-PCR) and proteome
profiling were conducted. Results from this study suggest that DK1 induced
changes in cell morphology, leading to a decrease in cell viability and
subsequent induction of apoptosis. DK1 treatment inhibited cell viability and
proliferation 48 h post treatment with IC50 values of 7.5 +/- 1.6 uM for HT29
cells and 14.5 +/- 4.3 uM for SW620 cells, causing cell cycle arrest with
increased accumulation of cell populations at the sub-G0/G1phaseof 74% and 23%,
respectively. Flow cytometry analyses showed that DK1 treatment in cancer cells
induced apoptosis, as indicated by DNA fragmentation and depolarization of the
mitochondrial membrane. qRT-PCR results show significant upregulation in the
expression of caspase-9 in both HT29 and SW620 cell lines, further supporting
that cell death induction by DK1 is via an intrinsic pathway. These outcomes,
therefore, demonstrate DK1 as a potential anticancer agent for colon
adenocarcinoma due to its anti-apoptotic attributes.
PMID- 29641446
TI - The JAK2 GGCC (46/1) Haplotype in Myeloproliferative Neoplasms: Causal or Random?
AB - The germline JAK2 haplotype known as "GGCC or 46/1 haplotype"
(haplotypeGGCC_46/1) consists of a combination of single nucleotide polymorphisms
(SNPs) mapping in a region of about 250 kb, extending from the JAK2 intron 10 to
the Insulin-like 4 (INLS4) gene. Four main SNPs (rs3780367, rs10974944,
rs12343867, and rs1159782) generating a "GGCC" combination are more frequently
indicated to represent the JAK2 haplotype. These SNPs are inherited together and
are frequently associated with the onset of myeloproliferative neoplasms (MPN)
positive for both JAK2 V617 and exon 12 mutations. The association between the
JAK2 haplotypeGGCC_46/1 and mutations in other genes, such as thrombopoietin
receptor (MPL) and calreticulin (CALR), or the association with triple negative
MPN, is still controversial. This review provides an overview of the frequency
and the role of the JAK2 haplotypeGGCC_46/1 in the pathogenesis of different
myeloid neoplasms and describes the hypothetical mechanisms at the basis of the
association with JAK2 gene mutations. Moreover, possible clinical implications
are discussed, as different papers reported contrasting data about the
correlation between the JAK2 haplotypeGGCC_46/1 and blood cell count, survival,
or disease progression.
PMID- 29641447
TI - Glucose-Dependent Insulinotropic Polypeptide Mitigates 6-OHDA-Induced Behavioral
Impairments in Parkinsonian Rats.
AB - In the present study, the effectiveness of glucose-dependent insulinotropic
polypeptide (GIP) was evaluated by behavioral tests in 6-hydroxydopamine (6-OHDA)
hemi-parkinsonian (PD) rats. Pharmacokinetic measurements of GIP were carried out
at the same dose studied behaviorally, as well as at a lower dose used
previously. GIP was delivered by subcutaneous administration (s.c.) using
implanted ALZET micro-osmotic pumps. After two days of pre-treatment, male
Sprague Dawley rats received a single unilateral injection of 6-OHDA into the
medial forebrain bundle (MFB). The neuroprotective effects of GIP were evaluated
by apomorphine-induced contralateral rotations, as well as by locomotor and
anxiety-like behaviors in open-field tests. Concentrations of human active and
total GIP were measured in plasma during a five-day treatment period by ELISA and
were found to be within a clinically translatable range. GIP pretreatment reduced
behavioral abnormalities induced by the unilateral nigrostriatal dopamine (DA)
lesion produced by 6-OHDA, and thus may be a novel target for PD therapeutic
development.
PMID- 29641450
TI - Age-Dependent Developmental Response to Temperature: An Examination of the Rarely
Tested Phenomenon in Two Species (Gypsy Moth (Lymantria dispar) and Winter Moth
(Operophtera brumata)).
AB - The pervading paradigm in insect phenology models is that the response to a given
temperature does not vary within a life stage. The developmental rate functions
that have been developed for general use, or for specific insects, have for the
most part been temperature-dependent but not age-dependent, except where age is
an ordinal variable designating the larval instar. Age dependence, where age is a
continuous variable, is not often reported (or investigated), and is rarely
included in phenology models. I provide a short review of the seldom-investigated
phenomenon of age dependence in developmental response to temperature, and
compare the derivation of the winter moth egg phenology model by Salis et al. to
the derivation of another egg phenology model with age-dependent responses to
temperature I discuss some probable reasons for the discrepancies (acknowledged
by Salis et al. between modelled and observed developmental rates of the winter
moth, and discuss the contribution that geographically robust phenology models
can make to estimates of species distributions.
PMID- 29641448
TI - Identification and Evolution of TGF-beta Signaling Pathway Members in Twenty-Four
Animal Species and Expression in Tilapia.
AB - Transforming growth factor beta (TGF-beta) signaling controls diverse cellular
processes during embryogenesis as well as in mature tissues of multicellular
animals. Here we carried out a comprehensive analysis of TGF-beta pathway members
in 24 representative animal species. The appearance of the TGF-beta pathway was
intrinsically linked to the emergence of metazoan. The total number of TGF-beta
ligands, receptors, and smads changed slightly in all invertebrates and jawless
vertebrates analyzed. In contrast, expansion of the pathway members, especially
ligands, was observed in jawed vertebrates most likely due to the second round of
whole genome duplication (2R) and additional rounds in teleosts. Duplications of
TGFB2, TGFBR2, ACVR1, SMAD4 and SMAD6, which were resulted from 2R, were first
isolated. Type II receptors may be originated from the ACVR2-like ancestor.
Interestingly, AMHR2 was not identified in Chimaeriformes and Cypriniformes even
though they had the ligand AMH. Based on transcriptome data, TGF-beta ligands
exhibited a tissue-specific expression especially in the heart and gonads.
However, most receptors and smads were expressed in multiple tissues indicating
they were shared by different ligands. Spatial and temporal expression profiles
of 8 genes in gonads of different developmental stages provided a fundamental
clue for understanding their important roles in sex determination and
reproduction. Taken together, our findings provided a global insight into the
phylogeny and expression patterns of the TGF-beta pathway genes, and hence
contribute to the greater understanding of their biological roles in the organism
especially in teleosts.
PMID- 29641449
TI - Delivery of Proapoptotic Agents in Glioma Cell Lines by TSPO Ligand-Dextran
Nanogels.
AB - Translocator protein 18-kDa (TSPO) is a versatile mitochondrial target for
molecular imaging and therapy. Moreover, selective TSPO ligands have been widely
investigated for diagnostic purposes and explored to target drug delivery systems
directed to cancer cells overexpressing TSPO. Indeed, poly(d,l-lactic-co-glycolic
acid (PLGA) polymers and nanocarriers decorated with TSPO ligands are capable of
transporting TSPO ligands inside cancer cells, inducing survival inhibition in
cancer cells and producing mitochondrial morphology modification. The aim of this
work was to prepare nanogels (NGs) made with TSPO ligand dextran conjugates (TSPO
Dex) that are useful as potential delivery systems of two TSPO ligands as
apoptotic agents. Synthesis and complete characterization of TSPO-dextran
conjugates, an average molecular weights analysis, TSPO ligand release profiles,
thermal behaviour and swelling studies were achieved. NG preparation,
characterization and in vitro biological studies were also performed. The release
of TSPO ligands released from dextran conjugates at 37 degrees C occurred in
human serum at a faster rate than that detected in phosphate buffer. Cytotoxicity
studies demonstrated that NGs produced from TSPO ligand-dextran conjugates induce
survival inhibition in rat C6 glioma cell lines. Cellular uptake was also proven
by fluorescence microscopy.
PMID- 29641451
TI - Near-Infrared Spectroscopic Method for Monitoring Water Content in Epoxy Resins
and Fiber-Reinforced Composites.
AB - Monitoring water content and predicting the water-induced drop in strength of
fiber-reinforced composites are of great importance for the oil and gas and
marine industries. Fourier transform infrared (FTIR) spectroscopic methods are
broadly available and often used for process and quality control in industrial
applications. A benefit of using such spectroscopic methods over the conventional
gravimetric analysis is the possibility to deduce the mass of an absolutely dry
material and subsequently the true water content, which is an important indicator
of water content-dependent properties. The objective of this study is to develop
an efficient and detailed method for estimating the water content in epoxy resins
and fiber-reinforced composites. In this study, Fourier transform near-infrared
(FT-NIR) spectroscopy was applied to measure the water content of amine-epoxy
neat resin. The method was developed and successfully extended to glass fiber
reinforced composite materials. Based on extensive measurements of neat resin and
composite samples of varying water content and thickness, regression was
performed, and the quantitative absorbance dependence on water content in the
material was established. The mass of an absolutely dry resin was identified, and
the true water content was obtained. The method was related to the Beer-Lambert
law and explained in such terms. A detailed spectroscopic method for measuring
water content in resins and fiber-reinforced composites was developed and
described.
PMID- 29641452
TI - A Novel "Off-On" Fluorescent Probe Based on Carbon Nitride Nanoribbons for the
Detection of Citrate Anion and Live Cell Imaging.
AB - A novel fluorescent "off-on" probe based on carbon nitride (C3N4) nanoribbons was
developed for citrate anion (C6H5O73-) detection. The fluorescence of C3N4
nanoribbons can be quenched by Cu2+ and then recovered by the addition of C6H5O73
, because the chelation between C6H5O73- and Cu2+ blocks the electron transfer
between Cu2+ and C3N4 nanoribbons. The turn-on fluorescent sensor using this
fluorescent "off-on" probe can detect C6H5O73- rapidly and selectively, showing a
wide detection linear range (1~400 MUM) and a low detection limit (0.78 MUM) in
aqueous solutions. Importantly, this C3N4 nanoribbon-based "off-on" probe
exhibits good biocompatibility and can be used as fluorescent visualizer for
exogenous C6H5O73- in HeLa cells.
PMID- 29641453
TI - Edge-Aware Unidirectional Total Variation Model for Stripe Non-Uniformity
Correction.
AB - The problem of stripe non-uniformity in array-based infrared imaging systems has
been the focus of many research studies. Among the proposed correction
techniques, total variation models have been proven to significantly reduce the
effect of this type of noise on the captured image. However, they also cause the
loss of some image details and textures due to over-smoothing effect. In this
paper, a correction scheme is proposed based on unidirectional variation model to
exploit the direction characteristic of the stripe noise, in which an edge-aware
weighting is incorporated to convey image structure retaining ability to the
overall algorithm. Moreover, a statistical-based regularization is also
introduced to further enhance correction performance around strong edges. The
proposed approach is thoroughly scrutinized and compared to the state-of-the-art
de-striping techniques using real stripe non-uniform images. Results demonstrate
a significant improvement in edge preservation with better correction
performance.
PMID- 29641454
TI - The Potential Health Benefits of Noni Juice: A Review of Human Intervention
Studies.
AB - Noni juice is a globally popular health beverage originating in the tropics.
Traditional Tahitian healers believe the noni plant to be useful for a wide range
of maladies, and noni juice consumers throughout the world have similar
perceptions. Nevertheless, human clinical trials are necessary for a precise
understanding of what the health benefits of noni juice are. A review of
published human intervention studies suggests that noni juice may provide
protection against tobacco smoke-induced DNA damage, blood lipid and homocysteine
elevation as well as systemic inflammation. Human intervention studies also
indicate that noni juice may improve joint health, increase physical endurance,
increase immune activity, inhibit glycation of proteins, aid weight management,
help maintain bone health in women, help maintain normal blood pressure, and
improve gum health. Further, these studies point to notable antioxidant activity
in noni juice, more so than other fruit juices which served as trial placebos. It
is this antioxidant effect and its interaction with the immune system and
inflammation pathways that may account for many of the observed health benefits
of noni juice. However, the existing evidence does have some limitations as far
as its general application to noni juice products; all the peer-reviewed human
interventions studies to date have involved only one source of French Polynesian
noni juice. Geographical factors and variations in processing methods are known
to produce commercial noni juice products with divergent phytochemical and
nutrient compositions. Therefore, other sources of noni products may have
different toxicological and pharmacological profiles.
PMID- 29641455
TI - A Flexible Sensing Unit Manufacturing Method of Electrochemical Seismic Sensor.
AB - This paper presents an electrochemical seismic sensor in which paraylene was used
as a substrate and insulating layer of micro-fabricated electrodes, enabling the
detection of seismic signals with enhanced sensitivities in comparison to silicon
based counterparts. Based on microfabrication, paralene-based electrochemical
seismic sensors were fabricated in which the thickness of the insulating spacer
was 6.7 MUm. Compared to silicon-based counterparts with ~100 MUm insulating
layers, the parylene-based devices produced higher sensitivities of 490.3 +/- 6.1
V/(m/s) vs. 192.2 +/- 1.9 V/(m/s) at 0.1 Hz, 4764.4 +/- 18 V/(m/s) vs. 318.9 +/-
6.5 V/(m/s) at 1 Hz, and 4128.1 +/- 38.3 V/(m/s) vs. 254.5 +/- 4.2 V/(m/s) at 10
Hz. In addition, the outputs of the parylene vs. silicon devices in response to
two transit inputs were compared, producing peak responses of 2.97 V vs. 0.22 V
and 2.41 V vs. 0.19 V, respectively. Furthermore, the self-noises of parylene vs.
silicon-based devices were compared as follows: -82.3 +/- 3.9 dB vs. -90.4 +/-
9.4 dB at 0.1 Hz, -75.7 +/- 7.3 dB vs. -98.2 +/- 9.9 dB at 1 Hz, and -62.4 +/-
7.7 dB vs. -91.1 +/- 8.1 dB at 10 Hz. The developed parylene-based
electrochemical seismic sensors may function as an enabling technique for further
detection of seismic motions in various applications.
PMID- 29641456
TI - Preparation of Hybrid Nanoparticle Nucleating Agents and Their Effects on the
Crystallization Behavior of Poly(ethylene terephthalate).
AB - In this research contribution, the primary objective was to enhance the
crystallization behavior of poly(ethylene terephthalate) (PET). To accomplish
this tack, three kinds of new nucleating agents SiO2-diethylene glycol-LMPET (PET
3), SiO2-triethylene glycol-LMPET(PET-4) and SiO2-tetraethylene glycol-LMPET (PET
5) nucleating agents were prepared via grafting different oligomers (diethylene
glycol; triethylene glycol and tetraethylene glycol) to the surface of nano-SiO2
and then linking to the low molecular weight poly(ethylene terephthalate)
(LMPET). These nano-particle nucleating agents facilitated the crystallization of
PET. Differential scanning calorimetry (DSC) studies of the composites that pure
PET blended with PET-3, PET-4 and PET-5 indicated that the longer ethoxy segment
in the nucleating agents exhibited (i) higher degrees of crystallinity; (ii)
faster rates of crystallization; and (iii) higher crystallization temperatures.
The Jeziorny method was employed to analyze the non-isothermal crystallization
kinetics of the composites. These works demonstrated that the PET-3, PET-4 and
PET-5 were attractive nucleating agents for poly(ethylene terephthalate), and the
longer the chain length of the ethoxy segment in the nucleating agents, the more
efficient the nucleation effect.
PMID- 29641457
TI - Facile Syntheses and Molecular-Docking of Novel Substituted 3,4-Dimethyl-1H
pyrrole-2-carboxamide/carbohydrazide Analogues with Antimicrobial and Antifungal
Properties.
AB - The article describes the use of facile one-pot, high-yielding reactions to
synthesize substituted 3,4-dimethyl-1H-pyrrole-2-carboxamides 3a-m and
carbohydrazide analogues 5a-l as potential antifungal and antimicrobial agents.
The structural identity and purity of the synthesized compounds were assigned
based on appropriate spectroscopic techniques. Synthesized compounds were
assessed in vitro for antifungal and antibacterial activity. The compounds 5h, 5i
and 5j were found to be the most potent against Aspergillusfumigatus, with MIC
values of 0.039 mg/mL. The compound 5f bearing a 2, 6-dichloro group on the
phenyl ring was found to be the most active broad spectrum antibacterial agent
with a MIC value of 0.039 mg/mL. The mode of action of the most promising
antifungal compounds (one representative from each series; 3j and 5h) was
established by their molecular docking with the active site of sterol 14alpha
demethylase. Molecular docking studies revealed a highly spontaneous binding
ability of the tested compounds in the access channel away from catalytic heme
iron of the enzyme, which suggested that the tested compounds inhibit this enzyme
and would avoid heme iron-related deleterious side effects observed with many
existing antifungal compounds.
PMID- 29641458
TI - Epigallocatechin Gallate-Modified Gelatin Sponges Treated by Vacuum Heating as a
Novel Scaffold for Bone Tissue Engineering.
AB - Chemical modification of gelatin using epigallocatechin gallate (EGCG) promotes
bone formation in vivo. However, further improvements are required to increase
the mechanical strength and bone-forming ability of fabricated EGCG-modified
gelatin sponges (EGCG-GS) for practical applications in regenerative therapy. In
the present study, we investigated whether vacuum heating-induced dehydrothermal
cross-linking of EGCG-GS enhances bone formation in critical-sized rat calvarial
defects. The bone-forming ability of vacuum-heated EGCG-GS (vhEGCG-GS) and other
sponges was evaluated by micro-computed tomography and histological staining. The
degradation of sponges was assessed using protein assays, and cell morphology and
proliferation were verified by scanning electron microscopy and immunostaining
using osteoblastic UMR106 cells in vitro. Four weeks after the implantation of
sponges, greater bone formation was detected for vhEGCG-GS than for EGCG-GS or
vacuum-heated gelatin sponges (dehydrothermal cross-linked sponges without EGCG).
In vitro experiments revealed that the relatively low degradability of vhEGCG-GS
supports cell attachment, proliferation, and cell-cell communication on the
matrix. These findings suggest that vacuum heating enhanced the bone forming
ability of EGCG-GS, possibly via the dehydrothermal cross-linking of EGCG-GS,
which provides a scaffold for cells, and by maintaining the pharmacological
effect of EGCG.
PMID- 29641461
TI - Identification of an ACE-Inhibitory Peptide from Walnut Protein and Its
Evaluation of the Inhibitory Mechanism.
AB - In the present study, a novel angiotensin I-converting enzyme inhibitory (ACE
inhibitory) peptide, EPNGLLLPQY, derived from walnut seed storage protein,
fragment residues 80-89, was identified by ultra-high performance liquid
chromatography electrospray ionization quadrupole time of flight mass
spectrometry (UPLC-ESI-Q-TOF-MS/MS) from walnut protein hydrolysate. The IC50
value of the peptide was 233.178 MUM, which was determined by the high
performance liquid chromatography method by measuring the amount of hippuric acid
(HA) generated from the ACE decomposition substrate (hippuryl-l-histidyl-l
leucine (HHL) to assess the ACE activity. Enzyme inhibitory kinetics of the
peptide against ACE were also conducted, by which the inhibitory mechanism of ACE
inhibitory peptide was confirmed. Moreover, molecular docking was simulated by
Discovery Studio 2017 R2 software to provide the potential mechanisms underlying
the ACE-inhibitory activity of EPNGLLLPQY.
PMID- 29641460
TI - Characteristics of Milk Fermented by Streptococcus thermophilus MGA45-4 and the
Profiles of Associated Volatile Compounds during Fermentation and Storage.
AB - The lactic acid bacterium Streptococcus thermophilus is a major starter culture
for the production of dairy products. In this study, the physiochemical
characteristics of milk fermented by the MGA45-4 isolate of S. thermophilus were
analyzed. Our data indicate that milk fermented using S. thermophilus MGA45-4
maintained a high viable cell count (8.86 log10 colony-forming units/mL), and a
relatively high pH (4.4), viscosity (834.33 mPa.s), and water holding capacity
(40.85%) during 14 days of storage. By analyzing the volatile compound profile
using solid-phase microextraction and gas chromatography/mass spectrometry, we
identified 73 volatile compounds in the fermented milk product, including five
carboxylic acids, 21 aldehydes, 13 ketones, 16 alcohols, five esters, and 13
aromatic carbohydrates. According to the odor activity values, 11 of these
volatile compounds were found to play a key role in producing the characteristic
flavor of fermented milk, particularly octanal, nonanal, hexanal, 2,3
butanedione, and 1-octen-3-ol, which had the highest odor activity values among
all compounds analyzed. These findings thus provide more insights in the
chemical/molecular characteristics of milk fermented using S. thermophilus, which
may provide a basis for improving dairy product flavor/odor during the process of
fermentation and storage.
PMID- 29641462
TI - Multisensory Integration Strategy for Modality-Specific Loss of Inhibition
Control in Older Adults.
AB - Older adults are known to have lesser cognitive control capability and greater
susceptibility to distraction than young adults. Previous studies have reported
age-related problems in selective attention and inhibitory control, yielding
mixed results depending on modality and context in which stimuli and tasks were
presented. The purpose of the study was to empirically demonstrate a modality
specific loss of inhibitory control in processing audio-visual information with
ageing. A group of 30 young adults (mean age = 25.23, Standar Desviation (SD) =
1.86) and 22 older adults (mean age = 55.91, SD = 4.92) performed the audio
visual contour identification task (AV-CIT). We compared performance of
visual/auditory identification (Uni-V, Uni-A) with that of visual/auditory
identification in the presence of distraction in counterpart modality (Multi-V,
Multi-A). The findings showed a modality-specific effect on inhibitory control.
Uni-V performance was significantly better than Multi-V, indicating that auditory
distraction significantly hampered visual target identification. However, Multi-A
performance was significantly enhanced compared to Uni-A, indicating that
auditory target performance was significantly enhanced by visual distraction.
Additional analysis showed an age-specific effect on enhancement between Uni-A
and Multi-A depending on the level of visual inhibition. Together, our findings
indicated that the loss of visual inhibitory control was beneficial for the
auditory target identification presented in a multimodal context in older adults.
A likely multisensory information processing strategy in the older adults was
further discussed in relation to aged cognition.
PMID- 29641459
TI - An Overview of Novel Dietary Supplements and Food Ingredients in Patients with
Metabolic Syndrome and Non-Alcoholic Fatty Liver Disease.
AB - Metabolic syndrome (MetS) is characterized by interconnected factors related to
metabolic disturbances, and is directly related to the occurrence of some
diseases such as cardiovascular diseases and type 2 diabetes. MetS is described
as one or both of insulin resistance and visceral adiposity, considered the
initial causes of abnormalities that include hyperglycemia, elevated blood
pressure, dyslipidemia, elevated inflammatory markers, and prothrombotic state,
as well as polycystic ovarian syndrome in women. Other than in MetS, visceral
adiposity and the pro-inflammatory state are also key in the development of non
alcoholic fatty liver disease (NAFLD), which is the most prevalent chronic liver
disease in modern society. Both MetS and NAFLD are related to diet and lifestyle,
and their treatment may be influenced by dietary pattern changes and the use of
certain dietary supplements. This study aimed to review the role of food
ingredients and supplements in the management of MetS and NAFLD specifically in
human clinical trials. Moreover, bioactive compounds and polyunsaturated fatty
acids (PUFAs) may be used as strategies for preventing the onset of and treatment
of metabolic disorders, such as MetS and NAFLD, improving the inflammatory state
and other comorbidities, such as obesity, dyslipidemias, and cardiovascular
diseases (CVD).
PMID- 29641464
TI - Oral Health-Related Quality of Life in Young Adults: A Survey of Russian
Undergraduate Students.
AB - BACKGROUND: Oral health (OH) is poor among young adults in Russia, but there is
little information on OH-related quality of life (OHRQoL) in this population. We
investigated how socio-demographic factors, self-reported OH characteristics,
oral health behaviour, and clinically-assessed OH are related to OHRQoL in
medical and dental students in North-West Russia. METHODS: This cross-sectional
study included 391 medical and 275 dental Russian undergraduate students aged 18
25 years. Information on socio-demographic, self-reported OH characteristics, and
oral health behaviour was obtained from a structured, self-administered
questionnaire. A clinical examination was performed to assess dental caries
experience based on the decayed (D) missing (M) filled (F) teeth (T) index;
Simplified Oral Hygiene Index; and Gingival Index. OHRQoL was measured by the OH
Impact Profile (OHIP-14). RESULTS: 53.6% of students reported low OHRQoL during
the last 12 months. Female sex (odds ratio [OR] = 1.48, 95% confidence interval
[CI]: 1.00-2.19), rural place of childhood residence (OR = 1.56, 95% CI: 1.06
2.28), poor self-assessed dental aesthetic (OR = 1.75, 95% CI: 1.16-2.64),
dissatisfaction with mouth and teeth (OR = 2.51, 95% CI: 1.68-3.77), and DMFT
index (OR = 1.05, 95% CI: 1.01-1.09), were all significantly, independently
associated with low OHRQoL. CONCLUSION: Socio-demographic factors (rural place of
childhood residence, female sex), poor self-reported OH characteristics, and high
DMFT index were associated with low OHRQoL.
PMID- 29641463
TI - iTRAQ Quantitative Proteomic Analysis of Vitreous from Patients with Retinal
Detachment.
AB - Rhegmatogenous retinal detachment (RRD) is a potentially blinding condition
characterized by a physical separation between neurosensory retina and retinal
pigment epithelium. Quantitative proteomics can help to understand the changes
that occur at the cellular level during RRD, providing additional information
about the molecular mechanisms underlying its pathogenesis. In the present study,
iTRAQ labeling was combined with two-dimensional LC-ESI-MS/MS to find expression
changes in the proteome of vitreous from patients with RRD when compared to
control samples. A total of 150 proteins were found differentially expressed in
the vitreous of patients with RRD, including 96 overexpressed and 54
underexpressed. Several overexpressed proteins, several such as glycolytic
enzymes (fructose-bisphosphate aldolase A, gamma-enolase, and phosphoglycerate
kinase 1), glucose transporters (GLUT-1), growth factors (metalloproteinase
inhibitor 1), and serine protease inhibitors (plasminogen activator inhibitor 1)
are regulated by HIF-1, which suggests that HIF-1 signaling pathway can be
triggered in response to RRD. Also, the accumulation of photoreceptor proteins,
including phosducin, rhodopsin, and s-arrestin, and vimentin in vitreous may
indicate that photoreceptor degeneration occurs in RRD. Also, the accumulation of
photoreceptor proteins, including phosducin, rhodopsin, and s-arrestin, and
vimentin in vitreous may indicate that photoreceptor degeneration occurs in RRD.
Nevertheless, the differentially expressed proteins found in this study suggest
that different mechanisms are activated after RRD to promote the survival of
retinal cells through complex cellular responses.
PMID- 29641465
TI - Antitumor Effect of Calcium-Mediated Destabilization of Epithelial Growth Factor
Receptor on Non-Small Cell Lung Carcinoma.
AB - Despite the development of numerous therapeutics targeting the epithelial growth
factor receptor (EGFR) for non-small cell lung carcinoma (NSCLC), the application
of these drugs is limited because of drug resistance. Here, we investigated the
antitumor effect of calcium-mediated degradation of EGFR pathway-associated
proteins on NSCLC. First, lactate calcium salt (LCS) was utilized for calcium
supplementation. Src, alpha-tubulin and EGFR levels were measured after LSC
treatment, and the proteins were visualized by immunocytochemistry. Calpeptin was
used to confirm the calcium-mediated effect of LCS on NSCLC. Nuclear expression
of c-Myc and cyclin D1 was determined to understand the underlying mechanism of
signal inhibition following EGFR and Src destabilization. The colony formation
assay and a xenograft animal model were used to confirm the in vitro and in vivo
antitumor effects, respectively. LCS supplementation reduced Src and alpha
tubulin expression in NSCLC cells. EGFR was destabilized because of proteolysis
of Src and alpha-tubulin. c-Myc and cyclin D1 expression levels were also reduced
following the decrease in the transcriptional co-activation of EGFR and Src.
Clonogenic ability and tumor growth were significantly inhibited by LSC treatment
induced EGFR destabilization. These results suggest that other than specifically
targeting EGFR, proteolysis of associated molecules such as Src or alpha-tubulin
may effectively exert an antitumor effect on NSCLC via EGFR destabilization.
Therefore, LCS is expected to be a good candidate for developing novel anti-NSCLC
therapeutics overcoming chemoresistance.
PMID- 29641466
TI - In Vitro Dermal Safety Assessment of Silver Nanowires after Acute Exposure:
Tissue vs. Cell Models.
AB - Silver nanowires (AgNW) are attractive materials that are anticipated to be
incorporated into numerous consumer products such as textiles, touchscreen
display, and medical devices that could be in direct contact with skin. There are
very few studies on the cellular toxicity of AgNW and no studies that have
specifically evaluated the potential toxicity from dermal exposure. To address
this question, we investigated the dermal toxicity after acute exposure of
polymer-coated AgNW with two sizes using two models, human primary keratinocytes
and human reconstructed epidermis. In keratinocytes, AgNW are rapidly and
massively internalized inside cells leading to dose-dependent cytotoxicity that
was not due to Ag+ release. Analysing our data with different dose metrics, we
propose that the number of NW is the most appropriate dose-metric for studies of
AgNW toxicity. In reconstructed epidermis, the results of a standard in vitro
skin irritation assay classified AgNW as non-irritant to skin and we found no
evidence of penetration into the deeper layer of the epidermis. The findings show
that healthy and intact epidermis provides an effective barrier for AgNW,
although the study does not address potential transport through follicles or
injured skin. The combined cell and tissue model approach used here is likely to
provide an important methodology for assessing the risks for skin exposure to
AgNW from consumer products.
PMID- 29641467
TI - The Association of Dietary Patterns with High-Risk Human Papillomavirus Infection
and Cervical Cancer: A Cross-Sectional Study in Italy.
AB - Specific foods and nutrients help prevent the progression of persistent high-risk
human papillomavirus (hrHPV) infection to cervical cancer (CC). The aim of this
study was to investigate dietary patterns which may be associated with hrHPV
status and the risk of high-grade cervical intraepithelial neoplasia (CIN2+).
Overall, 539 eligible women, including 127 with CIN2+, were enrolled in a cross
sectional study, and tested for hrHPV infection. Food intake was estimated using
a food frequency questionnaire. Logistic regression models were applied. Using
the Mediterranean Diet Score, we demonstrated that, among 252 women with a normal
cervical epithelium, medium adherence to the Mediterranean diet decreased the
odds of hrHPV infection when compared to low adherence (adjOR = 0.40, 95%CI =
0.22-0.73). Using the principal component analysis, we also identified two
dietary patterns which explained 14.31% of the variance in food groups intake.
Women in the third and fourth quartiles of the "Western pattern" had higher odds
of hrHPV infection when compared with first quartile (adjOR = 1.77, 95% CI = 1.04
3.54 and adjOR = 1.97, 95%CI = 1.14-4.18, respectively). Adjusting for hrHPV
status and age, women in the third quartile of the "prudent pattern" had lower
odds of CIN2+ when compared with those in the first quartile (OR = 0.50, 95%CI =
0.26-0.98). Our study is the first to demonstrate the association of dietary
patterns with hrHPV infection and CC and discourages unhealthy habits in favour
of a Mediterranean-like diet.
PMID- 29641468
TI - Associations of Mediterranean Diet and a Posteriori Derived Dietary Patterns with
Breast and Lung Cancer Risk: A Case-Control Study.
AB - Lung cancer in men and breast cancer in women are the most commonly diagnosed
cancers in Poland and worldwide. Results of studies involving dietary patterns
(DPs) and breast or lung cancer risk in European countries outside the
Mediterranean Sea region are limited and inconclusive. This study aimed to
develop a 'Polish-adapted Mediterranean Diet' ('Polish-aMED') score, and then
study the associations between the 'Polish-aMED' score and a posteriori-derived
dietary patterns with breast or lung cancer risk in adult Poles. This pooled
analysis of two case-control studies involved 560 subjects (280 men, 280 women)
aged 40-75 years from Northeastern Poland. Diagnoses of breast cancer in 140
women and lung cancer in 140 men were found. The food frequency consumption of 21
selected food groups was collected using a 62-item Food Frequency Questionnaire
(FFQ)-6. The 'Polish-adapted Mediterranean Diet' score which included eight items
vegetables, fruit, whole grain, fish, legumes, nuts and seeds-as well as the
ratio of vegetable oils to animal fat and red and processed meat was developed
(range: 0-8 points). Three DPs were identified in a Principal Component Analysis:
'Prudent', 'Non-healthy', 'Dressings and sweetened-low-fat dairy'. In a multiple
logistic regression analysis, two models were created: crude, and adjusted for
age, sex, type of cancer, Body Mass Index (BMI), socioeconomic status (SES)
index, overall physical activity, smoking status and alcohol abuse. The risk of
breast or lung cancer was lower in the average (3-5 points) and high (6-8 points)
levels of the 'Polish-aMED' score compared to the low (0-2 points) level by 51%
(odds ratio (OR): 0.49; 95% confidence interval (Cl): 0.30-0.80; p < 0.01;
adjusted) and 63% (OR: 0.37; 95% Cl: 0.21-0.64; p < 0.001; adjusted),
respectively. In the middle and upper tertiles compared to the bottom tertile of
the 'Prudent' DP, the risk of cancer was lower by 38-43% (crude) but was not
significant after adjustment for confounders. In the upper compared to the bottom
tertile of the 'Non-healthy' DP, the risk of cancer was higher by 65% (OR: 1.65;
95% Cl: 1.05-2.59; p < 0.05; adjusted). In conclusion, the Polish adaptation of
the Mediterranean diet could be considered for adults living in non-Mediterranean
countries for the prevention of the breast or lung cancers. Future studies should
explore the role of a traditional Mediterranean diet fitted to local dietary
patterns of non-Mediterranean Europeans in cancer prevention.
PMID- 29641469
TI - Free and Bound Phenolic Compound Content and Antioxidant Activity of Different
Cultivated Blue Highland Barley Varieties from the Qinghai-Tibet Plateau.
AB - In this study, the polyphenols composition and antioxidant properties of 12 blue
highland barley varieties planted on the Qinghai-Tibet Plateau area were
measured. The contents of the free, bound and total phenolic acids varied between
166.20-237.60, 170.10-240.75 and 336.29-453.94 mg of gallic acid equivalents per
100 g of dry weight (DW) blue highland barley grains, while the free and bound
phenolic acids accounted for 50.09% and 49.91% of the total phenolic acids,
respectively. The contents of the free, bound and total flavones varied among
20.61-25.59, 14.91-22.38 and 37.91-47.98 mg of catechin equivalents per 100 g of
dry weight (DW) of blue highland barley grains, while the free and bound flavones
accounted for 55.90% and 44.10% of the total flavones, respectively. The
prominent phenolic compounds in the blue hulless barley grains were gallic acid,
benzoic acid, syringic acid, 4-coumaric acid, naringenin, hesperidin, rutin, (+)
catechin and quercetin. Among these, protocatechuic acid, chlorogenic acid and
(+)-catechin were the major phenolic compounds in the free phenolics extract. The
most abundant bound phenolics were gallic acid, benzoic acid, syringic acid, 4
coumaric acid, benzoic acid, dimethoxybenzoic acid, naringenin, hesperidin,
quercetin and rutin. The average contribution of the bound phenolic extract to
the DPPH* free radical scavenging capacity was higher than 86%, that of free
phenolic extract to the ABTS*+ free radical scavenging capacity was higher than
79%, and that of free phenolic (53%) to the FRAP antioxidant activity was
equivalent to that of the bound phenol extract (47%). In addition, the planting
environment exerts a very important influence on the polyphenol composition,
content and antioxidant activity of blue highland barley. The correlation
analysis showed that 2,4-hydroxybenzoic acid and protocatechuic acid were the
main contributors to the DPPH* and ABTS*+ free radical scavenging capacity in the
free phenolic extract, while chlorogenic acid, vanillic acid, ferulic acid and
quercetin were the main contributors to the free radical scavenging capacity in
the bound phenol extract. The study results show that the blue highland barley
grains have rich phenolic compounds and high antioxidant activity, as well as
significant varietal differences. The free and bound phenolic extracts in the
blue hulless barley grains have an equivalent proportion in the total phenol, and
co-exist in two forms. They can be used as a potential valuable source of natural
antioxidants, and can aid in enhancing the development and daily consumption of
foods relating to blue highland barley.
PMID- 29641471
TI - Current's Fluctuations through Molecular Wires Composed of Thiophene Rings.
AB - We study theoretically the electronic transport and quantum fluctuations in
single-molecule systems using thiophene rings as integrated elementary functions,
as well as the dependence of these properties with the increase of the coupled
rings, i.e., as a quantum wire. In order to analyze the current flow through
these molecular systems, the thiophene rings are considered to be connected to
metal contacts, which, in general terms, will be related to the application of
voltages (bias voltages or gate voltages) to generate non-equilibrium behavior
between the contacts. Due to the nonlinear behavior that is generated when said
voltages are applied, it is possible to observe quantum fluctuations in the
transport properties of these molecular wires. For the calculation of the
transport properties, we applied a tight-binding approach using the Landauer
Buttiker formalism and the Fischer-Lee relationship, by means of a semi-analytic
Green's function method within a real-space renormalization (decimation
procedure). Our results showed an excellent agreement with results using a tight
binding model with a minimal number of parameters reported so far for these
molecular systems.
PMID- 29641470
TI - Systematic Analysis of the Pleurotus ostreatus Laccase Gene (PoLac) Family and
Functional Characterization of PoLac2 Involved in the Degradation of Cotton-Straw
Lignin.
AB - Fungal laccases play important roles in the degradation of lignocellulose.
Although some PoLacs have been reported in several studies, still no
comprehensive bioinformatics study of the LAC family in Pleurotus ostreatus has
been reported. In this study, we identified 12 laccase genes in the whole genome
sequence of P. ostreatus and their physical characteristics, gene distribution,
phylogenic relationships, gene structure, conserved motifs, and cis-elements were
also analyzed. The expression patterns of 12 PoLac genes at different
developmental stages and under different culture substrates were also analyzed.
The results revealed that PoLac2 and PoLac12 may be involved in the degradation
of lignin and the formation of the fruiting body, respectively. Subsequently, we
overexpressed PoLac2 in P. ostreatus by the Agrobacterium tumefaciens-mediated
transformation (ATMT) method. The transformants' laccase activity increased in
varying degrees, and the gene expression level of PoLac2 in transformants was 2-8
times higher than that of the wild-type strain. Furthermore, the lignin
degradation rate by transgenic fungus over 30 days was 2.36-6.3% higher than that
of wild-type. Our data show that overexpression of PoLac2 significantly enhanced
the lignin degradation of cotton-straw. To our knowledge, this study is the first
report to demonstrate the functions of PoLac2 in P. ostreatus.
PMID- 29641472
TI - Tracing Actin Filament Bundles in Three-Dimensional Electron Tomography Density
Maps of Hair Cell Stereocilia.
AB - Cryo-electron tomography (cryo-ET) is a powerful method of visualizing the three
dimensional organization of supramolecular complexes, such as the cytoskeleton,
in their native cell and tissue contexts. Due to its minimal electron dose and
reconstruction artifacts arising from the missing wedge during data collection,
cryo-ET typically results in noisy density maps that display anisotropic XY
versus Z resolution. Molecular crowding further exacerbates the challenge of
automatically detecting supramolecular complexes, such as the actin bundle in
hair cell stereocilia. Stereocilia are pivotal to the mechanoelectrical
transduction process in inner ear sensory epithelial hair cells. Given the
complexity and dense arrangement of actin bundles, traditional approaches to
filament detection and tracing have failed in these cases. In this study, we
introduce BundleTrac, an effective method to trace hundreds of filaments in a
bundle. A comparison between BundleTrac and manually tracing the actin filaments
in a stereocilium showed that BundleTrac accurately built 326 of 330 filaments
(98.8%), with an overall cross-distance of 1.3 voxels for the 330 filaments.
BundleTrac is an effective semi-automatic modeling approach in which a seed point
is provided for each filament and the rest of the filament is computationally
identified. We also demonstrate the potential of a denoising method that uses a
polynomial regression to address the resolution and high-noise anisotropic
environment of the density map.
PMID- 29641473
TI - Preconcentration of Trace Neonicotinoid Insecticide Residues Using Vortex
Assisted Dispersive Micro Solid-Phase Extraction with Montmorillonite as an
Efficient Sorbent.
AB - In this work, we investigated montmorillonite for adsorption of neonicotinoid
insecticides in vortex-assisted dispersive micro-solid phase extraction (VA-d-MU
SPE). High-performance liquid chromatography with photodiode array detection was
used for quantification and determination of neonicotinoid insecticide residues,
including thiamethoxam, clothianidin, imidacloprid, acetamiprid, and thiacloprid.
In this method, the solid sorbent was dispersed into the aqueous sample solution
and vortex agitation was performed to accelerate the extraction process. Finally,
the solution was filtered from the solid sorbent with a membrane filter. The
parameters affecting the extraction efficiency of the proposed method were
optimized, such as amount of sorbent, sample volume, salt addition, type and
volume of extraction solvent, and vortex time. The adsorbing results show that
montmorillonite could be reused at least 4 times and be used as an effective
adsorbent for rapid extraction/preconcentration of neonicotinoid insecticide
residues. Under optimum conditions, linear dynamic ranges were achieved between
0.5 and 1000 ng mL-1 with a correlation of determination (R2) greater than 0.99.
Limit of detection (LOD) ranged from 0.005 to 0.065 ng mL-1, while limit of
quantification (LOQ) ranged from 0.008 to 0.263 ng mL-1. The enrichment factor
(EF) ranged from 8 to 176-fold. The results demonstrated that the proposed method
not only provided a more simple and sensitive method, but also can be used as a
powerful alternative method for the simultaneous determination of insecticide
residues in natural surface water and fruit juice samples.
PMID- 29641474
TI - Application of High-Performance Liquid Chromatography Coupled with Linear Ion
Trap Quadrupole Orbitrap Mass Spectrometry for Qualitative and Quantitative
Assessment of Shejin-Liyan Granule Supplements.
AB - A method for high-performance liquid chromatography coupled with linear ion trap
quadrupole Orbitrap high-resolution mass spectrometry (HPLC-LTQ-Orbitrap MS) was
developed and validated for the qualitative and quantitative assessment of Shejin
liyan Granule. According to the fragmentation mechanism and high-resolution MS
data, 54 compounds, including fourteen isoflavones, eleven ligands, eight
flavonoids, six physalins, six organic acids, four triterpenoid saponins, two
xanthones, two alkaloids, and one licorice coumarin, were identified or
tentatively characterized. In addition, ten of the representative compounds
(matrine, galuteolin, tectoridin, iridin, arctiin, tectorigenin, glycyrrhizic
acid, irigenin, arctigenin, and irisflorentin) were quantified using the
validated HPLC-LTQ-Orbitrap MS method. The method validation showed a good
linearity with coefficients of determination (r2) above 0.9914 for all analytes.
The accuracy of the intra- and inter-day variation of the investigated compounds
was 95.0-105.0%, and the precision values were less than 4.89%. The mean
recoveries and reproducibilities of each analyte were 95.1-104.8%, with relative
standard deviations below 4.91%. The method successfully quantified the ten
compounds in Shejin-liyan Granule, and the results show that the method is
accurate, sensitive, and reliable.
PMID- 29641475
TI - Novel Calcium Zirconate Silicate Cement Biomineralize and Seal Root Canals.
AB - This study evaluated the sealing ability of gutta-percha (GP) with a calcium
silicate-based sealer and a novel calcium zirconate containing calcium silicate
cement (ZC). The root canals of the extracted premolars were prepared, which were
then randomly allocated to three experimental groups (12 root canals per group)
for obturation by continuous wave of condensation with the GP and AH 26 sealer
(CW); obturation using a single GP with a calcium silicate-based EndoSequence BC
sealer (SC); or obturation with ZC. The roots were inserted into sterile
Eppendorf tubes, which were inoculated coronally with Porphyromonas gingivalis.
The amount of endotoxin leakage into the apical reservoirs were measured using
the Limulus Amebocyte Lysate (LAL) assay over 21 days, with comparisons made
using one-way ANOVA and Scheffe's tests (alpha = 0.05). After 21 days, 75% of the
canals that had been obturated by SC, 50% of those obturated by CW and 42% of
those obturated by ZC showed endotoxin leakage. The amount of leakage was higher
in the SC canals than in the CW (p = 0.031) or ZC (p = 0.03) canals, although
there was no significant difference in the amount of leakage for CW and ZC (p >
0.05). X-ray diffraction revealed the presence of tricalcium silicate (Ca3SiO5)
and calcium zirconate (CaZrO3) in the synthesized ZC. Scanning electron
microscopy revealed mineralized precipitates on the dentin of canals obturated by
ZC. The novel calcium zirconate silicate cement appears to promote
biomineralization and seal root canals at least as effectively as the
conventional sealer.
PMID- 29641476
TI - UiO-66-NH2/GO Composite: Synthesis, Characterization and CO2 Adsorption
Performance.
AB - In this work, a new composite materials of graphene oxide (GO)-incorporated metal
organic framework (MOF)(UiO-66-NH2/GO) were in-situ synthesized, and were found
to exhibit enhanced high performances for CO2 capture. X-ray diffraction (XRD),
scanning electron microscope (SEM), N2 physical adsorption, and thermogravimetric
analysis (TGA) were applied to investigate the crystalline structure, pore
structure, thermal stability, and the exterior morphology of the composite. We
aimed to investigate the influence of the introduction of GO on the stability of
the crystal skeleton and pore structure. Water, acid, and alkali resistances were
tested for physical and chemical properties of the new composites. CO2 adsorption
isotherms of UiO-66, UiO-66-NH2, UiO-66/GO, and UiO-66-NH2/GO were measured at
273 K, 298 K, and 318 K. The composite UiO-66-NH2/GO exhibited better optimized
CO2 uptake of 6.41 mmol/g at 273 K, which was 5.1% higher than that of UiO-66/GO
(6.10 mmol/g). CO2 adsorption heat and CO2/N2 selectivity were then calculated to
further evaluate the CO2 adsorption performance. The results indicated that UiO
66-NH2/GO composites have a potential application in CO2 capture technologies to
alleviate the increase in temperature of the earth's atmosphere.
PMID- 29641477
TI - The Influence of Different Metal Ions on the Absorption Properties of Nano-Nickel
Zinc Ferrite.
AB - The hydrothermal method was used to dope different amounts of Co2+, Mn2+, and
Cu2+ in nano-nickel zinc ferrite powder. X-ray diffraction (XRD), a scanning
electron microscopy (TEM), and a vector network analyzer (VNA) were used to
explore the influence of doping on particle size, morphology, and electromagnetic
wave absorption performance. Pure nanometer cobalt nickel zinc ferrite phase was
prepared using the hydrothermal method with an increasing Co2+ content. Results
showed that the grain type structure changed from a spherical structure to an
irregular quadrilateral structure with the average particle size increasing from
35 nm to 60 nm. The lattice constant increased from 0.8352 to 0.8404 nm with Co2+
doping. The increasing Co2+ can change the position of the absorption peak,
increase the bandwidth of the absorber, and improve the performance of the
materials in GHz low frequency. The doping ratio of Mn2+ can affect the size of
the lattice constant, but nanocrystals are easy to reunite without improving the
electromagnetic loss. However, the absorbance performance decreases. For the
doping of Cu2+, there is an agglomeration phenomenon. When the doping quantity is
0.15, the absorbing wave performance becomes better.
PMID- 29641479
TI - High Dynamic Range Imaging at the Quantum Limit with Single Photon Avalanche
Diode-Based Image Sensors.
AB - This paper examines methods to best exploit the High Dynamic Range (HDR) of the
single photon avalanche diode (SPAD) in a high fill-factor HDR photon counting
pixel that is scalable to megapixel arrays. The proposed method combines multi
exposure HDR with temporal oversampling in-pixel. We present a silicon
demonstration IC with 96 * 40 array of 8.25 um pitch 66% fill-factor SPAD-based
pixels achieving >100 dB dynamic range with 3 back-to-back exposures (short, mid,
long). Each pixel sums 15 bit-planes or binary field images internally to
constitute one frame providing 3.75* data compression, hence the 1k frames per
second (FPS) output off-chip represents 45,000 individual field images per second
on chip. Two future projections of this work are described: scaling SPAD-based
image sensors to HDR 1 MPixel formats and shrinking the pixel pitch to 1-3 um.
PMID- 29641478
TI - Conflicting Roles of Connexin43 in Tumor Invasion and Growth in the Central
Nervous System.
AB - The tumor microenvironment is known to have increased levels of cytokines and
metabolites, such as glutamate, due to their release from the surrounding cells.
A normal cell around the tumor that responds to the inflammatory environment is
likely to be subsequently altered. We discuss how these abnormalities will
support tumor survival via the actions of gap junctions (GJs) and hemichannels
(HCs) which are composed of hexamer of connexin43 (Cx43) protein. In particular,
we discuss how GJ intercellular communication (GJIC) in glioma cells, the primary
brain tumor, is a regulatory factor and its attenuation leads to tumor invasion.
In contrast, the astrocytes, which are normal cells around the glioma, are
"hijacked" by tumor cells, either by receiving the transmission of malignant
substances from the cancer cells via GJIC, or perhaps via astrocytic HC activity
through the paracrine signaling which enable the delivery of these substances to
the distal astrocytes. This astrocytic signaling would promote tumor expansion in
the brain. In addition, brain metastasis from peripheral tissues has also been
known to be facilitated by GJs formed between cerebral vascular endothelial cells
and cancer cells. Astrocytes and microglia are generally thought to eliminate
cancer cells at the blood-brain barrier. In contrast, some reports suggest they
facilitate tumor progression as tumor cells take advantage of the normal
functions of astrocytes that support the survival of the neurons by exchanging
nutrients and metabolites. In summary, GJIC is essential for the normal
physiological function of growth and allowing the diffusion of physiological
substances. Therefore, whether GJIC is cancer promoting or suppressing may be
dependent on what permeates through GJs, when it is active, and to which cells.
The nature of GJs, which has been ambiguous in brain tumor progression, needs to
be revisited and understood together with new findings on Cx proteins and HC
activities.
PMID- 29641481
TI - A Novel Well Drill Assisted with High-Frequency Vibration Using the Bending Mode.
AB - It is important for companies to increase the efficiency of drilling as well as
prolong the lifetime of the drilling tool. Since some previous investigations
indicated that a superposition of well drilling with an additional vibration
increases the drilling efficiency, this paper introduces a novel well drill which
is assisted with additional vibrations by means of piezoelectric sandwich bending
vibration transducer. The proposed drill uses bending vibrations in two different
directions to from an elliptical trajectory movement, which can help the drill to
break the surface of hard material more efficiently and clean away the lithic
fragments more easily. The proposed well drill with bending vibration transducer
is designed to have a resonance frequency of the first bending vibration mode of
about 1779 Hz. The motion equation of the particle on the edge of the drill bit
is developed and analyzed. The vibration trajectory of the particle on the edge
of the drill bit is calculated by using finite element method. A prototype of the
proposed drill using bending vibrations is fabricated and tested to verify the
aim of drilling efficiency increase. The feed speed of the vibration assisted
drilling is tested to be about 0.296 mm/s when the excitation voltage of the
transducer is 300 V, while this speed decreases to about 0.195 mm/s when no
vibration is added. This comparison shows that the feed speed of the vibration
assisted drilling is about 52% higher than that of the normal drilling, which
means the proposed drill has a better efficiency and it is important to consider
vibration superimposition in well drilling. In addition, the surface of the drill
hole gained by the vibration assisted drilling is smoother than that of the
normal drilling, which makes the clearance easier.
PMID- 29641480
TI - Friday Night Is Pizza Night: A Comparison of Children's Dietary Intake and
Maternal Perceptions and Feeding Goals on Weekdays and Weekends.
AB - Childhood obesity is a serious issue in the U.S. While obesity is the result of a
multitude of factors, a great deal of research has focused on children's dietary
intake. While children's eating patterns vary throughout the week, not much else
is known about weekday-weekend differences. Therefore, the current study examined
differences in the frequency and portion size of school-age children's
consumption of common foods and beverages, as well as mothers' perceptions of
those items and their child feeding goals, on weekdays and weekends. A total of
192 mothers of children aged 7 to 11 were recruited through Amazon's Mechanical
Turk. Results showed a consistent pattern of more frequent consumption and larger
portions of unhealthy foods and beverages on weekends. This aligned with mothers'
perceptions of those foods and beverages as weekend items, as well as their
feeding goals of health and price being less important on weekends. It is quite
possible that weekends are viewed as having less structure and facilitate
schedules that allow children to consume more meals away from home. These
findings shed light on additional risk factors in children's eating patterns and
highlight the serious implications that day of the week can have on childhood
obesity.
PMID- 29641482
TI - Phase-Shifted Eccentric Core Fiber Bragg Grating Fabricated by Electric Arc
Discharge for Directional Bending Measurement.
AB - A phase-shifted eccentric core fiber Bragg grating (PS-ECFBG) fabricated by
electric arc discharge (EAD) is presented and demonstrated. It is composed of a
fraction of eccentric core fiber fusion spliced in between two pieces of
commercial single mode fibers, where a PS-FBG was written. The EAD in this work
could flexibly change the amount of phase-shift by changing the discharge number
or discharge duration. Because of the offset location of the eccentric core and
the ultra-narrow resonant peak of the PS-ECFBG, it has a higher accuracy for
measuring the directional bend. The elongation and compression of the eccentric
core keep the magnitude of phase shift still unchanged during the bending
process. The bending sensitivities of the PS-ECFBG at two opposite most sensitive
directions are 57.4 pm/m-1 and -51.5 pm/m-1, respectively. Besides, the PS-ECFBG
has the potential to be a tunable narrow bandpass filter, which has a wider bi
directional adjustable range because of the bending responses. The strain and
temperature sensitivities of the PS-ECFBG are experimentally measured as well,
which are 0.70 pm/MUepsilon and 8.85 pm/ degrees C, respectively.
PMID- 29641483
TI - Effects of Mind-Body Exercises for Mood and Functional Capabilities in Patients
with Stroke: An Analytical Review of Randomized Controlled Trials.
AB - Objective: The effects of stroke are both physical and mental in nature and may
have serious implications on the overall well-being of stroke survivors. This
analytical review aims to critically evaluate and statistically synthesize the
existing literature regarding the effects of mind-body (MB) exercises on mood and
functional capabilities in patients with stroke. Methods: A structured literature
review was performed in both English (PubMed, PEDro, and Cochrane Library) and
Chinese (Wanfang and CNKI (Chinese National Knowledge Information Database))
databases. Sixteen randomized controlled trials were considered eligible for meta
analysis. Based on the random effects model, we used the pooled effect size to
determine the magnitude of rehabilitative effect of MB exercise intervention on
depression, anxiety, activities of daily living, and functional mobility among
stroke survivors. The sum PEDro score ranged from five to nine points (fair-to
good methodological quality), but the absence of concealed allocation and blinded
assessors were reported in most studies. Results: The aggregated results showed
that MB exercise intervention is associated with significantly improved ADL
(Hedges' g = 1.31, 95% CI 0.85 to 1.77, p < 0.001, I2 = 79.82%) and mobility
(Hedges' g = 0.67, 95% CI 0.25 to 1.09, p < 0.001, I2 = 69.65%), and reduced
depression (Hedges' g = -0.76, 95% CI -1.16 to -0.35, p < 0.001, I2 = 74.84%).
Conclusions: as add-on treatments, the MB exercises may potentially improve
depression, activities of daily living, and mobility of these post-stroke
patients. Future studies with more robust methodology will be needed to provide a
more definitive conclusion.
PMID- 29641484
TI - An Overview on the Clinical Development of Tau-Based Therapeutics.
AB - Tauopathies such as Alzheimer's disease (AD), frontotemporal lobar degeneration,
or progressive supranuclear palsy constitute a group of brain disorders defined
by neurodegeneration and the presence of tau aggregates in the affected brains
regions. Tau is a microtubule-associated protein that accumulates in the cytosol
under pathological conditions, steering the formation of aggregates or inclusions
thought to be involved in the degeneration and neuronal death associated with
these diseases. Despite a substantial and unmet medical need for novel, more
effective disease-modifying therapies for the treatment of AD and tauopathies,
the last couple of decades have seen numerous drug development undertakings
primarily focused on beta-amyloid, with disappointing results to date. On the
other hand, tau-focused approaches have not received much attention until
recently, notwithstanding that the presence of extensive tau pathology is
fundamental for the disease and tau pathology shows a better correlation with
impaired cognitive function than with amyloid pathology in AD patients. The last
few years have brought us advances in our comprehension of tau biological
functions beyond its well-established role as a microtubule-associated protein,
unveiling novel physiological tau functions that may also be involved in
pathogenesis and thus provide novel targets for therapeutic intervention. This
review describes several emerging, encouraging therapeutic approaches aimed at
tackling the underlying causes of tau pathology in AD and other tauopathies that
have recently reached the clinical development stage.
PMID- 29641485
TI - Factors Related to Unemployment in Europe. A Cross-Sectional Study from the
COURAGE Survey in Finland, Poland and Spain.
AB - Background: Research addressing the impact of a large number of factors on
unemployment is scarce. We aimed to comprehensively identify factors related to
unemployment in a sample of persons aged 18-64 from Finland, Poland and Spain.
Methods: In this cross-sectional study, factors from different areas were
considered: socio-demographic indicators, health habits, chronic conditions,
health state markers, vision and hearing indicators, and social networks and
built environment scores. Results: Complete data were available for 5003
participants, mean age 48.1 (SD 11.5), 45.4% males. The most important factors
connected to unemployment were health status indicators such as physical
disability (OR = 2.944), self-rated health (OR = 2.629), inpatient care (OR =
1.980), and difficulties with getting to the toilet (OR = 2.040), while the most
relevant factor related to employment were moderate alcohol consumption (OR =
0.732 for non-heavy drinkers; OR = 0.573 for infrequent heavy drinkers), and
being married (OR = 0.734), or having been married (OR = 0.584). Other factors
that played a significant role included presence of depression (OR = 1.384) and
difficulties with near vision (OR = 1.584) and conversation hearing (OR = 1.597).
Conclusions: Our results highlight the importance of selected factors related to
unemployment, and suggest public health indications that could support concrete
actions on modifiable factors, such as those aimed to promote physical activity
and healthy behaviors, tackling depression or promoting education, in particular
for the younger.
PMID- 29641486
TI - Opposing Roles of Calcium and Intracellular ATP on Gating of the Purinergic P2X2
Receptor Channel.
AB - P2X2 receptors (P2X2R) exhibit a slow desensitization during the initial ATP
application and a progressive, calcium-dependent increase in rates of
desensitization during repetitive stimulation. This pattern is observed in whole
cell recordings from cells expressing recombinant and native P2X2R. However,
desensitization is not observed in perforated-patched cells and in two-electrode
voltage clamped oocytes. Addition of ATP, but not ATPgammaS or GTP, in the
pipette solution also abolishes progressive desensitization, whereas
intracellular injection of apyrase facilitates receptor desensitization.
Experiments with injection of alkaline phosphatase or addition of staurosporine
and ATP in the intracellular solution suggest a role for a phosphorylation
dephosphorylation in receptor desensitization. Mutation of residues that are
potential phosphorylation sites identified a critical role of the S363 residue in
the intracellular ATP action. These findings indicate that intracellular calcium
and ATP have opposing effects on P2X2R gating: calcium allosterically facilitates
receptor desensitization and ATP covalently prevents the action of calcium.
Single cell measurements further revealed that intracellular calcium stays
elevated after washout in P2X2R-expressing cells and the blockade of
mitochondrial sodium/calcium exchanger lowers calcium concentrations during
washout periods to basal levels, suggesting a role of mitochondria in this
process. Therefore, the metabolic state of the cell can influence P2X2R gating.
PMID- 29641487
TI - Concerns about Quadrupole ICP-MS Lead Isotopic Data and Interpretations in the
Environment and Health Fields.
AB - There has been a massive increase in recent years of the use of lead (Pb)
isotopes in attempts to better understand sources and pathways of Pb in the
environment and in man or experimental animals. Unfortunately, there have been
many cases where the quality of the isotopic data, especially that obtained by
quadrupole inductively coupled plasma mass spectrometry (Q-ICP-MS), are
questionable, resulting in questionable identification of potential sources,
which, in turn, impacts study interpretation and conclusions. We present several
cases where the isotopic data have compromised interpretation because of the use
of only the major isotopes 208Pb/206Pb and 207Pb/206Pb, or their graphing in
other combinations. We also present some examples comparing high precision data
from thermal ionization (TIMS) or multi-collector plasma mass spectrometry (MC
ICP-MS) to illustrate the deficiency in the Q-ICP-MS data. In addition, we
present cases where Pb isotopic ratios measured on Q-ICP-MS are virtually
impossible for terrestrial samples. We also evaluate the Pb isotopic data for rat
studies, which had concluded that Pb isotopic fractionation occurs between
different organs and suggest that this notion of biological fractionation of Pb
as an explanation for isotopic differences is not valid. Overall, the brief
review of these case studies shows that Q-ICP-MS as commonly practiced is not a
suitable technique for precise and accurate Pb isotopic analysis in the
environment and health fields.
PMID- 29641488
TI - CA 19-9 Pancreatic Tumor Marker Fluorescence Immunosensing Detection via
Immobilized Carbon Quantum Dots Conjugated Gold Nanocomposite.
AB - The clinical detection of carbohydrate antigen 19-9 (CA 19-9), a tumor marker in
biological samples, improves and facilitates the rapid screening and diagnosis of
pancreatic cancer. A simple, low cost, fast, and green synthesis method to
prepare a viable carbon quantum dots/gold (CQDs/Au) nanocomposite fluorescence
immunosensing solution for the detection of CA 19-9 was reported. The present
method is conducted by preparing glucose-derived CQDs using a microwave-assisted
method. CQDs were employed as reducing and stabilizing agents for the preparation
of a CQDs/Au nanocomposite. The immobilized anti-CA 19-9-labeled horseradish
peroxidase enzyme (Ab-HRP) was anchored to the surface of a CQDs/Au nanocomposite
by a peptide interaction between the carboxylic and amine active groups. The CA
19-9 antigen was trapped by another monoclonal antibody that was coated on the
surface of microtiter wells. The formed sandwich capping antibody-antigen
antibody enzyme complex had tunable fluorescence properties that were detected
under excitation and emission wavelengths of 420 and 530 nm. The increase in
fluorescence intensities of the immunoassay sensing solution was proportional to
the CA 19-9 antigen concentration in the linear range of 0.01-350 U mL-1 and had
a lower detection limit of 0.007 U mL-1. The proposed CQDs/Au nanocomposite
immunoassay method provides a promising tool for detecting CA 19-9 in human
serum.
PMID- 29641490
TI - Effect of Atmospheric Corrosion on the Mechanical Properties of SAE 1020
Structural Steel.
AB - Resistance to atmospheric corrosion in different environments located in Chile
and the corrosion's effect on the mechanical properties of SAE 1020 steel were
studied. Atmospheric corrosivity categories at each station under study were
determined. These categories were C2, for Laja; C3 and C4, for the Arica and
Antarctic stations, respectively; and the most aggressive, C5 and higher at
Quintero. These specific environments significantly influenced the mechanical
responses of steel exposed for 36 months. Rupture elongation, the modulus of
toughness, ultimate tensile strength, and hardness of the material all decreased
as a function of environmental atmospheric aggressiveness. Lowered ductility is
the result of the increased corrosion rate due to the high deposition of
chlorides. This is due to the morphology of material degradation, which
consequently occurs as pores, microstrains, and other defects that promote early
rupture of the steel.
PMID- 29641489
TI - Non-Coding Transcript Heterogeneity in Mesothelioma: Insights from Asbestos
Exposed Mice.
AB - Mesothelioma is an aggressive, rapidly fatal cancer and a better understanding of
its molecular heterogeneity may help with making more efficient therapeutic
strategies. Non-coding RNAs represent a larger part of the transcriptome but
their contribution to diseases is not fully understood yet. We used recently
obtained RNA-seq data from asbestos-exposed mice and performed data mining of
publicly available datasets in order to evaluate how non-coding RNA contribute to
mesothelioma heterogeneity. Nine non-coding RNAs are specifically elevated in
mesothelioma tumors and contribute to human mesothelioma heterogeneity. Because
some of them have known oncogenic properties, this study supports the concept of
non-coding RNAs as cancer progenitor genes.
PMID- 29641491
TI - Rapidly-Dissolving Silver-Containing Bioactive Glasses for Cariostatic
Applications.
AB - A novel bioactive glass series containing incremental amounts of silver oxide was
synthesized, ground down, and subsequently incorporated into a dentifrice for the
purpose of reducing the incidence of dental caries and lesion formation. Three
glasses were synthesized using the melt quench route: Si-Control (70SiO2-12CaO
3P2O5-15Na2O, mol %), Si-02 and Si-05, where 0.2 and 0.5 mol % Ag2O were
substituted, respectively, for SiO2 in Si-Control. The glasses were then ground,
sieved, characterized, and dissolved in Tris buffer solution (pH = 7.30) for 6,
12, and 24 h, with the pH of the resultant solution being recorded and the ions
that were released into solution quantified. Samples of each glass were
subsequently embedded into a non-fluoridated, commercially available toothpaste
which was then used to brush resin-mounted lamb molars which, up to the point of
testing, had been stored in a 1.0 M HCl solution. Knoop microhardness
measurements of the molars were recorded before and after brushing to determine
the presence of remineralization on the surface of the teeth (surface hardness
loss of 37%, 35%, and 34% for Si-Control, Si-02 and Si-05, respectively, after 24
h). Four oral cavity bacterial strains were isolated through swabs of the inner
cheek, gums, and teeth surfaces of three volunteers, and placed on agar discs. Of
each glass, 0.5 g was placed onto the discs, and the resultant inhibition zones
were measured after 6, 12, and 24 h. Si-05 performed better than Si-02 on two
strains after 24 h, while exhibiting similar behavior for the remaining two
strains after 24 h; the largest inhibition zone measured was 2.8 mm, for Si-05
after 12 h. Si-Control exhibited no antibacterial effect at any time point,
providing evidence for the role of silver oxide as the antibacterial component of
these glasses.
PMID- 29641493
TI - Comparison of the Effect of Toothbrushing Education Via Video, Lecture and
Pamphlet on the Dental Plaque Index of 12-Year-Old Children.
AB - The aim of this study was to compare the effect of different modes of
toothbrushing education (lecture, video and pamphlet) on the dental plaque index
(PI) of adolescents. The cluster randomized intervention was performed on 128
participants aged 12 years, who were allocated into four groups based on the type
of intervention. Group 1: no intervention; and groups 2, 3, 4: education via
lecture, video, and pamphlet, respectively (n = 32). Their plaque index was
measured at the baseline, 24 h and two months later. Data were analyzed by
repeated measures analysis of variance (ANOVA), one-way ANOVA, independent and
paired t-test. The plaque indices of groups 2, 3, 4 at 24 h (p values < 0.001)
and two months (p values < 0.001) showed a significant reduction when compared to
the baseline. The lowest PI score was observed in the pamphlet, video and lecture
groups at 24 h, respectively. After 2 months, the lowest score of PI was measured
in lecture, video and pamphlet groups, respectively; however, these differences
were non-significant. Therefore, toothbrushing education via lecture, video and
pamphlet reduced the dental plaque index with the same effectiveness.
PMID- 29641494
TI - Maternal Melatonin Therapy Attenuated Maternal High-Fructose Combined with Post
Weaning High-Salt Diets-Induced Hypertension in Adult Male Rat Offspring.
AB - Consumption of food high in fructose and salt is associated with the epidemic of
hypertension. Hypertension can originate from early life. Melatonin, a
pleiotropic hormone, regulates blood pressure. We examined whether maternal
melatonin therapy can prevent maternal high-fructose combined with post-weaning
high-salt diet-induced programmed hypertension in adult offspring. Pregnant
Sprague-Dawley rats received either a normal diet (ND) or a 60% fructose diet
(HF) during pregnancy and the lactation period. Male offspring were on either the
ND or a high-salt diet (HS, 1% NaCl) from weaning to 12 weeks of age and were
assigned to five groups (n = 8/group): ND/ND, HF/ND, ND/HS, HF/HS, and
HF/HS+melatonin. Melatonin (0.01% in drinking water) was administered during
pregnancy and lactation. We observed that maternal HF combined with post-weaning
HS diets induced hypertension in male adult offspring, which was attenuated by
maternal melatonin therapy. The beneficial effects of maternal melatonin therapy
on HF/HS-induced hypertension related to regulating several nutrient-sensing
signals, including Sirt1, Sirt4, Prkaa2, Prkab2, Pparg, and Ppargc1a.
Additionally, melatonin increased protein levels of mammalian targets of
rapamycin (mTOR), decreased plasma asymmetric dimethylarginine (ADMA) and
symmetric dimethylarginine levels, and increased the l-arginine-to-ADMA ratio.
The reprogramming effects by which maternal melatonin therapy protects against
hypertension of developmental origin awaits further elucidation.
PMID- 29641492
TI - Latest Innovations in the Treatment of Venous Disease.
AB - Venous disease is more common than peripheral arterial disease.
Pathophysiologically, venous disease can be associated with obstruction, reflux,
or both. A common feature in chronic venous disease is ambulatory venous
hypertension. Inflammatory and pro-thrombotic mechanisms can be activated. The
current therapies, including compression, ablation, and recanalization are
discussed.
PMID- 29641495
TI - Furan- and Thiophene-2-Carbonyl Amino Acid Derivatives Activate Hypoxia-Inducible
Factor via Inhibition of Factor Inhibiting Hypoxia-Inducible Factor-1.
AB - Induction of a series of anti-hypoxic proteins protects cells during exposure to
hypoxic conditions. Hypoxia-inducible factor-alpha (HIF-alpha) is a major
transcription factor that orchestrates this protective effect. To activate HIF
exogenously, without exposing cells to hypoxic conditions, many small-molecule
inhibitors targeting prolyl hydroxylase domain-containing protein have been
developed. In addition, suppression of factor inhibiting HIF-1 (FIH-1) has also
been shown to have the potential to activate HIF-alpha. However, few small
molecule inhibitors of FIH-1 have been developed. In this study, we synthesized a
series of furan- and thiophene-2-carbonyl amino acid derivatives having the
potential to inhibit FIH-1. The inhibitory activities of these compounds were
evaluated in SK-N-BE(2)c cells by measuring HIF response element (HRE) promoter
activity. Several furan- and thiophene-2-carbonyl amino acid derivatives
inhibited FIH-1 based on correlations among the docking score of the FIH-1 active
site, the chemical structure of the compounds, and biological HIF-alpha/HRE
transcriptional activity.
PMID- 29641496
TI - The Structure of the Lipid A from the Halophilic Bacterium Spiribacter salinus
M19-40T.
AB - The study of the adaptation mechanisms that allow microorganisms to live and
proliferate in an extreme habitat is a growing research field. Directly exposed
to the external environment, lipopolysaccharides (LPS) from Gram-negative
bacteria are of great appeal as they can present particular structural features
that may aid the understanding of the adaptation processes. Moreover, through
being involved in modulating the mammalian immune system response in a structure
dependent fashion, the elucidation of the LPS structure can also be seen as a
fundamental step from a biomedical point of view. In this paper, the lipid A
structure of the LPS from Spiribacter salinus M19-40T, a halophilic gamma
proteobacteria, was characterized through chemical analyses and matrix-assisted
laser desorption ionization (MALDI) mass spectrometry. This revealed a mixture of
mono- and bisphosphorylated penta- to tri-acylated species with the uncommon 2 +
3 symmetry and bearing an unusual 3-oxotetradecaonic acid.
PMID- 29641497
TI - Outcomes of Secondary Prevention among Coronary Heart Disease Patients in a High
Risk Region in Finland.
AB - Despite comprehensive national treatment guidelines, goals for secondary
prevention of coronary heart disease (CHD) have not been sufficiently met
everywhere in Finland. We investigated the recorded risk factor rates of CHD and
their spatial differences in North Karelia Hospital District, which has a very
high cardiovascular burden, in order to form a general view of the state of
secondary prevention in a high-risk region. Appropriate disease codes of CHD
diagnoses and coding for percutaneous coronary intervention (PCI) and coronary
artery bypass grafting (CABG) were used to identify from the electronic patient
records the patient group eligible for secondary prevention. The cumulative
incidence rate of new patients (n = 2556) during 2011-2014 varied from 1.9% to
3.5% between municipalities. The success in secondary prevention of CHD was
assessed using achievement of treatment targets as defined in national
guidelines. Health centres are administrated by municipalities whereupon the main
reporting units were municipalities, together with composed classification of
patients by age, gender and dwelling location. Health disparities between
municipalities, settlement types and patient groups were found and are
interpreted. Moreover, spatial high-risk and low-risk clusters of acute CHD were
detected. The proportion of patients achieving the treatment targets of low
density lipoprotein cholesterol (LDL-C) varied from 21% to 38% between
municipalities. Variation was also observed in the follow-up of patients; e.g.,
the rate of follow-up measurements of LDL-C in municipalities varied from 72% to
86%. Spatial variation in patients' sociodemographic and neighbourhood
characteristics and morbidity burden partly explain the differences in outcomes,
but there are also very likely differences in the care process between
municipalities which requires a study in its own right.
PMID- 29641498
TI - A Novel Synthetic Material, BMM, Accelerates Wound Repair by Stimulating Re
Epithelialization and Fibroblast Activation.
AB - Cutaneous wound repair is an intricate process whereby the skin reprograms itself
after injury. In the mid-phase of wound repair, the proliferation, migration, and
differentiation of cells are the major mechanisms to lead remodeling. We
investigated the effect of BMM ((1E,2E)-1,2-bis((6-bromo-2H-chromen-3
yl)methylene)hydrazine), a novel synthetic material, on the migration and
viability of keratinocytes or fibroblasts using the in vitro scratch
woundhealing, electric cell-substrate imedance sensing (ECIS), invasion, and MTT
assays. Cell migration-related factors were analyzed using western blot, and we
found that treatment with BMM stimulated the EMT pathway and focal adhesion
kinase (FAK)/Src signaling. Differentiation of HaCaT keratinocyte and fibroblast
cells was also stimulated by BMM and specifically, NOX2/4 contributed to the
activation of fibroblasts for wound healing. Furthermore, BMM treated HaCaT
keratinocyte and fibroblast-co-cultured cells increased migration and
differentiation. TGF-beta and Cyr61 were also secreted to a greater extent than
in single cultured cells. In vivo experiments showed that treatment with BMM
promotes wound closure by promoting re-epithelialization. In this study, we
demonstrated that a novel synthetic material, BMM, is capable of promoting wound
healing via the stimulation of re-epithelialization in the epidermis and the
activation of fibroblasts in the dermis, in particular, via the acceleration of
the interaction between the epidermis and dermis.
PMID- 29641499
TI - Beneficial Phytochemicals with Anti-Tumor Potential Revealed through Metabolic
Profiling of New Red Pigmented Lettuces (Lactuca sativa L.).
AB - The present study aimed to compare polyphenols among red lettuce cultivars and
identify suitable cultivars for the development and utilization of healthy
vegetables. Polyphenols, mineral elements, and antioxidant activity were analyzed
in the leaves of six red pigmented lettuce (Lactuca sativa L.) cultivars;
thereafter, we assessed the anti-tumor effects of cultivar B-2, which displayed
the highest antioxidant activity. Quadrupole-Orbitrap mass spectrometry analysis
revealed four classes of polyphenols in these cultivars. The composition and
contents of these metabolites varied significantly among cultivars and primarily
depended on leaf color. The B-2 cultivar had the highest antioxidant potential
than others because it contained the highest levels of polyphenols, especially
anthocyanin, flavone, and phenolic acid; furthermore, this cultivar displayed
anti-tumor effects against the human lung adenocarcinoma cell line A549, human
hepatoma cell line Bel7402, human cancer colorectal adenoma cell line HCT-8, and
HT-29 human colon cancer cell line. Hence, the new red-leaf lettuce cultivar B-2
has a distinct metabolite profile, with high potential for development and
utilization of natural phytochemical and mineral resources in lettuces and can be
used as a nutrient-dense food product.
PMID- 29641500
TI - A Spatial Panel Data Analysis of Economic Growth, Urbanization, and NOx Emissions
in China.
AB - Abstract: Is nitrogen oxides emissions spatially correlated in a Chinese context?
What is the relationship between nitrogen oxides emission levels and fast-growing
economy/urbanization? More importantly, what environmental preservation and
economic developing policies should China's central and local governments take to
mitigate the overall nitrogen oxides emissions and prevent severe air pollution
at the provincial level in specific locations and their neighboring areas? The
present study aims to tackle these issues. This is the first research that
simultaneously studies the nexus between nitrogen oxides emissions and economic
development/urbanization, with the application of a spatial panel data technique.
Our empirical findings suggest that spatial dependence of nitrogen oxides
emissions distribution exists at the provincial level. Through the investigation
of the existence of an environmental Kuznets curve (EKC) embedded within the
Stochastic Impacts by Regression on Population, Affluence, and Technology
(STIRPAT) framework, we conclude something interesting: an inverse N-shaped EKC
describes both the income-nitrogen oxides nexus and the urbanization-nitrogen
oxides nexus. Some well-directed policy advice is provided to reduce nitrogen
oxides in the future. Moreover, these results contribute to the literature on
development and pollution.
PMID- 29641502
TI - Determinants and the Moderating Effect of Perceived Policy Effectiveness on
Residents' Separation Intention for Rural Household Solid Waste.
AB - Currently, villages "besieged with garbage" have become a serious problem in
rural areas of China. Separation of rural residential solid waste (RRSW) is one
of the main strategies for waste reduction. Although previous studies have
analyzed the social and psychological motivations of residents' separation
intention for municipal solid waste (MSW), little attention has been paid to the
situation in rural areas. This paper investigates key factors influencing rural
residents' separation intention, as well as analyzing the moderating effects of
perceived policy effectiveness on the relationship between the determinants and
the intention, using survey data of 538 rural residents in the province of
Sichuan in China. The results show that all the proposed key factors influence
the separation intention significantly. Furthermore, the policies were divided
into two types and the moderating effects were tested for each type. The results
show that the perceived effectiveness of both the inducement policy and the
capacity building policy moderated the relationship between attitude and
separation intention positively, while the perceived effectiveness of the
inducement policy moderated the relationship between subjective norms and
intention negatively. The findings provide insightful information for
policymakers to design effective RRSW separation policies.
PMID- 29641501
TI - Zinc Protects Articular Chondrocytes through Changes in Nrf2-Mediated
Antioxidants, Cytokines and Matrix Metalloproteinases.
AB - Osteoarthritis (OA) is an age-related degenerative joint disease characterized by
high oxidative stress, chondrocyte death and cartilage damage. Zinc has been
implicated in the antioxidant capacity of the cell, and its deficiency might
inhibit chondrocyte proliferation. The present study examined the potential of
zinc as a preventive supplement against OA using the in vitro chondrosarcoma cell
line SW1353 and an in vivo Wistar rat model to mimic OA progress induced by
monosodium iodoacetate (MIA). The results demonstrated that, in SW1353 cells, 5
MUM MIA exposure increased oxidative stress and decreased the expression of GPx1
and Mn-SOD but still increased GSH levels and HO-1 expression and enhanced the
expression of interleukin (IL)-10, IL-1beta, and matrix metalloproteinase (MMP)
13. Zinc addition could block these changes. Besides, the expression of Nrf2 and
phosphorylated (p)-Akt was dramatically increased, implicating the p-Akt/Nrf2
pathway in the effects of zinc on MIA-treated cells. A rat model achieved similar
results as those of cell culture, and 1.6 mg/kg/day of zinc supplementation is
sufficient to prevent OA progress, while 8.0 mg/kg/day of zinc supplementation
does not have a better effect. These findings indicate that zinc supplementation
exerts a preventive effect with respect to MIA-induced OA progress.
PMID- 29641503
TI - Alloying and Hardness of Eutectics with Nbss and Nb5Si3 in Nb-silicide Based
Alloys.
AB - In Nb-silicide based alloys, eutectics can form that contain the Nbss and Nb5Si3
phases. The Nb5Si3 can be rich or poor in Ti, the Nb can be substituted with
other transition and refractory metals, and the Si can be substituted with simple
metal and metalloid elements. For the production of directionally solidified in
situ composites of multi-element Nb-silicide based alloys, data about eutectics
with Nbss and Nb5Si3 is essential. In this paper, the alloying behaviour of
eutectics observed in Nb-silicide based alloys was studied using the parameters
DeltaHmix, DeltaSmix, VEC (valence electron concentration), delta (related to
atomic size), Deltachi (related to electronegativity), and Omega (= Tm
DeltaSmix/|DeltaHmix|). The values of these parameters were in the ranges -41.9 <
DeltaHmix <-25.5 kJ/mol, 4.7 < DeltaSmix < 15 J/molK, 4.33 < VEC < 4.89, 6.23 <
delta < 9.44, 0.38 < Omega < 1.35, and 0.118 < Deltachi < 0.248, with a gap in
Deltachi values between 0.164 and 0.181. Correlations between DeltaSmix, Omega,
DeltaSmix, and VEC were found for all of the eutectics. The correlation between
DeltaHmix and delta for the eutectics was the same as that of the Nbss, with more
negative DeltaHmix for the former. The delta versus Deltachi map separated the Ti
rich eutectics from the Ti-poor eutectics, with a gap in Deltachi values between
0.164 and 0.181, which is within the Deltachi gap of the Nbss. Eutectics were
separated according to alloying additions in the Deltachi versus VEC, Deltachi
versus , delta versus , and VEC versus maps, where = Al + Ge +
Si + Sn. Convergence of data in maps occurred at delta ~ 9.25, VEC ~ 4.35,
Deltachi in the range ~ 0.155 to 0.162, and in the range ~ 21.6 at.% to ~
24.3 at.%. The convergence of data also indicated that the minimum concentration
of Ti and maximum concentrations of Al and Si in the eutectic were about 8.7 at.%
Ti, 6.3 at.% Al, and 21.6 at.% Si, respectively, and that the minimum
concentration of Si in the eutectic was in the range 8 < Si < 10 at.%.
PMID- 29641504
TI - Assessing Residential Exposure Risk from Spills of Flowback Water from Marcellus
Shale Hydraulic Fracturing Activity.
AB - Identifying sources of concern and risk from shale gas development, particularly
from the hydraulic fracturing process, is an important step in better
understanding sources of uncertainty within the industry. In this study, a risk
assessment of residential exposure pathways to contaminated drinking water is
carried out. In this model, it is assumed that a drinking water source is
contaminated by a spill of flowback water; probability distributions of spill
size and constituent concentrations are fit to historical datasets and Monte
Carlo simulation was used to calculate a distribution of risk values for two
scenarios: (1) use of a contaminated reservoir for residential drinking water
supply and (2) swimming in a contaminated pond. The swimming scenario did not
produce risks of concern from a single exposure of 1 h duration, but 11 such 1-h
exposures did produce risks of 10-6 due to radionuclide exposure. The drinking
water scenario over a 30-year exposure duration produced cancer risk values
exceeding 10-6 for arsenic, benzene, benzo(a)pyrene, heptachlor, heptachlor
epoxide, pentachlorophenol, and vinyl chloride. However, this extended exposure
duration is probably not realistic for exposure by a spill event. Radionuclides
produced risks in the residential drinking water scenario of 10-6 in just 8 h, a
much more realistic timeline for continual exposure due to a spill event. In
general, for contaminants for which inhalation exposure was applicable, this
pathway produced the highest risks with exposure from ingestion posing the next
greatest risk to human health followed by dermal absorption (or body emersion for
radionuclides). Considering non-carcinogenic effects, only barium and thallium
exceed target limits, where the ingestion pathway seems to be of greater concern
than dermal exposure. Exposure to radionuclides in flowback water, particularly
through the inhalation route, poses a greater threat to human health than other
contaminants examined in this assessment and should be the focus of risk
assessment and risk mitigation efforts.
PMID- 29641505
TI - Infrared and Visible Image Fusion Based on Different Constraints in the Non
Subsampled Shearlet Transform Domain.
AB - There are many artificial parameters when fuse infrared and visible images, to
overcome the lack of detail in the fusion image because of the artifacts, a novel
fusion algorithm for infrared and visible images that is based on different
constraints in non-subsampled shearlet transform (NSST) domain is proposed. There
are high bands and low bands of images that are decomposed by the NSST. After
analyzing the characters of the bands, fusing the high level bands by the
gradient constraint, the fused image can obtain more details; fusing the low
bands by the constraint of saliency in the images, the targets are more salient.
Before the inverse NSST, the Nash equilibrium is used to update the coefficient.
The fused images and the quantitative results demonstrate that our method is more
effective in reserving details and highlighting the targets when compared with
other state-of-the-art methods.
PMID- 29641506
TI - Drone Mission Definition and Implementation for Automated Infrastructure
Inspection Using Airborne Sensors.
AB - This paper describes a Mission Definition System and the automated flight process
it enables to implement measurement plans for discrete infrastructure inspections
using aerial platforms, and specifically multi-rotor drones. The mission
definition aims at improving planning efficiency with respect to state-of-the-art
waypoint-based techniques, using high-level mission definition primitives and
linking them with realistic flight models to simulate the inspection in advance.
It also provides flight scripts and measurement plans which can be executed by
commercial drones. Its user interfaces facilitate mission definition, pre-flight
3D synthetic mission visualisation and flight evaluation. Results are delivered
for a set of representative infrastructure inspection flights, showing the
accuracy of the flight prediction tools in actual operations using automated
flight control.
PMID- 29641507
TI - Synthesis, Crystal Structure and DFT Studies of a New Dinuclear Ag(I)-Malonamide
Complex.
AB - The synthesis and structural aspects of a new dinuclear silver (I) complex with
malonamide type ligand (L) is reported. Each Ag ion in the [Ag2L2(NO3)2].H2O
complex is coordinated to two ligands, L, each acting as a bridged ligand via its
two pyridine arms; Ag(I) acts as a connector between them. Two types of Ag
ligands close contacts were detected: Ag-N1, Ag-N4 from the two L units, and Ag
O5, Ag-O6 from the two nitrate anions, wherein both the nitrate ions are inside
the cage formed by the [Ag2L2] unit. The coordination geometry around each Ag(I)
is a distorted tetrahedron. The [Ag2L2(NO3)2] complex units are connected by weak
intermolecular C-H...O interactions. The different intermolecular interactions
were quantified using Hirshfeld surface analysis. Using two DFT methods (B3LYP
and WB97XD), the nature and strength of the Ag-N and Ag-O interactions were
described using atoms in molecules (AIM) and natural bond orbital (NBO) analyses.
Topological parameters indicated that the strength of the two Ag-N bonds was
similar, while that of the two Ag-O interactions were significantly different.
Moreover, the Ag-N interactions have a predominant covalent character, while the
Ag-O interactions are mainly ionic. The NBO analysis indicated that the most
important anti-bonding Ag-orbital in these interactions has an s-orbital
character.
PMID- 29641508
TI - Continuous Separation of Light Olefin/Paraffin Mixtures on ZIF-4 by Pressure
Swing Adsorption and Membrane Permeation.
AB - In this study, two zeolitic imidazolate frameworks (ZIFs) called ZIF-4 and ZIF
zni (zni is the network topology) were characterized by sorption studies
regarding their paraffin/olefin separation potential. In particular, equilibrated
pure and mixed gas adsorption isotherms of ethane and ethene were recorded at 293
K up to 3 MPa. ZIF-4 exhibits selectivities for ethane in the range of 1.5-3,
which is promising for continuous pressure swing adsorption (PSA). ZIF-4 shows
high cycle stability with promising separation potential regarding ethane, which
results in purification of the more industrial desired olefin. Furthermore, both
ZIF materials were implemented in Matrimid to prepare a mixed matrix membrane
(MMM) and were used in the continuous separation of a propane/propene mixture.
The separation performance of the neat polymer is drastically increased after
embedding porous ZIF-4 crystals in the Matrimid matrix, especially at higher feed
pressures (3-5 barg). Due to the smaller kinetic diameter of the olefin, the
permeability is higher compared to the paraffin.
PMID- 29641509
TI - Race, Socioeconomic Status, and Health during Childhood: A Longitudinal
Examination of Racial/Ethnic Differences in Parental Socioeconomic Timing and
Child Obesity Risk.
AB - Prior research suggests that socioeconomic standing during the early years of
life, particularly in utero, is associated with child health. However, it is
unclear whether socioeconomic benefits are only maximized at very young ages.
Moreover, given the link between socioeconomic status (SES) and race, research is
inconclusive whether any SES benefits during those younger ages would uniformly
benefit all racial and ethnic groups. Using 1986-2014 data from the National
Longitudinal Study of Youth (NLSY79), this study examines the impact of
socioeconomic timing on child weight outcomes by race. Specifically, this
research investigates whether specific points exist where socioeconomic
investment would have higher returns on child health. Findings suggest that both
the timing and the type of socioeconomic exposure is important to understanding
child weight status. SES, particularly mother's employment and father's
education, is important in determining child health, and each measure is linked
to weight gain differently for White, Black, and Hispanic children at specific
ages. Policies such as granting more educational access for men and work-family
balance for women are discussed.
PMID- 29641510
TI - Transcriptome Analysis of Two Rice Varieties Contrasting for Nitrogen Use
Efficiency under Chronic N Starvation Reveals Differences in Chloroplast and
Starch Metabolism-Related Genes.
AB - The nitrogen use efficiency (NUE) of crop plants is limited and enhancing it in
rice, a major cereal crop, would be beneficial for farmers and the environment
alike. Here we report the genome-wide transcriptome analysis of two rice
genotypes, IR 64 (IR64) and Nagina 22 (N22) under optimal (+N) and chronic
starvation (-N) of nitrogen (N) from 15-day-old root and shoot tissues. The two
genotypes were found to be contrasting in their response to -N; IR64 root
architecture and root dry weight remained almost equivalent to that under +N
conditions, while N22 showed high foraging ability but a substantial reduction in
biomass under -N. Similarly, the photosynthetic pigments showed a drastic
reduction in N22 under low N, while IR64 was more resilient. Nitrate reductase
showed significantly low specific activity under -N in both genotypes. Glutamate
synthase (GOGAT) and citrate synthase CS activity were highly reduced in N22 but
not in IR64. Transcriptome analysis of these genotypes revealed nearly double the
number of genes to be differentially expressed (DEGs) in roots (1016) compared to
shoots (571). The response of the two genotypes to N starvation was distinctly
different reflecting their morphological/biochemical response with just two and
eight common DEGs in the root and shoot tissues. There were a total of 385
nitrogen-responsive DEGs (106 in shoots and 279 in roots) between the two
genotypes. Fifty-two of the 89 DEGs identified as specific to N22 root tissues
were also found to be differentially expressed between the two genotypes under
N. Most of these DEGs belonged to starch and chloroplast metabolism, followed by
membrane and signaling proteins. Physical mapping of DEGs revealed 95 DEGs in
roots and 76 in shoots to be present in quantitative trait loci (QTL) known for
NUE.
PMID- 29641512
TI - Perspective on Cancer Therapeutics Utilizing Analysis of Circulating Tumor Cells.
AB - Various methods are available for cancer screening, and the methods are performed
depending on the origin site of cancer. Among these methods, biopsy followed by
medical imaging is the most common. After cancer progression is determined, an
optimal treatment-such as surgery, chemotherapy, and/or radiation therapy-is
selected. A new assay has been developed that detects circulating tumor cells
(CTCs). Tracking changes in CTCs may reveal important tumoral sensitivity
information or resistance patterns to specific regimens and prompt changes in
therapy on a personalized basis. Characterization of CTCs at the DNA, RNA, and
protein levels is important for gaining insight for clinical applications. A
small number of CTCs can be analyzed to obtain genome information such as the
progression of cancer including metastasis, even in a single cluster. Although
many clinical studies, particularly CTC enumeration and detection of specific
oncogene expression, have increased the success rate of diagnosis and predicting
prognosis, there is no consensus regarding the technical approaches and various
aspects of the methodology, making it difficult to standardize optimal methods
for CTC analysis. However, ongoing technological advances are currently being
achieved and large-scale clinical studies are being conducted. Applying CTC
analysis in the clinic would be very useful for advancing diagnosis, prognosis
prediction, and therapeutics.
PMID- 29641511
TI - S-Layer Protein-Based Biosensors.
AB - The present paper highlights the application of bacterial surface (S-) layer
proteins as versatile components for the fabrication of biosensors. One
technologically relevant feature of S-layer proteins is their ability to self
assemble on many surfaces and interfaces to form a crystalline two-dimensional
(2D) protein lattice. The S-layer lattice on the surface of a biosensor becomes
part of the interface architecture linking the bioreceptor to the transducer
interface, which may cause signal amplification. The S-layer lattice as
ultrathin, highly porous structure with functional groups in a well-defined
special distribution and orientation and an overall anti-fouling characteristics
can significantly raise the limit in terms of variety and the ease of bioreceptor
immobilization, compactness of bioreceptor molecule arrangement, sensitivity,
specificity, and detection limit for many types of biosensors. The present paper
discusses and summarizes examples for the successful implementation of S-layer
lattices on biosensor surfaces in order to give a comprehensive overview on the
application potential of these bioinspired S-layer protein-based biosensors.
PMID- 29641513
TI - Sympathetic and Parasympathetic Coactivation Induces Perturbed Heart Rate
Dynamics in Patients with Paroxysmal Atrial Fibrillation.
AB - BACKGROUND Recent evidence indicates that sympathetic/parasympathetic
coactivation (CoA) is causally linked to changes in heart rate (HR) dynamics.
Whether this is relevant for patients with atrial fibrillation (AF) is unknown.
MATERIAL AND METHODS In patients with paroxysmal AF (n=26) and age-matched
controls, (n=10) we investigated basal autonomic outflow and HR dynamics during
separate sympathetic (cold hand immersion) and parasympathetic activation (O2
inhalation), as well as during CoA (cold face test). In an additional cohort
(n=7), HR response was assessed before and after catheter-based pulmonary vein
isolation (PVI). Ultra-high-density endocardial mapping was performed in patients
(n=6) before and after CoA. RESULTS Sympathetic activation increased (control:
74+/-3 vs. 77+/-3 bpm, p=0.0098; AF: 60+/-2 vs. 64+/-2 bpm, p=0.0076) and
parasympathetic activation decreased HR (control: 71+/-3 vs. 69+/-3 bpm,
p=0.0547; AF: 60+/-1 vs. 58+/-2 bpm, p<0.0009), while CoA induced a paradoxical
HR increase in patients with AF (control: 73+/-3 vs. 71+/-3 bpm, p=0.084; AF:
59+/-2 vs. 61+/-2 bpm, p=0.0006), which was abolished after PVI. Non-linear
parameters of HR variability (SD1) were impaired during coactivation in patients
with AF (control: 61+/-7 vs. 69+/-6 ms, p=0.042, AF: 44+/-32 vs. 32+/-5 ms,
p=0.3929). CoA was associated with a shift of the earliest activation site (18+/
4 mm) of the sinoatrial nodal region, as documented by ultra-high-density mapping
(3442+/-343 points per map). CONCLUSIONS CoA perturbs HR dynamics and shifts the
site of earliest endocardial activation in patients with paroxysmal AF. This
effect is abolished by PVI, supporting the value of emerging methods targeting
the intrinsic cardiac autonomic nervous system to treat AF. CoA might be a
valuable tool to assess cardiac autonomic function in a clinical setting.
PMID- 29641514
TI - Age is not just a number: Naive T cells increase their ability to persist in the
circulation over time.
AB - The processes regulating peripheral naive T-cell numbers and clonal diversity
remain poorly understood. Conceptually, homeostatic mechanisms must fall into the
broad categories of neutral (simple random birth-death models), competition
(regulation of cell numbers through quorum-sensing, perhaps via limiting shared
resources), adaptation (involving cell-intrinsic changes in homeostatic fitness,
defined as net growth rate over time), or selection (involving the loss or
outgrowth of cell populations deriving from intercellular variation in fitness).
There may also be stably maintained heterogeneity within the naive T-cell pool.
To distinguish between these mechanisms, we confront very general models of these
processes with an array of experimental data, both new and published. While
reduced competition for homeostatic stimuli may impact cell survival or
proliferation in neonates or under moderate to severe lymphopenia, we show that
the only mechanism capable of explaining multiple, independent experimental
studies of naive CD4+ and CD8+ T-cell homeostasis in mice from young adulthood
into old age is one of adaptation, in which cells act independently and accrue a
survival or proliferative advantage continuously with their post-thymic age.
However, aged naive T cells may also be functionally impaired, and so the
accumulation of older cells via 'conditioning through experience' may contribute
to reduced immune responsiveness in the elderly.
PMID- 29641516
TI - Correction: Late Maastrichtian pterosaurs from North Africa and mass extinction
of Pterosauria at the Cretaceous-Paleogene boundary.
AB - [This corrects the article DOI: 10.1371/journal.pbio.2001663.].
PMID- 29641515
TI - Development of an autodissemination strategy for the deployment of novel control
agents targeting the common malaria mosquito, Anopheles quadrimaculatus say
(Diptera: Culicidae).
AB - BACKGROUND: The reduced efficacy of current Anopheline mosquito control methods
underscores the need to develop new methods of control that exploit unique target
sites and/or utilizes novel deployment methods. Autodissemination methodologies
using insect growth regulators (IGRs) is growing in interest and has been shown
to be effective at controlling Aedes mosquitoes in semi-field and field
environments, yet little information exists for Anopheline mosquitoes. Therefore,
we tested the hypothesis that female-driven autodissemination of an IGR combined
with a new mechanism of action insecticide (Kir channel inhibitor) could be
employed to reduce Anopheline populations. METHODOLOGY: We studied the ability of
three IGRs to be transferred to the larval habitat during oviposition in
laboratory and semi-field environments. Adult mosquitoes were exposed to the
chemicals for 4 hours immediately after blood feeding and efficacy was tested
using classical methodologies, including adult emergence inhibition and High
Performance Liquid Chromatography (HPLC). A complete autodissemination design was
tested in a semi-field environment. PRINCIPAL FINDINGS: Larval survivability and
adult emergence were significantly reduced in habitats that were visited by
novaluron treated adults, but no statistical differences were observed with
pyriproxyfen or triflumuron. These data suggested novaluron, but not pyriproxyfen
or triflumuron, was horizontally transferred from the adult mosquito to the
larval habitat during oviposition. HPLC studies supported the toxicity data and
showed that novaluron was present in the majority of larval habitats, suggesting
that novaluron can be horizontally transferred by Anopheles quadrimaculatus.
Importantly, the combination of novaluron and the Kir channel inhibitor, VU041,
was capable of reducing adult and larval populations in semi-field environments.
CONCLUSIONS: Novaluron can be transferred to the adult at a greater efficacy
and/or is not degraded as quickly during the gonotropic cycle when compared to
pyriproxyfen or triflumuron. Pending field confirmation, autodissemination
approaches with novaluron may be a suitable tool to manage Anopheles populations.
PMID- 29641517
TI - An experimental approach in revisiting the magnetic orientation of cattle.
AB - In response to the increasing number of observational studies on an apparent
south-north orientation in non-homing, non-migrating terrestrial mammals, we
experimentally tested the alignment hypothesis using strong neodymium magnets on
the resting orientation of individual cattle in Portugal. Contrary to the
hypothesis, the 34 cows in the experiment showed no directional preference,
neither with, nor without a strong neodymium magnet fixed to their collar. The
concurrently performed 2,428 daytime observations-excluding the hottest part of
the day-of 659 resting individual cattle did not show a south-north alignment
when at rest either. The preferred compass orientation of these cows was on
average 130 degrees from the magnetic north (i.e., south east). Cow compass
orientation correlated significantly with sun direction, but not with wind
direction. In as far as we can determine, this is the first experimental test on
magnetic orientation in larger, non-homing, non-migrating mammals. These
experimental and observational findings do not support previously published
suggestions on the magnetic south-north alignment in these mammals.
PMID- 29641518
TI - Correction: SRC-2-mediated coactivation of anti-tumorigenic target genes
suppresses MYC-induced liver cancer.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1006650.].
PMID- 29641519
TI - Chimpanzees show some evidence of selectively acquiring information by using
tools, making inferences, and evaluating possible outcomes.
AB - Metacognition refers to thinking about one's thinking or knowing what one knows.
Research suggests that this ability is not unique to humans and may be shared
with nonhuman animals. In particular, great apes have shown behaviors on a
variety of tasks that are suggestive of metacognitive ability. Here we combine a
metacognitive task, the information-seeking task, with tool use and variable
forms of initial information provided to chimpanzees to explore how informational
states impact behavioral responses in these apes. Three chimpanzees were
presented with an apparatus that contained five locations where food could be
hidden. If they pointed to the correct location, they received the reward, but
otherwise they did not. We first replicated several existing findings using this
method, and then tested novel hypotheses. The chimpanzees were given different
types of information across the experiments. Sometimes, they were shown the
location of the food reward. Other times, they were shown only one empty
location, which was not useful information. The chimpanzees also could use a tool
to search any of those locations before making a selection. Chimpanzees typically
used the tool to search out the location of the reward when they could not
already know where it was, but they did not use the tool when they already had
been given that information. One chimpanzee made inferences about the location of
hidden food, even when that food was never shown in that location. The final
experiment involved hiding foods of differing preference values, and then
presenting the chimpanzees with different initial knowledge states (i.e., where
the best food was located, where the less-preferred food was located, or where no
food was located). All chimpanzees used the tool when they needed to use it to
find the best possible item on that trial, but responded by choosing a location
immediately when they did not need the tool. This finding highlights that their
behavior was not the result of a simple rule following such as pointing to where
any food had been seen.
PMID- 29641520
TI - Role of serum immunoglobulins for predicting sarcoidosis outcome: A cohort study.
AB - BACKGROUND: Sarcoidosis is a systemic granulomatous disease which carries
variable outcomes. Serum protein electrophoresis is an easily accessible and
routinely performed examination at diagnosis, in order to search for
hypergammaglobulinemia, which is frequently found, and to rule out other
granulomatous diseases such as common variable immunodeficiency. We aimed to
assess the impact of baseline immunoglobulin level on the outcome of sarcoidosis.
METHODS: We conducted a retrospective cohort-study, at Rennes University
Hospital, in which all newly diagnosed patients for whom a serum protein
electrophoresis had been performed at baseline were enrolled, from 2006 to 2014.
The main outcome was the need for corticosteroid treatment within 2 years from
diagnosis, the secondary outcome was the occurrence of relapse among treated
patients. RESULTS: Eighty patients were included in the study, and 41.25% of them
exhibited an elevated globulins rate. In univariate analysis, an elevated ACE
level >70 U/l, Afro-Caribbean origin, and extra-pulmonary involvement, were
associated with the need for corticosteroid treatment. In multivariate analysis,
only ACE elevation (OR = 1.03, IC95% 1.01-1.05, p = 0.009) and extra-pulmonary
involvement (OR = 5.8, IC95% 1.4-24, p = 0.015) were significant. Immunoglobulin
level was not associated with the main outcome. Regarding the secondary outcome,
none of the studied features were predictive of relapse among the 34 treated
patients followed for two years. CONCLUSIONS: There was no relation between the
immunoglobulin level at diagnosis and the evolution of sarcoidosis. An elevated
ACE level and the presence of initial extra-pulmonary involvement were both
associated with a more severe course of the disease necessitating a
corticosteroid treatment.
PMID- 29641521
TI - TGFbeta signaling related genes are involved in hormonal mediation during termite
soldier differentiation.
AB - A working knowledge of the proximate factors intrinsic to sterile caste
differentiation is necessary to understand the evolution of eusocial insects.
Genomic and transcriptomic analyses in social hymenopteran insects have resulted
in the hypothesis that sterile castes are generated by the novel function of co
opted or recruited universal gene networks found in solitary ancestors. However,
transcriptome analysis during caste differentiation has not been tested in
termites, and evolutionary processes associated with acquiring the caste are
still unknown. Termites possess the soldier caste, which is regarded as the first
acquired permanently sterile caste in the taxon. In this study, we performed a
comparative transcriptome analysis in termite heads during 3 molting processes,
i.e., worker, presoldier and soldier molts, under natural conditions in an
incipient colony of the damp-wood termite Zootermopsis nevadensis. Although
similar expression patterns were observed during each molting process, more than
50 genes were shown to be highly expressed before the presoldier (intermediate
stage of soldier) molt. We then performed RNA interference (RNAi) of the
candidate 13 genes, including transcription factors and uncharacterized protein
genes, during presoldier differentiation induced by juvenile hormone (JH) analog
treatment. Presoldiers induced after RNAi of two genes related to TGFbeta
(Transforming growth factor beta) signaling were extremely unusual and possessed
soldier-like phenotypes. These individuals also displayed aggressive behaviors
similar to natural soldiers when confronted with Formica ants as hypothetical
enemies. These presoldiers never molted into the next instar, presumably due to
the decreased expression levels of the molting hormone (20-hydroxyecdysone; 20E)
signaling genes. These results suggest that TGFbeta signaling was acquired for
the novel function of regulating between JH and 20E signaling during soldier
differentiation in termites.
PMID- 29641522
TI - Prognostic model for psychological outcomes in ambulatory surgery patients: A
prospective study using a structural equation modeling framework.
AB - INTRODUCTION: Surgical procedures are increasingly carried out in a day-case
setting. Along with this increase, psychological outcomes have become prominent.
The objective was to evaluate prospectively the prognostic effects of
sociodemographic, medical, and psychological variables assessed before day-case
surgery on psychological outcomes after surgery. METHODS: The study was carried
out between October 2010 and September 2011. We analyzed 398 mixed patients, from
a randomized controlled trial, undergoing day-case surgery at a university
medical center. Structural equation modeling was used to jointly study
presurgical prognostic variables relating to sociodemographics (age, sex,
nationality, marital status, having children, religion, educational level,
employment), medical status (BMI, heart rate), and psychological status
associated with anxiety (State-Trait Anxiety Inventory (STAI), Hospital Anxiety
and Depression Scale (HADS-A)), fatigue (Multidimensional Fatigue Inventory
(MFI)), aggression (State-Trait Anger Scale (STAS)), depressive moods (HADS-D),
self-esteem, and self-efficacy. We studied psychological outcomes on day 7 after
surgery, including anxiety, fatigue, depressive moods, and aggression regulation.
RESULTS: The final prognostic model comprised the following variables: anxiety
(STAI, HADS-A), fatigue (MFI), depression (HADS-D), aggression (STAS), self
efficacy, sex, and having children. The corresponding psychological variables as
assessed at baseline were prominent (i.e. standardized regression coefficients >=
0.20), with STAI-Trait score being the strongest predictor overall. STAI-State
(adjusted R2 = 0.44), STAI-Trait (0.66), HADS-A (0.45) and STAS-Trait (0.54) were
best predicted. CONCLUSION: We provide a prognostic model that adequately
predicts multiple postoperative outcomes in day-case surgery. Consequently, this
enables timely identification of vulnerable patients who may require additional
medical or psychological preventive treatment or-in a worst-case scenario-could
be unselected for day-case surgery.
PMID- 29641523
TI - Melatonin enhances antioxidant molecules in the placenta, reduces secretion of
soluble fms-like tyrosine kinase 1 (sFLT) from primary trophoblast but does not
rescue endothelial dysfunction: An evaluation of its potential to treat
preeclampsia.
AB - Preeclampsia is one of the most serious complications of pregnancy. Currently
there are no medical treatments. Given placental oxidative stress may be an early
trigger in the pathogenesis of preeclampsia, therapies that enhance antioxidant
pathways have been proposed as treatments. Melatonin is a direct free-radical
scavenger and indirect antioxidant. We performed in vitro assays to assess
whether melatonin 1) enhances the antioxidant response element genes (heme
oxygenase 1, (HO-1), glutamate-cysteine ligase (GCLC), NAD(P)H:quinone acceptor
oxidoreductase 1 (NQO1), thioredoxin (TXN)) or 2) alters secretion of the anti
angiogenic factors soluble fms-like tyrosine kinase-1 (sFLT) or soluble endoglin
(sENG) from human primary trophoblasts, placental explants and human umbilical
vein endothelial cells (HUVECs) and 3) can rescue TNF-alpha induced endothelial
dysfunction. In primary trophoblast melatonin treatment increased expression of
the antioxidant enzyme TXN. Expression of TXN, GCLC and NQO1 was upregulated in
placental tissue with melatonin treatment. HUVECs treated with melatonin showed
an increase in both TXN and GCLC. Melatonin did not increase HO-1 expression in
any of the tissues examined. Melatonin reduced sFLT secretion from primary
trophoblasts, but had no effect on sFLT or sENG secretion from placental explants
or HUVECs. Melatonin did not rescue TNF-alpha induced VCAM-1 and ET-1 expression
in endothelial cells. Our findings suggest that melatonin induces antioxidant
pathways in placenta and endothelial cells. Furthermore, it may have effects in
reducing sFLT secretion from trophoblast, but does not reduce endothelial
dysfunction. Given it is likely to be safe in pregnancy, it may have potential as
a therapeutic agent to treat or prevent preeclampsia.
PMID- 29641524
TI - A reassessment of the early archaeological record at Leang Burung 2, a Late
Pleistocene rock-shelter site on the Indonesian island of Sulawesi.
AB - This paper presents a reassessment of the archaeological record at Leang Burung
2, a key early human occupation site in the Late Pleistocene of Southeast Asia.
Excavated originally by Ian Glover in 1975, this limestone rock-shelter in the
Maros karsts of Sulawesi, Indonesia, has long held significance in our
understanding of early human dispersals into 'Wallacea', the vast zone of oceanic
islands between continental Asia and Australia. We present new stratigraphic
information and dating evidence from Leang Burung 2 collected during the course
of our excavations at this site in 2007 and 2011-13. Our findings suggest that
the classic Late Pleistocene modern human occupation sequence identified
previously at Leang Burung 2, and proposed to span around 31,000 to 19,000
conventional 14C years BP (~35-24 ka cal BP), may actually represent an amalgam
of reworked archaeological materials. Sources for cultural materials of mixed
ages comprise breccias from the rear wall of the rock-shelter-remnants of older,
eroded deposits dated to 35-23 ka cal BP-and cultural remains of early Holocene
antiquity. Below the upper levels affected by the mass loss of Late Pleistocene
deposits, our deep-trench excavations uncovered evidence for an earlier hominin
presence at the site. These findings include fossils of now-extinct proboscideans
and other 'megafauna' in stratified context, as well as a cobble-based stone
artifact technology comparable to that produced by late Middle Pleistocene
hominins elsewhere on Sulawesi.
PMID- 29641525
TI - Personal microbiome analysis improves student engagement and interest in
Immunology, Molecular Biology, and Genomics undergraduate courses.
AB - A critical area of emphasis for science educators is the identification of
effective means of teaching and engaging undergraduate students. Personal
microbiome analysis is a means of identifying the microbial communities found on
or in our body. We hypothesized the use of personal microbiome analysis in the
classroom could improve science education by making courses more applied and
engaging for undergraduate students. We determined to test this prediction in
three Brigham Young University undergraduate courses: Immunology, Advanced
Molecular Biology Laboratory, and Genomics. These three courses have a two-week
microbiome unit and students during the 2016 semester students could submit their
own personal microbiome kit or use the demo data, whereas during the 2017
semester students were given access to microbiome data from an anonymous
individual. The students were surveyed before, during, and after the human
microbiome unit to determine whether analyzing their own personal microbiome
data, compared to analyzing demo microbiome data, impacted student engagement and
interest. We found that personal microbiome analysis significantly enhanced the
engagement and interest of students while completing microbiome assignments, the
self-reported time students spent researching the microbiome during the two week
microbiome unit, and the attitudes of students regarding the course overall.
Thus, we found that integrating personal microbiome analysis in the classroom was
a powerful means of improving student engagement and interest in undergraduate
science courses.
PMID- 29641527
TI - Impact of HIV knowledge and stigma on the uptake of HIV testing - Results from a
community-based participatory research survey among migrants from sub-Saharan
Africa in Germany.
AB - BACKGROUND: In 2015, 3,674 new HIV diagnoses were notified in Germany; 16% of
those newly diagnosed cases originated from sub-Saharan Africa (sSA). One quarter
of the newly diagnosed cases among migrants from sSA (MisSA) are notified as
having acquired the HIV infection in Germany. In order to reach MisSA with HIV
testing opportunities, we aimed to identify which determinants influence the
uptake of HIV testing among MisSA in Germany. METHODS: To identify those
determinants, we conducted a quantitative cross-sectional survey among MisSA in
Germany. The survey was designed in a participatory process that included MisSA
and other stakeholders in HIV-prevention. Peer researchers recruited participants
to complete standardized questionnaires on HIV knowledge and testing. We
conducted multivariable analyses (MVA) to identify determinants associated with
ever having attended voluntary HIV testing; and another MVA to identify
determinant associated with having had the last voluntary HIV test in Germany.
RESULTS: Peer researchers recruited 2,782 participants eligible for inclusion in
the MVA. Of these participants, 59.9% (1,667/2,782) previously had an HIV test.
For each general statement about HIV that participants knew prior to
participation in the study, the odds of having been tested increased by 19% (OR
1.19; 95%-CI: 1.11-1.27). Participants reporting that HIV is a topic that is
discussed in their community had 92% higher odds of having been tested for HIV
(OR 1.92; 95%-CI: 1.60-2.31). Migrants living in Germany for less than a year had
the lowest odds of having had their last HIV test in Germany (OR 0.17; 95%-CI:
0.11-0.27). Additionally, MisSA 18 to 25 years (OR 0.55; 95%-CI: 0.42-0.73) and
participants with varied sexual partners and inconsistent condom use (OR 0.75;
95%-CI: 0.44-0.97) had significantly lower odds of having had their last HIV test
in Germany. DISCUSSION: Through participatory research, we were able to show that
knowledge about HIV and discussing HIV in communities increased the odds of
having attended HIV testing among MisSA. However, recent migrants and young
sexually active people are among the least reached by testing offers in Germany.
Community-based interventions may present opportunities to reach such migrants
and improve knowledge and increase discussion about HIV.
PMID- 29641526
TI - Persistent organic pollutants in Antarctic notothenioid fish and invertebrates
associated with trophic levels.
AB - Notothenioid fish and invertebrate samples from Antarctica were collected in the
austral summer of 2009, and analyzed for persistent organic pollutants (POPs),
including polycyclic aromatic hydrocarbons (PAHs), organochlorine pesticides
(OCPs), and polybrominated diphenylethers (PBDEs), as well as delta13C and
delta15N stable isotopes for trophic level determination. In this study, the POP
levels in the Antarctic biota samples were found to be ranked in the following
order: OCPs > PAHs >> PBDEs. The POP levels in notothenioid fish and krill
correlate to trophic levels; however, the POP concentrations in intertidal
benthic invertebrates are higher than in notothenioid fish implying that specific
biogeochemical factors may affect bioaccumulation in the Antarctica ecosystem.
Biomagnification of POPs may have a smaller role than bioconcentration in
Antarctica environment. In addition to the source, transport, exposure, and
absorption for each group of POPs in the short food chain in Antarctica, the
biological variation among species, interaction habitats, diet and metabolism are
also factors for future studies on contaminant bioaccumulation.
PMID- 29641528
TI - Gender-based discrimination and unprotected receptive anal intercourse among
transgender women in Brazil: A mixed methods study.
AB - INTRODUCTION: Discrimination related to gender identity may directly influence
vulnerability to HIV through increased exposure to unprotected receptive anal
intercourse (URAI). Little is known about the relationship between gender-based
discrimination (GBD) and URAI with stable partners among transgender women.
METHODS: This mixed-methods research began with a cross-sectional survey
conducted between 2014 and 2016 with transgender women in Salvador, the capital
city in one of the poorest regions in Brazil. Respondent-driven sampling was used
to recruit the study population. GBD was defined through Latent Class Analysis.
Additionally, 19 semi-structured interviews with participants were transcribed
and analyzed through thematic content analysis. RESULTS: URAI with stable
partners was commonly reported (37.3%). GDB was positively associated with URAI
among stable partners (OR = 6.47; IC 95%: 1.67-25.02). The analysis of the
interviews illustrated how GBD impacted transgender women in diverse ways.
Experiences with GBD perpetrated by the family often initiated a trajectory of
economic vulnerability that led many to engage in survival sex work. The constant
experience with GBD contributed to participants feeling an immense sense of trust
with their stable partners, ultimately diminished their desire to use condoms.
Further, the high frequency of GBD contributed to poor mental health overall,
though some participants said engagement in transgender advocacy efforts provided
a vital source of resilience and support. CONCLUSION: Our mixed-method study
capitalizes upon the strengths of diverse data sets to produce a holistic
understanding of GBD and URAI with stable partners. Furthermore, by confirming
the association between greater GBD and URAI, we have demonstrated how GBD can
impact condom negotiation in diverse relationships.
PMID- 29641529
TI - Variation in social systems within Chaetodon butterflyfishes, with special
reference to pair bonding.
AB - For many animals, affiliative relationships such as pair bonds form the
foundation of society and are highly adaptive. Animal systems amenable for
comparatively studying pair bonding are important for identifying underlying
biological mechanisms, but mostly exist in mammals. Better establishing fish
systems will enable comparison of pair bonding mechanisms across taxonomically
distant lineages that may reveal general underlying mechanistic principles. We
examined the utility of wild butterflyfishes (f: Chaetodontidae; g: Chaetodon)
for comparatively studying pair bonding. Using stochastic character mapping, we
provide the first analysis of the evolutionary history of butterflyfish
sociality, revealing that pairing is ancestral, with at least seven independent
transitions to gregarious grouping and solitary behavior since the late Miocene.
We then formally verified social systems in six sympatric and wide-spread species
representing a clade with one ancestrally reconstructed transition from paired to
solitary grouping at Lizard Island, Australia. In situ observations of the size,
selective affiliation and aggression, fidelity, and sex composition of social
groups confirmed that Chaetodon baronessa, C. lunulatus, and C. vagabundus are
predominantly pair bonding, whereas C. rainfordi, C. plebeius, and C.
trifascialis are predominantly solitary. Even in the predominantly pair bonding
species, C. lunulatus, a proportion of adults (15%) are solitary. Importantly,
inter- and intra-specific differences in social systems do not co-vary with other
previously established attributes, including parental care. Hence, the proposed
butterflyfish populations are promising for inter- and intra-species comparative
analyses of pair bonding and its mechanistic underpinnings. Avenues for further
developing the system are proposed, including determining whether the
aforementioned utility of these species applies across their geographic
disruptions.
PMID- 29641530
TI - Are 6-month-old human infants able to transfer emotional information (happy or
angry) from voices to faces? An eye-tracking study.
AB - The present study examined whether 6-month-old infants could transfer amodal
information (i.e. independently of sensory modalities) from emotional voices to
emotional faces. Thus, sequences of successive emotional stimuli (voice or face
from one sensory modality -auditory- to another sensory modality -visual-),
corresponding to a cross-modal transfer, were displayed to 24 infants. Each
sequence presented an emotional (angry or happy) or neutral voice, uniquely,
followed by the simultaneous presentation of two static emotional faces (angry or
happy, congruous or incongruous with the emotional voice). Eye movements in
response to the visual stimuli were recorded with an eye-tracker. First, results
suggested no difference in infants' looking time to happy or angry face after
listening to the neutral voice or the angry voice. Nevertheless, after listening
to the happy voice, infants looked longer at the incongruent angry face (the
mouth area in particular) than the congruent happy face. These results revealed
that a cross-modal transfer (from auditory to visual modalities) is possible for
6-month-old infants only after the presentation of a happy voice, suggesting that
they recognize this emotion amodally.
PMID- 29641531
TI - Comparison of the oral microbiome in mouthwash and whole saliva samples.
AB - Population-based epidemiologic studies can provide important insight regarding
the role of the microbiome in human health and disease. Buccal cells samples
using commercial mouthwash have been obtained in large prospective cohorts for
the purpose of studying human genomic DNA. We aimed to better understand if these
mouthwash samples are also a valid resource for the study of the oral microbiome.
We collected one saliva sample and one Scope mouthwash sample from 10 healthy
subjects. Bacterial 16S rRNA genes from both types of samples were amplified,
sequenced, and assigned to bacterial taxa. We comprehensively compared these
paired samples for bacterial community composition and individual taxonomic
abundance. We found that mouthwash samples yielded similar amount of bacterial
DNA as saliva samples (p from Student's t-test for paired samples = 0.92).
Additionally, the paired samples had similar within sample diversity (p from =
0.33 for richness, and p = 0.51 for Shannon index), and clustered as pairs for
diversity when analyzed by unsupervised hierarchical cluster analysis. No
significant difference was found in the paired samples with respect to the
taxonomic abundance of major bacterial phyla, Bacteroidetes, Firmicutes,
Proteobacteria, Fusobacteria, and Actinobacteria (FDR adjusted q values from
Wilcoxin signed-rank test = 0.15, 0.15, 0.87, 1.00 and 0.15, respectively), and
all identified genera, including genus Streptococcus (q = 0.21), Prevotella (q =
0.25), Neisseria (q = 0.37), Veillonella (q = 0.73), Fusobacterium (q = 0.19),
and Porphyromonas (q = 0.60). These results show that mouthwash samples perform
similarly to saliva samples for analysis of the oral microbiome. Mouthwash
samples collected originally for analysis of human DNA are also a resource
suitable for human microbiome research.
PMID- 29641532
TI - Germline mutations in candidate predisposition genes in individuals with
cutaneous melanoma and at least two independent additional primary cancers.
AB - BACKGROUND: While a number of autosomal dominant and autosomal recessive cancer
syndromes have an associated spectrum of cancers, the prevalence and variety of
cancer predisposition mutations in patients with multiple primary cancers have
not been extensively investigated. An understanding of the variants predisposing
to more than one cancer type could improve patient care, including screening and
genetic counselling, as well as advancing the understanding of tumour
development. METHODS: A cohort of 57 patients ascertained due to their cutaneous
melanoma (CM) diagnosis and with a history of two or more additional non
cutaneous independent primary cancer types were recruited for this study. Patient
blood samples were assessed by whole exome or whole genome sequencing. We
focussed on variants in 525 pre-selected genes, including 65 autosomal dominant
and 31 autosomal recessive cancer predisposition genes, 116 genes involved in the
DNA repair pathway, and 313 commonly somatically mutated in cancer. The same
genes were analysed in exome sequence data from 1358 control individuals
collected as part of non-cancer studies (UK10K). The identified variants were
classified for pathogenicity using online databases, literature and in silico
prediction tools. RESULTS: No known pathogenic autosomal dominant or previously
described compound heterozygous mutations in autosomal recessive genes were
observed in the multiple cancer cohort. Variants typically found somatically in
haematological malignancies (in JAK1, JAK2, SF3B1, SRSF2, TET2 and TYK2) were
present in lymphocyte DNA of patients with multiple primary cancers, all of whom
had a history of haematological malignancy and cutaneous melanoma, as well as
colorectal cancer and/or prostate cancer. Other potentially pathogenic variants
were discovered in BUB1B, POLE2, ROS1 and DNMT3A. Compared to controls, multiple
cancer cases had significantly more likely damaging mutations (nonsense,
frameshift ins/del) in tumour suppressor and tyrosine kinase genes and higher
overall burden of mutations in all cancer genes. CONCLUSIONS: We identified
several pathogenic variants that likely predispose to at least one of the tumours
in patients with multiple cancers. We additionally present evidence that there
may be a higher burden of variants of unknown significance in 'cancer genes' in
patients with multiple cancer types. Further screens of this nature need to be
carried out to build evidence to show if the cancers observed in these patients
form part of a cancer spectrum associated with single germline variants in these
genes, whether multiple layers of susceptibility exist (oligogenic or polygenic),
or if the occurrence of multiple different cancers is due to random chance.
PMID- 29641533
TI - Handgrip strength is associated with improved spirometry in adolescents.
AB - INTRODUCTION: Pulmonary rehabilitation, including aerobic exercise and strength
training, improves function, such as spirometric indices, in lung disease.
However, we found spirometry did not correlate with physical activity (PA) in
healthy adolescents (Smith ERJ: 42(4), 2016). To address whether muscle strength
did, we measured these adolescents' handgrip strength and correlated it with
spirometry. METHODS: In 1846 non-smoking, non-asthmatic Germans (age 15.2 years,
47% male), we modeled spirometric indices as functions of handgrip strength by
linear regression in each sex, corrected for factors including age, height, and
lean body mass. RESULTS: Handgrip averaged 35.4 (SD 7.3) kg in boys, 26.6 (4.2)
in girls. Spirometric volumes and flows increased linearly with handgrip. In boys
each kg handgrip was associated with about 28 mL greater FEV1 and FVC; 60 mL/sec
faster PEF; and 38 mL/sec faster FEF2575. Effects were 10-30% smaller in girls
(all p<0.0001) and stable when Z-scores for spirometry and grip were modeled,
after further correction for environment and/or other exposures, and consistent
across stages of puberty. CONCLUSIONS: Grip strength was associated with
spirometry in a cohort of healthy adolescents whose PA was not. Thus, research
into PA's relationship with lung function should consider strength as well as
total PA. Strength training may benefit healthy lungs; interventions are needed
to prove causality.
PMID- 29641534
TI - Isolation and characterization of an ammonium-oxidizing iron reducer:
Acidimicrobiaceae sp. A6.
AB - Acidimicrobiaceae sp. A6 (ATCC, PTA-122488), a strain that has been previously
reported to play a key role in the oxidation of ammonium (NH4+) under iron
reducing conditions, has now been isolated from riparian wetland soils in New
Jersey, USA. Incubations of this strain in a medium containing ferrihydrite as
the ferric iron [Fe(III)] source, CO2 as the carbon source, under room
temperature, and a pH of 4.5, resulted in 52% of NH4+ removal over a 20-day
incubation period, while reducing Fe(III) in the expected stoichiometric ratio
when NH4+ was oxidized to nitrite with Fe(III) as the electron acceptor. This
study demonstrates that this new isolated strain is capable of oxidizing NH4+
while reducing iron under anaerobic conditions.
PMID- 29641535
TI - Acquired resistance to AZD9291 as an upfront treatment is dependent on ERK
signaling in a preclinical model.
AB - AZD9291 (osimertinib) is approved for standard care in patients with EGFR T790M
positive non-small cell lung cancer (NSCLC) after prior EGFR TKI progression.
Furthermore, AZD9291 is now being evaluated as a first-line treatment for NSCLC
patients with activation EGFR mutations. Based on previous experiments,
resistance to AZD9291 as a first-line treatment may also emerge. Thus,
identification and understanding of resistance mechanisms to AZD9291 as a first
line treatment can help direct development of future therapies. AZD9291-resistant
cells (PC9/AZDR) were established using EGFR inhibitor-naive PC9 cells.
Resistance mechanisms were analyzed using next-generation sequencing (NGS) and a
proteome profiler array. Resistance to AZD9291 developed through aberrant
activation of ERK signaling by an EGFR-independent mechanism. The combination of
a MEK inhibitor with AZD9291 restored the sensitivity of PC9/AZDR cells in vitro
and in vivo. PC9/AZDR cells also showed increased MET expression and an HRAS G13R
mutation. In addition, maspin expression was higher after AZD9291 treatment in
PC9/AZDR cells. Sustained ERK activation confers resistance to AZD9291 as a first
line therapy. Thus, co-targeting EGFR and MEK may be an effective strategy to
overcome resistance to AZD9291.
PMID- 29641536
TI - Levels of anti-CMV antibodies are modulated by the frequency and intensity of
virus reactivations in kidney transplant patients.
AB - Anti-CMV (cytomegalovirus) antibody titers are related to immune alterations and
increased risk of mortality. To test whether they represent a marker of infection
history, we analyzed the effect of viral reactivations on the production of
specific antibodies in kidney transplant patients. We quantified CMV-DNAemia and
antibody titers in 58 kidney transplant patients before transplantation and
during a follow-up of 315 days (standard deviation, SD: 134.5 days). In order to
calculate the intensity of the infection, we plotted the follow-up time of the
infection on the x-axis and the number of DNA-CMV copies on the y-axis and
calculated the area under the curve (CMV-AUC). The degree of T-lymphocyte
differentiation was analyzed with flow cytometry, the cells were labelled with
different monoclonal antibodies in order to distinguish their differentiation
state, from naive T-cells to senescent T-cells. Peak viremia was significantly
higher in patients experiencing a primary infection (VI) compared to patients
experiencing viral reactivation (VR). Our data indicate that the overall CMV
viral load over the course of a primary infection is significantly higher than in
a reactivation of a previously established infection. Whereas patients who
experienced an episode of CMV reactivation during the course of our observation
showed increased levels of CMV-specific antibodies, patients who did not
experience CMV reactivation (WVR) showed a drop in CMV antibody levels that
corresponds to an overall drop in antibody levels, probably due to the continuing
immunosuppression after the renal transplant. We found a positive correlation
between the CMV viremia over the course of the infection or reactivation and the
CMV-specific antibody titers in the examined patients. We also observed a
positive correlation between anti-CMV titers and T-cell differentiation. In
conclusion, our data show that anti-CMV antibody titers are related to the course
of CMV infection in kidney transplant patients.
PMID- 29641537
TI - Broad cross-reactive IgG responses elicited by adjuvanted vaccination with
recombinant influenza hemagglutinin (rHA) in ferrets and mice.
AB - Annual immunization against influenza virus is a large international public
health effort. Accumulating evidence suggests that antibody mediated cross
reactive immunity against influenza hemagglutinin (HA) strongly correlates with
long-lasting cross-protection against influenza virus strains that differ from
the primary infection or vaccination strain. However, the optimal strategies for
achieving highly cross-reactive antibodies to the influenza virus HA have not yet
to be defined. In the current study, using Luminex-based mPlex-Flu assay,
developed by our laboratory, to quantitatively measure influenza specific IgG
antibody mediated cross-reactivity, we found that prime-boost-boost vaccination
of ferrets with rHA proteins admixed with adjuvant elicited higher magnitude and
broader cross-reactive antibody responses than that induced by actual influenza
viral infection, and this cross-reactive response likely correlated with
increased anti-stalk reactive antibodies. We observed a similar phenomenon in
mice receiving three sequential vaccinations with rHA proteins from either
A/California/07/2009 (H1N1) or A/Hong Kong/1/1968 (H3N2) viruses admixed with
Addavax, an MF59-like adjuvant. Using this same mouse vaccination model, we
determined that Addavax plays a more significant role in the initial priming
event than in subsequent boosts. We also characterized the generation of cross
reactive antibody secreting cells (ASCs) and memory B cells (MBCs) when comparing
vaccination to viral infection. We have also found that adjuvant plays a critical
role in the generation of long-lived ASCs and MBCs cross-reactive to influenza
viruses as a result of vaccination with rHA of influenza virus, and the observed
increase in stalk-reactive antibodies likely contributes to this IgG mediated
broad cross-reactivity.
PMID- 29641538
TI - Prognostic impact of systolic blood pressure variability in people with diabetes.
AB - OBJECTIVE: Blood pressure variability (BPV) has been associated with risk of
cardiovascular events in observational studies, independently of mean BP levels.
In states with higher autonomic imbalance, such as in diabetes, the importance of
BP variability may theoretically be even greater. We aimed to investigate the
incremental value of BPV for prediction of cardiovascular and all-cause mortality
in patients with type 2 diabetes. METHODS: We identified 9,855 patients without
pre-existing cardiovascular disease who did not change BP-lowering treatment
during the observation period from a Swedish primary health care cohort of
patients with type 2 diabetes. BPV was summarized as the standard deviation (SD),
coefficient of variation (CV), or variation independent of mean (VIM). Patients
were followed for a median of 4 years and associations with cardiovascular and
all-cause mortality were investigated using Cox proportional hazards models.
RESULTS: BPV was not associated with cardiovascular specific or all-cause
mortality in the total sample. In patients who were not on BP-lowering drugs
during the observation period (n = 2,949), variability measures were associated
with all-cause mortality: hazard ratios were 1.05, 1.04 and 1.05 for 50%
increases in SD, CV and VIM, respectively, adjusted for Framingham risk score
risk factors, including mean BP. However, the addition of the variability
measures in this subgroup only led to very minimal improvement in discrimination,
indicating they may have limited clinical usefulness (change in C-statistic
ranged from 0.000-0.003 in all models). CONCLUSIONS: Although BPV was
independently associated with all-cause mortality in diabetes patients in primary
care who did not have pre-existing cardiovascular disease or BP-lowering drugs,
it may be of minimal clinical usefulness above and beyond that of other routinely
measured predictors, including mean BP.
PMID- 29641539
TI - Production of omega-3 enriched tilapia through the dietary use of algae meal or
fish oil: Improved nutrient value of fillet and offal.
AB - The goal of this project was to increase the nutrient value of fillets, by
product muscle, and offal of aquacultured tilapia. A diet that includes seafood
with a high omega-3 (n-3) fatty acid content, more specifically eicosapentaenoic
acid (EPA) and docosahexaenoic acid (DHA), are known to have numerous health
benefits for consumers. Improved nutrient value of the offal may also attract new
market opportunities for the aquaculture industry. Tilapia were cultured on
different experimental feeds that contained various levels of n-3 fatty acids
from either fish oil (FO) or algae meal (AM) that were used to replace corn oil.
The experimental diets included a control (corn oil 6.3%), FO1%, FO3%, FO5%,
AM1.75%, AM5.26%, and AM8.77%. All diets were formulated to be isocaloric,
isonitrogenous, and isolipid. Three hundred and fifty tilapia with an initial
mean weight of 158+/-2 g were cultured in a recirculating aquaculture system
(seven diets replicated at the tank level, 14 tanks, 25 fish per tank). For all
of the production performance data, no differences (P>0.05) were observed between
the experimental groups which included survival (overall mean +/- standard error,
99.4+/-0.3%), growth per week (45.4+/-1.0 g/wk), food conversion ratio (1.32+/
0.03), fillet yield (44.4+/-0.2%), hepatosomatic index (1.61+/-0.02),
viscerosomatic index (2.86+/-0.06), and mesenteric fat index (0.97+/-0.04).
Fillet and rib meat tissues were collected at weeks four and eight, and liver and
mesenteric fat tissues were collected at week eight. Fatty acids were extracted,
methylated and identified with gas chromatography-mass spectrometry. All tissues
had improved fatty acid profiles (higher n-3, lower n-6, n-6:n-3) with increasing
levels of FO and AM in the diet. For example, the best diet for significantly
(P<0.05) improving the lipid profile in tilapia fillets at week eight was diet
AM8.77%. In the fillet, total n-3 was increased (control versus AM8.77%) from
151.2+/-19.0 to 438.7+/-14.2 mg per 4 ounce (113 g) serving and n-6:n-3 ratio was
improved from 5.19+/-0.76 to 1.29+/-0.03.
PMID- 29641540
TI - Muscle mass, BMI, and mortality among adults in the United States: A population
based cohort study.
AB - BACKGROUND: The level of body-mass index (BMI) associated with the lowest risk of
death remains unclear. Although differences in muscle mass limit the utility of
BMI as a measure of adiposity, no study has directly examined the effect of
muscle mass on the BMI-mortality relationship. METHODS: Body composition was
measured by dual-energy x-ray absorptiometry in 11,687 participants of the
National Health and Nutrition Examination Survey 1999-2004. Low muscle mass was
defined using sex-specific thresholds of the appendicular skeletal muscle mass
index (ASMI). Proportional hazards models were created to model associations with
all-cause mortality. RESULTS: At any level of BMI >=22, participants with low
muscle mass had higher body fat percentage (%TBF), an increased likelihood of
diabetes, and higher adjusted mortality than other participants. Increases in
%TBF manifested as 30-40% smaller changes in BMI than were observed in
participants with preserved muscle mass. Excluding participants with low muscle
mass or adjustment for ASMI attenuated the risk associated with low BMI,
magnified the risk associated with high BMI, and shifted downward the level of
BMI associated with the lowest risk of death. Higher ASMI was independently
associated with lower mortality. Effects were similar in never-smokers and ever
smokers. Additional adjustment for waist circumference eliminated the risk
associated with higher BMI. Results were unchanged after excluding unintentional
weight loss, chronic illness, early mortality, and participants performing muscle
strengthening exercises or recommended levels of physical activity. CONCLUSIONS:
Muscle mass mediates associations of BMI with adiposity and mortality and is
inversely associated with the risk of death. After accounting for muscle mass,
the BMI associated with the greatest survival shifts downward toward the normal
range. These results provide a concrete explanation for the obesity paradox.
PMID- 29641541
TI - Physiological evidence of sensory integration in the electrosensory lateral line
lobe of Gnathonemus petersii.
AB - Mormyrid fish rely on reafferent input for active electrolocation. Their
electrosensory input consists of phase and amplitude information. These are
encoded by differently tuned receptor cells within the Mormyromasts, A- and B
cells, respectively, which are distributed over the animal's body. These convey
their information to two topographically ordered medullary zones in the
electrosensory lateral line lobe (ELL). The so-called medial zone receives only
amplitude information, while the dorsolateral zone receives amplitude and phase
information. Using both sources of information, Mormyrid fish can disambiguate
electrical impedances. Where and how this disambiguation takes place is presently
unclear. We here investigate phase-sensitivity downstream from the
electroreceptors. We provide first evidence of phase-sensitivity in the medial
zone of ELL. In this zone I-cells consistently decreased their rate to positive
phase-shifts (6 of 20 cells) and increased their rate to negative shifts (11/20),
while E-cells of the medial zone (3/9) responded oppositely to I-cells. In the
dorsolateral zone the responses of E- and I-cells were opposite to those found in
the medial zone. Tracer injections revealed interzonal projections that
interconnect the dorsolateral and medial zones in a somatotopic manner. In
summary, we show that phase information is processed differently in the
dorsolateral and the medial zones. This is the first evidence for a mechanism
that enhances the contrast between two parallel sensory channels in Mormyrid
fish. This could be beneficial for impedance discrimination that ultimately must
rely on a subtractive merging of these two sensory streams.
PMID- 29641542
TI - Stomach fullness shapes prey choice decisions in crab plovers (Dromas ardeola).
AB - Foragers whose energy intake rate is constrained by search and handling time
should, according to the contingency model (CM), select prey items whose
profitability exceeds or equals the forager's long-term average energy intake
rate. This rule does not apply when prey items are found and ingested at a higher
rate than the digestive system can process them. According to the digestive rate
model (DRM), foragers in such situations should prefer prey with the highest
digestive quality, instead of the highest profitability. As the digestive system
fills up, the limiting constraint switches from ingestion rate to digestion rate,
and prey choice is expected to change accordingly for foragers making decisions
over a relative short time window. We use these models to understand prey choice
in crab plovers (Dromas ardeola), preying on either small burrowing crabs that
are swallowed whole (high profitability, but potentially inducing a digestive
constraint) or on larger swimming crabs that are opened to consume only the flesh
(low profitability, but easier to digest). To parameterize the CM and DRM, we
measured energy content, ballast mass and handling times for different sized
prey, and the birds' digestive capacity in three captive individuals.
Subsequently, these birds were used in ad libitum experiments to test if they
obeyed the rules of the CM or DRM. We found that crab plovers with an empty
stomach mainly chose the most profitable prey, matching the CM. When stomach
fullness increased, the birds switched their preference from the most profitable
prey to the highest-quality prey, matching the predictions of the DRM. This shows
that prey choice is context dependent, affected by the stomach fullness of an
animal. Our results suggest that prey choice experiments should be carefully
interpreted, especially under captive conditions as foragers often 'fill up' in
the course of feeding trials.
PMID- 29641543
TI - Urogenital Chlamydia trachomatis multilocus sequence types and genovar
distribution in chlamydia infected patients in a multi-ethnic region of Saratov,
Russia.
AB - BACKGROUND: This is the first report to characterize the prevalence and genovar
distribution of genital chlamydial infections among random heterosexual patients
in the multi-ethnic Saratov Region, located in Southeast Russia. METHODS: Sixty
one clinical samples (cervical or urethral swabs) collected from a random cohort
of 856 patients (7.1%) were C. trachomatis (CT) positive in commercial nucleic
acid amplification tests (NAATs) and duplex TaqMan PCRs. RESULTS: Sequence
analysis of the VDII region of the ompA gene revealed seven genovars of C.
trachomatis in PCR-positive patients. The overall genovars were distributed as E
(41.9%), G (21.6%), F (13.5%), K (9.5%), D (6.8%), J (4.1%), and H (2.7%). CT
positive samples were from males (n = 12, 19.7%), females (n = 42, 68.8%), and
anonymous (n = 7, 11.5%) patients, with an age range of 19 to 45 years (average
26.4), including 12 different ethnic groups representative of this region. Most
patients were infected with a single genovar (82%), while 18% were co-infected
with either two or three genovars. The 1156 bp-fragment of the ompA gene was
sequenced in 46 samples to determine single nucleotide polymorphisms (SNP) among
isolates. SNP-based subtyping and phylogenetic reconstruction revealed the
presence of 13 variants of the ompA gene, such as E (E1, E2, E6), G (G1, G2, G3,
G5), F1, K, D (D1, Da2), J1, and H2. Differing genovar distribution was
identified among urban (E>G>F) and rural (E>K) populations, and in Slavic (E>G>D)
and non-Slavic (E>G>K) ethnic groups. Multilocus sequence typing (MLST)
determined five sequences types (STs), such as ST4 (56%, 95% confidence interval,
CI, 70.0 to 41.3), ST6 (10%, 95% CI 21.8 to 3.3), ST9 (22%, 95% CI 35.9 to 11.5),
ST10 (2%, 95% CI 10.7 to 0.05) and ST38 (10%, 95% CI 21.8 to 3.3). Thus, the most
common STs were ST4 and ST9. CONCLUSION: C. trachomatis is a significant cause of
morbidity among random heterosexual patients with genital chlamydial infections
in the Saratov Region. Further studies should extend this investigation by
describing trends in a larger population, both inside and outside of the Saratov
Region to clarify some aspects for the actual application of C. trachomatis
genotype analysis for disease control.
PMID- 29641544
TI - A paucity of strategies for developing health literate organisations: A
systematic review.
AB - INTRODUCTION: People with low health literacy are more likely to delay seeking
care and experience adverse outcomes. While health literacy is the product of
individuals' capacities, it is also affected by the complexities of the health
care system. System-level changes are needed to align health care demands better
with the public's skills and abilities. We aimed to identify the evidence base
for effective strategies for creating health literate organisations. METHODS: A
systematic review and narrative synthesis of empirical studies was performed.
Medline, Embase, PsychInfo and CINHAL databases were searched for empirical
studies from OECD countries published from 2008 onwards, focusing on health
literacy interventions at the organisational level. Analysis of the findings was
informed by the National Academies' five-dimensional framework for the attributes
of a health literate organisation, which include: organisational commitment,
accessible education and technology infrastructure, augmented workforce, embedded
policies and practices, and effective bidirectional communication. RESULTS: The
title and abstract of 867 records were screened according to the selection
criteria, leading to full text review of 125 articles. Seven studies were
identified in the peer review literature. Adapting health literacy guidelines and
tools was the most common approach to addressing organisational health literacy.
CONCLUSION: While the use of health literacy tools proved important for raising
awareness of health literacy issues within organisations, these tools were
insufficient for generating the organisational changes necessary to improve
organisational health literacy.
PMID- 29641545
TI - Effects of genetic variants in the TSPO gene on protein structure and stability.
AB - The 18 kDa translocator protein (TSPO) is an evolutionary conserved cholesterol
binding protein localized in the outer mitochondrial membrane. Expression of TSPO
is upregulated in activated microglia in various neuroinflammatory,
neurodegenerative, and neoplastic disorders. Therefore, TSPO radioligands are
used as biomarkers in positron emission tomography (PET) studies. In particular,
a common A147T polymorphism in the TSPO gene affects binding of several high
affinity TSPO radioligands. Given the relevance of TSPO as a diagnostic biomarker
in disease processes, we systematically searched for mutations in the human TSPO
gene by a wide array of evolution and structure based bioinformatics tools and
identified potentially deleterious missense mutations. The two most frequently
observed missense mutations A147T and R162H were further analysed in structural
models of human wildtype and mutant TSPO proteins. The effects of missense
mutations were studied on the atomic level using molecular dynamics simulations.
To analyse putative effects of A147T and R162H variants on protein stability we
established primary dermal fibroblast cultures from wt and homozygous A147T and
R162H donors. Stability of endogenous TSPO protein, which is abundantly expressed
in fibroblasts, was studied using cycloheximide protein degradation assay. Our
data show that the A147T mutation significantly alters the flexibility and
stability of the mutant protein. Furthermore both A147T and R162H mutations
decreased the half-life of the mutant proteins by about 25 percent, which could
in part explain its effect on reduced pregnenolone production and susceptibility
to neuropsychiatric disorders. The present study is the first comprehensive
bioinformatic analysis of genetic variants in the TSPO gene, thereby extending
the knowledge about the clinical relevance of TSPO nsSNPs.
PMID- 29641546
TI - Estimated hepatitis C prevalence and key population sizes in San Francisco: A
foundation for elimination.
AB - BACKGROUND: Initiated in 2016, End Hep C SF is a comprehensive initiative to
eliminate hepatitis C (HCV) infection in San Francisco. The introduction of
direct-acting antivirals to treat and cure HCV provides an opportunity for
elimination. To properly measure progress, an estimate of baseline HCV
prevalence, and of the number of people in various subpopulations with active HCV
infection, is required to target and measure the impact of interventions. Our
analysis was designed to incorporate multiple relevant data sources and estimate
HCV burden for the San Francisco population as a whole, including specific key
populations at higher risk of infection. METHODS: Our estimates are based on
triangulation of data found in case registries, medical records, observational
studies, and published literature from 2010 through 2017. We examined
subpopulations based on sex, age and/or HCV risk group. When multiple sources of
data were available for subpopulation estimates, we calculated a weighted average
using inverse variance weighting. Credible ranges (CRs) were derived from 95%
confidence intervals of population size and prevalence estimates. RESULTS: We
estimate that 21,758 residents of San Francisco are HCV seropositive (CR: 10,274
42,067), representing an overall seroprevalence of 2.5% (CR: 1.2%- 4.9%). Of
these, 16,408 are estimated to be viremic (CR: 6,505-37,407), though this
estimate includes treated cases; up to 12,257 of these (CR: 2,354-33,256) are
people who are untreated and infectious. People who injected drugs in the last
year represent 67.9% of viremic HCV infections. CONCLUSIONS: We estimated
approximately 7,400 (51%) more HCV seropositive cases than are included in San
Francisco's HCV surveillance case registry. Our estimate provides a useful
baseline against which the impact of End Hep C SF can be measured.
PMID- 29641547
TI - Production of xylitol and bio-detoxification of cocoa pod husk hemicellulose
hydrolysate by Candida boidinii XM02G.
AB - The use of cocoa pod husk hemicellulose hydrolysate (CPHHH) was evaluated for the
production of xylitol by Candida boidinii XM02G yeast isolated from soil of cocoa
growing areas and decaying bark, as an alternative means of reusing this type of
waste. Xylitol was obtained in concentrations of 11.34 g.L-1, corresponding to a
yield (Yp/s) of 0.52 g.g-1 with a fermentation efficiency (epsilon) of 56.6%. The
yeast was tolerant to inhibitor compounds present in CPHHH without detoxification
in different concentration factors, and was able to tolerate phenolic compounds
at approximately 6 g.L-1. The yeast was also able to metabolize more than 99%
(p/v) of furfural and hydroxymethylfurfural present in the non-detoxified CPHHH
without extension of the cell-growth lag phase, showing the potential of this
microorganism for the production of xylitol. The fermentation of cocoa pod husk
hydrolysates appears to provide an alternative use which may reduce the impact
generated by incorrect disposal of this waste.
PMID- 29641548
TI - Multiple blood flow measurements before and after carotid artery stenting via
phase-contrast magnetic resonance imaging: An observational study.
AB - After carotid artery stenting, the procurement of information about blood flow
redistribution among brain-feeding arteries and its time trend is essential to
understanding a patient's physiological background and to determine their care
regimen. Cerebral blood flow has been measured twice following carotid artery
stenting in few previous studies, with some discrepancies in the results. The
purpose of this study was to measure cerebral blood flow at multiple time points
after carotid artery stenting, and to elucidate the time trend of cerebral blood
flow and redistribution among arteries. Blood flow rates in 11 subjects were
measured preoperatively, at one day, one week, and about three months,
respectively after carotid artery stenting by using phase-contrast magnetic
resonance imaging. The target vessels were the bilateral internal carotid
arteries, the basilar artery, and the bilateral middle cerebral arteries. Lumen
was semi-automatically defined using an algorithm utilizing pulsatility. The
results showed that blood flow rates in the stented internal carotid artery and
the ipsilateral middle cerebral artery increased following carotid artery
stenting. Blood flow rates in the contralateral internal carotid artery and the
basilar artery gradually declined, and they were lower than the preoperative
values at three months after stenting. The sum of blood flow rates of the
bilateral internal carotid arteries and the basilar artery increased after
carotid artery stenting, and then decreased over the next three months. There was
no significant change in the blood flow rate in the contralateral middle cerebral
artery. From these results, it was concluded that redistribution among the
bilateral internal carotid arteries and the basilar artery occurs after carotid
artery stenting, and that it takes months thereafter to reach another
equilibrium.
PMID- 29641550
TI - Influence of transducer types on bone conduction hearing thresholds.
AB - OBJECTIVE: Different types of bone conduction transducers with different physical
and electro-acoustic properties are available for audiometric hearing threshold
measurements. The reference equivalent threshold vibratory force levels (RETVFL)
specified in ISO 389-3 are based on measurements conducted with the B71 and KH70
transducers but apply to all types of transducers available for bone conduction
audiometry. The objective of this study was to compare bone conduction hearing
thresholds measured by different transducers. DESIGN: In a prospective study the
hearing thresholds were measured psychometrically between 125 Hz and 8000 Hz
using the Radioear B71, B81 and Pracitronic KH70 transducers. STUDY SAMPLE:
Twenty-one normal hearing participants and fifteen hearing impaired participants.
RESULTS: In both groups significant differences were found between the thresholds
measured with the different transducers at the low frequencies 125 Hz and 250 Hz
and the high frequencies 3000 Hz, 4000 Hz, 6000 Hz and 8000 Hz. In the normal
hearing group, deviations from the reference threshold 0 dB HL towards lower
thresholds were observed for the B71 and B81 at 125 Hz and at the high
frequencies 3000 Hz, 4000 Hz, 6000 Hz and 8000 Hz. CONCLUSIONS: RETVFL-values
should be reassessed and provided specifically for the different transducers.
PMID- 29641549
TI - The flavonoid compound apigenin prevents colonic inflammation and motor
dysfunctions associated with high fat diet-induced obesity.
AB - BACKGROUND AND PURPOSE: Apigenin can exert beneficial actions in the prevention
of obesity. However, its putative action on obesity-associated bowel motor
dysfunctions is unknown. This study examined the effects of apigenin on colonic
inflammatory and motor abnormalities in a mouse model of diet-induced obesity.
EXPERIMENTAL APPROACH: Male C57BL/6J mice were fed with standard diet (SD) or
high-fat diet (HFD). SD or HFD mice were treated with apigenin (10 mg/Kg/day).
After 8 weeks, body and epididymal fat weight, as well as cholesterol,
triglycerides and glucose levels were evaluated. Malondialdehyde (MDA), IL-1beta
and IL-6 levels, and let-7f expression were also examined. Colonic infiltration
by eosinophils, as well as substance P (SP) and inducible nitric oxide synthase
(iNOS) expressions were evaluated. Motor responses elicited under blockade of NOS
and tachykininergic contractions were recorded in vitro from colonic longitudinal
muscle preparations. KEY RESULTS: When compared to SD mice, HFD animals displayed
increased body weight, epididymal fat weight and metabolic indexes. HFD mice
showed increments in colonic MDA, IL-1beta and IL-6 levels, as well as a decrease
in let-7f expression in both colonic and epididymal tissues. HFD mice displayed
an increase in colonic eosinophil infiltration. Immunohistochemistry revealed an
increase in SP and iNOS expression in myenteric ganglia of HFD mice. In
preparations from HFD mice, electrically evoked contractions upon NOS blockade or
mediated by tachykininergic stimulation were enhanced. In HFD mice, Apigenin
counteracted the increase in body and epididymal fat weight, as well as the
alterations of metabolic indexes. Apigenin reduced also MDA, IL-1beta and IL-6
colonic levels as well as eosinophil infiltration, SP and iNOS expression, along
with a normalization of electrically evoked tachykininergic and nitrergic
contractions. In addition, apigenin normalized let-7f expression in epididymal
fat tissues, but not in colonic specimens. CONCLUSIONS AND IMPLICATIONS: Apigenin
prevents systemic metabolic alterations, counteracts enteric inflammation and
normalizes colonic dysmotility associated with obesity.
PMID- 29641551
TI - Alterations in the vitamin D endocrine system during pregnancy: A longitudinal
study of 855 healthy Norwegian women.
AB - To ensure optimal calcium accrual in the fetal skeleton, a substantial rise
occurs in 1,25-dihydroxyvitamin D (1,25(OH)2D), but is dependent on sufficient 25
hydroxyvitamin (25(OH)D). Large longitudinal studies addressing free 25(OH)D and
1,25(OH)2D during pregnancy are scarce. We aimed to assess levels of and
relationship between 25(OH)D, 1,25(OH)2D, vitamin D-binding protein (DBP),
parathyroid hormone (PTH), and free 25(OH)D during pregnancy; determinants of
vitamin D status; and association between vitamin D indices or PTH and pregnancy
outcomes (gestational diabetes mellitus and birthweight). Altogether 855 pregnant
Norwegian Caucasian women from Trondheim and Stavanger (latitude 63 degrees N and
58 degrees N) were recruited; 94 were lost to follow-up. The study was originally
a randomized controlled trial (2007-2009) with gestational diabetes as primary
outcome. Data were collected in second and third trimester. In third trimester,
246 (34%) had vitamin D insufficiency and 52 (7%) deficiency (25(OH)D <50 and
<30nmol/L, respectively). During wintertime in third trimester, 61 (47%) from
Trondheim and 23 (51%) from Stavanger exhibited vitamin D insufficiency. PTH was
elevated in 27 (3.7%). Estimate of change between trimesters was (95% CI):
25(OH)D -1.8 (-2.8 to -0.7) nmol/L, DBP 0.62 (0.57 to 0.66) MUmol/L, calculated
free 25(OH)D -1.7 (-2.0 to -1.4) pmol/L, PTH 0.81 (0.72 to 0.90) pmol/L,
1,25(OH)2D (sub-analysis) 31.4 (CI 24.7 to 38.2) pmol/L. A decrease in 1,25(OH)2D
occurred in 45% of those with vitamin D deficiency, and they also exhibited lower
levels than women with adequate vitamin D status. No association of vitamin D
indices and PTH with pregnancy outcomes was observed. Women in Trondheim
displayed lower 25(OH)D levels, despite minor latitudinal differences. Less than
one-fifth adhered to the authorities' vitamin D recommendations. These findings
demonstrate that hypovitaminosis D is prevalent among pregnant women living in
northern latitudes, especially during the dark season, and there is an unmet need
to ensure adequate vitamin D intake.
PMID- 29641552
TI - Coupled effects of oil spill and hurricane on saltmarsh terrestrial arthropods.
AB - Terrestrial arthropods play an important role in saltmarsh ecosystems, mainly
affecting the saltmarsh's primary production as the main consumers of terrestrial
primary production and decomposition. Some of these arthropods, including
selected insects and spiders, can be used as ecological indicators of overall
marsh environmental health, as they are differentially sensitive to ecological
stressors, such as land loss, erosion, oil spills, and tropical storms. In the
present study, we used terrestrial arthropods collected from seven (three lightly
oiled, four heavily-oiled) sites in Barataria Bay and from three unoiled
reference sites in Delacroix, Louisiana, to determine the impacts of the
distribution and re-distribution of Deepwater Horizon (DWH) oil on these
saltmarsh ecosystems. A total of 9,476 and 12,256 insects were collected in 2013
and 2014, respectively. The results show that the terrestrial arthropods were
negatively affected by the re-distribution of DWH oil by Hurricane Isaac in 2012,
although the level of impacts varied among the arthropod groups. Moreover, the
mean diversity index was higher (>1.5) in 2014 than in 2013 (<1.5) for all sites,
suggesting a recovery trajectory of the saltmarsh arthropod population. The
higher taxonomic richness observed in the reference sites compared to the oiled
sites for both years also indicated long-term impacts of DWH oil to the saltmarsh
arthropod community. Whereas a slow recovery of certain terrestrial arthropods
was observed, long-term monitoring of arthropod communities would help better
understand the recovery and succession of the marsh ecosystems.
PMID- 29641553
TI - AFLP-based genetic diversity of wild orchardgrass germplasm collections from
Central Asia and Western China, and the relation to environmental factors.
AB - Dactylis glomerata L. (orchardgrass) is an important perennial forage species in
temperate areas of the world. It is usually used for silage, grazing and hay
because of its high nutritional value and reproducibility. Central Asia, Xinjiang
and Tibetan Plateau in China possess various special micro-environments that
harbor many valuable resources, while different degrees of degradation of the
grassland ecosystem occurred due to climatic changing and human activities.
Investigating the genetic diversity of wild D. glomerat could provide basis for
collection, protection, and utilization of some excellent germplasm resources.
Totally 210 individuals from 14 populations-five from Xinjiang, two from Kangding
(Tibetan Plateau), and seven from Central Asia were identified using AFLP
technology. The average values of Nei's genetic diversity (Hj) and Shannon
information index (Ho) were 0.383 and 0.394 respectively. UPGMA tree, STRUCTURE
analysis and principal coordinate analysis (PCoA) showed populations from same
region clustered together. AMOVA revealed 35.10% of the genetic differentiation
(Fst) occurred among populations. Gene flow (Nm) was limited among all
populations. Genetic diversity of D. glomerata was high but limited under
isolation-by-distance pattern, resulting in high genetic differentiation and low
gene flow among populations. Adjacent regions also exhibited similar results
because of the barriers of high mountains. The environmental factors, such as
precipitation, elevation, latitude and longitude also had some impacts on genetic
diversity and structure pattern of populations.
PMID- 29641554
TI - Constructing a consumption model of fine dining from the perspective of
behavioral economics.
AB - Numerous factors affect how people choose a fine dining restaurant, including
food quality, service quality, food safety, and hedonic value. A conceptual
framework for evaluating restaurant selection behavior has not yet been
developed. This study surveyed 150 individuals with fine dining experience and
proposed the use of mental accounting and axiomatic design to construct a
consumer economic behavior model. Linear and logistic regressions were employed
to determine model correlations and the probability of each factor affecting
behavior. The most crucial factor was food quality, followed by service and
dining motivation, particularly regarding family dining. Safe ingredients, high
cooking standards, and menu innovation all increased the likelihood of consumers
choosing fine dining restaurants.
PMID- 29641555
TI - Two novel blood-based biomarker candidates measuring degradation of tau are
associated with dementia: A prospective study.
AB - BACKGROUND: Truncated tau appears to be specifically related to disease pathology
and recent studies have shown the presence and elevation of several truncated tau
species in Cerebrospinal fluid (CSF) of subjects with Alzheimer's disease (AD);
however, the relevance of truncated Tau measurements in blood is still being
studied. OBJECTIVE: The aim of the current study was to assess the longitudinal
associations between baseline levels of two novel blood biomarker candidates
measuring truncated tau, Tau-A and Tau-C, and the risk of incident dementia and
AD in elderly women. METHODS: Using solid phase competitive ELISA, two tau
fragments were detected in serum of 5,309 women from the Prospective
Epidemiological Risk Factor study. The study was an observational, prospective
study of Danish postmenopausal women. Subjects were followed with registry
linkage for up to 15 years (median follow-up time 13.7 years). Cox regression was
used to assess the utility of the biomarker candidates in relation to dementia
and AD. RESULTS: High levels of Tau-A and Tau-C (above the median) in blood were
associated with lower risk of dementia and AD (Tau-A: Dementia HR[95% CI] =
0.85[0.70-1.04]; AD 0.71[0.52-0.98] and Tau-C: Dementia 0.84[0.70-1.00]; AD
0.78[0.60-1.03]). Tau-C gave a very modest increase in the AUC in a 5-year
prediction horizon as compared to a reference model with age and education, while
a combination of the two did not improve their predictive capacity. CONCLUSIONS:
Measurement of tau in serum is feasible. The serological tau turnover profile may
be related to the diagnosis and development of dementia and AD. The exact
processing and profile in serum in relation to cognitive disorders remains to be
further assessed to provide simple non-invasive tests to identify subjects with
progressive cognitive disorders.
PMID- 29641556
TI - Anthropogenic contamination of tap water, beer, and sea salt.
AB - Plastic pollution has been well documented in natural environments, including the
open waters and sediments within lakes and rivers, the open ocean and even the
air, but less attention has been paid to synthetic polymers in human consumables.
Since multiple toxicity studies indicate risks to human health when plastic
particles are ingested, more needs to be known about the presence and abundance
of anthropogenic particles in human foods and beverages. This study investigates
the presence of anthropogenic particles in 159 samples of globally sourced tap
water, 12 brands of Laurentian Great Lakes beer, and 12 brands of commercial sea
salt. Of the tap water samples analyzed, 81% were found to contain anthropogenic
particles. The majority of these particles were fibers (98.3%) between 0.1-5 mm
in length. The range was 0 to 61 particles/L, with an overall mean of 5.45
particles/L. Anthropogenic debris was found in each brand of beer and salt. Of
the extracted particles, over 99% were fibers. After adjusting for particles
found in lab blanks for both salt and beer, the average number of particles found
in beer was 4.05 particles/L with a range of 0 to 14.3 particles/L and the
average number of particles found in each brand of salt was 212 particles/kg with
a range of 46.7 to 806 particles/kg. Based on consumer guidelines, our results
indicate the average person ingests over 5,800 particles of synthetic debris from
these three sources annually, with the largest contribution coming from tap water
(88%).
PMID- 29641557
TI - Efficient minimization of multipole electrostatic potentials in torsion space.
AB - The development of models of macromolecular electrostatics capable of delivering
improved fidelity to quantum mechanical calculations is an active field of
research in computational chemistry. Most molecular force field development takes
place in the context of models with full Cartesian coordinate degrees of freedom.
Nevertheless, a number of macromolecular modeling programs use a reduced set of
conformational variables limited to rotatable bonds. Efficient algorithms for
minimizing the energies of macromolecular systems with torsional degrees of
freedom have been developed with the assumption that all atom-atom interaction
potentials are isotropic. We describe novel modifications to address the
anisotropy of higher order multipole terms while retaining the efficiency of
these approaches. In addition, we present a treatment for obtaining derivatives
of atom-centered tensors with respect to torsional degrees of freedom. We apply
these results to enable minimization of the Amoeba multipole electrostatics
potential in a system with torsional degrees of freedom, and validate the
correctness of the gradients by comparison to finite difference approximations.
In the interest of enabling a complete model of electrostatics with implicit
treatment of solvent-mediated effects, we also derive expressions for the
derivative of solvent accessible surface area with respect to torsional degrees
of freedom.
PMID- 29641558
TI - Detection of autoimmune antibodies in localized scleroderma by synthetic
oligonucleotide antigens.
AB - In this study, we developed a series of synthetic oligonucleotides that allowed
us to investigate the details on the antigen recognition by autoimmune antibodies
in localized scleroderma subjects. Besides dramatically improved analytical
specificity of the assay, our data suggests a potential linking for antibodies to
DNA to the biological status of disease state in localized scleroderma. Moreover,
introducing chemical modifications into short synthetic deoxyribonucleic acid
(DNA) and ribonucleic acid (RNA) molecules completely changed the binding titers
of corresponding antibodies and their clinical relevance. The strongest observed
effect was registered for the localized scleroderma skin damage index (LoSDI) on
the IgG antibodies to TC dinucleotide-rich double-stranded antigen (p < 0.001).
In addition to providing valuable tools for diagnosis of clinically relevant
biomarkers, we believe that this work opens up new opportunities for research on
antibodies to nucleic acids in localized scleroderma and other autoimmune
diseases.
PMID- 29641560
TI - Supramolecular assembly of the beta-catenin destruction complex and the effect of
Wnt signaling on its localization, molecular size, and activity in vivo.
AB - Wnt signaling provides a paradigm for cell-cell signals that regulate embryonic
development and stem cell homeostasis and are inappropriately activated in
cancers. The tumor suppressors APC and Axin form the core of the multiprotein
destruction complex, which targets the Wnt-effector beta-catenin for
phosphorylation, ubiquitination and destruction. Based on earlier work, we
hypothesize that the destruction complex is a supramolecular entity that self
assembles by Axin and APC polymerization, and that regulating assembly and
stability of the destruction complex underlie its function. We tested this
hypothesis in Drosophila embryos, a premier model of Wnt signaling. Combining
biochemistry, genetic tools to manipulate Axin and APC2 levels, advanced imaging
and molecule counting, we defined destruction complex assembly, stoichiometry,
and localization in vivo, and its downregulation in response to Wnt signaling.
Our findings challenge and revise current models of destruction complex function.
Endogenous Axin and APC2 proteins and their antagonist Dishevelled accumulate at
roughly similar levels, suggesting competition for binding may be critical. By
expressing Axin:GFP at near endogenous levels we found that in the absence of Wnt
signals, Axin and APC2 co-assemble into large cytoplasmic complexes containing
tens to hundreds of Axin proteins. Wnt signals trigger recruitment of these to
the membrane, while cytoplasmic Axin levels increase, suggesting altered
assembly/disassembly. Glycogen synthase kinase3 regulates destruction complex
recruitment to the membrane and release of Armadillo/beta-catenin from the
destruction complex. Manipulating Axin or APC2 levels had no effect on
destruction complex activity when Wnt signals were absent, but, surprisingly, had
opposite effects on the destruction complex when Wnt signals were present.
Elevating Axin made the complex more resistant to inactivation, while elevating
APC2 levels enhanced inactivation. Our data suggest both absolute levels and the
ratio of these two core components affect destruction complex function,
supporting models in which competition among Axin partners determines destruction
complex activity.
PMID- 29641559
TI - DEC205-DC targeted DNA vaccine against CX3CR1 protects against atherogenesis in
mice.
AB - Studies disrupting the chemokine pathway CX3CL1 (fractalkine)/ CX3CR1 have shown
decreased atherosclerosis in animal models but the techniques used to interrupt
the pathway have not been easily translatable into human trials. DNA vaccination
potentially overcomes the translational difficulties. We evaluated the effect of
a DNA vaccine, targeted to CX3CR1, on atherosclerosis in a murine model and
examined possible mechanisms of action. DNA vaccination against CX3CR1, enhanced
by dendritic cell targeting using DEC-205 single chain variable region fragment
(scFv), was performed in 8 week old ApoE-/- mice, fed a normal chow diet. High
levels of anti-CX3CR1 antibodies were induced in vaccinated mice. There were no
apparent adverse reactions to the vaccine. Arterial vessels of 34 week old mice
were examined histologically for atherosclerotic plaque size, macrophage
infiltration, smooth muscle cell infiltration and lipid deposition. Vaccinated
mice had significantly reduced atherosclerotic plaque in the brachiocephalic
artery. There was less macrophage infiltration but no significant change to the
macrophage phenotype in the plaques. There was less lipid deposition in the
lesions, but there was no effect on smooth muscle cell migration. Targeted DNA
vaccination to CX3CR1 was well tolerated, induced a strong immune response and
resulted in attenuated atherosclerotic lesions with reduced macrophage
infiltration. DNA vaccination against chemokine pathways potentially offers a
potential therapeutic option for the treatment of atherosclerosis.
PMID- 29641561
TI - Discovery of genetic variants of the kinases that activate tenofovir among
individuals in the United States, Thailand, and South Africa: HPTN067.
AB - Tenofovir (TFV), a nucleotide reverse transcriptase inhibitor, requires two
phosphorylation steps to form a competitive inhibitor of HIV reverse
transcriptase. Adenylate kinase 2 (AK2) has been previously demonstrated to
phosphorylate tenofovir to tenofovir-monophosphate, while creatine kinase, muscle
(CKM), pyruvate kinase, muscle (PKM) and pyruvate kinase, liver and red blood
cell (PKLR) each have been found to phosphorylate tenofovir-monophosphate to the
pharmacologically active tenofovir-diphosphate. In the present study, genomic DNA
isolated from dried blood spots collected from 505 participants from Bangkok,
Thailand; Cape Town, South Africa; and New York City, USA were examined for
variants in AK2, CKM, PKM, and PKLR using next-generation sequencing. The
bioinformatics tools SIFT and PolyPhen predicted that 19 of the 505 individuals
(3.7% frequency) carried variants in at least one kinase that would result in a
decrease or loss of enzymatic activity. To functionally test these predictions,
AK2 and AK2 variants were expressed in and purified from E. coli, followed by
investigation of their activities towards tenofovir. Interestingly, we found that
purified AK2 had the ability to phosphorylate tenofovir-monophosphate to
tenofovir-diphosphate in addition to phosphorylating tenofovir to tenofovir
monophosphate. Further, four of the six AK2 variants predicted to result in a
loss or decrease of enzyme function exhibited a >=30% decrease in activity
towards tenofovir in our in vitro assays. Of note, an AK2 K28R variant resulted
in a 72% and 81% decrease in the formation of tenofovir-monophosphate and
tenofovir-diphosphate, respectively. These data suggest that there are naturally
occurring genetic variants that could potentially impact TFV activation.
PMID- 29641562
TI - Suppression of the pelo protein by Wolbachia and its effect on dengue virus in
Aedes aegypti.
AB - The endosymbiont Wolbachia is known to block replication of several important
arboviruses, including dengue virus (DENV), in the mosquito vector Aedes aegypti.
So far, the exact mechanism of this viral inhibition is not fully understood. A
recent study in Drosophila melanogaster has demonstrated an interaction between
the pelo gene and Drosophila C virus. In this study, we explored the possible
involvement of the pelo protein, that is involved in protein translation, in
Wolbachia-mediated antiviral response and mosquito-DENV interaction. We found
that pelo is upregulated during DENV replication and its silencing leads to
reduced DENV virion production suggesting that it facilities DENV replication.
However, in the presence of Wolbachia, specifically in female mosquitoes, the
pelo protein is downregulated and its subcellular localization is altered, which
could contribute to reduction in DENV replication in Ae. aegypti. In addition, we
show that the microRNA aae-miR-2940-5p, whose abundance is highly enriched in
Wolbachia-infected mosquitoes, might mediate regulation of pelo. Our data reveals
identification of pelo as a host factor that is positively involved in DENV
replication, and its suppression in the presence of Wolbachia may contribute to
virus blocking exhibited by the endosymbiont.
PMID- 29641563
TI - Attitudes towards and knowledge about Human Papillomavirus (HPV) and the HPV
vaccination in parents of teenage boys in the UK.
AB - The incidence of cancers attributable to Human Papillomavirus (HPV) that affect
males is on the rise. Currently in the UK teenage boys are not vaccinated against
HPV while teenage girls are. The rationale for not vaccinating boys is that
vaccinating girls should provide herd immunity to boys, however this does not
protect men who have sex with men or men who have sex with unvaccinated women.
The issue of whether to vaccinate boys or not is a controversial one with
considerable lobbying taking place to change the existing policy. On one side of
the debate are financial considerations while on the other side health equality
is important. One avenue that has not been presented is the parental perspective.
The current study uses a self-report questionnaire to explore what parents of
teenage boys know about HPV and the vaccine and whether they want the vaccine for
their sons. Only half of the parents had heard of HPV prior to completing the
survey. Of those who had heard of HPV, knowledge about the health sequelae of HPV
for men was poor relative to their knowledge about its impact on female health.
Parents who would be willing to vaccinate their sons had higher levels of
knowledge about HPV than those parents who would be unwilling or unsure.
Irrespective of whether they had previously heard of HPV or not, once provided
with a brief description of HPV, the majority of parents thought that boys should
be offered the vaccination. There is a pressing need for public education about
the potential impact of HPV on male health in order to facilitate uptake of the
vaccine in the event of the vaccination programme being extended to men or to
facilitate informed decision making about seeking the vaccine privately in the
event that it isn't.
PMID- 29641564
TI - Matching optical flow to motor speed in virtual reality while running on a
treadmill.
AB - We investigated how visual and kinaesthetic/efferent information is integrated
for speed perception in running. Twelve moderately trained to trained subjects
ran on a treadmill at three different speeds (8, 10, 12 km/h) in front of a
moving virtual scene. They were asked to match the visual speed of the scene to
their running speed-i.e., treadmill's speed. For each trial, participants
indicated whether the scene was moving slower or faster than they were running.
Visual speed was adjusted according to their response using a staircase until the
Point of Subjective Equality (PSE) was reached, i.e., until visual and running
speed were perceived as equivalent. For all three running speeds, participants
systematically underestimated the visual speed relative to their actual running
speed. Indeed, the speed of the visual scene had to exceed the actual running
speed in order to be perceived as equivalent to the treadmill speed. The
underestimation of visual speed was speed-dependent, and percentage of
underestimation relative to running speed ranged from 15% at 8km/h to 31% at
12km/h. We suggest that this fact should be taken into consideration to improve
the design of attractive treadmill-mediated virtual environments enhancing
engagement into physical activity for healthier lifestyles and disease prevention
and care.
PMID- 29641565
TI - Deletion of pro-angiogenic factor vasohibin-2 ameliorates glomerular alterations
in a mouse diabetic nephropathy model.
AB - Angiogenesis has been implicated in glomerular alterations in the early stage of
diabetic nephropathy. We previously reported the renoprotective effects of
vasohibin-1 (VASH1), which is a novel angiogenesis inhibitor derived from
endothelial cells, on diabetic nephropathy progression. Vasohibin-2 (VASH2) was
originally identified as a VASH1 homolog and possesses pro-angiogenic activity in
contrast to VASH1. In addition, VASH2 was recently shown to promote epithelial-to
mesenchymal transition via enhanced transforming growth factor (TGF)-beta
signaling in cancer cells. Herein, we investigated the pathogenic roles of VASH2
in diabetic nephropathy using VAHS2-deficient mice. The type 1 diabetes model was
induced by intraperitoneal injections of streptozotocin in VASH2 homozygous
knockout (VASH2LacZ/LacZ) or wild-type mice. These mice were euthanized 16 weeks
after inducing hyperglycemia. Increased urine albumin excretion and creatinine
clearance observed in diabetic wild-type mice were significantly prevented in
diabetic VASH2-deficient mice. Accordingly, diabetes-induced increase in
glomerular volume and reduction in glomerular slit-diaphragm density were
significantly improved in VASH2 knockout mice. Increased glomerular endothelial
area was also suppressed in VASH2-deficient mice, in association with inhibition
of enhanced vascular endothelial growth factor (VEGF) receptor 2 (VEGFR2), but
not VEGF level. Furthermore, glomerular accumulation of mesangial matrix,
including type IV collagen, and increased expression of TGF-beta were improved in
diabetic VASH2 knockout mice compared with diabetic wild-type mice. Based on the
immunofluorescence findings, endogenous VASH2 localization in glomeruli was
consistent with mesangial cells. Human mesangial cells (HMCs) were cultured under
high glucose condition in in vitro experiments. Transfection of VASH2 small
interfering RNA (siRNA) into the HMCs resulted in the suppression of type IV
collagen production induced by high glucose compared with control siRNA. These
results indicate that VASH2 may be involved in diabetes-induced glomerular
alterations, particularly impaired filtration barrier and mesangial expansion.
Therefore, VASH2 is likely to represent a promising therapeutic target for
diabetic nephropathy.
PMID- 29641566
TI - Indirect treatment comparison of cabazitaxel for patients with metastatic
castrate-resistant prostate cancer who have been previously treated with a
docetaxel-containing regimen.
AB - BACKGROUND: The objective of this study was to conduct an indirect treatment
comparison between cabazitaxel, abiraterone and enzalutamide to determine the
clinical efficacy and safety of cabazitaxel relative to comparators in the
treatment of patients with metastatic castrate-resistant prostate cancer who
progress on docetaxel-based therapies. METHODS: A systematic literature review
was conducted to inform the network meta-analysis of cabazitaxel, abiraterone and
enzalutamide. Due to a lack of head-to-head trials, studies with a comparator arm
of best supportive care were included in the analysis. Overall survival,
progression-free survival, and adverse events were compared within both Bayesian
and Frequentist frameworks. The ratios for survival outcomes were estimated using
hazard ratios (HR), and the ratios for adverse events between groups were
estimated using odds ratios (ORs); uncertainty was reported as 95% confidence
(Frequentist) and credible (Baysesian) Intervals. RESULTS: Three of thirteen
trials identified for abstraction were relevant for analyses. Median overall
survival was not statistically significantly different for abiraterone (HR =
1.04; 95% CI = 0.83-1.28) or enzalutamide (HR = 0.88; 95% CI = 0.69-1.11) when
compared to cabazitaxel in the Bayesian analysis. Anaemia (OR = 3.71; 95% CI =
1.01-10.44), diarrhoea (OR = 16.60; 95% CI = 1.41-75.31) and haematuria (OR =
3.88; 95% CI = 1.03-10.09) were more likely to occur in the cabazitaxel group
than the abiraterone group, while pyrexia risk was higher in cabazitaxel compared
to enzalutamide (OR = 36.23; 95% CI = 1.14-206.40). Frequentist analyses produced
similar results. CONCLUSIONS: The scarcity of clinical studies and lack of a
common comparator limited analyses. The adverse event results must be interpreted
with caution as many were based on small numbers. The results from this analysis
indicate comparable survival outcomes and adverse event profiles. As these
pivotal studies may not reflect the contemporary treatment landscape and patient
profiles, additional research, including head-to-head clinical trials and real
world observational studies, should be conducted to further elucidate the
beneficial effects of these therapies.
PMID- 29641567
TI - Use of capillary Western immunoassay (Wes) for quantification of dystrophin
levels in skeletal muscle of healthy controls and individuals with Becker and
Duchenne muscular dystrophy.
AB - Duchenne muscular dystrophy (DMD) is a neuromuscular disease characterized by
progressive weakness of the skeletal and cardiac muscles. This X-linked disorder
is caused by open reading frame disrupting mutations in the DMD gene, resulting
in strong reduction or complete absence of dystrophin protein. In order to use
dystrophin as a supportive or even surrogate biomarker in clinical studies on
investigational drugs aiming at correcting the primary cause of the disease, the
ability to reliably quantify dystrophin expression in muscle biopsies of DMD
patients pre- and post-treatment is essential. Here we demonstrate the
application of the ProteinSimple capillary immunoassay (Wes) method, a gel- and
blot-free method requiring less sample, antibody and time to run than
conventional Western blot assay. We optimized dystrophin quantification by Wes
using 2 different antibodies and found it to be highly sensitive, reproducible
and quantitative over a large dynamic range. Using a healthy control muscle
sample as a reference and alpha-actinin as a protein loading/muscle content
control, a panel of skeletal muscle samples consisting of 31 healthy controls, 25
Becker Muscle dystrophy (BMD) and 17 DMD samples was subjected to Wes analysis.
In healthy controls dystrophin levels varied 3 to 5-fold between the highest and
lowest muscle samples, with the reference sample representing the average of all
31 samples. In BMD muscle samples dystrophin levels ranged from 10% to 90%, with
an average of 33% of the healthy muscle average, while for the DMD samples the
average dystrophin level was 1.3%, ranging from 0.7% to 7% of the healthy muscle
average. In conclusion, Wes is a suitable, efficient and reliable method for
quantification of dystrophin expression as a biomarker in DMD clinical drug
development.
PMID- 29641568
TI - The physiological cost of diazotrophy for Trichodesmium erythraeum IMS101.
AB - Trichodesmium plays a significant role in the oligotrophic oceans, fixing
nitrogen in an area corresponding to half of the Earth's surface, representing up
to 50% of new production in some oligotrophic tropical and subtropical oceans.
Whilst Trichodesmium blooms at the surface exhibit a strong dependence on
diazotrophy, colonies at depth or at the surface after a mixing event could be
utilising additional N-sources. We conducted experiments to establish how
acclimation to varying N-sources affects the growth, elemental composition, light
absorption coefficient, N2 fixation, PSII electron transport rate and the
relationship between net and gross photosynthetic O2 exchange in T. erythraeum
IMS101. To do this, cultures were acclimated to growth medium containing NH4+ and
NO3- (replete concentrations) or N2 only (diazotrophic control). The light
dependencies of O2 evolution and O2 uptake were measured using membrane inlet
mass spectrometry (MIMS), while PSII electron transport rates were measured from
fluorescence light curves (FLCs). We found that at a saturating light intensity,
Trichodesmium growth was ~ 10% and 13% lower when grown on N2 than with NH4+ and
NO3-, respectively. Oxygen uptake increased linearly with net photosynthesis
across all light intensities ranging from darkness to 1100 MUmol photons m-2 s-1.
The maximum rates and initial slopes of light response curves for C-specific
gross and net photosynthesis and the slope of the relationship between gross and
net photosynthesis increased significantly under non-diazotrophic conditions. We
attribute these observations to a reduced expenditure of reductant and ATP for
nitrogenase activity under non-diazotrophic conditions which allows NADPH and ATP
to be re-directed to CO2 fixation and/or biosynthesis. The energy and reductant
conserved through utilising additional N-sources could enhance Trichodesmium's
productivity and growth and have major implications for its role in ocean C and N
cycles.
PMID- 29641569
TI - Optimization of the cydex blue assay: A one-step colorimetric protein assay using
cyclodextrins and compatible with detergents and reducers.
AB - Sodium dodecyl sulfate electrophoresis (SDS) is a protein separation technique
widely used, for example, prior to immunoblotting. Samples are usually prepared
in a buffer containing both high concentrations of reducers and high
concentrations of SDS. This conjunction renders the samples incompatible with
common protein assays. By chelating the SDS, cyclodextrins make the use of
simple, dye-based colorimetric assays possible. In this paper, we describe the
optimization of the assay, focussing on the cyclodextrin/SDS ratio and the use of
commercial assay reagents. The adaptation of the assay to a microplate format and
using other detergent-containing conventional extraction buffers is also
described.
PMID- 29641570
TI - Identification of entomopathogenic nematodes and symbiotic bacteria from Nam Nao
National Park in Thailand and larvicidal activity of symbiotic bacteria against
Aedes aegypti and Aedes albopictus.
AB - Entomopathogenic nematodes (EPNs) that are symbiotically associated with
Xenorhabdus and Photorhabdus bacteria can kill target insects via direct
infection and toxin action. There are limited reports identifying such organisms
in the National Park of Thailand. Therefore, the objectives of this study were to
identify EPNs and symbiotic bacteria from Nam Nao National Park, Phetchabun
Province, Thailand and to evaluate the larvicidal activity of bacteria against
Aedes aegypti and Ae. albopictus. A total of 12 EPN isolates belonging to
Steinernema and Heterorhabditis were obtained form 940 soil samples between
February 2014 and July 2016. EPNs were molecularly identified as S. websteri (10
isolates) and H. baujardi (2 isolates). Symbiotic bacteria were isolated from
EPNs and molecularly identified as P. luminescens subsp. akhurstii (13 isolates),
X. stockiae (11 isolates), X. vietnamensis (2 isolates) and X. japonica (1
isolate). For the bioassay, bacterial suspensions were evaluated for toxicity
against third to early fourth instar larvae of Aedes spp. The larvae of both
Aedes species were orally susceptible to symbiotic bacteria. The highest larval
mortality of Ae. aegypti was 99% after exposure to X. stockiae (bNN112.3_TH) at
96 h, and the highest mortality of Ae. albopictus was 98% after exposure to P.
luminescens subsp. akhurstii (bNN121.4_TH) at 96 h. In contrast to the control
groups (Escherichia coli and distilled water), the mortality rate of both
mosquito larvae ranged between 0 and 7% at 72 h. Here, we report the first
observation of X. vietnamensis in Thailand. Additionally, we report the first
observation of P. luminescens subsp. akhurstii associated with H. baujardi in
Thailand. X. stockiae has potential to be a biocontrol agent for mosquitoes. This
investigation provides a survey of the basic diversity of EPNs and symbiotic
bacteria in the National Park of Thailand, and it is a bacterial resource for
further studies of bioactive compounds.
PMID- 29641571
TI - Still reduced cardiovascular mortality 12 years after supplementation with
selenium and coenzyme Q10 for four years: A validation of previous 10-year follow
up results of a prospective randomized double-blind placebo-controlled trial in
elderly.
AB - BACKGROUND: Selenium and coenzyme Q10 are both necessary for optimal cell
function in the body. The intake of selenium is low in Europe, and the endogenous
production of coenzyme Q10 decreases as age increases. Therefore, an intervention
trial using selenium and coenzyme Q10 for four years as a dietary supplement was
performed. The main publication reported reduced cardiovascular mortality as a
result of the intervention. In the present sub-study the objective was to
determine whether reduced cardiovascular (CV) mortality persisted after 12 years,
in the supplemented population or in subgroups with diabetes, hypertension,
ischemic heart disease or reduced functional capacity due to impaired cardiac
function. METHODS: From a rural municipality in Sweden, four hundred forty-three
healthy elderly individuals were included. All cardiovascular mortality was
registered, and no participant was lost to the follow-up. Based on death
certificates and autopsy results, mortality was registered. FINDINGS: After 12
years a significantly reduced CV mortality could be seen in those supplemented
with selenium and coenzyme Q10, with a CV mortality of 28.1% in the active
treatment group, and 38.7% in the placebo group. A multivariate Cox regression
analysis demonstrated a reduced CV mortality risk in the active treatment group
(HR: 0.59; 95%CI 0.42-0.81; P = 0.001). In those with ischemic heart disease,
diabetes, hypertension and impaired functional capacity we demonstrated a
significantly reduced CV mortality risk. CONCLUSIONS: This is a 12-year follow-up
of a group of healthy elderly participants that were supplemented with selenium
and coenzyme Q10 for four years. Even after twelve years we observed a
significantly reduced risk for CV mortality in this group, as well as in
subgroups of patients with diabetes, hypertension, ischemic heart disease or
impaired functional capacity. The results thus validate the results obtained in
the 10-year evaluation. The protective action was not confined to the
intervention period, but persisted during the follow-up period. The mechanisms
behind this effect remain to be fully elucidated, although various effects on
cardiac function, oxidative stress, fibrosis and inflammation have previously
been identified. Since this was a small study, the observations should be
regarded as hypothesis-generating. TRIAL REGISTRATION: Clinicaltrials.gov
NCT01443780.
PMID- 29641572
TI - The Trier Social Stress Test and the Trier Social Stress Test for groups:
Qualitative investigations.
AB - The Trier Social Stress Test (TSST) and its version for groups (TSST-G) are the
gold standard for inducing acute psychosocial stress in human experimental
settings and have been used in numerous studies since the early 1990s. The TSST
and the TSST-G lead to effects on different physiological and psychological
markers, such as salivary cortisol, anxiety, and emotional states. These effects
were assessed with quantitative methods comparing pre-test and post-test measures
with statistical analyses. But to date, no qualitative analyses have been
conducted to examine the meaningful experience of participants during the TSST
and the TSST-G. This study is the first to conduct qualitative investigations to
further clarify the stressful experience of participants confronted with these
procedures. Preliminary results showed as expected that the TSST and the TSST-G
effectively induced psychosocial stress, with cortisol levels, cognitive anxiety,
somatic anxiety, and arousal increases, and with pleasure and dominance
decreases. At the qualitative level, the results evidenced that the two
theoretically stressful components of the TSST and the TSST-G, namely social
evaluative threat and uncontrollability, were experienced as stress-inducing by
the participants. Two case studies confirmed these findings. But the results also
showed on one hand that psychosocial stress is a dynamic phenomenon, with
important fluctuations throughout the tasks (mainly for the TSST-G); and on the
other hand that despite the similar physiological and psychological responses
between the TSST and the TSST-G evidenced by the literature, the experience of
the participants was both similar and specific. Use of a qualitative method
allowed us to provide a complementary point of view to understand the meaningful
experience of participants during these stressful procedures, apprehending the
dynamic of the subjective stress experience without disrupting it.
PMID- 29641574
TI - Correction: Prospective mental imagery as its link with anxiety and depression in
prisoners.
AB - [This corrects the article DOI: 10.1371/journal.pone.0191551.].
PMID- 29641573
TI - Systematic evaluation of a targeted gene capture sequencing panel for molecular
diagnosis of retinitis pigmentosa.
AB - BACKGROUND: Inherited eye diseases are major causes of vision loss in both
children and adults. Inherited eye diseases are characterized by clinical
variability and pronounced genetic heterogeneity. Genetic testing may provide an
accurate diagnosis for ophthalmic genetic disorders and allow gene therapy for
specific diseases. METHODS: A targeted gene capture panel was designed to capture
exons of 283 inherited eye disease genes including 58 known causative retinitis
pigmentosa (RP) genes. 180 samples were tested with this panel, 68 were
previously tested by Sanger sequencing. Systematic evaluation of our method and
comprehensive molecular diagnosis were carried on 99 RP patients. RESULTS: 96.85%
targeted regions were covered by at least 20 folds, the accuracy of variants
detection was 99.994%. In 4 of the 68 samples previously tested by Sanger
sequencing, mutations of other diseases not consisting with the clinical
diagnosis were detected by next-generation sequencing (NGS) not Sanger. Among the
99 RP patients, 64 (64.6%) were detected with pathogenic mutations, while in 3
patients, it was inconsistent between molecular diagnosis and their initial
clinical diagnosis. After revisiting, one patient's clinical diagnosis was
reclassified. In addition, 3 patients were found carrying large deletions.
CONCLUSIONS: We have systematically evaluated our method and compared it with
Sanger sequencing, and have identified a large number of novel mutations in a
cohort of 99 RP patients. The results showed a sufficient accuracy of our method
and suggested the importance of molecular diagnosis in clinical diagnosis.
PMID- 29641575
TI - Molecular engineering of the salicylate-inducible transcription factor Sal7AR for
orthogonal and high gene expression in Escherichia coli.
AB - I have previously identified a metagenomic fragment (~4 kb) containing the
salicylate (2-hydroxybenzoate)-responsive transcriptional regulator Sal7AR.
Taking advantage of the inert nature of salicylate to common genetic switches
used in Escherichia coli, here I developed a salicylate-inducible high expression
system in E. coli. I first applied a deletion analysis to the metagenomic
fragment to identify the core region (~1 kb) necessary for the salicylate
dependent expression. Sal7AR was subjected to an error-prone PCR, and a library
was screened for an enhanced expression of a reporter green fluorescent protein
(GFP) gene in the presence of 1 mM salicylate, where virtually no growth
inhibition was observed. Three beneficial amino acid substitutions were
identified (N282K, Q292R, and V295G), each of which improved the expression of
GFP relative to the wildtype by several-fold. The three sites were then
completely randomized by saturation mutagenesis either individually or
combinatorially to identify three variants carrying a single point mutation,
N282L, V295F, or V295S; no further improvements were observed by combining these
mutations. Salicylate-dependent expression of these mutants was highly repressed
in its absence and escalated in response to ~10 MUM salicylate, and gradually
increased up to 1 mM salicylate; the induction rate was approximately 15 times
greater than that achieved with a lactose promoter. Orthogonality to the lactose
based expression system was also confirmed. This salicylate-based expression
system should thus be advantageously used for high-level production of
recombinant proteins in combination with common lactose-dependent induction
systems.
PMID- 29641576
TI - Unacceptable treatment outcomes and associated factors among India's initial
cohorts of multidrug-resistant tuberculosis (MDR-TB) patients under the revised
national TB control programme (2007-2011): Evidence leading to policy
enhancement.
AB - BACKGROUND: Globally, India has the world's highest burden of multidrug-resistant
tuberculosis (MDR-TB). Programmatic Management of Drug Resistant TB (PMDT) in
India began in 2007 and nationwide coverage was achieved in early 2013. Poor
initial microbiological outcomes under the Revised National Tuberculosis Control
Programme (RNTCP) prompted detailed analysis. This is the first study on factors
significantly associated with poor outcomes in MDR-TB patients treated under the
RNTCP. OBJECTIVE: To evaluate initial sputum culture conversion, culture
reversion and final treatment outcomes among MDR-TB patients registered in India
from 2007 to early 2011 who were treated with a standard 24-month regimen under
daily-observed treatment. METHODS: This is a retrospective cohort study. Clinical
and microbiological data were abstracted from PMDT records. Initial sputum
culture conversion, culture reversion and treatment outcomes were defined by
country adaptation of the standard WHO definitions (2008). Cox proportional
hazards modeling with logistic regression, multinomial logistic regression and
adjusted odds ratio was used to evaluate factors associated with interim and
final outcomes respectively, controlling for demographic and clinical
characteristics. RESULTS: In the cohort of 3712 MDR-TB patients, 2735 (73.6%) had
initial sputum culture conversion at 100 median days (IQR 92-125), of which 506
(18.5%) had culture reversion at 279 median days (IQR 202-381). Treatment
outcomes were available for 2264 (60.9%) patients while 1448 (39.0%) patients
were still on treatment or yet to have a definite outcome at the time of
analysis. Of 2264 patients, 781 (34.5%) had treatment success, 644 (28.4%) died,
670 (29.6%) were lost to follow up, 169 (7.5%) experienced treatment failure or
were changed to XDR-TB treatment. Factors significantly associated with either
culture non-conversion, culture reversion and/or unfavorable treatment outcomes
were baseline BMI < 18; >= seven missed doses in intensive phase (IP) and
continuation phase (CP); cavitary disease; prior treatment episodes characterized
by re-treatment regimen taken twice, longer duration and more episodes of
treatment; any weight loss during treatment; males and additional resistance to
first line drugs (Ethambutol, Streptomycin). In a subgroup of 104 MDR-TB
patients, 62 (59.6%) had Ofloxacin resistance among whom only 25.8% had treatment
success, half of the success (54.8%) seen in Ofloxacin sensitive patients.
Baseline susceptibility to Ofloxacin (HR 2.04) and Kanamycin (HR 4.55)
significantly doubled and quadrupled the chances for culture conversion
respectively while baseline susceptibility to Ofloxacin (AOR 0.37) also
significantly reduced the odds of unfavorable treatment outcomes (p value <=0.05)
in multinomial logistic regression model. CONCLUSION: India's initial MDR-TB
patients' cohort treated under the RNTCP experienced poor treatment outcomes. To
address the factors associated with poor treatment outcomes revealed in our
study, a systematic multi-pronged approach would be needed. A series of policies
and interventions have been developed to address these factors to improve DR-TB
treatment outcomes and are being scaled-up in India.
PMID- 29641577
TI - Shared larval rearing environment, sex, female size and genetic diversity shape
Ae. albopictus bacterial microbiota.
AB - The Asian tiger mosquito Aedes albopictus became of public health concern as it
can replicate and transmit viral and filarial pathogens with a strong invasive
success over the world. Various strategies have been proposed to reduce mosquito
population's vectorial capacity. Among them, symbiotic control of mosquito borne
disease offers promising perspectives. Such method is likely to be affected by
the dynamics of mosquito-associated symbiotic communities, which might in turn be
affected by host genotype and environment. Our previous study suggested a
correlation between mosquitoes' origin, genetic diversity and midgut bacterial
diversity. To distinguish the impact of those factors, we have been studying the
midgut bacterial microbiota of two Ae. albopictus populations from tropical (La
Reunion) and temperate (Montpellier) origins under controlled laboratory
conditions. the two populations experienced random mating or genetic bottleneck.
Microbiota composition did not highlight any variation of the alpha and beta
diversities in bacterial communities related to host's populations. However,
sizes of the mosquitoes were negatively correlated with the bacterial alpha
diversity of females. Variations in mosquito sex were associated with a shift in
the composition of bacterial microbiota. The females' mosquitoes also exhibited
changes in the microbiota composition according to their size and after
experiencing a reduction of their genetic diversity. These results provide a
framework to investigate the impact of population dynamics on the symbiotic
communities associated with the tiger mosquito.
PMID- 29641578
TI - Influence of clinicopathological characteristics and comprehensive treatment
models on the prognosis of small cell carcinoma of the cervix: A systematic
review and meta-analysis.
AB - Small cell carcinoma of the cervix (SCCC) is a rare primary neuroendocrine
cervical carcinoma with a high degree of invasiveness. SCCC is prone to early
stage lymph node and distant metastases and characterized by a poor prognosis.
Currently, there is no standard treatment. This study aimed to evaluate the
clinicopathological factors and treatment models that influence SCCC prognosis
through a systematic review and meta-analysis, to improve the diagnosis and
treatment of SCCC. A comprehensive search was performed in multiple medical
literature databases to retrieve studies on the clinical prognosis of SCCC
published in China and abroad as of March 1, 2017. Twenty cohort studies with
1904 patients were analyzed. Meta-analysis showed statistical significance for
the following factors: FIGO staging (hazard ratio [HR] = 2.63, 95% confidence
interval [CI]: 2.13-3.24; odds ratio [OR] = 3.72, 95% CI: 2.46-5.62), tumor size
(HR = 1.64, 95% CI: 1.25-2.15), parametrial involvement (HR = 2.40, 95% CI: 1.43
4.05), resection margin (HR = 4.09, 95% CI: 2.27-7.39), lymph node metastasis (OR
= 2.09, 95% CI: 1.18-3.71), depth of stromal invasion (HR = 1.99, 95% CI: 1.33
2.97), neoadjuvant chemotherapy (HR = 2.06, 95% CI: 1.14-3.73), and adjuvant
chemotherapy (HR = 1.63, 95% CI: 1.26-2.12; OR = 1.48, 95% CI: 1.02-2.16). FIGO
staging, tumor size, parametrial involvement, resection margin, depth of stromal
invasion, and lymph node metastasis can be used as clinicopathological
characteristics for the prediction of SCCC prognosis. Neoadjuvant chemotherapy
tended to improve prognosis. Our findings suggest that neoadjuvant chemotherapy
plus adjuvant chemotherapy may be the preferred strategy. However, adjuvant
radiotherapy appeared to cause no significant improvement in prognosis.
Therefore, the clinical application of radiotherapy and the relationship between
radiotherapy and clinicopathological factors need to be re-examined. The results
of this study should be validated and developed in formal, well-designed
multicenter clinical trials.
PMID- 29641579
TI - Lama guanicoe remains from the Chaco ecoregion (Cordoba, Argentina): An
osteological approach to the characterization of a relict wild population.
AB - Guanacos (Lama guanicoe) are large ungulates that have been valued by human
populations in South America since the Late Pleistocene. Even though they were
very abundant until the end of the 19th century (before the high deforestation
rate of the last decades), guanacos have nearly disappeared in the Gran Chaco
ecoregion, with relicts and isolated populations surviving in some areas, such as
the shrubland area near the saline depressions of Cordoba province, Argentina. In
this report, we present the first data from a locally endangered guanaco wild
population, through the study of skeletal remains recovered in La Providencia
ranch. Our results showed that most of the elements belonged to adults aged
between 36 and 96 months; sex evaluation showed similar numbers of males and
females. Statistical analysis of the body size of modern samples from Cordoba
demonstrated that guanacos from the Chaco had large dimensions and presented
lower size variability than the modern and archaeological specimens in our
database. Moreover, they exhibited dimensions similar to those of modern guanacos
from Patagonia and San Juan, and to archaeological specimens from Ongamira and
Cerro Colorado, although further genetic studies are needed to corroborate a
possible phylogenetic relationship. Finally, we used archaeozoological techniques
to provide a first characterization of a relict guanaco population from the Chaco
ecoregion, demonstrating its value to the study of modern skeletal remains and
species conservation biology.
PMID- 29641580
TI - Clinicopathological analysis of polyploid diffuse large B-cell lymphoma.
AB - Polyploid chromosomes are those with more than two sets of homologous
chromosomes. Polyploid chromosomal abnormalities are observed in various
malignant tumors. The prognosis in such cases is generally poor. However, there
are no studies examining the prognosis of diffuse large B-cell lymphoma (DLBCL)
with polyploid chromosomal abnormalities. Therefore, we statistically compared
the clinicopathological features between polyploid DLBCL and DLBCL without
polyploid abnormalities. Herein, 51 polyploid DLBCL and 53 control (without
polyploid chromosomal abnormalities) cases were examined. G-banding method was
employed to define polyploidy by cytogenetic analysis. Subsequently, flow
cytometric immunophenotyping and immunohistochemical staining were performed.
Polyploid DLBCL was defined as DLBCL with either near-tetraploid or greater
number of chromosomes, as detected by the G-band. In a survival analysis, a
significantly worse overall survival (OS) was observed for polyploid DLBCL (p =
0.04; p = 0.02 in cases who received R-CHOP regimens). In a multivariate analysis
of OS, polyploid chromosomal abnormalities were an independent prognostic factor.
Our results suggest that polyploid chromosomal abnormalities detected through G
band may represent a new poor prognostic factor for DLBCL.
PMID- 29641581
TI - Risk of hip fractures in patients with depressive disorders: A nationwide,
population-based, retrospective, cohort study.
AB - BACKGROUND: Some studies have suggested that depressive disorders may play a
vital role in the incidence of hip fractures. However, nationwide data are
lacking regarding the association between depressive disorders and hip fractures.
OBJECTIVE: We aimed to explore the association between depressive disorders and
new-onset hip fractures. METHODS: We conducted a retrospective study of 11,207
patients with depressive disorders and 11,207 control patients using Taiwan's
National Health Insurance Research Database. A Cox regression model was used to
evaluate the risk of hip fractures in patients with depressive disorders.
RESULTS: The incidence rate ratio of hip fractures between patients with
depressive disorders and controls was 1.6 (95% confidence interval [CI] = 1.29
1.99, P < .001). After adjustment for potential confounders in multivariate
analysis using the Cox regression model, patients with depressive disorders were
found to have 1.34 times higher risk of hip fractures than controls (95% CI =
1.08-1.66, P = .008). Furthermore, age (hazard ratio [HR] = 7.43, 95% CI = 4.94
11.19, P < .001), hypertension (HR = 1.63, 95% CI = 1.17-2.28, P = .004),
diabetes mellitus (HR = 1.47, 95% CI = 1.08-1.99, P = .014), cerebrovascular
disease (HR = 1.76, 95% CI = 1.31-2.35, P < .001), living in rural areas (HR =
1.88, 95% CI = 1.30-2.70, P = .001), and low monthly income (NT$0-NT$19,000: HR =
4.08, 95% CI = 1.79-9.29, P = .001 and NT$19,100-NT$42,000: HR = 4.09, 95% CI =
1.76-9.49, P = .001) were independent risk factors for new-onset hip fractures in
patients with depressive disorders. CONCLUSION: Depressive disorders might
increase the risk of new-onset hip fractures, particularly in older patients and
patients with hypertension, diabetes mellitus, cerebrovascular disease, or low
socioeconomic status.
PMID- 29641582
TI - Validity of consumer-grade activity monitor to identify manual wheelchair
propulsion in standardized activities of daily living.
AB - BACKGROUND: Hypoactive lifestyle contributes to the development of secondary
complications and lower quality of life in wheelchair users. There is a need for
objective and user-friendly physical activity monitors for wheelchair-dependent
individuals in order to increase physical activity through self-monitoring, goal
setting, and feedback provision. OBJECTIVE: To determine the validity of Activ8
Activity Monitors to 1) distinguish two classes of activities: independent
wheelchair propulsion from other non-propulsive wheelchair-related activities 2)
distinguish five wheelchair-related classes of activities differing by the
movement intensity level: sitting in a wheelchair (hands may be moving but
wheelchair remains stationary), maneuvering, and normal, high speed or assisted
wheelchair propulsion. METHODS: Sixteen able-bodied individuals performed sixteen
various standardized 60s-activities of daily living. Each participant was
equipped with a set of two Activ8 Professional Activity Monitors, one at the
right forearm and one at the right wheel. Task classification by the Active8
Monitors was validated using video recordings. For the overall agreement,
sensitivity and positive predictive value, outcomes above 90% are considered
excellent, between 70 and 90% good, and below 70% unsatisfactory. RESULTS:
Division in two classes resulted in overall agreement of 82.1%, sensitivity of
77.7% and positive predictive value of 78.2%. 84.5% of total duration of all
tasks was classified identically by Activ8 and based on the video material.
Division in five classes resulted in overall agreement of 56.6%, sensitivity of
52.8% and positive predictive value of 51.9%. 59.8% of total duration of all
tasks was classified identically by Activ8 and based on the video material.
CONCLUSIONS: Activ8 system proved to be suitable for distinguishing between
active wheelchair propulsion and other non-propulsive wheelchair-related
activities. The ability of the current system and algorithms to distinguish five
various wheelchair-related activities is unsatisfactory.
PMID- 29641583
TI - Towards a computational model of social norms.
AB - We describe a computational model of social norms based on identifying values
that a certain culture finds desirable such as dignity, generosity and
politeness. The model quantifies these values in the form of Culture-Sanctioned
Social Metrics (CSSMs) and treats social norms as the requirement to maximize
these metrics from the perspective of the self, peers and public. This model can
be used to create realistic social simulations, to explain or predict human
behavior in specific scenarios, or as a component of robots or agents that need
to interact with humans in specific social-cultural settings. We validate the
model by using it to represent a complex deception scenario and showing that it
can yield non-trivial insights such as the explanation of apparently irrational
human behavior.
PMID- 29641584
TI - Know your neighbor: The impact of social context on fairness behavior.
AB - Laboratory experiments offer an opportunity to isolate human behaviors with a
level of precision that is often difficult to obtain using other (survey-based)
methods. Yet, experimental tasks are often stripped of any social context,
implying that inferences may not directly map to real world contexts. We randomly
allocate 632 individuals (grouped randomly into 316 dyads) from small villages in
Sierra Leone to four versions of the ultimatum game. In addition to the classic
ultimatum game, where both the sender and receiver are anonymous, we reveal the
identity of the sender, the receiver or both. This design allows us to explore
how fairness behavior is affected by social context in a natural setting where
players are drawn from populations that are well-acquainted. We find that average
offers increase when the receiver's identity is revealed, suggesting that
anonymous ultimatum games underestimate expected fair offers. This study suggest
that researchers wishing to relate laboratory behavior to contexts in which the
participants are well-acquainted should consider revealing the identities of the
players during game play.
PMID- 29641585
TI - Tetrapods on the EDGE: Overcoming data limitations to identify phylogenetic
conservation priorities.
AB - The scale of the ongoing biodiversity crisis requires both effective conservation
prioritisation and urgent action. As extinction is non-random across the tree of
life, it is important to prioritise threatened species which represent large
amounts of evolutionary history. The EDGE metric prioritises species based on
their Evolutionary Distinctiveness (ED), which measures the relative contribution
of a species to the total evolutionary history of their taxonomic group, and
Global Endangerment (GE), or extinction risk. EDGE prioritisations rely on
adequate phylogenetic and extinction risk data to generate meaningful priorities
for conservation. However, comprehensive phylogenetic trees of large taxonomic
groups are extremely rare and, even when available, become quickly out-of-date
due to the rapid rate of species descriptions and taxonomic revisions. Thus, it
is important that conservationists can use the available data to incorporate
evolutionary history into conservation prioritisation. We compared published and
new methods to estimate missing ED scores for species absent from a phylogenetic
tree whilst simultaneously correcting the ED scores of their close taxonomic
relatives. We found that following artificial removal of species from a
phylogenetic tree, the new method provided the closest estimates of their "true"
ED score, differing from the true ED score by an average of less than 1%,
compared to the 31% and 38% difference of the previous methods. The previous
methods also substantially under- and over-estimated scores as more species were
artificially removed from a phylogenetic tree. We therefore used the new method
to estimate ED scores for all tetrapods. From these scores we updated EDGE
prioritisation rankings for all tetrapod species with IUCN Red List assessments,
including the first EDGE prioritisation for reptiles. Further, we identified
criteria to identify robust priority species in an effort to further inform
conservation action whilst limiting uncertainty and anticipating future
phylogenetic advances.
PMID- 29641586
TI - Application of alignment-free bioinformatics methods to identify an oomycete
protein with structural and functional similarity to the bacterial AvrE effector
protein.
AB - Diverse plant pathogens export effector proteins to reprogram host cells. One of
the most challenging goals in the molecular plant-microbe field is to
functionally characterize the complex repertoires of effectors secreted by these
pathogens. For bacterial pathogens, the predominant class of effectors is
delivered to host cells by Type III secretion. For oomycetes, the predominant
class of effectors is defined by a signal peptide that mediates secretion from
the oomycete and a conserved RxLR motif. Downy mildew pathogens and Phytophthora
species maintain hundreds of candidate RxLR effector genes in their genomes.
Although no primary sequence similarity is evident between bacterial Type III
effectors (T3Es) and oomycete RXLR effectors, some bacterial and oomycete
effectors have convergently evolved to target the same host proteins. Such
effectors might have evolved domains that are functionally similar but sequence
unrelated. We reasoned that alignment-free bioinformatics approaches could be
useful to identify structural similarities between bacterial and oomycete
effectors. To test this approach, we used partial least squares regression,
alignment-free bioinformatics methods to identify effector proteins from the
genome of the oomycete Hyaloperonospora arabidopsidis that are similar to the
well-studied AvrE1 effector from Pseudomonas syringae. This approach identified
five RxLR proteins with putative structural similarity to AvrE1. We focused on
one, HaRxL23, because it is an experimentally validated effector and it is
conserved between distantly related oomycetes. Several experiments indicate that
HaRxL23 is functionally similar to AvrE1, including the ability to partially
rescue an AvrE1 loss-of-function mutant. This study provides an example of how an
alignment-free bioinformatics approach can identify functionally similar effector
proteins in the absence of primary sequence similarity. This approach could be
useful to identify effectors that have convergently evolved regardless of whether
the shared host target is known.
PMID- 29641587
TI - Expression of the inactivating deiodinase, Deiodinase 3, in the pre-metamorphic
tadpole retina.
AB - Thyroid hormone (TH) orchestrates amphibian metamorphosis. Thus, this
developmental phase is often used to study TH-dependent responses in specific
tissues. However, TH signaling appears early in development raising the question
of the control of TH availability in specific cell types prior to metamorphosis.
TH availability is under strict temporal and tissue-specific control by
deiodinases. We examined the expression of the TH-inactivating enzyme, deiodinase
type 3 (D3), during early retinal development. To this end we created a Xenopus
laevis transgenic line expressing GFP from the Xenopus dio3 promoter region
(pdio3) and followed pdio3-GFP expression in pre-metamorphic tadpoles. To
validate retinal GFP expression in the transgenic line as a function of dio3
promoter activity, we used in situ hybridization to compare endogenous dio3
expression to reporter-driven GFP activity. Retinal expression of dio3 increased
during pre-metamorphosis through stages NF41, 45 and 48. Both sets of results
show dio3 to have cell-specific, dynamic expression in the pre-metamorphic
retina. At stage NF48, dio3 expression co-localised with markers for
photoreceptors, rods, Opsin-S cones and bipolar neurons. In contrast, in post
metamorphic juveniles dio3 expression was reduced and spatially confined to
certain photoreceptors and amacrine cells. We compared dio3 expression at stages
NF41 and NF48 with TH-dependent transcriptional responses using another
transgenic reporter line: THbZIP-GFP and by analyzing the expression of T3
regulated genes in distinct TH availability contexts. At stage NF48, the majority
of retinal cells expressing dio3 were negative for T3 signaling. Notably, most
ganglion cells were virtually both dio3-free and T3-responsive. The results show
that dio3 can reduce TH availability at the cellular scale. Further, a reduction
in dio3 expression can trigger fine-tuned T3 action in cell-type specific
maturation at the right time, as exemplified here in photoreceptor survival in
the pre-metamorphic retina.
PMID- 29641588
TI - Scaffold hopping from (5-hydroxymethyl) isophthalates to multisubstituted
pyrimidines diminishes binding affinity to the C1 domain of protein kinase C.
AB - Protein kinase C (PKC) isoforms play a pivotal role in the regulation of numerous
cellular functions, making them extensively studied and highly attractive drug
targets. Utilizing the crystal structure of the PKCdelta C1B domain, we have
developed hydrophobic isophthalic acid derivatives that modify PKC functions by
binding to the C1 domain of the enzyme. In the present study, we aimed to improve
the drug-like properties of the isophthalic acid derivatives by increasing their
solubility and enhancing the binding affinity. Here we describe the design and
synthesis of a series of multisubstituted pyrimidines as analogs of C1 domain
targeted isophthalates and characterize their binding affinities to the PKCalpha
isoform. In contrast to our computational predictions, the scaffold hopping from
phenyl to pyrimidine core diminished the binding affinity. Although the novel
pyrimidines did not establish improved binding affinity for PKCalpha compared to
our previous isophthalic acid derivatives, the present results provide useful
structure-activity relationship data for further development of ligands targeted
to the C1 domain of PKC.
PMID- 29641591
TI - Correction: Evaluating the impact of fast-fMRI on dynamic functional connectivity
in an event-based paradigm.
AB - [This corrects the article DOI: 10.1371/journal.pone.0190480.].
PMID- 29641589
TI - Training at maximal power in resisted sprinting: Optimal load determination
methodology and pilot results in team sport athletes.
AB - AIMS: In the current study we investigated the effects of resisted sprint
training on sprinting performance and underlying mechanical parameters (force
velocity-power profile) based on two different training protocols: (i) loads that
represented maximum power output (Lopt) and a 50% decrease in maximum unresisted
sprinting velocity and (ii) lighter loads that represented a 10% decrease in
maximum unresisted sprinting velocity, as drawn from previous research (L10).
METHODS: Soccer [n = 15 male] and rugby [n = 21; 9 male and 12 female] club-level
athletes were individually assessed for horizontal force-velocity and load
velocity profiles using a battery of resisted sprints, sled or robotic resistance
respectively. Athletes then performed a 12-session resisted (10 * 20-m; and pre-
post-profiling) sprint training intervention following the L10 or Lopt protocol.
RESULTS: Both L10 and Lopt training protocols had minor effects on sprinting
performance (average of -1.4 to -2.3% split-times respectively), and provided
trivial, small and unclear changes in mechanical sprinting parameters.
Unexpectedly, Lopt impacted velocity dominant variables to a greater degree than
L10 (trivial benefit in maximum velocity; small increase in slope of the force
velocity relationship), while L10 improved force and power dominant metrics
(trivial benefit in maximal power; small benefit in maximal effectiveness of
ground force orientation). CONCLUSIONS: Both resisted-sprint training protocols
were likely to improve performance after a short training intervention in already
sprint trained athletes. However, widely varied individualised results indicated
that adaptations may be dependent on pre-training force-velocity characteristics.
PMID- 29641590
TI - Structural and functional analysis of Utp24, an endonuclease for processing 18S
ribosomal RNA.
AB - The precursor ribosomal RNA is processed by multiple steps of nucleolytic
cleavage to generate mature rRNAs. Utp24 is a PIN domain endonuclease in the
early 90S precursor of small ribosomal subunit and is proposed to cleave at sites
A1 and A2 of pre-rRNA. Here we determine the crystal structure of Utp24 from
Schizosaccharomyces pombe at 2.1 angstrom resolution. Utp24 structurally
resembles the ribosome assembly factor Utp23 and both contain a Zn-finger motif.
Functional analysis in Saccharomyces cerevisiae shows that depletion of Utp24
disturbs the assembly of 90S and abolishes cleavage at sites A0, A1 and A2. The
90S assembled with inactivated Utp24 is arrested at a post-A0-cleavage state and
contains enriched nuclear exosome for degradation of 5' ETS. Despite of high
sequence conservation, Utp24 from other organisms is unable to form an active 90S
in S. cerevisiae, suggesting that Utp24 needs to be precisely positioned in 90S.
Our study provides biochemical and structural insight into the role of Utp24 in
90S assembly and activity.
PMID- 29641593
TI - Temporal and spatial changes in ion homeostasis, antioxidant defense and
accumulation of flavonoids and glycolipid in a halophyte Sesuvium portulacastrum
(L.) L.
AB - Salinity is an important environmental constraint limiting plant productivity.
Understanding adaptive responses of halophytes to high saline environments may
offer clues to manage and improve salt stress in crop plants. We have studied
physiological, biochemical and metabolic changes in a perennial, fast growing
halophyte, Sesuvium portulacastrum under 0 mM (control), 150 mM (low salt, LS)
and 500 mM (high salt, HS) NaCl treatments. The changes in growth, relative water
content, cation, osmolyte accumulation, H2O2 and antioxidant enzyme activity
(SOD, CAT and APX) were observed under different treatment conditions. A positive
correlation was revealed for sodium ion accumulation with malondialdehyde (r2 =
0.77), proline (r2 = 0.88) and chlorophyll content (r2 = 0.82) under salt
treatment while a negative correlation was observed with relative tissue water
content (r2 = -0.73). The roots and leaves showed contrasting accumulation of
potassium and sodium ions under LS treatment. Temporal and spatial study of
sodium and potassium ion content indicated differential accumulation pattern in
roots and leaves, and, high potassium levels in root. Higher H2O2 content was
recorded in roots than leaves and the antioxidant enzyme activities also showed
significant induction under salt treatment conditions. Gene expression profiling
of sodium transporters, Sodium proton exchanger (NHX3), Vacuolar ATPase (vATPase)
and Salt overly sensitive1 (SOS1) showed up regulation under salt stress after 6
24 hr of NaCl treatment. Metabolite changes in the salt stressed leaves showed
increased accumulation of flavonoids (3,5-dihydroxy-6,4'-dimethoxy-flavone-7-O
[alpha-L-rhamnopyranosyl-(1->6)-beta-D-glucopyranoside], and3,5-dihydroxy-6,3',4'
trimethoxy-flavone-7-O-[alpha-L-rhamnopyranosyl-(1->6)-beta-D-glucopyranoside] in
both LS and HS treatments, while a glycolipid, 1-O-linolenyl-2-O-(palmitoyl)-3-O
galactopyranosyl glycerol, accumulated more in LS over HS treatments and control.
The results suggest that differential spatial and temporal cation levels in roots
and leaves, and accumulation of flavanoid and glycolipid could be responsible for
salt adaptation of S. portulacastrum.
PMID- 29641592
TI - Ehrlichia chaffeensis TRP120 nucleomodulin binds DNA with disordered tandem
repeat domain.
AB - Ehrlichia chaffeensis, the causative agent of human monocytotropic ehrlichiosis,
secretes several effector proteins that bind host DNA to modulate host gene
expression. The tandem repeat protein 120 (TRP120), one of the largest effector
proteins, has four nearly identical tandem repeat (TR) regions that each consists
of 80 amino acids. In addition to playing a role in ehrlichial binding and
internalization, TRP120 translocates to the host nucleus where it is thought to
function as a transcription factor that modulates gene expression. However,
sequence analysis of TRP120 does not identify the presence of DNA-binding or
trans-activation domains typical of classical eukaryotic transcription factors.
Thus, the mechanism by which TRP120 binds DNA and modulates gene expression
remains elusive. Herein, we expressed the TR regions of the TRP120 protein, and
characterized its solution structure and ability to bind DNA. TRP120, expressed
as either a one or two TR repeat, is a monomer in solution, and is mostly
disordered as determined by circular dichroism (CD) and nuclear magnetic
resonance (NMR) spectroscopy. Using NMR spectroscopy, we further show that the 1
TR construct selectively binds GC-rich DNA. Although low pH was required for
TRP120 TR-DNA interaction, acidic pH alone does not induce any significant
structural changes in the TR region. This suggests that TRP120 folds into an
ordered structure upon forming a protein-DNA complex, and thus folding of TRP120
TR is coupled with DNA binding.
PMID- 29641595
TI - Correction: The B cell death function of obinutuzumab-HDEL produced in plant
(Nicotiana benthamiana L.) is equivalent to obinutuzumab produced in CHO cells.
AB - [This corrects the article DOI: 10.1371/journal.pone.0191075.].
PMID- 29641594
TI - Nitrogen starvation induces distinct photosynthetic responses and recovery
dynamics in diatoms and prasinophytes.
AB - Nitrogen stress is an important control on the growth of phytoplankton and
varying responses to this common condition among taxa may affect their relative
success within phytoplankton communities. We analyzed photosynthetic responses to
nitrogen (N) stress in two classes of phytoplankton that often dominate their
respective size ranges, diatoms and prasinophytes, selecting species of distinct
niches within each class. Changes in photosynthetic structures appeared similar
within each class during N stress, but photophysiological and growth responses
were more species- or niche-specific. In the coastal diatom Thalassiosira
pseudonana and the oceanic diatom T. weissflogii, N starvation induced large
declines in photosynthetic pigments and Photosystem II (PSII) quantity and
activity as well as increases in the effective absorption cross-section of PSII
photochemistry (sigma'PSII). These diatoms also increased photoprotection through
energy-dependent non-photochemical quenching (NPQ) during N starvation. Resupply
of N in diatoms caused rapid recovery of growth and relaxation of NPQ, while
recovery of PSII photochemistry was slower. In contrast, the prasinophytes
Micromonas sp., an Arctic Ocean species, and Ostreococcus tauri, a temperate
coastal eutrophile, showed little change in photosynthetic pigments and
structures and a decline or no change, respectively, in sigma'PSII with N
starvation. Growth and PSII function recovered quickly in Micromonas sp. after
resupply of N while O. tauri failed to recover N-replete levels of electron
transfer from PSII and growth, possibly due to their distinct photoprotective
strategies. O. tauri induced energy-dependent NPQ for photoprotection that may
suit its variable and nutrient-rich habitat. Micromonas sp. relies upon both
energy-dependent NPQ and a sustained, energy-independent NPQ mechanism. A
strategy in Micromonas sp. that permits photoprotection with little change in
photosynthetic structures is consistent with its Arctic niche, where low
temperatures and thus low biosynthetic rates create higher opportunity costs to
rebuild photosynthetic structures.
PMID- 29641596
TI - A clear trade-off exists between the theoretical efficiency and acceptability of
dietary changes that improve nutrient adequacy during early pregnancy in French
women: Combined data from simulated changes modeling and online assessment
survey.
AB - BACKGROUND: During pregnancy, the diet of a mother-to-be should be adapted to
meet increases in nutrient requirements. We analyzed the theoretical efficiency
and acceptability of different types of tailored dietary changes for pregnant
women. METHODS: The nutrient adequacy of the diet was evaluated using the PANDiet
score, by comparing the nutrient intakes of 344 non-pregnant premenopausal women
(18-44y) with dietary reference intakes for the first trimester of pregnancy.
Simulations were performed to evaluate the theoretical efficiency of three types
of ten successive tailored dietary changes in improving nutrient adequacy, with
graded difficulty in implementation. The acceptability (declared intention to use
in the diet) of most efficient dietary changes was evaluated during an online
randomized study including 115 French pregnant women (22-41y). RESULTS: Modifying
the amount consumed of foods (type-1) did not modify the food repertoire and
resulted in the smallest theoretical efficiency (increase in the PANDiet score of
9.8+/-0.2 points), but changes were the most acceptable (probability of the
intention to use: 0.30-0.78). Conversely, replacing food items by items from the
same group or eaten at the same time (type-3) broadened the food repertoire
(3.6+/-1.3 food subgroups added) and resulted in the greatest theoretical
efficiency (+23.9+/-0.3) but changes were the least acceptable (0.07-0.23).
Replacing food items within the same subgroup (type-2) slightly broadened the
food repertoire (+8.0+/-1.3 foods) and resulted in moderate theoretical
efficiency (+14.8+/-0.2) and intermediate acceptability (0.11-0.35). CONCLUSION:
A clear trade-off exists between the theoretical efficiency and acceptability of
dietary changes, with a graded broadening of the food repertoire.
PMID- 29641597
TI - Associations of stunting in early childhood with cardiometabolic risk factors in
adulthood.
AB - Early life stunting may have long-term effects on body composition, resulting in
obesity-related comorbidities. We tested the hypothesis that individuals stunted
in early childhood may be at higher cardiometabolic risk later in adulthood. 1753
men and 1781 women participating in the 1982 Pelotas (Brazil) birth cohort study
had measurements of anthropometry, body composition, lipids, glucose, blood
pressure, and other cardiometabolic traits at age 30 years. Early stunting was
defined as height-for-age Z-score at age 2 years below -2 against the World
Health Organization growth standards. Linear regression models were performed
controlling for sex, maternal race/ethnicity, family income at birth, and
birthweight. Analyses were stratified by sex when p-interaction<0.05. Stunted
individuals were shorter (beta = -0.71 s.d.; 95% CI: -0.78 to -0.64), had lower
BMI (beta = -0.14 s.d.; 95%CI: -0.25 to -0.03), fat mass (beta = -0.28 s.d.;
95%CI: -0.38 to -0.17), SAFT (beta = -0.16 s.d.; 95%CI: -0.26 to -0.06), systolic
(beta = -0.12 s.d.; 95%CI: -0.21 to -0.02) and diastolic blood pressure (beta =
0.11 s.d.; 95%CI: -0.22 to -0.01), and higher VFT/SAFT ratio (beta = 0.15 s.d.;
95%CI: 0.06 to 0.24), in comparison with non-stunted individuals. In addition,
early stunting was associated with lower fat free mass in both men (beta = -0.39
s.d.; 95%CI: -0.47 to -0.31) and women (beta = -0.37 s.d.; 95%CI: -0.46 to -0.29)
after adjustment for potential confounders. Our results suggest that early
stunting has implications on attained height, body composition and blood
pressure. The apparent tendency of stunted individuals to accumulate less fat
free mass and subcutaneous fat might predispose them towards increased metabolic
risks in later life.
PMID- 29641598
TI - Fasudil improves endothelial dysfunction in rats exposed to chronic intermittent
hypoxia through RhoA/ROCK/NFATc3 pathway.
AB - Endothelial dysfunction is one of the main pathological changes in Obstructive
sleep apnoea (OSA). The Rho kinase (ROCK) pathway is associated with endothelial
dysfunction. However, the interaction between ROCK and nuclear factor of
activated T cells isoform c3 (NFATc3) in the development of this pathological
response under chronic intermittent hypoxia (CIH) is unclear. To simulate the OSA
model, we established a moderate CIH rat model by administering the fraction of
inspired O2 (FiO2) from 21% to 9%, 20 times/h, 8 h/day for 3 weeks. Fasudil (ROCK
inhibitor, 8 mg/kg/d, i.p.) was administrated in the rats exposed to CIH for 3
weeks. Our results demonstrated that CIH caused significantly endothelial
dysfunction, accompanying with increased ET-1 level, decreased eNOS expression
and NO production, which reduced ACh-induced vascular relaxation responses.
Moreover, RhoA/ROCK-2/NFATc3 expressions were up-regulated. Fasudil significantly
improved CIH induced endothelial dysfunction. Data suggested that the ROCK
activation is necessary for endothelial dysfunction during CIH.
PMID- 29641599
TI - Quantifying sleep architecture dynamics and individual differences using big data
and Bayesian networks.
AB - The pattern of sleep stages across a night (sleep architecture) is influenced by
biological, behavioral, and clinical variables. However, traditional measures of
sleep architecture such as stage proportions, fail to capture sleep dynamics.
Here we quantify the impact of individual differences on the dynamics of sleep
architecture and determine which factors or set of factors best predict the next
sleep stage from current stage information. We investigated the influence of age,
sex, body mass index, time of day, and sleep time on static (e.g. minutes in
stage, sleep efficiency) and dynamic measures of sleep architecture (e.g.
transition probabilities and stage duration distributions) using a large dataset
of 3202 nights from a non-clinical population. Multi-level regressions show that
sex effects duration of all Non-Rapid Eye Movement (NREM) stages, and age has a
curvilinear relationship for Wake After Sleep Onset (WASO) and slow wave sleep
(SWS) minutes. Bayesian network modeling reveals sleep architecture depends on
time of day, total sleep time, age and sex, but not BMI. Older adults, and
particularly males, have shorter bouts (more fragmentation) of Stage 2, SWS, and
they transition less frequently to these stages. Additionally, we showed that the
next sleep stage and its duration can be optimally predicted by the prior 2
stages and age. Our results demonstrate the potential benefit of big data and
Bayesian network approaches in quantifying static and dynamic architecture of
normal sleep.
PMID- 29641600
TI - Memory deficiency, cerebral amyloid angiopathy, and amyloid-beta plaques in
APP+PS1 double transgenic rat model of Alzheimer's disease.
AB - Transgenic rat models of Alzheimer's disease were used to examine differences in
memory and brain histology. Double transgenic female rats (APP+PS1) over
expressing human amyloid precursor protein (APP) and presenilin 1 (PS1) and
single transgenic rats (APP21) over-expressing human APP were compared with wild
type Fischer rats (WT). The Barnes maze assessed learning and memory and showed
that both APP21 and APP+PS1 rats made significantly more errors than the WT rats
during the acquisition phase, signifying slower learning. Additionally, the
APP+PS1 rats made significantly more errors following a retention interval,
indicating impaired memory compared to both the APP21 and WT rats.
Immunohistochemistry using an antibody against amyloid-beta (Abeta) showed
extensive and mostly diffuse Abeta plaques in the hippocampus and dense plaques
that contained tau in the cortex of the brains of the APP+PS1 rats. Furthermore,
the APP+PS1 rats also showed vascular changes, including cerebral amyloid
angiopathy with extensive Abeta deposits in cortical and leptomeningeal blood
vessel walls and venous collagenosis. In addition to the Abeta accumulation
observed in arterial, venous, and capillary walls, APP+PS1 rats also displayed
enlarged blood vessels and perivascular space. Overall, the brain histopathology
and behavioral assessment showed that the APP+PS1 rats demonstrated behavioral
characteristics and vascular changes similar to those commonly observed in
patients with Alzheimer's disease.
PMID- 29641601
TI - Differential diagnosis between benign and malignant pleural effusion with dual
energy spectral CT.
AB - PURPOSE: To investigate the value of spectral CT in the differential diagnosis of
benign from malignant pleural effusion. METHOD AND MATERIALS: 14 patients with
benign pleural effusion and 15 patients with malignant pleural effusion underwent
non-contrast spectral CT imaging. These patients were later verified by the
combination of disease history, clinical signs and other information with the
consensus of surgeons and radiologists. Various Spectral CT image parameters
measured for the effusion were as follows: CT numbers of the polychromatic 140kVp
images, monochromatic images at 40keV and 100keV, the material density contents
from the water, fat and blood-based material decomposition images, the effective
atomic number and the spectral curve slope. These values were statistically
compared with t test and logistic regression analysis between benign and
malignant pleural effusion. RESULTS: The CT value of benign and malignant pleural
effusion in the polychromatic 140kVp images showed no differences (12.61+/-3.39HU
vs. 14.71+/-5.03HU) (P>0.05), however, they were statistically different on the
monochromatic images at 40keV (43.15+/-3.79 vs. 39.42+/-2.60, p = 0.005) and
100keV (9.11+/-1.38 vs. 6.52+/-2.04, p<0.001). There was difference in the
effective atomic number value between the benign (7.87+/-0.08) and malignant
pleural effusion (7.90+/-0.02) (P = 0.02). Using 6.32HU as the threshold for CT
value measurement at 100keV, one could obtain sensitivity of 100% and specificity
of 66.7% with area-under-curve of 0.843 for differentiating benign from malignant
effusion. In addition, age and disease history were potential confounding factors
for differentiating malignant pleural effusion from benign, since the older age
(61.13+/-12.51 year-old vs48.57+/-12.33 year-old) as well as longer disease
history (70.00+/-49.28 day vs.28.36+/-21.64 day) were more easily to be found in
the malignant pleural effusion group than those in the benign pleural effusion
group. By combining above five factors, one could obtain sensitivity of 100% and
specificity of 71.4% with area-under-curve of 0.933 for differentiating benign
from malignant effusion. CONCLUSION: The CT value measurement at both high and
low energy levels and the effective atomic number obtained in a single spectral
CT scan can assist the differential diagnosis of benign from malignant pleural
effusion.Combining them with patient age and disease history can further improve
diagnostic performance. CLINICAL RELEVANCE/APPLICATION: Clinical findings and
Spectral CT imaging can provide significant evidences about the nature of pleural
effusion.
PMID- 29641602
TI - Effector gene birth in plant parasitic nematodes: Neofunctionalization of a
housekeeping glutathione synthetase gene.
AB - Plant pathogens and parasites are a major threat to global food security. Plant
parasitism has arisen four times independently within the phylum Nematoda,
resulting in at least one parasite of every major food crop in the world. Some
species within the most economically important order (Tylenchida) secrete
proteins termed effectors into their host during infection to re-programme host
development and immunity. The precise detail of how nematodes evolve new
effectors is not clear. Here we reconstruct the evolutionary history of a novel
effector gene family. We show that during the evolution of plant parasitism in
the Tylenchida, the housekeeping glutathione synthetase (GS) gene was extensively
replicated. New GS paralogues acquired multiple dorsal gland promoter elements,
altered spatial expression to the secretory dorsal gland, altered temporal
expression to primarily parasitic stages, and gained a signal peptide for
secretion. The gene products are delivered into the host plant cell during
infection, giving rise to "GS-like effectors". Remarkably, by solving the
structure of GS-like effectors we show that during this process they have also
diversified in biochemical activity, and likely represent the founding members of
a novel class of GS-like enzyme. Our results demonstrate the re-purposing of an
endogenous housekeeping gene to form a family of effectors with modified
functions. We anticipate that our discovery will be a blueprint to understand the
evolution of other plant-parasitic nematode effectors, and the foundation to
uncover a novel enzymatic function.
PMID- 29641603
TI - Cell viability assessed in a reproducible model of human osteoblasts derived from
human adipose-derived stem cells.
AB - Human adipose tissue-derived stem cells (hASCs) have been subjected to extensive
investigation because of their self-renewal properties and potential to restore
damaged tissues. In the literature, there are several protocols for
differentiating hASCs into osteoblasts, but there is no report on the control of
cell viability during this process. In this study, we used osteoblasts derived
from hASCs of patients undergoing abdominoplasty. The cells were observed at the
beginning and end of bone matrix formation, and the expression of proteins
involved in this process, including alkaline phosphatase and osteocalcin, was
assessed. RANKL, Osterix, Runx2, Collagen3A1, Osteopontin and BSP expression
levels were analyzed using real-time PCR, in addition to a quantitative
assessment of protein levels of the markers CD45, CD105, STRO-1, and Nanog, using
immunofluorescence. Rhodamine (Rho123), cytochrome-c, caspase-3, P-27, cyclin D1,
and autophagy cell markers were analyzed by flow cytometry to demonstrate
potential cellular activity and the absence of apoptotic and tumor cell processes
before and after cell differentiation. The formation of bone matrix, along with
calcium nodules, was observed after 16 days of osteoinduction. The gene
expression levels of RANKL, Osterix, Runx2, Collagen3A1, Osteopontin, BSP and
alkaline phosphatase activity were also elevated after 16 days of osteoinduction,
whereas the level of osteocalcin was higher after 21 days of osteoinduction. Our
data also showed that the cells had a high mitochondrial membrane potential and a
low expression of apoptotic and tumor markers, both before and after
differentiation. Cells were viable after the different phases of differentiation.
This proposed methodology, using markers to evaluate cell viability, is therefore
successful in assessing different phases of stem cell isolation and
differentiation.
PMID- 29641604
TI - Association of parental obesity with cardiometabolic risk factors in their
children: The CASPIAN-V study.
AB - BACKGROUND: The family environment has a crucial role in the development of
childhood obesity and related cardiometabolic disorders. This study aims to
investigate the association of parental obesity and cardiometabolic risk factors
in their children. METHODS: This multicentric cross-sectional study was performed
on 14400 students (aged 7-18 years) and one of their parents. Students were
recruited by multistage, stratified cluster sampling from urban and rural areas
of 30 provinces of Iran. Fasting venous blood was obtained from a random sample
of 4200 students. Demographic, anthropometric and clinical variables were
collected. RESULTS: Data of 14002 students and results of blood samples of 3483
of them were complete and included in the current study. The prevalence of
obesity in children, fathers, and mothers was 11.4%, 10.6%, and 24.2%,
respectively. In students, the most commonly observed metabolic abnormality was
low HDL-C (29.5%); the prevalence of metabolic syndrome and dyslipidemia was 5%
and 55.7%, respectively. Significant correlations were observed between the body
mass index (BMI) and waist circumference of parents and weight, height, BMI, and
waist circumference, as well as systolic and diastolic blood pressure (BP) of
their children (P< 0.05). In the multivariate model, the risk of excess weight
(OR: 1.30, 95%CI: 1.17-1.44), obesity (OR: 1.36, 95%CI: 1.18-1.59), abdominal
obesity (OR: 1.16, 95%CI: 1.05-1.29) and elevated BP (OR: 1.17, 95%CI: 1.04-1.31)
were higher in those students whose parents had excess weight compared with other
students. Parental obesity did not have significant association with metabolic
syndrome and dyslipidemia in their children. CONCLUSIONS: Parental history of
obesity could be used as a practical approach for the early preventive measures
and identification of children at risk of cardiometabolic complications.
PMID- 29641605
TI - Inflammatory markers in postoperative delirium (POD) and cognitive dysfunction
(POCD): A meta-analysis of observational studies.
AB - BACKGROUND: The aim of this study was to summarize and discuss the similarities
and differences in inflammatory biomarkers in postoperative delirium (POD) and
cognitive dysfunction (POCD). METHODS: A systematic retrieval of literature up to
June 2017 in PubMed, Embase, the Cochrane Library, the China National Knowledge
Infrastructure database, and the Wanfang database was conducted. Extracted data
were analyzed with STATA (version 14). The standardized mean difference (SMD) and
the 95% confidence interval (95% CI) of each indicator were calculated using a
random effect model. We also performed tests of heterogeneity, sensitivity
analysis, assessments of bias, and meta-regression in this meta-analysis.
RESULTS: A total of 54 observational studies were included. By meta-analysis we
found significantly increased C-reactive protein (CRP) (9 studies, SMD 0.883, 95%
CI 0.130 to 1.637, P = 0.022 in POD; 10 studies, SMD -0.133, 95% CI -0.512 to
0.246, P = 0.429 in POCD) and interleukin (IL)-6 (7 studies, SMD 0.386, 95% CI
0.054 to 0.717, P = 0.022 in POD; 16 studies, SMD 0.089, 95% CI -0.133 to 0.311,
P = 0.433 in POCD) concentrations in both POD and POCD patients. We also found
that the SMDs of CRP and IL-6 from POCD patients were positively correlated with
surgery type in the meta-regression (CRP: Coefficient = 1.555365, P = 0.001, 10
studies; IL-6: Coefficient = -0.6455521, P = 0.086, 16 studies). CONCLUSION:
Available evidence from medium-to-high quality observational studies suggests
that POD and POCD are indeed correlated with the concentration of peripheral and
cerebrospinal fluid (CSF) inflammatory markers. Some of these markers, such as
CRP and IL-6, play roles in both POD and POCD, while others are specific to
either one of them.
PMID- 29641606
TI - A novel immunotherapy of Brucellosis in cows monitored non invasively through a
specific biomarker.
AB - Brucellosis is an important zoonotic disease causing huge economic losses
worldwide. Currently no effective immunotherapy for Brucellosis or any biomarker
to monitor the efficacy of therapy is available. Treatment is ineffective and
animals remain carrier lifelong. S19 and RB51 are live attenuated vaccine strains
of Brucella abortus. However, S19 induces only antibody, ineffective for
intracellular pathogen. RB51 induces cell mediated immunity (CMI) but it is
Rifampicin resistant. Both organisms are secreted in milk and can infect humans
and cause abortions in animals. Phage lysed bacteria (lysates) retain maximum
immunogenicity as opposed to killing by heat or chemicals. We report here the
successful immunotherapy of bovine Brucellosis by phage lysates of RB51 (RL) and
S19 (SL). The SL induced strong antibody response and RL stimulated CMI. In vitro
restimulation of leukocytes from RL immunized cattle induced interferon gamma
production. A single subcutaneous dose of 2 ml of cocktail lysate (both RL and
SL), eliminated live virulent Brucella from Brucellosis affected cattle with
plasma level of Brucella specific 223 bp amplicon undetectable by RT-PCR and
blood negative for live Brucella by culture in 3 months post-immunization. This
is the first report on minimally invasive monitoring of the efficacy of
antibacterial therapy employing plasma RNA specific for live bacteria as a
biomarker as well as on the use of RB51 phage lysate for successful immunotherapy
of Brucellosis in cattle.
PMID- 29641607
TI - Music@Home: A novel instrument to assess the home musical environment in the
early years.
AB - The majority of children under the age of 5 appear to show spontaneous enjoyment
of singing, being exposed to music and interacting with musical instruments, but
whether variations in engaging in such activities in the home could contribute to
developmental outcomes is still largely unknown. Critically, researchers lack a
comprehensive instrument with good psychometric properties to assess the home
musical environment from infancy to the preschool years. To address this gap,
this paper presents two studies that describe the development and validation of
the Music@Home questionnaire, which comprises two versions: Infant and Preschool.
In Study 1, an initial pool of items was generated and administered to a wide
audience of parents (n = 287 for the Infant, n = 347 for the Preschool version).
Exploratory factor analysis was used to identify different dimensions comprising
the home musical environment of both infants and pre-schoolers, and to reduce the
initial pool of items to a smaller number of meaningful items. In Study 2,
convergent and divergent validity and internal and test-retest reliability of the
new instrument were established, using data from a different sample of
participants (n = 213 for the Infant, n = 213 for the Preschool version). The
second study also investigated associations between the Music@Home and musical
characteristics of the parents, such as their musical education and personal
engagement with music. Overall, the Music@Home constitutes a novel, valid and
reliable instrument that allows for the systematic assessment of distinct aspects
of the home musical environment in families with children under the age of 5.
Furthermore, the Infant and Preschool versions of the Music@Home present
differential associations with musical characteristics of the parents opening a
new area of inquiry into how musical exposure and interaction in the home may
vary across different developmental stages.
PMID- 29641608
TI - Probiotic characteristics and zearalenone-removal ability of a Bacillus
licheniformis strain.
AB - Zearalenone (ZEN) is a mycotoxin produced by Fusarium species, which is one of
the main animal feed contaminants causing reproductive disorders in livestock.
The aim of this study was to evaluate the probiotic characteristics and ZEN
removal ability of a Bacillus licheniformis strain CK1. The probiotic properties,
including acidic tolerance, bile salt tolerance, adherence capability, and anti
pathogenic activities of CK1 were evaluated. CK1 survived after incubation at pH
2.0 or 3.0 for 3 h, grew well in LB broth containing 0.3% oxgall, possessed
adherence capability to Caco-2 cells, and inhibited the growth of Escherichia
coli O157:H7 and Listeria monocytogenes. The ZEN removal ability of CK1 was
compared with a mineral mycotoxin-adsorbing agent, hydrated sodium calcium
aluminosilicate (HSCAS), and a well-characterized biological mycotoxin-adsorbing
agent, Lactobacillus rhamnosus GG (LGG). At 37 degrees C in phosphate-buffered
saline (PBS, pH 7.0) containing 5 MUg mL-1 of ZEN, the ZEN removal percentage of
CK1 was 73.0%, which was significantly higher than that of HSCAS and LGG (45.9%
and 48.4%, respectively). In the pH range of 2.5-8.0, CK1 removed up to 65% of
ZEN. At temperatures between 4 and 42 degrees C, CK1 removed more than 75% of
ZEN. In the adsorption stability analysis, the amounts of ZEN removed by CK1 was
over 30% even after five consecutive rounds of washing procedures. These findings
demonstrated that CK1 displayed probiotic characteristics and removed ZEN
effectively. Therefore, CK1 has a great potential for the development of feed
additive to remove ZEN.
PMID- 29641609
TI - Rain drives foraging decisions of an urban exploiter.
AB - Foraging decisions tend to drive individuals toward maximising energetic gains
within a patchy environment. This study aims to determine the extent to which
rainfall, and associated changes in food availability, can explain foraging
decisions within a patchy urbanised landscape, using the Australian white ibis as
a model species. Ibis density, food consumption rates and food abundance (both
natural and anthropogenic) were recorded during dry and wet weather within urban
parks in Sydney, Australia. Rainfall influenced ibis density in these urban
parks. Of the four parks assessed, the site with the highest level of
anthropogenic food and the lowest abundance of natural food (earthworms),
irrespective of weather, was observed to have three times the density of ibis.
Rainfall significantly increased the rate of earthworm consumption as well as
their relative availability in all sites. Overall, these density and consumption
measures indicate that anthropogenic derived foods, mainly from direct feeding by
people, explain the apparent distribution of ibis across urban parks. However,
there was evidence of prey-switching when the availability of natural foods
increased following rainfall, perhaps reflecting selection of particular
nutrients.
PMID- 29641610
TI - A distribution-oriented approach to support landscape connectivity for
ecologically distinct bird species.
AB - Managing landscape connectivity is a widely recognized overarching strategy for
conserving biodiversity in human-impacted landscapes. However, planning the
conservation and management of landscape connectivity of multiple and
ecologically distinct species is still challenging. Here we provide a spatially
explicit framework which identifies and prioritizes connectivity conservation and
restoration actions for species with distinct habitat affinities. Specifically,
our study system comprised three groups of common bird species, forest
specialists, farmland-specialists, and generalists, populating a highly
heterogeneous agricultural countryside in the southwestern Iberian Peninsula. We
first performed a comprehensive analysis of the environmental variables
underlying the distributional patterns of each bird species to reveal
generalities in their guild-specific responses to landscape structure. Then, we
identified sites which could be considered pivotal in maintaining current levels
of landscape connectivity for the three bird guilds simultaneously, as well as
the number and location of sites that need to be restored to maximize
connectivity levels. Interestingly, we found that a small number of sites defined
the shortest connectivity paths for the three bird guilds simultaneously, and
were therefore considered key for conservation. Moreover, an even smaller number
of sites were identified as critical to expand the landscape connectivity at
maximum for the regional bird assemblage as a whole. Our spatially-explicit
framework can provide valuable decision-making support to conservation
practitioners aiming to identify key connectivity and restoration sites, a
particularly urgent task in rapidly changing landscapes such as agroecosystems.
PMID- 29641611
TI - Comparing the cost effectiveness of nature-based and coastal adaptation: A case
study from the Gulf Coast of the United States.
AB - Coastal risks are increasing from both development and climate change. Interest
is growing in the protective role that coastal nature-based measures (or green
infrastructure), such as reefs and wetlands, can play in adapting to these risks.
However, a lack of quantitative information on their relative costs and benefits
is one principal factor limiting their use more broadly. Here, we apply a
quantitative risk assessment framework to assess coastal flood risk (from climate
change and economic exposure growth) across the United States Gulf of Mexico
coast to compare the cost effectiveness of different adaptation measures. These
include nature-based (e.g. oyster reef restoration), structural or grey (e.g.,
seawalls) and policy measures (e.g. home elevation). We first find that coastal
development will be a critical driver of risk, particularly for major disasters,
but climate change will cause more recurrent losses through changes in storms and
relative sea level rise. By 2030, flooding will cost $134-176.6 billion (for
different economic growth scenarios), but as the effects of climate change, land
subsidence and concentration of assets in the coastal zone increase, annualized
risk will more than double by 2050 with respect to 2030. However, from the
portfolio we studied, the set of cost-effective adaptation measures (with benefit
to cost ratios above 1) could prevent up to $57-101 billion in losses, which
represents 42.8-57.2% of the total risk. Nature-based adaptation options could
avert more than $50 billion of these costs, and do so cost effectively with
average benefit to cost ratios above 3.5. Wetland and oyster reef restoration are
found to be particularly cost-effective. This study demonstrates that the cost
effectiveness of nature-based, grey and policy measures can be compared
quantitatively with one another, and that the cost effectiveness of adaptation
becomes more attractive as climate change and coastal development intensifies in
the future. It also shows that investments in nature-based adaptation could meet
multiple objectives for environmental restoration, adaptation and flood risk
reduction.
PMID- 29641612
TI - Informal social accountability in maternal health service delivery: A study in
Northern Malawi.
AB - Despite the expansion of literature on social accountability in low-and middle
income countries, little is known about how health providers experience daily
social pressure and citizen feedback. This study used a narrative inquiry
approach to explore the function of daily social accountability relations among
maternal health care workers in rural Malawi. Through semi-structured interviews
with 32 nurses and 19 clinicians, we collected 155 feedback cases allowing the
identification of four main strategies social actors use to express their opinion
and concerns about maternal health services. We found that women who used
delivery care express their appreciation for successful deliveries directly to
the health worker but complaints, such as on absenteeism and poor interpersonal
behaviour, follow an indirect route via intermediaries such as the health
workers' spouse, co-workers or the health committee who forward some cases of
misbehaviour to district authorities. The findings suggest that citizen feedback
is important for the socialization, motivation and retention of maternal
healthcare workers in under resourced rural settings. Practitioners and external
development programmes should understand and recognize the value of already
existing accountability mechanisms and foster social accountability approaches
that allow communities as well as health workers to challenge the systemic
obstacles to quality and respectful service delivery.
PMID- 29641613
TI - Detection of human vital signs in hazardous environments by means of video
magnification.
AB - In cases of natural disasters, epidemics or even in dangerous situations like an
act of terrorism, battle fields, a shooting or a mountain accident, finding
survivors is a challenge. In these kind of situations it is sometimes critical to
know if a person has vital signs or not, without the need to be in contact with
the victim, thus avoiding jeopardizing the lives of the rescue workers. In this
work, we propose the use of video magnification techniques to detect small
movements in human bodies due to breathing that are invisible to the naked eye.
Two different video magnification techniques, intensity-based and phase-based,
were tested. The utility of these techniques to detect people who are alive but
injured in risk situations was verified by simulating a scene with three people
involved in an accident. Several factors such as camera stability, distance to
the object, light conditions, magnification factor or computing time were
analyzed. The results obtained were quite positive for both techniques, intensity
based method proving more adequate if the interest is in almost instant results
whereas the phase-based method is more appropriate if processing time is not so
relevant but the degree of magnification without excessive image noise.
PMID- 29641615
TI - A new drilling method-Earthworm-like vibration drilling.
AB - The load transfer difficulty caused by borehole wall friction severely limits the
penetration rate and extended-reach limit of complex structural wells. A new
friction reduction technology termed "earthworm-like drilling" is proposed in
this paper to improve the load transfer of complex structural wells. A
mathematical model based on a "soft-string" model is developed and solved. The
results show that earthworm-like drilling is more effective than single-point
vibration drilling. The amplitude and frequency of the pulse pressure and the
installation position of the shakers have a substantial impact on friction
reduction and load transfer. An optimization model based on the projection
gradient method is developed and used to optimize the position of three shakers
in a horizontal well. The results verify the feasibility and advantages of
earthworm-like drilling, and establish a solid theoretical foundation for its
application in oil field drilling.
PMID- 29641614
TI - Measuring serum melatonin in postmenopausal women: Implications for epidemiologic
studies and breast cancer studies.
AB - BACKGROUND: Circulating melatonin is a good candidate biomarker for studies of
circadian rhythms and circadian disruption. However, epidemiologic studies on
circulating melatonin are limited because melatonin is secreted at night, yet
most epidemiologic studies collect blood during the day when melatonin levels are
very low, and assays are lacking that are ultrasensitive to detect low levels of
melatonin reliably. OBJECTIVE: To assess the performance of a refined
radioimmunoassay in measuring morning melatonin among women. METHODS: We used
morning serum samples from 47 postmenopausal women ages 48-80 years without a
history of breast cancer who participated in the San Francisco Bay Area Breast
Cancer Study, including 19 women who had duplicate measurements. The coefficient
of variation (CV) and intraclass coefficient (ICC) were estimated using the
random effect model. RESULTS: Reproducibility for the assay was satisfactory,
with a CV of 11.2% and an ICC of 98.9%; correlation between the replicate samples
was also high (R = 0.96). In the 47 women, serum melatonin levels ranged from 0.6
to 62.6 pg/ml, with a median of 7.0 pg/ml. CONCLUSION: Our results suggest that
it is possible to reliably measure melatonin in postmenopausal women in morning
serum samples in large epidemiologic studies to evaluate the role of melatonin in
cancer etiology or prognosis.
PMID- 29641616
TI - Correction: Indirect adaptive soft computing based wavelet-embedded control
paradigms for WT/PV/SOFC in a grid/charging station connected hybrid power
system.
AB - [This corrects the article DOI: 10.1371/journal.pone.0183750.].
PMID- 29641617
TI - Evaluation of preventable adverse drug reactions by implementation of the
nationwide network of prospective drug utilization review program in Korea.
AB - BACKGROUND: A prospective Drug Utilization Review (DUR) program has been
implemented in Korea to improve the quality and safety of medication use.
OBJECTIVE: To evaluate the influence of the DUR program in reducing incidence of
preventable adverse drug reactions (pADRs). METHODS: This study was performed
using administrative data from the Health Insurance Review and Assessment Service
(HIRA). The claims data for all adult patients with adverse drug events (ADE)
related diagnoses from 2009 to 2014 were obtained. Incidence rates of first-time
and repeat pADRs prior to and after DUR program implementation were evaluated.
Quarterly trends in incidence rates of overall ADE, allergic reactions, and ADRs
were analyzed. RESULTS: Data extraction covering the period from 2009 to 2014 led
to the identification of 3,927,662 records. First-time pADR rates decreased
gradually after implementation of the DUR program (change in slope: -0.016, p =
0.02). The program had a similar influence on repeat pADR rates (change in slope:
-0.006, p<=0.01). The program did not decrease rates of first-time or repeat
allergic reactions (change in slope: 0.018, p = 0.07 and 0.003, p = 0.04,
respectively). In the cohort aged <=65 years, first-time pADR rate reduction was
significant (28.2% [27.1-29.3] in <=18 years, and 19.8% [18.1-21.5] in 19-64
years). In contrast, first-time pADR rate was increased by 0.6% [-0.7-1.9] in
patients >=65 years. CONCLUSION: Implementation of the prospective DUR program
effectively reduced the number of pADRs. In the future, to reduce non-preventable
ADRs such as allergic reactions, provision of clinical information including
allergy history should be added to the DUR program.
PMID- 29641618
TI - A moral house divided: How idealized family models impact political cognition.
AB - People's political attitudes tend to fall into two groups: progressive and
conservative. Moral Politics Theory asserts that this ideological divide is the
product of two contrasting moral worldviews, which are conceptually anchored in
individuals' cognitive models about ideal parenting and family life. These
models, here labeled the strict and nurturant models, serve as conceptual
templates for how society should function, and dictate whether one will endorse
more conservative or progressive positions. According to Moral Politics Theory,
individuals map their parenting ideals onto the societal domain by engaging the
nation-as-family metaphor, which facilitates reasoning about the abstract social
world (the nation) in terms of more concrete world experience (family life). In
the present research, we conduct an empirical examination of these core
assertions of Moral Politics Theory. In Studies 1-3, we experimentally test
whether family ideals directly map onto political attitudes while ruling out
alternative explanations. In Studies 4-5, we use both correlational and
experimental methods to examine the nation-as-family metaphor's role in
facilitating the translation of family beliefs into societal beliefs and,
ultimately, political attitudes. Overall, we found consistent support for Moral
Politics Theory's assertions that family ideals directly impact political
judgment, and that the nation-as-family metaphor serves a mediating role in this
phenomenon.
PMID- 29641619
TI - Medicalising normality? Using a simulated dataset to assess the performance of
different diagnostic criteria of HIV-associated cognitive impairment.
AB - OBJECTIVE: The reported prevalence of cognitive impairment remains similar to
that reported in the pre-antiretroviral therapy era. This may be partially
artefactual due to the methods used to diagnose impairment. In this study, we
evaluated the diagnostic performance of the HIV-associated neurocognitive
disorder (Frascati criteria) and global deficit score (GDS) methods in comparison
to a new, multivariate method of diagnosis. METHODS: Using a simulated
'normative' dataset informed by real-world cognitive data from the observational
Pharmacokinetic and Clinical Observations in PeoPle Over fiftY (POPPY) cohort
study, we evaluated the apparent prevalence of cognitive impairment using the
Frascati and GDS definitions, as well as a novel multivariate method based on the
Mahalanobis distance. We then quantified the diagnostic properties (including
positive and negative predictive values and accuracy) of each method, using
bootstrapping with 10,000 replicates, with a separate 'test' dataset to which a
pre-defined proportion of 'impaired' individuals had been added. RESULTS: The
simulated normative dataset demonstrated that up to ~26% of a normative control
population would be diagnosed with cognitive impairment with the Frascati
criteria and ~20% with the GDS. In contrast, the multivariate Mahalanobis
distance method identified impairment in ~5%. Using the test dataset, diagnostic
accuracy [95% confidence intervals] and positive predictive value (PPV) was best
for the multivariate method vs. Frascati and GDS (accuracy: 92.8% [90.3-95.2%]
vs. 76.1% [72.1-80.0%] and 80.6% [76.6-84.5%] respectively; PPV: 61.2% [48.3
72.2%] vs. 29.4% [22.2-36.8%] and 33.9% [25.6-42.3%] respectively). Increasing
the a priori false positive rate for the multivariate Mahalanobis distance method
from 5% to 15% resulted in an increase in sensitivity from 77.4% (64.5-89.4%) to
92.2% (83.3-100%) at a cost of specificity from 94.5% (92.8-95.2%) to 85.0% (81.2
88.5%). CONCLUSION: Our simulations suggest that the commonly used diagnostic
criteria of HIV-associated cognitive impairment label a significant proportion of
a normative reference population as cognitively impaired, which will likely lead
to a substantial over-estimate of the true proportion in a study population, due
to their lower than expected specificity. These findings have important
implications for clinical research regarding cognitive health in people living
with HIV. More accurate methods of diagnosis should be implemented, with
multivariate techniques offering a promising solution.
PMID- 29641621
TI - Increasing the Vertical Dimension of Occlusion: A Multicenter Retrospective
Clinical Comparative Study on 100 Patients with Fixed Tooth-Supported, Mixed, and
Implant-Supported Full-Arch Rehabilitations.
AB - This multicenter retrospective clinical study was aimed at comparing the effects
of an increase in vertical dimension of occlusion (VDO) in patients with fixed
rehabilitations. Expert clinicians retrospectively evaluated 100 patients treated
with an increase of the VDO and fixed dental prostheses (FDPs) supported by
teeth, implants, or both. The patients were divided into three study groups
according to the type of support of restorations in posterior areas, as follows:
partially edentulous patients with posterior teeth-supported rehabilitations and
no implants in posterior segments (group A), partially edentulous patients with
posterior mixed rehabilitations and at least one osseointegrated implant in
posterior segments (group B), and completely edentulous patients with posterior
implant-supported rehabilitations (group C). The new VDO was tested with mock
ups, temporary restorations, or removable appliances. The patients were followed
up for at least 1 year after the delivery of final restorations. Clinical
variables were collected retrospectively, such as presence of referred self
reported bruxism and temporomandibular joint or muscle symptoms before treatment,
extension of the dental arches, increase in VDO, restorative materials, and
functional complications. Descriptive statistics were analyzed; the three
experimental groups were compared with one-way analysis of variance (ANOVA)
followed by Tukey post hoc test for the quantitative variables and with logistic
regression using the likelihood ratio test for the qualitative variables.
Statistically significant differences were reported among the experimental groups
for functional complications. Functional and prosthetic complications after the
VDO increase were not frequent. Functional complications were mainly noticed in
group C but usually were no longer evident after 2 weeks. No significant
differences were found between groups in terms of prosthetic complications and
self-reported bruxism.
PMID- 29641620
TI - Development and characterization of an immunochromatographic test for the rapid
diagnosis of Talaromyces (Penicillium) marneffei.
AB - Talaromyces (Penicillium) marneffei is a thermally dimorphic fungus that can
cause opportunistic systemic mycoses in patients infected with the human
immunodeficiency virus (HIV). It has also been reported among patients with other
causes of immunodeficiency, such as systemic lupus erythematosus, cancer, organ
transplanted patients receiving immunosuppressive drug and adult onset
immunodeficiency syndromes. Recent studies indicate that the clinical
manifestations, laboratory findings and treatment strategies of talaromycosis
(penicilliosis) marneffei are different between patients with and without HIV
infection. Therefore early and accurate diagnosis of talaromycosis marneffei is
crucial to the proper management and treatment. Since current diagnostic methods
are currently inadequate, the aim of this study was to develop an
immunochromatographic test (ICT) for the detection of T. marneffei yeast antigens
in urine samples. The highly T. marneffei-specific monoclonal antibody 4D1 (MAb
4D1) conjugated with gold colloid at pH 6.5 was used as signal generator. The
nitrocellulose membrane was lined with T. marneffei cytoplasmic yeast antigen (TM
CYA) to serve as the test line, and rabbit anti-mouse IgG was the control line.
Subjecting the assembled test strip to urine samples containing T. marneffei
antigen produced a visible result within 20 minutes. The sensitivity limit of the
assay was 3.125MUg/ml of TM CYA. The ICT was used to test urine samples from 66
patients with blood culture confirmed talaromycosis marneffei, 42 patients with
other fungal or bacterial infections, and 70 normal healthy individuals from
endemic area of T. marneffei. The test exhibited sensitivity, specificity and
accuracy of 87.87%, 100% and 95.5%, respectively. This rapid, user-friendly test
holds great promise for the serodiagnosis of T. marneffei infection.
PMID- 29641622
TI - Clinical Outcome After 8 to 10 Years of Immediately Restored Single Implants
Placed in Extraction Sockets and Healed Ridges.
AB - Recent systematic reviews point to the scarcity of single implants followed up
longer than 5 years, and the incidence of biologic/technical complications is
underreported. This prospective follow-up study documents 8- to 10-year clinical
outcomes of immediately restored single implants in extraction sockets (immediate
implant treatment [IIT]) and healed bone (conventional implant treatment [CIT]).
Patients received a single, chemically modified, moderately rough titanium
implant and a provisional crown on the day of surgery in the anterior maxilla
(second premolar to second premolar). Provisional crowns were replaced by
permanent crowns after 10 weeks. Implant survival, complications, crestal bone
changes, plaque score, probing depth, and bleeding on probing were regularly
recorded up to 10 years of follow-up. Of 16 patients who underwent IIT, 11 could
be evaluated after 8 years. Of the 23 patients who received an implant in healed
bone, 18 were finally evaluated. One implant failed in the IIT group at 12 weeks;
all implants survived in the CIT group; 38% of the patients experienced at least
one complication; 10% had one or more biologic complications, whereas 31%
experienced one or more technical complications. There were no significant
changes in crestal bone level from 1 to >= 8 years of follow-up for either group
or between IIT and CIT at any time point (P >= .129). Only 6.9% (2 of 29)
implants demonstrated progressive bone loss > 2 mm combined with pockets >= 6 mm.
Immediately restored single implants in extraction sockets and healed ridges
demonstrate good long-term outcomes in terms of implant survival, crestal bone
loss, and peri-implant health. However, biologic and especially technical
complications are common.
PMID- 29641623
TI - Outcomes After 25 Years of Periodontal Treatment and Maintenance of a Patient
Affected by Generalized Severe Aggressive Periodontitis.
AB - This report describes the long-term outcomes of nonsurgical periodontal therapy
and supportive periodontal treatment (SPT) of a 21-year-old patient affected by
generalized aggressive periodontitis at multiple teeth with a compromised
prognosis. After 25 years of SPT, no teeth had been extracted and no periodontal
pockets associated with bleeding on probing were present. Radiographic analysis
showed an improvement in infrabony defects, demonstrating long-term improvement
is possible with nonsurgical periodontal treatment provided that smoking is not
present and the patient is included in a strict SPT.
PMID- 29641624
TI - Allogeneic Bone Block Volume Preservation in Ridge Augmentation for Implants.
AB - Allogeneic bone blocks are commonly used for bone augmentation in implant
dentistry. Allogeneic bone blocks have the advantage of containing growth factors
and the original human scaffold. A major disadvantage is the risk of substantial
graft volume decrease due to resorption. The purpose of this study was to
evaluate whether corticocancellous allogeneic bone blocks can predictably
maintain graft volume to allow implant placement and esthetic restoration. A
total of 141 allogeneic bone blocks were placed in 117 patients, allowing for 183
implants. The implant success rate was 96.7% after 6 to 96 months of follow-up.
PMID- 29641626
TI - Clinical and CBCT Evaluation of Combined Periodontal Regenerative Therapies Using
Enamel Matrix Derivative and Deproteinized Bovine Bone Mineral With or Without
Collagen Membrane.
AB - Use of collagen membrane (CM) with deproteinized bovine bone mineral (DBBM) and
enamel matrix derivative (EMD) in periodontal regenerative therapy was evaluated.
A total of 40 intrabony defects in periodontitis patients were treated. Clinical
parameters and filled bone volume (FBV) and rate (FBR) were assessed. Probing
pocket depth (PPD) was reduced significantly at 12 months with CM treatment,
while clinical attachment level (CAL), FBV, and FBR showed similar improvements.
In stratified analyses, CM-treated thick-biotype patients showed significant
improvement in PPD and CAL. Regenerative therapy with the use of EMD and DBBM
showed similar improvements in periodontal tissue regeneration with or without
CM. The combination with CM appeared to influence the healing of soft tissue and
was effective in decreasing pocket depth.
PMID- 29641625
TI - The Conometric Concept: A 5-Year Follow-up of Fixed Partial Monolithic Zirconia
Restorations Supported by Cone-in-Cone Abutments.
AB - The use of the cone-in-cone connection to support definitive restorations was
previously evaluated in cases involving full-acrylic resin or hybrid acrylic
resin composite prostheses. The aim of this study was to evaluate the performance
of definitive fixed partial prostheses made with monolithic zirconia and
supported by cone-in-cone abutments and integrated implants. Implants were placed
into healed sites and fresh extraction sockets. The prostheses were placed in the
posterior regions of partially edentulous patients after healing periods of 3
months. A total of 76 patients received fixed monolithic zirconia restorations
splinted with cone-in-cone connections to two implants that were followed up
yearly for 5 years. At each follow-up visit, peri-implant bone levels and pocket
depths were recorded. Esthetic, functional, and biologic United States Public
Health Services parameters modified by the World Dental Federation study design
were assessed at the last follow-up appointments. The opposing dentition was
categorized by type of restoration and supporting structure at the time of
placement of the definitive zirconia partial restoration and at the 5-year follow
up. The treatment achieved an 88.2% success rate and a 97.4% survival rate at the
5-year follow-up. None of the prostheses became loose or detached. One fixed
prosthesis (0.76%) fractured 41 months after placement. No significant difference
involving peri-implant bone and probing levels between the experimental times was
found. The results of this research indicated that abutment-prosthesis cone-in
cone connections were successful within the 5-year study period.
PMID- 29641627
TI - Morphometric Variation in Maxillary Central Incisors and Its Influence on
Gingival Characteristics: A Preliminary Epidemiologic Study in an Indian
Population.
AB - The presence of interdental papilla between the maxillary central incisors has a
considerable influence on harmonizing esthetics and biologic functions.
Preliminary investigations indicate an association between tooth shapes and
periodontal characteristics. This study aimed to evaluate the prevalence of
morphologic variation in maxillary central incisors and its influence on gingival
characteristics. It was determined that varied crown forms have a definite
influence on gingival characteristics, which could act as a valuable guideline in
periodontal, restorative, and anterior implant placement procedures.
PMID- 29641628
TI - Marginal Fit of All-Ceramic Crowns Before and After Cementation: An In Vitro
Study.
AB - The marginal integrity of all-ceramic crowns for anterior and posterior teeth
were evaluated. A total of 60 ceramic crowns (In-Ceram Alumina, IPS e.max Press,
and Lava) were fabricated for the epoxy resin maxillary first central incisor and
first molar and divided into three groups (n = 20). The crowns were measured at
the facial, lingual, mesial, and distal finish lines (52 points) before and after
cementation using scanning electron microscopy. The results were analyzed using
one-way analysis of variance, paired samples t test, and independent t test. The
mean values of marginal gaps were within acceptable clinical limits before and
after cementation. The values of the anterior crowns at the buccal finish line
were higher than those at the proximal finish line areas (P < .05). However,
these were insignificant at four measurement areas for posterior crowns (P >
.05). Marginal gaps of crowns at the anterior teeth were higher than at the
posterior teeth for all groups.
PMID- 29641629
TI - Color Compatibility of Gingival Shade Guides and Gingiva-Colored Dental Materials
with Healthy Human Gingiva.
AB - The CIELab and CIEDE2000 coverage error (DeltaE*COV and DeltaE'COV, respectively)
of basic shades of different gingival shade guides and gingiva-colored
restorative dental materials (n = 5) was calculated as compared to a previously
compiled database on healthy human gingiva. Data were analyzed using analysis of
variance with Tukey-Kramer multiple-comparison test (P < .05). A 50:50%
acceptability threshold of 4.6 for DeltaE* and 4.1 for DeltaE' was used to
interpret the results. DeltaE*COV / DeltaE'COV ranged from 4.4/3.5 to 8.6/6.9.
The majority of gingival shade guides and gingiva-colored restorative materials
exhibited statistically significant coverage errors above the 50:50%
acceptability threshold and uneven shade distribution.
PMID- 29641630
TI - Laser De-epithelialization of Autogenous Gingival Graft for Root Coverage and
Soft Tissue Augmentation Procedures.
AB - The purpose of this case report was to introduce a novel technique for de
epithelializing autogenous gingival graft that can be combined with coronally
advanced flap for root coverage and soft tissue augmentation. This technique
allows for easier, predictable harvesting of connective tissue of excellent
quality and quantity without inclusion of undesirable submucosa or adipose
tissues. Reduced surgical chair time and double protection of the donor site
using the combination of cyanoacrylate and periodontal dressing allowed for
uneventful postoperative healing.
PMID- 29641631
TI - The Effect of Primary Stabilization of the Graft in a Combined Surgical and
Prosthodontic Ridge Preservation Protocol: A Prospective Controlled Clinical
Pilot Study.
AB - The objective of this study was to evaluate the influence of a modified surgical
and prosthodontic ridge preservation protocol with direct insertion of a
temporary but fixed reconstruction at the time of extraction. After atraumatic
extraction and filling of the socket with an in situ-hardening graft material to
fortify the outline position of the marginal rim of the former socket, the change
in buccal volume was documented with an analog impression and digital scanning
and processing workflow of the data. Changes in the soft tissue profile were
calculated as the difference between the digitized surface on the day of
extraction and at the 6-month follow-up. The results indicate that in the group
with stabilization of the graft material with a fixed temporary appliance, the
amount of buccal volume loss was reduced to -1.06 mm (95% confidence interval =
1.8 to -0.29) in comparison to the group without temporary reconstruction for
sites in the mandible (-2.09 mm), and for the group in the maxilla without
temporary reconstruction (-2.17 mm). The difference was statistically significant
(P = .0059). Using a single-stage approach while simultaneously reconstructing
the esthetic appearance of the patient with a fixed temporary appliance, the
described modification of current ridge preservation techniques is able to reduce
buccal volume loss after extraction. This might aid the final esthetic
rehabilitation of a visible site after extraction by means of a conventional or
implant-based fixed reconstruction.
PMID- 29641632
TI - Vertical 3D Bone Reconstruction with Simultaneous Implantation: A Case Series
Report.
AB - Tooth loss generally leads to a corresponding loss of supporting bone structures,
jeopardizing correct implant placement. Bone augmentation procedures facilitate
reconstruction of the alveolar contours but lengthen treatment time by about 4 to
9 months. The aim of this case series report is to describe the short-term
results of the combination of three-dimensional bone augmentation using the shell
technique in conjunction with simultaneous implantation. A total of 10 patients
who underwent autologous bone augmentation using the shell technique with
simultaneous implantation were retrospectively examined. The shell technique is
an augmentation procedure using thin cortical bone plates adapted to the buccal
and oral walls of the defect to rebuild the contours of the alveolar ridge. The
remaining spaces are filled with bone chips. Healing time before second stage
surgery was 4 months. The vertical bone defect at the beginning (VD), the height
of the vertical bone graft, resorption at the time of second-stage surgery (BR1)
and 1 year after prosthetic rehabilitation (BR2), the total resorption between
augmentation and 1 year (BRtot), and the vertical bone loss of the implant (VBL)
were measured. VD was 3.1 mm. Values for BR1 and BR2 were 0.4 and 0.45 mm,
respectively, resulting in a total bone loss of 0.85 mm of bone loss (BRtot). VBL
was 0.45 mm 1 year after prosthetic rehabilitation. The simultaneous approach of
vertical bone augmentation in the shell technique and implantation shows
excellent results in bone reconstruction and stability up to 1 year after
prosthetic reconstruction and can shorten treatment time by 4 to 9 months.
PMID- 29641633
TI - Three-Dimensional Bone Augmentation and Immediate Implant Placement via
Transcrestal Sinus Lift: 8-Year Clinical Outcomes.
AB - When the edentulous posterior maxilla shows severe atrophy (Cawood and Howell
Class V to VI), the traditional approach requires at least two surgical
procedures. The first is a sinus lift (alone or with guided bone regeneration),
and the second is to position implants. This article illustrates a technique that
allows three-dimensional reconstruction of the sinus, placing an allogenous fresh
bone block and simultaneous implant positioning using a computer-guided implant
surgery.
PMID- 29641634
TI - Microchemical and Micromorphologic ESEM-EDX Analysis of Bone Mineralization at
the Thread Interface in Human Dental Implants Retrieved for Mechanical
Complications After 2 Months to 17 Years.
AB - The aim of this study was to analyze the degree of mineralization around nine
clinically stable titanium dental implants retrieved after 2 months to 17 years
for mechanical complications from five patients. The micromorphology and
microchemistry of the interface bone at the coronal and apical sides of the
threads were analyzed by environmental scanning electron microscope and energy
dispersive X-ray spectroscopy (EDX) on histologic samples. Mineralization was
investigated by atomic calcium-to-nitrogen (Ca/N), phosphorous-to-nitrogen (P/N),
and calcium-to-phosphorous (Ca/P) ratio evaluation (statistical analysis by two
way analysis of variance with Student-Newman-Keuls; P < .05). EDX showed higher
Ca/N, P/N, and Ca/P values for the bone at the coronal side compared to the
apical side of the threads in the long-term (>= 14 years) samples. The two most
significant findings were that (1) the interface bone located at the coronal side
of the implant threads was generally more mineralized than the interface bone
located at the apical side, and (2) the mineralization of the peri-implant bone
at the interface increased over time. A higher degree of mineralization was found
at 2 months in an immediately loaded implant when compared to the 2-month
submerged unloaded control, likely related to the different remodeling events
(coronal vs apical side of the implant threads) due to the direction of the
loading forces.
PMID- 29641635
TI - Extended Maxillary Sinus Augmentation to the Apical Area of the Neighboring
Teeth: Advantages and Limitations.
AB - The extension of sinus floor augmentation beyond the edentulous area, apical to
the adjacent teeth, has many therapeutic advantages, but the reliability and
safety of the procedure has not been assessed in depth. The present study
compares the gain of bone anterior and posterior to the edentulous area and
evaluates potential advantages and limitations in the clinical setting. The
maximum vertical bone height in the edentulous and extended maxillary sinus
augmentation (EMSA) areas and the thickness of the sinus membrane of 65 patients
were measured. Those measurements were analyzed using the t test and Pearson
correlations. The average vertical bone gain was 11.98 +/- 3.53 mm in the
edentulous sinus area and 8.60 +/- 3.89 mm in the EMSA area (P < .05). Minor
perforations of the sinus membrane occurred in 4 patients. There were no
postsurgical graft contaminations or periradicular changes during follow-up. EMSA
is a reliable and safe procedure with a very low complication rate. This approach
is effective and safe for patients who have lost part of their posterior
dentition. It enables future implant placement while avoiding the need for sinus
reentry and proximal teeth extraction.
PMID- 29641636
TI - Rapid assessment of the orchid bee fauna (Hymenoptera: Apidae: Euglossini) in the
vicinity of an urban Atlantic Forest remnant in Sao Paulo, Brazil.
PMID- 29641637
TI - Edaphic fauna in a vegetation gradient in the Sete Cidades National Park.
AB - The vegetation physionomy and cover can show patterns of diversity and
composition of the edaphic community, depending on the quantity and quality of
litter in a specific habitat. The objective of this study was to evaluate the
effect of the vegetation gradient formed by Graminoid Field (GRF), Cerrado Sensu
Stricto (CSS), Cerradao (CRD) and Semideciduous Seasonal Forest (SSF) on density,
diversity and composition of the edaphic fauna community in two seasons of the
year, in the Sete Cidades National Park (Piaui state). For fauna sampling, a
total of eight pitfall traps, distanced 10 m, were placed in each area in the
central part of each system, where they remained for seven days. In the wet
period, there was a tendency to increase the number of individuals as a function
of the complexity of the vegetation formation, with the inverse occurring in the
dry period. It was verified an environmental variation of the climatic factors
temperature and humidity according to the vegetal formation, contributing to a
heterogeneous distribution of the fauna. The GRF formation presented a
significantly lower value of average richness only in the dry period. Regarding
the variables of diversity and uniformity, they did not show drastic variations
in relation to the vegetation gradient studied. The dominant groups in the
vegetation gradient were Formicidae, Coleoptera, Aranae, Acari and Collembola,
with reduction of the number of Coleoptera in the dry season. Principal component
analysis (PCA) revealed greater differences in the composition of the communities
between the vegetation formations for the rainy season. At this time, the
formations SSF and CRD were associated to a greater diversity of invertebrates
than CSS and GRF, demonstrating the influence of the vegetation complexity on the
soil fauna community.
PMID- 29641638
TI - Development and reprotuction of Spodoptera eridania on natural hosts and
artificial diet.
AB - The development and reproductive potential of Spodoptera eridania (Stoll, 1782)
(Lepidoptera, Noctuidae) was compared on natural and artificial diets. Its
biology was determined under laboratory conditions, providing an artificial diet,
leaves of white clover and the peach cultivar 'Chimarrita' and fruits and leaves
of the apple cultivar 'Gala'. Larvae of S. eridania could not complete their
biological cycle when fed on apple fruits or peach leaves. The artificial diet
provided the shortest development time, with a cycle of 28.5+/-0.14 days from egg
to adult and 37.3% total viability. Apple leaves led to a longer development time
from egg to adult (62.8+/-1.22 days) and lower total viability (23%) and white
clover provided the highest total viability (54.3%). The results showed that S.
eridania can use white clover and apple leaves to develop normally in apple
orchards. The reduction of food sources such as white clover used by the larvae
results in infestation and damage to fruits from "test bites".
PMID- 29641640
TI - Horizontal Bone Reconstruction on sites with different amounts of native bone: a
retrospective study.
AB - The lack of guidelines for bone augmentation procedures might compromise decision
making in implantology. The objective of this study was to perform a
retrospective study to verify the outcomes of horizontal bone reconstruction in
implant dentistry with different types of materials and amounts of native bone in
the recipient bed to allow for a new guideline for horizontal bone
reconstruction. One hundred preoperative CT scans were retrospectively evaluated
and categorized in accordance to horizontal bone defects as presence (Group P) or
absence (Group A) of cancellous bone in the recipient bed. Different approaches
were used to treat the edentulous ridge and the outcomes were defined either as
satisfactory or unsatisfactory regarding the possibility of implant placement.
The percentage distribution of the patients according to the presence or absence
of cancellous bone was 92% for Group P and 8% for Group A. In Group P, 98% of the
patients had satisfactory outcomes, and the use of autografts had 100% of
satisfactory outcomes in this group. In Group A, 37.5% of the patients had
satisfactory outcomes, and the use of autografts also yielded 100% of
satisfactory outcomes. The use of allografts and xenografts in Group A had 0% and
33.3% of satisfactory outcomes, respectively. Therefore, it seems reasonable to
speculate that the presence of cancellous bone might be predictive and
predictable when the decision includes bone substitutes. In cases of absence of
cancellous bone in the recipient bed, the use of a vitalized graft seems to be
mandatory.
PMID- 29641641
TI - Risk factors and comorbidities in Brazilian patients with orofacial clefts.
AB - Considering that environmental risk factors substantially contribute to the
etiology of orofacial clefts and that knowledge about the characteristics and
comorbidities associated with oral clefts is fundamental to promoting better
quality of life, this study aimed to describe the risk factors, main
characteristics, and comorbidities of a group of patients with cleft lip and/or
cleft palate (CL/P) from Rio Grande do Norte (RN), Brazil. Data were obtained
from 173 patients with CL/P using a form from the Brazilian database on Orofacial
Clefts. Most patients were male with cleft lip and palate and had a normal size
and weight at birth; presented few neonatal intercurrent events; and had anemia
and respiratory and cardiovascular diseases as main associated comorbidities.
They also required timely surgical rehabilitation and multidisciplinary care to
stimulate their neuropsychomotor development. In addition, a high frequency of
familial recurrence and of parental consanguinity was evidenced in the studied
population, especially for the cleft lip and cleft palate type. Other relevant
findings were the considerable maternal exposure to alcohol, infections, smoking,
and hypertension, as well as low supplementation with vitamins and minerals and
deliberate consumption of analgesics, antibiotics, and antihypertensives during
pregnancy. Characterization of the CL/P patient profile is essential for the
planning of health services and integration among the health professionals
involved in the diagnosis and treatment of these malformations. Our results
reinforce the need for additional research to confirm the association between
environmental factors and the development of orofacial clefts.
PMID- 29641642
TI - Bond strength between a polymer-infiltrated ceramic network and a composite for
repair: effect of several ceramic surface treatments.
AB - The effects of several ceramic surface treatments on bond strength of a polymer
infiltrated ceramic network and resin composite as repair material were
evaluated. CAD-CAM blocks of a polymer-infiltrated ceramic network (Vita Enamic)
were sliced and subjected to aging process, followed by embedding in acrylic
resin. The bonding/repair area was treated as follows (n = 30): C- without
treatment; UA- universal adhesive application; FM- 10% hydrofluoric acid and
silane application; OM-airborne-particle abrasion with aluminum oxide and silane
application; RP- tribochemical silica coating; and CA- surface grinding and
application of universal adhesive. Composite resin cylinders were made on the
treated surface. Specimens from each group were assigned randomly to two
subgroups (n = 15) considering storage condition: Baseline (shear tests after 48
hours) or Storage (tests after 6 months under distilled water). The treated
surfaces were analyzed by goniometry, roughness, and SEM. Two-way ANOVA and 1-way
ANOVA were applied to analyze the bond data and roughness / contact angle data,
respectively, followed by Tukey's test (alpha = 5%). Surface treatments and
storage conditions affected bond strengths (p < 0.01). Surface grinding (CA)
followed by universal adhesive promoted the highest value of bond strength (14.5
+/- 4.8 MPa for baseline, 8.5 +/- 3.4 MPa for storage) and the roughest ceramic
surface. Grinding with silicon carbide paper (simulating diamond bur) followed by
the application of a universal adhesive system is the best option for repairing
fractures of the polymer-infiltrated ceramic network.
PMID- 29641639
TI - The status of cryptococcosis in Latin America.
AB - Cryptococcosis is a life-threatening fungal infection caused by the encapsulated
yeasts Cryptococcus neoformans and C. gattii, acquired from the environment. In
Latin America, as occurring worldwide, C. neoformans causes more than 90% of the
cases of cryptococcosis, affecting predominantly patients with HIV, while C.
gattii generally affects otherwise healthy individuals. In this region,
cryptococcal meningitis is the most common presentation, with amphotericin B and
fluconazole being the antifungal drugs of choice. Avian droppings are the
predominant environmental reservoir of C. neoformans, while C. gattii is
associated with several arboreal species. Importantly, C. gattii has a high
prevalence in Latin America and has been proposed to be the likely origin of some
C. gattii populations in North America. Thus, in the recent years, significant
progress has been made with the study of the basic biology and laboratory
identification of cryptococcal strains, in understanding their ecology,
population genetics, host-pathogen interactions, and the clinical epidemiology of
this important mycosis in Latin America.
PMID- 29641643
TI - Is the fluoride intake by diet and toothpaste in children living in tropical semi
arid city safe?
AB - Data about total fluoride intake in children living in a tropical semi-arid
climate city is scarce, thus we conducted this study. Fifty-eight children aged
two to five years, living in a Brazilian tropical city with optimally fluoridated
water were selected. Dietary samples were collected using the duplicate diet
method on two non-consecutive days in the children's home toothpaste was
determined by subtracting the amount of fluoride recovered after brushing from
the amount placed on the toothbrush. The mean total dose (SD) of fluoride intake
was 0.043(0.016) mg F.kg-1.d-1, with the major (60.6%) contribution from water.
The factors associated with the ingestion of fluoride from toothpaste were
fluoride concentration of the toothpaste (p = 0.03) and the use of kids
toothpaste (p = 0.02). The findings suggest that children have a low fluoride
intake, measured by at-home meals and use of fluoride toothpaste; drinking water
is the main source of fluoride ingestion.
PMID- 29641644
TI - Reactive oxygen species generation mediated by NADPH oxidase and PI3K/Akt
pathways contribute to invasion of Streptococcus agalactiae in human endothelial
cells.
AB - BACKGROUND Streptococcus agalactiae can causes sepsis, pneumonia, and meningitis
in neonates, the elderly, and immunocompromised patients. Although the virulence
properties of S. agalactiae have been partially elucidated, the molecular
mechanisms related to reactive oxygen species (ROS) generation in infected human
endothelial cells need further investigation. OBJECTIVES This study aimed to
evaluate the influence of oxidative stress in human umbilical vein endothelial
cells (HUVECs) during S. agalactiae infection. METHODS ROS production during S.
agalactiae-HUVEC infection was detected using the probe CM-H2DCFDA.
Microfilaments labelled with phalloidin-FITC and p47phox-Alexa 546 conjugated
were analysed by immunofluorescence. mRNA levels of p47phox (NADPH oxidase
subunit) were assessed using Real Time qRT-PCR. The adherence and intracellular
viability of S. agalactiae in HUVECs with or without pre-treatment of DPI,
apocynin (NADPH oxidase inhibitors), and LY294002 (PI3K inhibitor) were evaluated
by penicillin/gentamicin exclusion. Phosphorylation of p47phox and Akt activation
by S. agalactiae were evaluated by immunoblotting analysis. FINDINGS Data showed
increased ROS production 15 min after HUVEC infection. Real-Time qRT-PCR and
western blotting performed in HUVEC infected with S. agalactiae detected
alterations in mRNA levels and activation of p47phox. Pre-treatment of
endothelial cells with NADPH oxidase (DPI and apocynin) and PI3K/Akt pathway
(LY294002) inhibitors reduced ROS production, bacterial intracellular viability,
and generation of actin stress fibres in HUVECs infected with S. agalactiae.
CONCLUSIONS ROS generation via the NADPH oxidase pathway contributes to invasion
of S. agalactiae in human endothelial cells accompanied by cytoskeletal
reorganisation through the PI3K/Akt pathway, which provides novel evidence for
the involvement of oxidative stress in S. agalactiae pathogenesis.
PMID- 29641645
TI - Association between Microvolt T-Wave Alternans and Malignant Ventricular
Arrhythmias in Chagas Disease.
AB - BACKGROUND: Sudden cardiac death is the most frequent death mechanism in Chagas
disease, responsible for 55% to 65% of the deaths of patients with chronic Chagas
cardiomyopathy (CCC). The most often involved electrophysiological mechanisms are
ventricular tachycardia and ventricular fibrillation. The implantable
cardioverter defibrillator (ICD) has a beneficial role in preventing sudden death
due to malignant ventricular arrhythmias, and, thus the correct identification of
patients at risk is required. The association of microvolt T-wave alternans
(MTWA) with the appearance of ventricular arrhythmias has been assessed in
different heart diseases. The role of MTWA is mostly unknown in patients with
CCC. OBJECTIVES: To evaluate the association between MTWA and the occurrence of
malignant ventricular arrhythmias in patients with CCC. METHOD: This is a case
control study including patients with CCC and ICD, with history of malignant
ventricular arrhythmias (case group), and patients with CCC and no history of
those arrhythmias (control group). The MTWA test results were classified as
negative and non-negative (positive and indeterminate). The significance level
adopted was a = 0.05. RESULTS: We recruited 96 patients, 45 cases (46.8%) and 51
controls (53.1%). The MTWA test was non-negative in 36/45 cases (80%) and 15/51
controls (29.4%) [OR = 9.60 (95%CI: 3.41 - 27.93)]. After adjustment for known
confounding factors in a logistic regression model, the non-negative result
continued to be associated with malignant ventricular arrhythmias [OR = 5.17
(95%CI: 1.05 - 25.51)]. CONCLUSION: Patients with CCC and history of malignant
ventricular arrhythmias more often have a non-negative MTWA test as compared to
patients with no history of arrhythmia.
PMID- 29641646
TI - Frequency of Subclinical Atherosclerosis in Brazilian HIV-Infected Patients.
AB - BACKGROUND: AIDS as well as atherosclerosis are important public health problems.
The longer survival among HIV-infected is associated with increased number of
cardiovascular events in this population, and this association is not fully
understood. OBJECTIVES: To identify the frequency of subclinical atherosclerosis
in HIV-infected patients compared to control subjects; to analyze associations
between atherosclerosis and clinical and laboratory variables, cardiovascular
risk factors, and the Framingham coronary heart disease risk score (FCRS).
METHODS: Prospective cross-sectional case-control study assessing the presence of
subclinical atherosclerosis in 264 HIV-infected patients and 279 controls.
Clinical evaluation included ultrasound examination of the carotid arteries,
arterial stiffness by pulse wave velocity (PWV) and augmentation index (AIx),
laboratory analysis of peripheral blood, and cardiovascular risk according to
FCRS criteria. The significance level adopted in the statistical analysis was p <
0.05. RESULTS: Plaques were found in 37% of the HIV group and 4% of controls (p <
0.001). Furthermore, carotid intima-media thickness was higher in the HIV group
than in controls (p < 0.001). Patients with carotid plaque had higher fasting
glucose, total cholesterol, low-density lipoprotein cholesterol, and
triglycerides than those without plaques. The presence of HIV, adjusted for age,
overweight/obesity, and smoking increased by almost fivefold the risk of
atherosclerotic carotid plaque (OR: 4.9; 95%CI: 2.5-9.9; p < 0.001). Exposure to
protease inhibitors did not influence carotid intima-media thickness, was not
associated with carotid plaque frequency, and did not alter the mechanical
characteristics of the arterial system (PWV and AIx). CONCLUSIONS: HIV-infected
patients are at increased risk of atherosclerosis in association with classical
cardiovascular risk factors. Treatment with protease inhibitors does not promote
functional changes in the arteries, and shows no association with increased
frequency of atherosclerotic plaques in carotid arteries. The FCRS may be
inappropriate for this population.
PMID- 29641647
TI - Translation and cross-cultural adaptation into Brazilian Portuguese of the Mood
and Feelings Questionnaire (MFQ) - Long Version.
AB - Introduction Major depressive disorder (MDD) is prevalent among young people,
with a high incidence during adolescence. It is, therefore, important to have
reliable instruments to capture the construct of depression in this population.
The objective of the present work is to describe the process of translation and
cultural adaptation of the Mood and Feelings Questionnaire (MFQ) - Long Version,
into Brazilian Portuguese. Method We followed the International Society for
Pharmacoeconomics and Outcomes Research (ISPOR) guidelines for translation and
cultural adaptation, including the steps of preparation, forward translation,
reconciliation, back-translation, back-translation review, harmonization,
cognitive debriefing, review of cognitive debriefing results and finalization,
proofreading and final report. Cognitive debriefing was conducted in a sample of
adolescent patients and their respective caregivers at mental health clinics
affiliated with the Brazilian public health system. Results Results suggest that
the items were well understood and that the MFQ seems to be an appropriate
instrument for use with Brazilian adolescents and caregivers. Conclusions The
Brazilian Portuguese MFQ - Long Version constitutes an adequate tool for the
assessment of depression among adolescents. Future studies are required to
evaluate psychometric properties of the instrument.
PMID- 29641648
TI - Early trauma, attachment experiences and comorbidities in schizophrenia.
AB - OBJECTIVE: To evaluate attachment patterns in subjects with schizophrenia and
their relationships to early traumatic events, psychotic symptoms and
comorbidities. METHODS: Twenty patients diagnosed with schizophrenia according to
criteria from the Diagnostic and Statistical Manual of Mental Disorders, 5th
edition (DSM-5) underwent retrospective symptom assessment and careful assessment
of the number and manner of childhood caregiver changes. The Diagnostic Interview
for Psychosis and Affective Disorders (DI-PAD) was used to assess symptoms
related to schizophrenia (positive and negative symptoms), depression and mania.
Anxiety disorder comorbidities were assessed by the Liebowitz Social Anxiety
Scale (LSAS), Yale-Brown Obsessions and Compulsions Scale (Y-BOCS) and Panic and
Schizophrenia Interview (PaSI). Experience in Close Relationships - Relationship
Structures (ECR-RS) and Early Trauma Inventory Self Report-Short Form (ETISR-SF)
were used to assess attachment patterns and traumatic history, respectively.
RESULTS: Moderate and significant correlations between attachment patterns and
early trauma showed that greater severity of anxious attachment was predicted by
a higher frequency of total early traumas (Spearman rho = 0.446, p = 0.04),
mainly general traumas (rho = 0.526, p = 0.017; including parental illness and
separation, as well as natural disaster and serious accidents). Among the
correlations between early trauma and comorbid symptoms, panic attacks occurring
before the onset of schizophrenia showed significant and positive correlations
with ETISR-SF total scores and the sexual trauma subscale. CONCLUSION: Children
with an unstable early emotional life are more vulnerable to the development of
psychopathology, such as panic anxiety symptoms. Traumatic events may also
predict later schizophrenia.
PMID- 29641649
TI - Borderline personality disorder: an adaptation of the Taiwan short version of the
screening inventory into Brazilian Portuguese.
AB - Objective The current study presents the translation and adaptation of the 20
item Taiwan version of the Borderline Personality Inventory (BPI) into Brazilian
Portuguese (BPI-P). Methods After translation and back-translation, the Brazilian
Portuguese version was administered to three samples: patients with borderline
personality disorder, psychiatric patients with comorbid substance use disorder
and volunteers with no reported mental disorders. Results Significant differences
between groups for borderline scores (analysis of variance [ANOVA], F = 52.923, p
= 0.01) were found but there were no significant correlations between scores for
borderline personality disorder and alcohol or nicotine dependence. The BPI-P had
satisfactory validity for borderline personality disorder, even when anxiety and
depression were present, with an area under the receiver operating characteristic
curve of 0.931 at a cutoff point of 14. Conclusion This study provides support
for the potential utility of the BPI-P as a screening instrument for clinical
practice in Portuguese speaking countries, including outpatients with alcohol and
nicotine use disorders in early or sustained remission.
PMID- 29641650
TI - European Portuguese adaptation and validation of dilemmas used to assess moral
decision-making.
AB - Objective To adapt and validate a widely used set of moral dilemmas to European
Portuguese, which can be applied to assess decision-making. Moreover, the
classical formulation of the dilemmas was compared with a more focused moral
probe. Finally, a shorter version of the moral scenarios was tested. Methods The
Portuguese version of the set of moral dilemmas was tested in 53 individuals from
several regions of Portugal. In a second study, an alternative way of questioning
on moral dilemmas was tested in 41 participants. Finally, the shorter version of
the moral dilemmas was tested in 137 individuals. Results Results evidenced no
significant differences between English and Portuguese versions. Also, asking
whether actions are "morally acceptable" elicited less utilitarian responses than
the original question, although without reaching statistical significance.
Finally, all tested versions of moral dilemmas exhibited the same pattern of
responses, suggesting that the fundamental elements to the moral decision-making
were preserved. Conclusions We found evidence of cross-cultural validity for
moral dilemmas. However, the moral focus might affect utilitarian/deontological
judgments.
PMID- 29641651
TI - Association between race/skin color and premature birth: a systematic review with
meta-analysis.
AB - OBJECTIVE: To analyze the association between race/skin color and the occurrence
of prematurity. METHODS: Meta-analysis with observational studies, selected by a
systematic review in the bibliographic databases Medline and Biblioteca Virtual
da Saude with the descriptors: "Race or ethnic group" and "ethnicity and health"
associated with the words "infant premature" and "obstetric labor premature".
Articles published in the period from 2010 to 2014, of the observational
epidemiological type, in Portuguese, English and Spanish, were included. Articles
that did not have abstracts or that were review articles, theses, dissertations,
and editorials were excluded. We adopted the relative risk and their respective
confidence intervals (95%CI) as measures of effect, obtained through the random
effect model and represented by the forest plot type graph. The Egger test and
the Newcastle-Ottawa scale, respectively, were used to analyze possible
publication biases and the quality of the studies. RESULTS: Of the 926 articles
identified, 17 were eligible for the study. Of the 17 full texts published, seven
were retrospective cohort studies, nine were cross-sectional studies, and one was
a case-control study. Except for one study, the others reported a positive
association between race/color of skin and prematurity. Compared with full-term
newborns, the relative risk of the combined effect in those born preterm was 1.51
(95%CI 1.39-1.69). The funnel chart suggested publication bias. CONCLUSIONS: The
present meta-analysis indicated a positive association for the risk of
prematurity according to race/skin color.
PMID- 29641652
TI - Estimated cost of asthma in outpatient treatment: a real-world study.
AB - OBJECTIVE: To estimate the cost of diagnosis and treatment of asthma. METHODS: We
used the perspective of society. We sequentially included for 12 months, in 2011
2012, 117 individuals over five years of age who were treated for asthma in the
Pneumology and Allergy-Immunology Services of the Piquet Carneiro Polyclinic,
Universidade do Estado do Rio de Janeiro. All of them were interviewed twice with
a six-month interval for data collection, covering 12 months. The cost units were
identified and valued according to defined methods. We carried out a sensitivity
analysis and applied statistical methods with a significance level of 5% for cost
comparisons between subgroups. RESULTS: The study consisted of 108 patients, and
73.8% of them were women. Median age was 49.5 years. Rhinitis was present in
83.3% of the individuals, and more than half were overweight or obese. Mean
family income was U$915.90/month (SD = 879.12). Most workers and students had
absenteeism related to asthma. Total annual mean cost was U$1,291.20/patient (SD
= 1,298.57). The cost related to isolated asthma was U$1,155.43/patient-year (SD
= 1,305.58). Obese, severe, and uncontrolled asthmatic patients had higher costs
than non-obese, non-severe, and controlled asthmatics, respectively. Severity and
control level were independently associated with higher cost (p = 0.001 and
0.000, respectively). The direct cost accounted for 82.3% of the estimated total
cost. The cost of medications for asthma accounted for 62.2% of the direct costs
of asthma. CONCLUSIONS: Asthma medications, environmental control measures, and
long-term health leaves had the greatest potential impact on total cost
variation. The results are an estimate of the cost of treating asthma at a
secondary level in the Brazilian Unified Health System, assuming that the
treatment used represents the ideal approach to the disease.
PMID- 29641653
TI - Caries and fluoridated water in two Brazilian municipalities with low prevalence
of the disease.
AB - OBJECTIVE: To analyze the association between exposure to fluoridated water and
dental caries in a context of widespread use of fluoride toothpaste in Brazil, in
a scenario of low prevalence of the disease. METHODS: This is a cross-sectional
observational study, of the census type, in the form of a double population-based
epidemiological survey carried out in two municipalities of the state of Sao
Paulo in 2014. The sample consisted of adolescents aged 11 and 12 years, exposed
(n = 184) or not exposed (n = 128) to fluoridated water for at least five years.
The populations studied lived in communities of the same geographic region and
had small demographic size and similar socioeconomic classification, differing
only in the exposure (Silveiras) or not exposure (Sao Jose do Barreiro) to
fluoridated water. The experience, magnitude, and degree of polarization of
dental caries in these populations were analyzed using the DMFT and SiC indexes,
and the association was tested using Pearson's chi-square statistics and
prevalence ratio between those not exposed and those exposed to fluoridated
water. RESULTS: Although caries experience (DMFT >= 1) was not associated with
exposure to fluoridated water (chi-square = 1.78; p = 0.18; alpha = 5%), a
significant difference was observed in the magnitude with which the disease
reached the population: the means of DMFT were 1.76 in those exposed and 2.60 in
those not exposed and the means of SiC were 4.04 and 6.16, respectively. The
degree of polarization, indicated by the percentage of subjects with DMFT = 0,
was different, being it higher (41.8%) in subjects exposed and lower (34.3%) in
subjects not exposed. The prevalence ratio between those not exposed and those
exposed was 1.13, indicating little expressiveness in prevalence difference.
CONCLUSIONS: Exposure to fluoridated water implied lower mean values for the DMFT
and SiC indexes, even in the presence of the concomitant exposure to fluoridated
toothpaste, in a scenario of low prevalence of the disease, and with a similar
pattern of caries distribution in the populations analyzed.
PMID- 29641654
TI - Individual and contextual factors associated to the self-perception of oral
health in Brazilian adults.
AB - OBJECTIVE: To analyze how individual characteristics and the social context,
together, are associated with self-perception of the oral health. METHODS: A
multilevel cross-sectional study with data from the Brazilian National Health
Survey 2013, the United Nations Development Program, and the National Registry of
Health Establishments. The explanatory variables for the "oral health perception"
outcome were grouped, according to the study framework, into biological
characteristics (sex, color, age), proximal social determinants (literacy,
household crowding, and socioeconomic stratification), and distal (years of
schooling expectancy at age 18, GINI, Human Development Index, and per capita
income). The described analysis was performed, along with bivariate Poisson
analysis and multilevel Poisson analysis for the construction of the explanatory
model of oral health perception. All analyzes considered the sample weights.
RESULTS: Both the biological characteristics and the proximal and distal social
determinants were associated with the perception of oral health in the bivariate
analysis. A higher prevalence of bad oral health was associated to lower years of
schooling expectancy (PR = 1.31), lower per capita income (PR = 1.45), higher
income concentration (PR = 1.41), and worse human development (PR = 1.45).
Inversely, oral health services in both primary and secondary care were
negatively associated with oral health perception. All the biological and
individual social characteristics, except reading and writing, made up the final
explanatory model along with the distal social determinants of the Human
Development Index and coverage of basic care in the multilevel analysis.
CONCLUSIONS: Biological factors, individual and contextual social determinants
were associate synergistically with the population's perception of oral health.
It is necessary to improve individual living conditions and the implementation of
public social policies to improve the oral health of the population.
PMID- 29641655
TI - Effect of dental pain and caries on the quality of life of Brazilian preschool
children.
AB - OBJECTIVE: To investigate the impact of dental pain on daily performances among
five-year-old Brazilian children. METHODS: The study used data of 7,280 five-year
old children participating in the 2010 Brazilian Oral Health Survey (SBBrasil
2010 Project). Children were clinically examined and their parents or carers were
interviewed at their homes. The outcome was the prevalence of the oral impacts on
daily performance, and the explanatory variable was dental pain in the last six
months. Other independent variables were children's gender and skin color/race,
family income, household overcrowding, and caries experience (dmft). Rao-Scott
test and Poisson regression for complex samples were carried out. RESULTS: The
prevalence of impacts on daily performances was 26.1% (95%CI 22.3-30.2).
Significant associations were found between the outcome and pain, caries
experience, and sociodemographic variables. After adjusting for the independent
variables, only pain and caries remained significant. Impacts on daily
performances were more frequent among children with pain (PR = 1.14, 95%CI 1.06
1.23) compared to those without pain. Children with low dmft (PR = 1.90, 95%CI
1.39-2.60) and those with high dmft (PR = 3.53, 95%CI 2.78-4.49) had a higher
prevalence of impact than those with no caries experience. CONCLUSIONS: Dental
pain and caries had strong negative impacts on the five-year-old children's daily
performances regardless of their demographic and socioeconomic characteristics.
PMID- 29641656
TI - Adherence to physical activity in adults with chronic diseases: ELSA-Brasil.
AB - OBJECTIVE: The objective of this study is to investigate the adherence and the
factors that influence adherence to physical activity in adults with
dyslipidemia, hypertension, or diabetes. METHODS: The analyses were based on data
collected at the baseline of the 14,521 participants from the study ELSA-Brasil
aged between 35 and 74 years. The level of leisure time physical activity was
determined using the International Physical Activity Questionnaire. Logistic
regression analyses were performed to examine the influence of the demographic
data, socioeconomic conditions, perceived health status, and access to exercise
facilities in the neighborhood on adherence to physical activity. RESULTS: Men
with hypertension and dyslipidemia were more active than women. The results show
that 17.8%, 15.1%, and 13.9% of the subjects who reported dyslipidemia,
hypertension, and diabetes, respectively, adhere to the physical activity
recommendations. The factors positively associated with adherence were higher
education and income. Older individuals who reported poor perceived health, were
overweight and obese, regularly smoked, and had fewer opportunities to exercise
in the neighborhood presented lower adherence. CONCLUSIONS: The number of adults
with dyslipidemia, hypertension, and diabetes who adhere to the physical activity
recommendations is very low. Higher education and income are positively
associated with adherence, while age, excess body weight, negative perceived
health, regular smoking, and lack of opportunity to exercise in the neighborhood
were considered barriers to physical activity.
PMID- 29641657
TI - Infant mortality trend in the city of Rio Branco, AC, 1999 to 2015.
AB - OBJECTIVE: Analyze the trend of infant mortality in Rio Branco, state of Acre,
from 1999 to 2015. METHODS: An ecological observational study of a time series,
in which data from deaths from the Information System on Mortality and Births of
the Information System on Live Births were used. The annual percentage change was
estimated using the Joinpoint software. RESULTS: The infant mortality rate
decreased from 26.99 in 1999 to 14.50 in 2015 per 1,000 live births, with an
annual percentage change of -4.37 (95%CI -5.4- -3.4). When stratified by age
components, the neonatal period presented an annual percentage change of -4.73
(95%CI -5.7- -3.7), and the post-neonatal period was -3.7 (95%CI -5.4- -2.0).
Avoidability, avoidable causes and poorly defined causes showed a downward trend
throughout the period and causes not clearly preventable showed an upward trend
until 2008. The group of causes that contributed most to the infant deaths during
the period studied was perinatal diseases, followed by malformations, infectious
and parasitic diseases, and respiratory diseases. CONCLUSIONS: Despite the
decreasing trend in infant mortality rates in the capital compared to developed
countries, it is relatively high.
PMID- 29641659
TI - Curative procedures of oral health and structural characteristics of primary
dental care.
AB - OBJECTIVE: To evaluate if the provision of clinical dental care, by means of the
main curative procedures recommended in Primary Health Care, is associated with
team structural characteristics, considering the presence of a minimum set of
equipment, instrument, and supplies in Brazil's primary health care services.
METHODS: A cross-sectional exploratory study based on data collected from 18,114
primary healthcare services with dental health teams in Brazil, in 2014. The
outcome was created from the confirmation of five clinical procedures performed
by the dentist, accounting for the presence of minimum equipment, instrument, and
supplies to carry them out. Covariables were related to structural
characteristics. Poisson regression with robust variance was used to obtain crude
and adjusted prevalence ratios, with 95% confidence intervals. RESULTS: A total
of 1,190 (6.5%) dental health teams did not present the minimum equipment to
provide clinical dental care and only 2,498 (14.8%) had all the instrument and
supplies needed and provided the five curative procedures assessed. There was a
positive association between the outcome and the composition of dental health
teams, higher workload, performing analysis of health condition, and monitoring
of oral health indicators. Additionally, the dental health teams that planned and
programmed oral health actions with the primary care team monthly provided the
procedures more frequently. Dentists with better employment status, career plans,
graduation in public health or those who underwent permanent education activities
provided the procedures more frequently. CONCLUSIONS: A relevant number of
Primary Health Care services did not have the infrastructure to provide clinical
dental care. However, better results were found in dental health teams with oral
health technicians, with higher workload and that plan their activities, as well
as in those that employed dentists with better working relationships, who had
dentists with degrees in public health and who underwent permanent education
activities.
PMID- 29641658
TI - Were policies in Brazil effective to reducing trans fat from industrial origin in
foods?
AB - OBJECTIVE: To determine the trans fatty acids content of processed foods
frequently consumed by adults living in a Rio de Janeiro, Brazil, after the
enactment of a mandatory trans fatty acids labelling policy. METHODS: Between
February 2014 and January 2015, a specifically dietary questionnaire was
completed by 107 adults to assess the frequency of processed foods consumption.
The most commonly consumed products from the survey, including vegetable oils,
margarine, biscuits, snacks, cheese bread (pao de queijo), french fries,
cheeseburger and ice cream, were then analyzed for their trans fatty acids
content using gas chromatography with a flame ionization detector. RESULTS:
Differences in the levels of trans fatty acids were observed among 22 products
analyzed, considering that trans fatty acids content ranged between 0.0 g/100 g
in samples of cream cracker biscuit 1 and olive oil to 0.83 g/100 g in samples of
cheeseburger (fast food), 0.51 g/100 g in samples of frozen pao de queijo and
12.92 g/100 g in samples of chocolate sandwich cookies with cream filling 2. The
overall trans fatty acids content of the different samples of margarine brands
was 0.20 g/100 g for brand 1 and 0.0 g/100 g for brand 2. These data are
significantly lower than those observed in a survey conducted in 2003, when the
regulation had been enacted. CONCLUSIONS: Our data indicate that Brazilian
regulation is very likely implicated in the observed drop in trans fatty acids of
the most processed foods but has yet to eliminate them, which reinforces the
urgent need to revise the legislation, since a minimum amount of trans fat does
not mean that the food product does not contain this type of fat.
PMID- 29641660
TI - Hospitalizations for cancer in international migrants versus local population in
Chile.
AB - OBJECTIVE: To compare cancer hospital morbidity among the local population and
the immigrant population in Chile. METHODS: This is a prevalence study based on
the analysis of hospital discharges of all the health centers of Chile. Cancer
hospital discharges were characterized in 2012 according to the migratory status.
The crude and specific rates of hospital morbidity for this cause were estimated
for the analysis of their association with migratory status using zero-inflated
negative binomial regression, adjusted for sociodemographic variables. RESULTS:
The neoplasms were the third cause of hospital discharges for immigrants and the
seventh one for Chileans. The adjusted rate of cancer hospital discharges was
higher for Chileans than immigrants, and the latter had fewer days of
hospitalization and greater proportion of surgical interventions. In the group of
immigrants, cancer hospital discharges mainly corresponded to patients belonging
to the private system (46%), and in the group of Chileans they mainly
corresponded to patients in the public system (71.1%). We observed a large
difference in the proportion of cancer hospital discharges for patients with no
health insurance between the two populations (22.6%: immigrants, 1.0%: Chileans).
In both populations, the three most frequent types of cancer were: (i) lymphoid
tissue, hematopoietic organs, and related tissues, (ii) digestive organs, and
(iii) breast cancer. CONCLUSIONS: Models of differentiated care should be
considered for immigrants, with the creation of specific programs of information,
coverage, and protection against cancer. More information on this problem must be
generated at the local and international level.
PMID- 29641662
TI - Associations among body composition, inflammatory profile and disease extent in
ulcerative colitis patients.
AB - OBJECTIVE: The aim of our study was to assess body composition status and its
association with inflammatory profile and extent of intestinal damage in
ulcerative colitis patients during clinical remission. METHOD: This is a cross
sectional study in which body composition data (phase angle [PhA], fat mass [FM],
triceps skin fold thickness [TSFt], mid-arm circumference [MAC], mid-arm muscle
circumference [MAMC], adductor pollicis muscle thickness [APMt]), inflammatory
profile (C-reactive protein [CRP], a1-acid glycoprotein, erythrocyte
sedimentation rate [ESR]) and disease extent were recorded. RESULTS: The mean age
of the 59 patients was 48.1 years; 53.3% were women. Most patients were in
clinical remission (94.9%) and 3.4% was malnourished according to body mass
index. PhA was inversely correlated with inflammatory markers such as CRP (R=
0.59; p<0.001) and ESR (R=-0.46; p<0.001) and directly correlated with lean mass:
MAMC (R=0.31; p=0.01) and APMt (R=0.47; p<0.001). Lean mass was inversely
correlated with non-specific inflammation marker (APMt vs. ESR) and directly
correlated with hemoglobin values (MAMC vs. hemoglobin). Logistic regression
analysis revealed that body cell mass was associated with disease extent (OR
0.92; 95CI 0.87-0.97; p<0.01). CONCLUSION: PhA was inversely correlated with
inflammatory markers and directly correlated with lean mass. Acute inflammatory
markers were correlated with disease extent. Body cell mass was associated with
disease extent.
PMID- 29641663
TI - The ORBITA trial: A point of view.
AB - Treatment of stable coronary artery disease (CAD) relies on improved prognosis
and relief of symptoms. National and international guidelines on CAD support the
indication for revascularization in patients with limiting symptoms and
refractory to drug treatment. Previous studies attested the efficacy of
angioplasty to improve angina as well as the functional capacity of patients with
symptomatic stable CAD. The ORBITA trial, recently published in an international
journal, showed no benefit in terms of exercise tolerance compared to a placebo
procedure in a population of single-vessel patients undergoing contemporary
percutaneous coronary intervention. In this point of view article, the authors
discuss the ORBITA trial regarding methodological issues, limitations and
clinical applicability.
PMID- 29641661
TI - Factors associated with maintenance of the use of internet, EpiFloripa Idoso
longitudinal study.
AB - OBJECTIVE: To describe the use of the internet and to identify the
sociodemographic and health factors associated with changes in the use of the
internet over four years in older adults participating in the Brazilian
EpiFloripa Idoso study. METHODS: This is a longitudinal home-based, population
based study with 1,197 older adults who live in the urban area of Florianopolis,
State of Santa Catarina, Brazil. We applied a face-to-face interview. We describe
the use of internet according to frequency, place, devices, and motives of the
use of the internet. To identify factors associated with changes in the use of
the internet, we categorized the outcome as: non-use of the internet, stopped
using the internet, started using the internet, and kept using the internet. The
independent variables were sex, age, family income, education level, family
arrangement, marital status, presence of caregiver, paid work, and cognitive
impairment screening. We used multinomial logistic regression with risk ratio
(RR) estimates and their respective confidence intervals (95%CI). RESULTS: The
prevalence of the use of internet increased from 22.9% in 2009-2010 to 26.6% in
2013-2014. Of the total number of older adults who participated in the study,
7.5% started using the internet, 3.2% stopped using it, 19.1% kept their use, and
70.2% kept their non-use in the analyzed period. Of the older adults who used the
internet, most used it every day or almost every day of the week, in their own
home, on desktop or portable computers, mainly to send and receive messages, to
search for information to learn or investigate something, to find information
about goods and services, and to use news, social networking, and health
information websites. The factors associated with the use of internet over four
years were: being male (RR = 2.19, 95%CI 1.48-3.26), higher monthly family income
(RR = 3.53, 95%CI 1.35-9.23), higher education level (RR = 2.64, 95%CI 1.57
4.43), and no presence of caregiver (RR = 0.08, 95%CI 0.02-0.31). CONCLUSIONS:
Although the use of the internet is increasing among older adults, most of the
population is still digitally excluded, especially women with lower income and
education level. Strategies that promote the digital inclusion of older adults
should be stimulated, aiming to universalize the use of the internet, and they
should take into account socioeconomic and gender inequalities.
PMID- 29641664
TI - Maternal attachment and breastfeeding behaviors according to type of delivery in
the immediate postpartum period.
AB - INTRODUCTION: Breastfeeding is nutritious and has physiological benefits
regarding the immunological aspect; also, it has an important role on maternal
attachment and on raising a healthy baby. OBJECTIVE: Our study was conducted to
analyze maternal attachment and breastfeeding behaviors in the immediate
postpartum period of mothers who had vaginal and cesarean birth. METHOD: This
descriptive and comparative study was conducted with women who sought the
childbirth clinic of a university hospital in Izmir, Turkey. In the study, 175
mothers were attended; 83 of them had vaginal birth and 92 had cesarean birth.
Data were collected by using Demographic Identification Form, Maternal Attachment
Inventory and LATCH Breastfeeding Assessment Score Tool. Descriptive and
correlational statistics were used for data analysis. RESULTS: We found that
Maternal Attachment Inventory and the LATCH breastfeeding charting system of
mothers that had vaginal birth was higher than that of mothers who had cesarean
delivery. There was a positive correlation between Maternal Attachment Inventory
and LATCH total score average for both cesarean and vaginal birth. CONCLUSION:
Mothers who delivered their babies by cesarean section had problems related to
maternal attachment and breastfeeding more often than those who delivered
vaginally.
PMID- 29641666
TI - Subcutaneous emphysema, a different way to diagnose.
AB - INTRODUCTION: Subcutaneous emphysema (SE) is a clinical condition that occurs
when air gets into soft tissues under the skin. This can occur in any part of the
body depending on the type of pathology. The most common site is under the skin
that covers the chest wall or neck. It is characterized by painless swelling of
tissues. The classic clinical sign is a crackling sensation upon touch,
resembling that of touching a sponge beneath your fingers. OBJECTIVE: To describe
a new way to diagnose subcutaneous emphysema. METHOD: Our finding was a matter of
serendipity while inspecting a patient with subcutaneous emphysema using a
stethoscope. Instead only hearing the patient's chest, the stethoscope was gently
pressed against the skin with SE and so we were able to detect a different sound.
RESULTS: This new way to diagnose subcutaneous emphysema consists in pressing the
diaphragm part of stethoscope against the patient's skin where SE is supposed to
be. Thus, we are able to hear a sound of small bubbles bursting. Crackle noise
has an acoustic emission energy that varies between 750-1,200 Hz, considered high
frequency. CONCLUSION: Although currently the use of imaging methods is
widespread worldwide, we would like to strengthen the value of clinical
examination. Auscultation is an essential diagnostic method that has become
underestimated with the advances of healthcare and medicine as a whole. We
therefore propose a different approach to diagnose SE.
PMID- 29641665
TI - Individualized threshold for tumor segmentation in 18F-FDG PET/CT imaging: The
key for response evaluation of neoadjuvant chemoradiation therapy in patients
with rectal cancer?
AB - INTRODUCTION: The standard treatment for locally advanced rectal cancer (RC)
consists of neoadjuvant chemoradiation followed by radical surgery. Regardless
the extensive use of SUVmax in 18F-FDG PET tumor uptake as representation of
tumor glycolytic consumption, there is a trend to apply metabolic volume instead.
Thus, the aim of the present study was to evaluate a noninvasive method for tumor
segmentation using the 18F-FDG PET imaging in order to predict response to
neoadjuvant chemoradiation therapy in patients with rectal cancer. METHOD: The
sample consisted of stage II and III rectal cancer patients undergoing 18F-FDG
PET/CT examination before and eight weeks after neoadjuvant therapy. An
individualized tumor segmentation methodology was applied to generate tumor
volumes (SUV2SD) and compare with standard SUVmax and fixed threshold (SUV40%,
SUV50% and SUV60%) pre- and post-therapy. Therapeutic response was assessed in
the resected specimens using Dworak's protocol recommendations. Several variables
were generated and compared with the histopathological results. RESULTS:
Seventeen (17) patients were included and analyzed. Significant differences were
observed between responders (Dworak 3 and 4) and non-responders for SUVmax-2
(p<0.01), SUV2SD-2 (p<0.05), SUV40%-2 (p<0.05), SUV50%-2 (p<0.05) and SUV60%-2
(p<0.05). ROC analyses showed significant areas under the curve (p<0.01) for the
proposed methodology with sensitivity and specificity varying from 60% to 83% and
73% to 82%, respectively. CONCLUSION: The present study confirmed the predictive
power of the variables using a noninvasive individualized methodology for tumor
segmentation based on 18F-FDG PET/CT imaging for response evaluation in patients
with rectal cancer after neoadjuvant chemoradiation therapy.
PMID- 29641667
TI - Yellow fever.
AB - The yellow fever (YF) virus is a Flavivirus, transmitted by Haemagogus, Sabethes
or Aedes aegypti mosquitoes. The disease is endemic in forest areas in Africa and
Latin America leading to epizootics in monkeys that constitute the reservoir of
the disease. There are two forms of YF: sylvatic, transmitted accidentally when
approaching the forests, and urban, which can be perpetuated by Aedes aegypti. In
Brazil, the last case of urban YF occurred in 1942. Since then, there has been an
expansion of transmission areas from the North and Midwest regions to the South
and Southeast. In 2017, the country faced an important outbreak of the disease
mainly in the states of Minas Gerais, Espirito Santo and Rio de Janeiro. In 2018,
its reach extended from Minas Gerais toward Sao Paulo. Yellow fever has an
incubation period of 3 to 6 days and sudden onset of symptoms with high fever,
myalgia, headache, nausea/vomiting and increased transaminases. The disease
ranges from asymptomatic to severe forms. The most serious forms occur in around
15% of those infected, with high lethality rates. These forms lead to renal,
hepatic and neurological impairment, and bleeding episodes. Treatment of mild and
moderate forms is symptomatic, while severe and malignant forms depend on
intensive care. Prevention is achieved by administering the vaccine, which is an
effective (immunogenicity at 90-98%) and safe (0.4 severe events per 100,000
doses) measure. In 2018, the first transplants in the world due to YF were
performed. There is also an attempt to evaluate the use of active drugs against
the virus in order to reduce disease severity.
PMID- 29641668
TI - Asthma and occupation: Diagnosis using serial peak flow measurements.
PMID- 29641669
TI - Impact of written information on control and adherence in type 2 diabetes.
AB - INTRODUCTION: Diabetes therapeutic education and information by leaflets is
important. This study aimed to understand the effectiveness of written
information to diabetic patients, after six months, in the control of diabetes
and medication adherence. METHOD: Non-pharmacological clinical trial. Randomized
sample of diabetic patients of 65 volunteer doctors, distributed among the five
health regions in Portugal. At the first appointment, patients were randomized in
four groups (three intervention with validated leaflets and one control), leaflet
reading being reinforced at the follow-up appointments in a 6-months period.
Variables collected: HbA1c, home blood glucose, weight, waist circumference,
blood pressure, cigarettes smoked, physical activity level, adherence to
medication, medication, height, diabetes progression, age, sex and educational
background. Descriptive and inferential statistics. RESULTS: From the 709
patients recruited, 702 were studied in this 6-months period with no statistical
differences in the baseline variables studied. After six months of intervention,
the adherence to medication improved in the leaflet group (p=0.034). This was
noticed in those under 65 years of age (p=0.027), with diabetes for <= 5 years
(p=0.010), with educational background up to 4 years (p=0.030) and 9 years
(p=0.006) and with HbA1c >= 7% at the beginning of the study. CONCLUSION:
Interventions with leaflets handed in primary healthcare to people with diabetes
type 2 can bring benefits in what concerns adherence to therapeutics, namely in
younger people with a less studies.
PMID- 29641670
TI - Special Dossier: "Scientific Evidence for Homeopathy".
PMID- 29641671
TI - Postoperative local incision analgesia for acute pain treatment in patients with
hepatocellular carcinoma.
AB - OBJECTIVE: The present study aimed to investigate the analgesic effect and safety
of using local incision analgesia to treat acute postoperative pain in patients
with hepatocellular carcinoma (HCC). METHOD: A cohort of 60 patients undergoing
liver cancer resection was randomly divided into three groups (n=20 per group):
local incision analgesia (LIA) group, which received local infiltration with
ropivacaine combined with a postoperative analgesia pump; intravenous patient
controlled analgesia (PCA) group, which received fentanyl intravenous analgesia
postoperatively; and the control group, which received tramadol hydrochloride
injection postoperatively according to the NRS scoring system. The postoperative
analgesic effect in each group was compared and tumor recurrence (survival) was
analyzed using the Kaplan-Meier method. RESULTS: NRS scores, rate of analgesic
usage, ambulation time (h) and intestinal function recovery time (h) were
significantly reduced in LIA group compared with the control group at each
postoperative time point (6, 12, 24 and 48 hours; p<0.05). Additionally, the NRS
scores of LIA patients at 12 hours post-surgery was significantly reduced
compared with PCA group (p<0.05), and the occurrence of postoperative adverse
events in LIA group was significantly lower than that in PCA group (p<0.05).
Survival analysis demonstrated that the mean survival time (tumor recurrence) was
significantly increased in LIA group compared with the control group (chi2=4.749;
p=0.029). CONCLUSION: Local incision analgesia improves the analgesic effect,
causes fewer adverse reactions and increases postoperative survival time. Our
study demonstrated that local incision analgesia is a safe and effective method
of postoperative pain management following hepatectomy.
PMID- 29641672
TI - Assessing cardiovascular risk in ATM heterozygotes.
AB - OBJECTIVE: To evaluate the carotid intima-media complex (CIMC) thickness and
lipid metabolism biomarkers associated with cardiovascular risk (CR) in parents
of patients with ataxia-telangiectasia and verify an association with gender.
METHOD: A cross-sectional and controlled study with 29 ATM heterozygotes and 14
healthy controls. Biochemical tests and CIMC thickness measurement were
performed. RESULTS: The mean CIMC measurement in heterozygous ATM was 0.72 +/-
0.1 mm (minimum: 0.5 mm and maximum: 1.0 mm). Noticed high percentage of amounts
above 75 percentile compared to the population referential (16 [76.2%]), without
any significant statistical differences between the female and the male gender
(11/15 [73.3%] vs. 5/6 [83.3%]; p=0.550). The comparison between heterozygous and
controls, stratified by gender, showed that in heterozygous ATMs, women had
higher concentrations of HDL-c compared to men, as well as higher values of hs
CRP in relation to the control women. In heterozygous ATMs, stratified by gender,
the correlation between HDL-c and hs-CRP was inversely proportional and stronger
among women, with a tendency to statistical significance. CONCLUSION:
Heterozygous ATMs did not differ from controls in relation to the biomarkers
studied related to CR. However, most of them presented increased CIMC,
independent predictor of death, risk for myocardial infarction and stroke,
compared to the referential for the same age group. This finding suggests CR in
the heterozygous ATM and shows to the need to monitor CIMC thickness and
nutritional orientations.
PMID- 29641673
TI - Assessment of the prescription of red blood cell concentrates in the pediatric
age group.
AB - OBJECTIVE: To verify the adequacy of red blood cell (RBC) prescription to
pediatric patients in different sectors of a pediatric hospital. METHOD: A
retrospective study was conducted including 837/990 RBC transfusion requisition
forms for children and adolescents (0 to 13 years old) filed in between January
2007 and April 2015 by the pediatricians of the emergency room (ER), infirmary
ward and intensive care unit (pICU). Transfusion requisition forms belonging to
patients with chronic anemia or acute hemorrhage, as well as incompletes
requisition forms, were excluded. RESULTS: Trigger, prescribed volume and subtype
of RBC concentrates were adequate in 532 (65.3%), 460 (58.8%) and 805 (96.2%) of
the transfusions, respectively. When the clinical picture was considered,
prescription adequacy was higher compared to the use of the hemoglobin level
alone (70.9% vs. 41%). The pICU had the highest correct trigger percentage (343
[71.6%]; p<0.001) while the ER showed more often adequate prescribed volumes (119
[66.1%]; p=0.020). The most common inadequacy regarding volume was that of
prescriptions above the recommendation > 15 mL/kg found in 309 cases (36.9%).
Thirty-two (32) RBC subtypes were requested and none were consistent with current
recommendations. CONCLUSION: The results obtained in our study showed that RBC
transfusion occurred more appropriately when the clinical picture was taken into
account at request. There was a tendency to prescribe higher volumes and RBC
subtypes without the justification of current protocols. Hemotherapic teachings
at undergraduate level and medical residency must be improved.
PMID- 29641674
TI - Assessment of the prevalence of vertical hepatitis B transmission in two
consecutive generations.
AB - INTRODUCTION: Hepatitis B is an important public health problem in the world and
one of the forms of contagion would be through vertical transmission. Precose
diagnosis allows the adoption of prophylaxis measures, which results in
prevention in more than 90% of cases. OBJECTIVE: To describe the prevalences of
vertical transmission and compare two generations (mother/patient and
patient/child). METHOD: This was a cross-sectional study, which included 101
patients. The interviews were performed through the application of the instrument
of data collection and information of the physical file before the medical
consultation. RESULTS: The mean +/- SD of age was 50.9 +/- 13.1 years, the male
gender predominated, with 56.4% of the patients, and the predominance was white,
with 43.6%. Vertical transmission between mother and patient occurred in 17.8%
and between patient and child, in 7.9%. In all of the eight cases of vertical
transmission, the diagnosis was after the birth of children infected with HBV,
and in 3/8 (37.5%), there was more than one case of infection by this mechanism
per patient, totaling 13 children with the disease. CONCLUSION: There was a
reduction in vertical transmission, showing that preventive measures were
effective.
PMID- 29641675
TI - Pseudomyxoma peritonei in a pediatric patient: A case report and literature
review.
AB - INTRODUCTION: Pseudomyxoma peritonei (PMP) is a rare clinical condition, with an
incidence of 1-2 cases per million, characterized by the dissemination of
mucinous implants on the peritoneal surface and progressive gelatinous ascites.
Although it usually presents an indolent behavior, its non-specific clinical
presentation contributes to many cases remaining undiagnosed until a laparotomy
is performed. With late diagnosis, performed after a long period of clinical
deterioration and disease progression, it is common to find complications such as
the formation of intestinal fistulas and obstruction. METHOD: Review of the
medical record and search for references in the Medline, Lilacs, SciELO and MD
Consult databases. RESULTS: There are rare case reports found in the literature
demonstrating atypical PMP presentations. Our report is that of a 17-year-old
adolescent with a sporadic tumor diagnosed in a primary site in the transverse
colon, contrary to data commonly found in the literature that mention a more
frequent occurrence in women in the fifth decade of life and with a primary site
in the ovary and appendix. The development of mucinous adenocarcinoma is rare in
the pediatric population, and topography in the transverse colon and non-familial
sporadic pattern are unusual. CONCLUSION: The case reported not only raises
awareness about the atypical presentations of the disease, but also emphasizes
the use of imaging examinations for diagnosis, which has an important impact on
prognosis and survival if performed timely.
PMID- 29641676
TI - Heat-not-burn and electronic cigarettes: Truths and untruths about harm
reduction.
PMID- 29641677
TI - Use of illicit drugs by adolescents and young adults of an urban settlement in
Brazil.
AB - OBJECTIVE: To estimate the prevalence and factors associated with illicit drug
use by adolescents and young adults of a formal urban settlement. METHOD: Cross
sectional study including adolescents and young adults 12-24 years of an urban
settlement in the Midwest Region of Brazil. Data were collected using a
structured questionnaire and analyzed using Stata, version 12.0. We used Poisson
regression model to estimate the factors associated with illicit drug use.
RESULTS: Of the total participants (n=105), 27.6% (95CI 20.0-36.9%) had used
illicit drugs such as marijuana, cocaine, crack, LSD and inhalants. The
consumption of these substances was associated with male gender, use of body
piercing and/or tattoos, licit drug use and self-report of signs and/or symptoms
of sexually transmitted infections. CONCLUSION: High prevalence of illicit drug
use was found in the individuals investigated, ratifying the presence of risk
factors to the vulnerability of the settlers to use these substances in the urban
settlement population.
PMID- 29641678
TI - Comparative study of computed tomography (CT) and pathological diagnosis toward
mediastinal lymph node metastasis in esophageal carcinoma.
AB - OBJECTIVE: To investigate the diagnostic criteria of mediastinal lymph node
metastasis (MLNM) in esophageal carcinoma (EC) by comparing the lymph node sizes
measured by computed tomography (CT) and obtained by postoperative pathological
examination. METHOD: A total of 305 EC patients were selected. MLNM location,
shortest diameter and number were investigated one week before surgery, and then
compared with their pathological findings. RESULTS: The receiver operating
characteristic (ROC) curve analysis revealed that the minimum diameters of MLNM
in the thoracic cavity was 8 mm (area under curve [AUC] = 0.766, Youden index =
0.424), 5 mm in supraclavicular fossa (AUC = 0.785, Youden index = 0.494), 6 mm
in tracheoesophageal groove (AUC = 0.755, Youden index = 0.405); the sensitivity
was increased significantly, and the Youden index was increased significantly
when compared with 10 mm. CONCLUSION: The shortest diameter of diagnostic
criteria of lymph nodes in EC could be less than 10 mm on CT.
PMID- 29641679
TI - Combination of topical agents and oxybutynin as a therapeutic modality for
patients with both osmidrosis and hyperhidrosis.
AB - INTRODUCTION: The association of osmidrosis and hyperhidrosis often causes
emotional and social problems that may impair the patients' quality of life. The
purpose of our study was to analyze the therapeutic results of oxybutynin and
topical agents in 89 patients with both osmidrosis and hyperhidrosis. METHOD: We
conducted an observational study at two specialized centers of hyperhidrosis
between April 2007 and August 2013. Eighty-nine (89) patients with both
osmidrosis and hyperhidrosis were treated with oxybutynin and topical agents.
Patients were evaluated before treatment and at 3 and 6 weeks after treatment
started, by using the Quality of Life Questionnaire and the Sweating Evolution
Scale. RESULTS: Before treatment, 98% of the patients presented with poor or very
poor quality of life. After six weeks of treatment, 70% stated their quality of
life as being slightly better or much better (p<0.001) and nearly 70% of the
patients experienced a moderate or great improvement in sweating and malodor.
Improvement in osmidrosis was significantly greater when the axillary region was
the first most disturbing site of hyperhidrosis. CONCLUSION: There was a
significant improvement in quality of life and a reduction in sweating and
malodor after six weeks of treatment with topical agents and oxybutynin in
patients with both hyperhidrosis and osmidrosis. Therefore, clinical treatment
should be considered before invasive techniques.
PMID- 29641681
TI - Strategies for identification and coping with the violence situation by intimate
partners of pregnant women.
AB - Objective To know the strategies used by nurses of Units of Family Health
Strategies to identify and cope with the violence situation by intimate partners
of pregnant women. Method Descriptive study with a qualitative approach, in which
semi-structured interviews were conducted with 23 primary care nurses from
September 2015 to April 2016. Thematic content analysis was used. Results The
category "It's very complex" has emerged - actions to identify and cope with the
violence situation by intimate partners of pregnant women. Physical injuries were
the main violence indicative identified at prenatal care. The coping strategies
were the referrals to specialized services and joint discussion with healthcare
team. Conclusion There's a need to organize a nursing protocol that helps in the
identification and classification of risk exposure to violence, permanent
education of these professionals and strengthening of intersectoral actions.
PMID- 29641680
TI - Nonalcoholic steatohepatitis in posttransplantation liver: Review article.
AB - INTRODUCTION: Nonalcoholic steatohepatitis (NASH) associated or not with
cirrhosis is the third leading indication for liver transplantation (LT) around
the world. After transplants, NASH has a high prevalence and occurs as both
recurrent and de novo manifestations. De novo NASH can also occur in allografts
of patients transplanted for non-NASH liver disease. OBJECTIVE: To evaluate
recurrent or de novo NASH in post-LT patients. METHOD: A literature review was
performed using search engines of indexed scientific material, including Medline
(by PubMed), Scielo and Lilacs, to identify articles published in Portuguese and
English until August 2016. Eligible studies included: place and year of
publication, prevalence, clinical characteristics, risk factors and survival.
RESULTS: A total of 110 articles were identified and 63 were selected. Most of
the studies evaluated recurrence and survival after LT. Survival reached 90-100%
in 1 year and 52-100% in 5 years. Recurrence of NAFLD (steatosis) was described
in 15-100% and NASH, in 4-71%. NAFLD and de novo NASH were observed in 18-67% and
3-17%, respectively. Metabolic syndrome, diabetes mellitus, dyslipidemia and
hypertension were seen in 45-58%, 18-59%, 25-66% and 52-82%, respectively.
CONCLUSION: After liver transplants, patients present a high prevalence of
recurrent and de novo NASH. They also show a high frequence of metabolic
disorders. Nevertheless, these alterations seem not to influence patient
survival.
PMID- 29641682
TI - Perception of nursing regarding patient safety climate in public and private
institutions.
AB - Objective To evaluate the perception of nursing staff regarding the safety
climate among healthcare professionals from public and private institutions.
Method This is a cross-sectional, quantitative study conducted with 235 nursing
professionals from a private hospital and a public hospital in Campinas, between
October 2014 and October 2015. The Safety Attitudes Questionnaire - Short Form
was used to collect data. Descriptive and inferential statistics were used for
analysis, and the Mann-Whitney test was used to compare the institutions. Results
The private institution had higher averages than the public institution, and
significant differences in the areas of safety climate (p=0.00), unit management
(p<0.0001), hospital management (p=<0.0001), working conditions (p=<0.0001), and
teamwork (p=0.00). Conclusion Although the private institution performed better,
the professionals perceived the safety climate as unsatisfactory in both
institutions.
PMID- 29641683
TI - Factors associated with cesarean sections in a high complexity university
hospital in southern Brazil.
AB - Objective To identify the factors associated with cesarean sections in a high
complexity university hospital in southern Brazil. Methods Cross-sectional study
carried out at the Obstetric Hospital Unit of the Hospital de Clinicas de Porto
Alegre. Data were gathered from questionnaires carried out with 361 puerperal
women and maternal and neonatal records, from February to April 2013. For the
analysis, Prevalence Ratio through Univariate Poisson Regression was used.
Results The prevalence of cesarean sections was 31%. The category of
hospitalization, cervix dilation and its characteristics, fetal presentation, and
uterine dynamics, at the time of admission, were statistically related to
cesarean sections; not having a previous cesarean section and night shift (from
midnight to 6AM) were protective factors. Conclusions The prevalence of cesarean
sections is above the rate recommended by the World Health Organization, but it
is similar to rates found in other university hospitals, thus pointing out the
need for the proposition of actions aimed at improving this indicator.
PMID- 29641684
TI - Quality assessment of a system of prenatal information.
AB - Objective To evaluate the quality of the Prenatal Information System of the city
of Vitoria - ES. Methods This is a cross-sectional study with an analysis of the
Prenatal Information System Data (SISPRENATAL) from a municipality in the
Southeast Region of Brazil. The quality of the system was evaluated according to
the accessibility criteria, methodological clarity, timeliness and completeness
defined by the Economic Commission for Latin America and the Caribbean (ECLAC).
Completeness was evaluated according to the criteria of incompleteness proposed
by Romero and Cunha, and assigned scores in degrees of evaluation for: excellent,
good, fair, bad and very bad. Results Most of the results presented scores of bad
and very bad quality. Fields with excellent or good quality for incompleteness
are related to the mandatory items. Conclusion Professionals need to be aware of
the proper registration of the care provided to pregnant women.
PMID- 29641685
TI - Factors associated with foot ulceration of people with diabetes mellitus living
in rural areas.
AB - Objective To analyze the factors associated with the risk of ulceration in the
feet of people with diabetes mellitus living in rural areas. Methods This is a
cross-sectional study conducted with 293 individuals with diabetes mellitus, aged
40 years or older, living in the south of Brazil, in 2014. We analyzed
socioeconomic variables, clinical conditions and foot self-care. We used the
Poisson multiple regression model and the Prevalence Ratio (PR) and p-value<0.05
as a measure of association. Results 43.7% of the sample presented risk of foot
ulceration. The highest prevalence of foot ulceration risk was associated with
people with lower purchasing power (PRadjusted=1.62/IC95%:1.52-2.22), with
retinopathy (PRadjusted=1.30/IC95%:1.12-1.68) and alteration in foot moisture
(PRadjusted=1.57/IC95%:1.22-2.01). We identified low education level (64.2%),
high prevalence of arterial hypertension (86.3%) and onychomycosis in the feet
(72%). Conclusions Diabetic patients need an assessment of the risk of foot
ulceration, especially those with longer diagnosis, chronic complications and low
socio-educational level.
PMID- 29641686
TI - Risk factors in the domestic environment for falls in children under five years
of age.
AB - Objective To analyze the risk factors in the domestic environment for the
occurrence of falls in children under five years of age. Method A cross-sectional
study was conducted in May and in June of 2016 with 344 caregivers of children
from Northeast Brazil. A socio-economic questionnaire and a checklist for
environmental observation were used. Bivariate analyzes were performed using
Pearson's Chi-square test. Results They were associated with the risk of falls in
children under 5 years of age: High net (p = 0.015), presence of stairs or steps
without a handrail (p=0.003), and exits and passages kept with toys, furniture,
boxes or other items that may be obstructive (p=0.002). Conclusion The factors in
the domestic environment identified as associated with the risk of falls in
children under five years of age demonstrate that there is a need to construct
prevention strategies related to the structure and organization of this
environment.
PMID- 29641687
TI - Analysis of work capacity and stress among nursing professionals with
musculoskeletal disorders.
AB - Objective To characterize the sociodemographic aspects, work capacity and stress
of nursing workers affected by musculoskeletal disorders and to analyze the
association between musculoskeletal comorbidities, capacity, stress and social
support. Methods Cross-sectional study, conducted in two hospitals in Manaus. The
Work Capacity Index and the Job Stress Scale were used, translated and validated
in nursing workers for more than one year, with musculoskeletal pain in the last
three months, with a minimum duration of two hours. Results The study had a
majority of women and technicians, aged 42 +/- 10.7 years, with moderate capacity
(34.7 +/- 5.11) associated with musculoskeletal comorbidities. The stress
affected 56% and the capacity correlated weak and inverse to stress and direct to
the social support. Conclusions There was a predominance of women and
technicians, and musculoskeletal pain was associated with a decrease in capacity.
Social support was associated with increased capacity and decreased stress.
PMID- 29641688
TI - Object and technologies in the working process of an itinerant team in mental
health.
AB - Objective To analyze the work object and the technologies in the working process
of a Mental Health Itinerant Team in the attention to drug users. Methods
Qualitative case study, carried out in a municipality in the South of Brazil. The
theoretical framework was the Healthcare Labor Process. The data was collected
through participant observation and semi-structured interviews with the
professionals of an itinerant team in the year of 2015. For data analysis we used
the Thematic Content Analysis. Results In the first empirical category - work
object - the user is considered as a focus, bringing new challenges in the team's
relationship with the network. In the second category - technologies of the work
process - potentialities and contradictions of the team work tools are
highlighted. Conclusions As an innovation in the mental health context, the
itinerant team brings real possibilities to reinvent the care for the drug user
as well as new institutional challenges.
PMID- 29641689
TI - Validation of a nursing report for a pediatric intensive care unit.
AB - Objective To validate the Nursing Report for a pediatric intensive care unit
regarding its appearance and content. Methods A methodological study regarding
the validation of a nursing report for a teaching hospital in the Northwest
region of Parana. The instrument, built in a previous stage, was elaborated with
information obtained in a review of pertinent literature and empirical evidence
pointed out by nurses who experience these units. The temporary version was
submitted to a board of evaluators (March-November/2014), whose expertise
contributed to the evaluation process of appearance and content (analysis,
rearrangement, refinement, finalization). Results The Pertinence of Content
criterion, relative to the topics of the instrument, reached the highest levels
of agreement among the evaluators (78-100%). The topics that did not reach the
pre-defined agreement level were replaced, excluded or reformulated. The
restructured instrument was revalued by the evaluators, obtaining satisfactory
agreement levels in all the criteria (78-100%). Conclusions The final version was
validated in terms of appearance and content, and is suitable to be used.
PMID- 29641690
TI - Influence of curricular reforms in mental health nursing education between 1969
and 2014.
AB - Objective To analyze the influence of curricular changes in mental health nursing
education at the Federal University of Santa Catarina. Method Qualitative
research, exploratory and descriptive. Data were collected in official ordinances
in the Pedagogical Projects of the Nursing Course and in the Teaching Plans in
the period from 1969 to 2014. The data collection was carried out between the
months of March and December 2015. We used a spreadsheet to organize the data and
they were analyzed according to the technique of documentary analysis. Results
Trends in curricular changes were highlighted after the Curricular and
Psychiatric Reforms. The most significant changes occurred since 2004 with the
National Curriculum Guidelines and Law 10.2016. Conclusion It was found that the
curricular changes occurred from induction and from outside the educational
institution policies. The influences resulted in changes in the name of courses,
course offerings and course objectives, and integration between courses and
practical settings, among others.
PMID- 29641691
TI - Mycetomas: an epidemiological, etiological, clinical, laboratory and therapeutic
review.
AB - Mycetoma is a chronic suppurative disease of the skin and subcutaneous tissue,
characterized by a symptomatic triad: tumor, fistulas and grains. It can be
caused by fungi (eumycetoma) and bacteria (actinomycetoma), with similar clinical
features. Diagnosis is based on the clinical presentation and identification of
the etiological agents in the tissue, by mycological/bacteriological,
histopathological and immunohistochemical tests. It is important to specify the
fungal or bacterial etiology, because the treatments are different. An approach
that involves early diagnosis, the use of systemic antibiotics or antifungal
agents, including surgical removal of lesions, is the basis for the treatment of
these diseases. In this review, the most commonly used diagnostic methods and
treatments will be discussed. Also, we will review the history of the disease
through epidemiological and etiological aspects.
PMID- 29641692
TI - Prognostic factors for metastasis in cutaneous melanoma.
AB - BACKGROUND: Melanoma is a malignant neoplasia that shows high mortality when
diagnosed in advanced stages. Early identification of high-risk patients for the
development of melanoma metastases is the main strategy to reduce mortality.
OBJECTIVE: To assess the influence of eight epidemiological and histopathologic
features on the development of metastases in patients diagnosed with primary
cutaneous melanoma. METHODS: Our historical cohort comprised patients with
invasive primary cutaneous melanoma seen between 1995 and 2012 at a public
university hospital and a private oncologic surgery institution in Southeastern
Brazil. The following variables were analyzed: gender, age, family history of
melanoma, site of the primary tumor, clinical and histologic subtype, Breslow
thickness, histologic ulceration and the mitotic index. Kaplan-Meier univariate
test and multivariate Cox proportional hazard analysis were used to assess
factors associated with disease-free survival. RESULTS: Five hundred and fourteen
patients were enrolled. The univariate analysis identified the following
significant risk factors: gender, age, site of the tumor, clinical and histologic
subtype, Breslow thickness, histologic ulceration and mitotic index. Multivariate
analysis included 244 patients and detected four significant prognostic factors:
male gender, nodular clinical and histologic subtype, Breslow thickness > 4mm,
and histologic ulceration. The mitotic index was not included in this analysis.
STUDY LIMITATIONS: Small number of patients in multivariate analysis.
CONCLUSIONS: The following prognostic factors to the development of melanoma
metastasis were identified in the study: male gender, nodular histologic subtype,
Breslow thickness > 4mm and ulceration.
PMID- 29641693
TI - Occupational dermatoses reported in Brazil from 2007 to 2014.
AB - BACKGROUND: Occupational diseases are very prevalent in the world, especially in
developing countries. Occupational dermatoses are responsible for most of these
cases. However, epidemiological studies are rare in Brazil. OBJECTIVES: To verify
the panorama of occupational skin diseases in Brazil describing frequencies of
work-related dermatoses and their sociodemographic and occupational patterns.
METHODS: We used retrospective data from the Notifiable Diseases Information
System, (from 2007-2014) tabulated with the Tab program for Windows - TabWin12.
We used intentional non-probability sampling and sequential selection,
considering all notified occupational dermatoses. RESULTS: All cases of
occupational dermatoses referred to in the period were analyzed (n = 4710). Males
and the age group of 35-49 years were the most affected. The most affected body
area was the upper limb (34.2%) and the hand (25.4%). The "causative agent" field
in the forms was not filled in 69.4% of cases, with chrome as the most prevalent
cause reported (11.8%). ICD-10 codes more prevalent were L23, L24, and L25,
corresponding to 34.2% of the sample. In total, 29% of patients needed to take a
sick leave. No cases evolved to death and there were 0.2% of total as permanent
disability. STUDY LIMITATIONS: The amount of missing information for various
items in the system draws attention. CONCLUSIONS: Treatment of patients with
occupational dermatitis include the identification and removal of the causative
agent and specific treatment of the disease. Diagnosis delay in cases of
occupational dermatoses brings social and financial consequences to the work and
life of workers.
PMID- 29641694
TI - The efficacy and safety of phototherapy in geriatric patients: a retrospective
study.
AB - BACKGROUND: While phototherapy is a well-established treatment for many
dermatoses, data from the literature regarding its use in elderly patients are
quite limited. OBJECTIVE: In this study, we aimed to determine the phototherapy
indications in geriatric patients and to evaluate the effectiveness and
reliability of phototherapy in this group. METHODS: This study included 95
patients of 65 years of age and older who were treated in our phototherapy unit
between 2006 and 2015. The data for this study were collected retrospectively
from patient follow-up forms in the phototherapy unit. RESULTS: Phototherapy was
administered to 28 (29.5%) patients for mycosis fungoides, 25 (26.3%) patients
foplaque type psoriasis, 12 (12.6%) patients for palmoplantar psoriasis, 12
(12.6%) patients for generalized pruritus, and 18 (19%) for other dermatoses. Of
the patients, 64.2% had received a narrowband UVB (NB-UVB), 21.1% oral psoralen
UVA (PUVA), and 14.7% local PUVA treatment. A complete response was achieved in
76.9-85.7% of the mycosis fungoides and in 73.71-100% of the psoriasis vulgaris
patients treated with NB-UVB and PUVA, respectively. All the patients with
generalized pruritus were treated with NB-UVB, and 80% of these patients achieved
significant improvement. The erythema rate was found to be 0.43% per session for
NB-UVB treatment and 0.46% per session for PUVA treatment as a side effect. STUDY
LIMITATIONS: The limitations of our study are that it was retrospective and the
remission durations of the patients are not known. CONCLUSION: This study showed
that phototherapy is effective and reliable in the elderly population with proper
dose increases and close follow-up.
PMID- 29641696
TI - Epidemiological and histopathological aspects of primary cutaneous melanoma in
residents of Joinville, 2003-2014.
AB - BACKGROUND: The worldwide incidence of cutaneous melanoma (CM) has been
continuously increasing over the last decades. Primary and secondary prevention,
with attention to risk factors and early diagnosis, remain the cornerstone for
reducing the burden of cutaneous melanoma. Detailed information with respect to
clinical and pathological data on cutaneous melanoma is scarce in Brazil.
OBJECTIVE: The purpose of our study was to analyze epidemiological and
pathological characteristics of primary cutaneous melanoma in Joinville, southern
Brazil. METHODS: Observational, cross-sectional, retrospective study in which 893
reports of primary cutaneous melanoma from the local population were analyzed in
the period 2003-2014. The study was approved by the local Ethics and Research
Committee. RESULTS: We observed a female predominance of cutaneous melanoma
(56.3%). The age standardized incidence rate of primary cutaneous melanoma for
the world population in the period 2003-06 was 11.8 per 100,000 population (CI
95%, 10.3-13.4), and 17.5 (CI 95%, 15.7-19.3) in 2011-14, revealing a significant
increase of 48.3% (p < 0,05). Six and a half percent of patients had multiple
cutaneous melanomas (mean 2.2 years and a maximum of 10.0 years between
diagnoses). We observed significant differences between the location head/neck
and cutaneous melanoma in situ, lower limb with Breslow depth S III and upper
limb with Breslow depth S I. The comparison of the characteristics of cutaneous
melanoma in the elderly and non-elderly (< 60 years old) showed significant
differences with respect to all the variables studied. STUDY LIMITATIONS: Using
secondary data source. CONCLUSION: Joinville has high incidence coefficients for
Brazilian standards, showing an increase in the incidence of cutaneous melanoma.
PMID- 29641695
TI - Photoprotection in adolescents: what they know and how they behave.
AB - BACKGROUND: The greatest sun exposure occurs until adolescence and this is the
only factor involved in photocarcinogenesis subject to modification with
photoprotection. OBJECTIVE: The objective of this study was to evaluate the
habits and knowledge of adolescents regarding photoprotection. METHOD: Cross
sectional study that included 512 students from primary and high school. Data
were collected by questionnaires with questions about habits and knowledge on sun
protection. For data analysis, Pearson's Chi-square test and Yates test were
applied, with 5% level of significance. RESULTS: We evaluated 512 students, with
a mean age of 14 years old. The teenagers agreed that ultraviolet rays cause skin
cancer and aging (96% and 70%, respectively). Knowledge about the occurrence of
burns even on cloudy days was recorded in 68% of cases, as well as the need for
photoprotection for sports in 72%. The source of information about sun protection
were by parents in 55%. In 70% was observed previous occurrence of sunburn, the
daily use of sunscreens was found in 15% and 67% used only at the beach. of
sunscreens with SPF higher than 30 was reported by 70% of students and 57%
reported going to the beach between 10h and 16h. In 68% of cases it was
registered insufficient sun protection, attributed, in 57% of the time to
forgetfulness. STUDY LIMITATIONS: The sample refers to two schools of Curitiba
(PR), Brazil. CONCLUSION: Teenagers know the risks of sun exposure, but they do
not adopt the practices for adequate protection.
PMID- 29641697
TI - A study of the association of glutathione S-transferase M1/T1 polymorphisms with
susceptibility to vitiligo in Egyptian patients.
AB - BACKGROUND: The association of glutathione S-transferases M1/T1 (GSTM1/T1) null
polymorphisms with vitiligo was proposed in several studies including two
Egyptian studies with contradictory results. OBJECTIVE: The aim here was to
assess the association between GSTM1/T1 null polymorphisms and the susceptibility
to vitiligo in a larger sample of Egyptian patients with generalized vitiligo.
METHODS: This study included 122 vitiligo patients and 200 healthy controls that
were age, and gender matched. Assessment of GSTM1/T1 gene polymorphisms was done
using a multiplex polymerase chain reaction (PCR). RESULTS: Increased odds of
generalized vitiligo was observed with the null genotypes of GSTM1 and GSTT1
polymorphisms (P<0.05). Controls with GSTM1 null/GSTT1+ heterozygosis presented
with a 2.97 odds protection from having generalized vitiligo (OR=2.97, 95%CI=1.1
7.7) (P=0.02) compared with patients. STUDY LIMITATIONS: Small sample size of
patients. CONCLUSIONS: This study showed a significant trend towards an
association with the combination of the GSTM1/GSTT1 double null polymorphism and
generalized vitiligo. Individuals with GSTM1 null/GSTT1+ heterozygosis have a
2.97 odds protection from having generalized vitiligo compared with patients. It
was is the first time, to our knowledge, that such an association has been
reported.
PMID- 29641698
TI - Nickel, chromium and cobalt: the relevant allergens in allergic contact
dermatitis. Comparative study between two periods: 1995-2002 and 2003-2015.
AB - BACKGROUND: Metals are common agents of allergic contact dermatitis, occupational
or not, with decreasing incidence over the last years in some countries that have
regulated the amount of nickel in objects. OBJECTIVES: To analyze and compare
with previous studies the profile of metal sensitization between 2003-2015.
METHODS: Patients who underwent patch testing between 2003-2015 were evaluated
retrospectively regarding the sensitization rates to metals, the associations
between them, the relationship with profession and epidemiology. RESULTS: Of the
1,386 patients tested, 438 (32%) had positive test to some metal, similar results
to the 404/1,208 (33%) of the previous study (1995-2002) performed at the same
service (p=0.32). The frequency of nickel (77%), cobalt (32%) and chromium (29%)
changed slightly (p=0.20). Most cases of sensitization to chromium were related
to the occupation (64%), in contrast to nickel and cobalt (p<0.0001). There was a
predominance of females among those sensitized to metal in both studies (p=0.63)
and the age group of 20-49 years old (p=0.11); the number of fair-skinned
individuals increased (p<0.001), as well as the lesions in the cephalic segment
(50.5%; p<0.0001) and hands (45%; p<0.0001), which are not the most frequent
location anymore. The number of cleaners decreased (39% vs. 59%; p<0.0001), which
still lead in front of bricklayers/painters, which increased (14% vs. 9%;
p=0.013). The frequency of wet work reduced (65% vs. 81%; p<0.0001). STUDY
LIMITATIONS: The study included a single population group; only patients with
positive tests to metals were considered - the others were not evaluated for the
possibility of false negatives. CONCLUSION: The sensitization to metals,
occupational or not, has been significant over the last 21 years, with few
epidemiological changes.
PMID- 29641700
TI - Application of dermoscopy image analysis technique in diagnosing urethral
condylomata acuminata.
AB - BACKGROUND: In this study, cases with suspected urethral condylomata acuminata
were examined by dermoscopy, in order to explore an effective method for
clinical. OBJECTIVE: To study the application of dermoscopy image analysis
technique in clinical diagnosis of urethral condylomata acuminata. METHODS: A
total of 220 suspected urethral condylomata acuminata were clinically diagnosed
first with the naked eyes, and then by using dermoscopy image analysis technique.
Afterwards, a comparative analysis was made for the two diagnostic methods.
RESULTS: Among the 220 suspected urethral condylomata acuminata, there was a
higher positive rate by dermoscopy examination than visual observation. STUDY
LIMITATIONS: Dermoscopy examination technique is still restricted by its
inapplicability in deep urethral orifice and skin wrinkles, and concordance
between different clinicians may also vary. CONCLUSION: Dermoscopy image analysis
technique features a high sensitivity, quick and accurate diagnosis and is non
invasive, and we recommend its use.
PMID- 29641701
TI - Hyaluronic acid in dermatomyositis and polymyositis: relationship with disease
and cutaneous lesions.
AB - BACKGROUND: There are scarce studies in the literature about hyaluronic acid in
systemic autoimmune myopathies. OBJECTIVES: To analyze the serum level of
hyaluronic acid in patients with dermatomyositis and polymyositis. METHODS: Cross
sectional study, single-center, that evaluated hyaluronic acid in 18
dermatomyositis and 15 polymyositis (Bohan and Peter criteria), newly diagnosed,
with clinical and laboratory activity, with no previous drug treatment. The
patients were also age-, gender- and ethnicity-matched to 36 healthy individuals.
The hyaluronic acid was analyzed by ELISA/EIA kit anti-hyaluronic acid. RESULTS:
There was a higher serum level of hyaluronic acid in patients with autoimmune
myopathies, in relation to control group (P<0.05). Moreover, the serum level of
this glycosaminoglycan was higher in dermatomyositis, when compared to
polymyositis. Both groups were comparable with regard to demographic, clinical
and laboratory data, except for the presence of skin lesions in the first group.
STUDY LIMITATIONS.: The presence of hyaluronic acid in cutaneous lesions,
particularly of patients with dermatomyositis, was not analyzed neither
quantified. In addition, due to disease rarity and the establishment of strict
inclusion and exclusion criteria, there was a small sample in the present study.
CONCLUSIONS: As an example of others systemic autoimmune diseases, it is possible
that the hyaluronic acid is involved in the pathogenesis of autoimmune
myopathies, and particularly when associated with cutaneous lesions.
PMID- 29641699
TI - The potential role of Th17 lymphocytes in patients with psoriasis.
AB - BACKGROUND: Psoriasis is a chronic inflammatory disorder, characterized by
increased keratinocyte proliferation due to abnormal differentiation of basal
keratinocytes. The etiology of the disease is unclear, and according to the
survey results, it is hypothesized that a combination of genetic and
environmental factors prompts an abnormal immune response in patients with
psoriasis. CD4+ Th cells play a multifaceted role in both immune defense and
pathogenesis of certain diseases such as psoriasis. Nonetheless, the exact
contribution of different subpopulations of Th cells in psoriasis is still not
clear. OBJECTIVE: The aim of present study was to determine the mRNA expression
level of RORC as potential inducer of Th17 cell differentiation and expression
pattern of Th17-signature cytokines (IL-17A and IL-22). METHODS: Twenty patients
with psoriasis and twenty-one healthy subjects were included in the study.
Peripheral blood mononuclear cells (PBMCs) were separated and expression of three
genes were determined by quantitative real-time reverse transcriptase PCR (qRT
PCR). Plasma levels of IL-17 and IL-22 were also evaluated by ELISA. RESULTS:
RORC, IL-17A and IL-22 gene expression was significantly higher in patients with
psoriasis compared with healthy controls (P<0.05). In addition, a marked increase
in plasma IL-17A and IL-22 levels was observed in patient group compared to
controls (P<0.001). STUDY LIMITATIONS: small number of patients. CONCLUSION:
These data suggest that Th17 response may contribute to the pathogenesis of
psoriasis.
PMID- 29641702
TI - Chronic urticaria in a health maintenance organization of Buenos Aires, Argentina
- new data that increase global knowledge of this disease.
AB - BACKGROUND: In spite of the frequency of chronic urticaria, there are no
epidemiological studies on its prevalence in Argentina. OBJECTIVE: The objective
of this study was to define the prevalence and epidemiological characteristics of
chronic urticaria patients in Buenos Aires. METHODS: The population studied were
the members of the Italian Hospital Medical Care Program, a prepaid health
maintenance organization located in the urban areas around the Autonomous City of
Buenos Aires, Argentina. All patients with diagnosis of chronic urticaria members
of the Italian Hospital Medical Care Program, and with at least 12 months of
follow up were included in the study. All medical records obtained between
January 1st, 2012 and December 31, 2014 were analyzed. The prevalence ratio for
chronic urticaria per 100,000 population with 95% CI for December 31, 2014 was
calculated. The prevalence rate for the entire population and then discriminated
for adults and pediatric patients (less than 18 years old at diagnosis) was
assessed. RESULTS: 158,926 members were analyzed. A total of 463 cases of chronic
urticaria were identified on prevalence date (68 in pediatrics, 395 in adults),
yielding a crude point prevalence ratio of 0.29% (CI 95% 0.26-0.31%). The
observed prevalence of chronic urticaria in the adult population was 0.34 % (95%
CI 0.31-0.38%), while in pediatrics it was 0.15 % (95% CI 0.11-0.20%). STUDY
LIMITATIONS: the main weakness is that the results were obtained from an HMO and
therefore the possibility of selection bias. CONCLUSIONS: chronic urticaria is a
global condition. Its prevalence in Buenos Aires is comparable with other
countries.
PMID- 29641703
TI - Orofacial granulomatosis and diet therapy: a review of the literature and two
clinical cases.
AB - Orofacial granulomatosis is a nonspecific term that contains a wide variety of
granulomatous entities, which share a clinical and histopathological
presentation. It manifests as persistent or recurrent orofacial swelling, amongst
other findings. Idiopathic orofacial granulomatosis, characterized by an absence
of systemic granulomatous disease, is a diagnosis of exclusion. The main
differential diagnosis is Crohn's disease. Its pathogenesis is unknown, however,
it seems to be immune-mediated. Patch-test sensitivity to multiple allergens is
well documented. Currently, therapeutic options consider restrictive diets,
topical, intralesional, and systemic agents. First-line therapy is currently a
matter of debate. We present a review of the value of diet therapy in this
syndrome, along with two illustrative cases.
PMID- 29641705
TI - Pigmented squamous cell carcinoma: case report and importance of differential
diagnosis.
AB - A few cases of pigmented squamous cell carcinoma affecting the skin and the
ocular and oral mucosa of the elderly have been described in the literature. The
disease manifests itself as papular and nodular erythematous or pigmented
lesions. The main clinical differential diagnoses are pigmented basal cell
carcinoma and melanoma. Histopathological examination is characterized by
proliferation of atypical squamous cells with formation of horn pearls permeated
by dendritic melanocytes. For this reason, a careful diagnosis is necessary to
rule out other tumors that show melanin pigment. Surgical removal is the
indicated treatment and the prognosis is similar to the classical squamous cell
carcinoma. We report a case of pigmented squamous cell carcinoma with diagnosis
confirmed by histological and immunohistochemical examinations.
PMID- 29641704
TI - Erythromelalgia: a cutaneous manifestation of neuropathy?
AB - The low prevalence of erythromelalgia, classified as an orphan disease, poses
diagnostic and therapeutic difficulties. The aim of this review is to be an
update of the specialized bibliography. Erythromelalgia is an infrequent episodic
acrosyndrome affecting mainly both lower limbs symmetrically with the classic
triad of erythema, warmth and burning pain. Primary erythromelalgia is an
autosomal dominant inherited disorder, while secondary is associated with
myeloproliferative diseases, among others. In its etiopathogenesis, there are
neural and vascular abnormalities that can be combined. The diagnosis is based on
exhaustive clinical history and physical examination. Complications are due to
changes in the skin barrier function, ischemia and compromise of cutaneous
nerves. Because of the complexity of its pathogenesis, erythromelalgia should
always be included in the differential diagnosis of conditions that cause chronic
pain and/or peripheral edema. The prevention of crisis is based on a strict
control of triggers and promotion of preventive measures. Since there is no
specific and effective treatment, control should focus on the underlying disease.
However, there are numerous topical and systemic therapies that patients can
benefit from.
PMID- 29641706
TI - Demographics and spatial distribution of the Brazilian dermatologists.
AB - The medical-dermatological demographics favors health planning and guides
expansion of the specialty. We conducted an ecological study of dermatologists
members of the Brazilian Society of Dermatology (SBD). We evaluated: gender, age,
address; which were compared with population and human development index
indicators of municipalities. We evaluated 8384 members, distributed in 527
(9.5%) municipalities throughout Brazil. The female sex represented 78.4% of the
members and the median age was 43 (36-54) years. The median density of
dermatologists was 0.35 (0.21-0.37) per 10,000 inhabitants. The correlation
(Spearman's rho) between density of dermatologists and human development index
was 0.39 (p <0.01). The Brazilian dermatologist is characterized as: female, age
<50 years and presenting an heterogeneous distribution throught the country.
PMID- 29641707
TI - New and developing therapies for atopic dermatitis.
AB - Atopic dermatitis is a common inflammatory skin disease. New understanding in
disease pathogenesis has led to a considerable number of promising new drugs in
development. New topical agents can be especially helpful for children, providing
an alternative to the need for chronic topical corticosteroid use. While many
patients with mild or moderate disease can be managed with topical treatments,
there are unmet needs for recalcitrant and severe cases. New and developing
therapies hold promise for real advances in management of this complex disease.
PMID- 29641708
TI - Lichen simplex chronicus on the scalp: exuberant clinical, dermoscopic, and
histopathological findings.
AB - Lichen simplex chronicus is a skin disease that mostly affects female patients,
with a peak incidence between ages 35 and 50 years. On the scalp, it appears as a
single or multiple oval lesions, showing scaling and hair shaft loss or breakage.
An important dermoscopic feature of the disease are the "broom fibers."
Histopathology reveals the "hamburger" and the "gear wheel" signs. The aim of
this report is to demonstrate a case of lichen simplex chronicus on the scalp
with typical and exuberant clinical, dermoscopic, and histopathological findings.
PMID- 29641709
TI - Atrophic nodular cutaneous amyloidosis.
AB - Primary cutaneous amyloidosis is limited to the skin without involving any other
tissue. Nodular amyloidosis is rare, and atrophic nodular cutaneous amyloidosis
is even rarer. We describe the fourth case of atrophic nodular cutaneous
amyloidosis by searching PubMed databases. A 52-year-old female presented to our
hospital with a 2-year history of orange papules and nodules without subjective
symptom on her right abdomen. Review of systems was negative. Atrophic nodular
amyloidosis may progress to primary systemic disease in up to 7% of cases.
Because our patient had no systemic involvement, she was diagnosed with atrophic
nodular cutaneous amyloidosis based on characteristic symptoms and
histopathologic examination. Routine follow-up for this patient is necessary to
detect any potential disease progression.
PMID- 29641710
TI - Periungual basal cell carcinoma.
AB - Periungual basal cell carcinoma is rare and needs to be differentiated from other
common diseases that affect this region. Several factors are associated with the
development of this tumor, and sun damage seems to play an important role in its
pathogenesis. Dermoscopy of clinically indolent lesions on the nail unit can
shorten the diagnostic process and avoid destructive treatment and functional
damage.
PMID- 29641711
TI - Subcorneal pustular dermatosis in the pediatric age.
AB - Subcorneal pustular dermatosis is a rare pustular eruption which occurs mainly in
middle-aged women and rarely during childhood. We report a case of a 15-year-old
female with a 4-year history of pustular lesions on the proximal region of the
upper limbs with subsequent impairment of the trunk. Physical examination
revealed small pustules distributed on the trunk and proximal region of the
limbs. Histopathology showed a subcorneal pustule and direct immunofluorescence
for IgA, IgM, IgG and fibrinogen was negative, confirming the diagnosis of
subcorneal pustular dermatosis. The patient was treated with dapsone with good
clinical response after one month. Subcorneal pustular dermatosis is a rare
condition and there are only isolated cases reported in the literature in
pediatric patients. Thus, we discuss the main clinical aspects and treatment
response of this condition during childhood.
PMID- 29641712
TI - Panniculitis associated with amyopathic dermatomyositis.
AB - Panniculitis is a rare clinical finding in dermatomyositis, with less than 30
cases reported and there is only one case associated with the amyopathic subtype
described in the literature. The present report describes a 49-year-old female
patient that one year after being diagnosed with amyopathic dermatomyositis,
presented indurated, painful, erythematous to violaceous nodules located on the
upper limbs, thighs and gluteal region. Skin biopsy revealed lobular panniculitis
with a lymphocytic infiltrate. The patient was treated with prednisone and
methotrexate, but remained unresponsive to treatment.
PMID- 29641713
TI - Leprosy and American cutaneous leishmaniasis coinfection.
AB - Brazil is a country with a high prevalence of infectious diseases such as leprosy
and leishmaniasis. However, coinfection of these diseases is still poorly
understood. We report a case of a patient who presented with lepromatous leprosy
and cutaneous-mucosal leishmaniasis at the same period. After clinical,
laboratory, and histopathological diagnosis, the treatment was introduced and the
patient showed important clinical improvement. He was followed in our outpatient
clinic. Both pathologies play an important role in the immune system. Depending
on the immune response profile of the host, diseases may present themselves in
different ways. In this case, the patient showed a divergent immune response for
each disease. We hypothesized that this response is specific for each pathogen.
PMID- 29641714
TI - Unusual size, topography, and surgical resolution of an acquired fibrokeratoma.
AB - Acquired fibrokeratoma is a rare benign skin tumor that usually manifests as a
slow-growing solitary nodular lesion of the digits. We report a case of plantar
acquired fibrokeratoma evidencing its atypical size and topography, in addition
to the treatment with simple surgical excision followed by healing by second
intention. Nondigital fibrokeratomas may occur in 18% of cases and rarely affect
the palmoplantar region. These lesions are usually asymptomatic and show >= 3cm
in size at the time of diagnosis due to delayed diagnosis. Complete surgical
excision is the treatment of choice, which is usually curative. In general,
primary closure is chosen; however healing by second intention becomes an option
in regions of difficult approach.
PMID- 29641715
TI - Corymbiform syphilis associated with three other sexually transmitted infections.
AB - Secondary syphilis can have different clinical presentations, with corymbiform
rash as its rarest manifestation. The disease is characterized by a central
papule surrounded by smaller ones. We report the case of a man who has sex with
man with corymbiform syphilis. The patient was subsequently diagnosed with HIV
infection, hepatitis B, non-gonococcal urethritis, as well as infection of the
central nervous system by treponema. This case not only illustrates a rare
presentation of secondary syphilis, but also demonstrates the importance of
further investigation of sexually transmitted infections, particularly among at
risk patients.
PMID- 29641716
TI - Bullous pyoderma gangrenosum as a predictor of hematological malignancies.
AB - Pyoderma gangrenosum may precede, coexist or follow diverse systemic diseases.
The bullous variety is usually associated with hematologic disorders. From 31
patients with pyoderma gangrenosum diagnosed in our hospital during 10 years,
only 2 presented with the bullous type. One patient had previous diagnosis of
chronic myeloid leukemia. Both had fast-progressive, painful lesions, and both
showed an excellent response to systemic corticosteroids. In less than 3 months
the lesions recurred simultaneously with the progression of chronic myeloid
leukemia in one patient and with the initial diagnosis of acute myeloid leukemia
in the other one. They died in less than four weeks. These findings emphasize the
importance of its timely diagnosis. Cutaneous lesions could be the first
predictor of an underlying disease.
PMID- 29641717
TI - Do you know this syndrome? Ichthyosis associated with neurological condition and
alteration of hairs.
AB - Trichothiodystrophy refers to a heterogeneous group of rare genetic diseases that
affects neuroectodermal-derived tissues with multisystem involvement. The
hallmark of these syndromes is the deficiency of sulfur in hair matrix proteins,
leading to short and brittle hair. Few cases of this rare disorder have been
published. The authors report a case of trichothiodystrophy in a male infant with
ichthyosis, photosensitivity, spastic paraparesis, short stature, and neurologic
and psychomotor retardation. Diagnosis was based on clinical and microscopic
features of hair samples.
PMID- 29641718
TI - Dermoscopy in the diagnosis of juvenile xanthogranuloma.
AB - Juvenile xanthogranuloma is the most common form of non-Langerhans cell
histiocytosis. It manifests clinically as a red-yellow papule, usually showing
spontaneous remission. The diagnosis is based on clinical and histological
findings. We report the use of dermoscopy ("setting sun" pattern) as an adjuvant
tool in the diagnosis of juvenile xanthogranuloma in a female patient presenting
with a 2-month history of a pre-auricular papule.
PMID- 29641720
TI - Case for diagnosis. Atypical genital lesion.
AB - We present a case of a penile lesion with a clinical appearance similar to Mondor
penile disease (thrombosis of the dorsal vein of the penis) or penile sclerosing
lymphangitis. Laboratory evaluation, however, showed a solid lesion, with no
vascular component to Doppler ultrasonography and no treponema to
immunohistochemistry. Histological and serological tests were compatible with
secondary syphilis. The authors reinforce the need for the inclusion of syphilis
in the differential diagnosis of penile cord injuries.
PMID- 29641719
TI - Figurate erythematous lesion by Microsporum canis in immunosuppressed patient.
AB - Dermatophytes are fungi capable of invading keratinized tissues. Isolation of the
fungus with the culture is essential to guide the treatment, because there are
more resistant species like Microsporum canis. The chronic use of corticosteroids
leads to the deregulation of immunity, promoting atypical manifestations of
infections. Topical antifungal therapy is often insufficient, requiring systemic
medications. We describe the case of a patient undergoing systemic corticosteroid
therapy with a large figurate lesion who presented complete response to
exclusively topical treatment.
PMID- 29641721
TI - Polyhexanide 0.2% in treatment of trophic foot ulcers in leprosy - preliminary
study.
AB - Leprosy is a chronic infectious disease caused by Mycobacterium leprae, which
affects peripheral nerves, skin and mucous membranes. The impairment of neural
function as well as sensory or sensory-motor disabilities in leprosy continue to
be a problem that requires careful attention in the management of patients with
the aim to avoid or minimize their progression to prevent sequelae. One of the
most common characteristics of these ulcers is the tendency to chronicity, with
variable therapeutic response. In this article, we shall discuss the therapeutic
management of thirteen trophic leprosy ulcers in eight patients using
polyhexanide 0.2% products.
PMID- 29641722
TI - Genetic ancestry of patients with porphyria cutanea tarda in a country with mixed
races: a cross-sectional study (Rio de Janeiro - Brazil).
AB - Porphyria cutanea tarda has a complex etiology with genetic factors not
completely elucidated. The miscegenation of the Brazilian population has
important implications in the predisposition to diseases. There are no studies
concerning the genetic ancestry of patients with porphyria cutanea tarda from a
mixed population. Thirty patients living in Rio de Janeiro with sporadic
porphyria cutanea tarda were studied for the genetic ancestry through informative
markers - INDELS. There was a significant predominance of European ancestry
across the sample of patients with porphyria cutanea tarda (70.2%), and a small
contribution of African and Amerindian ancestry, 20.1% and 10.9%, respectively.
PMID- 29641723
TI - Evaluation of nickel and cobalt release from mobile phone devices used in Brazil.
AB - Nickel and cobalt are often responsible for metal-induced allergic contact
dermatitis. With the increasing use of cell phones, we observed an increase in
cases reports on telephone-related allergic contact dermatitis. The present study
evaluated nickel and cobalt release from mobile phones used in Brazil. We
evaluated devices of 6 brands and 20 different models using nickel and cobalt
allergy spot tests. Of the 20 models, 64.7% tested positive for nickel, with
41.1% positive results for the charger input and 23.5% for other tested areas.
None of them was positive for cobalt. Nickel release was more common in older
models.
PMID- 29641724
TI - Undifferentiated pleomorphic sarcoma.
PMID- 29641725
TI - Methotrexate-induced mucositis with extra-mucosal involvement after acidental
overdose.
PMID- 29641726
TI - Urban American cutaneous leishmaniasis.
PMID- 29641727
TI - Topical corticosteroid therapy: a treatment option for nevus lipomatosus
cutaneous superficialis?
PMID- 29641728
TI - Giant onychomatricoma.
PMID- 29641729
TI - Fox-Fordyce disease.
PMID- 29641730
TI - Preoperatively undiagnosed papillary thyroid carcinoma in patients
thyroidectomized for benign multinodular goiter.
AB - OBJECTIVE: Incidental thyroid cancers (ITCs) are often microcarcinomas; among
them, the most frequent histotype is the papillary one. The purpose of this study
was to evaluate the rate of papillary thyroid cancer (PTC) in patients
thyroidectomized for benign multinodular goiter. SUBJECT AND METHODS: We
retrospectively evaluated the histological incidence of PTC in 207 consecutive
patients who, in a 1-year period, underwent thyroidectomy for benign multinodular
goiter. All patients came from an iodine-deficient area (Orleans, France) with
three nuclear power stations located in the neighboring areas of the county town.
RESULTS: Overall, 25 thyroids (12.1%) harbored 37 PTC, of which 31 were
microcarcinomas. In these 25 PTC patients, mean age was 55 +/- 10 years (range 30
75), female:male ratio 20:5 (4:1). In 10 patients (40% of 25 and 4.8% of 207),
PTCs were bilateral, and in 7 (2 with microPTCs) the thyroid capsule was
infiltrated. These 7 patients underwent central and lateral cervical lymph node
dissections, which revealed lymph node metastases in one and two cases,
respectively. Radioiodine treatment was performed in 7 cases. Neither mortality
nor transient and permanent nerve injuries were observed. Four (16%) transient
hypocalcaemias occurred as early complications. At last follow-up visit (mean
length of follow-up 17.2 +/- 3.4 months), all patients were doing well and free
of any clinical local recurrence or distant metastases. CONCLUSION: With a 12%
risk that multinodular goiter harbors preoperatively unsuspected PTCs, which can
have already infiltrated the capsule and that can be accompanied by PTC foci
contralaterally, an adequate surgical approach has to be considered.
PMID- 29641731
TI - Reliability of Thyroid Imaging Reporting and Data System (TI-RADS), and
ultrasonographic classification of the American Thyroid Association (ATA) in
differentiating benign from malignant thyroid nodules.
AB - OBJECTIVE: Ultrasonography (US) is the best diagnostic tool for initial
assessment of thyroid nodule. Recently, data reporting systems for thyroid
lesions, such as the Thyroid Imaging Reporting and Data System (TI-RADS) and
American Thyroid Association (ATA), which stratifies the risk for malignancy,
have demonstrated good performance in differentiating malignant thyroid nodules.
The purpose of this study is to determine the reliability of both data reporting
systems in predicting thyroid malignancy in a tertiary care hospital. MATERIALS
AND METHODS: We evaluated 195 thyroid nodules using modified TI-RADS and ATA risk
stratification. The results were compared to the cyto-pathology analysis.
Histopathological results were available for 45 cases after surgery, which is
considered the golden standard for diagnosis of thyroid cancer. RESULTS: When
compared with cytological results, sensitivity, specificity, negative predictive
value (NPV), and accuracy were 100, 61.1, 100, and 63%, respectively, for TI
RADS; and 100, 75, 100, and 76%, respectively, for ATA. When compared with
histopathological results, sensitivity, specificity, NPV, and accuracy were 90,
51.4, 94.7, and 60% respectively, for TI-RADS; and 100, 60, 100, and 68%,
respectively, for ATA. All patients with malignant nodules were classified in the
categories 4 or 5 of TI-RADS and in the intermediate or high suspicion risk
according to the ATA system. CONCLUSION: Both TI-RADS and the ATA guidelines have
high sensitivity and NPV for the diagnosis of thyroid carcinoma. These systems
are feasible for clinical application, allowing to better select patients to
undergo fine-needle aspiration biopsies.
PMID- 29641732
TI - Dynamic changes of central thyroid functions in the management of Cushing's
syndrome.
AB - OBJECTIVE: The aim of this study was to determine the frequency of central
thyroid dysfunctions in Cushing's syndrome (CS). We also aimed to evaluate the
frequency of hyperthyroidism due to the syndrome of the inappropriate secretion
of TSH (SITSH), which was recently defined in patients with insufficient
hydrocortisone replacement after surgery. MATERIALS AND METHODS: We evaluated
thyroid functions (TSH and free thyroxine [fT4]) at the time of diagnosis, during
the hypothalamo-pituitary-adrenal axis recovery, and after surgery in 35 patients
with CS. The patients were separated into two groups: ACTH-dependent CS (group 1,
n = 20) and ACTH-independent CS (group 2, n = 15). Patients' clinical and
laboratory findings were evaluated in five visits in the outpatient clinic of the
endocrinology department. RESULTS: The frequency of baseline suppressed TSH
levels and central hypothyroidism were determined to be 37% (n = 13) and 26% (n =
9), respectively. A negative correlation was found between baseline cortisol and
TSH levels (r = -0.45, p = 0.006). All patients with central hypothyroidism and
suppressed TSH levels showed recovery at the first visit without levothyroxine
treatment. SITSH was not detected in any of the patients during the postoperative
period. No correlation was found between prednisolone replacement after surgery
and TSH or fT4 levels on each visit. CONCLUSION: Suppressed TSH levels and
central hypothyroidism may be detected in CS, independent of etiology. SITSH was
not detected in the early postoperative period due to our adequate prednisolone
replacement doses.
PMID- 29641734
TI - The effect of metabolic surgery on type 1 diabetes: meta-analysis.
AB - OBJECTIVE: Metabolic and bariatric surgery has a definite role in the management
of obese patients with type 2 diabetes mellitus (T2DM). There is also evidence of
such surgery improving the health of type 1 diabetic (T1DM) patients. The aim of
this paper is to explore the effect of metabolic and bariatric surgery on T1DM.
MATERIALS AND METHODS: A comprehensive search of PubMed and Google Scholar was
performed to identify relevant papers reporting metabolic and bariatric surgery
effects on T1DM. A statistical analysis is applied after data synthesis. A forest
plot and Pearson correlation are then calculated. RESULTS: Of the 567 papers that
were identified, 558 articles did not fulfill the inclusion criteria and were
therefore excluded. Nine studies involving 78 patients were selected for this
metaanalysis. There was improvement in HBA1c (p value = 0.40), insulin dose (p
value = 0.0001) and BMI (p value = 0.00001) after surgery. However, improvement
in the HBA1c did not reach statistical significance. There was a weak correlation
between postoperative insulin dose and BMI change after surgery (r = -0.177).
There was a negligible correlation between HBA1c and BMI change after operations
(r = -0.01). CONCLUSION: Current metabolic/bariatric surgery is improving T1DM in
obese and morbidly obese patients. This is not exclusively related to excess
weight loss (EWL) as previously thought. Therefore, there is a role for other
factors, which are potential players to reproduce the same effect in nonobese
T1DM patients.
PMID- 29641733
TI - Impact of historic histopathologic sample review on the risk of recurrence in
patients with differentiated thyroid cancer.
AB - OBJECTIVE: To compare the historic risk of recurrence (RR) and response to
therapy to risk stratification estimated with historical pathology reports (HPRs)
and contemporary re-review of the pathological slides in patients with
differentiated thyroid cancer (DTC). SUBJECTS AND METHODS: Out of 210 DTC
patients with low and intermediate RR who underwent total thyroidectomy and
remnant ablation in our hospital, 63 available historic pathologic samples (HPS)
were reviewed. The RR and the response to therapy were evaluated considering
historical histological features (histological type, tumor size, capsular
invasion, number of lymph node metastases) and then, reassessed after observing
additional histological features (vascular invasion, extrathyroidal extension,
size of lymph node metastases, presence of extranodal extension, and/or status of
the resection margins). RESULTS: A change in the RR category was observed in 16
of 63 cases (25.4%). Out of 46 patients initially classified as low RR, 2
patients were reclassified as intermediate RR, 4 as high RR, and 1 as noninvasive
follicular thyroid neoplasm with papillary-like nuclear features (NIFTP). Out of
17 patients initially classified as intermediate RR, 3 were reassigned to the low
RR group, 5 as high RR, and 1 as NIFTP. The percentages of structural incomplete
response at final follow-up changed from 2.2 to 0% (p = 1) in patients with low
RR and from 6.3 to 20% (p = 0.53) in patients with intermediate RR. CONCLUSION: A
detailed report of specific features in the HPR of patients with DTC might give a
more accurate RR classification and a better estimation of the response to
treatment.
PMID- 29641735
TI - Progranulin concentration in relation to bone mineral density among obese
individuals.
AB - OBJECTIVE: Adipose tissue, particularly visceral adipose tissue, secretes a
variety of cytokines, among which progranulin is a glycoprotein related to the
immune system. Along with other secreted proteins, progranulin may be associated
with bone mineral density. The aim of this study was to find out whether there
are associations between the progranulin and bone mineral density among obese
people. SUBJECTS AND METHODS: This cross-sectional study was conducted on 244
obese participants (aged 22-52). Serum progranulin, high sensitive C-reactive
protein, oxidised-low dencity lipoprotein, tumor necrosis factor-alpha,
parathormone, vitamin D, and interleukins of 1 beta, 4, 6, 10, 13, and 17
concentrations were measured. Anthropometric measurements, body composition and
bone mineral density were also assessed. RESULTS: Serum progranulin was directly
associated with interleukin-6 and interleukin-1beta, while it had a negative
association with interleukin-17 and tumor necrosis factor-alpha. We also observed
a statistically significant direct association between progranulin concentration
and visceral fat, abdominal fat, waist, abdominal and hip circumferences, hip T
score, and Z-score and T-score for the lumbar region. A partial correlation test
has also shown a significant positive correlation regarding serum progranulin and
the hip Z-score. Moreover, progranulin level is inversely associated with
ospteopenia (P = 0.04 and CI: 0.17,0.96). CONCLUSION: Our study revealed that
central obesity may be related to increased progranulin concentration. In
addition, progranulin concentration was directly related to bone formation
parameters, which indicates the protective effects of progranulin on bone
density. Further studies are needed to clarify the exact mechanisms underlying
these associations.
PMID- 29641736
TI - Impact of self-reported fasting duration on lipid profile variability,
cardiovascular risk stratification and metabolic syndrome diagnosis.
AB - OBJECTIVE: We sought to investigate the impact of self-reported fasting duration
times on the lipid profile results and its impact on the cardiovascular risk
stratification and metabolic syndrome diagnosis. SUBJECTS AND METHODS: We
analyzed data from all consecutive individuals evaluated in a comprehensive
health examination at the Hospital Israelita Albert Einstein from January to
December 2015. We divided these patients in three groups, according to the
fasting duration recalled (< 8h, 8-12h and > 12h). We calculated the global
cardiovascular risk and diagnosed metabolic syndrome according to the current
criteria and estimated their change according to fasting duration. RESULTS: A
total of 12,196 (42.3 +/- 9.2 years-old, 30.2% females) patients were evaluated.
The distribution of cardiovascular risk was not different among groups defined by
fasting duration in both men and women (p = 0.547 for women and p = 0.329 for
men). Similarly, the prevalence of metabolic syndrome was not influenced by the
fasting duration (p = 0.431 for women and p = 0.166 for men). CONCLUSION: Self
reported fasting duration had no significant impact on the lipid profile results,
including triglyceride levels. Consequently, no changes on the cardiovascular
risk stratification using the Framingham risk score nor changes on the prevalence
of metabolic syndrome were noted.
PMID- 29641737
TI - Effect of one time high dose "stoss therapy" of vitamin D on glucose homeostasis
in high risk obese adolescents.
AB - OBJECTIVE: To study the effect of using a one time high dose "stoss therapy" of
vitamin D2 (ergocalciferol: VD2) on indices of insulin sensitivity {whole body
sensitivity index: WBISI} and secretion {insulinogenic index: IGI} measured
during an oral glucose tolerance test (OGTT) in obese adolescents with VDD (25
OHD; serum metabolite of vit D: < 30 ng/dL). SUBJECTS AND METHODS: In a
randomized placebo controlled cross over design 20 obese adolescents with vitamin
D deficiency (VDD) had baseline OGTT. Arm A received one time high dose 300,000
IU of ergocalciferol and Arm B received placebo. After 6 weeks the adolescents
were reassigned to Arm A if they were in Arm B and vice versa. 25OHD, calcium,
parathyroid hormone, comprehensive metabolic panel, urine calcium creatinine
ratio were measured at each study visit. OGTTs to assess indices of sensitivity
and secretion were done at baseline, 6 weeks and 12 weeks respectively. RESULTS:
Adolescents were obese and insulin resistant (mean +/- SD: mean age = 15.1 +/-
1.9 years; BMI: 32.7 +/- 9.8; homeostatic model of insulin resistance: HOMA-IR:
4.2 +/- 2.8). Stoss therapy with VD2 increased 25OHD from baseline (16.7 +/- 2.9
to 19.5 +/- 4.5; p = 0.0029) when compared to the placebo. WBISI (2.8 +/- 1.9)
showed a trend towards improvement in Rx group (p = 0.0577) after adjustment for
covariates. IGI (3 +/- 2.2) showed an improvement in both Rx and placebo groups.
CONCLUSIONS: Our study demonstrated that using a high dose of VD2 (300,000 IU)
did not have any beneficial effect on insulin sensitivity (whole body sensitivity
index {WBISI}) and secretory indices (insulinogenic index {IGI}) in obese
adolescents. High dose "stoss therapy" of VD2 did not appear to have any
beneficial effect on glucose homeostasis on obese adolescents.
PMID- 29641738
TI - Clinical outcomes of low and intermediate risk differentiated thyroid cancer
patients treated with 30mCi for ablation or without radioactive iodine therapy.
AB - OBJECTIVE: To retrospectively evaluate the outcomes of patients with low and
intermediate risk thyroid carcinoma treated with total thyroidectomy (TT) and who
did not undergo radioiodine remnant ablation (RRA) and to compare them to
patients receiving low dose of iodine (30 mCi). SUBJECTS AND METHODS: A total of
189 differentiated thyroid cancer (DTC) patients treated with TT followed by
30mCi for RRA or not, followed in two referral centers in Brazil were analyzed.
RESULTS: From the 189 patients, 68.8% was ATA low-risk, 30.6% intermediate and
0.6% high risk. Eighty-seven patients underwent RRA and 102 did not. The RRA
groups tended to be younger and had a higher frequency of extra-thyroidal
extension (ETE). RRA did not have and impact on response to initial therapy
neither in low (p = 0.24) nor in intermediate risk patients (p = 0.66). It also
had no impact on final outcome and most patients had no evidence of disease (NED)
at final follow-up. Recurrence/persistence of disease was found in 1.2% of RRA
group and 2% in patients treated only with TT (p = 0.59). CONCLUSIONS: Our study
shows that in low and intermediate-risk patients, RRA with 30 mCi seems to have
no major advantage over patients who did not undergo RRA regarding response to
initial therapy in each risk group and also in long term outcomes.
PMID- 29641739
TI - Effects of drying and storage conditions on the stability of TSH in blood spots.
AB - OBJECTIVE: To evaluate the influence of sample drying and storage temperature on
TSH stability in neonatal screening. SUBJECTS AND METHODS: Blood samples from 29
adult volunteers as a surrogate for neonatal blood (10 with normal TSH, 9 with
overt hypothyroid and 10 with subclinical hypothyroidism) were spotted on filter
paper and dried at 22 degrees C or 35 degrees C for 3 hours. The samples were
then stored at 22 degrees C, -4 degrees C, or -20 degrees C, and TSH measurements
were performed at day 0 (D0), D7, D30, D60, D180, and D360 of storage. RESULTS:
The drying temperature did not interfere with TSH measurement on D0. TSH values
remained stable up to D30 when stored at 22 degrees C and were stable up to D60
when stored in a refrigerator or freezer. Samples stored at 22 degrees C had a
greater decrease in TSH values than samples stored in a refrigerator or a
freezer. CONCLUSIONS: Freezer storage is not advantageous compared to storage in
the refrigerator. At the end of one year, if confirmation of the initial result
is required, a reduction of TSH concentrations should be taken into account.
PMID- 29641740
TI - Diagnostic utility of DREAM gene mRNA levels in thyroid tumours.
AB - OBJECTIVE: The transcriptional repressor DREAM is involved in thyroid-specific
gene expression, thyroid enlargement and nodular development, but its clinical
utility is still uncertain. In this study we aimed to investigate whether DREAM
mRNA levels differ in different thyroid tumors and how this possible difference
would allow the use of DREAM gene expression as molecular marker for diagnostic
and/or prognosis purpose. MATERIALS AND METHODS: We quantified DREAM gene mRNA
levels and investigated its mutational status, relating its expression and
genetic changes to diagnostic and prognostic features of 200 thyroid tumors,
being 101 malignant [99 papillary thyroid carcinomas (PTC) and 2 anaplastic
thyroid carcinomas] and 99 benign thyroid lesions [49 goiter and 50 follicular
adenomas (FA)]. RESULTS: Levels of mRNA of DREAM gene were higher in benign
(0.7909 +/- 0.6274 AU) than in malignant (0.3373 +/- 0.6274 AU) thyroid lesions
(p < 0.0001). DREAM gene expression was able to identify malignancy with 66.7%
sensitivity, 85.4% specificity, 84.2% positive predictive value (PPV), 68.7%
negative predictive value (NPV), and 75.3% accuracy. DREAM mRNA levels were also
useful distinguishing the follicular lesions FA and FVPTC with 70.2% sensitivity,
73.5% specificity, 78.5% PPV, 64.1% NPV, and 71.6% accuracy. However, DREAM gene
expression was neither associated with clinical features of tumor aggressiveness,
nor with recurrence or survival. Six different genetic changes in non-coding
regions of DREAM gene were also found, not related to DREAM gene expression or
tumor features. CONCLUSION: We suggest that DREAM gene expression may help
diagnose thyroid nodules, identifying malignancy and characterizing follicular
patterned thyroid lesions; however, it is not useful as a prognostic marker.
PMID- 29641742
TI - Study of contacts of individuals diagnosed with sexually transmitted infections
in the correctional setting.
PMID- 29641741
TI - Short-term insulin intensive therapy decreases MCP-1 and NF-kappaB expression of
peripheral blood monocyte and the serum MCP-1 concentration in newlydiagnosed
type 2 diabetics.
AB - OBJECTIVE: To observe the effect of short-term insulin intensive treatment on the
monocyte chemoattractant protein-1 (MCP-1) as well as on the nuclear factor-kappa
B (NF-kappaB) expression of peripheral blood monocyte. This is also in addition
to observing the serum MCP-1 level in newlydiagnosed type 2 diabetic patients and
probing its anti-inflammation effects. SUBJECTS AND METHODS: Twenty newly
diagnosed type 2 diabetic patients were treated with an insulin intensive
treatment for 2 weeks. MCP-1 and NF-kappaB expression on the monocyte surface
were measured with flow cytometry, the serum MCP-1 level was measured by enzyme
linked immunosorbent assay (ELISA) during pretreatment and post-treatment.
RESULTS: After 2 weeks of the treatment, MCP-1 and NF-kappaB protein expression
of peripheral blood monocyte and serum MCP-1 levels decreased significantly
compared with those of pre-treatment, which were (0.50 +/- 0.18)% vs (0.89 +/-
0.26)% (12.22 +/- 2.80)% vs (15.53 +/- 2.49)% and (44.53 +/- 3.97) pg/mL vs
(49.53 +/- 3.47) pg/mL, respectively (P < 0.01). The MCP-1 expression on monocyte
surface had a significant positive relationship with serum MCP-1 levels (r =
0.47, P < 0.01). CONCLUSIONS: Short-term insulin intensive therapy plays a role
in alleviating the increased inflammation reaction in type 2 diabetics.
PMID- 29641743
TI - Prevalence and associated risk factors of latent tuberculosis infection in a
Spanish prison.
AB - Aim: To determine the prevalence of latent tuberculosis infection (LTI) in a
Spanish prison, analyze the main sociodemographic and clinical variables
associated with this condition and estimate the percentage of individuals with
LTI who have received chemoprophylactic treatment. Materials and methods: Cross
sectional study including inmates hosted in the Madrid VI Prison on 16/07/2016.
Exclusion criteria: history of tuberculosis; non-updated tuberculin test
according to the Tuberculosis Prevention and Control Program in Prisons protocol.
Information of the variables was collected from SANIT and SIP programs, and by
checking the clinical records of inmates. Description of the participant
population and comparison between the frequency of distribution of the
independent variables in LTI present and absent groups were performed, the last
calculating the p value with Ji2 and Mann-Whitney U tests. Bivariate and
multivariate analysis have been carried out with a logistic regression model.
Results: 936 individuals have been included. The prevalence of LTI in prison is
54.6%. This condition has been linked to the sociodemographic variables age, sex
and nationality of origin, being age the one that has shown the strongest
association. Among the other factors analyzed, only HCV infection behaves as a
predictor of LTI. 30.3% of the individuals with LTI have completed or are
receiving chemoprophylactic treatment in the moment of the study. Discussion: LTI
prevalence is high in the Spanish current prison population. The results of the
study emphasize the relevance of the LTI screening in the prison setting,
specially among high risk groups, and point out the need of a greater effort in
the indication and completion of the chemoprophylactic treatment.
PMID- 29641744
TI - Risk factors associated with drug use before imprisonment in Peru.
AB - Objective: To assess the prevalence of drug abuse before prison admission and to
identify associated sociodemographic and family history risk factors, according
to gender, in prisons of Peru. Materials and methods: A secondary analysis was
carried out with data from the First National Prisoner Census 2016, using a
questionnaire of 173 items that was applied to the whole prison population of
Peru. The types of drugs used before admission were analyzed according to
characteristics of the penitentiary population, and generalized linear models
were used to calculate prevalence ratios with 95% confidence intervals to
identify possible factors associated with drug use. Results: Out of a population
of 76,180 prisoners, 71,184 (93.4%) answered the survey (men 67,071, 94.2%). The
overall prevalence of drug consumption before admission was 24.4% (25.3 % in men
and 9.1% in women), the highest prevalence in the 18-29 age group (36.3% in men
and 14.9% in women). The most commonly used drugs were marijuana (58.2%), coca
paste/cocaine or crack (40.3%) and inhalants (1%). The factors most strongly
associated with consumption were having a family member who consumed drugs
(59.8%), history of previous imprisonment (59.1%), unemployment (48.4%),
relationships at school with classmates who had problems with the law (46.9%),
background of a family member who attended a penitentiary (38.4%), and history of
running away from home before age 15 (35.9%). Conclusions: In Peru, drug use is
higher in the prison population than in the general population, and there are
differences according to sex in the prevalence of drug use and associated factors
prior to admission to a prison. The study demonstrated that childhood events,
such as child abuse, having a family member imprisoned, having a family member
who used drugs, or who previously abused alcohol, are factors associated with
drug use in the penitentiary population. Some of these risk factors are
modifiable, so it is important to consider these in the design of social and
health policies focused on specific subpopulations to prevent drug use and crime.
PMID- 29641745
TI - Availability and use of healthcare resources in prisons according to the
transference model: a comparative study in Spain.
AB - Objective: In most European countries, correctional healthcare provision is under
strain or in the process of change regarding its management model and the
implications that such modifications may entail for the improvement of inmates'
health. This paper compares the functioning and the results of health management
in a facility whose healthcare service is integrated within an autonomic health
system and others which depend on the department of corrections. Materials and
methods: Cross-sectional study where the study unit is the facility itself. From
the data collected from the record of Monthly Health Statistics of Penitentiary
Institutions we have constructed a series of indicators according to the
recommendations of the National Health System. Results: The physician to nurse
ratio per inmate is higher in the transferred facility. Only the transferred
establishment has telemedicine resources. Attendance to primary health services
is higher that in all non-transferred establishments, while the mean attendance
to specialized consultation in the transferred facility doubles that of the
remaining centers. Discussion: We have observed greater access to specialized
care in the correctional facility managed by the community health system when
compared to that of the facilities managed by Penitentiary Institutions. This
statement is based on a series of effectivity indicators and results that have
provided significantly improved outcome in the transferred facility.
PMID- 29641746
TI - The demonstration.
PMID- 29641747
TI - Physical activity in prison: should it be a first-line healthcare intervention?
PMID- 29641748
TI - Physicochemical properties of calcium silicate-based formulations MTA Repair HP
and MTA Vitalcem.
AB - OBJECTIVE: This study aimed to analyze the following physicochemical properties:
radiopacity, final setting time, calcium release, pH change, solubility, water
sorption, porosity, surface morphology, and apatite-forming ability of two
calcium silicate-based materials. MATERIAL AND METHODS: We tested MTA Repair HP
and MTA Vitalcem in comparison with conventional MTA, analyzing radiopacity and
final setting time. Water absorption, interconnected pores and apparent porosity
were measured after 24-h immersion in deionized water at 37 degrees C. Calcium
and pH were tested up to 28 d in deionized water. We analyzed data using two-way
ANOVA with Student-Newman-Keuls tests (p<0.05). We performed morphological and
chemical analyses of the material surfaces using ESEM/EDX after 28 d in HBSS.
RESULTS: MTA Repair HP showed similar radiopacity to that of conventional MTA.
All materials showed a marked alkalinizing activity within 3 h, which continued
for 28 d. MTA Repair HP showed the highest calcium release at 28 d (p<0.05). MTA
Vitalcem showed statistically higher water sorption and solubility values
(p<0.05). All materials showed the ability to nucleate calcium phosphate on their
surface after 28 d in HBSS. CONCLUSIONS: MTA Repair HP and MTA Vitalcem had
extended alkalinizing activity and calcium release that favored calcium phosphate
nucleation. The presence of the plasticizer in MTA HP might increase its
solubility and porosity. The radiopacifier calcium tungstate can be used to
replace bismuth oxide.
PMID- 29641749
TI - Dynamics of the seasonal airborne propagation of Staphylococcus aureus in
academic dental clinics.
AB - OBJECTIVE: Staphylococcus aureus strains can be disseminated during dental
treatments and occasionally lead to the contamination and infection of patients
and dentists, which is an important public health problem. The dynamics of the
airborne propagation and the genetic diversity of S. aureus isolated in an
academic dental clinic environment were investigated using isoenzyme typing.
Materials and MethodsThe isoenzymes of 44 previously reported isolates were
obtained from fresh cultures and extracted using glass beads. Nine isoenzymes
were investigated using multilocus enzyme electrophoresis (MLEE). The genetic
diversity and relationship among the strains (electrophoretic type - ET) were
determined using statistics previously described by Nei25 (1972) and the SAHN
grouping method (UPGMA algorithm). RESULTS: Clonal pattern analyses indicated a
high level of genetic polymorphism occurring among the 33 ETs, which were grouped
into five taxa. Each taxon presented one or more clusters that were moderately
related and that contained two or more identical/highly related isolates,
revealing seasonal airborne propagation in these dental clinic environments.
CONCLUSIONS: These data suggest the occurrence of active microevolutionary
processes in S. aureus as well as the possibility of environmental propagation
during a 14-month time span. Such findings are important to show that multiuser
academic dental clinics can retain certain strains that are spreadable to
different niches.
PMID- 29641750
TI - The impact of nonsyndromic cleft lip with or without cleft palate on oral health
related quality of life.
AB - : Nonsyndromic cleft lip with or without cleft palate (NSCL+/-P) compromises oral
health, leading to missing or malformed teeth, and hampering oral hygiene. Apart
from anatomic damages, NSCL+/-P also culminates in an impact on the routine
quality of life with social privation and psychological embarrassment. OBJECTIVE:
To evaluate the impact of NSCL+/-P on oral health-related quality of life.
MATERIAL AND METHODS: The study was classified as exploratory and descriptive,
with quantitative approach. Patients with NSCL+/-P treated between August 2013
and September 2014 at the Cleft Lip and Palate Integral Care Center (CAIF),
Curitiba, Brazil, were invited to participate. Age and sex-related data were
collected, as well as level of education, financial income, type of orofacial
cleft, use of orthodontic and prosthetic appliances, and number of previous
orofacial surgeries. Selected patients were asked to answer the Oral Impact on
Daily Performance (OIDP) questionnaire designed to measure the impact of oral
health on daily performances. RESULTS: The sample was composed of 103 (44.59%)
women and 128 (55.41%) men, with mean age of 19.74 +/- 10.20 (7-65) years. The
OIDP values ranged from 1 to 175 in 114 (49.35%) patients (mean: 22.38), whereas
117 patients (50.65%) presented total OIDP value equal to zero. High negative
impact of NSCL+/-P on daily performances was detected when associated with the
female sex (p=0.037). Daily performances related to phonetics (OIDP2; 2.63) and
aesthetics (OIDP5; 2.48) presented the highest average values when compared to
other daily performances, except OIDP6. The main symptoms and reported oral
problems comprised the aesthetic dissatisfaction. CONCLUSIONS: Almost half of the
patients evaluated in this study showed negative impact of NSCL+/-P in the
performance of daily activities.
PMID- 29641751
TI - Association between TNFalpha - 308 G/A polymorphism and oral lichen planus (OLP):
a meta-analysis.
AB - OBJECTIVES: To determine whether Tumor Necrosis Factor alpha (TNFalpha) -308 G/A
polymorphism is associated with oral lichen planus (OLP). MATERIAL AND METHODS: A
systematic electronic search of the literature was conducted to identify all
published studies on the association between TNFalpha -308 G/A polymorphism and
OLP. All case-control studies evaluating the TNFalpha -308 G/A polymorphisms in
OLP were selected. A meta-analysis of the studies that fulfilled the inclusion
criteria was performed. Odds ratios (OR) with 95% confidence intervals (CI) were
also calculated. RESULTS: Seven studies comprising 450 OLP cases and 867 controls
were included in the meta-analysis. In the pooled analysis, TNFalpha -308 G/A
polymorphism was associated with OLP with random effects and OR of 2.33
(95%CI=1.07-5.11; p=0.03), assuming a dominant mode of inheritance (AA+GA vs.
GG). In the subgroup analysis by ethnicity, TNFalpha -308 G/A was associated with
a significantly increased odds ratio of OLP in mixed ethnicity (OR=5.22;
95%CI=1.93-14.15; p=0.001), but not in Asians (OR=1.57; 95%CI=0.54-4.54; p=0.41)
or Caucasians (OR=1.45; 95%CI=0.19-11.22; p=0.72). For subgroup analysis based on
HCV (hepatitis C virus) infection status, significant increased risk of OLP was
found among patients with mixed HCV infection status (OR=3.77; 95%CI=1.07-13.2;
p=0.038), but not in patients without HCV infection (OR=2.09; 95%CI=0.63-6.91;
p=0.22) and patients with HCV infection (OR=0.48; 95%CI=0.13-1.69; p=0.25).
CONCLUSION: Our results suggest that -308 G/A polymorphism in TNFalpha is a
potential genetic marker for OLP.
PMID- 29641753
TI - Thinning regimes and initial spacing for Eucalyptus plantations in Brazil.
AB - This study focuses on the effects of different thinning regimes on clonal
Eucalyptus plantations growth. Four different trials, planted in 1999 and located
in Bahia and Espirito Santo States, were used. Aside from thinning, initial
planting density, and post thinning fertilization application were also
evaluated. Before canopy closure, and therefore before excessive competition
between trees took place, it was found that stands planted under low densities
(667 trees per hectare) presented a lower mortality proportion when compared to
stand planted under higher densities (1111 trees per hectare). However, diameter
growth prior to thinning operations was not statistically different between these
two densities, presenting an overall mean of 4.9 cm/year. After canopy closure
and the application of the thinning treatments, it was found that thinning
regimes beginning early in the life of the stand and leaving a low number of
residual trees presented the highest diameter and height growth. Unthinned
treatments and thinning regimes late in the life of the stand (after 5.5 years),
leaving a large number of residual trees presented the highest values of basal
area production. The choice of the best thinning regime for Eucalyptus clonal
material will vary according to the plantation objective.
PMID- 29641752
TI - The effect of periodontal therapy on neopterin and vascular cell adhesion
molecule-1 levels in chronic periodontitis patients with and without acute
myocardial infarction: a case-control study.
AB - : The presence of neopterin in gingival crevicular fluid (GCF) is a marker for
local and acute immune activation, and the presence of vascular cell adhesion
molecule (VCAM-1) in GCF is accepted as a marker for chronic vascular
inflammation. OBJECTIVES: This study aimed to evaluate effects of periodontal
treatment on GCF levels of neopterin and VCAM-1 in patients with chronic
periodontitis (CP) with acute myocardial infarction (AMI) compared with
systemically healthy CP patients. MATERIAL AND METHODS: Sixty subjects (20 CP
patients with AMI, 20 healthy CP patients, and 20 healthy controls) were
included. GCF samples were analyzed at baseline and after 3 and 6 months, and the
probing pocket depth (PD), clinical attachment level (CAL), bleeding on probing,
gingival (GI) and plaque (PI) indices were recorded. We determined neopterin and
VCAM-1 levels (concentration and total amount) using enzyme-linked immunosorbent
assay (ELISA). No significant differences were seen between the AMI+CP and CP
groups for PI, GI, GCF levels of neopterin and VCAM-1 at baseline. RESULTS: The
number of teeth with 5 mm<=CAL<7 mm and CAL>=7 mm were significantly increased in
the AMI+CP group at baseline. There were no significant differences between the
AMI+CP and CP for PI, CAL, GCF volumes, and the AMI+CP group had the highest
clinical improvement in the number of teeth with 5 mm<=CAL<7 mm at the sixth
month. There were significant positive correlations between clinical periodontal
inflammation and the presence of neopterin and VCAM-1 in GCF prior to and
following periodontal treatment, and between the GCF volume and clinical
parameters. CONCLUSIONS: Data suggest that the total amount and concentration of
neopterin and VCAM-1 in GCF seemed to be closely associated with periodontal
disease severity in CP patients with AMI. Moreover, the results of our study
demonstrate that the past periodontal status is potentially correlated between
groups, with similar periodontal disease severity.
PMID- 29641754
TI - How to live and do science in a changing world.
PMID- 29641755
TI - Wall function treatment for bubbly boundary layers at low void fractions.
AB - The present work investigates the role of different treatments of the lower
boundary condition on the numerical prediction of bubbly flows. Two different
wall function formulations are tested against experimental data obtained for
bubbly boundary layers: (i) a new analytical solution derived through asymptotic
techniques and (ii) the previous formulation of Troshko and Hassan (IJHMT, 44,
871-875, 2001a). A modified k-e model is used to close the averaged Navier-Stokes
equations together with the hypothesis that turbulence can be modelled by a
linear superposition of bubble and shear induced eddy viscosities. The work
shows, in particular, how four corrections must the implemented in the standard
single-phase k-e model to account for the effects of bubbles. The numerical
implementation of the near wall functions is made through a finite elements code.
PMID- 29641756
TI - Yungahelea, a new genus of predaceous midge from northwestern Argentina
(Culicomorpha: Ceratopogonidae).
AB - A new monotypic genus and species of predaceous midge from the southernmost area
of the Argentinean Yungas, Yungahelea australis Spinelli and Ronderos, is
described and illustrated from male and female adults. It belongs to a group
containing the Ceratopogonini genera Parabezzia Malloch, Diaphanobezzia Ingram
and Macfie, Spinellihelea Borkent, Grogan and Picado, Leptohelea Wirth and
Blanton, and Fittkauhelea Wirth and Blanton. Phylogenetic interpretation
indicates that Yungahelea is the sister group of Spinellihelea or the clade
composed by Parabezzia and Diaphanobezzia.
PMID- 29641757
TI - Invertebrate herbivory on floating-leaf macrophytes at the northeast of
Argentina: should the damage be taken into account in estimations of plant
biomass?
AB - We assessed the damage produced by invertebrate herbivores per leaf lamina and
per m2 of populations floating-leaf macrophytes of Neotropical wetlands in the
growth and decay periods, and assessed if the damage produced by the herbivores
should be taken into account in the estimations of plant biomass of these
macrophytes or not. The biomass removed per lamina and per m2 was higher during
the growth period than in decay period in Nymphoides indica and Hydrocleys
nymphoides, while Nymphaea prolifera had low values of herbivory in growth
period. During decay period this plant is only present as vegetative propagules.
According to the values of biomass removed per m2 of N. indica, underestimation
up to 17.69% should be produced in cases that herbivory do not should be taking
account to evaluate these plant parameters on this macrophyte. Therefore, for the
study of biomass and productivity in the study area, we suggest the use of
corrected lamina biomass after estimating the biomass removed by herbivores on N.
indica. The values of damage in N. indica emphasize the importance of this
macrophyte as a food resource for invertebrate herbivores in the trophic networks
of the Neotropical wetlands.
PMID- 29641758
TI - Antiedematogenic activity of the indole derivative N-salicyloyltryptamine in
animal models.
AB - The N-salicyloyltryptamine (NST) is an indole derivative compound analogue to the
alkaloid N-benzoyltryptamine. In the present study, the antiedematogenic activity
of NST was investigated in animal models. Firstly, the acute toxicity for NST was
assessed according to the OECD Guideline no. 423. The potential NST-induced
antiedematogenic activity was evaluated by carrageenan-induced paw edema in rats,
as well as by dextran-, compound 48/80-, histamine-, serotonin-, capsaicine-, and
prostaglandin E2-induced paw edema in mice. The effect of NST on compound 48/80
induced ex vivo mast cell degranulation on mice mesenteric bed was investigated.
No death or alteration of behavioral parameters was observed after administration
of NST (2000 mg/kg, i.p.) during the observation time of 14 days. The NST (100
and 200 mg/kg, i.p.) inhibited the carrageenan-induced edema from the 1st to the
5th hour (**p<0.01; ***p<0.001). The edematogenic activity induced by dextran,
compound 48/80, histamine, serotonin, capsaicin, and prostaglandin E2 was
inhibited by NST (100 mg/kg, i.p.) throughout the observation period (**p<0.01;
***p<0.001). The pretreatment with NST (50, 100 or 200 mg/kg, i.p) attenuates the
compound 48/80-induced mast cell degranulation (**p<0.01; ***p<0.001). Thus, the
inhibition of both mast cell degranulation and release of endogenous mediators
are probably involved in the NST-induced antiedematogenic effect.
PMID- 29641759
TI - UV induced surface modification on improving the cytocompatibility of metallocene
polyethylene.
AB - Demand for medical implants is rising day by day as the world becomes the place
for more diseased and older people. Accordingly, in this research, metallocene
polyethylene (mPE), a commonly used polymer was treated with UV rays for
improving its biocompatibility. Scanning electron microscopy (SEM) images
confirmed the formation of crests and troughs, which depicts the improvement of
surface roughness of mPE substrates caused by UV etching. Accordingly, the
contact angle measurements revealed that the wettability of mPE-2.5 J/cm2
(68.09o) and mPE-5 J/cm2 (57.93o) samples were found to be increased compared to
untreated mPE (86.84o) indicating better hydrophilicity. Further, the UV treated
surface exhibited enhanced blood compatibility as determined in APTT (untreated
mPE- 55.3 +/- 2.5 s, mPE-2.5 J/cm2 - 76.7 +/- 4.1 s and mPE-5 J/cm2 - 112.3 +/- 2
s) and PT (untreated mPE - 24.7 +/- 1.5 s, mPE- 2.5 J/cm2 - 34.3 +/- 1.1 s and
mPE-5 J/cm2 - 43 +/- 2 s) assay. Moreover, the treated mPE-2.5 J/cm2 (4.88%) and
mPE-5 J/cm2 (1.79%) showed decreased hemolytic percentage compared to untreated
mPE (15.40%) indicating better safety to red blood cells. Interestingly, the
changes in physicochemical properties of mPE are directly proportional to the
dosage of the UV rays. UV modified mPE surfaces were found to be more compatible
as identified through MTT assay, photomicrograph and SEM images of the seeded 3T3
cell population. Hence UV-modified surface of mPE may be successfully exploited
for medical implants.
PMID- 29641760
TI - Quantification of anti-nutritional factors and their correlations with protein
and oil in soybeans.
AB - Soybeans contain about 30% carbohydrate, mainly consisting of non-starch
polysaccharides (NSP) and oligosaccharides. NSP are not hydrolyzed in the
gastrointestinal tract of monogastric animals. These NSP negatively affect the
development of these animals, especially the soluble fraction. This work aimed to
establish a method to quantify NSP in soybeans, using high performance liquid
chromatography (HPLC), and to estimate correlations between NSP,
oligosaccharides, protein and oil. Sucrose, raffinose + stachyose, soluble and
insoluble NSP contents were determined by HPLC. Oil and protein contents were
determined by near-infrared spectroscopy (NIRS). The soluble PNAs content showed
no significant correlation with protein, oil, sucrose and raffinose + stachyose
contents, but oligosaccharides showed a negative correlation with protein
content. These findings open up the possibility of developing cultivars with low
soluble NSP content, aiming to develop feed for monogastric animals.
PMID- 29641761
TI - Toxicity and motor changes in Africanized honey bees (Apis mellifera L.) exposed
to fipronil and imidacloprid.
AB - This study evaluated the in vitro toxicity and motor activity changes in African
derived adult honey bees (Apis mellifera L.) exposed to lethal or sublethal doses
of the insecticides fipronil and imidacloprid. Mortality of bees was assessed to
determine the ingestion and contact lethal dose for 24 h using probit analysis.
Motor activities in bees exposed to lethal (LD50) and sublethal doses (1/500th of
the lethal dose) of both insecticides were evaluated in a behavioral observation
box at 1 and 4 h. Ingestion and contact lethal doses of fipronil were 0.2316 ?
0.0626 and 0.0080 ? 0.0021 MUg/bee, respectively. Ingestion and contact lethal
doses of imidacloprid were 0.1079 ? 0.0375 and 0.0308 ? 0.0218 MUg/bee,
respectively. Motor function of bees exposed to lethal doses of fipronil and
imidacloprid was impaired; exposure to sublethal doses of fipronil but not
imidacloprid impaired motor function. The insecticides evaluated in this study
were highly toxic to African-derived A. mellifera and caused impaired motor
function in these pollinators.
PMID- 29641762
TI - Changes in adipose cells of Longissimus dorsi muscle in Iberian pigs raised under
extensive conditions.
AB - Twenty-four Iberian castrated male pigs were used to characterize and evaluate
the effect of the duration of "Montanera" in the adipocytes size and its relation
with the backfat thickness and intramuscular fat. The animals were fed under
extensive conditions during 30, 60 and 90 days in the "Dehesa" before
slaughtered. Carcass weight, percentage of intramuscular fat, thickness of
backfat and its three layers and adipocytes size of the intramuscular fat were
obtained. The group which expended 90 days on fattening obtained the highest
adipocytes, with an area higher by a 50% than those that only expended 30 days.
The differences in diameter and perimeter adipocyte were not as marked as area. A
significant positive correlation between the diameter, area and perimeter of
adipocyte with the backfat thickness were found. The fat cells in Iberian pig
hypertrophy during the "montanera stage", being this increase significant from
month to month in this period of fattening. Also, this adipocyte increase is
correlated with the animal weight. The correlation between adipocyte size and
inner layer of backfat shows that the inner layer obtained in live pig by
ultrasound techniques could be a good marker of fat infiltration in pigs
fattening in "montanera" system.
PMID- 29641763
TI - Estimating Stand Height and Tree Density in Pinus taeda plantations using in-situ
data, airborne LiDAR and k-Nearest Neighbor Imputation.
AB - Accurate forest inventory is of great economic importance to optimize the entire
supply chain management in pulp and paper companies. The aim of this study was to
estimate stand dominate and mean heights (HD and HM) and tree density (TD) of
Pinus taeda plantations located in South Brazil using in-situ measurements,
airborne Light Detection and Ranging (LiDAR) data and the non- k-nearest neighbor
(k-NN) imputation. Forest inventory attributes and LiDAR derived metrics were
calculated at 53 regular sample plots and we used imputation models to retrieve
the forest attributes at plot and landscape-levels. The best LiDAR-derived
metrics to predict HD, HM and TD were H99TH, HSD, SKE and HMIN. The Imputation
model using the selected metrics was more effective for retrieving height than
tree density. The model coefficients of determination (adj.R2) and a root mean
squared difference (RMSD) for HD, HM and TD were 0.90, 0.94, 0.38m and 6.99,
5.70, 12.92%, respectively. Our results show that LiDAR and k-NN imputation can
be used to predict stand heights with high accuracy in Pinus taeda. However,
furthers studies need to be realized to improve the accuracy prediction of TD and
to evaluate and compare the cost of acquisition and processing of LiDAR data
against the conventional inventory procedures.
PMID- 29641764
TI - Relationships of rice yield and quality based on genotype by trait (GT) biplot.
AB - An experiment was conducted to examine the influencing characters on rice by
using 64 rice genotypes, including four local landraces, four released cultivars
and 56 mutant lines (M5) derived from these genotypes, with application of the
genotype by trait (GT) biplot methodology. The first two principal components
(PC1 and PC2) accounted for 46.6% of total variation in 64 genotypes. The polygon
view of GT biplot suggested seven sections for 64 genotypes. The vertex G38 had
good amounts of grain yield, panicle length, hundred grain weight, internodes
length, plant height and fertility percentage. Generally based on vector view it
was demonstrated that the selection of high grain yield would be performed via
thousand grain weight, panicle weight and number of filled grain per panicle.
These traits should be considered simultaneously as effective selection criteria
evolving high yielding rice genotypes because of their large contribution to
grain yield. The genotypes G2, G4 and G7 could be considered for the developing
of desirable progenies in the selection strategy of rice improvement programs.
This study revealed GT biplot can graphically display the interrelationships
among traits. In conclusion, it is recommended the use of GGE biplot to identify
superior genotypes for simultaneous improvement of several traits.
PMID- 29641765
TI - Timing and placement of cattle manure and/or gliricidia affects cotton and
sunflower nutrient accumulation and biomass productivity.
AB - Organic fertilizers are a viable alternative to increase oilseed productivity in
family agriculture systems. The study aimed to evaluate the effects of timing and
placement of cattle manure and/or gliricidia (Gliricidia sepium Jacq. Walp)
prunings on cotton (Gossipium hirsutum L.) and sunflower (Helianthus annuus L.)
nutrient accumulation and biomass productivity. Experiments were carried out in
2010 and 2011 in Taperoa, Paraiba, Brazil. The organic fertilization treatments
were: GI - gliricidia incorporated before planting; GS - gliricidia applied on
surface 45 days after planting (DAP); MI + GI - manure and gliricidia
incorporated before planting; MI + GS - manure incorporated before planting and
gliricidia applied on the surface 45 DAP; MI - manure incorporated before
planting; and T - with no organic fertilization. In 2010, treatment MI + GS
increased N, P, and K accumulation in cotton (12 and 7 kg ha-1) as well as in
sunflower (20 and 29 kg ha-1). In 2011, GI and GS treatments resulted in higher
N, P, K accumulations in both crops. The highest cotton productivity in 2010 was
obtained with MI + GS treatment (198 kg ha-1) and in 2011 with GS treatment (594
kg ha-1). For sunflower, MI + GS treatment yielded the highest productivity in
2010 (466 kg ha-1) and GI treatment in 2011 (3542 kg ha-1). GI and MI + GS
treatments increased total biomass productivity for cotton and sunflower. The
treatment that combined both cattle manure incorporated into the soil before
planting and gliricidia applied on the surface 45 days after planting was the
most viable management strategy.
PMID- 29641766
TI - Heartwood and sapwood in eucalyptus trees: non-conventional approach to wood
quality.
AB - This study evaluated the quality of heartwood and sapwood from mature trees of
three species of Eucalyptus, by means of the qualification of their proportion,
determination of basic and apparent density using non-destructive attenuation of
gamma radiation technique and calculation of the density uniformity index. Six
trees of each species (Eucalyptus grandis - 18 years old, Eucalyptus tereticornis
- 35 years old and Corymbia citriodora - 28 years old) were used in the
experimental program. The heartwood and sapwood were delimited by macroscopic
analysis and the calculation of areas and percentage of heartwood and sapwood
were performed using digital image. The uniformity index was calculated following
methodology which numerically quantifies the dispersion of punctual density
values of the wood around the mean density along the radius. The percentage of
the heartwood was higher than the sapwood in all species studied. The density
results showed no statistical difference between heartwood and sapwood.
Differently from the density results, in all species studied there was
statistical differences between uniformity indexes for heartwood and sapwood
regions, making justifiable the inclusion of the density uniformity index as a
quality parameter for Eucalyptus wood.
PMID- 29641767
TI - Statistical tools application on dextranase production from Pochonia
chlamydosporia (VC4) and its application on dextran removal from sugarcane juice.
AB - The aim of this study was to optimize the dextranase production by fungus
Pochonia chlamydosporia (VC4) and evaluate its activity in dextran reduction in
sugarcane juice. The effects, over the P. chlamydosporia dextranase production,
of different components from the culture medium were analyzed by Plackett-Burman
design and central composite design. The response surface was utilized to
determine the levels that, among the variables that influence dextranase
production, provide higher production of these enzymes. The enzymatic effect on
the removal of dextran present in sugarcane juice was also evaluated. It was
observed that only NaNO3 and pH showed significant effect (p<0.05) over
dextranase production and was determined that the levels which provided higher
enzyme production were, respectively, 5 g/L and 5.5. The dextranases produced by
fungus P. chlamydosporia reduced by 75% the dextran content of the sugarcane
juice once treated for 12 hours, when compared to the control treatment.
PMID- 29641768
TI - Apparent digestibility of conventional and alternative feedstuffs by hybrid
tambacu juveniles.
AB - The apparent digestibility coefficients (ADC's) of dry matter (DM), crude protein
(CP), and gross energy (GE) of conventional feedstuffs (cottonseed meal-CSM,
soybean meal-SBM, wheat bran-WHB, corn-COR) and regional alternative feedstuffs
(common bean residue meal-CBR, mesquite meal-MES, pasta by-product meal-PBM) were
determined for hybrid juvenile tambacu Colossoma macropomum * Piaractus
mesopotamicus. The apparent DM and GE digestibility of feedstuffs decreased
(p<0.05) as fiber content of the ingredients increased. No differences (p>0.05)
were found among ADC's of PBM and COR. The lowest CP ADC among energy sources was
observed in MES; the lowest CP ADC among plant protein sources was observed in
CBR. Information about the ADC's of conventional and alternative feedstuffs for
tambacu is essential to formulate low-cost diets and can contribute to regional
development.
PMID- 29641769
TI - Modification of the Alere GIARDIA Ag TEST immunochromatography KIT methodology
for its use in frozen fecal sediment of dogs and cats.
AB - Giardia duodenalis is a worldwide intestinal parasite and is one of the most
frequent protozoa species infecting dogs and cats. This study aimed to modify the
methodology of Alere GIARDIA Ag TEST KIT for its use in frozen fecal sediments
with different storage times in a freezer (-20 degrees C), thus expanding the
range of use of this methodology. One hundred fecal sediments from dogs (n=50)
and cats (n=50) previously examined by optical microscopy for Giardia cysts were
selected for this study. The agreement between the modified immunochromatography
and microscopy results was calculated by Kappa coefficient. To evaluate the
performance of the modified immunochromatography assay on samples with different
storage time, the fecal sediments were divided into three groups according to the
time of storage in a freezer: (a) <= 1 year (n=37); (b) > 1 year and <= 3 years
(n=39); (c) > 10 years (max. 13 years) (n=24). The results obtained by the
modified immunochromatography assay demonstrates a higher sensitivity of this
technique when compared with microscopy, regardless of the frozen storage time.
These results allow for the use of this methodology in a greater scope of
analysis, especially in frozen fecal sediment triage in sample collections,
enabling epidemiological and comparative analysis along different decades.
PMID- 29641770
TI - Optimization of RT-PCR reactions in studies with genes of lignin biosynthetic
route in Saccharum spontaneum.
AB - Saccharum spontaneum has been used for the development of energy cane a crop
aimed to be used for the production of second-generation ethanol, or
lignocellulosic ethanol. Lignin is a main challenge in the conversion of cell
wall sugars into ethanol. In our studies to isolate the genes the lignin
biosynthesis in S. spontaneum we have had great difficulty in RT-PCR reactions.
Thus, we evaluated the effectiveness of different additives in the amplification
of these genes. While COMT and CCoAOMT genes did not need any additives for other
genes there was no amplification (HCT, F5H, 4CL and CCR) or the yield was very
low (CAD and C4H). The application of supplementary cDNA was enough to overcome
the non-specificity and low yield for C4H and C3H, while the addition of 0.04%
BSA + 2% formamide was effective to amplify 4CL, CCR, F5H and CCR. HCT was
amplified only by addition of 0.04% BSA + 2% formamide + 0.1 M trehalose and
amplification of PAL was possible with addition of 2% of DMSO. Besides
optimization of expression assays, the results show that additives can act
independently or synergistically.
PMID- 29641771
TI - Holistic Critical Thinking in the Nursing Diagnostic Process.
PMID- 29641772
TI - Portuguese Primary Care physicians response rate in surveys: A systematic review.
AB - INTRODUCTION: Surveys are a useful tool in primary care. However, low response
rates can introduce selection bias, impairing both external and internal
validity. The aim of this study was to assess the average response rate in
surveys with Portuguese general practitioners (GPs). METHOD: We searched the
Medline, Web of Science, Scopus, Embase, PsychInfo, SciELO, IndexRMP, RCAAP,
Revista Portuguesa de Medicina Geral e Familiar, Acta Medica Portuguesa and the
proceedings of conferences of general practice from incepton to December 2016. We
included all postal, e-mail, telephone and personal surveys to primary care
physicians without language restrictions. We did not assess risk of bias of
included studies, since the main outcome was survey response rate. We performed
planned subgroup analyses of the use of monetary incentives, the use of non
monetary incentives, survey delivery modes and prior contact with participants.
RESULTS: A total of 1,094 papers were identified and 37 studies were included in
this review. The response rate in surveys done to Portuguese GPs was 56% (95CI 47
64%). There was substantial heterogeneity among included studies (I2=99%), but
subgroup analysis did not explain this heterogeneity. CONCLUSION: Consistent with
other published studies, the average response rate in surveys done with
Portuguese GPs was 56%, with substantial variation among studies. Use of monetary
incentives, one of the most effective strategies to increase response rates, was
not present in any of the included studies.
PMID- 29641773
TI - Breast cancer and hormonal contraception: Should we rethink our concepts?
PMID- 29641774
TI - Dyslipidemia and maternal obesity: Prematurity and neonatal prognosis.
AB - OBJECTIVE: To identify the changes caused by dyslipidemia and obesity in
pregnancy suggesting causes for premature birth, and the prognosis for the
newborn. METHOD: Systematic review based on the Medline, Lilacs, Embase and
Cochrane library databases between 1996 and 2016. The search for studies included
the following keywords: "dyslipidemia, pregnancy, obesity, preterm birth." A
protocol was programmed and a protocol for inclusion/exclusion of studies was
implemented. RESULTS: Of the 5,789 articles initially selected between March 1996
and July 2016, only 32 were in accordance with the established criteria. Of
these, 28.12% discussed risk factors of prematurity; 37.50%, metabolic
alterations and gestational dyslipidemia; 21.87%, dyslipidemic complications in
preterm birth; and 12,50%, lipid metabolism, glycemic and placental transfer.
CONCLUSION: There is a reduced adaptation of obese pregnant women to the
metabolic changes of gestation. This favors dyslipidemic intercurrences in the
mother, which, directly or indirectly, suggests the occurrence of premature
births and high lipid transfer to the fetus. Therefore, preterm newborns, whose
mothers were dyslipidemic during pregnancy, have greater risk of epicardial fat,
both in early (first year of life) and in later (adult) phases of life.
PMID- 29641775
TI - Is serum angiotensin converting enzyme level a useful non-invasive marker for
liver fibrosis in patients with chronic hepatitis C?
AB - OBJECTIVE: Chronic hepatitis C (CHC) continues to be a critical problem. The
liver fibrosis score is the most valuable tool in determining treatment and
prognosis. Liver biopsy is still considered a gold method but, due to unmet
needs, new non-invasive markers are required. The aim of this study was to
investigate any possible relationship between serum angiotensin-converting enzyme
(ACE) levels and the stages of liver fibrosis in patients with CHC. METHOD: A
total 100 CHC and 100 healthy subjects were enrolled in this study. The
relationship between serum ACE level and the stages liver fibrosis was
investigated using three different formats, as follows: (group [G]-I, classic
Ishak's Score from F1 to F6; G-II, mild [F1-2], moderate [F3-4] and severe [F5
6]; G-III, mild [<= F2] and advanced [F > 2]). The clinical usability of serum
ACE level for both groups was also investigated. RESULTS: Median serum ACE levels
were higher in the healthy group than in CHC (42.5 [7-119] vs. 36 [7-91] U/I,
p=0.002). There was no statistical difference among the three different fibrosis
groups (G-I, G-II, G-III, p=0.797, p=0.986, and p=0.874) and no correlation
between serum ACE level and the stages of liver fibrosis (r=0.026, p=0.923). The
usability of serum ACE for evaluated patients with CHC and healthy subjects were
calculated as 47% and 64%, respectively. CONCLUSION: Our study indicated that
there is no relationship or correlation between serum ACE levels and stages of
liver fibrosis in patients with CHC. The assessment of serum ACE level using
genetically corrected reference values may provide more accurate results.
PMID- 29641776
TI - A review on the relationship between marital adjustment and maternal attachment.
AB - OBJECTIVE: To determine the relationship between marital adjustment of mothers
who have babies between 1-4 months old and their maternal attachment; as well as
the relationship of maternal attachment and marital adjustment with
sociodemographic characteristics. METHOD: The research is descriptive and
correlational. Its sample consists of 113 mothers. Maternal Attachment Index
(MAI) and Marital Adjustment Scale (MAS) are used as data collection tools.
RESULTS: We found that, for mothers who participated in this research, the
average level of maternal attachment is 92.17 +/- 8.49, and the average level of
marital adjustment is 43.06 +/- 7.90. We discovered that the maternal attachment
level is higher for mothers who have completed high school and university, those
who breastfeed their babies exclusively and whose spouses help care for the baby.
We also discovered that the Marital Adjustment Score is higher among mothers who
are employed, get married by companionship (not arranged), continue attending
pregnancy classes and whose duration of marriage is between 1-5 years and 10-15
years. There is weak positive relationship (r=0.38; p=0.00) between marital
adjustment and maternal attachment; and the regression analysis that is run to
explain this relationship is statistically significant (F=26.131; p<0.05).
CONCLUSION: In our study, the level of maternal attachment was high, while the
level of marital adjustment was liminal. There are many factors affecting
sociodemographic characteristics, pregnancy and baby care. The level of marital
adjustment for mothers increases the maternal attachment.
PMID- 29641777
TI - The clinical efficacy and safety of paclitaxel combined with avastin for NSCLC
patients diagnosed with malignant pleural effusion.
AB - OBJECTIVE: The current study aimed to investigate the clinical efficacy of
paclitaxel combined with avastin for non-small cell lung cancer (NSCLC) patients
diagnosed with malignant pleural effusion (MPE). METHOD: Total of 33 patients
diagnosed with NSCLC as well as malignant pleural effusion were included. All of
them received paclitaxel (175 mg/m2) and avastin (5 mg/kg). Clinical efficacy was
evaluated using the total response rate, overall survival, progression-free
survival and changes in MPE volume. Adverse events and rates of toxicities were
examined as well. RESULTS: The total response rate reached 77% while the overall
survival and the median progression-free survival were respectively 22.2 months
and 8.4 months. Toxicities of grade 3-4 consisted of neutropenia in 57% of
patients, anemia in 17% of them, febrile neutropenia in 11%, as well as anorexia
in 7%. No treatment-correlated deaths were found. CONCLUSION: Paclitaxel combined
with avastin decreased MPE volume and increased survival rate of NSCLC patients
via inhibiting vascular endothelial growth factor expression.
PMID- 29641778
TI - Efficacy and safety of ceftazidime-avibactam in the treatment of complicated
intra-abdominal infections (CIAIs) and complicated urinary tract infections
(CUTIs): A meta-analysis of randomized controlled trials.
AB - OBJECTIVE: The aim of this study was to assess the efficacy and safety of
ceftazidime-avibactam in the treatment of complicated intra-abdominal infections
(CIAIs) and complicated urinary tract infections (CUTIs) with meta-analysis
method. METHOD: We included six randomized clinical trials identified from
Medline, Embase, Cochrane Library, "ISRCTN Register" and "ClinicalTrials.gov"
which compared ceftazidime-avibactam with comparison group. The meta-analysis was
performed using Review Manager software version 5.3. RESULTS: Ceftazidime
avibactam versus active comparisons demonstrated a statistically significant
higher rate of microbiological response success on microbiological evaluable
populations at the test-of-cure visit (95CI 1.10-2.38, p=0.02) and late-follow-up
visit (95CI 1.09-2.23, p=0.02) for the treatment of CUTIs. Ceftazidime-avibactam
versus active comparisons demonstrated a statistically significant higher rate of
microbiological response success on EME populations at the test-of-cure visit
(95CI 1.08-4.27, p=0.03) and late-follow-up visit (OR=1.75, 95CI 1.33-2.29,
p<0.0001) for the treatment of CUTIs. Similar results were obtained at the late
follow-up visit (OR = 1.58, 95CI 1.26-1.97, p<0.0001) on microbiologically
modified intent-to-treat (mMITT) populations for the treatment of CUTIs. We can
find better eradication rates for E. coli and Klebsiella pneumoniae based on
mMITT populations. In terms of AEs, SAEs and mortality, ceftazidime-avibactam had
a safety and tolerability profile broadly similar to the comparison group.
CONCLUSION: This meta-analysis provides evidence of the efficacy of ceftazidime
avibactam as a potential alternative for the treatment of patients with CUTIs,
and CIAIs.
PMID- 29641779
TI - Quality of life in a sample of Brazilian adults using the generic SF-12
questionnaire.
AB - OBJECTIVE: This study describes the summary scores of the Short Form-12 (SF-12)
questionnaire, according to socio-demographic factors obtained in a probabilistic
and representative sample of the Brazilian urban population. METHOD: Five
thousand (5,000) individuals, over the age of 15, were assessed in 16 capital
cities, in the five regions of the country. The selection of households was
random. Face-to-face approach was applied in the household interviews. The SF-12
questionnaire was used to assess quality of life. Demographic and socioeconomic
characteristics were also evaluated: gender, age, marital status, skin color,
region of the country and use of the public health service. RESULTS: The mean
value (SD) of the SF-12 for the entire population was 49.3 (8.7) for the physical
component (PCS-12) and 52.7 (9.7) for the mental component (MCS-12). Statistical
differences were found for gender (PCS-12 and MCS-12), age (PCS-12) and working
status (PCS-12 and MCS-12). Women, elderly, widowed and unemployed individuals,
those with lower income and with complaints in the last seven days showed lower
mean values (PCS-12 and MCS-12). CONCLUSION: From this point forward, we can
provide the basis for comparisons with future research that use the SF-12 for
quality of life assessment in Brazil. The Brazilian population has a lower degree
of quality of life related do the physical component, and the SF-12 is a useful
and discriminative instrument for assessing quality of life in different socio
demographic groups.
PMID- 29641780
TI - Selenium supplementation in pediatric patients using parenteral nutrition: Is it
time to do something?
AB - OBJECTIVE: To analyze the nutritional status of selenium and verify the effect of
its supplementation in pediatric patients during 14 days of parenteral nutrition
(PN). METHOD: This is a series of cases with patients followed for two weeks
while using PN. Data collection was performed at the beginning (T0), in the 7th
(T1) and 14th days of PN (T2). The supplemented group received 2 ug/kg/day of
selenous acid. Weight and height were measured for nutritional status assessment.
Tests requested: plasma selenium, albumin, pre-albumin, C-reactive protein (CRP),
total cholesterol and HDL-cholesterol. RESULTS: Fourteen (14) patients with
inflammatory process and with low or very low weight for their ages were
evaluated. In both groups (with and without supplementation), all patients had
low selenium levels. Median plasma selenium concentrations were 17.4 ug/L (T0),
23.0 ug/L (T1) and 20.7 ug/L (T2). Increase and reduction of selenium occurred
both in patients with high CRP and in those presenting normalization of this
parameter. CONCLUSION: Lower plasma selenium levels have been detected since the
start of the research and supplementation (2 ug/kg/day of selenous acid) was not
to enough to approach the reference values.
PMID- 29641781
TI - Osteoporotic vertebral compression fracture - Treatment with kyphoplasty and
vertebroplasty.
PMID- 29641782
TI - Esophageal lichen planus: An unusual cause of dysphagia in the elderly.
AB - An 82-year-old man sought our service with dysphagia and was referred for upper
endoscopy with biopsies, which evidenced multiple ulcers of the esophagus and
oropharinx. Histopathology confirmed the unusual diagnosis of esophageal lichen
planus. The correct clinical suspicion of this disease can facilitate the
diagnosis and guide specific treatment, which can drastically change the natural
course of the disease.
PMID- 29641783
TI - Fulminant liver failure in a street runner: Effects of heat stroke.
AB - We present a clinical case of liver failure induced by heat stroke.
PMID- 29641784
TI - Current guidelines for prostate cancer screening: A systematic review and minimal
core proposal.
AB - OBJECTIVE: Considering the importance of screening for prostate cancer, the
possibility of damage resulting from indiscriminate screening and the difficulty
of disclosure and adherence to the main guidelines on the subject, we aimed to
identify current guidelines, look for common approaches and establish a core of
conducts. METHOD: Systematic review of the literature on screening practice
guidelines for prostate cancer searching the databases PubMed, Lilacs and Google
Scholar and active search in the sites of several national health entities.
RESULTS: Twelve (12) guidelines were selected, whose analysis resulted in the
identification of six common points of conduct, with the following minimum core
of recommendations: (1) screening indication or not: must be individualized, and
preceded by an informed decision; (2) tests used: PSA with or without rectal
digital examination; (3) age at which initiate testing in men in general risk: 50
55 years; (4) age at which to initiate testing in men at increased risk: 40-45
years; (5) the interval between screening: annual or biennial; and (6) age at
which to discontinue testing: 70 years-old or life expectancy less than 10 years.
CONCLUSION: Although there are differences between them, it was possible to
establish a minimum core of conducts that may be useful in the daily practice of
the physician.
PMID- 29641785
TI - Both glucocentric and cardiocentric approaches are necessary for a resilient
disease such as diabetes.
AB - Diabetes mellitus (DM) is a complex disease that compromises almost all systems
in the human organism. Independently of the intrinsic mechanisms, the source of
all consequences of DM is hyperglycemia, a condition associated to intense
metabolic changes that will lead to increased morbidity and mortality in the long
term. Several different therapeutic hypoglycemic oral agents were developed and
significantly facilitated the treatment of hyperglycemia acting at different
sites, since patients could take more than one agent. This glucocentric approach
was somehow criticized as those hypoglycemic drugs have shown weaker than
expected benefits in terms of cardiovascular outcomes and there was a sub use of
statins and antihypertensive agents in this population. On the other hand, the
catastrophic cardiovascular consequences of hypoglycemia in older adults
submitted to tight glycemic control and the results of recent clinical trials
that showed impressive reduction in cardiovascular outcomes with less potent
antidiabetic agents seem to pave the way to a cardiocentric approach including a
lax treatment of DM. Interestingly, the results obtained in recent studies with
SGLT2 inhibitors are being mostly attributed to mechanisms other than its
hypoglycemic effect in spite of including patients at high cardiovascular risk
already taking hypoglycemic agents. Considering the worldwide growing number of
patients with diabetes, caregivers must follow a dialectical thinking and choose
a synthesis approach where glycemic control is the first and foremost target to
be achieved, followed by control of cardiovascular risk factors.
PMID- 29641786
TI - Human leishmaniasis in Brazil: A general review.
AB - Leishmaniasis is a disease with ample clinical spectrum and epidemiological
diversity and is considered a major public health problem. This article presents
an overview of the transmission cycles, host-parasite interactions, clinical,
histological and immunological aspects, diagnosis and treatment of various forms
of the human disease.
PMID- 29641787
TI - Nasal mites (Mesostigmata, Rhinonyssidae) in Sternidae (Aves: Charadriiformes) on
the southern Coast of Brazil.
AB - Six species of birds of the family Sternidae are often found on the southern
coast of South America. Sterna trudeaui, S. hirundinacea, Thalasseus maximus, T.
acuflavidus and Sternula superciliaris are South American residents and Sterna
hirundo, a Nearctic migrant. At least 500 species of nasal mites have been
described around the world, and Rhinonyssidae is the most diverse family. These
mites are bloodsucking endoparasites that inhabit the respiratory system of
birds. This study aimed to report on occurrences of nasal mites in Sternidae on
the southern coast of Brazil. Of the 106 birds analyzed, 8.5% (9 birds) were
parasitized by nasal mites. This report provides the first record in the
Neotropical region for two mite species, Sternostoma boydi and Larinyssus
orbicularis parasitizing Thalasseus acuflavidus and Sternula superciliaris. No
nasal mites were found in Sterna trudeaui or Thalasseus maximus. One host
individual (T. acuflavidus) was parasitized by two species of nasal mites, S.
boydi and L. orbicularis.
PMID- 29641788
TI - Molecular detection of Anaplasmataceae agents in Dasyprocta azarae in
northeastern Brazil.
AB - Recently, the importance of wild-living rodents for maintenance of pathogens of
the family Anaplasmataceae in the environment was investigated. These mammals
play a role as reservoirs for these pathogens and act as hosts for the immature
stages of tick vectors. The aim of the present study was to investigate the
prevalence of Ehrlichia sp. and Anaplasma sp. in 24 specimens of Azara's agouti
(Dasyprocta azarae) that had been trapped in the Itapiraco Environmental Reserve,
in Sao Luis, Maranhao, northeastern Brazil, using molecular methods. Four animals
(16.7%) were positive for Ehrlichia spp. in nested PCR assays based on the 16S
rRNA gene. In a phylogenetic analysis based on the 16S rRNA gene, using the
maximum likelihood method and the GTRGAMMA+I evolutionary model, Ehrlichia sp.
genotypes detected in Azara's agoutis were found to be closely related to E.
canis and to genotypes relating to E. canis that had previously been detected in
free-living animals in Brazil. The present work showed the first molecular
detection of Ehrlichia sp. in Azara's agoutis in Brazil.
PMID- 29641789
TI - Prevalence of Eimeria spp. in calves from dairy farms in northern Parana state,
Brazil.
AB - Bovine coccidiosis is a disease of major importance in cattle herds across the
world. The disorder mainly affects young calves, and E. bovis and E. zuernii are
considered the most pathogenic species of the genus, however, E. alabamensis have
been described in grazing calves. In this study, the prevalence of Eimeria spp.
was evaluated in calves on dairy farms in the northern region of the state of
Parana, Brazil. Four hundred calves on 44 dairy farms were tested for the
presence of coccidian oocysts. The positives were re-examined and the oocysts
were morphometrically analyzed for species identification. All the farms were
contaminated and 205 animals (51.25%) presented Eimeria spp. oocysts. Among
these, 146 animals (71.22%) were co-infected by two or more species of coccidia.
Ten species of Eimeria were identified: E. bovis (in 30.25% of the positive
samples), E. alabamensis (26.75%), E. zuernii (22.00%), E. ellipsoidalis
(18.50%), E. auburnensis (13.75%), E. canadensis (8.00%), E. cylindrica (7.25%),
E. subspherica (5.00%), E. bukidnonensis (3.00%) and E. brasiliensis (0.75%).
This study demonstrates the high prevalence of Eimeria spp. in the northern
region of Parana, Brazil, and detection for the first time in our region the
pathogenic species E. alabamensis.
PMID- 29641790
TI - Morphological effects on helminth parasites caused by herbicide under
experimental conditions.
AB - Helminth parasites have been studied as potential accumulators for different
pollutants. Echinostoma paraensei is a foodborne trematode whose vertebrate host,
the rodent Nectomys squamipes, is naturally exposed to environmental pesticides.
However, little information exists regarding the pesticide's effects on
helminths. This study investigated the morphological effects on the trematode, E.
paraensei, after experimental Roundup(r) herbicide exposure, in concentrations
below those recommended for agricultural use. After two hours of exposure,
scanning electron microscopy (SEM) showed changes to the tegument, such as
furrowing, shrinkage, peeling, spines loss on the peristomic collar, and
histopathological evidence of altered cells in the cecum and acinus vitelline
glands with vacuoles and structural changes to the muscular layers. Glycidic
content was decreased, primarily in the connective tissue. As E. paraensei is an
intestinal parasite of the semi-aquatic wild rodent, N. squamipes, it is
predisposed to pesticide exposure resulting from agricultural practices.
Therefore, we emphasize the need to evaluate its impact on helminth parasites,
due to their pivotal role in regulating host populations.
PMID- 29641791
TI - Comparative study of three xenic media culture for cultivation of Balantidium
coli strains.
AB - The aim of the present study was to evaluate the growth rate of Balantidium coli
in three xenic media cultures. Between 2013 and 2015, 10 B. coli isolates
obtained from feces of Cynomolgus macaques, and 30 isolates from feces of pigs
were studied. An inoculum of 500 trophozoites was transferred to tubes containing
LES, TYSGM-9 and Pavlova media. These cultures were evaluated at incubation times
of 24, 48, 72 and 96 hours. In most of strains analyzed wasn't showed significant
difference in the growth rate comparing TYSGM-9 and Pavlova media (Wilcoxon
p>0.016). In Pavlova medium, the trophozoites showed a maximum growth at 72 hours
with significant difference when compared with the times of 24 h and 96 h
(Wilcoxon <0.008). In LES, viable trophozoites were observed until 24 hours, with
a significant difference (Friedman p<0.05, Wilcoxon p<0.016) in the number of
parasite cells compared with Pavlova and TYSGM-9 media cultures. Thus, LES medium
seemed to be less adequate than the other media for maintenance of B. coli.
Despite the satisfactory results in TYSGM-9, Pavlova medium was considered ideal
for the maintenance of this protozoan strain, guaranteeing the viability of the
parasite with subculture every three days, presenting lower costs.
PMID- 29641793
TI - Endoparasites in domestic animals surrounding an Atlantic Forest remnant, in Sao
Paulo State, Brazil.
AB - Morro do Diabo State Park (MDSP) is a significant remnant of the Atlantic Rain
Forest in Brazil and is surrounded by rural properties. In that area, wild and
domestic animals and humans are in close contact, which facilitates the two-way
flow of infectious diseases among them. We assessed endoparasites in domestic
livestock from all rural properties surrounding MDSP. There were sampled 197
cattle, 37 horses, 11 sheep, 25 swine, 21 dogs, one cat and 62 groups of chickens
from 10 large private properties and 75 rural settlements. Eimeria spp. was
present in almost all hosts, excepted in horses, dogs and cats. Giardia cysts
were present only in cattle. Nematodes were found in swine, ruminants and horses
in high prevalence. Ancylostoma, Toxocara and Sarcocystis were found in dogs.
Chickens were found with coccidia, Ascaridida and Capillaria spp.. These
parasites can cause malnutrition and reproductive disorders for their hosts.
Strategies to prevent and control the spread of endoparasites can improve
wildlife, animal and human health in this area.
PMID- 29641794
TI - Neospora caninum in properties in the west region of Parana, Brazil: prevalence
and risk factors.
AB - Neospora caninum is a heteroxenous protozoa, whose definitive hosts are canids
and intermediate hosts are herbivores, and is of great importance in cattle. The
objectives of this study were to determine the prevalence of N. caninum in dairy
cattle and dogs, to detect the presence of the protozoa at the molecular level in
aborted fetuses, and to identify the risk factors associated with infection in
properties in the western region of the state of Parana. For this study, 600
bovine serum samples from 60 properties, 163 canine serum samples from 52
properties and 17 bovine fetuses from nine properties were collected. Data were
collected using an epidemiological questionnaire to verify the risk factors.
Serum samples were analyzed using the indirect fluorescent antibody test. Fetal
tissues were analyzed using polymerase chain reaction and subsequent DNA
sequencing. Of the bovine samples, 23.67% were positive for N. caninum. Among the
canine samples, 11.66% were positive for N. caninum. Risk factors in cattle were
history of abortion, low milk production, extensive breeding, and Jersey breed
(p<0.05). Protozoan DNA was detected in 52.94% of the 17 fetuses and the
sequencing presented high similarity with N. caninum.
PMID- 29641795
TI - Detection and molecular characterization of Cryptosporidium spp. in captive
canaries (Serinus canaria) using different diagnostic methods.
AB - This study used several diagnostic methods to examine the occurrence of and
molecularly characterize Cryptosporidium spp. in captive canaries (Serinus
canaria) in southern and southeastern Brazil. A total of 498 fecal samples were
purified by centrifugal-flotation using Sheather's solution. Cryptosporidium spp.
diagnosis was performed using three diagnostic methods: malachite green negative
staining, nested PCR targeting the 18S rRNA gene, followed by sequencing the
amplified fragments, and duplex real-time PCR targeting the 18S rRNA specific to
detect Cryptosporidium galli and Cryptosporidium avian genotype III. The overall
positivity for Cryptosporidium spp. (total samples positive in at least one
protocol) from the microscopic analysis, nested PCR and duplex real-time PCR
protocol results was 13.3% (66/498). The positivity rates were 2.0% (10/498) and
4.6% (23/498) for Cryptosporidium spp. by microscopy and nested PCR,
respectively. Sequencing of 20 samples amplified by nested PCR identified C.
galli (3.0%; 15/498), Cryptosporidium avian genotype I (0.8%; 4/498) and
Cryptosporidium avium (0.2%; 1/498). Duplex real-time PCR revealed a positivity
of 7.8% (39/498) for C. galli and 2.4% (12/498) for avian genotype III. Malachite
green negative staining differed significantly from nested PCR in detecting
Cryptosporidium spp. Duplex real-time PCR was more sensitive than nested
PCR/sequencing for detecting gastric Cryptosporidium in canaries.
PMID- 29641796
TI - Control of sheep gastrointestinal nematodes using the combination of Duddingtonia
flagrans and Levamisole Hydrochloride 5.
AB - The objective was to evaluate the action of D. flagrans pellets in association
with Levamisole Hydrochloride 5% for controlling sheep gastrointestinal nematodes
in the northeastern Brazil. Three groups of six sheep each were formed: group 1
received 3 g of the pellets (0.6 g of D. flagrans mycelium) for each 10 kg b.w.,
twice a week for six months, and deworming with Levamisole Hydrochloride 5% when
EPG >= 1500; group 2 received a dosage of Levamisole Hydrochloride 5% when EPG >=
1500; and group 3 received 3 g of pellets without fungi for each 10 kg b.w.,
twice a week for six months. EPG counts, larval cultures, packed cell volume
(PCV) and weighing were performed every 15 days; monthly, samples of grass from
each paddock were collected. The mean EPG of the groups began to statistically
differ from day 30 (p < 0.05). Group 1 required less deworming with Levamisole
Hydrochloride 5% and showed superiority of PCV values throughout the experiment
(p < 0.05). There was a significant reduction (p < 0.05) in L3 recovery in the
group 1 paddock from day 30 onwards. The use of D. flagrans pellets in
association with Levamisole Hydrochloride 5% was effective for controlling
gastrointestinal nematodes.
PMID- 29641797
TI - Parasites of ornamental fish commercialized in Macapa, Amapa State (Brazil).
AB - This study investigated the parasites fauna of four freshwater ornamental fish
species in aquarium shops of Macapa, Amapa State, in addition to survey the
commercialized fish species and sanitary conditions of aquarium shops. Different
native and non-native ornamental fish species were found in aquarium shops,
mainly Poecilidae. We examined 30 specimens of Xiphophorus maculatus, 30 Danio
rerio, 30 Paracheirodon axelrodi, and 30 Corydoras ephippifer for parasites. Of
the 120 fish examined, 22.5% were parasitized by one or more species and a total
of 438 parasites were collected and identified. Parasites such as:
Ichthyophthirius multifiliis, Monogenea, undermined Digenea metacercariae,
Acanthostomum sp. metacercariae, Camallanus spp., Bothriocephalus acheilognathi
and Echinorhynchus sp. infected the hosts examined. Endoparasites in the larval
stage showed the greatest diversity and Camallanus spp. was found in all hosts
species examined. Paracheirodon axelrodi (43.3%) was the most parasitized host,
while C. ephippifer (6.7%) was the least parasitized. Despite the low
ectoparasites level, six species of endoparasites was observed, demonstrating
that prophylactic and quarantine procedures were not fully adequate. Therefore,
failures in prophylactic procedures on any link in the production industry of
ornamental fish may cause parasite transmission to ornamental fish captured in
different environments and localities.
PMID- 29641798
TI - Biological parameters of Amblyomma coelebs Neumann, 1906 (Acari: Ixodidae) under
experimental conditions.
AB - One generation of Amblyomma coelebs life cycle under experimental conditions was
evaluated. Ten tick pairs were allowed to feed on rabbits under laboratory
conditions (LC), resulting six engorged females with a mean weight of 1,403.9 mg.
Two females were maintained in a forest reserve under natural conditions (NC),
and four were maintained in incubators (LC). The engorgement period lasted 10.33
days. Pre-oviposition periods were 10.75 (NC) and 22 days (LC). The mean egg-mass
weight was 514.76 mg, and the blood meal conversion index was 36.67% (LC).
Incubation period under NC and LC were 91 and 56.33 days and hatching rates were
50% and 28.33%, respectively. Larval engorgement period ranged from 4 to 10 days,
with average weight of 1.1 mg. Engorged larvae were incubated under NC and LC,
with a premolt period of 27 to 36 days and molting rate of 7.1% and 28.7%,
respectively. Nymphal engorgement period ranged from 5 to 7 days, with a mean
weight of 18.8 mg and a recovery rate of 54.54%. In LC, the ecdysis mean period
was 24.5 days, and molting rate was 44.44%, resulting in 24 adult A. coelebs. Our
results show a life cycle of 187.45 (NC) and 149 (LC) days.
PMID- 29641799
TI - Analysis of the accuracy of different laboratory methods for the diagnosis of
intestinal parasites from stray and domiciled cats (Felis catus domesticus) in
Goiania, Goias, Brazil.
AB - Cats are carriers of zoonotic agents to humans, including intestinal parasites.
The purpose of this study was to analyze the accuracy of different laboratory
methods for the diagnosis of intestinal parasites. Fecal samples were processed
by the Willis, Sheather, Faust and Hoffman-Janer-Pons-Lutz (HJPL) methods.
Accuracy analysis was performed determining the sensitivity, specificity,
positive and negative predictive value and Kappa. A total of 149 fecal samples
were collected, 65 from stray cats and 84 from domiciled cats. The prevalence of
intestinal parasites in stray cats was 60% while in domiciled cats it was 17%. In
the analysis of accuracy, the techniques that showed the greatest accuracy for
Ancylostomids were Willis and Faust, for Cystoisospora spp. Sheather with Faust
or HPJL, and Toxoplasma gondii/Hammondia hammondi the association between Willis
and Faust. Therefore, for a reliable evaluation of the prevalence of intestinal
parasites, at least two different techniques should be used in parasitological
exams of feces.
PMID- 29641800
TI - First molecular data for Lernaea cyprinacea (Copepoda: Cyclopoida) infesting
Odontesthes bonariensis, a commercially important freshwater fish in Argentina.
AB - Parasitic copepods of the family Lernaeidae are often found infesting freshwater
fishes worldwide. They cause lernaeosis, a disease that can lead to serious
pathogenic effects on their fish hosts. The most common lernaeid is the Lernaea
cyprinacea, which has been widely introduced through importation of tropical
fishes, e.g. cyprinids. In South America, it is one of the most common parasites
both in wild and in farmed fish in the central region of Argentina. The
silverside Odontesthes bonariensis is the most important fish of the sport
fisheries of Argentina and one of the fish most affected by lernaeosis. Six
specimens of copepods were collected from 30 specimens of O. bonariensis
collected in a Pampean shallow lake (33 degrees 25'28"S 62 degrees 53'56"W) of
Cordoba (Argentina). The 28S rRNA gene of L. cyprinacea was amplified by means of
PCR to obtain the 28S rDNA sequence. The sequence obtained of this parasite from
Argentina showed high genetic similarity with those from various geographical
origins. The present study provided molecular characterization of L. cyprinacea
in South America for the first time.
PMID- 29641801
TI - Dynamics of natural infection by Babesia bovis and Babesia bigemina in dairy
cattle from an enzootic instability area in Northeastern Brazil.
AB - This study aimed to determine the dynamics of natural infection in the
transmission of Babesia spp. to cattle in an enzootic instability area in
Northeastern Brazil. Blood samples were collected from 30 calves located on two
dairy farms to determine the packed cell volume (PCV) and the timing of the primo
infection using polymerase chain reaction (PCR) and their association with
climatic factors and management practices. On Farm A, the determination of primo
infection was observed on average at 249.4 (+/-24.42) days of age for B. bigemina
and at 252.6 (+/-17.07) days of age for B. bovis; there was no significant
difference between the times of infection (P> 0.05). The infection coincided with
a period of high rainfall in the region. On Farm B, primo-infection infection was
not observed. There was no infection by Babesia spp. on Farm B due to the
intensive use of acaricides that led to an absence of ticks. There was no
significant difference between the average PCV of animals from Farms A and B (P>
0.05). The management practices on the properties, in addition to the weather
conditions influenced the exposure of the animals to disease vectors and may have
contributed to the maintenance of this enzootic area in Northeastern Brazil.
PMID- 29641804
TI - Tax Collections and Spending as a Potential Measure of Health Policy Association
With Indoor Tanning, 2011-2016.
PMID- 29641802
TI - Micromass cultures are effective for differentiation of human amniotic fluid stem
cells into chondrocytes.
AB - OBJECTIVES: Articular cartilage is vulnerable to injuries and undergoes an
irreversible degenerative process. The use of amniotic fluid mesenchymal stromal
stem cells for the reconstruction of articular cartilage is a promising
therapeutic alternative. The aim of this study was to investigate the
chondrogenic potential of amniotic fluid mesenchymal stromal stem cells from
human amniotic fluid from second trimester pregnant women in a micromass system
(high-density cell culture) with TGF-beta3 for 21 days. METHODS: Micromass was
performed using amniotic fluid mesenchymal stromal stem cells previously cultured
in a monolayer. Chondrocytes from adult human normal cartilage were used as
controls. After 21 days, chondrogenic potential was determined by measuring the
expression of genes, such as SOX-9, type II collagen and aggrecan, in newly
differentiated cells by real-time PCR (qRT-PCR). The production of type II
collagen protein was observed by western blotting. Immunohistochemistry analysis
was also performed to detect collagen type II and aggrecan. This study was
approved by the local ethics committee. RESULTS: SOX-9, aggrecan and type II
collagen were expressed in newly differentiated chondrocytes. The expression of
SOX-9 was significantly higher in newly differentiated chondrocytes than in adult
cartilage. Collagen type II protein was also detected. CONCLUSION: We demonstrate
that stem cells from human amniotic fluid are a suitable source for
chondrogenesis when cultured in a micromass system. amniotic fluid mesenchymal
stromal stem cells are an extremely viable source for clinical applications, and
our results suggest the possibility of using human amniotic fluid as a source of
mesenchymal stem cells.
PMID- 29641803
TI - Difficulties in the diagnosis and treatment of rare diseases according to the
perceptions of patients, relatives and health care professionals.
AB - OBJECTIVES: The aim of this study is to present a survey of vulnerabilities and
to suggest approaches for the treatment of rare diseases according to the
perceptions of a group of affected individuals, patient association
representatives and health care professionals. METHODS: The focus group technique
was used in interviews with patients and primary caregivers, patient support
groups/non-governmental organizations, primary health care professionals and
physician specialists. RESULTS: The transcript analysis focused on thematic
units, which were tailored to each group and allowed comparisons in search of
concordant views. Unanimity was observed in relation to the physical, emotional
and social damage to the life standards of the affected individuals and their
families as a result of illness. The Brazilian health system was unanimously
classified as inadequate to respond to the needs of patients with rare diseases,
and this inadequacy led to unpleasant experiences, such as the seemingly endless
referrals among health services to reach a final diagnosis and develop a
treatment plan. CONCLUSIONS: The complex set of health system requirements
necessary to support the care of patients with rare diseases represents an
obstacle to successfully meeting the needs of patients and their families.
Therefore, it is important to develop specific public policies to create referral
services, guarantee access to appropriate therapeutic modalities and incorporate
technologies that promote research for developing new, affordable therapies.
PMID- 29641805
TI - A Target to Achieve Zero Preventable Trauma Deaths Through Quality Improvement.
PMID- 29641807
TI - Thyroid Function Screening in Children With Alopecia Areata.
PMID- 29641806
TI - Endocrine Therapy-Induced Alopecia in Patients With Breast Cancer.
AB - Importance: Endocrine therapy-induced alopecia (EIA) has been anecdotally
reported but not systematically described. Objective: To characterize EIA in
patients with breast cancer. Design, Setting, and Participants: Retrospective
cohort study of 112 patients with breast cancer, diagnosed with EIA from January
1, 2009, to December 31, 2016, the patients were examined at the dermatology
service in a large tertiary care hospital and comprehensive cancer center. Main
Outcomes and Measures: The clinical features, alopecia-related quality of life
(QoL), and response to minoxidil of EIA in patients with breast cancer were
assessed. Data from the Hairdex Questionnaire was used to assess the impact of
the alopecia on patients QoL. Higher score indicates lower QoL (0-100 score).
Efficacy of minoxidil was measured at 3 or 6 months by a single-blinded
investigator through standardized clinical photographs of the scalp. Results: A
total of 112 female patients with breast cancer were included (median [range]
age, 60 [34-90] years). A total of 104 patients (93%) had standardized clinical
photographs; of these, 59 patients (53%) had trichoscopy images available at
baseline, and 46 patients (41%) were assessed for response to minoxidil. Alopecia
was attributed to aromatase inhibitors in 75 patients (67%) and tamoxifen in 37
(33%). Severity was grade 1 in 96 of 104 patients (92%), and the pattern was
similar to androgenetic alopecia. The predominant trichoscopic feature at
baseline was the presence of vellus hairs and intermediate- and thick-diameter
terminal hair shafts. A negative impact on QoL was reported, with a higher effect
in the emotion domain according to the Hairdex score (mean [SD], 41.8 [21.3]; P <
.001). After treatment with topical minoxidil, moderate or significant
improvement in alopecia was observed in 37 of 46 patients (80%). Conclusions and
Relevance: Endocrine therapies are associated with a pattern alopecia similar to
androgenetic-type, consistent with the mechanism of action of causal agents. A
significant negative impact on QoL was reported by patients, despite mostly mild
alopecia severity.
PMID- 29641808
TI - The Dangers of Black Salve: An Unregulated, Commercially Available Caustic Agent.
PMID- 29641809
TI - Catalyzing Future Drug, Device, and Information Technology Breakthroughs in
Dermatology: Announcing Advancing Innovation in Dermatology's Accelerator Fund.
PMID- 29641810
TI - Management of Ventricular Arrhythmias and Sudden Cardiac Death Risk Related to
Ischemic and Nonischemic Cardiomyopathy.
PMID- 29641811
TI - Thyroid Function Screening in Children With Alopecia Areata-Reply.
PMID- 29641812
TI - Minoxidil for Endocrine Therapy-Induced Alopecia in Women With Breast Cancer
Saint Agatha's Blessing?
PMID- 29641813
TI - Regionalization, Readmissions, and Repercussions of Major Cancer Surgery.
PMID- 29641814
TI - Net Neutrality Repeal and the Potential Harm to Medical Education.
PMID- 29641815
TI - Why Are Legionnaires Disease Diagnoses Becoming More Common in the United States?
PMID- 29641817
TI - Intertriginous Multiple Reddish-Brown Papulonodules.
PMID- 29641818
TI - Improving Disclosure of Financial Conflicts of Interest for Research on
Psychosocial Interventions.
PMID- 29641816
TI - Longitudinal Associations Among Bullying by Peers, Disordered Eating Behavior,
and Symptoms of Depression During Adolescence.
AB - Importance: Bullying by peers has been associated with disordered eating behavior
and symptoms of depression among adolescents as both an antecedent and an
outcome. Identification of the temporal pattern of associations among bullying by
peers, disordered eating behavior, and depression in adolescence is needed for
the optimal targeting of intervention and prevention. Objective: To assess the
concurrent and longitudinal associations among bullying by peers, disordered
eating behavior, and symptoms of depression using a cascade model that controlled
for within-time and across-time (ie, stability paths) associations while
examining cross-lag effects. Design, Setting, and Participants: In this 5-year
longitudinal cohort study, 612 participants of the McMaster Teen Study were
included. This ongoing Canadian study examines the associations among bullying,
mental health, and educational outcomes. Data collection began in 2008 when
students were in grade 5 (10 years of age) and have since been collected
annually. Data analysis was performed between August 20 and October 18, 2017.
Exposures: Bullying by peers was assessed in grades 7 to 11 using a composite
measure of 5 items. Main Outcomes and Measures: Disordered eating behavior was
assessed in grades 7 to 11 using the Short Screen for Eating Disorders, and
depressive symptoms were assessed in grades 7 to 11 using the Behavior Assessment
System for Children-Second Edition. Results: The 612 students included in the
analytic sample had a mean age (SD) of 13.03 (0.38) years in grade 7; 331 (54.1%)
were girls and 392 (71.1%) were white. Bullying by peers was concurrently
associated with disordered eating behavior and depressive symptoms at every time
point during the 5-year period (r range [SE], 0.15-0.48 [0.04-0.08]; P < .01).
Disordered eating behavior was associated longitudinally with depressive symptoms
at every time point (beta range [SE], 0.14-0.19 [0.06-0.08]; P < .02) and
bullying by peers at 2 time points (beta range [SE], 0.12-0.22 [0.06-0.07]; P <
.04) in girls and boys. Conclusions and Relevance: Bullying by peers was
proximally associated with multiple psychopathologic symptoms, whereas symptoms
of disordered eating behavior were a key risk factor for future depressive
symptoms and bullying by peers. Interventions aimed at reducing problematic
eating behavior in adolescents may attenuate the risk of future depressive
symptoms and relational problems.
PMID- 29641819
TI - Social Worker-Aided Palliative Care Intervention in High-risk Patients With Heart
Failure (SWAP-HF): A Pilot Randomized Clinical Trial.
AB - Importance: Palliative care considerations are typically introduced late in the
disease trajectory of patients with advanced heart failure (HF), and access to
specialty-level palliative care may be limited. Objective: To determine if early
initiation of goals of care conversations by a palliative care-trained social
worker would improve prognostic understanding, elicit advanced care preferences,
and influence care plans for high-risk patients discharged after HF
hospitalization. Design, Setting, and Participants: This prospective, randomized
clinical trial of a social worker-led palliative care intervention vs usual care
analyzed patients recently hospitalized for management of acute HF who had risk
factors for poor prognosis. Analyses were conducted by intention to treat.
Interventions: Key components of the social worker-led intervention included a
structured evaluation of prognostic understanding, end-of-life preferences,
symptom burden, and quality of life with routine review by a palliative care
physician; communication of this information to treating clinicians; and
longitudinal follow-up in the ambulatory setting. Main Outcomes and Measures:
Percentage of patients with physician-level documentation of advanced care
preferences and the degree of alignment between patient and cardiologist
expectations of prognosis at 6 months. Results: The study population (N = 50) had
a mean (SD) age of 72 (11) years and had a mean (SD) left ventricular ejection
fraction of 0.33 (13). Of 50 patients, 41 (82%) had been hospitalized more than
once for HF management within 12 months of enrollment. At enrollment, treating
physicians anticipated death within a year for 32 patients (64%), but 42 patients
(84%) predicted their life expectancy to be longer than 5 years. At 6 months,
more patients in the intervention group than in the control group had physician
level documentation of advanced care preferences in the electronic health record
(17 [65%] vs 8 [33%]; chi2 = 5.1; P = .02). Surviving patients allocated to
intervention were also more likely to revise their baseline prognostic assessment
in a direction consistent with the physician's assessment (15 [94%] vs 4 [26%];
chi2 = 14.7; P < .001). Among the 31 survivors at 6 months, there was no measured
difference between groups in depression, anxiety, or quality-of-life scores.
Conclusions and Relevance: Patients at high risk for mortality from HF frequently
overestimate their life expectancy. Without an adverse impact on quality of life,
prognostic understanding and patient-physician communication regarding goals of
care may be enhanced by a focused, social worker-led palliative care intervention
that begins in the hospital and continues in the outpatient setting. Trial
Registration: clinicaltrials.gov Identifier: NCT02805712.
PMID- 29641821
TI - Geographic Variation in Cardiovascular Disease Burden: Clues and Questions.
PMID- 29641822
TI - Maturing Methods for Cardiovascular Disease and Stroke Surveillance in the United
States.
PMID- 29641825
TI - Lupus-Crying Wolf.
PMID- 29641826
TI - Hulusi Behcet-A Life of Passion and Endeavor in Dermatology.
PMID- 29641827
TI - Morgellons Disease-Dredged Up From History and Customized.
PMID- 29641828
TI - Albert Sezary-The Man, the Cell, and the Syndrome.
PMID- 29641820
TI - The Burden of Cardiovascular Diseases Among US States, 1990-2016.
AB - Importance: Cardiovascular disease (CVD) is the leading cause of death in the
United States, but regional variation within the United States is large.
Comparable and consistent state-level measures of total CVD burden and risk
factors have not been produced previously. Objective: To quantify and describe
levels and trends of lost health due to CVD within the United States from 1990 to
2016 as well as risk factors driving these changes. Design, Setting, and
Participants: Using the Global Burden of Disease methodology, cardiovascular
disease mortality, nonfatal health outcomes, and associated risk factors were
analyzed by age group, sex, and year from 1990 to 2016 for all residents in the
United States using standardized approaches for data processing and statistical
modeling. Burden of disease was estimated for 10 groupings of CVD, and
comparative risk analysis was performed. Data were analyzed from August 2016 to
July 2017. Exposures: Residing in the United States. Main Outcomes and Measures:
Cardiovascular disease disability-adjusted life-years (DALYs). Results: Between
1990 and 2016, age-standardized CVD DALYs for all states decreased. Several
states had large rises in their relative rank ordering for total CVD DALYs among
states, including Arkansas, Oklahoma, Alabama, Kentucky, Missouri, Indiana,
Kansas, Alaska, and Iowa. The rate of decline varied widely across states, and
CVD burden increased for a small number of states in the most recent years.
Cardiovascular disease DALYs remained twice as large among men compared with
women. Ischemic heart disease was the leading cause of CVD DALYs in all states,
but the second most common varied by state. Trends were driven by 12 groups of
risk factors, with the largest attributable CVD burden due to dietary risk
exposures followed by high systolic blood pressure, high body mass index, high
total cholesterol level, high fasting plasma glucose level, tobacco smoking, and
low levels of physical activity. Increases in risk-deleted CVD DALY rates between
2006 and 2016 in 16 states suggest additional unmeasured risks beyond these
traditional factors. Conclusions and Relevance: Large disparities in total burden
of CVD persist between US states despite marked improvements in CVD burden.
Differences in CVD burden are largely attributable to modifiable risk exposures.
PMID- 29641829
TI - Theodore Lawless-A Physician-Scientist, Philanthropist, and Champion of the
African American Community.
PMID- 29641830
TI - The Vedic View of Vitiligo.
PMID- 29641832
TI - Stephen Devries, MD: Training Physicians About Nutrition.
PMID- 29641833
TI - Comparison of Rates and Outcomes of Readmission to Index vs Nonindex Hospitals
After Major Cancer Surgery.
AB - Importance: Increasing regionalization of cancer surgery has the inadvertent
potential to lead to fragmentation of care if readmissions occur at a facility
other than the index hospital. The magnitude and adverse effects of readmission
to a facility other than the one where the surgery was performed are unclear.
Objectives: To assess rates of readmission to nonindex hospitals after major
cancer surgery and to compare outcomes between index and nonindex hospital
readmissions. Design, Setting, and Participants: In this multicenter, population
based, nationally representative study of adult patients undergoing a major
cancer operation (defined as esophagectomies or gastrectomies, hepaticobiliary
resections, pancreatectomies, colorectal resections, or cystectomies),
retrospective analyses were performed using the Nationwide Readmissions Database
(admissions from January 1 through September 30, 2013). Descriptive analyses were
performed to determine 90-day readmission characteristics, including timing,
cost, and outcomes. Adjusting for clustering by facility, the study used
multivariate logistic regression to identify factors associated with nonindex vs
index readmissions. The study also used regression models to identify differences
in mortality, major complications, and subsequent readmissions between the 2
groups. Data analysis was performed from January 1 through December 31, 2013.
Exposures: Readmission to index vs nonindex hospitals (defined as any hospital
other than the hospital where the major cancer operation was performed). Main
Outcomes and Measures: Proportion of 90-day readmissions and nonindex
readmissions after major cancer surgery, factors associated with nonindex
readmissions, and difference between in-hospital mortality, hospital costs, and
subsequent readmissions for patients admitted to index vs nonindex hospitals.
Results: A total of 60 970 patients were included in the study (mean [SD] age, 67
[13] years; 7619 [55.6%] male and 6075 [44.4%] female). The 90-day readmission
rate was 23.0%. Of the 13 695 first readmissions, 20.1% were to a nonindex
hospital. Independent factors associated with readmission to a nonindex hospital
included type of procedure, comorbidities (OR, 1.40; 95% CI, 1.15-1.70), elective
admission (OR, 1.21; 95% CI, 1.06-1.37), discharge to a nursing facility (OR,
1.20; 95% CI, 1.07-1.36), and surgery at a teaching hospital (OR, 1.16; 95% CI,
1.00-1.34) (all P < .05). After risk adjustment, patients readmitted to nonindex
hospitals had 31.2% higher odds of mortality (odds ratio, 1.31; 95% CI, 1.05
1.64) and 27.3% higher odds of having a major complication (odds ratio, 1.27; 95%
CI, 1.14-1.42). Subsequent readmissions and hospital costs were not different
between the 2 groups. Conclusions and Relevance: Approximately one-fifth of
readmissions were to a nonindex hospital and were associated with higher
mortality and morbidity than readmission to index hospitals. Factors that
influence nonindex readmissions have been identified to target interventions.
PMID- 29641835
TI - Practice Patterns and Complications of Benign Hysterectomy Following the FDA
Statement Warning Against the Use of Power Morcellation.
AB - Importance: In November 2014, the US Food and Drug Administration (FDA) issued a
black box warning against the use of power morcellation for excision of uterine
fibroids to decrease the risk of disseminating malignant cells and worsening
survival outcomes of patients with unexpected malignant neoplasms. After the FDA
statement was issued, studies showed decreased rates of minimally invasive
surgery and increased rates of open abdominal hysterectomy. However, there are
limited and controversial data on the association of these changed rates with 30
day hysterectomy complications. Objective: To assess changes in the rates of 30
day major and minor complications of hysterectomy for benign gynecologic
indications following the FDA-issued statement. Design, Setting, and
Participants: This retrospective cohort study used the American College of
Surgeons National Surgical Quality Improvement Program database and included 603
hospitals participating between January 1, 2013, and December 31, 2015. Thirty
day posthysterectomy complications were compared before and after the FDA-issued
warning. Women who underwent hysterectomy for benign gynecologic indications (n =
75 487), including hysterectomies with indication of uterine fibroids (n = 25
571), were included. Complication rates and procedure distributions between the
periods were compared with chi2 tests and multivariable logistic regression
controlling for patient and operative factors. Main Outcomes and Measures: Major
and minor 30-day complication rates before (from 2013 through the first quarter
of 2014) and after (from the fourth quarter of 2014 through 2015) the FDA-issued
warning. Results: Of 75 487 women (mean [SD] age, 47.8 [10.7] years) who
underwent hysterectomy for benign gynecologic indications, 32 186 (42.6%) were
treated before and 43 301 (57.4%) after the FDA-issued warning. Non-Hispanic
white women comprised most (59.4%) of the total population, followed by African
American women (15.1%). Overall, major and minor complications remained stable
before and after the FDA-issued warning. By contrast, among a subset of 25 571
women (33.9%) who underwent hysterectomy for uterine fibroids, major
complications significantly increased after the FDA-issued warning from 1.9% to
2.4% (adjusted odds ratio [OR], 1.23; 95% CI, 1.04-1.47; P = .02), and minor
complications significantly increased from 2.7% to 3.3% (adjusted OR, 1.21; 95%
CI, 1.04-1.40; P = .01). In this subgroup, the rate of open abdominal surgery
increased from 37.2% to 43.0%, and the rate of minimally invasive surgery (total
laparoscopic hysterectomy, laparoscopic supracervical hysterectomy, and
laparoscopic-assisted vaginal hysterectomy) decreased from 56.1% to 49.7% (P <
.001). Conclusions and Relevance: Major and minor 30-day complication rates among
women undergoing hysterectomy for uterine fibroids increased following the FDA
issued statement. This increased risk corresponding with a decreased use of
minimally invasive surgery should be balanced against the potential harms of
morcellation during a shared decision-making process between clinician and
patient. Regulatory bodies and medical societies should consider these findings
when issuing relevant communications.
PMID- 29641834
TI - Pretreatment Rostral Anterior Cingulate Cortex Theta Activity in Relation to
Symptom Improvement in Depression: A Randomized Clinical Trial.
AB - Importance: Major depressive disorder (MDD) remains challenging to treat.
Although several clinical and demographic variables have been found to predict
poor antidepressant response, these markers have not been robustly replicated to
warrant implementation in clinical care. Increased pretreatment rostral anterior
cingulate cortex (rACC) theta activity has been linked to better antidepressant
outcomes. However, no prior study has evaluated whether this marker has
incremental predictive validity over clinical and demographic measures.
Objective: To determine whether increased pretreatment rACC theta activity would
predict symptom improvement regardless of randomization arm. Design, Setting, and
Participants: A multicenter randomized clinical trial enrolled outpatients
without psychosis and with chronic or recurrent MDD between July 29, 2011, and
December 15, 2015 (Establishing Moderators and Biosignatures of Antidepressant
Response for Clinical Care [EMBARC]). Patients were consecutively recruited from
4 university hospitals: 634 patients were screened, 296 were randomized to
receive sertraline hydrochloride or placebo, 266 had electroencephalographic
(EEG) recordings, and 248 had usable EEG data. Resting EEG data were recorded at
baseline and 1 week after trial onset, and rACC theta activity was extracted
using source localization. Intent-to-treat analysis was conducted. Data analysis
was performed from October 7, 2016, to January 19, 2018. Interventions: An 8-week
course of sertraline or placebo. Main Outcomes and Measures: The 17-item Hamilton
Rating Scale for Depression score (assessed at baseline and weeks 1, 2, 3, 4, 6,
and 8). Results: The 248 participants (160 [64.5%] women, 88 [35.5%] men) with
usable EEG data had a mean (SD) age of 36.75 (13.15) years. Higher rACC theta
activity at both baseline (b = -1.05; 95% CI, -1.77 to -0.34; P = .004) and week
1 (b = -0.83; 95% CI, -1.60 to -0.06; P < .04) predicted greater depressive
symptom improvement, even when controlling for clinical and demographic variables
previously linked with treatment outcome. These effects were not moderated by
treatment arm. The rACC theta marker, in combination with clinical and
demographic variables, accounted for an estimated 39.6% of the variance in
symptom change (with 8.5% of the variance uniquely attributable to the rACC theta
marker). Conclusions and Relevance: Increased pretreatment rACC theta activity
represents a nonspecific prognostic marker of treatment outcome. This is the
first study to date to demonstrate that rACC theta activity has incremental
predictive validity. Trial Registration: clinicaltrials.gov Identifier:
NCT01407094.
PMID- 29641837
TI - Association of changes in work shifts and shift intensity with change in fatigue
and disturbed sleep: a within-subject study.
AB - Objectives The aim of this study was to examine whether changes in work shifts
and shift intensity are related to changes in difficulties to fall asleep,
fatigue, and sleep length. Methods Questionnaire responses of hospital employees
(N=7727, 93% women) in 2008, 2012, 2014 and 2015 were linked to daily-based
records of working hours during three months preceding each survey. We used
conditional logistic regression and longitudinal fixed-effects analyses to
investigate odds ratios (OR) and 95% confidence intervals (CI) for each 25%
within-individual change in the proportion of working hour characteristics in
relation to changes in fatigue, difficulties to fall asleep, and 24-hour sleep
length. Results Change in night but not in morning or evening shifts was
associated with parallel changes in odds for longer sleep length (OR 1.45, 95% CI
1.28-1.64) and fatigue during free days (OR 1.38, 95% CI 1.16-1.64). Similarly,
short shift intervals and having >2 but not >4 consecutive night shifts were
associated with increased odds of fatigue during work and difficulties to fall
asleep (OR 1.42, 95% CI 1.19-1.72 and OR 1.10, 95% CI 1.05-1.19, respectively).
Among workers aged >=50 years, the associations were the strongest between night
shifts and longer sleep (OR 2.24, 95% CI 1.52-3.81) and between higher proportion
of short shift intervals and fatigue during free days (OR 1.68, 95% CI 1.10
2.54). Conclusions Among shift workers with fatigue or sleep problems, decreasing
the proportion of night shifts and quick returns and giving preference to quickly
forward-rotating shift systems may reduce fatigue.
PMID- 29641836
TI - Association of Cardiomyopathy With MYBPC3 D389V and MYBPC3Delta25bpIntronic
Deletion in South Asian Descendants.
AB - Importance: The genetic variant MYBPC3Delta25bp occurs in 4% of South Asian
descendants, with an estimated 100 million carriers worldwide. MYBPC3 Delta25bp
has been linked to cardiomyopathy and heart failure. However, the high prevalence
of MYBPC3Delta25bp suggests that other stressors act in concert with
MYBPC3Delta25bp. Objective: To determine whether there are additional genetic
factors that contribute to the cardiomyopathic expression of MYBPC3Delta25bp.
Design, Setting, andParticipants: South Asian individuals living in the United
States were screened for MYBPC3Delta25bp, and a subgroup was clinically evaluated
using electrocardiograms and echocardiograms at Loyola University, Chicago,
Illinois, between January 2015 and July 2016. Main Outcomes and Measures: Next
generation sequencing of 174 cardiovascular disease genes was applied to identify
additional modifying gene mutations and correlate genotype-phenotype parameters.
Cardiomyocytes derived from human-induced pluripotent stem cells were established
and examined to assess the role of MYBPC3Delta25bp. Results: In this genotype
phenotype study, individuals of South Asian descent living in the United States
from both sexes (36.23% female) with a mean population age of 48.92 years (range,
18-84 years) were recruited. Genetic screening of 2401 US South Asian individuals
found an MYBPC3Delta25bpcarrier frequency of 6%. A higher frequency of missense
TTN variation was found in MYBPC3Delta25bp carriers compared with noncarriers,
identifying distinct genetic backgrounds within the MYBPC3Delta25bp carrier
group. Strikingly, 9.6% of MYBPC3Delta25bp carriers also had a novel MYBPC3
variant, D389V. Family studies documented D389V was in tandem on the same allele
as MYBPC3Delta25bp, and D389V was only seen in the presence of MYBPC3Delta25bp.
In contrast to MYBPC3Delta25bp, MYBPC3Delta25bp/D389V was associated with
hyperdynamic left ventricular performance (mean [SEM] left ventricular ejection
fraction, 66.7 [0.7%]; left ventricular fractional shortening, 36.6 [0.6%]; P <
.03) and stem cell-derived cardiomyocytes exhibited cellular hypertrophy with
abnormal Ca2+ transients. Conclusions and Relevance: MYBPC3Delta25bp/D389V is
associated with hyperdynamic features, which are an early finding in hypertrophic
cardiomyopathy and thought to reflect an unfavorable energetic state. These
findings support that a subset of MYBPC3Delta25bp carriers, those with D389V,
account for the increased risk attributed to MYBPC3Delta25bp.
PMID- 29641839
TI - Impact of limb weakness on extubation failure after planned extubation in medical
patients.
AB - BACKGROUND AND OBJECTIVE: Limb muscle weakness is associated with difficult
weaning. However, there are limited data on extubation failure. The objective of
this cohort study was to evaluate the association between limb muscle weakness
according to the Medical Research Council (MRC) scale and extubation failure
rates among patients in a medical intensive care unit (ICU). METHODS: All
consecutive medical ICU patients who were mechanically ventilated for more than
24 h and who were weaned according to protocol were prospectively registered, and
limb muscle weakness was assessed using the MRC scale on the day of planned
extubation. Association of limb muscle weakness with extubation failure within 48
h following planned extubation was evaluated with logistic regression analysis.
RESULTS: Over the study period, 377 consecutive patients underwent planned
extubation through a standardized weaning process. Extubation failure occurred in
106 (28.1%) patients. Median scores on the MRC scale for four limbs were lower in
patients with extubation failure (14, interquartile range (IQR) 12-16) than in
patients without extubation failure (16, IQR 12-18; P = 0.024). In addition,
extubation failure rates decreased significantly with increasing quartiles of MRC
scores (P for trend <0.001). In multivariable analysis, MRC scores <=10 points
were independently associated with extubation failure within 48 h (adjusted OR
2.131, 95% CI: 1.071-4.240, P = 0.031). CONCLUSION: Limb muscle weakness assessed
on the day of extubation was found to be independently associated with higher
extubation failure rates within 48 h following planned extubation in medical
patients.
PMID- 29641838
TI - Drug-resistant tuberculosis: An update on disease burden, diagnosis and
treatment.
AB - The emergence of antimicrobial resistance against Mycobacterium tuberculosis, the
leading cause of mortality due to a single microbial pathogen worldwide,
represents a growing threat to public health and economic growth. The global
burden of multidrug-resistant tuberculosis (MDR-TB) has recently increased by an
annual rate of more than 20%. According to the World Health Organization
approximately only half of all patients treated for MDR-TB achieved a successful
outcome. For many years, patients with drug-resistant tuberculosis (TB) have
received standardized treatment regimens, thereby accelerating the development of
MDR-TB through drug-specific resistance amplification. Comprehensive drug
susceptibility testing (phenotypic and/or genotypic) is necessary to inform
physicians about the best drugs to treat individual patients with tailor-made
treatment regimens. Phenotypic drug resistance can now often, but with variable
sensitivity, be predicted by molecular drug susceptibility testing based on whole
genome sequencing, which in the future could become an affordable method for the
guidance of treatment decisions, especially in high-burden/resource-limited
settings. More recently, MDR-TB treatment outcomes have dramatically improved
with the use of bedaquiline-based regimens. Ongoing clinical trials with novel
and repurposed drugs will potentially further improve cure-rates, and may
substantially decrease the duration of MDR-TB treatment necessary to achieve
relapse-free cure.
PMID- 29641840
TI - Year in review 2017: Interventional pulmonology, lung cancer, pleural disease and
respiratory infections.
PMID- 29641841
TI - Consensus minimum data set for lung cancer multidisciplinary teams: Results of a
Delphi process.
AB - BACKGROUND AND OBJECTIVE: While multidisciplinary team (MDT) care in lung cancer
is widely practiced, there are few guidelines for MDT on best data collection
strategies. MDT meetings need ready access to information for the provision of
optimal treatment recommendations (the primary purpose of the meeting), audit of
team performance and benchmarking. This study aimed to develop a practical data
set designed for these goals through a recognized consensus process with health
professionals who participate in formal MDT settings. METHODS: A modified Delphi
process with three iterations (two surveys and one consensus conference) was
carried out involving over 100 Australian lung cancer MDT health professionals.
RESULTS: In total, 122 lung cancer MDT health professionals responded to the
Round 1 survey from over 350 invitees. Of the 122, 98 were available for
invitation to Round 2. Of 98, 52 (53%) invitees responded to the Round 2 survey.
After two rounds, 51 data elements across 8 domains (patient demographics, risk
factors, biopsy data, staging, timeliness, treatment, follow-up and patient
selection) achieved consensus, defined as 80% agreement. For Round 3, 33 MDT lead
clinicians were invited to participate in a consensus conference. Of 33, 14 (42%)
invitees distilled the 47 data elements into 23 elements across 8 domains to
address the study objectives. CONCLUSION: A practical data set for lung cancer
MDT to use for optimal treatment recommendations and to evaluate team performance
was developed through recognized consensus methodology. Access to streamlined,
relevant and feasible data collection strategies may improve MDT decision-making,
audit of team performance and facilitate benchmarking.
PMID- 29641842
TI - Difficulties of predicting the timing, size and severity of influenza seasons.
PMID- 29641843
TI - International continence society best practice statement for use of sacral
neuromodulation.
PMID- 29641844
TI - 2018 Australian Rheumatology Association Annual Scientific Meeting with the
Rheumatology Health Professionals Association, 5-8 May 2018, Melbourne Convention
and Exhibition Centre, Melbourne, Australia.
PMID- 29641845
TI - Increased risk of acute coronary syndrome in patients with bronchiectasis: A
population-based cohort study.
AB - BACKGROUND AND OBJECTIVE: There are few studies on the relationship between
bronchiectasis and acute coronary syndrome (ACS). We conducted a population-based
cohort study to assess whether bronchiectasis was associated with an increased
risk of ACS. METHODS: We identified 3521 patients diagnosed with bronchiectasis
between 2000 and 2010 (bronchiectasis cohort) and frequency matched them with 14
084 randomly selected people without bronchiectasis from the general population
(comparison cohort) according to sex, age and index year using the Longitudinal
Health Insurance Database. Both cohorts were followed until the end of 2010 to
determine the ACS incidence. Hazard ratios of ACS were measured. RESULTS: Based
on 17 340 person-years for bronchiectasis patients and 73 639 person-years for
individuals without bronchiectasis, the overall ACS risk was 40% higher in the
bronchiectasis cohort (adjusted hazard ratio (HR) = 1.40; 95% CI: 1.20-1.62).
Compared with those in the comparison cohort with one respiratory infection
related emergency room (ER) visit per year, the ACS risk was 5.46-fold greater in
bronchiectasis patients with three or more ER visits per year (adjusted HR =
5.46, 95% CI: 4.29-6.96). Patients with bronchiectasis and three or more
respiratory infection-related hospitalizations per year had an 8.15-fold higher
ACS risk (adjusted HR = 8.15, 95% CI: 6.27-10.61). CONCLUSION: Bronchiectasis
patients, particularly those experiencing frequent exacerbations with three or
more ER visits and consequent hospitalization per year, are at an increased ACS
risk.
PMID- 29641846
TI - International Continence Society best practice statement for use of sacral
neuromodulation.
AB - AIMS: Sacral neuromodulation (SNM) is an accepted therapy for a variety of
conditions. However, despite over 20 years of experience, it remains a
specialized procedure with a number of subtleties. Here we present the
recommendations issued from the International Continence Society (ICS) SNM
Consensus Panel. METHODS: Under the auspices of the ICS, eight urologists, three
colorectal surgeons and two urogynecologists, covering a wide breadth of
geographic and specialty interest representation, met in January 2017 to discuss
best practices for neuromodulation. Suggestions for statements were submitted in
advance and specific topics were assigned to committee members, who prepared and
presented supporting data to the group, at which time each topic was discussed in
depth. Best practice statements were formulated based on available data. This
document was then circulated to multiple external reviewers after which final
edits were made and approved by the group. RESULTS: The present recommendations,
based on the most relevant data available in the literature, as well as expert
opinion, address a variety of specific and at times problematic issues associated
with SNM. These include the use of SNM for a variety of underlying conditions,
need for pre-procedural testing, use of staged versus single-stage procedures,
screening for success during the trial phase, ideal anesthesia, device
implantation, post-procedural management, trouble-shooting loss of device
function, and future directions for research. CONCLUSIONS: These guidelines
undoubtedly constitute a reference document, which will help urologists,
gynecologists, and colorectal surgeons optimize their use of SNM for refractory
urinary urgency and frequency, UUI, NOR, and FI.
PMID- 29641847
TI - Oesophageal diameter is associated with severity but not progression of systemic
sclerosis-associated interstitial lung disease.
AB - BACKGROUND AND OBJECTIVE: It is unknown whether oesophageal disease is associated
with systemic sclerosis-associated interstitial lung disease (SSc-ILD) severity,
progression or mortality. METHODS: High-resolution computed tomography (HRCT)
scans from 145 SSc-ILD patients were scored for fibrosis score, oesophageal
diameter and presence of hiatal hernia. Fibrosis asymmetry was calculated as:
(most affected side - least affected side)/(most affected side + least affected
side). Mixed effects models were used for repeated measures analyses. RESULTS:
Mean fibrosis score was 8.6%, and most patients had mild-to-moderate
physiological impairment. Every 1 cm increase in oesophageal diameter was
associated with 1.8% higher fibrosis score and 5.5% lower forced vital capacity
(FVC; P <= 0.001 for unadjusted and adjusted analyses). Patients with hiatal
hernia had 3.9% higher fibrosis score, with persistent differences on adjusted
analysis (P = 0.001). Oesophageal diameter predicted worsening fibrosis score
over the subsequent year (P = 0.02), but not when adjusting for baseline fibrosis
score (P = 0.16). Oesophageal diameter was independently associated with
mortality (P = 0.001). Oesophageal diameter was not associated with asymmetric
disease or radiological features of gross aspiration. CONCLUSION: Oesophageal
diameter and hiatal hernia are independently associated with SSc-ILD severity and
mortality, but not with ILD progression or asymmetric disease. Oesophageal
disease is unlikely to be a significant driver of ILD progression in SSc.
PMID- 29641848
TI - Laparoscopic-endoscopic rendezvous versus preoperative endoscopic sphincterotomy
in people undergoing laparoscopic cholecystectomy for stones in the gallbladder
and bile duct.
AB - BACKGROUND: The management of gallbladder stones (lithiasis) concomitant with
bile duct stones is controversial. The more frequent approach is a two-stage
procedure, with endoscopic sphincterotomy and stone removal from the bile duct
followed by laparoscopic cholecystectomy. The laparoscopic-endoscopic rendezvous
combines the two techniques in a single-stage operation. OBJECTIVES: To compare
the benefits and harms of endoscopic sphincterotomy and stone removal followed by
laparoscopic cholecystectomy (the single-stage rendezvous technique) versus
preoperative endoscopic sphincterotomy followed by laparoscopic cholecystectomy
(two stages) in people with gallbladder and common bile duct stones. SEARCH
METHODS: We searched The Cochrane Hepato-Biliary Group Controlled Trials
Register, CENTRAL, MEDLINE Ovid, Embase Ovid, Science Citation Index Expanded Web
of Science, and two trials registers (February 2017). SELECTION CRITERIA: We
included randomised clinical trials that enrolled people with concomitant
gallbladder and common bile duct stones, regardless of clinical status or
diagnostic work-up, and compared laparoscopic-endoscopic rendezvous versus
preoperative endoscopic sphincterotomy procedures in people undergoing
laparoscopic cholecystectomy. We excluded other endoscopic or surgical methods of
intraoperative clearance of the bile duct, e.g. non-aided intraoperative
endoscopic retrograde cholangiopancreatography or laparoscopic
choledocholithotomy (surgical incision of the common bile duct for removal of
bile duct stones). DATA COLLECTION AND ANALYSIS: We used standard methodological
procedures recommended by Cochrane. MAIN RESULTS: We included five randomised
clinical trials with 517 participants (257 underwent a laparoscopic-endoscopic
rendezvous technique versus 260 underwent a sequential approach), which fulfilled
our inclusion criteria and provided data for analysis. Trial participants were
scheduled for laparoscopic cholecystectomy because of suspected cholecysto
choledocholithiasis. Male/female ratio was 0.7; age of men and women ranged from
21 years to 87 years. The run-in and follow-up periods of the trials ranged from
32 months to 84 months. Overall, the five trials were judged at high risk of
bias. Athough all trials measured mortality, there was just one death reported in
one trial, in the laparoscopic-endoscopic rendezvous group (low-quality
evidence). The overall morbidity (surgical morbidity plus general morbidity) may
be lower with laparoscopic rendezvous (RR 0.59, 95% CI 0.29 to 1.20; participants
= 434, trials = 4; I2 = 28%; low-quality evidence); the effect was a little more
certain when a fixed-effect model was used (RR 0.56, 95% CI 0.32 to 0.99). There
was insufficient evidence to determine the effects of the two approaches on the
failure of primary clearance of the bile duct (RR 0.55, 95% CI 0.22 to 1.38;
participants = 517; trials = 5; I2 = 58%; very low-quality evidence). The effects
of either approach on clinical post-operative pancreatitis were unclear (RR 0.29,
95% CI 0.07 to 1.12; participants = 517, trials = 5; I2 = 24%; low-quality
evidence). Hospital stay appeared to be lower in the laparoscopic-endoscopic
rendezvous group by about three days (95% CI 3.51 to 2.50 days shorter; 515
participants in five trials; low-quality evidence). There was very low-quality
evidence that suggested longer operative time with laparoscopic-endoscopic
rendezvous (MD 34.07 minutes, 95% CI 11.41 to 56.74; participants = 313; trials =
3; I2 = 93%). The Trial Sequential Analyses of operating time and the length of
hospital stay indicated that all the trials crossed the conventional boundaries,
suggesting that the sample sizes were adequate, with a low risk of random error.
AUTHORS' CONCLUSIONS: There was insufficient evidence to determine the effects of
the laparoscopic-endoscopic rendezvous versus preoperative endoscopic
sphincterotomy techniques in people undergoing laparoscopic cholecystectomy on
mortality and morbidity. The laparoscopic-endoscopic rendezvous procedure may
lead to longer operating times, but it may reduce the length of the hospital stay
when compared with preoperative endoscopic sphincterotomy followed by
laparoscopic cholecystectomy. However, no firm conclusions could be drawn because
the quality of evidence was low or very low. If confirmed by future trials, these
data might re-design the scenario of treatment of this condition, albeit
requiring greater organisational effort. Future trials should also address issues
such as quality of life and cost analysis.
PMID- 29641849
TI - ?
AB - No Abstract available.
PMID- 29641850
TI - [Functionality and stability of the treatment of Weber B ankle fractures with
syndesmotic injury depending on the placement level of the screw (2.0 versus 3.5
cm)].
AB - BACKGROUND: Ankle fractures are one of the most frequent lesions of the
musculoskeletal system; there are studies that report an increase in the
incidence of these fractures starting in the 1970s, associated with the increased
media outreach of sporting activities. Supra- and transyndesmal fractures often
lead to injury of the syndesmosis, which, if not treated properly, will leave
joint instability. The most common treatment is the placement of a transyndesmal
screw; there is controversy on the height in which it should be located with
regard to the distal tibial joint line. MATERIAL AND METHOD: A descriptive,
observational, retrospective and transversal study with clinical and radiographic
records of patients operated on bimalleolar fractures; they were divided into two
groups: those with the transyndesmal screw at 2 or 2.5 cm and those with the
screw at 3.5 cm or more proximal. There was a total of 34 cases (group A: 16
patients; group B: 18), 22 male and 12 female, aged between 19 and 45 years.
RESULTS: We applied the Olerud-Molander ankle score for the clinical evaluation
and measured the radiographic medial space of the ankle to consider the degree of
reduction. The results in both groups were compared. DISCUSSION: The location of
the screw at 2.0 cm presented a percentage of 75% of joint stability and 25% of
joint instability, the joint functionality was relatively smaller in comparison
to the placement of the screw at 3.5 cm, with which we achieved a joint stability
of 83.3% and 16.3% of joint instability.
PMID- 29641851
TI - [Bilateral total knee replacement].
AB - : Bilateral osteoarthrosis of the knee is very frequent and disabling; the
treatment in severe cases is joint replacement. Bilateral condition and severe
knee pain are common and the definitive treatment can be performed on both.
MATERIAL AND METHODS: We reviewed the records of the first patients undergoing
bilateral total knee replacement by our surgical group, between 1993-1998.
RESULTS: The procedure was performed on 56 patients (112 knees) with degenerative
joint disease; their age range was 60 to 82 years. The knee replacements were
done either simultaneously, consecutively, or via two unique surgeries. The
outcomes of each method were analyzed to assess its advantages and disadvantages.
DISCUSSION: Based upon the results of the study, we find that total knee
replacements done consecutively provide the best results for patients suffering
from articular degeneration.
PMID- 29641852
TI - [Epidemiological profile of admissions to the hip and pelvic surgery module in a
general hospital].
AB - BACKGROUND: The present study was carried out in order to know, evaluate and
classify hip fractures treated by the orthopedic service of a general hospital.
MATERIAL AND METHODS: Retrospective, cross-sectional and descriptive study based
on the files of the hip and pelvic surgery module of a general hospital in the
period from July 2009 to December 2016. RESULTS: We included a total of 1,545
patients aged between 14 and 107 years; the affected side, the reason for
admission, their previous underlying pathologies, the type of fracture, the type
of treatment given and the end result were analyzed for each patient. Statistical
analysis was performed with the program SPSS version 18. Due to the relevance of
the topic, the causes of the registered deaths were examined (n = 58); in
relation to the data gathered, 2 and binary logistic regression were obtained.
CONCLUSIONS: Hip fractures are still an undervalued subject with great economic
and social impact; knowing the most frequent fracture type as well as the
population most affected will help to establish effective preventive measures to
decrease the morbidity and mortality of this disease in a general hospital.
PMID- 29641853
TI - [Minimally invasive reconstruction of the posterolateral corner with simultaneous
replacement of the anterior cruciate ligament for complex knee ligament
injuries].
AB - OBJECTIVE: To evaluate and describe the results of a simultaneous reconstruction
with minimally invasive technique of the posterolateral complex (PLC) and the
anterior cruciate ligament (ACL). MATERIAL AND METHODS: ACL and PLC
reconstruction was performed in seven patients using the technique described, in
the period from March to November 2012. All patients were evaluated at six months
after the procedure using IKDC and IKSS subjective tests. Their return to work
activities and their level of satisfaction were assessed. RESULTS: Six male and
one female patients ranging in age between 26 and 46 years were evaluated. The
injuries were mostly caused by sports related accidents. All patients were
economically active and required an average period of three months of disability.
The assessment and outcomes at six months, according to the IKDC scale, were: one
patient with IKDC A, four with IKDC B, one patient with C, and one with D. In the
subjective scale IKSS, 80% averaged a knee stability of over 90 points, a patient
had a 100-point scale and another, of 70 points.
PMID- 29641854
TI - [Comparison of the clinical response to the application of Hylan G-F 20 and
collagen-PVP in patients with knee osteoarthritis].
AB - BACKGROUND: Knee osteoarthritis is a joint disease characterized by degeneration,
loss of cartilage and subchondral bone alteration associated with changes in the
soft tissues. In a sample of 2,500 individuals, the prevalence of osteoarthritis
was found in 2.3% (IC 95% 1.7 a 2.9) in adults; it represents the second cause of
consultation in our hospital. MATERIAL AND METHODS: Two groups of patients were
studied: the first included 70 patients who received hylan G-F 20 in three
infiltrations; the other group, of 40 patients, received collagen-PVP in four
applications. A subjective questionnaire based on the WOMAC evaluation was
applied, with which we could assess pain, stiffness and functional capacity
before and after the application. RESULTS: Both hylan G-F 20 and collagen-PVP
improved the quality of life of our patients by reducing symptoms such as pain,
stiffness and functional limitation, collagen being more efficient for reducing
pain and hylan G-F 20 for reducing stiffness and functional limitation.
CONCLUSIONS: Both treatments were safe and effective; they can be used in our
institute as a conservative treatment to decrease hospitalizations for pain
management.
PMID- 29641855
TI - [Psychological evaluation in patients treated with external fixator].
AB - OBJECTIVE: To establish whether complications in treatment, readmissions and
reinterventions are related to psychological disturbances. To determine if the
type, age and time of use are related to psychological aspects. MATERIAL AND
METHODS: Retrospective, observational and transversal study in patients treated
with external fixator in the period from 2005 to 2010. We used clinical records,
2 statistical tests and Students t-test with the IBM SPSS Statistics program,
version 24. RESULTS: Circular fixator: we observed a significant p of 0.024 in
the relations between age and psychological alterations. Regarding the
complications and the psychological alterations, we obtained a significant p
0.048. Monoplanar fixator: the difference was significant of 0.038 on the
relation between readmissions and psychological alterations. DISCUSSION: There
are psychological disturbances in patients and families that may interfere with
the treatment and complications. The literature showed that if there are
alterations in the initial questionnaire, they will remain equal and generate
increased aggressiveness; if there were no initial alterations, there will be no
changes. We observed less psychological effects in older patients (13-16 years)
and greater anxiety in patients in the medium range (10-12 years), as well as in
patients with residual deformities. CONCLUSIONS: The psychological intervention
is important in the pre-, trans- and postsurgical time. Patients of medium age,
which corresponds to preteens, presented more psychological disturbances;
therefore, it is recommended to avoid the use of external fixators in this age
range.
PMID- 29641856
TI - [How to select a prosthesis for a primary hip replacement? Minimum acceptable
survival: results of a consensus of experts].
AB - BACKGROUND: One of the most critical points in the planning of hip replacement
surgeries is the selection of the implant, since its survival significantly
impacts the patients health. However, the ideal survival time that an implant
must prove to be selected has not been defined. The objective of this consensus
is to define minimum performance standards for the selection of conventional
primary hip replacement prosthesis. MATERIAL AND METHODS: The consensus was
carried out using the methodology of nominal group. This included: 1. A review of
the available evidence and the issues to be evaluated, 2. Meeting for the vote
and discussion, 3. Quantitative statistical analysis with median (M) and
interquartile range (IQR) and qualitative one with proportions of the results to
generate recommendations. RESULTS: The primary source of information for
prosthesis selection (M: 8; IQR: 7-9), choice in the event of conflicting
evidence (M: 8; IQR: 7-9), or limited evidence in the literature (M: 7; IQR: 4.75
825) should be national registries. The minimum acceptable follow-up is 10 years
(M: 9; IQR: 8-9) and the minimum acceptable survival is 90% at 10 years (M: 8;
IQR: 5-8.5). DISCUSSION: According to these results, the consensus of experts
proposed that the selection of the implant for conventional primary hip
replacement must be based on the information published in the national registries
and that the prosthesis must have a minimum follow-up of 10 years and show a
minimum survival of 90%.
PMID- 29641857
TI - [Total shoulder arthroplasty under monitoring of cerebral perfusion. Report of
the first case in Mexico].
AB - INTRODUCTION: The maintenance of cerebral perfusion during shoulder surgery
performed in the beach chair position is controversial. The aim of this report is
to present the first case in Mexico of a total shoulder arthroplasty performed
with interscalene block and monitoring of the cerebral oxygen saturation. This
monitoring was described in 1977, but only until the last decade has it reached
relevance from the clinical point of view. CLINICAL CASE: We present an 84-year
old patient scheduled for total shoulder arthroplasty in beach chair position
under regional anesthesia (ultrasound-guided interscalene block) in which the
regional oxygen saturation (CrSO2) was monitored. DISCUSSION: Monitoring of
cerebral oximetry is a suitable tool that allows us to have a continuous
assessment throughout the transanesthetic, so we can make decisions more
expeditiously. On this basis, we believe that this type of monitoring should be
fundamental in patients placed in a beach chair position, as well as
predominantly use regional anesthesia. In cases where it cannot be used, this
monitor is absolutely essential.
PMID- 29641858
TI - [Intra-articular migration of a tibial bone block after an anterior cruciate
ligament reconstruction using a bone-tendon-bone graft].
AB - We report the case of a patient that presented an intra-articular protrusion of
the tibial bone block after an arthroscopically-assisted ACL reconstruction using
a bone-patellar tendon-bone graft. The divergence between the tunnel and the
screw was thought to be the reason of the migration. There are several animal
model studies that evidence a relationship between the decreased fixation of the
graft and the tunnel-screw divergence. To the best of our knowledge, this is the
first report of this complication.
PMID- 29641859
TI - [Unusual presentation of pigmented villonodular synovitis of the hip joint: case
report and review of the literature].
AB - INTRODUCTION: Pigmented villonodular synovitis (PVNS) is a rare clinical entity
with higher incidence in women (3:1) and an age of presentation between 20 and 40
years. The hip is a rare site of appearance, being involved in only 15% of all
cases. CASE REPORT: 47-year-old male with 10 years of evolution characterized by
a limited range of motion, pain associated with an increase in volume and
shortening of the pelvic limb. Imaging studies showed destruction of the hip
joint and extension to the pelvis. The histopathology report described pigmented
villonodular synovitis. His final treatment was left hemipelvectomy. DISCUSSION:
The presentation of the diffuse pigmented villonodular synovitis of the hip has a
lower incidence and a locally aggressive behavior. It is necessary to consider
differential diagnoses that include malignant neoplasms. The standard treatment
is surgical.
PMID- 29641860
TI - A new technique of pedicle screw placement with the use of sequential multilevel
navigation templates based on patient-specific 3D CT reconstruction model:
applicability in spine deformity.
AB - INTRODUCTION: The technique of placement of pedicle screws has gradually
improved, but even misplacement observed in 1.2 to 20% of cases, have appeared
techniques fluoroscopic, tomographic and electromagnetic navigation, which led it
to 1.3 to 4.3%, but nevertheless they are expensive and complex technologies.
Present technique pedicle screw placement by using templates with a modification
in the art, performing tomography and reconstruction in the same surgical
position and with the templates of 3 or more levels. METHODS: Five cases of
idiopathic scoliosis were performed, with correction and instrumentation with
pedicular screws, where a three-dimensional model of the spine was performed with
a tomography in a surgical position, whose images were exported to a 3D printer
to reconstruct the desired trajectory of the screws in a template using cylinders
resting on the inverse surface of the vertebrae. The direction of the screw was
planned in the center of the pedicle and parallel to the upper platform of the
vertebra. Each template was of several levels and transoperative X-rays were not
used. RESULTS: Under electrophysiologic monitoring transoperative "red alerts"
were not reported, the placement of the screws in postoperative CT scan was
evaluated, showing a standard deviation in placement of 1.9 and 2.2 mm on the
right and left respectively pedicles, with respect to their planning. DISCUSSION:
This technique is simple and safe, besides not requiring great technology, its
use is suggested in beginner spine surgeons and in severe deformities, it can be
performed in any hospital where spinal surgery is performed.
PMID- 29641861
TI - [Multidisciplinary meeting on application techniques for restitution of synovial
fluid in patients with knee arthritis: update].
AB - In the degradation of articular cartilage, functional limitation and pain
cardinal signs of osteoarthritis underlies, as a central factor, the quantitative
and qualitative alteration of hyaluronic acid, the main component of synovial
fluid and cartilage, in a pathophysiological process influenced by a wide variety
of risk factors whose impact complicates the disease and radically reduces the
quality of life of the patient. Conventional pharmacological management for
osteoarthritis is often insufficient. Fortunately, in our days, there are
viscosupplements capable of improving, replacing and promoting the endogenous
production of degraded hyaluronic acid in osteoarthritis. The use of these
compounds requires the adherence to a set of specific techniques, designed for
the correct intra-articular infiltration of the viscosupplement without the need
to inflict an additional traumatic load on the patient; these techniques with
special reference to the patient affected by knee osteoarthritis (gonarthritis)
are described in this article, which also highlights the criteria for choosing
the ideal viscosupplement, the one most similar to hyaluronic acid native in
healthy young people, and one whose therapeutic use reports greater clinical
benefits in the short and long term.
PMID- 29641862
TI - [The Working Efficiency and Health Conditions of Workers].
AB - The article presents the results of expert evaluation of impact of workers'
health on labor efficiency. The key problems related to health support at
industrial enterprises are considered too. The pilot study covered 20 experts
(administrators, deputy directors of HR departments and departments of
occupational care and industrial safety of large industrial enterprises). The
study was carried out with participation of specialists of the Russian public
opinion research center (VCIOM). The study results demonstrate a high actuality
of issues related to health of workers support for employers. The low labor
efficiency is one of leading motivations of implementing the systems of health
care management.
PMID- 29641863
TI - [The Scientific Analysis of Factors of Physical Accessibility of Medications as
Exemplified by the Sakhalin Oblast. Part II].
AB - The Sakhalin oblast is considered as a detailed example of physical accessibility
of pharmaceuticals with regards to time-space factors and assortment
accessibility. The conclusions are made concerning universality of factors of
accessibility and availability of characteristics to be taken into account in
every subject. The analysis of factors of accessibility in the subject permits to
establish risk zones preventing accessibility of pharmaceuticals to population.
PMID- 29641865
TI - [The Impact of Medical and Non-Medical Factors on Population Mortality: the
Social Factors].
AB - The study was carried out to analyze national and international publications
evaluating impact of social factors on population mortality. The analysis was
made on the basis of publications accessible in prints and electronic format
(MEDLINE, EMBASE, ISI Web of Science) in Russian and English from 1990 to 2015.
The results of generalized studies testify a valuable impact on standardized
mortality coefficients of such factors as social support and social integration,
higher level of education, higher social position, global peacefulness index,
developed infrastructure. The negative impact is made by social stress, lower
level of education, higher level of unemployment, social deprivation. It is
established that social factors are ones the main factors effecting population
mortality level. The effect of social factors is ambivalent and heterogeneous in
time and by population groups. he quantitative evaluation of effect of every one
of social factors is difficult.
PMID- 29641864
TI - [The Evaluation of Medical Demographic and Economic Losses of the Region
Conditioned by Mortality of Lung Cancer].
AB - The article presents evaluation of medical demographic and economic losses of
population of the Krasnoyarskii kraii conditioned by mortality of lung cancer in
2010-2014 using DALY technology. In the Krasnoyarskii kraii, during 2010-2014
64,712 individuals died because of lung cancer. The mortality of male population
surpasses corresponding indices of mortality of females up to 3.9 times. In the
region, the standardized indicator mortality of lung cancer among males annually
surpasses the same indicator among females at maximum up to 8.1 times. The DALY
maximal absolute losses of among males were registered in 2010 and 2013 and fell
on age group of 55-59 years and among females on the age group of 60-64 years in
2014. The maximal (up to 5.2 times) difference in values of DALY indicator was
established in 2010 between male and female population. the maximal gap in in
DALY indices between male and female population was established in the age of 55
59 years. Almost half of DALY losses among males was established in 2013 and
among females in 2014. The total losses of gross regional product in the region
because of mortality conditioned by lung cancer made up to 29.8 billions of
rubles in 2010-2014.
PMID- 29641866
TI - [The Results of Self-Assessment by Medical Organizations Their Correspondence to
Proposals (Practical Recommendations) of the Roszdravnadzor Concerning
Organization of Internal Control of Quality and Safety of Medical Activity].
AB - The article presents the analysis of the results of internal audits (self-rating)
in medical organizations implemented on the basis of Proposals (practical
guidelines) of the Roszdravnadzor concerning organization of inner control of
quality and safety of medical activities in medical organization (hospital). The
self-rating was implemented by the medical organizations themselves according the
common criteria of the Proposals as provided the following plan: planning of self
rating, collection and processing of data, application of self-rating, analysis
of obtained results, preparation of report. The article uses the results of self
rating of medical organizations corresponding to following criteria: profile of
activity-multi-field hospital-number of beds more than 350-state property. The
self-rating was implemented according to 11 basic parts of the Proposals. The
criteria were developed for every part. The evaluation lists developed on the
basis of the given Proposals permitted to medical organizations to independently
establish problems in their activities. Within the framework of implemented self
rating medical organizations mentioned the directions of activity related to
personnel management, identification of personality of patient, support of
epidemiological and surgical safety as having significant discrepancies with the
Proposals and requiring implementation of improvement measures.
PMID- 29641867
TI - [The Impact of Labor Conditions on Health Conditions of Traumatologists of
Emergency Service].
AB - The organization of emergency medical care varies from one country to another.
However, the basic requirements applied to specialists in case of emergency
curative diagnostic measures are common: fast feedback, professionalism,
emotional stability. Therefore, the key characteristic of emergency medicine is
to instantly react twenty-four hours per day. The medical workers of considered
category are in condition of increased physical and mental loading. According the
WHO concept, a negative impact of working environment is a key factor of
development of professional burn-out syndrome. Despite that in publications there
are enough data concerning prevalence of this syndrome among physicians, there is
no common approach to establishment of causes of its development. The
sociological survey was carried out using the technique of the Maslach's Burnout
Inventory questionnaire concerning traumatologists of emergency medical care in
profile departments of metropolitan medical organizations. It is established that
emergency as a special operating mode is a key factor in development of
professional burn-out syndrome. Its development results in both deterioration of
mental and physical health of medical workers of the given category and negative
impact on efficiency of their work.
PMID- 29641868
TI - [The Indices of Common and Early Detection of Urological Pathology as Criteria of
Efficiency of Urological Care Rendering].
AB - The comparative statistical and logical analysis of curves of dynamics of common
and early detection of urological pathology was implemented with purpose of
evaluating efficiency of functioning of regional urological service in the format
of developed three-level system of medical care as exemplified by the Voronezh
oblast. The obtained statistical data testify a significant increasing of common
detection and detection at early stages of urolithiasis, benign hyperplasia and
prostate cancer. This outcome is an evidence of partial or complete realization
of transition from model of medical care by appealability to model by detection
at the expense of implementation of developed three-level system of medical care
of population in the region.
PMID- 29641869
TI - [The Medical Social Efficiency of Rehabilitation of Patients Having Cerebral
Stroke in Ambulatory Conditions].
AB - The article substantiates efficiency of medical social rehabilitation activities
in out-patient conditions on the basis of study of health conditions, life-style
and medical care organization of patients with ischemic stroke. The obtained data
demonstrated a higher efficiency of complex rehabilitation therapy. The efficient
application of possibilities of out-patient polyclinic institution increases the
level of physiological, psychological and social adaptation of patients.
PMID- 29641870
TI - [The Evaluation of Necessity of Individuals Older Aged 50 Years and Older in
Outpatient Polyclinic Services].
AB - The department of X-ray diagnostics of the out-patient center was used to carry
out a sociological survey with the purpose of studying such social demographic
characteristics of patients as level of education, material condition, benefits
availability, degree of employment. The patients of advanced age visit the out
patient center most often for X-ray examinations because of diseases of nervous
system, neoplasms and diseases of musculoskeletal system. In average, the
patients wait their line for examination during up to three days. In both cases
of implementing examination by appointment of hospital physician or by initiative
of patient oneself (for fee) waiting time decreases. The main causes of using of
paid medical care is the queue for free-of-charge examination and lacking of
needed physician in polyclinic. The most of patients characterize scope of
services provided in out-patient center as inadequate. At that, less than a half
of respondents are agreed for broadening of paid diagnostic services. The
readiness of patients for broadening of scope of paid services at the pre
hospital stage of treatment depend on their economic condition and employment
status. The maximal readiness is expressed by working people with good economic
condition. The application of obtained data provides an opportunity of optimizing
of diagnostic care optimization.
PMID- 29641871
TI - [The Principles of Project Management Training of Administrators and Workers of
Medical Organizations].
AB - The article substantiates actuality of development of managerial and
communicative competences in administrators and employees of medical
organizations. the model of competences is presented, including training program
for physician and medical nurse. also a model of competences and training program
for administrators of medical organizations is presented. The expected results
are formulated concerning training of medical personnel in the field of project
management.
PMID- 29641872
TI - [The Personnel Problem in Specialized Opthalmologic Care].
AB - The article presents results of analysis of staffing with ophthalmologists in
ambulatory polyclinic section in the Russian Federation and the federal okrugs in
2007-2012. The significant variations in analyzed indices are established and
also increasing of moonlighting among specialists against the background of
increasing of morbidity of diseases of eye and its adnexa and aging of
population. The most unfavorable situation emerged in the south Federal okrug
where deficiency of ophthalmologists made up to 11.7%. Alongside with that,
staffing with specialists of this profile drastically decreased up to 6.7% in the
Dalnevostochnii Federal okrug.
PMID- 29641873
TI - [On Choice of Profession of Pharmacist by Students of Moscow Universities].
AB - The development of personality strongly depends on correspondence of chosen
profession to capacities and interests of specialist, labor market demand and
possibility of career making. The particular dynamics is observed in alteration
of conception of professions and professional activities because information
revolution resulted in shifting from mono-professionalism to mastering of several
professions at once. The profession of pharmacist also passed through several
alterations following development of pharmaceutical industry. The results of
survey of opinions of students of pharmaceutical faculties concerning choice of
profession of pharmacist demonstrate an actual situation at industry market and
give an opportunity of forecasting its development in Moscow for nearest years.
PMID- 29641874
TI - [The Institutionalization of Traumatology and Orthopedics in Russia].
AB - The article considers process of institutionalization of Russian traumatology and
orthopedics. It is demonstrated that process of institutionalization goes on in
undulating manner takes in average about 50 years, from the moment of statement
of a question to ultimate assignment of specialty of traumatology and
orthopedics, organization of institutes and chairs of traumotology and
orthopedics with alternation of dominance of ducens- and mandate model. On the
basis of developed criteria chronological clusters and periods. The selection of
traumatology and orthopedics into independent field was related not to a
transition to a new stage of development of science but to general process of
increasing of differentiation and specialization in medicine.
PMID- 29641875
TI - [The Problem of Training of Professors of Medicine in Russia of the Beginning of
XIX Century].
AB - The article considers an unknown aspect in history of medical education - the
project of training of professors of medicine of "natural Russians". The author
of the project was Ya.V. Wylie, the physician-in-ordinary of the Emperor, the
chief medical inspector of army and the president of the Medical surgical
academy. His intention was implemented in 1817-1818 and resulted in cessation of
international employments of professors of medicine for Russian universities and
medical surgical academies and organization of the system of specialized training
of graduates of Russian medical schools to title of professor as well. The given
subject permits to clarify why foreign physician at Russian service was against
international employments and stood up for "national" interests of the Russian
Empire. The archive evidences permit to establish conditions of birth of such an
initiative. The biographies of participants of the project give rise to trace
long-term aftermaths of its implementation for history of medical profession in
the Russian Empire.
PMID- 29641876
TI - [V.I. Smidovich: a Whole Life to Local Community Good].
AB - The article considers public and medical activities of Vikentii Ignatievich
Smidovich, one of the most prominent physicians of Tula of the end of XIX
century. His role in the organization and activities of the Tula society of
physicians is analyzed. The particular attention is paid to activities of V.I.
Smidovich related to amelioration of sanitary conditions of Tula, amelioration of
conditions and increasing of longevity of life of residents, support of
population with free medical care. The attempt is made to trace the role of a
single personality in the process of historical, cultural, social development of
a particular region. The article presents a short description of industrial Tula
at the end of XIX century as a prerequisite to socially directed activity of
educated sections of population, including physicians-humanists. The personal
characteristics of V.I. Smidovich are emphasized that permitted him to gain a
higher authority among patients and colleagues and to achieve established tasks.
The article deals with the theme of life and death as an ethical argument,
"natural heroism" of medical profession. The fragment of case history composed by
V.I. Smidovich himself is presented. The emphasis is also made on the role of
Smidovich-father in the formation of creed and creative direction of V.V.
Veresaiev, his son.
PMID- 29641877
TI - [N.M. Serkov - the First Head of the Baikal Oblast Department of Health Care of
the Far-East Republic].
AB - The article presents supplemented biography of physician Nikolaii Mikhaylovich
Serkov. His enormous input into becoming and development of state health care
system on the territory of ethnic Buryatia during all period of existence of the
Far-Eastern Republic.
PMID- 29641878
TI - SURVEILLANCE OF HIV-1 DRUG-RESISTANCE MUTATIONS IN THAILAND FROM 1999 TO 2014.
AB - Antiretroviral resistance has long been a serious problem in Thailand. Inorder to
monitor developmental rate of mutations and its impact of the nationalpolicy,
frequency of drug-resistance mutations in HIV-1 reverse transcriptase (RT)and
protease (PR) were analyzed from 24,279 blood plasma samples collected from1999
to 2014. HIV-1 drug resistance mutations were influenced by drugs that havebeen
used widely as first-line regimens. M184I/V was the most common (53.1%prevalence)
RT inhibitor (NRTI) mutation. Other NRTI-associated mutations
increaseddramatically after the Universal Coverage Scheme was launched in
2007,but declined on the whole after introduction of the Thai National Guidelines
in2010. However, non-NRTI-associated mutations increased between 1999 and
2007,but have remained constant since, with Y181I/C the most (31.4%) prevalent.
PRdrug-associated mutations (M36I/L/V, H69K/R and L89I/M/V) previously
consideredas CRF01_AE polymorphisms constituted > 90% prevalence in all
samples.The launch of antiretroviral treatment influenced the pattern of
mutations and theUniversal Coverage Scheme also impacted the rate of development
of resistancemutations on a national scale. Drug resistance trends in Thailand
could be ascribedto drug regimens that have been used for over a decade. Results
from thisstudy can be used as indicators of the success of the Universal Coverage
Scheme.Knowledge of the trend of HIV drug-resistance mutations, past and present,
isessential in formulating an effective antiretroviral treatment strategy.
PMID- 29641879
TI - EFFORTS TO INCREASE THE USE OF HIV TESTING SERVICES : WHAT INTERVENTIONS HAVE
WORKED IN THAILAND?
AB - We reviewed and analyzed published research concerning efforts to increaseregular
use of HIV testing services in Thailand. Separate studies suggestedthat the
creation of participatory and creative online spaces for information sharingand
promoting community-based testing positively influence levels of HIVtesting,
including repeat-testing in some cases. Population targeted approaches,using same
day rapid tests, and using diverse locations for testing (medical andnon-medical)
may improve rates of testing. Thailand shows an example of successfulHIV
management and reduction. The challenge is to continue towardszero new infections
and end an epidemic that is increasingly associated amongpeople with specific
risk behaviors. These characteristics make Thailand a casestudy for consideration
by other national HIV programs.
PMID- 29641880
TI - DENGUE PREVENTION: CONFIRMATORY FACTOR ANALYSIS OF RELATIONSHIPS BETWEEN ECONOMIC
STATUS, KNOWLEDGE, ATTITUDES AND PRACTICE, VACCINE ACCEPTANCE AND WILLINGNESS TO
PARTICIPATE IN A STUDY.
AB - The aim of this study was to study the relationships between economicstatus,
knowledge, attitude and practice regarding dengue fever (DF), attitudetowards
vaccination against dengue virus infection, willingness to participate ina study
on dengue, and acceptance of a dengue vaccine. Information on variablesof
interest was collected in questionnaire-assisted interviews during a
communitybasedcross-sectional survey conducted in Aceh, Indonesia. A proposed
relationshipmodel was tested using Confirmatory Factor Analysis (CFA), and an
ExploratoryFactor Analysis (EFA) was used to reconstruct an alternative
relationshipmodel among variables. Our proposed relationship model differed from
the resultof the EFA. The CFA indicated that knowledge and attitudes towards DF
had thestrongest relationship. We also found several direct relationships between
sets ofvariables: a) economic status and knowledge on DF, b) economic status and
practicesregarding DF, c) knowledge and practices regarding DF, d) attitudes
towardsDF and dengue vaccine acceptance, as well as e) attitudes towards
vaccination anddengue vaccine acceptance. There was no relationship between
economic statusand dengue vaccine acceptance. In conclusion, our model suggested
that the mostsuitable factor to be targeted for improving dengue prevention was
knowledgeabout DF. In addition, improving attitudes towards vaccination seemed to
be themost appropriate effort to increase public acceptance of a dengue vaccine.
PMID- 29641881
TI - LONG-TERM PROTECTIVE RABIES ANTIBODIES IN THAI CHILDREN AFTER PRE-EXPOSURE RABIES
VACCINATION.
AB - Rabies is a viral zoonosis affecting around 16,000-39,000 people annually.Pre
exposure vaccination should be offered to individuals at high risk ofrabies
exposure and is suggested for children living in rabies endemic
areas.Theincidence rate of dog bite in the test group was 14.1/1,000 person
years. Intradermal(ID) route of administration is considered an alternative to
the standardintramuscular (IM) administration, and reduces vaccination cost. A 3
year clinicalstudy of a rabies vaccine administered IM or ID to 12-18-month-old
Thai children,simultaneously with a Japanese encephalitis (JE) vaccine (M49P2
study),revealed that all regimens used elicited an adequate immune response. In
orderto determine the long-term (4-8 years) rabies neutralizing antibody titers
inducedby the pre-exposure regimens, blood was collected annually from 68 from
theM49P2 study and analyzed using a rapid fluorescence focus inhibition test.
Full-IM(three doses of 1 ml/dose), half-IM(three doses of 0.5 ml/dose), and 3
ID(threedoses of 0.1 ml/dose) regimens induced antibody titers above the
seroprotectivelevel throughout the study period. However, the 2-ID(two doses of
0.1 ml/dose)group had sub-seroprotective titer of 6.7%, 13.4%, 25.0%, and 36.4%
in year 5, 6, 7,and 8, respectively. A secondary immune response was induced by
rabies boostervaccination. This study demonstrates a reduced-dose rabies regimen
may lowerthe cost of long-term protection against rabies for vulnerable
populations, thusimproving the cost-effectiveness of pre-exposure rabies
vaccination in children.
PMID- 29641882
TI - COMPARISON OF GeneXpert MTB/RIF ASSAY WITH CONVENTIONAL AFB SMEAR FOR DIAGNOSIS
OF PULMONARY TUBERCULOSIS IN NORTHEASTERN THAILAND.
AB - Among infectious agents, Mycobacterium tuberculosis remains one ofthe most
significant causes of death worldwide. Rapid and accurate diagnosisof pulmonary
tuberculosis (TB) remains a great challenge. GeneXpert MTB/RIFassay is a novel
integrated diagnostic system for rapid diagnosis of TB and particularlyof
rifampicin-resistant strains. A study was conducted between January2010 and
December 2014 to compare the performance of the sputum GeneXpertMTB/RIF assay
with the conventional sputum AFB smear for diagnosis of activepulmonary TB in
Thailand, a country with a high burden of this disease. Of the125 patients who
had cough and/or prolonged fever together with abnormal chestradiograph, 63 were
diagnosed as having pulmonary TB by mycobacterium cultureassay, while the
remaining subjects were considered of having TB-like conditions,viz non
tuberculous mycobacterium infection (NTM), bacterial pneumonia orbronchogenic
carcinoma. Two-thirds of the patients had underlying diseases, eg,diabetes
mellitus (19 patients), autoimmune diseases (14), and HIV (6). Amongpatients with
positive diagnosis of M. tuberculosis infection, 30 were AFB smearpositive and 53
by sputum GeneXpert MTB/RIF method; among patients negativefor M. tuberculosis
infection, 4 were AFB smear positive and 5 by GeneXpert MTB/RIF assay.
Sensitivity and specificity of the sputum AFB smear and GeneXpertMTB/RIF assay
test were 48% (95% CI: 35-61) and 84% (95% CI: 73-92), and 94% (95%CI: 84-98) and
92% (95% CI: 82-97), respectively. Diagnostic performance of theGeneXpert MTB/RIF
assay among AFB smear positive patients was higher thanamong AFB smear negative
patients (adjusted OR 6.7; 95% CI: 2.3-19.9). Earlierdiagnosis of pulmonary TB
using GeneXpert MTB/RIF assay will lead to earlierappropriate treatment and
provide opportunities to interrupt TB transmission.
PMID- 29641883
TI - DRUG RESISTANCE PATTERN AND MOLECULAR CHARACTERIZATION OF MYCOBACTERIUM
TUBERCULOSIS STRAINS IN PUNJAB, PAKISTAN.
AB - Tuberculosis (TB) is a cause of death from a single infectious agent
Mycobacteriumtuberculosis (MTB), leading to approximately 2.5 million deaths
annuallyworldwide. Information regarding prevalence and pattern of drug
resistanceamong TB patients in Pakistan remains inadequate due to the country's
limitedresources. This study compared conventional diagnostic techniques with a
PCRbasedassay targeting IS6110 sequence. In addition, MTB drug resistant
profilesagainst four first-line drugs (ethambutol, isoniazid, rifampin, and
streptomycin)from new and retreatment cases of TB. From 101 sputum samples
microscopicexamination of Ziehl-Neelsen-stained smears and culturing on
Lowenstein Jensenmedium resulted in 96% and 100% positives, compared to 98% by
PCR. Prevalenceof MDR-MTB was 41.5% and 58.5% among new (n = 51) and
retreatment(n = 50) cases, but 10% of the former group were sensitive to all four
first-lineanti-TB drugs. Thus, MDR-MTB is highly prevalent among TB patients in
PunjabProvince, Pakistan (where the study was conducted) and, although PCR
amplificationof MTB-specific IS6110 sequence was rapid, it lacked the sensitivity
ofthe culture assay.
PMID- 29641884
TI - HAEMOPHILUS INFLUENZAE FROM PATIENTS AT THE LARGEST UNIVERSITY TERTIARY CARE
CENTER, THAILAND 2012 - 2015.
AB - Haemophilus influenzae was isolated from 556 different patients, mostly10 years
or under, at a tertiary referral hospital in Bangkok, Thailand during 2012- 2015.
Peak period of detection was from January to March. Thirty-nine percent ofthe
isolates were beta-lactamase positive. beta-Lactamase-negative ampicillin
resistantH. influenzae (BLNAR) constituted 2% of beta-lactamase-negative cases.
H. influenzaewas susceptible to ampicillin (58%), amoxicillin/clavulanate (99%),
cefotaxime(100%), ceftriaxone (100%), cefuroxime (99%), ciprofloxacin (99%),
chloramphenicol(86%), tetracycline (75%), and trimethoprim-sulphamethoxazole
(52%).beta-Lactamase-producing isolates (72%) showed high minimal inhibitory
concentration(MIC) to ampicillin (128-516 MUg/ml) and all BLNAR isolates low
ampicillinMIC (2-16 MUg/ml). These findings indicate that the level of ampicillin
resistancein H. influenzae depended on differences in resistance mechanism.
PMID- 29641885
TI - Hierarchical Emergence and Dynamic Control of Chirality in a Photoresponsive
Dinuclear Complex.
AB - Chiroptical photoswitches are of interest from a viewpoint of applications in
advanced information technologies. We report dynamic on-off photoswitching of
circularly polarized luminescence (CPL) in a binuclear europium complex system.
Two coordination units are arranged closely in a chiral fashion by a
photoresponsive ligand with a one-handed helical structure. The chirality in the
helical scaffold is hierarchically transferred to the chirality in nine
coordinate complex sites. The chiral close arrangement of complex units induces
the enrichment of a specific chiral coordination structure in the nine-coordinate
europium sites. The chiral arrangement of complex units is switched in
conjunction with the photoinduced helix-nonhelix structural change in the
photoresponsive framework, demonstrating on-off switching of CPL with high
contrast.
PMID- 29641886
TI - Photoresponsive Aqueous Dissolution of Poly( N-Isopropylacrylamide)
Functionalized with o-Nitrobenzaldehyde through Phase Transition.
AB - We report a sharp photoinduced aqueous dissolution of the copolymer through phase
transition based on the photochemical reaction of o-nitrobenzaldehyde (NBA) and
the principle of polymer effect. We synthesized the copolymers having poly( N
isopropylacrylamide) main chain and NBA side chain at 4, 7, and 10 mol %
functionalizations and analyzed their photoresponsive characteristics. Light with
365 nm wavelength converted NBA groups at copolymer side chains to carboxylic
acid efficiently at the rate of 7.3 cm2/J, and in the case of 10 mol %
functionalization, the irradiation dosage no more than 56 mJ/cm2 induced sharp
aqueous dissolution of the copolymer thin layer in pH 7.4 at 25 degrees C. As
example applications, we demonstrated on-demand release of polyethylene beads and
fluorescent-labeled albumins, which had been immobilized on a substrate surface
via the copolymers, by the precisely controlled light irradiation using a
microprojection system. Also, we examined application of the copolymers to the
selective recovery of living cells from culture substrate under microscopic
observation. As a result, mild light irradiation at room temperature triggered
immediate detachment of the cultured adherent cells only in the irradiated areas
without critical influence on their viability.
PMID- 29641887
TI - N-H...S Interaction Continues To Be an Enigma: Experimental and Computational
Investigations of Hydrogen-Bonded Complexes of Benzimidazole with Thioethers.
AB - The N-H...S hydrogen bond, even though classified as an unconventional hydrogen
bond, is found to bear important structural implications on protein structure and
folding. In this article, we report a gas-phase study of the N-H...S hydrogen
bond between the model compounds of histidine (benzimidazole, denoted BIM) and
methionine (dimethyl sulfide, diethyl sulfide, and tetrahydrothiophene, denoted
Me2S, Et2S, and THT, respectively). A combination of laser spectroscopic methods
such as laser-induced fluorescence (LIF), two-color resonant two-photon
ionization (2cR2PI), and fluorescence depletion by infrared spectroscopy (FDIR)
is used in conjunction with DFT and ab initio calculations to characterize the
nature of this prevalent H-bonding interaction in simple bimolecular complexes. A
single conformer was found to exist for the BIM-Me2S complex, whereas the BIM
Et2S and BIM-THT complexes showed the presence of three and two conformers,
respectively. These conformers were characterized on the basis of IR
spectroscopic results and electronic structure calculations. Quantum theory of
atoms in molecules (QTAIM), natural bond orbital (NBO), and energy decomposition
(NEDA) analyses were performed to investigate the nature of the N-H...S H-bond.
Comparison of the results with the N-H...O type of interactions in BIM and indole
revealed that the strength of the N-H...S H-bond is similar to N-H...O in these
binary gas-phase complexes.
PMID- 29641888
TI - Light Driven Electron Transfer in Methylbipyridine/Phenol Complexes Is Not Proton
Coupled.
AB - The pursuit of systems that undergo optical electron proton transfer (photo-EPT)
is very attractive, due to the wealth of information contained in the absorption
spectra of such complexes. However, separating photo-EPT transitions from
conventional charge transfer states remains a major challenge. In this study, we
show that optical charge transfer in a complex between 4-methoxyphenol and N
methyl-4,4'-bipyridyl, previously assigned to occur through photo-EPT involving a
hydrogen bond between the reactants ( Gagliardi , C. J. ; ; Wang , L. ; ; Dongare
, P. ; ; Brennaman , M. K. ; ; Papanikolas , J. M. ; ; Meyer , T. J. ; ; Thompson
, D. W. Proc. Natl. Acad. Sci. U.S.A. 2016 , 113 , 11106 - 11109 ), does not lead
to protonation of the acceptor molecule. Additionally, we propose that
association of the complex is likely due to donor-acceptor interactions rather
than hydrogen bonding.
PMID- 29641890
TI - Efficient Targeting Drug Delivery System for Lewis Lung Carcinoma, Leading to
Histomorphological Abnormalities Restoration, Physiological and Psychological
Statuses Improvement, and Metastasis Inhibition.
AB - Lung cancer is a kind of malignant tumor with high morbidity and metastasis
tendency. Gambogic acid (GA) has demonstrated significant antitumor activity in
vitro, but its poor water-solubility and adverse effects restrict its application
in vivo and in clinic. In this study, a passive-targeting GA delivery system was
prepared for orthotopic Lewis lung carcinoma model mice. Besides the ~7 MUm size
distribution, slow and steady in vitro drug release in a week, high targeting
effect to lung, effective restoration of histomorphological abnormalities in
lung, maintaining on bodyweight, and prolongation on survival time, excellent
improvements of the GA-loaded particles on physiological and psychological
statuses and obvious inhibition on tumor metastasis to liver have also been
observed, through the measurements of Porsolt forced swim, hypoxic tolerance
time, ultrastructure of pulmonary capillary, pulmonary vascular permeability, and
hepatic histological change. These results suggest that this GA-loaded particle
may be an ideal approach to achieve satisfactory therapeutic function on lung
cancer.
PMID- 29641889
TI - Three-Dimensional Silicon Electronic Systems Fabricated by Compressive Buckling
Process.
AB - Recently developed approaches in deterministic assembly allow for controlled,
geometric transformation of two-dimensional structures into complex, engineered
three-dimensional layouts. Attractive features include applicability to wide
ranging layout designs and dimensions along with the capacity to integrate planar
thin film materials and device layouts. The work reported here establishes
further capabilities for directly embedding high-performance electronic devices
into the resultant 3D constructs based on silicon nanomembranes (Si NMs) as the
active materials in custom devices or microscale components released from
commercial wafer sources. Systematic experimental studies and theoretical
analysis illustrate the key ideas through varied 3D architectures, from
interconnected bridges and coils to extended chiral structures, each of which
embed n-channel Si NM MOSFETs (nMOS), Si NM diodes, and p-channel silicon MOSFETs
(pMOS). Examples in stretchable/deformable systems highlight additional features
of these platforms. These strategies are immediately applicable to other wide
ranging classes of materials and device technologies that can be rendered in two
dimensional layouts, from systems for energy storage, to photovoltaics,
optoelectronics, and others.
PMID- 29641891
TI - Enantioselective Toxicity in Adult Zebrafish ( Danio rerio) Induced by Chiral
PCB91 through Multiple Pathways.
AB - This study aimed to further investigate the toxic mechanism of chiral
polychlorinated biphenyl (PCB) 91 in adult zebrafish ( Danio rerio) exposed to
racemic (rac-), (+)-, or (-)-PCB91 for 63 days. The enantioselective mortalities
of adult zebrafish exposed to rac-/(+)-/(-)-PCB91 were 95.86, 50.08, and 81.50%,
respectively. Tubular necrosis and cellular hypertrophy occurred in the kidneys
of (-)-PCB91-treated groups, whereas demyelination and immune cell infiltration
occurred in brains of the rac-, (+)-, and (-)-PCB91-treated groups. Additionally,
exposure to chiral PCB91 enantioselectively induced neurotoxicity, apoptosis, and
inflammation in brain tissues owing to perturbations of gene expression, protein
content and sphingolipid levels. The high mortality after rac-/(+)-PCB91 exposure
might be due to toxic effects on brain tissue, while the high mortality after (-)
PCB91 exposure might be due to toxic effects on kidney as well as brain tissues.
Thus, our findings offer an important reference for elucidating the
enantioselective toxicological mechanism of chiral PCBs in aquatic organisms.
PMID- 29641892
TI - Acid-Resistant Mesoporous Metal-Organic Framework toward Oral Insulin Delivery:
Protein Encapsulation, Protection, and Release.
AB - Diabetes affects millions of people worldwide and the number of diagnoses
continues to climb annually. Though several effective medications and therapeutic
methods have been developed to treat type 1 (T1DM) and type 2 (T2DM) diabetes
mellitus, direct insulin injection remains the only effective treatment for
insulin resistant (IR) diabetes patients. Here, we immobilize insulin in a
crystalline mesoporous metal-organic framework (MOF), NU-1000, and obtain a high
loading of ~40 wt % in only 30 min. The acid-stable MOF capsules are found to
effectively prevent insulin from degrading in the presence of stomach acid and
the digestive enzyme, pepsin. Furthermore, the encapsulated insulin can be
released from NU-1000 under simulated physiological conditions.
PMID- 29641893
TI - Exploration of Nanoparticle-Mediated Photothermal Effect of TMB-H2O2 Colorimetric
System and Its Application in a Visual Quantitative Photothermal Immunoassay.
AB - The exploration of new physical and chemical properties of materials and their
innovative application in different fields are of great importance to advance
analytical chemistry, material science, and other important fields. Herein, we,
for the first time, discovered the photothermal effect of an iron oxide
nanoparticles (NPs)-mediated TMB (3,3',5,5'-tetramethylbenzidine)-H2O2
colorimetric system, and applied it toward the development of a new NP-mediated
photothermal immunoassay platform for visual quantitative biomolecule detection
using a thermometer as the signal reader. Using a sandwich-type proof-of-concept
immunoassay, we found that the charge transfer complex of the iron oxide NPs
mediated one-electron oxidation product of TMB (oxidized TMB) exhibited not only
color changes, but also a strong near-infrared (NIR) laser-driven photothermal
effect. Hence, oxidized TMB was explored as a new sensitive photothermal probe to
convert the immunoassay signal into heat through the near-infrared laser-driven
photothermal effect, enabling simple photothermal immunoassay using a
thermometer. Based on the new iron oxide NPs-mediated TMB-H2O2 photothermal
immunoassay platform, prostate-specific antigen (PSA) as a model biomarker can be
detected at a concentration as low as 1.0 ng.mL-1 in normal human serum. The
discovered photothermal effect of the colorimetric system and the developed new
photothermal immunoassay platform open up a new horizon for affordable detection
of disease biomarkers and have great potential for other important material and
biomedical applications of interest.
PMID- 29641894
TI - Resolving the Controversial Existence of Silicene and Germanene Nanosheets Grown
on Graphite.
AB - The highly oriented pyrolytic graphite (HOPG) surface, consisting of a dangling
bond-free lattice, is regarded as a potential substrate for van der Waals
heteroepitaxy of two-dimensional layered materials. In this work, the growth of
silicon and germanium on HOPG is investigated with scanning tunneling microscopy
by using typical synthesis conditions for silicene and germanene on metal
surfaces. At low coverages, the deposition of Si and Ge gives rise to tiny and
sparse clusters that are surrounded by a honeycomb superstructure. From the
detailed analysis of the superstructure, its comparison with the one encountered
on the bare and clean HOPG surface, and simulations of the electron density, we
conclude that the superstructure is caused by charge density modulations in the
HOPG surface. At high coverages, we find the formation of clusters, assembled in
filamentary patterns, which indicates a Volmer-Weber growth mode instead of a
layer-by-layer growth mode. This coverage-dependent study sets the stage for
revisiting recent results alleging the synthesis of silicene and germanene on the
HOPG surface.
PMID- 29641895
TI - Fine Tuning of Core-Shell Structure of Hyaluronic Acid/Cell-Penetrating
Peptides/siRNA Nanoparticles for Enhanced Gene Delivery to Macrophages in
Antiatherosclerotic Therapy.
AB - Hyaluronic-acid (HA)-coated LOX-1-specific siRNA-condensed cell-penetrating
peptide (CPP) nanocomplexes (NCs) were developed for targeted gene delivery to
macrophages and suppression of lipid accumulation. The HA coating facilitated the
accumulation of nanoparticles at leaky endothelium overexpressing CD44 receptors
and was further degraded by hyaluronidase (HAase) intraplaques for exposing the
naked CPP NCs and achieving the ultimate location into macrophages. The surface
coating of HA was verified by the increased particle size, inverted zeta
potential, and TEM images. The targeting mechanism was studied on the established
injured endothelium-macrophage coculture system, which revealed that modification
of higher molecular weight HA and higher HA coating density on NCs, termed as NPs
3, improved the intracellular uptake of nanoparticles by macrophages. Macrophages
internalized NCs via caveolae-mediated endocytosis pathway. Moreover, NPs-3
exhibited better cellular drug efficacy in preventing macrophage-derived foam
cell formation than other preparations. Compared with NCs, HA decoration showed
enhanced atherosclerotic-lesion-targeting efficiency, proven by results from ex
vivo imaging. Furthermore, atheroprotective efficacy study in apoE-deficient mice
showed that NPs-3 had the best potent efficacy, which was demonstrated by the
fewest atherosclerotic lesions sizes and lipid accumulation, the lowest
macrophage infiltration, and the lowest expression of monocyte chemoattractant
protein-1 (MCP-1), respectively. Collectively, the HA-coated CPP NCs were
promising nanocarriers for efficient macrophage-targeted gene delivery and
antiatherogenic therapy.
PMID- 29641896
TI - Nanoscale Electronic Conditioning for Improvement of Nanowire Light-Emitting
Diode Efficiency.
AB - Commercial III-Nitride LEDs and lasers spanning visible and ultraviolet
wavelengths are based on epitaxial films. Alternatively, nanowire-based III
Nitride optoelectronics offer the advantage of strain compliance and high
crystalline quality growth on a variety of inexpensive substrates. However,
nanowire LEDs exhibit an inherent property distribution, resulting in uneven
current spreading through macroscopic devices that consist of millions of
individual nanowire diodes connected in parallel. Despite being electrically
connected, only a small fraction of nanowires, sometimes <1%, contribute to the
electroluminescence (EL). Here, we show that a population of electrical shorts
exists in the devices, consisting of a subset of low-resistance nanowires that
pass a large portion of the total current in the ensemble devices. Burn-in
electronic conditioning is performed by applying a short-term overload voltage;
the nanoshorts experience very high current density, sufficient to render them
open circuits, thereby forcing a new current path through more nanowire LEDs in
an ensemble device. Current-voltage measurements of individual nanowires are
acquired using conductive atomic force microscopy to observe the removal of
nanoshorts using burn-in. In macroscopic devices, this results in a 33* increase
in peak EL and reduced leakage current. Burn-in conditioning of nanowire
ensembles therefore provides a straightforward method to mitigate nonuniformities
inherent to nanowire devices.
PMID- 29641897
TI - Design, Synthesis, and Enzymatic Evaluation of Novel ZnO Quantum Dot-Based Assay
for Detection of Proteinase 3 Activity.
AB - Herein, the synthesis and application of functionalized quantum dot-based
protease probes is described. Such probes are composed of nontoxic ZnO
nanocrystals decorated by amino groups followed by linker and labeled peptide
attachment. Spherical NH2-terminated ZnO quantum dots (QDs) with the average size
ranging from 4 to 8 nm and strong emission centered at 530 nm were prepared using
the sol-gel method. The fluorescence of ZnO QDs was quenched by the BHQ1 moiety
present on the N-terminal amino group of the peptide. The enzymatic cleavage of
the peptide mediated by the proteinase 3 (PR3) bond resulted in an increase in
the QD probe fluorescence. This observation was verified using both model and
biological systems; and the picomolar detection limit was found to be more than
30 times lower than that of the previously reported internally quenched peptide
(a decrease in detection limit from 43 to 1.3 pmol was observed).
PMID- 29641899
TI - Usefulness of a Dual Macro- and Micro-Energy-Dispersive X-Ray Fluorescence
Spectrometer to Develop Quantitative Methodologies for Historic Mortar and
Related Materials Characterization.
AB - Wavelength dispersive X-ray fluorescence (WD-XRF) spectrometry has been widely
used for elemental quantification of mortars and cements. In this kind of
instrument, samples are usually prepared as pellets or fused beads and the whole
volume of sample is measured at once. In this work, the usefulness of a dual
energy dispersive X-ray fluorescence spectrometer (ED-XRF), working at two
lateral resolutions (1 mm and 25 MUm) for macro and microanalysis respectively,
to develop quantitative methods for the elemental characterization of mortars and
concretes is demonstrated. A crucial step before developing any quantitative
method with this kind of spectrometers is to verify the homogeneity of the
standards at these two lateral resolutions. This new ED-XRF quantitative method
also demonstrated the importance of matrix effects in the accuracy of the results
being necessary to use Certified Reference Materials as standards. The results
obtained with the ED-XRF quantitative method were compared with the ones obtained
with two WD-XRF quantitative methods employing two different sample preparation
strategies (pellets and fused beads). The selected ED-XRF and both WD-XRF
quantitative methods were applied to the analysis of real mortars. The accuracy
of the ED-XRF results turn out to be similar to the one achieved by WD-XRF,
except for the lightest elements (Na and Mg). The results described in this work
proved that MU-ED-XRF spectrometers can be used not only for acquiring high
resolution elemental map distributions, but also to perform accurate quantitative
studies avoiding the use of more sophisticated WD-XRF systems or the acid
extraction/alkaline fusion required as destructive pretreatment in Inductively
coupled plasma mass spectrometry based procedures.
PMID- 29641898
TI - Qualitative and Quantitative Characterization of Composition Heterogeneity on the
Surface of Spray Dried Amorphous Solid Dispersion Particles by an Advanced
Surface Analysis Platform with High Surface Sensitivity and Superior Spatial
Resolution.
AB - Surface composition critically impacts stability (e.g., crystallization) and
performance (e.g., dissolution) of spray dried amorphous solid dispersion (ASD)
formulations; however, traditional characterization techniques such as Raman and
infrared spectroscopies may not provide useful information on surface composition
on the spray dried ASD particles due to low spatial resolution, high probing
depth, and lack of quantitative information. This study presents an advanced
surface characterization platform consisting of two complementary techniques: X
ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass
spectrometry (ToF-SIMS). Such a platform enables qualitative and quantitative
measurements of surface composition for the fine spray dried ASD particles with
ultrasurface-sensitivity (less than 10 nm from the surface) and superior spatial
resolution (approximately 250 nm for ToF-SIMS). Both XPS and ToF-SIMS
demonstrated that the polymer (PVPVA) was dominantly enriched on the surface of
our spray dried naproxen-PVPVA ASD particles. Of a particular note was that XPS
could differentiate two batches of spray dried ASD particles with a subtle
difference in surface composition produced by varying feed solution solvents.
This advanced surface characterization platform will provide essential surface
information to understand the mechanisms underlying the impact of surface
composition on stability (e.g., crystallization) and functionality (e.g.,
dissolution) in future studies.
PMID- 29641900
TI - Deciphering the Combined Effects of Environmental Stressors on Gene
Transcription: A Conceptual Approach.
AB - The use of classical mixture toxicity models to predict the combined effects of
environmental stressors based on toxicogenomics (OMICS) data is still in its
infancy. Although several studies have made attempts to implement mixture
modeling in OMICS analysis to understand the low-dose interactions of stressors,
it is not clear how interactions occur at the molecular level and how results
generated from such approaches can be better used to inform future studies and
cumulative hazard assessment of multiple stressors. The present work was
therefore conducted to propose a conceptual approach for combined effect
assessment using global gene expression data, as illustrated by a case study on
assessment of combined effects of gamma radiation and depleted uranium (DU) on
Atlantic salmon ( Salmo salar). Implementation of the independent action (IA)
model in reanalysis of a previously published microarray gene expression dataset
was performed to describe gene expression patterns of combined effects and
identify key gene sets and pathways that were relevant for understanding the
interactive effects of these stressors. By using this approach, 3120
differentially expressed genes (DEGs) were found to display additive effects,
whereas 279 (273 synergistic, 6 antagonistic) were found to deviate from
additivity. Functional analysis further revealed that multiple toxicity pathways,
such as oxidative stress responses, cell cycle regulation, lipid metabolism, and
immune responses were enriched by DEGs showing synergistic gene expression. A key
toxicity pathway of DNA damage leading to enhanced tumorigenesis signaling is
highlighted and discussed in detail as an example of how to take advantage of the
approach. Furthermore, a conceptual workflow describing the integration of
combined effect modeling, OMICS analysis, and bioinformatics is proposed. The
present study presents a conceptual framework for utilizing OMICS data in
combined effect assessment and may provide novel strategies for dealing with data
analysis and interpretation of molecular responses of multiple stressors.
PMID- 29641901
TI - Theoretical Analysis of Optical Absorption and Emission in Mixed Noble Metal
Nanoclusters.
AB - In this work, we studied theoretically two hybrid gold-silver clusters, which
were reported to have dual-band emission, using density functional theory (DFT)
and linear and quadratic response time-dependent DFT (TDDFT). Hybrid functionals
were found to successfully predict absorption and emission, although explanation
of the NIR emission from the larger cluster (cluster 1) requires significant
vibrational excitation in the final state. For the smaller cluster (cluster 2),
the Delta H(0-0) value calculated for the T1 -> S0 transition, using the PBE0
functional, is in good agreement with the measured NIR emission, and the
calculated T2 -> S0 value is in fair agreement with the measured visible
emission. The calculated T1 -> S0 phosphorescence Delta H(0-0) for cluster 1 is
close to the measured visible emission energy. In order for the calculated
phosphorescence for cluster 1 to agree with the intense NIR emission reported
experimentally, the vibrational energy of the final state (S0) is required to be
about 0.7 eV greater than the zero-point vibrational energy.
PMID- 29641902
TI - Surface Plasmon-Mediated Nanoscale Localization of Laser-Driven sub-Terahertz
Spin Dynamics in Magnetic Dielectrics.
AB - We report spatial localization of the effective magnetic field generated via the
inverse Faraday effect employing surface plasmon polaritons (SPPs) at Au/garnet
interface. Analyzing both numerically and analytically the electric field of the
SPPs at this interface, we corroborate our study with a proof-of-concept
experiment showing efficient SPP-driven excitation of coherent spin precession
with 0.41 THz frequency. We argue that the subdiffractional confinement of the
SPP electric field enables strong spatial localization of the SPP-mediated
excitation of spin dynamics. We demonstrate two orders of magnitude enhancement
of the excitation efficiency at the surface plasmon resonance within a 100 nm
layer of a dielectric garnet. Our findings broaden the horizons of ultrafast spin
plasmonics and open pathways toward nonthermal opto-magnetic recording on the
nanoscale.
PMID- 29641903
TI - Molecular View of CO2 Capture by Polyethylenimine: Role of Structural and
Dynamical Heterogeneity.
AB - The molecular thermodynamics and kinetics of CO2 sorption in Polyethylenimine
(PEI) melt have been investigated systematically using GCMC and MD simulations.
We elucidate presence of significant structural and dynamic heterogeneity
associated with the overall absorption process. CO2 adsorption in a PEI membrane
shows a distinct two-stage process of a rapid CO2 adsorption at the interfaces
(hundreds of picoseconds) followed by a significantly slower diffusion limited
release toward the interior bulk regions of PEI melt (hundreds of nanoseconds to
microseconds). The spatial heterogeneity of local structural features of the PEI
chains lead to significantly heterogeneous absorption characterized by clustering
and trapping of CO2 molecules that then lead to subdiffusive motion of CO2. In
the complex interplay of interaction and entropy, the latter emerges out to be
the major determining factor with significantly higher solubility of CO2 near the
interfaces despite having lower density of binding amine groups. Regions having
higher free-volume (entropically favorable) viz. interfaces, pores and loops
demonstrate higher CO2 capture ability. Various local structural features of PEI
conformations, for example, inter- and intrachain loops, pores of different
radii, and di- or tricoordinated pores are explored for their effects on the
varying CO2 adsorption abilities.
PMID- 29641904
TI - Antifouling Properties of a Self-Assembling Glutamic Acid-Lysine Zwitterionic
Polymer Surface Coating.
AB - There is a need for the development of antifouling materials to resist adsorption
of biomacromolecules. Here we describe the preparation of a novel zwitterionic
block copolymer with the potential to prevent or delay the formation of microbial
biofilms. The block copolymer comprised a zwitterionic (hydrophilic) section of
alternating glutamic acid (negatively charged) and lysine (positively charged)
units and a hydrophobic polystyrene section. Cryo-TEM and dynamic-light
scattering (DLS) results showed that, on average, the block copolymer self
assembled into 7-nm-diameter micelles in aqueous solutions (0 to 100 mM NaCl, pH
6). Quartz crystal microbalance with dissipation monitoring (QCM-D), atomic force
microscopy (AFM), and contact angle measurements demonstrated that the block
copolymer self-assembled into a brush-like monolayer on polystyrene surfaces. The
brush-like monolayer produced from a 100 mg/L block copolymer solution exhibited
an average distance, d, of approximately 4-8 nm between each block copolymer
molecule (center to center). Once the brush-like monolayer self-assembled, it
reduced EPS adsorption onto the polystyrene surface by ~70% (mass), reduced the
rate of bacterial attachment by >80%, and inhibited the development of thick
biofilms. QCM-D results revealed that the EPS molecules penetrate between the
chains of the brush and adsorb onto the polystyrene surface. Additionally, AFM
analyses showed that the brush-like monolayer prevents the adhesion of large (>
d) hydrophilic colloids onto the surface via hydration repulsion; however,
molecules or colloids small enough to fit between the brush polymers (< d) were
able to be adsorbed onto the surface via van der Waals interactions. Overall, we
found that the penetration of extracellular organelles, as well as biopolymers
through the brush, is critical for the failure of the antifouling coating, and
likely could be prevented through tuning of the brush density. Stability and
biofilm development testing on multiple surfaces (polypropylene, glass, and
stainless steel) support practical applications of this novel block copolymer.
PMID- 29641905
TI - Prostate-Specific Membrane Antigen Targeted Gold Nanoparticles for Theranostics
of Prostate Cancer.
AB - Prostate cancer is one of the most common cancers and among the leading causes of
cancer deaths in the United States. Men diagnosed with the disease typically
undergo radical prostatectomy, which often results in incontinence and impotence.
Recurrence of the disease is often experienced by most patients with incomplete
prostatectomy during surgery. Hence, the development of a technique that will
enable surgeons to achieve a more precise prostatectomy remains an open
challenge. In this contribution, we report a theranostic agent (AuNP-5kPEG-PSMA-1
Pc4) based on prostate-specific membrane antigen (PSMA-1)-targeted gold
nanoparticles (AuNPs) loaded with a fluorescent photodynamic therapy (PDT) drug,
Pc4. The fabricated nanoparticles are well-characterized by spectroscopic and
imaging techniques and are found to be stable over a wide range of solvents,
buffers, and media. In vitro cellular uptake experiments demonstrated
significantly higher nanoparticle uptake in PSMA-positive PC3pip cells than in
PSMA-negative PC3flu cells. Further, more complete cell killing was observed in
Pc3pip than in PC3flu cells upon exposure to light at different doses,
demonstrating active targeting followed by Pc4 delivery. Likewise, in vivo
studies showed remission on PSMA-expressing tumors 14 days post-PDT. Atomic
absorption spectroscopy revealed that targeted AuNPs accumulate 4-fold higher in
PC3pip than in PC3flu tumors. The nanoparticle system described herein is
envisioned to provide surgical guidance for prostate tumor resection and
therapeutic intervention when surgery is insufficient.
PMID- 29641906
TI - Electrospun Polythiophene Phenylenes for Tissue Engineering.
AB - This research focuses on the design of biocompatible materials/scaffold suitable
for use for tissue engineering. Porous fiber mats were produced through
electrospinning of polythiophene phenylene (PThP) conducting polymers blended
with poly(lactide- co-glycolic acid) (PLGA). A peptide containing an
arginylglycylaspartic acid (RGD) fragment was synthesized using solid phase
peptide synthesis and subsequently grafted onto a PThP polymer using azide-alkyne
"click" chemistry. The obtained RGD functionalized PThP was also electrospun into
a fiber mat. The electrospun mats' morphology, roughness and stiffness were
studied by means of scanning electron microscopy (SEM) and atomic force
microscopy (AFM) and their electroactivity by cyclic voltammetry. The fibers show
excellent cytocompatibility in culture assays with human dermal fibroblasts-adult
(HDFa) and human epidermal melanocytes-adult (HEMa) cells. The electrospun
fibers' roughness and stiffness changed after exposing the fiber mats to the cell
culture medium (measured in dry state), but these changes did not affect the cell
proliferation. The cytocompatibility of our porous scaffolds was established for
their applicability as cell culture scaffolds by means of investigating
mitochondrial activity of HDFa and HEMa cells on the scaffolds. The results
revealed that the RGD moieties containing PThP scaffolds hold a promise in
biomedical applications, including skin tissue engineering.
PMID- 29641907
TI - Physiology and Engineering of the Graded Interfaces of Musculoskeletal Junctions.
AB - The connective tissues of the musculoskeletal system can be grouped into fibrous,
cartilaginous, and calcified tissues. While each tissue type has a distinct
composition and function, the intersections between these tissues result in the
formation of complex, composite, and graded junctions. The complexity of these
interfaces is a critical aspect of their healthy function, but poses a
significant challenge for their repair. In this review, we describe the
organization and structure of complex musculoskeletal interfaces, identify
emerging technologies for engineering such structures, and outline the
requirements for assessing the complex nature of these tissues in the context of
recapitulating their function through tissue engineering.
PMID- 29641908
TI - Arterial Venous Differentiation for Vascular Bioengineering.
AB - The development processes of arteries and veins are fundamentally different,
leading to distinct differences in anatomy, structure, and function as well as
molecular profiles. Understanding the complex interaction between genetic and
epigenetic pathways, as well as extracellular and biomechanical signals that
orchestrate arterial venous differentiation, is not only critical for the
understanding of vascular diseases of arteries and veins but also valuable for
vascular tissue engineering strategies. Recent research has suggested that
certain transcriptional factors not only control arterial venous differentiation
during development but also play a critical role in adult vessel function and
disease processes. This review summarizes the signaling pathways and critical
transcription factors that are important for arterial versus venous
specification. We focus on those signals that have a direct relation to the
structure and function of arteries and veins, and have implications for vascular
disease processes and tissue engineering applications.
PMID- 29641909
TI - The Ultrastructure, Spatial Distribution, and Osmium Tetroxide Binding of
Lipofuscin and Melanosomes in Aging Monkey Retinal Epithelium.
AB - PURPOSE: To examine the ultrastructure of lipofuscin bodies and melanosomes in
retinal epithelium of elderly rhesus monkeys and determines changes in their
number and morphology as a function of retinal eccentricity. METHODS: Electron
microscopy was used to describe and quantify two major organelles in elderly
monkey retinal epithelium, lipofuscin bodies and melanosomes, at different
retinal loci extending from the macula to the peri-macula, equator, periphery and
ora serrata. Osmium tetroxide was used to distinguish lipofuscin bodies from
melanosomes. RESULTS: Lipofuscin bodies and melanosomes diminished in number with
advanced age but there was an inverse relationship between these two organelles.
Lipofuscin bodies were more numerous in the macula and melanosomes more numerous
in the peripheral retina. Three types of lipofuscin bodies were identified: 1)
smaller and tending to locate in the middle third of the epithelial cell, 2)
larger, less common, and located more basally, and 3) extremely rare, melano
lipofuscin, containing a melanosome. When osmicated, all lipofuscin bodies
contained electron dense materials. When osmium tetroxide was not used for
fixation, the first two types of lipofuscin bodies lost their electron densities
while the third type retained its electron density due to the melanosome it
contained. CONCLUSION: As previously reported for human retina, lipofuscin is
most abundant in the macular and peri-macular epithelium and least abundant in
the periphery, whereas melanosomes show the opposite relationship. This
distribution pattern could contribute to the macula's greater vulnerability to
photo-toxicity. Three types of lipofuscin bodies are found in aging monkey
retinal epithelium. All types contain electron dense material, but the most
prominent two types lose their densities in the absence of osmium tetroxide
during fixation. Most of the electron densities in lipofuscin bodies must contain
a material that binds strongly to osmium tetroxide such as polyunsaturated fatty
acids.
PMID- 29641910
TI - Neurosocialities: Anthropological Engagements with the Neurosciences.
PMID- 29641911
TI - Sickle Cell Anemia and Its Phenotypes.
AB - In the 100 years since sickle cell anemia (SCA) was first described in the
medical literature, studies of its molecular and pathophysiological basis have
been at the vanguard of scientific discovery. By contrast, the translation of
such knowledge into treatments that improve the lives of those affected has been
much too slow. Recent years, however, have seen major advances on several fronts.
A more detailed understanding of the switch from fetal to adult hemoglobin and
the identification of regulators such as BCL11A provide hope that these findings
will be translated into genomic-based approaches to the therapeutic reactivation
of hemoglobin F production in patients with SCA. Meanwhile, an unprecedented
number of new drugs aimed at both the treatment and prevention of end-organ
damage are now in the pipeline, outcomes from potentially curative treatments
such as allogeneic hematopoietic stem cell transplantation are improving, and
great strides are being made in gene therapy, where methods employing both
antisickling beta-globin lentiviral vectors and gene editing are now entering
clinical trials. Encouragingly, after a century of neglect, the profile of the
vast majority of those with SCA in Africa and India is also finally improving.
PMID- 29641912
TI - Using Full Genomic Information to Predict Disease: Breaking Down the Barriers
Between Complex and Mendelian Diseases.
AB - While sequence-based genetic tests have long been available for specific loci,
especially for Mendelian disease, the rapidly falling costs of genome-wide
genotyping arrays, whole-exome sequencing, and whole-genome sequencing are moving
us toward a future where full genomic information might inform the prognosis and
treatment of a variety of diseases, including complex disease. Similarly, the
availability of large populations with full genomic information has enabled new
insights about the etiology and genetic architecture of complex disease. Insights
from the latest generation of genomic studies suggest that our categorization of
diseases as complex may conceal a wide spectrum of genetic architectures and
causal mechanisms that ranges from Mendelian forms of complex disease to complex
regulatory structures underlying Mendelian disease. Here, we review these
insights, along with advances in the prediction of disease risk and outcomes from
full genomic information.
PMID- 29641913
TI - Healthy obesity: time to give up the ghost?
PMID- 29641915
TI - Microkinetic Analysis and Scaling Relations for Catalyst Design.
AB - Microkinetic analysis plays an important role in catalyst design because it
provides insight into the fundamental surface chemistry that controls catalyst
performance. In this review, we summarize the development of microkinetic models
and the inclusion of scaling relationships in these models. We discuss the
importance of achieving stoichiometric and thermodynamic consistency in
developing microkinetic models. We also outline how analysis of the maximum rates
of elementary steps can be used to determine which transition states and adsorbed
intermediates are kinetically significant, allowing the derivation of general
reaction kinetics rate expressions in terms of changes in binding energies of the
relevant transition states and intermediates. Through these analyses, we present
how to predict optimal surface coverages and binding energies of adsorbed
species, as well as the extent of potential rate improvement for a catalytic
system. For systems in which the extent of potential rate improvement is small
because of limitations imposed by scaling relations, different approaches,
including the addition of promoters and formation of catalysts containing
multiple functionalities, can be used to break the scaling relations and obtain
further rate enhancement.
PMID- 29641914
TI - Retinal pH and Acid Regulation During Metabolic Acidosis.
AB - PURPOSE: Changes in retinal pH may contribute to a variety of eye diseases. To
study the effect of acidosis alone, we induced systemic metabolic acidosis and
hypothesized that the retina would respond with altered expression of genes
involved in acid/base regulation. METHODS: Systemic metabolic acidosis was
induced in Long-Evans rats for up to 2 weeks by adding NH4Cl to the drinking
water. After 2 weeks, venous pH was 7.25 +/- 0.08 (SD) and [HCO3-] was 21.4 +/-
4.6 mM in acidotic animals; pH was 7.41 +/- 0.03 and [HCO3-] was 30.5 +/- 1.0 mM
in controls. Retinal mRNAs were quantified by quantitative reverse transcription
polymerase chain reaction. Protein was quantified with Western blots and
localized by confocal microscopy. Retinal [H+]o was measured in vivo with pH
microelectrodes in animals subjected to metabolic acidosis and in controls.
RESULTS: NH4Cl in drinking water or given intravenous was effective in acidifying
the retina. Cariporide, a blocker of Na+/H+ exchange, further acidified the
retina. Metabolic acidosis for 2 weeks led to increases of 40-100% in mRNA for
carbonic anhydrase isoforms II (CA-II) and XIV (CA-XIV) and acid-sensing ion
channels 1 and 4 (ASIC1 and ASIC4) (all p < 0.005). Expression of anion exchange
protein 3 (AEP-3) and Na+/H+ exchanger (NHE)-1 also increased by >=50% (both p <
0.0001). Changes were similar after 1 week of acidosis. Protein for AEP-3
doubled. NHE-1 co-localized with vascular markers, particularly in the outer
plexiform layer. CA-II was located in the neural parenchyma of the ganglion cell
layer and diffusely in the rest of the inner retina. CONCLUSIONS: The retina
responds to systemic acidosis with increased expression of proton and bicarbonate
exchangers, carbonic anhydrase, and ASICs. While responses to acidosis are
usually associated with renal regulation, these studies suggest that the retina
responds to changes in local pH presumably to control its acid/base environment
in response to systemic acidosis.
PMID- 29641917
TI - Terminalia ferdinandiana Exell. Fruit and Leaf Extracts Inhibit Proliferation and
Induce Apoptosis in Selected Human Cancer Cell Lines.
AB - Terminalia spp. are characterized by their high antioxidant contents and several
species have anticancer activity. This study examined T. ferdinandiana fruit and
leaf extracts for antiproliferative and apoptotic activities against a panel of
human carcinoma cell lines. All extracts inhibited Caco2, HeLa, Jeg-3, JAR, MC3T3
E1, and MG63 proliferation. The leaf ethyl acetate extract was the most potent
inhibitor of proliferation (MC3T3-E1 IC50 = of 6 ug/ml; Caco2 IC50 = 102 ug/ml).
Furthermore, IC50's < 500 ug/ml were determined against all cell lines tested
against that extract. The methanolic leaf extract was also a potent inhibitor of
cell proliferation (Jeg-3 IC50 = 147 ug/ml; MC3T3-E1 IC50 = 40 ug/ml). The fruit
extracts were also good inhibitors of carcinoma cell proliferation. Cell imaging
studies detected morphological features consistent with apoptosis in Caco2 cells
exposed to the ethyl acetate, methanolic, and aqueous extracts. Caspase 3
activity was significantly elevated in Caco2 cells exposed to these extracts,
indicating that apoptosis was induced. The leaf ethyl acetate extract contained a
high diversity and relative abundance of tannins and flavonoids. All T.
ferdinandiana fruit and leaf extracts displayed either no toxic or low toxicity
in the Artemia franciscana bioassay and in a HDF viability assay.
PMID- 29641916
TI - Platelet-Activating Factor (PAF) Receptor Antagonism Modulates Inflammatory
Signaling in Experimental Uveitis.
AB - BACKGROUND: The phospholipid mediator platelet-activating factor (PAF) activates
an inflammatory response that includes arachidonic acid release and prostaglandin
production in the eye, increasing vascular permeability and inflammation. The
purpose of this study is to investigate the action of LAU-0901, a novel PAF
receptor antagonist, on experimental uveitis. METHODS: Uveitis was induced in
Lewis rats by lipopolysaccharide treatment. LAU-0901 was then delivered
systemically in different concentrations at plus 4 and 16 hours, or vehicle
injected as controls. Additional animals were used for histological analyses of
untreated, uveitis, and uveitis-plus-LAU-0901 retinas. Conventional histological
and immunohistochemical methods were employed. A slit lamp and Spectral Domain
Ocular Coherence Tomography (SD-OCT) retinal imager was used for anterior segment
photography and posterior pole OCT. Rats were euthanized 4 hours after the second
LAU-0901 injection in this 24-hour model. Aqueous humor was collected and
quantified, and also analyzed for tumor necrosis factor alpha (TNF-alpha).
RESULTS: Uveitic eyes demonstrated hypopyon formation, leukocyte infiltration,
and an increase in aqueous protein and TNF-alpha levels. LAU-0901 treatment
resulted in a dose-dependent reduction in inflammation, reflected by reduced
total protein levels (up to a 64% reduction). Moreover, hypopyon was prevented,
leukocytes were absent in vitreous and aqueous humor, and TNF-alpha levels were
reduced by 91%. CONCLUSIONS: The PAF receptor antagonist LAU-0901 decreases
ocular inflammation in a rat model of anterior uveitis in a dose-dependent
manner, suggesting that use of this molecule may provide a means to attenuate
inflammation onset and offer a future alternative or adjunctive treatment for
ocular inflammation.
PMID- 29641918
TI - Fast Growth of Immature Brood in a Social Parasite Wasp: A Convergent Evolution
between Avian and Insect Cuckoos.
AB - Studies on avian cuckoos have demonstrated that parasite chicks compete with host
fledglings to monopolize food or manipulate the foster parents to increase their
provisioning rate. This topic has never been explicitly investigated in insect
social parasites, which use the social system of another species to raise their
brood. Here we show that the immature brood of the cuckoo wasp Polistes sulcifer
grows more rapidly than the host immature brood. Host workers perform more
parental care to parasite larvae than to conspecific ones. Thus, the rapid growth
of the parasite larvae is evidently due to increased host care, which prolongs
the development of host larvae reared in the same colony. We suggest that
parasite larvae play an active role in host exploitation through manipulation of
host workers, as occurs in parasitic birds.
PMID- 29641919
TI - Size and the Not-So-Single Sex: Disentangling the Effects of Size and Budget on
Sex Allocation in Hermaphrodites.
AB - Sex allocation theory explains how size-related variations in male and female
fitness may favor the evolution of size-dependent sex allocation in
hermaphrodites. Although empirical studies show that sex allocation changes
gradually with size in many species, theoretical studies tend to predict an
abrupt sex reversal from one sex to the other, that is, single-sexed sequential
hermaphrodites. We show that this discrepancy between data and theory collapses
if one takes into account that size affects male and female fitness through
distinct routes. Using the classification of budget (larger individuals spend a
greater budget on reproduction) and direct (e.g., larger plants are taller and
may disperse pollen more efficiently) effects of size suggested by Klinkhamer et
al., we propose a simple general framework appropriately incorporating these two
categories of size effects in male and female fitness expressions. Analytical and
numerical results show that a gradual sex change is evolutionarily stable for a
large set of parameter values. Sex reversal is selected only in the absence of
budget effects of size. We provide further predictions on size-dependent sex
allocation and assess the relative importance of budget and direct effect for
creating different patterns.
PMID- 29641920
TI - Cooperation and Punishment, Especially in Humans.
AB - Explaining altruistic cooperation is one of the greatest challenges faced by
sociologists, economists, and evolutionary biologists. The problem is determining
why an individual would carry out a costly behavior that benefits another.
Possible solutions to this problem include kinship, repeated interactions, and
policing. Another solution that has recently received much attention is the
threat of punishment. However, punishing behavior is often costly for the
punisher, and so it is not immediately clear how costly punishment could evolve.
We use a direct (neighbor-modulated) fitness approach to analyze when punishment
is favored. This methodology reveals that, contrary to previous suggestions,
relatedness between interacting individuals is not crucial to explaining
cooperation through punishment. In fact, increasing relatedness directly
disfavors punishing behavior. Instead, the crucial factor is a positive
correlation between the punishment strategy of an individual and the cooperation
it receives. This could arise in several ways, such as when facultative
adjustment of behavior leads individuals to cooperate more when interacting with
individuals who are more likely to punish. More generally, our results provide a
clear example of how the fundamental factor driving the evolution of social
traits is a correlation between social partners and how this can arise for
reasons other than genealogical kinship.
PMID- 29641921
TI - Morphological and Physiological Correlates of Hybrid Parthenogenesis.
AB - Asexuality frequently evolves in association with hybridity and polyploidy. The
phenotypic consequences of this association must be understood before we can
fully appreciate the reason for the short-term success, and the long-term
failure, of asexual genetic systems. Parthenogenetic forms within the Australian
gecko complex Heteronotia binoei are triploid hybrids and overlap geographically
with both of their parental taxa as well as another sexual lineage. We compared
nine morphological and nine physiological traits in wild-caught adult sexual and
asexual individuals as well as their captive-bred progeny across a 1,200-km
latitudinal gradient. Genome dosage effects were apparent in that the
parthenogens were most phenotypically similar to the parental form for which they
had a double dosage. Physiological differences between parthenogens and sexuals
were often in the opposite direction of that expected under heterosis. Sympatric
populations of sexual and parthenogenetic H. binoei differ in ecologically
significant phenotypic traits, possibly reducing niche overlap. In keeping with
the Red Queen hypothesis, parthenogenetic H. binoei had more ectoparasitic mites
than did sexuals in some regions. Lizards with high mite loads also had high
rates of evaporative water loss, suggesting a direct link between phenotypic
traits and vulnerability to parasites.
PMID- 29641922
TI - Trade-Off Geometries and Frequency-Dependent Selection.
AB - Life-history evolution is determined by the interplay between natural selection
and adaptive constraints. The classical approach to studying constrained life
history evolution-Richard Levins's geometric comparison of fitness sets and
adaptive functions-is applicable when selection pressures are frequency
independent. Here we extend this widely used tool to frequency-dependent
selection. Such selection pressures vary with a population's phenotypic
composition and are increasingly recognized as ubiquitous. Under frequency
dependence, two independent properties have to be distinguished: evolutionary
stability (an evolutionarily stable strategy cannot be invaded once established)
and convergence stability (only a convergence stable strategy can be attained
through small, selectively advantageous steps). Combination of both properties
results in four classes of possible evolutionary outcomes. We introduce a
geometric mode of analysis that enables predicting, for any bivariate selection
problem, evolutionary outcomes induced by trade-offs of given shape, shapes of
trade-offs required for given evolutionary outcomes, the set of all evolutionary
outcomes trade-offs can induce, and effects of ecological parameters on
evolutionary outcomes independent of trade-off shape.
PMID- 29641923
TI - Detection of Fruit and the Selection of Primate Visual Pigments for Color Vision.
AB - Primates have X chromosome genes for cone photopigments with sensitivity maxima
from 535 to 562 nm. Old World monkeys and apes (catarrhines) and the New World
(platyrrhine) genus Alouatta have separate genes for 535-nm (medium wavelength;
M) and 562-nm (long wavelength; L) pigments. These pigments, together with a 425
nm (short wavelength) pigment, permit trichromatic color vision. Other
platyrrhines and prosimians have a single X chromosome gene but often with
alleles for two or three M/L photopigments. Consequently, heterozygote females
are trichromats, but males and homozygote females are dichromats. The criteria
that affect the evolution of M/L alleles and maintain genetic polymorphism remain
a puzzle, but selection for finding food may be important. We compare different
types of color vision for detecting more than 100 plant species consumed by
tamarins (Saguinus spp.) in Peru. There is evidence that both frequency-dependent
selection on homozygotes and heterozygote advantage favor M/L polymorphism and
that trichromatic color vision is most advantageous in dim light. Also, whereas
the 562-nm allele is present in all species, the occurrence of 535- to 556-nm
alleles varies between species. This variation probably arises because
trichromatic color vision favors widely separated pigments and equal frequencies
of 535/543- and 562-nm alleles, whereas in dichromats, long-wavelength pigment
alleles are fitter.
PMID- 29641924
TI - Bergmann's Clines in Ectotherms: Illustrating a Life-History Perspective with
Sceloporine Lizards.
AB - The generality and causes of Bergmann's rule have been debated vigorously in the
last few years, but Bergmann's clines are rarely explained in the context of life
history theory. We used both traditional and phylogenetic comparative analyses to
explore the causes of latitudinal and thermal clines in the body size of the
eastern fence lizard (Sceloporus undulatus). The proximate mechanism for larger
body sizes in colder environments is delayed maturation, which results in a
greater fecundity but a lower survival to maturity. Life-history theory predicts
that a higher survivorship of juveniles in colder environments can favor the
evolution of a Bergmann's cline. Consistent with this theory, lizards in colder
environments survive better as juveniles and delay maturation until reaching a
larger body size than that of lizards in warmer environments. We expect similar
relationships among temperature, survivorship, and age/size at maturity exist in
other ectotherms that exhibit Bergmann's clines. However, life-history traits of
S. undulatus were more strongly related to latitude than they were to
temperature, indicating that both abiotic and biotic factors should be considered
as causes of Bergmann's clines. Nonetheless, analyses of the costs and benefits
of particular body sizes in different thermal environments will enhance our
understanding of geographic variation.
PMID- 29641925
TI - When Resistance Is Useless: Policing and the Evolution of Reproductive
Acquiescence in Insect Societies.
AB - In social groups composed of kin, inclusive fitness benefits can favor greater
cooperation. Alternatively, cooperation can be enforced through the policing of
less cooperative individuals. Here, we show that the effect of policing can be
twofold: not only can it directly suppress individual selfishness, it can also
entirely remove the incentive for individuals to act selfishly in the first
place. We term such individual restraint in response to socially imposed policing
"acquiescence" and illustrate the concept using examples drawn from the social
Hymenoptera (the ants, bees, and wasps). Inclusive fitness models confirm that
when a policing system is in place, individuals should be less tempted to act
selfishly. This is shown to have important consequences for the resolution of
conflict within their societies. For example, it can explain why in many species
very few workers attempt to reproduce and why immature females usually do not
attempt to develop as queens rather than workers. Although our analyses are
primarily focused on the social insects, our conclusions are likely to be general
and to apply to other societies as well.
PMID- 29641926
TI - Consequences of Intraspecific Competition and Environmental Variation for
Selection in the Mustard Sinapsis arvensis: Contrasting Ecological and
Evolutionary Perspectives.
AB - Alternative models of plant life-history evolution differ in their views of how
abiotic stress and competition interact to shape the evolution of plant life
history traits. To address this debate, which crosses traditional boundaries
between community ecology and population biology, we grew wild turnip families
from three selection histories in a field experiment in which we manipulated
conspecific density and sun exposure. Hot spring conditions caused neutral
shading to reduce drought stress, resulting in a greater mean and variance for
lifetime fertility at low density and greater intensity of competition at high
density. The variance in relative fitness among individuals or families was least
in partial shade at low density. Prior selection under shade stress in the
greenhouse reduced lifetime fitness in the less stressful partial-shade treatment
under field conditions. Patterns of selection and predicted trait evolution were
more similar between high and low densities than between the two light
environments. Partial shade favored the proliferation of large leaves early in
development, especially at high density. Selection in the stressful full-sun
treatment favored reduced pathogen susceptibility at both densities and early
flowering at low density. Because direct selection on traits changed principally
in magnitude rather than in direction, genetic correlations for fitness were
generally positive between light and density treatments. Greater intraspecific
competition led to more rapid predicted trait evolution in the partial-shade
environment but not in the stressful full-sun treatment.
PMID- 29641927
TI - Clustering due to Acceleration in the Response to Population Gradient: A Simple
Self-Organization Model.
AB - We explore the phenomenon of animal self-organization due to autotaxis, that is,
the movement of individuals induced by their own density gradient. There is
natural evidence that clustering occurs as a result of the interplay between
random and directed movements of individuals due to mutual attraction and
repulsion. Classically, it is assumed that taxis velocity is determined by the
density gradient of some stimulus. However, it is known that partial differential
equation (PDE) diffusion-advection models that rest on this assumption cannot
give a realistic representation of a stationary or moving cohesive group of
individuals with a uniform interior density and sharp edges. Pioneering work by
Okubo and coworkers suggests that the acceleration of individuals (rather than
their velocity directly) is proportional to the population density gradient. A
PDE model resting on this finding was constructed and investigated. The model
demonstrates the formation of steady heterogeneous structures of the required
shape. This feature can be interpreted as dynamic self-organization, like fish
shoaling or insect swarming. This model is the first to achieve this result while
considering an autonomous population in a simple PDE framework. Analytical and
numerical studies show that the link between the acceleration and the density
gradient is crucial for the appearance of clusters.
PMID- 29641928
TI - Phylogenetic Comparative Analysis: A Modeling Approach for Adaptive Evolution.
AB - Biologists employ phylogenetic comparative methods to study adaptive evolution.
However, none of the popular methods model selection directly. We explain and
develop a method based on the Ornstein-Uhlenbeck (OU) process, first proposed by
Hansen. Ornstein-Uhlenbeck models incorporate both selection and drift and are
thus qualitatively different from, and more general than, pure drift models based
on Brownian motion. Most importantly, OU models possess selective optima that
formalize the notion of adaptive zone. In this article, we develop the method for
one quantitative character, discuss interpretations of its parameters, and
provide code implementing the method. Our approach allows us to translate
hypotheses regarding adaptation in different selective regimes into explicit
models, to test the models against data using maximum-likelihood-based model
selection techniques, and to infer details of the evolutionary process. We
illustrate the method using two worked examples. Relative to existing approaches,
the direct modeling approach we demonstrate allows one to explore more detailed
hypotheses and to utilize more of the information content of comparative data
sets than existing methods. Moreover, the use of a model selection framework to
simultaneously compare a variety of hypotheses advances our ability to assess
alternative evolutionary explanations.
PMID- 29641929
TI - The Relationship of Phylogeny to Community Structure: The Cactus Yeast Community.
AB - Species abundances are important properties of ecological communities.
Theoretical debate has arisen over whether communities are governed by assembly
rules. Some ecologists have suggested that community organization depends on the
phylogenetic relatedness of its interacting members. This postulate has not been
adequately tested primarily because molecular phylogenies for entire communities
are rare. We use the molecular phylogeny and species abundances from the well
studied yeasts that live in decaying cactus tissue (i.e., cactus yeast community)
to demonstrate that community structure is indeed linked to genetic relatedness
but that the relationship is different for the same yeasts found in hosts of two
subfamilies of the Cactaceae. In the Opuntia cacti, the genetically related and
unrelated yeasts have equivalent probability of being similar in abundance.
Conversely, in the columnar cacti, the abundant yeast species tend to be
genetically distant from one another, whereas the rare species tend to be closely
related. The distinctive biochemical differences between the Opuntia and columnar
cacti habitats suggest that conditions imposed by the environment modify the
relationship between phylogenetic relatedness and species abundances in the
cactus yeast community.
PMID- 29641930
TI - Life-History Variation Predicts the Effects of Demographic Stochasticity on Avian
Population Dynamics.
AB - Comparative analyses of avian population fluctuations have shown large
interspecific differences in population variability that have been difficult to
relate to variation in general ecological characteristics. Here we show that
interspecific variation in demographic stochasticity, caused by random variation
among individuals in their fitness contributions, can be predicted from a
knowledge of the species' position along a "slow-fast" gradient of life-history
variation, ranging from high reproductive species with short life expectancy at
one end to species that often produce a single offspring but survive well at the
other end of the continuum. The demographic stochasticity decreased with adult
survival rate, age at maturity, and generation time or the position of the
species toward the slow end of the slow-fast life-history gradient. This
relationship between life-history characteristics and demographic stochasticity
was related to interspecific differences in the variation among females in
recruitment as well as to differences in the individual variation in survival.
Because reproductive decisions in birds are often subject to strong natural
selection, our results provide strong evidence for adaptive modifications of
reproductive investment through life-history evolution of the influence of
stochastic variation on avian population dynamics.
PMID- 29641931
TI - An Italian cost-effectiveness analysis of paclitaxel albumin (nab-paclitaxel) +
gemcitabine vs gemcitabine alone for metastatic pancreatic cancer patients: the
APICE study.
AB - BACKGROUND: the APICE study evaluates the cost-effectiveness of nanoparticle
albumin-bound paclitaxel (nab-paclitaxel - Nab-P) + gemcitabine (G) vs G alone in
metastatic pancreatic cancer (MPC) from the Italian National Health Service
(INHS) standpoint. RESEARCH DESIGN AND METHODS: A 4-year, 4 health states
(progression-free; progressed; end of life; death) Markov model based on the
MPACT trial was developed to estimate costs (Euro [?], 2017 values), and quality
adjusted life years (QALYs). Patients were assumed to receive intravenously Nab-P
125 mg/m2 + G 1000 mg/m2 on days 1, 8, and 15 every 4 weeks or G alone 1000 mg/m2
weekly for 7 out of 8 weeks (cycle 1) and then on days 1, 8, and 15 every 4 weeks
(cycle 2 and subsequent cycles) until progression. One-way and probabilistic
sensitivity analyses explored the uncertainty surrounding the baseline
incremental cost-utility ratio (ICUR). RESULTS: Nab-P + G totals 0.154
incremental QALYs and ?7082.68 incremental costs vs G alone. ICUR (?46,021.58) is
lower than the informal threshold value of ?87,330 adopted by the Italian
Medicines Agency during 2010-2013 for reimbursing oncological drugs. Sensitivity
analyses confirmed the robustness of the baseline findings. CONCLUSIONS: Nab-P +
G in MPC patients can be considered cost-effective for the INHS.
PMID- 29641932
TI - Identification and characterization of amiodarone metabolites in rats using UPLC
ESI-QTOFMS-based untargeted metabolomics approach.
AB - Amiodarone is a class III anti-arrhythmic benzofuran derivative extensively
utilized in treatment of life-threatening ventricular and supraventricular
arrhythmias. However, amiodarone also produces adverse side effects including
liver injury due to its metabolites rather than parent drug. The purpose of the
present study was to identify metabolites of amiodarone in the plasma and urine
of rats administered the drug by using an untargeted metabolomics approach. Drug
metabolites were profiled by ultra-performance liquid chromatography-linked
electrospray ionization quadrupole time-of-flight mass spectrometry (UPLC-ESI
QTOFMS) and results subjected to multivariate data analysis. A total of 49
amiodarone metabolites were identified and their structures were characterized by
tandem mass spectrometry. Amiodarone metabolites are presumed to be generated via
five major types of metabolic reactions including N-desethylation, hydroxylation,
carboxylation (oxo/hydroxylation), de-iodination, and glucuronidation. Data
demonstrated that an untargeted metabolomics approach appeared to be a reliable
tool for identifying unknown metabolites in a complex biological matrix.
PMID- 29641934
TI - The challenge of establishing treatment efficacy for cutaneous vascular
manifestations of systemic sclerosis.
AB - INTRODUCTION: The cutaneous vascular manifestations of systemic sclerosis (SSc)
comprise Raynaud's phenomenon, cutaneous ulceration, telangiectasia formation and
critical digital ischaemia; each of which are associated with significant disease
related morbidity. Despite the availability of multiple classes of vasodilator
therapy, many of which have been the subject of RCTs, a limited number of
pharmacological interventions are currently approved for the management of
cutaneous vascular manifestations of SSc. Areas covered: A major challenge has
been demonstrating treatment efficacy with examples of promising therapies
yielding contrasting results in controlled trial settings. Differences between
consensus best-practice guidelines, evidence-based recommendations and marketing
approvals in different jurisdictions has resulted in geographic variation in
clinical practice concerning the management of cutaneous vascular manifestations
of SSc. Difficulty demonstrating treatment efficacy risks waning industry
engagement for drug development programmes in this field. This article highlights
the key challenges in establishing treatment efficacy and barriers that must be
overcome to support successful clinical trial programmes across the spectrum of
cutaneous vascular manifestations of SSc. Expert commentary: The paucity of
approved treatments for cutaneous vascular manifestations of SSc relates as much
to challenges in clinical trial design and the need for reliable clinical trial
endpoints, as to lack of therapeutic options.
PMID- 29641935
TI - Effect of Botulinum Toxin A Treatment on Eyelid Pressure in Eyes with
Blepharospasm.
AB - OBJECTIVE: To determine the effect of botulinum toxin A (BTX-A) on the eyelid
pressure in patients with benign essential blepharospasm (BEB). METHODS: Twenty
normal volunteers (10 men, 10 women; average age 59.7 +/- 11.3 years) and 33
patients (12 men, 21 women; average age 61.1 +/- 14.7 years) with BEB were
studied. The upper and lower eyelid pressures were measured with a blepharo
tensiometer in the normal subjects (N group). The eyelid pressures and
intraocular pressures (IOP) were measured before and after the BTX-A injections
in the BEB patients (B group). RESULTS: The mean eyelid pressure in the N group
was 31.0 +/- 6.8 mmHg for the upper eyelid and 29.9 +/- 6.5 mmHg for the lower
eyelid (P > 0.05). The mean eyelid pressure in the B group before treatment was
35.3 +/- 7.0 mmHg for the upper eyelid and 37.8 +/- 6.6 mmHg for the lower
eyelid. The eyelid pressure in the B group was significantly higher than in the N
group for the upper and lower eyelids (both P < 0.001). The eyelid pressure was
significantly decreased after BTX-A treatment for the upper (29.9 +/- 7.5 mmHg)
and the lower (32.8 +/- 7.0 mmHg) eyelids (both P < 0.001). The mean IOP was 15.1
+/- 2.9 mmHg before, and it significantly decreased to 14.5 +/- 2.8 mmHg (P =
0.020) after the BTX-A injections. The IOP was significantly correlated with the
lower eyelid pressure (P = 0.0435), but not with the upper eyelid pressure (P =
0.175). CONCLUSION: The eyelid pressure was higher in the patients with BEB. The
eyelid pressure and the IOP were significantly reduced after the BTX-A
injections. In addition, the IOPs were significantly correlated with the eyelid
pressure of the lower eyelid.
PMID- 29641933
TI - Arsenic association with circulating oxidized low-density lipoprotein in a Native
American community.
AB - More than 500 abandoned uranium (U) mines within the Navajo Nation contribute U,
arsenic (As) and other metals to groundwater, soil and potentially air through
airborne transport. The adverse cardiovascular health effects attributed to
cumulative exposure to these metals remains uncertain. The aim of this study was
to examine whether environmental exposure to these metals may promote or
exacerbate the oxidation of low-density lipoprotein (LDL) cholesterol in this
Native American population. The correlation of cardiovascular biomarkers
(oxidized LDL (oxLDL) and C-reactive protein (CRP)) from a Navajo cohort (n =
252) with mean annual As and U intakes from water and urine metals was estimated
using linear regression. Proof-of-concept assays were performed to investigate
whether As and U directly oxidize human LDL. Mean annual As intake from water was
positively and significantly associated with oxLDL, but not CRP in this study
population, while U intake estimates were negatively associated with oxLDL. In an
acellular system, As, but not U, directly oxidized the apolipoprotein B-100
component of purified human LDL. Neither metal promoted lipid peroxidation of the
LDL particle. Both the population and lab results are consistent with the
hypothesis that As promotes oxidation of LDL, a crucial step in vascular
inflammation and chronic vascular disease. Conversely, for outcomes related to U,
negative associations were observed between U intake and oxLDL, and U only
minimally altered human LDL in direct exposure experiments. Only urine U was
correlated with CRP, whereas no other metals in water or urine were apparently
reliable predictors of this inflammatory marker.
PMID- 29641936
TI - Transcranial direct current stimulation (tDCS) targeting the left inferior
frontal gyrus: Effects on creativity across cultures.
AB - Although evidence points to the existence of cultural differences in creativity,
the mechanisms that underlie these differences are largely unknown. The twofold
model of creativity posits two recursive stages: a generation phase and an
evaluation phase. Considering that recent studies suggest that the left inferior
frontal gyrus) L-IFG(may inhibit creativity during the evaluation phase, we
hypothesized that manipulating IFG activity would affect participants' level of
creativity. We further predicted that the IFG may be "hyperactive" in a culture
that typically discourages uniqueness (e.g., Japan) as compared to a Western
culture (e.g., Israel); therefore the effect of inhibiting the L-IFG was expected
to be higher in Japanese. To support this hypothesis we altered L-IFG activation
using tDCS (transcranial direct current stimulation) in 30 Japanese and 30
Israeli participants and examined the effect of anodal and cathodal stimulation
on divergent thinking (DT). Regardless of culture, cathodal stimulation increased
creativity compared to sham, while anodal stimulation diminished creativity.
Although cultural differences were found in DT scores, stimulation had no
differential effect between the cultures. Taken together, the results confirm
that the L-IFG plays a key role in creativity across cultures. The null findings
regarding the expected interaction between stimulation and culture are discussed.
PMID- 29641937
TI - To diagnose or not to diagnose? Timing is the question: balancing early diagnosis
of multiple sclerosis with misdiagnosis.
PMID- 29641939
TI - Medulloblastoma: From Molecular Subgroups to Molecular Targeted Therapies.
AB - Brain tumors are the leading cause of cancer-related death in children, and
medulloblastoma (MB) is the most common malignant pediatric brain tumor. Advances
in surgery, radiation, and chemotherapy have improved the survival of MB
patients. But despite these advances, 25-30% of patients still die from the
disease, and survivors suffer severe long-term side effects from the aggressive
therapies they receive. Although MB is often considered a single disease,
molecular profiling has revealed a significant degree of heterogeneity, and there
is a growing consensus that MB consists of multiple subgroups with distinct
driver mutations, cells of origin, and prognosis. Here, we review recent progress
in MB research, with a focus on the genes and pathways that drive tumorigenesis,
the animal models that have been developed to study tumor biology, and the
advances in conventional and targeted therapy.
PMID- 29641938
TI - In Vivo Imaging of the Retina, Choroid, and Optic Nerve Head in Guinea Pigs.
AB - PURPOSE: Guinea pigs are increasingly being used as a model of myopia, and may
also represent a novel model of glaucoma. Here, optical coherence tomography
(OCT) imaging was performed in guinea pigs. In vivo measurements of retinal,
choroidal, and optic nerve head parameters were compared with histology, and
repeatability and interocular variations were assessed. METHODS: OCT imaging and
histology were performed on adult guinea pigs (n = 9). Using a custom program in
MATLAB, total retina, ganglion cell/nerve fiber layer (GC/NFL), outer retina, and
choroid thicknesses were determined. Additionally, Bruch's membrane opening (BMO)
area and diameter, and minimum rim width were calculated. Intraobserver,
interocular, and intersession coefficients of variation (CV) and intraclass
correlation coefficients (ICC) were assessed. RESULTS: Retina, GC/NFL, outer
retina and choroid thicknesses from in vivo OCT imaging were 147.7 +/- 5.8 MUm,
59.2 +/- 4.5 MUm, 72.4 +/- 2.4 MUm, and 64.8 +/- 11.6 MUm, respectively.
Interocular CV ranged from 1.8% to 11% (paired t-test, p = 0.16 to 0.81), and
intersession CV ranged from 1.1% to 5.6% (p = 0.12 to 0.82), with the choroid
showing the greatest variability. BMO area was 0.192 +/- 0.023 mm2, and diameter
was 493.79 +/- 31.89 MUm, with intersession CV of 3.3% and 1.7%, respectively.
Hyper reflective retinal layers in OCT correlated with plexiform and RPE layers
in histology. CONCLUSION: In vivo OCT imaging and quantification of guinea pig
retina and optic nerve head parameters were repeatable and similar between eyes
of the same animal. In vivo visibility of retinal cell layers correlated well
with histological images. ABBREVIATIONS: optic nerve head (ONH), retinal ganglion
cell (RGC), spectral domain optical coherence tomography (SD-OCT), enhanced depth
imaging (EDI), minimum rim width (MRW), hematoxylin and eosin (H & E).
PMID- 29641940
TI - PD-1/PD-L1 pathway inhibitors in advanced prostate cancer.
AB - INTRODUCTION: Pharmacological inhibition of immune checkpoint receptors or their
ligands represents a transformative breakthrough in the management of multiple
cancers. However, immune checkpoint inhibitors have yet to be FDA-approved for
the management of metastatic prostate cancer (PCa), the commonest non-cutaneous
malignancy in men. Areas covered: We review our current understanding of the PD
1/PD-L1 pathway in cancer, the use of anti-PD-1/PD-L1 therapeutics in PCa, and
potential subgroups of PCa patients who may derive the greatest benefit from
these agents (such as men with tumors that have expression of PD-L1 and/or high
mutational load). We also review the prior and current clinical trials evaluating
the blockade of PD-1/PD-L1 in PCa, highlighting some of the key ongoing studies
of greatest relevance to the field. Expert commentary: Clinical trials
investigating PD-1/PD-L1 inhibitors should be encouraged in patients with PCa.
While it is unlikely that immune checkpoint monotherapies will produce long
lasting responses in a substantial proportion of patients, there is early
evidence of activity in some patient subsets. These subgroups may include those
with high PD-L1 expression, those with hypermutated or microsatellite-unstable
tumors, and those enriched for germline and/or somatic DNA-repair gene mutations
(e.g. intraductal/ductal histology, primary Gleason pattern 5, and perhaps AR-V7
positive tumors).
PMID- 29641941
TI - Implementation and new insights in molecular diagnostics for HIV infection.
AB - INTRODUCTION: Acquired immunodeficiency syndrome (AIDS) is a kind of acquired
disease that breaks down the immune system. Human immunodeficiency virus (HIV) is
the causative agent of AIDS. By the end of 2016, there were 36.7 million people
living with HIV worldwide. Early diagnosis can alert infected individuals to risk
behaviors in order to control HIV transmission. Infected individuals are also
benefited from proper treatment and management upon early diagnosis. Thanks to
the public awareness of the disease, the annual increase of new HIV infections
has been slowly declining over the past decades. The advent of molecular
diagnostics has allowed early detection and better management of HIV infected
patients. Areas covered: In this review, the authors summarized and discussed the
current and future technologies in molecular diagnosis as well as the biomarkers
developed for HIV infection. Expert Commentary: A simple and rapid detection of
viral load is important for patients and doctors to monitor HIV progression and
antiretroviral treatment efficiency. In the near future, it is expected that new
technologies such as digital PCR and CRISPR-based technology will play more
important role in HIV detection and patient management.
PMID- 29641942
TI - Trump and the GOP agenda: implications for retirement policy.
AB - This commentary provides background on the current state of American retirement,
highlights recent efforts to reform retirement policy, and predicts what to
expect under President Donald Trump. Retirement has not been a major focus of
national policy makers in recent years. Early actions during the Trump
administration to undo Obama administration policies may make it more difficult
for individuals to save for retirement. While it is impossible to predict the
future with any certainty, long-standing trends and recent political developments
suggest that major action will not be taken during the Trump presidency to boost
retirement security.
PMID- 29641943
TI - Alignment of an interprofessional student learning experience with a hospital
quality improvement initiative.
AB - Assessment of interprofessional education (IPE) frequently focuses on students'
learning outcomes including changes in knowledge, skills, and/or attitudes. While
a foundational education in the values and information of their chosen profession
is critical, interprofessional learning follows a continuum from formal education
to practice. The continuum increases in significance and complexity as learning
becomes more relationship based and dependent upon the ability to navigate
complex interactions with patients, families, communities, co-workers, and
others. Integrating IPE into collaborative practice is critical to enhancing
students' experiential learning, developing teamwork competencies, and
understanding the complexity of teams. This article describes a project that
linked students with a hospital-based quality-improvement effort to focus on the
acquisition and practice of teamwork skills and to determine the impact of
teamwork on patient and quality outcome measures. A hospital unit was identified
with an opportunity for improvement related to quality care, patient
satisfaction, employee engagement, and team behaviours. One hundred and thirty
seven students from six health profession colleges at the Medical University of
South Carolina underwent TeamSTEPPS(r) training and demonstrated proficiency of
their teamwork-rating skills with the TeamSTEPPS(r) Team Performance Observation
Tool (T-TPO). Students observed real-time team behaviours of unit staff before
and after staff attended formal TeamSTEPPS(r) training. The students collected a
total of 778 observations using the T-TPO. Teamwork performance on the unit
improved significantly across all T-TPO domains (team structure, communication,
leadership, situation monitoring, and mutual support). Significant improvement in
each domain continued post-intervention and at 15-month follow-up, improvement
remained significant compared to baseline. Student engagement in TeamSTEPPS(r)
training and demonstration of their reliability as teamwork-observers was a
valuable learning experience and also yielded an opportunity to gather unique,
and otherwise difficult to attain, data from a hospital unit for use by quality
managers and administrators.
PMID- 29641944
TI - Implementation of Online Opioid Prevention, Recognition and Response Trainings
for Laypeople: Year 1 Survey Results.
AB - BACKGROUND: This article reports on the first implementation of an online opioid
overdose prevention, recognition and response training for laypeople. The
training was disseminated nationally in November 2014. Between 2000 and 2014,
U.S. opioid deaths increased by 200%. The importance of complementary approaches
to reduce opioid overdose deaths, such as online training, cannot be overstated.
OBJECTIVES: A retrospective evaluation was conducted to assess perceived
knowledge, skills to intervene in an overdose, confidence to intervene, and
satisfaction with the training. MEASUREMENTS: Descriptive statistics were used to
report sample characteristics, compare experiences with overdose and/or naloxone
between subgroups, and describe participants' satisfaction with the trainings. Z
ratios were used to compare independent proportions, and paired t-tests were used
to compare participant responses to items pre- and posttraining, including
perceived confidence to intervene and perceived knowledge and skills to intervene
successfully. RESULTS: Between January and October 2015, 2,450 laypeople took the
online training; 1,464 (59.8%) agreed to be contacted. Of these, 311 (21.2% of
those contacted) completed the survey. Over 80% reported high satisfaction with
content, format and mode of delivery and high satisfaction with items related to
confidence and overdose reversal preparedness. Notably, 89.0% of participants
felt they had the knowledge and skills to intervene successfully posttraining
compared to 20.3% pretraining (z = -17.2, p <.001). Similarly, posttraining,
87.8% of participants felt confident they could successfully intervene compared
to 24.4% pretraining (z = -15.9, p <.001). CONCLUSIONS: This study demonstrates
the effectiveness of the GetNaloxoneNow.org online training for laypeople.
PMID- 29641946
TI - Preventing Today's Survivors of Breast Cancer From Becoming Tomorrow's Cardiac
Patients.
PMID- 29641945
TI - Investigating Italian parents' vaccine hesitancy: A cross-sectional survey.
AB - This cross-sectional survey was designed to assess the prevalence of vaccine
hesitancy and to identify factors associated among a randomly selected sample of
parents. A questionnaire was self-administered from October to December 2017 to a
sample of parents of children aged 2 to 6 years attending five randomly selected
pre-schools in the geographic area of Naples, Italy. Out of the 727 selected
parents, 437 returned the questionnaires for a response rate of 60.1%. The median
of Parent Attitudes about Childhood Vaccines Survey (PACV) score among
participants was 45.8 with a total of 141 parents (34.7%) scored a value >=50 and
were defined hesitant about the childhood vaccinations. Vaccine hesitancy was
significantly more common among those who were concerned and among those were not
sure that any one of the childhood shots might not be safe, among those who were
concerned that their children might have a serious side effect from a shot, among
those who were concerned that a shot might not prevent the disease, among those
who delayed and refused at least a shot of vaccine for their children, and in
those who are not sure and uncertain in the pediatrician. More than half of
parents (53.8%) expressed a desire to receive additional information about the
childhood vaccinations. Parents who were not sure and uncertain that to follow
the recommended shot schedule is a good idea for their children and those who
were parents of first-born children were more likely to need additional
information. This study finds a high prevalence of vaccine hesitancy among
parents suggesting that in the immunization program is necessary to achieve a
higher quality of the relationship between pediatricians and the community.
PMID- 29641948
TI - That an Ounce of Prevention Is Worth a Pound of Cure Is Certainly True for
Patients With Breast Cancer.
PMID- 29641947
TI - Moving Away From a One-Size-Fits-All Approach to Gastric Cancer.
PMID- 29641949
TI - Genetic Diversity and Treatment Implications in Gastric and Gastroesophageal
Cancers: One Size Does Not Fit All.
PMID- 29641950
TI - Gastric Cancer: Recent Molecular Classification Advances, Racial Disparity, and
Management Implications.
AB - Gastric adenocarcinoma remains an aggressive and poorly understood malignancy
with a heterogeneous presentation and tumor biology. The current histologic and
anatomic classification has been ineffective in guiding therapy, with only
marginal improvement in outcome over time. Furthermore, the variation in
presentation and disease among racial and ethnic groups amplifies the complexity
of this cancer. An understanding of the clinical and molecular variability is
important for effective treatment. Recent advances in molecular biology have
better defined gastric cancer subtypes. We systematically review recent
literature on the molecular classification of gastric adenocarcinoma and the
associated management implications, with an emphasis on Hispanic and Native
American populations.
PMID- 29641951
TI - Priorities in the Cardiovascular Care of Breast Cancer Survivors.
AB - Breast cancer is the most common malignancy in women with more than 3 million
breast cancer survivors in the United States alone. Survivors of breast cancer
suffer from an increased burden of cardiovascular risk factors and disease. The
focus of this review is to describe the epidemiology of cardiovascular disease in
breast cancer survivors, including the cardiovascular concerns observed with
common cancer therapies. Strategies to improve upon the early detection and
treatment of cardiovascular disease, including clinical prediction algorithms,
biomarkers, and imaging measures are also reviewed, and the use of
cardioprotective therapies to mitigate risk are summarized. Finally, the need for
evidence-based research to inform and improve upon the multidisciplinary care of
this growing population is highlighted.
PMID- 29641952
TI - Lessons in Integrating Shared Decision-Making Into Cancer Care.
AB - The benefits of shared decision-making (SDM) in health care delivery are well
documented, but implementing SDM at the institutional level is challenging,
particularly when patients have complex illnesses and care needs, as in cancer.
Denmark's Lillebaelt Hospital, in creating The Patient's Cancer Hospital in
Vejle, has learned key lessons in implementing SDM so that the organization's
culture is actually being transformed. In short, SDM is becoming part of the
fabric of care, not a mere add-on to it. Specifically, the hospital chose and
structured its leadership to ensure that SDM is constantly championed. It
organized multiple demonstration projects focused on use of decision aids,
patient-reported outcome measures, and better communication tools and practices.
It designed programs to train clinicians in the art of doctor-patient
communication. It used research evidence to inform development of the decision
aids that its clinicians use with their patients. And it rigorously measured SDM
performance in an ongoing fashion so that progress could be tracked and refined
to ensure continuous improvement. Initial data on the institution's SDM
initiatives from the Danish national annual survey of patients' experiences show
substantial progress, thereby motivating Lillebaelt to reassert its commitment to
the effort, to share what it has learned, and to invite dialogue among all cancer
care organizations as they seek to fully integrate SDM in daily clinical
practice.
PMID- 29641953
TI - Pilot Study of the Effects of Ambient Light Level Variation on Spectral Domain
Anterior Segment OCT-Derived Angle Metrics in Caucasians versus Asians.
AB - PURPOSE: To investigate the effects of ambient light level variation on spectral
domain anterior segment optical coherence tomography (SD-OmicronCT)-derived
anterior chamber angle metrics in Caucasians versus Asians. MATERIALS AND
METHODS: Caucasian (n = 24) and Asian participants of Chinese ancestry (n = 24)
with open angles on gonioscopy had one eye imaged twice at five strictly
controlled, ambient light levels. Ethnicity was self-reported. Light levels were
strictly controlled using a light meter at 1.0, 0.75, 0.5, 0.25, and 0 foot
candle illumination levels. SD-OCT 5-line raster scans at the inferior 270
degrees irido-corneal angle were measured by two trained, masked graders from
the Doheny Image Reading Center using customized Image-J software. Schwalbe's
line-angle opening distance (SL-AOD) and SL-trabecular iris space area (SL-TISA)
in different light meter readings (LMRs) between the two groups were compared.
RESULTS: Baseline light SL-AOD and SL-TISA measured 0.464 +/- 0.115mm/0.351 +/-
0.110mm2 and 0.344 +/- 0.118mm/0.257 +/- 0.092mm2, respectively, in the Caucasian
and the Asian group. SL-AOD and SL-TISA in each LMR were significantly larger in
the Caucasian group compared to the Asian group (p < 0.05). Despite this
difference in angle size between the groups, there were no statistically
significant differences in the degree of change in angle parameters from light to
dark (% changes in SL-AOD or SL-TISA between the two groups were statistically
similar with all p-values >0.3). CONCLUSION: SL-based angle dimensions using SD
OCT are sensitive to changes in ambient illumination in participants with
Caucasian and Asian ancestry. Although Caucasian eyes had larger baseline angle
opening under bright light conditions, the light-to-dark change in angle
dimensions was similar in the two groups.
PMID- 29641954
TI - More on Low-Dose versus Standard-Dose Intravenous Alteplase in Acute Ischemic
Stroke.
PMID- 29641955
TI - The Blind Men and the Elephant - Aligning Efforts in Global Health.
PMID- 29641956
TI - Finding a Treatment for ALS - Will Gene Editing Cut It?
PMID- 29641957
TI - A New Left Ventricular Assist Device - Better, but Still Not Ideal.
PMID- 29641958
TI - Embolization of Struts from an Inferior Vena Cava Filter.
PMID- 29641959
TI - Probable Sexually Transmitted Zika Virus Infection in a Pregnant Woman.
PMID- 29641960
TI - Pulmonary Metastases from Chondroblastic Osteosarcoma.
PMID- 29641961
TI - Accessibility of Medical Diagnostic Equipment - Implications for People with
Disability.
PMID- 29641962
TI - Case 11-2018: A 48-Year-Old Woman with Recurrent Venous Thromboembolism and
Pulmonary Artery Aneurysm.
PMID- 29641963
TI - Breakthrough-Therapy Designation - An FDA Perspective.
PMID- 29641964
TI - Zika Virus Shedding in Semen of Symptomatic Infected Men.
AB - BACKGROUND: Zika virus (ZIKV) is an emerging mosquito-borne flavivirus that has
been linked to adverse birth outcomes. Previous reports have shown that person-to
person transmission can occur by means of sexual contact. METHODS: We conducted a
prospective study involving men with symptomatic ZIKV infection to determine the
frequency and duration of ZIKV shedding in semen and urine and to identify risk
factors for prolonged shedding in these fluids. Specimens were obtained twice per
month for 6 months after illness onset and were tested by real-time reverse
transcriptase-polymerase-chain-reaction (RT-PCR) assay for ZIKV RNA and by Vero
cell culture and plaque assay for infectious ZIKV. RESULTS: A total of 1327 semen
samples from 184 men and 1038 urine samples from 183 men were obtained 14 to 304
days after illness onset. ZIKV RNA was detected in the urine of 7 men (4%) and in
the semen of 60 (33%), including in semen samples from 22 of 36 men (61%) who
were tested within 30 days after illness onset. ZIKV RNA shedding in semen
decreased substantially during the 3 months after illness onset but continued for
281 days in 1 man (1%). Factors that were independently associated with prolonged
RNA shedding included older age, less frequent ejaculation, and the presence of
certain symptoms at the time of initial illness. Infectious ZIKV was isolated
from 3 of 78 semen samples with detectable ZIKV RNA, all obtained within 30 days
after illness onset and all with at least 7.0 log10 ZIKV RNA copies per
milliliter of semen. CONCLUSIONS: ZIKV RNA was commonly present in the semen of
men with symptomatic ZIKV infection and persisted in some men for more than 6
months. In contrast, shedding of infectious ZIKV appeared to be much less common
and was limited to the first few weeks after illness onset. (Funded by the
Centers for Disease Control and Prevention.).
PMID- 29641965
TI - Contralateral Cervical Nerve Transfer for Arm Paralysis.
PMID- 29641967
TI - Virus in Semen and the Risk of Sexual Transmission.
PMID- 29641966
TI - Genetics and Pathogenesis of Diffuse Large B-Cell Lymphoma.
AB - BACKGROUND: Diffuse large B-cell lymphomas (DLBCLs) are phenotypically and
genetically heterogeneous. Gene-expression profiling has identified subgroups of
DLBCL (activated B-cell-like [ABC], germinal-center B-cell-like [GCB], and
unclassified) according to cell of origin that are associated with a differential
response to chemotherapy and targeted agents. We sought to extend these findings
by identifying genetic subtypes of DLBCL based on shared genomic abnormalities
and to uncover therapeutic vulnerabilities based on tumor genetics. METHODS: We
studied 574 DLBCL biopsy samples using exome and transcriptome sequencing, array
based DNA copy-number analysis, and targeted amplicon resequencing of 372 genes
to identify genes with recurrent aberrations. We developed and implemented an
algorithm to discover genetic subtypes based on the co-occurrence of genetic
alterations. RESULTS: We identified four prominent genetic subtypes in DLBCL,
termed MCD (based on the co-occurrence of MYD88L265P and CD79B mutations), BN2
(based on BCL6 fusions and NOTCH2 mutations), N1 (based on NOTCH1 mutations), and
EZB (based on EZH2 mutations and BCL2 translocations). Genetic aberrations in
multiple genes distinguished each genetic subtype from other DLBCLs. These
subtypes differed phenotypically, as judged by differences in gene-expression
signatures and responses to immunochemotherapy, with favorable survival in the
BN2 and EZB subtypes and inferior outcomes in the MCD and N1 subtypes. Analysis
of genetic pathways suggested that MCD and BN2 DLBCLs rely on "chronic active" B
cell receptor signaling that is amenable to therapeutic inhibition. CONCLUSIONS:
We uncovered genetic subtypes of DLBCL with distinct genotypic, epigenetic, and
clinical characteristics, providing a potential nosology for precision-medicine
strategies in DLBCL. (Funded by the Intramural Research Program of the National
Institutes of Health and others.).
PMID- 29641968
TI - Cardiac Arrest during Competitive Sports.
PMID- 29641969
TI - Diagnostic Use of Base Excess in Acid-Base Disorders.
PMID- 29641970
TI - The FDA Breakthrough-Drug Designation - Four Years of Experience.
PMID- 29641971
TI - Prognostic values of common clinical parameters in advanced pancreatic ductal
adenocarcinoma: a large multicenter cohort study of ten years.
AB - OBJECTIVE: We conducted a multicenter cohort study to investigate the prognostic
value of some commonly-used laboratory indices in advanced pancreatic ductal
adenocarcinoma (PDAC). METHODS: A multicenter cohort study was conducted from
2004 to 2013. The associations between laboratory indices and prognosis of
advanced PDAC were examined. RESULTS: This cohort consisted of 553 females
(36.2%) and 973 males (63.8%). Patients at cancer stage III and IV were 595
(39.0%) and 931 (61.0%), respectively. The median survival of stage III patients
was 9.0 months, with 3-, 6-, and 12-month survival rates of 94.5%, 73.4%, and
28.5%, respectively. The median survival of stage IV patients was 5.4 months,
with 3-, 6-, and 12-month survival rates of 79.3%, 42.9%, and 15.0%,
respectively. In multivariate analyses, primary tumor diameter, low albumin, and
elevated CA19-9 were associated with decreased survival for stage III patients.
Age, smoking, primary tumor diameter, elevated ALT or AST, low albumin, and
elevated CA19-9 were associated with decreased survival for stage IV patients.
CONCLUSION: Elevated CA19-9 level, decreased albumin level, and tumor size were
associated with worse survival in stage III patients. Meanwhile, advanced age,
smoking, and ALT or AST level were negatively correlated to prognosis in stage IV
patients.
PMID- 29641972
TI - Subtypes of MDSCs in mechanisms and prognosis of gastric cancer and are inhibited
by epirubicin and paclitaxel.
AB - Myeloid-derived suppressor cells (MDSCs) are thought to play a critical
immunosuppressive role in tumorigenesis. In this project, we aimed to investigate
subset alteration of MDSCs in gastric cancer (GC), and the effects of epirubicin
(EPI) and paclitaxel (TAX) on MDSCs. The frequencies of MDSC subsets in
peripheral blood were observed by using flow cytometry after treatment with EPI-
or TAX- based chemotherapy in GC patients. After treatment with EPI or TAX in
vitro, the subsets, apoptosis, cell cycle, and MAPK and NF-kappaB protein
expressions of mouse bone marrow MDSCs were analyzed. The frequency of MDSCs in
the peripheral blood of GC patients was higher than that in healthy controls.
Granulocyte-type MDSCs (G-MDSCs) were significantly more than monocyte-type MDSCs
(M-MDSCs) in GC patients. The frequencies of MDSC subsets in the peripheral blood
decreased after EPI- or TAX-based chemotherapy. High levels of MDSC subsets were
correlated with low cancer differentiation degree. High level of M-MDSCs was
related to lymph node metastasis, and was negatively correlated with the overall
survival of GC patients. After treatment with EPI or TAX, levels of mouse bone
marrow MDSC subsets decreased significantly in vitro. Arg-1 secretion and
expression of total and phosphorylated MAPK and NF-kappaB by MDSCs decreased. EPI
or TAX decreases the levels of MDSCs, inhibits the proliferation and function of
MDSCs in vitro, and induces their apoptosis via the MAPK and NF-kappaB signaling
pathways.
PMID- 29641973
TI - What can we do to optimize stem and progenitor cell therapy for heart failure?
AB - Stem cells are a promising solution for the treatment of heart failure due to
their ability to repopulate injured myocardium and restore cardiac function.
However, many hindrances (such as low survival/viability and integration of
transplanted cells, poor homing and cardiac differentiation efficiency, and
inadequate cell retention and engraftment) compromise the full regenerative
potential provided by stem cells. Therefore, it is necessary to optimize stem
cell/progenitor therapy to improve clinical efficacy. By analogy, in order for
crops to grow, good seeds are needed. They also need sufficient fertilizer,
herbicides, and pesticides to be "optimized." In this review, we provide a
comprehensive overview of "seeds" (comparison of stem cell types, different
combinations of stem cells, age, size, and dose of stem cells) and "fertilizers"
(optimizing stem/progenitor cells and genetic strategies, preconditioning with
drugs, and physical changes) for heart failure.
PMID- 29641974
TI - A precision medicine approach in sarcoma: identification of patients who may
benefit from early use of pazopanib.
AB - Soft tissue sarcomas (STS) are a heterogeneous group of over 100 histologically
and genetically distinct mesenchymal tumors. Standard of care for metastatic STS
has historically relied on anthracycline-based chemotherapy regimens. Although
effective for some patients, conventional chemotherapeutic agents used in STS are
associated with substantial toxicity and also are not equally effective in all
histologic subtypes of sarcoma. Pazopanib is an orally active antiangiogenic drug
that is approved for non-adipogenic sarcomas after failure of at least one line
of previous chemotherapy, and has a relatively favorable toxicity profile.
Earlier use of pazopanib may be a better choice for patients with subtypes of
sarcoma that do not respond well to conventional chemotherapy or those who are
unlikely to tolerate chemotherapy. Here we review the evidence for the activity
and toxicity profile of pazopanib and consider potential histologic, clinical,
and genetic predictive factors that can help to guide treatment choices. Further
prospective studies validating these observations may lead to refinement of a
precision medicine approach to match ideal sarcoma patients to earlier treatment
with pazopanib over traditional chemotherapy.
PMID- 29641975
TI - Prediction of lysine glutarylation sites by maximum relevance minimum redundancy
feature selection.
AB - Lysine glutarylation is new type of protein acylation modification in both
prokaryotes and eukaryotes. To better understand the molecular mechanism of
glutarylation, it is important to identify glutarylated substrates and their
corresponding glutarylation sites accurately. In this study, a novel
bioinformatics tool named GlutPred is developed to predict glutarylation sites by
using multiple feature extraction and maximum relevance minimum redundancy
feature selection. On the one hand, amino acid factors, binary encoding, and the
composition of k-spaced amino acid pairs features are incorporated to encode
glutarylation sites. And the maximum relevance minimum redundancy method and the
incremental feature selection algorithm are adopted to remove the redundant
features. On the other hand, a biased support vector machine algorithm is used to
handle the imbalanced problem in glutarylation sites training dataset. As
illustrated by 10-fold cross-validation, the performance of GlutPred achieves a
satisfactory performance with a Sensitivity of 64.80%, a Specificity of 76.60%,
an Accuracy of 74.90% and a Matthew's correlation coefficient of 0.3194. Feature
analysis shows that some k-spaced amino acid pair features play the most
important roles in the prediction of glutarylation sites. The conclusions derived
from this study might provide some clues for understanding the molecular
mechanisms of glutarylation.
PMID- 29641976
TI - MET amplification, expression, and exon 14 mutations in colorectal
adenocarcinoma.
AB - MET amplification, expression, and splice mutations at exon 14 result in
dysregulation of the MET signaling pathway. The aim of this study was to identify
the relationship between MET amplification, protein or mRNA expression, and
mutations in colorectal cancer (CRC). MET immunohistochemistry was used for MET
protein expression analysis, and fluorescence in situ hybridization was used for
MET amplification detection. Both analyses were performed in tissue microarrays
containing 294 colorectal adenocarcinoma tissue samples and 131 samples of
adjacent normal epithelial tissue. MET mRNA expression was examined by real-time
quantitative polymerase chain reaction in 72 fresh colorectal adenocarcinoma
tissue samples and adjacent normal colon tissue. Polymerase chain reaction
sequencing was performed to screen for MET exon 14 splice mutations in 59 fresh
CRC tissue samples. Our results showed that MET protein expression was higher in
colorectal tumor tissue than in adjacent normal intestinal epithelium. Positive
MET protein expression was associated with significantly poorer overall survival
and disease-free survival. Multivariate analysis revealed that positive MET
protein expression was an independent risk factor for disease-free survival but
not for overall survival. MET mRNA expression was upregulated in tumor tissues
compared with the adjacent normal tissues. The incidence of MET amplification was
4.4%. None of the patients was positive for MET mutation. Collectively, MET was
overexpressed in colorectal adenocarcinoma, and its positive protein expression
predicted a poorer outcome in CRC patients. Furthermore, according to our
results, MET amplification and exon 14 mutation are extremely rare events in
colorectal adenocarcinoma.
PMID- 29641977
TI - Chronic low-dose exposure of nonylphenol alters energy homeostasis in the
reproductive system of female rats.
AB - Nonylphenol (NP) as a confirmed endocrine disrupt chemical that causes
reproductive and developmental toxicity. Previous studies focused only on short
term, high-dose exposure in vivo, or in vitro on female reproductive toxicity,
which cannot accurately simulate the real human exposure scenario. The present
study aims to explore NP toxicity and the underlying mechanisms of chronic low
dose NP exposure (500 MUg/kg.bw/day, for 8 weeks) in the reproductive system of
female rats. The results indicated that NP exposure caused female reproductive
toxicity, including alterations in serum 17beta-estradiol (E2) levels, endometria
hyperplasia, altered oogenesis and significant changes in the metabolic profile
observed in urine, serum, uterus and ovary. Furthermore, expression of the energy
sensitive proteins carnitine palmitoyltransferase I (CPTI), adenosine 5'
monophosphate-activated protein kinase (AMPK) and peroxisome proliferator
activated receptor gamma (PPAR-gamma) were found to be down-regulated in uterus
under NP exposure, which suggested the impaired fatty acid oxidation.
Accordingly, a comprehensive metabolomics study in key reproductive tissues and
body fluids revealed that 12 metabolites were associated with energy metabolism
as potential biomarkers for the evaluation of low toxicity at early stages, with
L-carnitines being the most representative ones. The present findings provide
evidence that chronic low-dose NP exposure can significantly disrupt energy
homeostasis in females, thus offering further insights into NP reproductive
toxicity.
PMID- 29641978
TI - Estrogen-related receptor alpha is involved in Alzheimer's disease-like
pathology.
AB - Estrogen-related receptor alpha (ERRalpha) is a transcriptional factor associated
with mitochondrial biogenesis and energy metabolism. However, little is known
about the role of ERRalpha in Alzheimer's disease (AD). Here, we report that in
APP/PS1 mice, an animal model of AD, ERRalpha protein and mRNA were decreased in
a region- and age-dependent manner. In HEK293 cells that stably express human
full-length beta-amyloid precursor protein (APP), overexpression of ERRalpha
inhibited the amyloidogenic processing of APP and consequently reduced Abeta1
40/1-42 level. ERRalpha overexpression also attenuated Tau phosphorylation at
selective sites, with the concomitant reduction of glycogen synthase kinase 3beta
(GSK3beta) activity. Interestingly, alterations of APP processing and Tau
phosphorylation induced by hydrogen peroxide were reversed by ERRalpha
overexpression in HEK/APP cells. These results indicated that ERRalpha plays a
functional role in AD pathology. By attenuating both amyloidogenesis and Tau
phosphorylation, ERRalpha may serve as a potential therapeutic target for AD.
PMID- 29641979
TI - Nanoparticles modulate membrane interactions of human Islet amyloid polypeptide
(hIAPP).
AB - The dramatic expansion of nanotechnology applications, particularly the advent of
nanomaterials and nanoparticles (NPs) into the consumer economy, have led to
heightened awareness of their potential health risks. This study examines the
impact of several NPs upon membrane-induced aggregation and bilayer interactions
of the human Islet amyloid polypeptide (hIAPP). We report that several NPs -
polymeric NPs, TiO2 NPs, and Au NPs displaying coating layers exhibiting
different electrostatic charges - did not significantly interfere with the
fibrillation process and fibril morphology of hIAPP, both in buffer or in
biomimetic DMPC:DMPG vesicle solutions. Spectroscopic and microscopic analyses
suggest, in fact, that the NPs promoted membrane-induced fibrillation.
Importantly, we find that all the NPs examined, regardless of composition or
surface properties, gave rise to more pronounced, synergistic bilayer
interactions when co-incubated with hIAPP. NP-enhanced bilayer interactions of
hIAPP might point to possible toxicity and pathogenicity risks of amyloidogenic
peptides in the presence of NPs.
PMID- 29641980
TI - Gene-culture coevolution under selection.
AB - The joint evolutionary dynamics of phenotypes and genotypes are usually couched
in terms of genetic variance contributions to changes in the phenotypic mean.
Here, we study the evolution of a dichotomous phenotype whose transmission is
controlled by one multi-allelic locus. The phenotype is under selection, which
may be genotype-dependent. We answer classical population genetic questions about
the phenogenotypic evolution, including the conditions for phenotypic and
genotypic polymorphism, in terms of selection coefficients and rates of
phenotypic transmission.
PMID- 29641981
TI - Biodistribution and PET imaging of 89-zirconium labeled cerium oxide
nanoparticles synthesized with several surface coatings.
AB - Cerium oxide nanoparticles (CONPs) have unique surface chemistry allowing
catalyst-like antioxidant properties, and are being investigated for several
disease indications in medicine. Studies have utilized surface modified CONPs
toward this application, but have been lacking in comprehensive biodistribution
and pharmacokinetic data and a direct comparison to uncoated CONPs. We developed
an enhanced single-pot synthesis of several coated CONPs and an efficient
intrinsic core labeling of CONPs with the clinical PET isotope, zirconium-89,
allowing detailed PET imaging and ex vivo biodistribution. All coated [89Zr]
CONPs showed benefit in terms of biodistribution compared to uncoated [89Zr]
CONPs, while retaining the intrinsic antioxidant properties. Among these,
poly(acrylic acid) coated CONPs demonstrated excellent candidacy for clinical
implementation due to their enhanced renal clearance and low reticuloendothelial
system uptake. This work also demonstrates the value of intrinsic core labeling
and PET imaging for evaluation of nanoparticle constructs to better inform future
studies towards clinical use.
PMID- 29641983
TI - Lipid nanocarriers loaded with natural compounds: Potential new therapies for age
related neurodegenerative diseases?
AB - Age related neurodegenerative disorders (ARND) are presented as the most
debilitating and challenging diseases associated with the central nervous system.
Despite the advent of active molecules with a positive role on neurodegenerative
mechanisms, many of the current therapeutic strategies remain ineffective in
treating or preventing ARND. Lipid nanocarriers have emerged as efficient
delivery systems with the capability to cross biological barriers, especially the
blood brain barrier (BBB). Also, when associated to natural compounds, lipid
nanocarriers have demonstrated to be an interesting alternative to ARND therapies
with multiple beneficial effects. This comprehensive review focus on state-of-the
art lipid based nanocarriers for the delivery of natural compounds targeting
neurodegeneration. A critical analysis of published reports will be also provided
giving indications to researchers about the most promising ARND nanotherapy
strategies.
PMID- 29641982
TI - Concomitant Delivery of Paclitaxel and NuBCP-9 peptide for synergistic
enhancement of cancer therapy.
AB - Paclitaxel (PTX) is a microtubule inhibitor administered as an albumin-bound
nanoformulation for the treatment of breast cancer. However, the effectiveness of
PTX is limited by resistance mechanisms mediated in part by upregulation of the
anti-apoptotic BCL-2 and P-glycoprotein (P-gp). Present investigation was
designed to study the synergistic potential of NuBCP-9 and PTX loaded polymeric
nanoparticles to minimize the dose and improve the efficacy and safety. PTX and
NuBCP-9 loaded polylactic acid-polyethylene glycol-polypropylene glycol
polyethylene glycol [PLA-(PEG-PPG-PEG)] nanoparticles were prepared by double
emulsion solvent evaporation method. PTX and NuBCP-9 loaded NPs displayed an
average size of 90 nm with spherical morphology. PTX and NuBCP-9 dual loaded NPs
reducedIC50 by ~40-fold and acted synergistically. Treatment of the syngeneic EAT
mice with PTX-NuBCP-9/NPs resulted in improved efficacy than that alone treated
mice. Overall, the concomitant delivery PTX and NuBCP-9 loaded NPs showed
superior activity than that of PTX and NuBCP-9 alone treated mice.
PMID- 29641984
TI - Occurrence of Salmonella genomic island 1 (SGI1) in two African Proteus mirabilis
strains isolated from diseased chicken flocks.
AB - Two P. mirabilis strains, PmSHR21 and PmSHR38, were collected from chicken flocks
in Sharkia Governorate, Egypt in 2016. The two strains showed multidrug
resistance (MDR) phenotypes and were detected to harbour I) floR and sul1 genes
conferring resistance to florfenicol and chloramphenicol, and sulfonamides,
respectively, II) a ~1.9 kbp class 1 integron containing aadA2-lnuF genes
conferring resistance to spectinomycin and streptomycin, and lincosamides,
respectively. Interestingly, the two strains were detected to contain SGI1
variant, SGI1-W and inserted between the 3' end of the chromosomal trmE gene and
the hipB/hipA toxin/antitoxin homologue. Fingerprinting by ERIC-PCR of the two
poultry strains identified in this study and the two human SGI1-carrying P.
mirabilis strains described recently in our study showed identical ERIC-pattern
between SGI1-W-carrying poultry and human strains, suggesting that they might be
clonally related. The detection of SGI1 and its variants in P. mirabilis isolated
from humans and chicken flocks in Egypt clarify the geographical and biological
spreading through an inter-transmission pathway. To the best of our knowledge,
this is the first study detecting SGI1-positive P. mirabilis isolated from
chicken flocks in Africa.
PMID- 29641985
TI - LC-MS guided isolation of diterpenoids from Sapium insigne with alpha-glucosidase
inhibitory activities.
AB - Ten new (1-10) and ten known (11-20) diterpenoids involving ent-atisane, ent-seco
atisane, ent-kaurane and ent-seco-kaurane types were isolated from Sapium insigne
under the guidance of LCMS-IT-TOF analyses. Their structures were characterized
by extensive spectroscopic analyses (HRESIMS, UV, IR, 1D and 2D NMR). A putative
biosynthetic pathway was proposed for ent-seco-atisane diterpenoids. Their
inhibitory activities on alpha-glucosidase in vitro were tested for the first
time. Compound 4 showed moderate inhibitory effect on alpha-glucosidase with an
IC50 value of 0.34 mM via a noncompetitive inhibition mechanism (Ki = 0.27 mM).
The preliminary structure-activity relationships of the ent-atisane diterpenoids
inhibiting alpha-glucosidase were discussed.
PMID- 29641986
TI - New chromane and chromene meroterpenoids from flowers of Rhododendron rubiginosum
Franch. var. rubiginosum.
AB - Six new chromane and chromene meroterpenoids rubiginosins A-F (1-2, and 4-7),
together with three known ones, rubiginosin G (3) and anthopogochromenes A and B
(8-9),were isolated from the flowers of Rhododendron rubiginosum Franch. var.
rubiginosum. Among them, 1-4 were the chromane ones derived from an
intramolecular [2 + 2] cycloaddition of their respective chromene precursors,
making a 6/6/6/4- or 6/6/5/4-ring fused scaffold. The absolute configuration of
the chiral center at C-2 of 1-9 was determined as S by chromane/chromene helicity
rule and X-ray crystallograph. Notably, more attention should be paid to 6
carboxyl derivatives, since the 6-carboxyl derivatives showed an abnormal
diagnostic Cotton effect (CE) with respect to their normal diagnostic CE.
Compounds 1-9 were tested for cytotoxicity against four cell lines (A549, HCT116,
SK-HEP-1, and HL-60), and only 1, 3, 5, and 9 showed moderate cytotoxicity, while
others were inactive, discovering the 6-carboxyl is crucial for their low
cytotoxicity.
PMID- 29641987
TI - Release of targeted protein nanoparticles from functional bacterial amyloids: A
death star-like approach.
AB - Sustained release of drug delivery systems (DDS) has the capacity to increase
cancer treatment efficiency in terms of drug dosage reduction and subsequent
decrease of deleterious side effects. In this regard, many biomaterials are being
investigated but none offers morphometric and functional plasticity and
versatility comparable to protein-based nanoparticles (pNPs). Here we describe a
new DDS by which pNPs are fabricated as bacterial inclusion bodies (IB), that can
be easily isolated, subcutaneously injected and used as reservoirs for the
sustained release of targeted pNPs. Our approach combines the high performance of
pNP, regarding specific cell targeting and biodistribution with the IB
supramolecular organization, stability and cost effectiveness. This renders a
platform able to provide a sustained source of CXCR4-targeted pNPs that
selectively accumulate in tumor cells in a CXCR4+ colorectal cancer xenograft
model. In addition, the proposed system could be potentially adapted to any other
protein construct offering a plethora of possible new therapeutic applications in
nanomedicine.
PMID- 29641988
TI - Should rheumatoid factor (RF) (and antinuclear antibodies (ANA)) become routinary
screening test for morbidities in the general population?: From the concept of
"benign autoimmunity "to the concept of" autoimmunity as a red flag in preventive
medicine"?
PMID- 29641989
TI - Arginine Methylation Regulates MEIS2 Nuclear Localization to Promote Neuronal
Differentiation of Adult SVZ Progenitors.
AB - Adult neurogenesis is regulated by stem cell niche-derived extrinsic factors and
cell-intrinsic regulators, yet the mechanisms by which niche signals impinge on
the activity of intrinsic neurogenic transcription factors remain poorly defined.
Here, we report that MEIS2, an essential regulator of adult SVZ neurogenesis, is
subject to posttranslational regulation in the SVZ olfactory bulb neurogenic
system. Nuclear accumulation of MEIS2 in adult SVZ-derived progenitor cells
follows downregulation of EGFR signaling and is modulated by methylation of MEIS2
on a conserved arginine, which lies in close proximity to nested binding sites
for the nuclear export receptor CRM1 and the MEIS dimerization partner PBX1.
Methylation impairs interaction with CRM1 without affecting PBX1 dimerization and
thereby allows MEIS2 nuclear accumulation, a prerequisite for neuronal
differentiation. Our results describe a form of posttranscriptional modulation of
adult SVZ neurogenesis whereby an extrinsic signal fine-tunes neurogenesis
through posttranslational modification of a transcriptional regulator of cell
fate.
PMID- 29641990
TI - HDAC1 and HDAC2 Modulate TGF-beta Signaling during Endothelial-to-Hematopoietic
Transition.
AB - The first hematopoietic stem and progenitor cells are generated during
development from hemogenic endothelium (HE) through trans-differentiation. The
molecular mechanisms underlying this endothelial-to-hematopoietic transition
(EHT) remain poorly understood. Here, we explored the role of the epigenetic
regulators HDAC1 and HDAC2 in the emergence of these first blood cells in vitro
and in vivo. Loss of either of these epigenetic silencers through conditional
genetic deletion reduced hematopoietic transition from HE, while combined
deletion was incompatible with blood generation. We investigated the molecular
basis of HDAC1 and HDAC2 requirement and identified TGF-beta signaling as one of
the pathways controlled by HDAC1 and HDAC2. Accordingly, we experimentally
demonstrated that activation of this pathway in HE cells reinforces hematopoietic
development. Altogether, our results establish that HDAC1 and HDAC2 modulate TGF
beta signaling and suggest that stimulation of this pathway in HE cells would be
beneficial for production of hematopoietic cells for regenerative therapies.
PMID- 29641991
TI - PLAG1 and USF2 Co-regulate Expression of Musashi-2 in Human Hematopoietic Stem
and Progenitor Cells.
AB - MSI2, which is expressed predominantly in hematopoietic stem and progenitor cells
(HSPCs), enforces HSPC expansion when overexpressed and is upregulated in myeloid
leukemias, indicating its regulated transcription is critical to balanced self
renewal and leukemia restraint. Despite this, little is understood of the factors
that enforce appropriate physiological levels of MSI2 in the blood system. Here,
we define a promoter region that reports on endogenous expression of MSI2 and
identify USF2 and PLAG1 as transcription factors whose promoter binding drives
reporter activity. We show that these factors co-regulate, and are required for,
efficient transactivation of endogenous MSI2. Coincident overexpression of USF2
and PLAG1 in primitive cord blood cells enhanced MSI2 transcription and yielded
cellular phenotypes, including expansion of CD34+ cells in vitro, consistent with
that achieved by direct MSI2 overexpression. Global chromatin immunoprecipitation
sequencing analyses confirm a preferential co-binding of PLAG1 and USF2 at the
promoter of MSI2, as well as regulatory regions corresponding to genes with roles
in HSPC homeostasis. PLAG1 and USF2 cooperation is thus an important contributor
to stem cell-specific expression of MSI2 and HSPC-specific transcriptional
circuitry.
PMID- 29641992
TI - PITX2 Enhances the Regenerative Potential of Dystrophic Skeletal Muscle Stem
Cells.
AB - Duchenne muscular dystrophy (DMD), one of the most lethal genetic disorders,
involves progressive muscle degeneration resulting from the absence of
DYSTROPHIN. Lack of DYSTROPHIN expression in DMD has critical consequences in
muscle satellite stem cells including a reduced capacity to generate myogenic
precursors. Here, we demonstrate that the c-isoform of PITX2 transcription factor
modifies the myogenic potential of dystrophic-deficient satellite cells. We
further show that PITX2c enhances the regenerative capability of mouse DYSTROPHIN
deficient satellite cells by increasing cell proliferation and the number of
myogenic committed cells, but importantly also increasing dystrophin-positive
(revertant) myofibers by regulating miR-31. These PITX2-mediated effects finally
lead to improved muscle function in dystrophic (DMD/mdx) mice. Our studies reveal
a critical role for PITX2 in skeletal muscle repair and may help to develop
therapeutic strategies for muscular disorders.
PMID- 29641993
TI - Pre-clinical validation of a next generation sequencing testing panel.
AB - OBJECTIVE: Next Generation Sequencing (NGS) has become a useful tool for gene
mutation testing which is required for targeted therapies. The aim of this study
was to validate the GeneRead QIAact Actionable Insights Tumor Panel (Qiagen) on
the GeneReader System in a diagnostic laboratory setting. METHODS: The GeneRead
QIAact Actionable Insights Tumor Panel allows the analysis of 773 variant
positions in 12 genes (ALK, BRAF, EGFR, ERBB2, ERBB3, ESR1, KIT, KRAS, NRAS,
PDGFRA, PIK3CA and RAF1). For the validation of the panel we used a commercial
available multiplex reference standard carrying 11 mutations in defined
positions, samples from interlaboratory tests, and FFPE tumor samples from
patients which were tested previously for mutations in KRAS, NRAS, BRAF, EGFR,
KIT, and/or PDGFRA with pyrosequencing. RESULTS: Among the 122 tested samples,
121 samples (99.2%) were successfully sequenced. The sensitivity and specificity
for detecting variants was 100% and results proved to be reproducible and
precise. 119 (98.3%) results were concordant to the expected results. The
differences between NGS and pyrosequencing observed in two samples were due to a
wrong analysis by the pyrosequencing software which did not cover the present
mutations. CONCLUSION: Overall, the GeneRead QIAact Actionable Insights Tumor
Panel was specific and sensitive for mutation analysis for targeted therapies and
can be incorporated into laboratory diagnostics' daily practice.
PMID- 29641995
TI - Meg3 Non-coding RNA Expression Controls Imprinting by Preventing Transcriptional
Upregulation in cis.
AB - Although many long non-coding RNAs (lncRNAs) are imprinted, their roles often
remain unknown. The Dlk1-Dio3 domain expresses the lncRNA Meg3 and multiple
microRNAs and small nucleolar RNAs (snoRNAs) on the maternal chromosome and
constitutes an epigenetic model for development. The domain's Dlk1 (Delta-like-1)
gene encodes a ligand that inhibits Notch1 signaling and regulates diverse
developmental processes. Using a hybrid embryonic stem cell (ESC) system, we find
that Dlk1 becomes imprinted during neural differentiation and that this involves
transcriptional upregulation on the paternal chromosome. The maternal Dlk1 gene
remains poised. Its protection against activation is controlled in cis by Meg3
expression and also requires the H3-Lys-27 methyltransferase Ezh2. Maternal Meg3
expression additionally protects against de novo DNA methylation at its promoter.
We find that Meg3 lncRNA is partially retained in cis and overlaps the maternal
Dlk1 in embryonic cells. Combined, our data evoke an imprinting model in which
allelic lncRNA expression prevents gene activation in cis.
PMID- 29641994
TI - A Common Allele in FGF21 Associated with Sugar Intake Is Associated with Body
Shape, Lower Total Body-Fat Percentage, and Higher Blood Pressure.
AB - Fibroblast growth factor 21 (FGF21) is a hormone that has insulin-sensitizing
properties. Some trials of FGF21 analogs show weight loss and lipid-lowering
effects. Recent studies have shown that a common allele in the FGF21 gene alters
the balance of macronutrients consumed, but there was little evidence of an
effect on metabolic traits. We studied a common FGF21 allele (A:rs838133) in
451,099 people from the UK Biobank study, aiming to use the human allele to
inform potential adverse and beneficial effects of targeting FGF21. We replicated
the association between the A allele and higher percentage carbohydrate intake.
We then showed that this allele is more strongly associated with higher blood
pressure and waist-hip ratio, despite an association with lower total body-fat
percentage, than it is with BMI or type 2 diabetes. These human phenotypes of
variation in the FGF21 gene will inform research into FGF21's mechanisms and
therapeutic potential.
PMID- 29641996
TI - Transcriptional Dysregulation of MYC Reveals Common Enhancer-Docking Mechanism.
AB - Transcriptional dysregulation of the MYC oncogene is among the most frequent
events in aggressive tumor cells, and this is generally accomplished by
acquisition of a super-enhancer somewhere within the 2.8 Mb TAD where MYC
resides. We find that these diverse cancer-specific super-enhancers, differing in
size and location, interact with the MYC gene through a common and conserved CTCF
binding site located 2 kb upstream of the MYC promoter. Genetic perturbation of
this enhancer-docking site in tumor cells reduces CTCF binding, super-enhancer
interaction, MYC gene expression, and cell proliferation. CTCF binding is highly
sensitive to DNA methylation, and this enhancer-docking site, which is
hypomethylated in diverse cancers, can be inactivated through epigenetic editing
with dCas9-DNMT. Similar enhancer-docking sites occur at other genes, including
genes with prominent roles in multiple cancers, suggesting a mechanism by which
tumor cell oncogenes can generally hijack enhancers. These results provide
insights into mechanisms that allow a single target gene to be regulated by
diverse enhancer elements in different cell types.
PMID- 29641997
TI - MYC Releases Early Reprogrammed Human Cells from Proliferation Pause via
Retinoblastoma Protein Inhibition.
AB - Here, we report that MYC rescues early human cells undergoing reprogramming from
a proliferation pause induced by OCT3/4, SOX2, and KLF4 (OSK). We identified ESRG
as a marker of early reprogramming cells that is expressed as early as day 3
after OSK induction. On day 4, ESRG positive (+) cells converted to a TRA-1-60
(+) intermediate state. These early ESRG (+) or TRA-1-60 (+) cells showed a
proliferation pause due to increased p16INK4A and p21 and decreased endogenous
MYC caused by OSK. Exogenous MYC did not enhance the appearance of initial
reprogramming cells but instead reactivated their proliferation and improved
reprogramming efficiency. MYC increased expression of LIN41, which potently
suppressed p21 post-transcriptionally. MYC suppressed p16 INK4A. These changes
inactivated retinoblastoma protein (RB) and reactivated proliferation. The RB
regulated proliferation pause does not occur in immortalized fibroblasts, leading
to high reprogramming efficiency even without exogenous MYC.
PMID- 29641998
TI - Condition-Specific Modeling of Biophysical Parameters Advances Inference of
Regulatory Networks.
AB - Large-scale inference of eukaryotic transcription-regulatory networks remains
challenging. One underlying reason is that existing algorithms typically ignore
crucial regulatory mechanisms, such as RNA degradation and post-transcriptional
processing. Here, we describe InfereCLaDR, which incorporates such elements and
advances prediction in Saccharomyces cerevisiae. First, InfereCLaDR employs a
high-quality Gold Standard dataset that we use separately as prior information
and for model validation. Second, InfereCLaDR explicitly models transcription
factor activity and RNA half-lives. Third, it introduces expression subspaces to
derive condition-responsive regulatory networks for every gene. InfereCLaDR's
final network is validated by known data and trends and results in multiple
insights. For example, it predicts long half-lives for transcripts of the nucleic
acid metabolism genes and members of the cytosolic chaperonin complex as targets
of the proteasome regulator Rpn4p. InfereCLaDR demonstrates that more
biophysically realistic modeling of regulatory networks advances prediction
accuracy both in eukaryotes and prokaryotes.
PMID- 29641999
TI - JMJD1B Demethylates H4R3me2s and H3K9me2 to Facilitate Gene Expression for
Development of Hematopoietic Stem and Progenitor Cells.
AB - The arginine methylation status of histones dynamically changes during many
cellular processes, including hematopoietic stem/progenitor cell (HSPC)
development. The arginine methyltransferases and the readers that transduce the
histone codes have been defined. However, whether arginine demethylation actively
occurs in cells and what enzyme demethylates the methylarginine residues during
various cellular processes are unknown. We report that JMJD1B, previously
identified as a lysine demethylase for H3K9me2, mediates arginine demethylation
of H4R3me2s and its intermediate, H4R3me1. We show that demethylation of H4R3me2s
and H3K9me2s in promoter regions is correlated with active gene expression.
Furthermore, knockout of JMJD1B blocks demethylation of H4R3me2s and/or H3K9me2
at distinct clusters of genes and impairs the activation of genes important for
HSPC differentiation and development. Consequently, JMJD1B-/- mice show defects
in hematopoiesis. Altogether, our study demonstrates that demethylase-mediated
active arginine demethylation process exists in eukaryotes and that JMJD1B
demethylates both H4R3me2s and H3K9me2 for epigenetic programming during
hematopoiesis.
PMID- 29642000
TI - Small-Molecule Targeting of RNA Polymerase I Activates a Conserved Transcription
Elongation Checkpoint.
AB - Inhibition of RNA polymerase I (Pol I) is a promising strategy for modern cancer
therapy. BMH-21 is a first-in-class small molecule that inhibits Pol I
transcription and induces degradation of the enzyme, but how this exceptional
response is enforced is not known. Here, we define key elements requisite for the
response. We show that Pol I preinitiation factors and polymerase subunits (e.g.,
RPA135) are required for BMH-21-mediated degradation of RPA194. We further find
that Pol I inhibition and induced degradation by BMH-21 are conserved in yeast.
Genetic analyses demonstrate that mutations that induce transcription elongation
defects in Pol I result in hypersensitivity to BMH-21. Using a fully
reconstituted Pol I transcription assay, we show that BMH-21 directly impairs
transcription elongation by Pol I, resulting in long-lived polymerase pausing.
These studies define a conserved regulatory checkpoint that monitors Pol I
transcription and is activated by therapeutic intervention.
PMID- 29642001
TI - Functional Genome-wide Screen Identifies Pathways Restricting Central Nervous
System Axonal Regeneration.
AB - Axonal regrowth is crucial for recovery from CNS injury but is severely
restricted in adult mammals. We used a genome-wide loss-of-function screen for
factors limiting axonal regeneration from cerebral cortical neurons in vitro.
Knockdown of 16,007 individual genes identified 580 significant phenotypes. These
molecules share no significant overlap with those suggested by previous
expression profiles. There is enrichment for genes in pathways related to
transport, receptor binding, and cytokine signaling, including Socs4 and Ship2.
Among transport-regulating proteins, Rab GTPases are prominent. In vivo
assessment with C. elegans validates a cell-autonomous restriction of
regeneration by Rab27. Mice lacking Rab27b show enhanced retinal ganglion cell
axon regeneration after optic nerve crush and greater motor function and
raphespinal sprouting after spinal cord trauma. Thus, a comprehensive functional
screen reveals multiple pathways restricting axonal regeneration and neurological
recovery after injury.
PMID- 29642002
TI - Delineating the Macroscale Areal Organization of the Macaque Cortex In Vivo.
AB - Complementing long-standing traditions centered on histology, fMRI approaches are
rapidly maturing in delineating brain areal organization at the macroscale. The
non-human primate (NHP) provides the opportunity to overcome critical barriers in
translational research. Here, we establish the data requirements for achieving
reproducible and internally valid parcellations in individuals. We demonstrate
that functional boundaries serve as a functional fingerprint of the individual
animals and can be achieved under anesthesia or awake conditions (rest,
naturalistic viewing), though differences between awake and anesthetized states
precluded the detection of individual differences across states. Comparison of
awake and anesthetized states suggested a more nuanced picture of changes in
connectivity for higher-order association areas, as well as visual and motor
cortex. These results establish feasibility and data requirements for the
generation of reproducible individual-specific parcellations in NHPs, provide
insights into the impact of scan state, and motivate efforts toward harmonizing
protocols.
PMID- 29642003
TI - FOXF1 Inhibits Pulmonary Fibrosis by Preventing CDH2-CDH11 Cadherin Switch in
Myofibroblasts.
AB - Idiopathic pulmonary fibrosis (IPF) is characterized by aberrant accumulation of
collagen-secreting myofibroblasts. Development of effective therapies is limited
due to incomplete understanding of molecular mechanisms regulating myofibroblast
expansion. FOXF1 transcription factor is expressed in resident lung fibroblasts,
but its role in lung fibrosis remains unknown due to the lack of genetic mouse
models. Through comprehensive analysis of human IPF genomics data, lung biopsies,
and transgenic mice with fibroblast-specific inactivation of FOXF1, we show that
FOXF1 inhibits pulmonary fibrosis. FOXF1 deletion increases myofibroblast
invasion and collagen secretion and promotes a switch from N-cadherin (CDH2) to
Cadherin-11 (CDH11), which is a critical step in the acquisition of the pro
fibrotic phenotype. FOXF1 directly binds to Cdh2 and Cdh11 promoters and
differentially regulates transcription of these genes. Re-expression of CDH2 or
inhibition of CDH11 in FOXF1-deficient cells reduces myofibroblast invasion in
vitro. FOXF1 inhibits pulmonary fibrosis by regulating a switch from CDH2 to
CDH11 in lung myofibroblasts.
PMID- 29642004
TI - Cardiomyocyte Membrane Structure and cAMP Compartmentation Produce Anatomical
Variation in beta2AR-cAMP Responsiveness in Murine Hearts.
AB - Cardiomyocytes from the apex but not the base of the heart increase their
contractility in response to beta2-adrenoceptor (beta2AR) stimulation, which may
underlie the development of Takotsubo cardiomyopathy. However, both cell types
produce comparable cytosolic amounts of the second messenger cAMP. We
investigated this discrepancy using nanoscale imaging techniques and found that,
structurally, basal cardiomyocytes have more organized membranes (higher T
tubular and caveolar densities). Local membrane microdomain responses measured in
isolated basal cardiomyocytes or in whole hearts revealed significantly smaller
and more short-lived beta2AR/cAMP signals. Inhibition of PDE4, caveolar
disruption by removing cholesterol or genetic deletion of Cav3 eliminated
differences in local cAMP production and equilibrated the contractile response to
beta2AR. We conclude that basal cells possess tighter control of cAMP because of
a higher degree of signaling microdomain organization. This provides varying
levels of nanostructural control for cAMP-mediated functional effects that
orchestrate macroscopic, regional physiological differences within the heart.
PMID- 29642005
TI - Mind Bomb Regulates Cell Death during TNF Signaling by Suppressing RIPK1's
Cytotoxic Potential.
AB - Tumor necrosis factor (TNF) is an inflammatory cytokine that can signal cell
survival or cell death. The mechanisms that switch between these distinct
outcomes remain poorly defined. Here, we show that the E3 ubiquitin ligase Mind
Bomb-2 (MIB2) regulates TNF-induced cell death by inactivating RIPK1 via
inhibitory ubiquitylation. Although depletion of MIB2 has little effect on NF
kappaB activation, it sensitizes cells to RIPK1- and caspase-8-dependent cell
death. We find that MIB2 represses the cytotoxic potential of RIPK1 by
ubiquitylating lysine residues in the C-terminal portion of RIPK1. Our data
suggest that ubiquitin conjugation of RIPK1 interferes with RIPK1 oligomerization
and RIPK1-FADD association. Disruption of MIB2-mediated ubiquitylation, either by
mutation of MIB2's E3 activity or RIPK1's ubiquitin-acceptor lysines, sensitizes
cells to RIPK1-mediated cell death. Together, our findings demonstrate that Mind
Bomb E3 ubiquitin ligases can function as additional checkpoint of cytokine
induced cell death, selectively protecting cells from the cytotoxic effects of
TNF.
PMID- 29642006
TI - ADAMTS9-Regulated Pericellular Matrix Dynamics Governs Focal Adhesion-Dependent
Smooth Muscle Differentiation.
AB - Focal adhesions anchor cells to extracellular matrix (ECM) and direct assembly of
a pre-stressed actin cytoskeleton. They act as a cellular sensor and regulator,
linking ECM to the nucleus. Here, we identify proteolytic turnover of the anti
adhesive proteoglycan versican as a requirement for maintenance of smooth muscle
cell (SMC) focal adhesions. Using conditional deletion in mice, we show that
ADAMTS9, a secreted metalloprotease, is required for myometrial activation during
late gestation and for parturition. Through knockdown of ADAMTS9 in uterine SMC,
and manipulation of pericellular versican via knockdown or proteolysis, we
demonstrate that regulated pericellular matrix dynamics is essential for focal
adhesion maintenance. By influencing focal adhesion formation, pericellular
versican acts upstream of cytoskeletal assembly and SMC differentiation. Thus,
pericellular versican proteolysis by ADAMTS9 balances pro- and anti-adhesive
forces to maintain an SMC phenotype, providing a concrete example of the dynamic
reciprocity of cells and their ECM.
PMID- 29642007
TI - How Biophysical Forces Regulate Human B Cell Lymphomas.
AB - The role of microenvironment-mediated biophysical forces in human lymphomas
remains elusive. Diffuse large B cell lymphomas (DLBCLs) are heterogeneous
tumors, which originate from highly proliferative germinal center B cells. These
tumors, their associated neo-vessels, and lymphatics presumably expose cells to
particular fluid flow and survival signals. Here, we show that fluid flow
enhances proliferation and modulates response of DLBCLs to specific therapeutic
agents. Fluid flow upregulates surface expression of B cell receptors (BCRs) and
integrin receptors in subsets of ABC-DLBCLs with either CD79A/B mutations or WT
BCRs, similar to what is observed with xenografted human tumors in mice. Fluid
flow differentially upregulates signaling targets, such as SYK and p70S6K, in ABC
DLBCLs. By selective knockdown of CD79B and inhibition of signaling targets, we
provide mechanistic insights into how fluid flow mechanomodulates BCRs and
integrins in ABC-DLBCLs. These findings redefine microenvironment factors that
regulate lymphoma-drug interactions and will be critical for testing targeted
therapies.
PMID- 29642009
TI - STIM2 Induces Activated Conformation of STIM1 to Control Orai1 Function in ER-PM
Junctions.
AB - Ca2+ entry mediated by the calcium channel, Orai1, provides critical Ca2+ signals
that regulate cell function. The ER-Ca2+ sensor protein, STIM1, recruits and
strongly activates Orai1 within ER-PM junctions. STIM2 is a poor activator of
Orai1, and its physiological role is not well understood. Herein, we report a
crucial function for STIM2 in inducing the activated conformation of STIM1. By
using conformational sensors of STIM2 and STIM1, together with protein
interaction and functional studies, we show that STIM2 is constitutively
localized within ER-PM junctions in ER-Ca2+ store replete cells. Importantly,
STIM2 traps STIM1 and triggers remodeling of STIM1 C terminus, causing
STIM1/Orai1 coupling and enhancement of Orai1 function in cells with relatively
high ER-[Ca2+]. The increase in Ca2+ entry controls Ca2+-dependent transcription
factor, NFAT, activation at low [agonist]. Our findings reveal that STIM2
modulates STIM1/Orai1 function to tune the fidelity of receptor-evoked Ca2+
signaling and the physiological response of cells.
PMID- 29642008
TI - Global Cancer Transcriptome Quantifies Repeat Element Polarization between
Immunotherapy Responsive and T Cell Suppressive Classes.
AB - It has been posited that anti-tumoral innate activation is driven by derepression
of endogenous repeats. We compared RNA sequencing protocols to assess repeat
transcriptomes in The Cancer Genome Atlas (TCGA). Although poly(A) selection
efficiently detects coding genes, most non-coding genes, and limited subsets of
repeats, it fails to capture overall repeat expression and co-expression.
Alternatively, total RNA expression reveals distinct repeat co-expression
subgroups and delivers greater dynamic changes, implying they may serve as better
biomarkers of clinical outcomes. We show that endogenous retrovirus expression
predicts immunotherapy response better than conventional immune signatures in one
cohort yet is not predictive in another. Moreover, we find that global repeat
derepression, including the HSATII satellite repeat, correlates with an
immunosuppressive phenotype in colorectal and pancreatic tumors and validate in
situ. In conclusion, we stress the importance of analyzing the full spectrum of
repeat transcription to decode their role in tumor immunity.
PMID- 29642010
TI - Dual and Direction-Selective Mechanisms of Phosphate Transport by the Vesicular
Glutamate Transporter.
AB - Vesicular glutamate transporters (VGLUTs) fill synaptic vesicles with glutamate
and are thus essential for glutamatergic neurotransmission. However, VGLUTs were
originally discovered as members of a transporter subfamily specific for
inorganic phosphate (Pi). It is still unclear how VGLUTs accommodate glutamate
transport coupled to an electrochemical proton gradient DeltaMUH+ with inversely
directed Pi transport coupled to the Na+ gradient and the membrane potential.
Using both functional reconstitution and heterologous expression, we show that
VGLUT transports glutamate and Pi using a single substrate binding site but
different coupling to cation gradients. When facing the cytoplasm, both ions are
transported into synaptic vesicles in a DeltaMUH+-dependent fashion, with
glutamate preferred over Pi. When facing the extracellular space, Pi is
transported in a Na+-coupled manner, with glutamate competing for binding but at
lower affinity. We conclude that VGLUTs have dual functions in both vesicle
transmitter loading and Pi homeostasis within glutamatergic neurons.
PMID- 29642011
TI - RNA-Dependent Intergenerational Inheritance of Enhanced Synaptic Plasticity after
Environmental Enrichment.
AB - Physical exercise in combination with cognitive training is known to enhance
synaptic plasticity, learning, and memory and lower the risk for various complex
diseases including Alzheimer's disease. Here, we show that exposure of adult male
mice to an environmental enrichment paradigm leads to enhancement of synaptic
plasticity and cognition also in the next generation. We show that this effect is
mediated through sperm RNA and especially miRs 212/132. In conclusion, our study
reports intergenerational inheritance of an acquired cognitive benefit and points
to specific miRs as candidates mechanistically involved in this type of
transmission.
PMID- 29642013
TI - Discovery of Ubiquitin Deamidases in the Pathogenic Arsenal of Legionella
pneumophila.
AB - Legionella pneumophila translocates the largest known arsenal of over 330
pathogenic factors, called "effectors," into host cells during infection,
enabling L. pneumophila to establish a replicative niche inside diverse amebas
and human macrophages. Here, we reveal that the L. pneumophila effectors MavC
(Lpg2147) and MvcA (Lpg2148) are structural homologs of cycle inhibiting factor
(Cif) effectors and that the adjacent gene, lpg2149, produces a protein that
directly inhibits their activity. In contrast to canonical Cifs, both MavC and
MvcA contain an insertion domain and deamidate the residue Gln40 of ubiquitin but
not Gln40 of NEDD8. MavC and MvcA are functionally diverse, with only MavC
interacting with the human E2-conjugating enzyme UBE2N (Ubc13). MavC deamidates
the UBE2N~Ub conjugate, disrupting Lys63 ubiquitination and dampening NF-kappaB
signaling. Combined, our data reveal a molecular mechanism of host manipulation
by pathogenic bacteria and highlight the complex regulatory mechanisms integral
to L. pneumophila's pathogenic strategy.
PMID- 29642012
TI - Environmental Enrichment and Social Isolation Mediate Neuroplasticity of Medium
Spiny Neurons through the GSK3 Pathway.
AB - Resilience and vulnerability to neuropsychiatric disorders are linked to
molecular changes underlying excitability that are still poorly understood. Here,
we identify glycogen-synthase kinase 3beta (GSK3beta) and voltage-gated Na+
channel Nav1.6 as regulators of neuroplasticity induced by environmentally
enriched (EC) or isolated (IC) conditions-models for resilience and
vulnerability. Transcriptomic studies in the nucleus accumbens from EC and IC
rats predicted low levels of GSK3beta and SCN8A mRNA as a protective phenotype
associated with reduced excitability in medium spiny neurons (MSNs). In vivo
genetic manipulations demonstrate that GSK3beta and Nav1.6 are molecular
determinants of MSN excitability and that silencing of GSK3beta prevents
maladaptive plasticity of IC MSNs. In vitro studies reveal direct interaction of
GSK3beta with Nav1.6 and phosphorylation at Nav1.6T1936 by GSK3beta. A GSK3beta
Nav1.6T1936 competing peptide reduces MSNs excitability in IC, but not EC rats.
These results identify GSK3beta regulation of Nav1.6 as a biosignature of MSNs
maladaptive plasticity.
PMID- 29642014
TI - A Universal Approach to Optimize the Folding and Stability of Prefusion-Closed
HIV-1 Envelope Trimers.
AB - The heavily glycosylated native-like envelope (Env) trimer of HIV-1 is expected
to have low immunogenicity, whereas misfolded forms are often highly immunogenic.
High-quality correctly folded Envs may therefore be critical for developing a
vaccine that induces broadly neutralizing antibodies. Moreover, the high
variability of Env may require immunizations with multiple Envs. Here, we report
a universal strategy that provides for correctly folded Env trimers of high
quality and yield through a repair-and-stabilize approach. In the repair stage,
we utilized a consensus strategy that substituted rare strain-specific residues
with more prevalent ones. The stabilization stage involved structure-based design
and experimental assessment confirmed by crystallographic feedback. Regions
important for the refolding of Env were targeted for stabilization. Notably, the
alpha9-helix and an intersubunit beta sheet proved to be critical for trimer
stability. Our approach provides a means to produce prefusion-closed Env trimers
from diverse HIV-1 strains, a substantial advance for vaccine development.
PMID- 29642016
TI - Genome-wide Translation Profiling by Ribosome-Bound tRNA Capture.
AB - In the ribosome complex, tRNA is a critical element of mRNA translation. A rich
repertoire of cell regulation is hypothesized to occur during the recruitment of
specific tRNAs in polypeptide formation. However, this basic question in nascent
chain biology remains unaddressed due to the lack of technologies to report the
complete tRNA complement inside ribosomes during active translation. Here, we
characterize a technique for profiling ribosome-embedded tRNA and their
modifications. With this method, we generated a comprehensive survey of the
quantity and quality of intra-ribosomal tRNAs. In cells under environmental
stress, we show that methionine tRNA inside ribosomes is a robust biomarker for
the impairment of translation initiation or elongation steps. Concurrent
tRNA/mRNA ribosome profiling revealed a stress-dependent incorporation of damaged
and uncharged tRNAs into ribosomes causing translation arrest. Thus, tRNA
ribosome profiling can provide insights on translation control mechanisms in
diverse biological contexts.
PMID- 29642015
TI - Genome-wide CRISPR/Cas9 Screen Identifies Host Factors Essential for Influenza
Virus Replication.
AB - The emergence of influenza A viruses (IAVs) from zoonotic reservoirs poses a
great threat to human health. As seasonal vaccines are ineffective against
zoonotic strains, and newly transmitted viruses can quickly acquire drug
resistance, there remains a need for host-directed therapeutics against IAVs.
Here, we performed a genome-scale CRISPR/Cas9 knockout screen in human lung
epithelial cells with a human isolate of an avian H5N1 strain. Several genes
involved in sialic acid biosynthesis and related glycosylation pathways were
highly enriched post-H5N1 selection, including SLC35A1, a sialic acid transporter
essential for IAV receptor expression and thus viral entry. Importantly, we have
identified capicua (CIC) as a negative regulator of cell-intrinsic immunity, as
loss of CIC resulted in heightened antiviral responses and restricted replication
of multiple viruses. Therefore, our study demonstrates that the CRISPR/Cas9
system can be utilized for the discovery of host factors critical for the
replication of intracellular pathogens.
PMID- 29642017
TI - Deciphering the Dynamic Transcriptional and Post-transcriptional Networks of
Macrophages in the Healthy Heart and after Myocardial Injury.
AB - Macrophage plasticity has been studied in vitro, but transcriptional regulation
upon injury is poorly understood. We generated a valuable dataset that captures
transcriptional changes in the healthy heart and after myocardial injury,
revealing a dynamic transcriptional landscape of macrophage activation. Partial
deconvolution suggested that post-injury macrophages exhibit overlapping
activation of pro-inflammatory and anti-inflammatory programs rather than
aligning to canonical M1/M2 programs. Furthermore, simulated dynamics and
experimental validation of a regulatory core of the underlying gene-regulatory
network revealed a negative-feedback loop that limits initial inflammation via
hypoxia-mediated upregulation of Il10. Our results also highlight the prominence
of post-transcriptional regulation (miRNAs, mRNA decay, and lincRNAs) in
attenuating the myocardial injury-induced inflammatory response. We also
identified a cardiac-macrophage-specific gene signature (e.g., Egfr and Lifr) and
time-specific markers for macrophage populations (e.g., Lyve1, Cd40, and Mrc1).
Altogether, these data provide a core resource for deciphering the
transcriptional network in cardiac macrophages in vivo.
PMID- 29642018
TI - A Distinct DNA Methylation Shift in a Subset of Glioma CpG Island Methylator
Phenotypes during Tumor Recurrence.
AB - Glioma diagnosis is based on histomorphology and grading; however, such
classification does not have predictive clinical outcome after glioblastomas have
developed. To date, no bona fide biomarkers that significantly translate into a
survival benefit to glioblastoma patients have been identified. We previously
reported that the IDH mutant G-CIMP-high subtype would be a predecessor to the G
CIMP-low subtype. Here, we performed a comprehensive DNA methylation longitudinal
analysis of diffuse gliomas from 77 patients (200 tumors) to enlighten the
epigenome-based malignant transformation of initially lower-grade gliomas. Intra
subtype heterogeneity among G-CIMP-high primary tumors allowed us to identify
predictive biomarkers for assessing the risk of malignant recurrence at early
stages of disease. G-CIMP-low recurrence appeared in 9.5% of all gliomas, and
these resembled IDH-wild-type primary glioblastoma. G-CIMP-low recurrence can be
characterized by distinct epigenetic changes at candidate functional tissue
enhancers with AP-1/SOX binding elements, mesenchymal stem cell-like epigenomic
phenotype, and genomic instability. Molecular abnormalities of longitudinal G
CIMP offer possibilities to defy glioblastoma progression.
PMID- 29642020
TI - A nonnegative matrix factorization algorithm based on a discrete-time projection
neural network.
AB - This paper presents an algorithm for nonnegative matrix factorization based on a
biconvex optimization formulation. First, a discrete-time projection neural
network is introduced. An upper bound of its step size is derived to guarantee
the stability of the neural network. Then, an algorithm is proposed based on the
discrete-time projection neural network and a backtracking step-size adaptation.
The proposed algorithm is proven to be able to reduce the objective function
value iteratively until attaining a partial optimum of the formulated biconvex
optimization problem. Experimental results based on various data sets are
presented to substantiate the efficacy of the algorithm.
PMID- 29642021
TI - A Dual Regulatory Role for the Disordered C-Terminus of Protein Kinase Calpha.
PMID- 29642019
TI - A Genetic Toolkit for Dissecting Dopamine Circuit Function in Drosophila.
AB - The neuromodulator dopamine (DA) plays a key role in motor control, motivated
behaviors, and higher-order cognitive processes. Dissecting how these DA neural
networks tune the activity of local neural circuits to regulate behavior requires
tools for manipulating small groups of DA neurons. To address this need, we
assembled a genetic toolkit that allows for an exquisite level of control over
the DA neural network in Drosophila. To further refine targeting of specific DA
neurons, we also created reagents that allow for the conversion of any existing
GAL4 line into Split GAL4 or GAL80 lines. We demonstrated how this toolkit can be
used with recently developed computational methods to rapidly generate additional
reagents for manipulating small subsets or individual DA neurons. Finally, we
used the toolkit to reveal a dynamic interaction between a small subset of DA
neurons and rearing conditions in a social space behavioral assay.
PMID- 29642022
TI - Interacting Bacteria Surfaces.
PMID- 29642023
TI - PAM: A Framework for Integrated Analysis of Imaging, Single-Molecule, and
Ensemble Fluorescence Data.
AB - Fluorescence microscopy and spectroscopy data hold a wealth of information on the
investigated molecules, structures, or organisms. Nowadays, the same fluorescence
data set can be analyzed in many ways to extract different properties of the
measured sample. Yet, doing so remains slow and cumbersome, often requiring
incompatible software packages. Here, we present PAM (pulsed interleaved
excitation analysis with MATLAB), an open-source software package written in
MATLAB that offers a simple and efficient workflow through its graphical user
interface. PAM is a framework for integrated and robust analysis of fluorescence
ensemble, single-molecule, and imaging data. Although it was originally developed
for the analysis of pulsed interleaved excitation experiments, PAM has since been
extended to support most types of data collection modalities. It combines a
multitude of powerful analysis algorithms, ranging from time- and space
correlation analysis, over single-molecule burst analysis, to lifetime imaging
microscopy, while offering intrinsic support for multicolor experiments. We
illustrate the key concepts and workflow of the software by discussing data
handling and sorting and provide step-by-step descriptions for the individual
usage cases.
PMID- 29642024
TI - Fast-Folding Pathways of the Thrombin-Binding Aptamer G-Quadruplex Revealed by a
Markov State Model.
AB - G-quadruplex structures participate in many important cellular processes. For a
better understanding of their functions, knowledge of the mechanism by which they
fold into the functional native structures is necessary. In this work, we studied
the folding process of the thrombin-binding aptamer G-quadruplex. Enabled by a
computational paradigm that couples an advanced sampling method and a Markov
state model, four folding intermediates were identified, including an
antiparallel G-hairpin, two G-triplex structures, and a double-hairpin
conformation. Likewise, a misfolded structure with a nonnative distribution of
syn/anti guanines was also observed. Based on these states, a transition path
analysis revealed three fast-folding pathways, along which the thrombin-binding
aptamer would fold to the native state directly, with no evidence of potential
nonnative competing conformations. The results also showed that the TGT-loop
plays an important role in the folding process. The findings of this research may
provide general insight about the folding of other G-quadruplex structures.
PMID- 29642026
TI - Preferential Binding of Urea to Single-Stranded DNA Structures: A Molecular
Dynamics Study.
AB - In nature, a wide range of biological processes such as transcription termination
and intermolecular binding depend on the formation of specific DNA secondary and
tertiary structures. These structures can be both stabilized or destabilized by
different cosolutes coexisting with nucleic acids in the cellular environment. In
our molecular dynamics simulation study, we investigate the binding of urea at
different concentrations to short 7-nucleotide single-stranded DNA structures in
aqueous solution. The local concentration of urea around a native DNA hairpin in
comparison to an unfolded DNA conformation is analyzed by a preferential binding
model in light of the Kirkwood-Buff theory. All our findings indicate a
pronounced accumulation of urea around DNA that is driven by a combination of
electrostatic and dispersion interactions and accomplished by a significant
replacement of hydrating water molecules. The outcomes of our study can be
regarded as a first step into a deeper mechanistic understanding toward cosolute
induced effects on nucleotide structures in general.
PMID- 29642025
TI - Measurement of Mesoscale Conformational Dynamics of Freely Diffusing Molecules
with Tracking FCS.
AB - Few techniques are suited to probe the structure and dynamics of molecular
complexes at the mesoscale level (~100-1000 nm). We have developed a single
molecule technique that uses tracking fluorescence correlation spectroscopy
(tFCS) to probe the conformation and dynamics of mesoscale molecular assemblies.
tFCS measures the distance fluctuations between two fluorescently labeled sites
within an untethered, freely diffusing biomolecule. To achieve subdiffraction
spatial resolution, we developed a feedback scheme that allows us to maintain the
molecule at an optimal position within the laser intensity gradient for
fluorescence correlation spectroscopy. We characterized tFCS spatial sensitivity
by measuring the Brownian end-to-end dynamics of DNA molecules as short as 1000
bp. We demonstrate that tFCS detects changes in the compaction of reconstituted
nucleosome arrays and can assay transient protein-mediated interactions between
distant sites in an individual DNA molecule. Our measurements highlight the
applicability of tFCS to a wide variety of biochemical processes involving
mesoscale conformational dynamics.
PMID- 29642027
TI - Modeling the Early Stages of Phase Separation in Disordered Elastin-like
Proteins.
AB - Elastin-like proteins (ELPs) are known to undergo liquid-liquid phase separation
reversibly above a concentration-dependent transition temperature. Previous
studies suggested that, as temperature increases, ELPs experience an increased
propensity for type II beta-turns. However, how the ELPs behave below the phase
transition temperature itself is still elusive. Here, we investigate the
importance of beta-turn formation during the early stages of ELP self
association. We examined the behavior of two ELPs, a 150-repeat construct that
had been investigated previously (ELP[V5G3A2-150] as well as a new 40-repeat
construct (ELP40) suitable for nuclear magnetic resonance measurements.
Structural analysis of ELP40 reveals a disordered conformation, and chemical
shifts throughout the sequence are insensitive to changes in temperature over 20
degrees C. However, a low population of beta-turn conformation cannot be ruled
out based on chemical shifts alone. To examine the structural consequences of
beta-turns in ELPs, a series of structural ensembles of ELP[V5G3A2-150] were
generated, incorporating differing amounts of beta-turn bias throughout the
chain. To mimic the early stages of the phase change, two monomers were paired,
assuming preferential interaction at beta-turn regions. This approach was
justified by the observation that buried hydrophobic turns are commonly observed
to interact in the Protein Data Bank. After dimerization, the ensemble-averaged
hydrodynamic properties were calculated for each degree of beta-turn bias, and
the results were compared with analytical ultracentrifugation experiments at
various temperatures. We find that the temperature dependence of the
sedimentation coefficient (s20,wo) can be reproduced by increasing the beta-turn
content in the structural ensemble. This analysis allows us to estimate the
presence of beta-turns and weak associations under experimental conditions.
Because disordered proteins frequently exhibit weak biases in secondary structure
propensity, these experimentally-driven ensemble calculations may complement
existing methods for modeling disordered proteins generally.
PMID- 29642028
TI - Unwinding of the Substrate Transmembrane Helix in Intramembrane Proteolysis.
AB - Intramembrane-cleaving proteases (I-CLiPs) activate pools of single-pass helical
membrane protein signaling precursors that are key in the physiology of
prokaryotic and eukaryotic cells. Proteases typically cleave peptide bonds within
extended or flexible regions of their substrates, and thus the mechanism
underlying the ability of I-CLiPs to hydrolyze the presumably alpha-helical
transmembrane domain (TMD) of these membrane proteins is unclear. Using deep
ultraviolet resonance Raman spectroscopy in combination with isotopic labeling,
we show that although predominantly in canonical alpha-helical conformation, the
TMD of the established I-CLiP substrate Gurken displays 310-helical geometry. As
measured by microscale thermophoresis, this substrate binds with high affinity to
the I-CLiPs GlpG rhomboid and MCMJR1 presenilin homolog in detergent micelles.
Binding results in deep-ultraviolet resonance Raman spectra, indicating
conformational changes consistent with unwinding of the 310-helical region of the
substrate's TMD. This 310-helical conformation is key for intramembrane
proteolysis, as the substitution of a single proline residue in the TMD of Gurken
by alanine suppresses 310-helical content in favor of alpha-helical geometry and
abolishes cleavage without affecting binding to the I-CLiP. Complemented by
molecular dynamics simulations of the TMD of Gurken, our vibrational spectroscopy
data provide biophysical evidence in support of a model in which the
transmembrane region of cleavable I-CLiP substrates displays local deviations in
canonical alpha-helical conformation characterized by chain flexibility, and
binding to the enzyme results in conformational changes that facilitate local
unwinding of the transmembrane helix for cleavage.
PMID- 29642029
TI - Structural Basis of Protein Kinase Calpha Regulation by the C-Terminal Tail.
AB - Protein kinase C (PKC) isoenzymes are multi-modular proteins activated at the
membrane surface to regulate signal transduction processes. When activated by
second messengers, PKC undergoes a drastic conformational and spatial transition
from the inactive cytosolic state to the activated membrane-bound state. The
complete structure of either state of PKC remains elusive. We demonstrate, using
NMR spectroscopy, that the isolated Ca2+-sensing membrane-binding C2 domain of
the conventional PKCalpha interacts with a conserved hydrophobic motif of the
kinase C-terminal region, and we report a structural model of the complex. Our
data suggest that the C-terminal region plays a dual role in regulating the PKC
activity: activating, through sensitization of PKC to intracellular Ca2+
oscillations; and auto-inhibitory, through its interaction with a conserved
positively charged region of the C2 domain.
PMID- 29642030
TI - Simultaneous Determination of Protein Structure and Dynamics Using Cryo-Electron
Microscopy.
AB - Cryo-electron microscopy is rapidly emerging as a powerful technique to determine
the structures of complex macromolecular systems elusive to other techniques.
Because many of these systems are highly dynamical, characterizing their
movements is also a crucial step to unravel their biological functions. To
achieve this goal, we report an integrative modeling approach to simultaneously
determine structure and dynamics of macromolecular systems from cryo-electron
microscopy density maps. By quantifying the level of noise in the data and
dealing with their ensemble-averaged nature, this approach enables the
integration of multiple sources of information to model ensembles of structures
and infer their populations. We illustrate the method by characterizing structure
and dynamics of the integral membrane receptor STRA6, thus providing insights
into the mechanisms by which it interacts with retinol binding protein and
translocates retinol across the membrane.
PMID- 29642031
TI - DNP-Enhanced MAS NMR: A Tool to Snapshot Conformational Ensembles of alpha
Synuclein in Different States.
AB - Intrinsically disordered proteins dynamically sample a wide conformational space
and therefore do not adopt a stable and defined three-dimensional conformation.
The structural heterogeneity is related to their proper functioning in
physiological processes. Knowledge of the conformational ensemble is crucial for
a complete comprehension of this kind of proteins. We here present an approach
that utilizes dynamic nuclear polarization-enhanced solid-state NMR spectroscopy
of sparsely isotope-labeled proteins in frozen solution to take snapshots of the
complete structural ensembles by exploiting the inhomogeneously broadened line
shapes. We investigated the intrinsically disordered protein alpha-synuclein
(alpha-syn), which plays a key role in the etiology of Parkinson's disease, in
three different physiologically relevant states. For the free monomer in frozen
solution we could see that the so-called "random coil conformation" consists of
alpha-helical and beta-sheet-like conformations, and that secondary chemical
shifts of neighboring amino acids tend to be correlated, indicative of frequent
formation of secondary structure elements. Based on these results, we could
estimate the number of disordered regions in fibrillar alpha-syn as well as in
alpha-syn bound to membranes in different protein-to-lipid ratios. Our approach
thus provides quantitative information on the propensity to sample transient
secondary structures in different functional states. Molecular dynamics
simulations rationalize the results.
PMID- 29642032
TI - Conformation of Single and Interacting Lipopolysaccharide Surfaces Bearing O-Side
Chains.
AB - The outer surfaces of Gram-negative bacteria are composed of lipopolysaccharide
(LPS) molecules exposing oligo- and polysaccharides to the aqueous environment.
This unique, structurally complex biological interface is of great scientific
interest as it mediates the interaction of bacteria with antimicrobial agents as
well as with neighboring bacteria in colonies and biofilms. Structural studies on
LPS surfaces, however, have so far dealt almost exclusively with rough mutant LPS
of reduced molecular complexity and limited biological relevance. Here, by using
neutron reflectometry, we structurally characterize planar monolayers of wild
type LPS from Escherichia coli O55:B5 featuring strain-specific O-side chains in
the presence and absence of divalent cations and under controlled interaction
conditions. The model used for the reflectivity analysis is self-consistent and
based on the volume fraction profiles of all chemical components. The saccharide
profiles are found to be bimodal, with dense inner oligosaccharides and more
dilute, extended O-side chains. For interacting LPS monolayers, we establish the
pressure-distance curve and determine the distance-dependent saccharide
conformation.
PMID- 29642033
TI - Partitioning and Enhanced Self-Assembly of Actin in Polypeptide Coacervates.
AB - Biomolecules exist and function in cellular microenvironments that control their
spatial organization, local concentration, and biochemical reactivity. Due to the
complexity of native cytoplasm, the development of artificial bioreactors and
cellular mimics to compartmentalize, concentrate, and control the local physico
chemical properties is of great interest. Here, we employ self-assembling
polypeptide coacervates to explore the partitioning of the ubiquitous
cytoskeletal protein actin into liquid polymer-rich droplets. We find that actin
spontaneously partitions into coacervate droplets and is enriched by up to ~30
fold. Actin polymerizes into micrometer-long filaments and, in contrast to the
globular protein BSA, these filaments localize predominately to the droplet
periphery. We observe up to a 50-fold enhancement in the actin filament assembly
rate inside coacervate droplets, consistent with the enrichment of actin within
the coacervate phase. Together these results suggest that coacervates can serve
as a versatile platform in which to localize and enrich biomolecules to study
their reactivity in physiological environments.
PMID- 29642034
TI - TnI Structural Interface with the N-Terminal Lobe of TnC as a Determinant of
Cardiac Contractility.
AB - The heterotrimeric cardiac troponin complex is a key regulator of contraction and
plays an essential role in conferring Ca2+ sensitivity to the sarcomere. During
ischemic injury, rapidly accumulating protons acidify the myoplasm, resulting in
markedly reduced Ca2+ sensitivity of the sarcomere. Unlike the adult heart,
sarcomeric Ca2+ sensitivity in fetal cardiac tissue is comparatively pH
insensitive. Replacement of the adult cardiac troponin I (cTnI) isoform with the
fetal troponin I (ssTnI) isoform renders adult cardiac contractile machinery
relatively insensitive to acidification. Alignment and functional studies have
determined histidine 132 of ssTnI to be the predominant source of this pH
insensitivity. Substitution of histidine at the cognate position 164 in cTnI
confers the same pH insensitivity to adult cardiac myocytes. An alanine at
position 164 of cTnI is conserved in all mammals, with the exception of the
platypus, which expresses a proline. Prolines are biophysically unique because of
their innate conformational rigidity and helix-disrupting function. To provide
deeper structure-function insight into the role of the TnC-TnI interface in
determining contractility, we employed a live-cell approach alongside molecular
dynamics simulations to ascertain the chemo-mechanical implications of the
disrupted helix 4 of cTnI where position 164 exists. This important motif belongs
to the critical switch region of cTnI. Substitution of a proline at position 164
of cTnI in adult rat cardiac myocytes causes increased contractility independent
of alterations in the Ca2+ transient. Free-energy perturbation calculations of
cTnC-Ca2+ binding indicate no difference in cTnC-Ca2+ affinity. Rather, we
propose the enhanced contractility is derived from new salt bridge interactions
between cTnI helix 4 and cTnC helix A, which are critical in determining pH
sensitivity and contractility. Molecular dynamics simulations demonstrate that
cTnI A164P structurally phenocopies ssTnI under baseline but not acidotic
conditions. These findings highlight the evolutionarily directed role of the TnI
cTnC interface in determining cardiac contractility.
PMID- 29642035
TI - Probing Position-Dependent Diffusion in Folding Reactions Using Single-Molecule
Force Spectroscopy.
AB - Folding of proteins and nucleic acids involves a diffusive search over a
multidimensional conformational energy landscape for the minimal-energy
structure. When examining the projection of conformational motions onto a one
dimensional reaction coordinate, as done in most experiments, the diffusion
coefficient D is generally position dependent. However, it has proven challenging
to measure such position-dependence experimentally. We investigated the position
dependence of D in the folding of DNA hairpins as a simple model system in two
ways: first, by analyzing the round-trip time to return to a given extension in
constant-force extension trajectories measured by force spectroscopy, and second,
by analyzing the fall time required to reach a given extension in force jump
measurements. These methods yielded conflicting results: the fall time implied a
fairly constant D, but the round-trip time implied variations of over an order of
magnitude. Comparison of experiments with computational simulations revealed that
both methods were strongly affected by experimental artifacts inherent to force
spectroscopy measurements, which obscured the intrinsic position-dependence of D.
Lastly, we applied Kramers's theory to the kinetics of hairpins with energy
barriers located at different positions along the hairpin stem, as a crude probe
of D at different stem positions, and we found that D did not vary much as the
barrier was moved along the reaction coordinate. This work underlines the
difficulties faced when trying to deduce position-dependent diffusion
coefficients from experimental folding trajectories.
PMID- 29642036
TI - Active Mechanics Reveal Molecular-Scale Force Kinetics in Living Oocytes.
AB - Active diffusion of intracellular components is emerging as an important process
in cell biology. This process is mediated by complex assemblies of molecular
motors and cytoskeletal filaments that drive force generation in the cytoplasm
and facilitate enhanced motion. The kinetics of molecular motors have been
precisely characterized in vitro by single molecule approaches, but their in vivo
behavior remains elusive. Here, we study the active diffusion of vesicles in
mouse oocytes, where this process plays a key role in nuclear positioning during
development, and combine an experimental and theoretical framework to extract
molecular-scale force kinetics (force, power stroke, and velocity) of the in vivo
active process. Assuming a single dominant process, we find that the
nonequilibrium activity induces rapid kicks of duration tau ~ 300 MUs resulting
in an average force of F ~ 0.4 pN on vesicles in in vivo oocytes, remarkably
similar to the kinetics of in vitro myosin-V. Our results reveal that measuring
in vivo active fluctuations allows extraction of the molecular-scale activity in
agreement with single-molecule studies and demonstrates a mesoscopic framework to
access force kinetics.
PMID- 29642037
TI - Vinculin Force-Sensitive Dynamics at Focal Adhesions Enable Effective Directed
Cell Migration.
AB - Cell migration is a complex process, requiring coordination of many subcellular
processes including membrane protrusion, adhesion, and contractility. For
efficient cell migration, cells must concurrently control both transmission of
large forces through adhesion structures and translocation of the cell body via
adhesion turnover. Although mechanical regulation of protein dynamics has been
proposed to play a major role in force transmission during cell migration, the
key proteins and their exact roles are not completely understood. Vinculin is an
adhesion protein that mediates force-sensitive processes, such as adhesion
assembly under cytoskeletal load. Here, we elucidate the mechanical regulation of
vinculin dynamics. Specifically, we paired measurements of vinculin loads using a
Forster resonance energy transfer-based tension sensor and vinculin dynamics
using fluorescence recovery after photobleaching to measure force-sensitive
protein dynamics in living cells. We find that vinculin adopts a variety of
mechanical states at adhesions, and the relationship between vinculin load and
vinculin dynamics can be altered by the inhibition of vinculin binding to talin
or actin or reduction of cytoskeletal contractility. Furthermore, the force
stabilized state of vinculin required for the stabilization of membrane
protrusions is unnecessary for random migration, but is required for directional
migration along a substrate-bound cue. These data show that the force-sensitive
dynamics of vinculin impact force transmission and enable the mechanical
integration of subcellular processes. These results suggest that the regulation
of force-sensitive protein dynamics may have an underappreciated role in many
cellular processes.
PMID- 29642038
TI - Evidence against a Role of Elevated Intracellular Ca2+ during Plasmodium
falciparum Preinvasion.
AB - Severe malaria is primarily caused by Plasmodium falciparum parasites during
their asexual reproduction cycle within red blood cells. One of the least
understood stages in this cycle is the brief preinvasion period during which
merozoite-red cell contacts lead to apical alignment of the merozoite in
readiness for penetration, a stage of major relevance in the control of invasion
efficiency. Red blood cell deformations associated with this process were
suggested to be active plasma membrane responses mediated by transients of
elevated intracellular calcium. Few studies have addressed this hypothesis
because of technical challenges, and the results remained inconclusive. Here,
Fluo-4 was used as a fluorescent calcium indicator with optimized protocols to
investigate the distribution of the dye in red blood cell populations used as P.
falciparum invasion targets in egress-invasion assays. Preinvasion dynamics was
observed simultaneously under bright-field and fluorescence microscopy by
recording egress-invasion events. All the egress-invasion sequences showed red
blood cell deformations of varied intensities during the preinvasion period and
the echinocytic changes that follow during invasion. Intraerythrocytic calcium
signals were absent throughout this interval in over half the records and totally
absent during the preinvasion period, regardless of deformation strength. When
present, calcium signals were of a punctate modality, initiated within merozoites
already poised for invasion. These results argue against a role of elevated
intracellular calcium during the preinvasion stage. We suggest an alternative
mechanism of merozoite-induced preinvasion deformations based on passive red cell
responses to transient agonist-receptor interactions associated with the
formation of adhesive coat filaments.
PMID- 29642039
TI - Cell-Cell Adhesion and Cortical Actin Bending Govern Cell Elongation on
Negatively Curved Substrates.
AB - Physiologically, cells experience and respond to a variety of mechanical stimuli
such as rigidity and topography of the extracellular matrix. However, little is
known about the effects of substrate curvature on cell behavior. We developed a
novel, to our knowledge, method to fabricate cell culture substrates with
semicylindrical grooves of negative curvatures (radius of curvature, Rc = 20-100
MUm). We found that negative substrate curvatures induced elongation of
mesenchymal and epithelial cells along the cylinder axis. As Rc decreases,
mesenchymal National Institutes of Health 3T3 fibroblasts increasingly elongate
along the long axis of the grooves, whereas elongation of epithelial Madin-Darby
Canine Kidney (MDCK) cells is biphasic with maximal cell elongation when Rc = 40
MUm. Addition of blebbistatin to MDCK cells to reduce cortical actin rigidity
resulted in a decrease in cell elongation across all curvatures while preserving
the biphasic trend. However, addition of calyculin A or ethylene glycol-bis(2
aminoethylether)-N,N,N',N'-tetraacetic acid, to increase cortical rigidity or
reduce intercellular adhesion, respectively, resulted in a monotonic increase in
MDCK cell elongation with decreasing Rc. Using an energy minimization model, we
showed that cell elongation in epithelial cell sheet is governed by the
competition between two energies as Rc decreases: curvature-dependent
intercellular adhesion that prevents elongation; and intracellular cortical actin
bending that enhances elongation. Therefore, our results of cellular elongation
induced by negatively curved substrates offer insights into how tubule elongation
or growth of tubular structures such as kidney tubules can be controlled by the
substrate curvature in vivo.
PMID- 29642040
TI - Effects of mRNA Degradation and Site-Specific Transcriptional Pausing on Protein
Expression Noise.
AB - Genetically identical cells exhibit diverse phenotypes even when experiencing the
same environment. This phenomenon in part originates from cell-to-cell
variability (noise) in protein expression. Although various kinetic schemes of
stochastic transcription initiation are known to affect gene expression noise,
how posttranscription initiation events contribute to noise at the protein level
remains incompletely understood. To address this question, we developed a
stochastic simulation-based model of bacterial gene expression that integrates
well-known dependencies between transcription initiation, transcription
elongation dynamics, mRNA degradation, and translation. We identified realistic
conditions under which mRNA lifetime and transcriptional pauses modulate the
protein expression noise initially introduced by the promoter architecture. For
instance, we found that the short lifetime of bacterial mRNAs facilitates the
production of protein bursts. Conversely, RNA polymerase (RNAP) pausing at
specific sites during transcription elongation can attenuate protein bursts by
fluidizing the RNAP traffic to the point of erasing the effect of a bursty
promoter. Pause-prone sites, if located close to the promoter, can also affect
noise indirectly by reducing both transcription and translation initiation due to
RNAP and ribosome congestion. Our findings highlight how the interplay between
transcription initiation, transcription elongation, translation, and mRNA
degradation shapes the distribution in protein numbers. They also have
implications for our understanding of gene evolution and suggest combinatorial
strategies for modulating phenotypic variability by genetic engineering.
PMID- 29642041
TI - Mechanical Model of Nuclei Ordering in Drosophila Embryos Reveals Dilution of
Stochastic Forces.
AB - During the initial development of syncytial embryos, nuclei go through cycles of
nuclear division and spatial rearrangement. The arising spatial pattern of nuclei
is important for subsequent cellularization and morphing of the embryo. Although
nuclei are contained within a common cytoplasm, cytoskeletal proteins are
nonuniformly packaged into regions around every nucleus. In fact, cytoskeletal
elements like microtubules and their associated motor proteins exert stochastic
forces between nuclei, actively driving their rearrangement. Yet, it is unknown
how the stochastic forces are balanced to maintain nuclear order in light of
increased nuclear density upon every round of divisions. Here, we investigate the
nuclear arrangements in Drosophila melanogaster over the course of several
nuclear divisions starting from interphase 11. We develop a theoretical model in
which we distinguish long-ranged passive forces due to the nuclei as inclusions
in the elastic matrix, namely the cytoplasm, and active, stochastic forces
arising from the cytoskeletal dynamics mediated by motor proteins. We perform
computer simulations and quantify the observed degree of orientational and
spatial order of nuclei. Solely doubling the nuclear density upon nuclear
division, the model predicts a decrease in nuclear order. Comparing results to
experimental recordings of tracked nuclei, we make contradictory observations,
finding an increase in nuclear order upon nuclear divisions. Our analysis of
model parameters resulting from this comparison suggests that overall motor
protein density as well as relative active-force amplitude has to decrease by a
factor of about two upon nuclear division to match experimental observations. We
therefore expect a dilution of cytoskeletal motors during the rapid nuclear
division to account for the increase in nuclear order during syncytial embryo
development. Experimental measurements of kinesin-5 cluster lifetimes support
this theoretical finding.
PMID- 29642042
TI - Coexistence and Pattern Formation in Bacterial Mixtures with Contact-Dependent
Killing.
AB - Multistrain microbial communities often exhibit complex spatial organization that
emerges because of the interplay of various cooperative and competitive
interaction mechanisms. One strong competitive mechanism is contact-dependent
neighbor killing enabled by the type VI secretion system. It has been previously
shown that contact-dependent killing can result in bistability of bacterial
mixtures so that only one strain survives and displaces the other. However, it
remains unclear whether stable coexistence is possible in such mixtures. Using a
population dynamics model for two interacting bacterial strains, we found that
coexistence can be made possible by the interplay of contact-dependent killing
and long-range growth inhibition, leading to the formation of various cellular
patterns. These patterns emerge in a much broader parameter range than that
required for the linear Turing-like instability, suggesting this may be a robust
mechanism for pattern formation.
PMID- 29642043
TI - CPEB4 regulates glioblastoma cell proliferation and predicts poor outcome of
patients.
AB - OBJECTIVE: Cytoplasmic polyadenylation element binding protein 4 (CPEB4) is a
regulator of gene expression at transcriptional level and has been reported to be
associated with biological malignancy in cancers. However, little was known about
the correlation between CPEB4 and glioblastoma cell proliferation and the
prognostic significance in patients. Our aim was to investigate the functional
role and prognostic value of CPEB4 in glioblastoma. PATIENTS AND METHODS: We
determined the expression of CPEB4 protein using immunohistochemistry in tissue
microarrays containing 278 glioma patients (including 98 primary glioblastomas)
and evaluated its association with pathological grades and clinical outcome by
univariate and multivariate analyses. And then, lentiviral-mediated RNAi
targeting CPEB4 was utilized to study the role of CPEB4 in glioblastoma cell
proliferation. RESULTS: In our cohort, CPEB4 expression was positively related to
glioma pathological grade (p < 0.01) and elevated in glioblastoma (p < 0.01).
High expression of CPEB4 was associated with significantly poor prognosis, and
could be identified as an independent risk factor for overall survival (OS) and
progression-free survival (PFS) of glioblastoma patients (hazard ratio (HR) =
1.730, p = 0.014 and HR = 1.877, p = 0.004, respectively). In vitro studies
further showed that downregulation of CPEB4 significantly reduced the growth rate
of T98G and U251 cells comparing with the controls. CONCLUSION: Our study
indicated that increased expression of CPEB4 in primary glioblastoma is a novel
biomarker for predicting poor outcome of patients and suppression of CPEB4
inhibit tumor cell proliferation, suggesting a potential therapeutic target for
glioblastoma.
PMID- 29642045
TI - Effects of different Ca2+ level on fluoride-induced apoptosis pathway of
endoplasmic reticulum in the rabbit osteoblast in vitro.
AB - In reviewing the literature, the cellular mechanism of fluoride F-induced
osteoblast OB cells apoptosis is diverse and perplexing, but detailed regulatory
pathway, targets and role of extracellular Ca2+ remains still unclear. Hence, in
the present study, we investigated the effects of F (9 mg/L F ion) and different
Ca2+ (0.5, 1, 2, 4, 8 mmol/L) levels treatment on the proliferation rate of
osteoblast cells, intracellular free Ca2+ ([Ca2+]i) and endoplasmic reticulum
(ER) stress apoptosis pathway related gene levels of rabbit OB cells. Our results
demonstrated that F exposure had a pronounced negative effect on osteoblast
survival, further different Ca2+ levels treatment suggested that low
concentration of Ca2+ (0.5-1 mmol/L) relieved the damaged effect, on the
contrary, high concentration of Ca2+ (2-8 mmol/L) enhanced the effect. In
addition, F significantly increased [Ca2+]i levels and the expression of ER
stress-induced cell apoptosis pathway related genes. Treatment with 0.5-1 mmol/L
Ca2+ markedly reversed the F-induced harmful effects, but high dose Ca2+ (2-8
mmol/L) enhanced these effects. In summary, 0.5-1 mmol/L Ca2+ can alleviate F
induced OB cells injure through ER stress apoptosis pathway, which provided a
dose basis for the future study on the treatment of skeletal fluorosis with Ca2+.
PMID- 29642044
TI - High-speed 3D imaging of cellular activity in the brain using axially-extended
beams and light sheets.
AB - As optical reporters and modulators of cellular activity have become increasingly
sophisticated, the amount that can be learned about the brain via high-speed
cellular imaging has increased dramatically. However, despite fervent innovation,
point-scanning microscopy is facing a fundamental limit in achievable 3D imaging
speeds and fields of view. A range of alternative approaches are emerging, some
of which are moving away from point-scanning to use axially-extended beams or
sheets of light, for example swept confocally aligned planar excitation (SCAPE)
microscopy. These methods are proving effective for high-speed volumetric imaging
of the nervous system of small organisms such as Drosophila (fruit fly) and D.
Rerio (Zebrafish), and are showing promise for imaging activity in the living
mammalian brain using both single and two-photon excitation. This article
describes these approaches and presents a simple model that demonstrates key
advantages of axially-extended illumination over point-scanning strategies for
high-speed volumetric imaging, including longer integration times per voxel,
improved photon efficiency and reduced photodamage.
PMID- 29642046
TI - DNA-functionalized gold nanoparticle-based fluorescence polarization for the
sensitive detection of silver ions.
AB - Despite their practical applications, Ag+ ions are environmental pollutants and
affect human health. So the effective detection methods of Ag+ ions are
imperative. Herein, we developed a simple, sensitive, selective, and cost
effective fluorescence polarization sensor for Ag+ detection in aqueous solution
using thiol-DNA-functionalized gold nanoparticles (AuNPs). In this sensing
strategy, Ag+ ions can specifically interact with a cytosine-cytosine (CC)
mismatch in DNA duplexes and form stable metal-mediated cytosine-Ag+-cytosine (C
Ag+-C) base pairs. The formation of the C-Ag+-C complex results in evident
changes in the molecular volume and fluorescence polarization signal. To achieve
our aims, we prepared two complementary DNA strands containing C-base mismatches
(probe A: 5'-SH-A10-TACCACTCCTCAC-3' and probe B: 5'-TCCTCACCAGTCCTA-FAM-3'). The
stable hybridization between probe A and probe B occurs with the formation of the
C-Ag+-C complex in the presence of Ag+ ions, leading to obvious fluorescence
quenching in comparison to the system without AuNP enhancement. The assay can be
used to identify nanomolar levels of Ag+ within 6 min at room temperature, and
has extremely high specificity for Ag+, even in the presence of higher
concentrations of interfering metal ions. Furthermore, the sensor was
successfully applied to the detection of Ag+ ions in environmental water samples
and showed excellent selectivity and high sensitivity, implying its promising
application in the future.
PMID- 29642047
TI - Physico-chemical and microbial perturbations of Andalusian pine forest soils
following a wildfire.
AB - Wildfires are a recurrent disturbance in Mediterranean forests, triggered by high
fuel load, high environmental temperature and low humidity. Although, human
intervention is behind the initiation of most fire episodes, the situation is
likely to worsen in the future due to the effects of climate change in the
Mediterranean "hot-spot". Here we study chemical, physical and microbial
characteristics of burnt soils from two well differentiated sites at Sierra de
Cazorla, Segura and Las Villas Natural Park, Andalusia, (Spain) affected and
unaffected by a wildfire, and followed their evolution for three years. The soils
affected by a severe surface burn showed a significant increase in organic matter
after 3years from the fire. Viable bacteria and fungi also increased, especially
2-3years post-burning. Substrate induced respiration (SIR) also increased
significantly in burnt soil from site 1 (rendzina on carbonate) while a
significant decrease was observed in the burnt soils sampled from site 2 (calcic
luvisols) in samples taken one month after the wildfire. A recovery in both SIR
and organic matter was observed after 2 and 3years. Of seven soil enzymes
studied, only phosphatase activity was significantly higher in most burnt soils
over the three years. Analysis of bacterial community diversity using clone
libraries showed a recovery in the number of phyla in burnt soils after 2 and
3years in both sites, with an increase in Proteobacteria and Firmicutes and a
decrease in Acidobacteria phyla. For Bacteroidetes, the percentages were lower in
most burnt samples. This study reveals that if wildfire increases the organic
matter availability, then the microbial community responds with increased
activity and biomass production. Although fire exerts an initial impact on the
soil bacterial community, its structure and functional profile soon recovers
(after 2-3years) contributing to soil recovery.
PMID- 29642048
TI - Road traffic air and noise pollution exposure assessment - A review of tools and
techniques.
AB - Road traffic induces air and noise pollution in urban environments having
negative impacts on human health. Thus, estimating exposure to road traffic air
and noise pollution (hereafter, air and noise pollution) is important in order to
improve the understanding of human health outcomes in epidemiological studies.
The aims of this review are (i) to summarize current practices of modelling and
exposure assessment techniques for road traffic air and noise pollution (ii) to
highlight the potential of existing tools and techniques for their combined
exposure assessment for air and noise together with associated challenges,
research gaps and priorities. The study reviews literature about air and noise
pollution from urban road traffic, including other relevant characteristics such
as the employed dispersion models, Geographic Information System (GIS)-based
tool, spatial scale of exposure assessment, study location, sample size, type of
traffic data and building geometry information. Deterministic modelling is the
most frequently used assessment technique for both air and noise pollution of
short-term and long-term exposure. We observed a larger variety among air
pollution models as compared to the applied noise models. Correlations between
air and noise pollution vary significantly (0.05-0.74) and are affected by
several parameters such as traffic attributes, building attributes and
meteorology etc. Buildings act as screens for the dispersion of pollution, but
the reduction effect is much larger for noise than for air pollution. While,
meteorology has a greater influence on air pollution levels as compared to noise,
although also important for noise pollution. There is a significant potential for
developing a standard tool to assess combined exposure of traffic related air and
noise pollution to facilitate health related studies. GIS, due to its geographic
nature, is well established and has a significant capability to simultaneously
address both exposures.
PMID- 29642049
TI - Transformation of methylparaben during water chlorination: Effects of bromide and
dissolved organic matter on reaction kinetics and transformation pathways.
AB - The reaction kinetics, products, and pathways of methylparaben (MeP) during water
chlorination with and without bromide (Br-) were investigated to better
understand the fate of parabens in chlorinated waters. During the chlorination of
MeP-spiked waters without Br-, MeP was transformed into mono-Cl-MeP and di-Cl-MeP
with apparent second-order rate constants (kapp) of 64M-1s-1 and 243M-1s-1 at
pH7, respectively, while further chlorination of di-Cl-MeP was relatively slower
(kapp=1.3M-1s-1 at pH7). With increasing Br- concentration, brominated MePs, such
as mono-Br-MeP, Br-Cl-MeP, and di-Br-MeP, became major transformation products.
The di-halogenated MePs (di-Cl-MeP, Br,Cl-MeP, and di-Br-MeP) showed relatively
low reactivity to chlorine at pH7 (kapp=1.3-4.6M-1s-1) and bromine (kapp=32-71M
1s-1), which explains the observed high stability of di-halogenated MePs in
chlorinated waters. With increasing pH from 7 to 8.5, the transformation of di
halogenated MePs was further slowed due to the decreasing reactivity of di-MePs
to chlorine. The formation of the di-halogenated MePs and their further
transformation become considerably faster at Br- concentrations higher than
0.5MUM (40MUg/L). Nonetheless, the accelerating effect of Br- diminishes in the
presence of dissolved organic matter (DOM) extract (Suwannee River humic acid
(SRHA)) due to a more rapid consumption of bromine by DOM than chlorine. The
effect of Br- on the fate of MeP was less in the tested real water matrices,
possibly due to a more rapid bromine consumption by the real water DOM compared
to SRHA. A kinetic model was developed based on the determined species-specific
second-order rate constants for chlorination/bromination of MeP and its
chlorinated and brominated MePs and the transformation pathway information, which
could reasonably simulate the transformation of MePs during the chlorination of
water in the presence of Br- and selected DOM.
PMID- 29642050
TI - Metals in geopropolis from beehive of Melipona scutellaris in urban environments.
AB - Geopropolis, a different type of propolis, presents a mixture of resin and
exudates, containing wax, silt, and sand particles. This product has been the
subject of research interest for its physicochemical properties, economic
importance, and likely for environmental monitoring. The determination of toxic
metals in hive products has been reported as an efficient tool for environmental
monitoring. As the honey production is now common in urban environments, this
study aimed to determine the concentration of toxic metals in the Melipona
scutellaris geopropolis of the Metropolitan Region of Salvador, Bahia.
Geopropolis and soil samples were collected from seven important beehives between
June 2015 and July 2016. After EPA 3050B acid digestion procedure, metals were
determined by ICP OES. As the geopropolis is partially made from soil, the values
of Cr and Fe were extremely more important than the values reported in propolis,
wax, and honey found in other worldwide studies. It gives different
characteristics to this product depending on the location of the hive and
characterizes it as an efficient integrating indicator of soil pollution. Using
the enrichment factor, we determined that the soils around the meliponary are not
or only slightly polluted by Cu, Cr, Ni, Pb and Zn. However, there was a shift in
the particle size of geopropolis, being loamier and less sandy than the
surrounding soil. In such case, a higher metal content could be expected, though
no metal enrichment in the geopropolis was found, even a decrease in zinc,
possibly due to the exclusion of metals by bees, was noted. Nevertheless, the
results on the proportions of lithogenic metal and anthropogenic metal indicate
that some metals have an external origin (about 20% for Ni and Cu). Geopropolis
can be considered as a good environmental indicator even in low contaminated
areas.
PMID- 29642052
TI - Self-resistance mechanisms to DNA-damaging antitumor antibiotics in
actinobacteria.
AB - Streptomyces and few other Actinobacteria naturally produce compounds currently
used in chemotherapy for being cytotoxic against various types of tumor cells by
damaging the DNA structure and/or inhibiting DNA functions. DNA-damaging
antitumor antibiotics belong to different classes of natural compounds that are
structurally unrelated such as anthracyclines, bleomycins, enediynes, mitomycins,
and prodiginines. By targeting a ubiquitous molecule and housekeeping functions,
these compounds are also cytotoxic to their producer. How DNA-damaging antitumor
antibiotics producing actinobacteria avoid suicide is the theme of the current
review which illustrates the different strategies developed for self-resistance
such as toxin sequestration, efflux, modification, destruction, target
repair/protection, or stochastic activity. Finally, the observed spatio-temporal
correlation between cell death, morphogenesis, and prodiginine production in S.
coelicolor suggests a new physiological role for these molecules, that, together
with their self-resistance mechanisms, would function as new types of toxin
antitoxin systems recruited in programmed cell death processes of the producer.
PMID- 29642051
TI - Transcriptome sequencing dissection of the mechanisms underlying differential
cold sensitivity in young and mature leaves of the tea plant (Camellia sinensis).
AB - The tea plant originated in tropical and subtropical regions and experiences
considerable challenges during cold winters and late spring frosts. After short
term chilling stress, young leaves of tea plants exhibit browning, a significant
increase in electrolyte leakage and a marked decrease in the maximal
photochemical efficiency of photosystem II (Fv/Fm) compared with mature leaves.
To identify the mechanisms underlying the different chilling tolerance between
young and mature leaves of the tea plant, we used Illumina RNA-Seq technology to
analyse the transcript expression profiles of young and mature leaves exposed to
temperatures of 20 degrees C, 4 degrees C, and 0 degrees C for 4 h. A total of
45.70-72.93 million RNA-Seq raw reads were obtained and then de novo assembled
into 228,864 unigenes with an average length of 601 bp and an N50 of 867 bp. In
addition, the differentially expressed unigenes were identified via Venn diagram
analyses for paired comparisons of young and mature leaves. Functional
classifications based on Gene Ontology and Kyoto Encyclopedia of Genes and
Genomes enrichment analyses revealed that the up-regulated differentially
expressed genes were predominantly related to the cellular component terms of
chloroplasts and cell membranes, the biological process term of oxidation
reduction process as well as the pathway terms of glutathione metabolism and
photosynthesis, suggesting that these components and pathways may contribute to
the cold hardiness of mature leaves. Conversely, the inhibited expression of
genes related to cell membranes, carotenoid metabolism, photosynthesis, and ROS
detoxification in young leaves under cold conditions might lead to the
disintegration of cell membranes and oxidative damage to the photosynthetic
apparatus. Further quantitative real-time PCR testing validated the reliability
of our RNA-Seq results. This work provides valuable information for understanding
the mechanisms underlying the cold susceptibility of young tea plant leaves and
for breeding tea cultivars with superior frost resistance via the genetic
manipulation of antioxidant enzymes.
PMID- 29642053
TI - Current status of Severe Fever with Thrombocytopenia Syndrome vaccine
development.
AB - Severe Fever with Thrombocytopenia Syndrome (SFTS) is a new emerging tick-borne
disease caused by the phlebovirus, SFTS virus (SFTSV). The virus was discovered
in central China in 2009 and has since been identified in both Japan and South
Korea. Significant progress has been made on the molecular biology of the virus,
and this has been used to develop diagnostic assays and reagents. Less progress
has been made on the epidemiology, maintenance and transmission, clinical
manifestations, immunological responses, and treatment regimens. A number of
animal models have been investigated but, to date, none recapitulate all the
clinical manifestations seen in humans. Vaccine development is at an early
discovery phase.
PMID- 29642054
TI - Supplementing research ethics training in psychiatry residents: A five-tier
approach.
AB - BACKGROUND: Ethics training is a key step in the research supervision of
psychiatry trainees and there is need for a structured educational module.
METHODS: We developed a new research ethics training module for psychiatry
residents - The Five-Tier Approach. Twenty-five first year psychiatry residents
of an academic psychiatric training centre in India participated in this multi
session workshop. Module 1 included the completion of NIH online certification
course for research ethics training. Module 2 was a one-hour interactive group
discussion on ethical principles in research. Module 3 was a two-hour session
consisting of case-based group discussion of nine selected research vignettes.
Module 4 involved preparation of an informed consent form. Module 5 was a mock
ethics committee role-played by seven students while the larger group observed
using a Fish Bowl technique and provided feedback. Assessments were done during
the third and final modules. RESULTS: During the third module, understanding
regarding certain areas - autonomy, benefits and justice was found to be
inadequate. In the final step, all ethical aspects were covered by the students.
DISCUSSION: This five-tier approach seems like a superior tool for research
ethics training in academic institutions, especially in Southeast Asia, where the
student-teacher ratios are generally very high.
PMID- 29642055
TI - Increased Group 2 Innate Lymphoid Cells Are Correlated with Eosinophilic
Granulocytes in Patients with Allergic Airway Inflammation.
AB - The T helper 2 (Th2)-type response was considered the hypostasis of allergic
airway diseases, including asthma and allergic rhinitis (AR). However, more
recent studies have suggested that allergic airway inflammation also depends on
innate immunity and is closely related to group 2 innate lymphoid cells (ILC2s).
This study evaluated the ILC2 levels of asthma subjects, patients with asthma and
AR, and healthy individuals, regarding how to investigate the relationship
between clinical data and ILC2 levels. It was found that asthma patients and
asthma with AR patients had higher ILC2 levels compared to healthy subjects.
ILC2s were positively correlated with the percentage of eosinophils in patients
with asthma and AR, but not with pulmonary function. ILC2 levels were higher in
mild asthma subjects than in patients with severe asthma. This study provides a
new interpretation of the pathogenesis of allergic airway inflammation and may
provide a new direction for the diagnosis and assessment of allergic airway
diseases.
PMID- 29642056
TI - Optimizing the Use of a Voided Urine Cytology Specimen as Control Material for
Anti-BK Virus Immunohistochemical Staining.
PMID- 29642057
TI - In utero Fetal Intubation for a Large Neck Mass: A Minimally Invasive EXIT
Option.
AB - Fetuses with obstructive neck and orofacial lesions have been delivered via an ex
utero intrapartum treatment (EXIT) procedure to facilitate securement of the
airway while on placental circulation. Pregnancy-related cardiovascular changes
and technical issues unique to an EXIT procedure increase fetal and maternal
risks relative to a standard cesarean section. In order to circumvent such
issues, fetal endoscopic intubation has been proposed. We report a case of a
fetus with a large neck mass (mixed solid and multiloculated cystic lesion
measuring 9.2 * 5.3 * 8.5 cm, neck hyperextension, protruding tongue, and serial
gagging movements) that was successfully intubated in utero and delivered at 36
weeks and 0 days via standard cesarean section, thereby avoiding an EXIT
procedure. The risks, benefits, and technical issues of in utero tracheal
intubation are reviewed.
PMID- 29642058
TI - Cytological Findings of Malignant and Benign Head and Neck Masses in Somalia.
AB - OBJECTIVE: There are no up-to-date records on head and neck masses (HNMs) in
Somalia. This cytological study is the first to demonstrate the benefits and
findings of fine-needle aspiration cytology in evaluating HNMs in the adult
population of Somalia. STUDY DESIGN: A total of 116 aspiration samples were taken
from different levels of the neck region, except for the thyroid. Cases were
classified as salivary gland, lymph node, or soft tissue/cystic lesions. They
were classified according to age, gender, and cytological diagnosis. RESULTS:
Patients included 54 (46.6%) males and 62 (53.4%) females, with a mean age of
40.6 years. Seventy-two patients (62.1%) had benign lesions, while 44 (37.9%) had
malignant lesions. Necrotizing granulomatous lymphadenitis (n = 51, 70.8% of the
benign findings) and lymph node metastasis of squamous cell carcinoma (n = 13,
29.5% of the malignant findings) were the most frequent findings. CONCLUSION:
Fine-needle aspiration is a useful procedure in the diagnosis of neck masses. It
is a cheap and easy guiding method for diagnosing granulomatous lymphadenitis and
advanced-stage metastatic cancers, which are common in this country.
PMID- 29642059
TI - Experiences Of Individuals Concerning Combined Orthodontic and Orthognathic
Surgical Treatment: A Qualitative Twitter Analysis.
AB - OBJECTIVE: The aim of this qualitative study was to analyze the content of posts
on Twitter in order to gain an in-depth understanding of patients' thoughts and
experiences surrounding orthognathic surgical treatment. MATERIALS AND METHODS:
Using the Twitter search function, with the keywords "jaw surgery," the 1,000
most recent posts on Twitter with relevance to a combined orthodontic and
orthognathic surgical treatment were extracted. After applying relevant inclusion
and exclusion criteria, the selected posts were analyzed using thematic analysis
by 2 independent investigators. Distinct themes and subthemes were developed.
RESULTS: A total of 689 posts were analyzed; the 3 main themes identified in
relation to orthognathic surgery were preoperative engagement, postoperative
difficulties, and posttreatment satisfaction. Twelve subthemes were also
identified, expressing issues such as anticipation or apprehension of the
surgical procedure, postoperative pain and edema, dietary restrictions and weight
loss, paresthesia, depression, and satisfaction with improvements in appearance
and self-confidence. The 6 terms most frequently used in tweets were "recovery,"
"braces," "swollen," "eat," "liquid diet," and "pain." CONCLUSIONS: The findings
from the present study can increase the awareness of clinicians involved in the
combined orthodontic and orthognathic surgical treatment of patients with
dentofacial deformities, allowing them to better educate and counsel their
patients throughout the entire treatment process.
PMID- 29642061
TI - Higher Incidence Rates of Hypothyroidism and Late TSH Rise in Preterm Very-Low
Birth-Weight Infants at a Tertiary Care Center.
AB - BACKGROUND/AIMS: Preterm newborns with a very low birth weight (VLBW) of < 1,500
g have an atypical form of hypothyroidism with a delayed rise in TSH,
necessitating a second newborn screening specimen collection. The aims of this
study were to survey the compliance with second newborn screening to detect
delayed TSH rise in VLBW preterm infants at a tertiary care center, and to
determine the rate of atypical hypothyroidism. METHODS: Retrospective review of
the records of 104 preterm VLBW infants. Late TSH rise was defined as an increase
in TSH concentration after 14 days of age in the presence of a normal initial
screen. RESULTS: The compliance rate was 92% for the second screening. High rates
of hypothyroidism (16.3%) and of late TSH rise (4.8%) were detected. Patients
with hypothyroidism had a significantly lower birth weight (p = 0.01) and longer
hospital stay (p = 0.004). Patients with late versus those with early TSH rise
had a significantly lower mean birth weight (851 +/- 302 vs. 1,191 +/- 121 g, p =
0.004). CONCLUSION: The rates of early and late TSH rise in this VLBW population
were higher than those in the literature and could be due to the use of povidone
iodine disinfectants. The yield of a second TSH screening in this study was high
indicating the need for vigilance in screening VLBW preterm infants.
PMID- 29642060
TI - Metabolic Syndrome Severity and Risk of CKD and Worsened GFR: The Jackson Heart
Study.
AB - BACKGROUND/AIMS: The metabolic syndrome (MetS), as assessed using dichotomous
criteria, is associated with increased risk of future chronic kidney disease
(CKD), though this relationship is unclear among African Americans, who have
lower risk for MetS but higher risk for CKD. METHODS: We performed logistic
regression using a sex- and race-specific MetS-severity z-score to assess risk of
incident CKD among 2,627 African-American participants of the Jackson Heart
Study, assessed at baseline and 8 years later. Based on quartile of baseline MetS
severity, we further assessed prevalence of being in the lowest quartile of
baseline GFR, the lowest quartile of relative GFR at follow-up, microalbuminuria
and incident CKD. RESULTS: Higher MetS-severity was associated with higher
prevalence of GFR in the lowest quartile at baseline among males and females.
Among African-American females but not males, higher baseline MetS-severity was
associated with a higher prevalence of baseline elevations in microabuminuria
(p<0.01), steep decline in GFR (p<0.001) and a higher incidence of CKD
(p<0.0001). Women in increasing quartiles of baseline MetS-severity exhibited a
linear trend toward higher odds of future CKD (p<0.05), with those in the 4th
quartile of MetS-severity (compared to the 1st) having an odds ratio of 2.47 (95%
confidence interval 1.13, 5.37); no such relationship was seen among men (p value
for trend 0.49). CONCLUSION: MetS-severity exhibited sex-based interactions
regarding risk for future GFR deterioration and CKD, with increasing risk in
women but not men. These data may have implications for triggering CKD screening
among African-American women with higher degrees of MetS-severity.
PMID- 29642063
TI - Therapy for Immunoglobulin D Plasma Cell Myeloma.
PMID- 29642062
TI - Dexamethasone Intravitreal Implant for Early Treatment and Retreatment of Macular
Edema Related to Branch Retinal Vein Occlusion: The Multicenter COBALT Study.
AB - PURPOSE: To evaluate the effect of dexamethasone intravitreal implant for macular
edema (ME) following branch retinal vein occlusion (BRVO) in Korean patients.
METHODS: We performed a prospective, open-label, multicenter study of 71 patients
with ME for < 3 months. Retreatment was allowed >=4 months from the last
injection. RESULTS: At 6 and 12 months, mean +/- SD best-corrected visual acuity
(BCVA) improvement was 18.6 +/- 12.9 and 15.3 +/- 15.0 letters, respectively.
Approximately 70% of maximum treatment response was observed after 1 week. Over
the 12-month period, 32 and 49% of patients received 1 and 3 injections,
respectively, with a mean +/- SD interval of 20.0 +/- 5.0 weeks. Patients who
required 3 injections had higher central retinal thickness (CRT) and larger
macular nonperfusion at baseline compared to those requiring only 1 injection.
Adverse events included increased intraocular pressure (35%) and newly diagnosed
cataract (16%). CONCLUSIONS: Intravitreal dexamethasone treatment with an
interval of >=4 months provides rapid and significantly better improvement in
BCVA and CRT in patients with BRVO-associated ME.
PMID- 29642064
TI - Integrative Bioinformatics Analysis Provides Insight into the Molecular
Mechanisms of Chronic Kidney Disease.
AB - BACKGROUND/AIMS: Chronic kidney disease (CKD) is a worldwide public health
problem. Regardless of the underlying primary disease, CKD tends to progress to
end-stage kidney disease, resulting in unsatisfactory and costly treatment. Its
common pathogenesis, however, remains unclear. The aim of this study was to
provide an unbiased catalog of common gene-expression changes of CKD and reveal
the underlying molecular mechanism using an integrative bioinformatics approach.
METHODS: We systematically collected over 250 Affymetrix microarray datasets from
the glomerular and tubulointerstitial compartments of healthy renal tissues and
those with various types of established CKD (diabetic kidney disease,
hypertensive nephropathy, and glomerular nephropathy). Then, using stringent
bioinformatics analysis, shared differentially expressed genes (DEGs) of CKD were
obtained. These shared DEGs were further analyzed by the gene ontology (GO) and
pathway enrichment analysis. Finally, the protein-protein interaction
networks(PINs) were constructed to further refine our results. RESULTS: Our
analysis identified 176 and 50 shared DEGs in diseased glomeruli and tubules,
respectively, including many transcripts that have not been previously reported
to be involved in kidney disease. Enrichment analysis also showed that the
glomerular and tubulointerstitial compartments underwent a wide range of unique
pathological changes during chronic injury. As revealed by the GO enrichment
analysis, shared DEGs in glomeruli were significantly enriched in exosomes. By
constructing PINs, we identified several hub genes (e.g. OAS1, JUN, and FOS) and
clusters that might play key roles in regulating the development of CKD.
CONCLUSION: Our study not only further reveals the unifying molecular mechanism
of CKD pathogenesis but also provides a valuable resource of potential biomarkers
and therapeutic targets.
PMID- 29642065
TI - A Pathogenetic Classification of Diabetic Macular Edema.
AB - PURPOSE: The aim of this study was to define a new pathogenetic classification of
diabetic macular edema (DME) and to present the results of its application in
common clinical practice. METHODS: One hundred and seventy-seven consecutive
patients with center-involving DME, central retinal thickness (CRT) >=250 um,
were prospectively enrolled. A complete ophthalmological examination included
best-corrected visual acuity (BCVA) assessment, fundus photography, and spectral
domain optical coherence tomography (OCT). The DME classification was broken down
into 4 categories, combining the presence of retinal thickening with the
presence/absence of visible vascular dilations and OCT-detectable macular
traction. The OCT parameters included were as follows: CRT, subretinal fluid,
intraretinal cysts, and hyper- reflective foci (HF). RESULTS: Four subtypes of
DME were identified: vasogenic (131 eyes, DME with vascular dilation),
nonvasogenic (46 eyes, DME without vascular dilation), tractional (11 eyes), and
mixed DME (13 eyes). Vasogenic DME was the pattern mainly represented in each
subclass of CRT (< 300, 300-400, and > 400 um), with tractional DME observed
especially with CRT > 400 um. Internal and external cysts and a greater presence
of hard exudates were predominantly found in vasogenic DME, whereas HF was
equally distributed in the 4 DME subgroups. CONCLUSION: The study offers a new
pathogenetic classification able to detect significant differences among DME
subtypes. A tailored therapeutic approach could take into consideration specific
changes associated with the different DME subtypes.
PMID- 29642066
TI - Neutrophils and Bacterial Immune Evasion.
AB - Neutrophils are an important component of the innate immune system and provide a
front line of defense against bacterial infection. Although most bacteria are
killed readily by neutrophils, some bacterial pathogens have the capacity to
circumvent destruction by these host leukocytes. The ability of bacterial
pathogens to avoid killing by neutrophils often involves multiple attributes or
characteristics, including the production of virulence molecules. These molecules
are diverse in composition and function, and collectively have the potential to
alter or inhibit neutrophil recruitment, phagocytosis, bactericidal activity,
and/or apoptosis. Here, we review the ability of bacteria to target these
processes.
PMID- 29642067
TI - omega-3 Polyunsaturated Fatty Acid Postconditioning Protects the Isolated
Perfused Rat Heart from Ischemia-Reperfusion Injury.
AB - AIMS: This study aimed to evaluate the cardioprotective effects of omega-3
polyunsaturated fatty acids (PUFAs) postconditioning against ischemia-reperfusion
(I/R) injury. METHODS: Sixty Sprague-Dawley rats were randomly divided into 4
groups (n = 15 for each) and used to generate the Langendorff isolated perfused
rat heart model. The sham group received a continuous perfusion of 150 min. The
remaining three I/R-treated groups sequentially received a 30-min perfusion, a 30
min cardioplegia, and a 90-min reperfusion. The I/R-ischemic preconditioning (IP)
group additionally received three cycles of 20-s reperfusion and 20-s coronary
reocclusion preceded the 90 min of reperfusion. The I/R-omega group were perfused
with omega-3 PUFAs for 15 min before the 90 min of reperfusion. The myocardial
infarct size, the degree of mitochondrial damage, the antioxidant capacity of the
myocardium, and the cardiac functions during reperfusion were compared among
groups. RESULTS: Compared with the I/R group, the I/R-omega group had
significantly reduced myocardial infarct size, reduced levels of lactate
dehydrogenase and malondialdehyde, elevated superoxide dismutase level, and
elevated rising (+dp/dtmax) and descending (-dp/dtmax) rate of left ventricular
pressure. The I/R-omega group had a significantly lower rate of mitochondrial
damage in myocardial tissue compared with the I/R and I/R-IP groups. CONCLUSION:
omega-3 PUFA postconditioning possesses good cardioprotective effects and may be
developed into a therapeutic strategy for myocardial I/R injury.
PMID- 29642068
TI - Angiopoietin-2, Renal Deterioration, Major Adverse Cardiovascular Events and All
Cause Mortality in Patients with Diabetic Nephropathy.
AB - BACKGROUND/AIMS: Diabetic nephropathy is the leading cause of end-stage renal
disease and accounts for 30~40% of patients requiring maintenance dialysis,
thereby increasing the burden on health insurance programs. Diabetic nephropathy
is also the strongest predictor of cardiovascular morbidity and mortality. The
aim of this study was to examine whether angiopoietin-2 (Angpt2), a modulator of
endothelial function, affects the clinical outcomes of diabetic patients.
METHODS: This study enrolled 236 patients with diabetes mellitus with estimated
glomerular filtration rate (eGFR) < 60ml/min/1.73m2 from January 2006 to December
2011, who were followed until June 2017. Clinical outcomes included renal
outcomes (commencing dialysis and rapid decline in renal function (eGFR decline >
3 ml/min per 1.73 m2/year)), major adverse cardiovascular events (MACEs), and all
cause mortality. RESULTS: Over a mean follow-up period of 3.9+/-2.7 years, 135
(57.2%) patients commenced dialysis, 106 (44.9%) had rapid decline in renal
function, and 50 (21.2%) had MACEs or died from all-causes. Log-formed Angpt2 was
significantly associated with increased risks of commencing dialysis (HR: 3.91,
95% CI: 1.56-9.76), rapid renal function decline (OR: 6.81, 95% CI: 1.06-43.88),
and MACEs or all-cause mortality (HR: 6.34, 95% CI: 1.18-33.97) in the adjusted
analysis. Patients in the highest quartile had hazard ratios of 2.90 and 3.11 for
commencing dialysis and rapid renal function decline, respectively, compared to
those in the lowest quartile after adjustments. Similar significant dose-response
results were found in composite outcomes of either MACEs or all-cause mortality.
CONCLUSION: Angpt2 is an independent predictor of adverse clinical outcomes in
diabetic patients. Further studies are needed to identify the pathogenic role of
Angpt2 in renal deterioration and cardiovascular complications of diabetes
mellitus.
PMID- 29642070
TI - Personality Disorders, Functioning and Health.
PMID- 29642069
TI - If It's Not Broken, Should We Fix It? Ventriculoperitoneal Shunt Catheter
Contributing to Closed-Loop Small Bowel Obstruction in a Pediatric Patient with
Ileostomy.
PMID- 29642071
TI - Risk Factor Profiles Achieved with Medical Therapy in Prevalent Patients with
Pulmonary Arterial and Distal Chronic Thromboembolic Pulmonary Hypertension.
AB - BACKGROUND: The latest pulmonary hypertension (PH) guidelines define therapeutic
goals in terms of symptoms, exercise capacity, and haemodynamics for patients
with pulmonary arterial hypertension (PAH) and recommend advanced combined
medical therapy. For inoperable or post-surgical residual distal chronic
thromboembolic PH (CTEPH) medical treatment is similarly advised. OBJECTIVES: We
analysed whether risk factor goals are achieved and combination therapy is used
in prevalent patients with PAH or distal CTEPH. METHODS: PAH or distal CTEPH
patients who were seen at the University Hospital Zurich during the last year
were analysed in terms of demography, clinical data, medication, and therapeutic
goals. Achievement of therapeutic goals was defined as New York Heart Association
(NYHA) class <=II, N-terminal pro-brain natriuretic peptide (NTpro-BNP) < 300
ng/L, and 6-min walking distance (6MWD) > 440 m. RESULTS: A total of 108 PAH
patients (age 59 +/- 18 years, 62% female, 64% idiopathic, 36% associated) and 38
distal CTEPH patients (age 69 +/- 14 years, 55% female) were included. They had
been diagnosed on average 66 +/- 48 months (+/-SD) previously. The percentage of
PAH/CTEPH patients with NYHA <=II was 52/53, respectfully, the percentage of
those with NTproBNP < 300 ng/L was 61/52, and with 6MWD > 440 m 63/50. Overall,
33/31% fulfilled 3 and 29/35% fulfilled 2 of these goals. Regarding therapy, 43%
of PAH patients were on double and 10% on triple combination therapy, whereas 16%
of distal CTEPH patients were on double and 3% on triple combination therapy.
CONCLUSIONS: In this real-life cohort of prevalent patients with PAH or distal
CTEPH, targeted drug therapy resulted in an achievement of >=2/3 predefined
therapeutic goals in 2/3 of patients. Patients with PAH were more likely to
receive combination therapy compared to CTEPH patients.
PMID- 29642072
TI - Clinical Relevance of Intra-Tumour Heterogeneity.
PMID- 29642073
TI - Brain Stimulation Studies of Social Norm Compliance: Implications for Personality
Disorders?
AB - Several personality disorders involve pathological behaviors that violate social
norms, commonly held expectations about what ought to be done in specific
situations. These symptoms usually emerge early in development, are persistent
and hard to treat, and are often ego-syntonic. Here I present some recent brain
stimulation studies suggesting that pathological changes in different aspects of
norm-compliant behavior reflect dysfunctions of brain circuits involving distinct
prefrontal brain areas. One set of studies shows that transcranial direct current
stimulation of the right lateral prefrontal cortex changes the behavioral
sensitivity to social incentives for norm-compliant behavior. Crucially, social
norm compliance in response to such incentives could even be increased during
excitatory stimulation, demonstrating that the affected neural process is a
biological prerequisite for appropriate reaction to social signals that trigger
norm compliance. In another set of studies, we show that stimulation of a
different (more dorsal) part of the right prefrontal cortex enhances honesty in a
realistic setting where participants had the opportunity to cheat for real
monetary gains. Interestingly, these stimulation-induced increases in both
socially cued or purely voluntary norm compliance were not linked to changes in
other aspects of decision- making (such as risk or impatience), and they did not
reflect changes in beliefs about what is appropriate behavior. These results
suggest that disorders of distinct brain circuits may causally underlie
egosyntotic changes in norm-compliant behavior. This raises the tantalizing
possibility that pathologies of norm-compliant behavior may be ameliorated by
interventions targeting the function of these brain circuits.
PMID- 29642075
TI - Effectiveness of Topical Sucralfate in the Management of Pressure Ulcer in
Hospitalized Patients: A Prospective, Randomized, Placebo-Controlled Trial.
AB - BACKGROUND: The aim of this study was to evaluate the effectiveness of topical
sucralfate in the management of pressure ulcer (PU) in hospitalized patients.
METHODS: Forty hospitalized patients with stage II PU were included in this
prospective, double-blind, randomized, placebo-controlled trial and were randomly
divided into 2 groups receiving either sucralfate gel or placebo, on a daily
basis. The patients were visited every day for 14 days, the ulcer was evaluated
using the Pressure Ulcer Scale for Healing (PUSH) and changes to the measured
scores over time were used as an indicator of wound healing. RESULTS: There were
no statistically significant differences in any of the demographic
characteristics between both groups. Both of the interventions reduced the
average PUSH score, and at the end of the trial, all but 2 patients were healed.
One in each group discontinued the trial because of exacerbation of the ulcer. No
significant between-group difference in the average PUSH score reduction was
observed (6.36 +/- 2.11 vs. 5.89 +/- 1.41, P = 0.42). Although the average
healing time was less in the sucralfate group (6.05 +/- 2.17 vs. 7.78 +/- 3.42),
the difference was not statistically significant (P = 0.07). CONCLUSIONS:
Sucralfate gel does not improve healing of PU compared with placebo.
PMID- 29642074
TI - Incremental Validity of Montreal Cognitive Assessment Index Scores in Mild
Cognitive Impairment and Alzheimer Disease.
AB - BACKGROUND/AIMS: The aim of this paper was to evaluate the incremental validity
of the Montreal Cognitive Assessment (MoCA) index scores and the MoCA total score
in differentiating individuals with normal cognition versus mild cognitive
impairment (MCI) or Alzheimer disease (AD). METHODS: Effect sizes were calculated
for Alzheimer's Disease Neuroimaging Initiative research participants with normal
cognition (n = 295), MCI (n = 471), or AD (n = 150). RESULTS: Effect sizes for
the total score were large (> 0.80) and exceeded the index scores in
differentiating those with MCI versus normal cognition, MCI versus AD, and AD
versus normal cognition. A combined score incorporating the Memory, Executive,
and Orientation indexes also improved incremental validity for all 3 group
comparisons. CONCLUSION: Administration of the entire MoCA is more informative
than the index scores, especially in distinguishing normal cognition versus MCI.
A combined score has stronger incremental validity than the individual index
scores.
PMID- 29642076
TI - Comparison of In-Hospital and Long-term Outcomes of Sublobar Lung Cancer Surgery
by VATS and Open Techniques.
AB - OBJECTIVE: Sublobar resection is often used as an alternative surgical approach
in lung cancer patients who are unlikely to tolerate a full lobe resection. This
study aims to assess outcomes of video-assisted thoracoscopic surgeries (VATS)
sublobar resection as a surgical technique. MATERIALS AND METHODS: The SEER
Medicare database was queried to identify patients with primary lung cancer who
had VATS or open sublobar resection. Baseline clinical differences between VATS
and open patients were adjusted for in logistic regression and by propensity
score matching to investigate surgical outcomes. RESULTS: Patients undergoing
VATS had a greater number of comorbidities (adjusted odds ratio [ORadj], 0.90;
95% confidence interval [CI], 0.85-0.96) and were less likely to have later stage
cancer (ORadj, 0.80; 95% CI, 0.67-0.95), squamous compared with adenocarcinoma
(ORadj, 0.82; 95% CI, 0.68-0.99), large (>30 mm) tumor size (ORadj, 0.56; 95% CI,
0.0.41-0.75). VATS patients were less likely to have nodes removed for
examination during surgery (ORadj, 0.76; 95% CI, 0.64-0.90). After propensity
matching (n=2148), patients who underwent VATS were less likely to experience in
hospital complications, blood transfusions, and a prolonged length of hospital
stay than those who had open surgery. There was no statistically significant
difference in in-hospital or long-term mortality between patients with VATS and
open surgery. CONCLUSION: In the most compromised lung cancer patients, VATS
sublobar resection might be the best option.
PMID- 29642077
TI - Mortality After Stereotactic Radiosurgery for Brain Metastases and Implications
for Optimal Utilization: A National Cancer Database Study.
AB - OBJECTIVES: Brain metastases are associated with cancer progression and poor
outcomes. The use of stereotactic radiosurgery (SRS) to treat brain metastases
has been increasing due to its potential to quickly treat metastatic disease
while avoiding the morbidity associated with surgery or whole brain radiation
therapy (WBRT). This study seeks to analyze practice patterns of the use of SRS
for brain metastases, focusing on the endpoint of short-term mortality. MATERIALS
AND METHODS: This study used the National Cancer Database to observe cancer
patients diagnosed with a non-Central Nervous System primary from 2010 to 2012
who presented at diagnosis with metastatic disease to the brain and received
either WBRT or SRS. The primary endpoint was time to mortality determined by the
Kaplan-Meier product-limit estimate of the failure function. RESULTS: A total of
18,604 patients were included in the analysis from first day of treatment (16,219
patients received WBRT and 2385 received SRS). At 90 days, mortality was 39.3%
for those who received WBRT and 20.0% for those who received SRS. For patients 70
and older who received SRS, mortality was 30.2% at 90 days. CONCLUSIONS: Analysis
of short-term mortality after treatment for brain metastases by using the
National Cancer Database provides a window into national treatment patterns and
associated outcomes. Roughly 1 in 5 patients who receive SRS and roughly 1 in 3
patients 70 and older who receive SRS die within 90 days of treatment. These data
suggest some degree of overutilization of SRS in some patient populations, most
notably those patients over the age of 70.
PMID- 29642078
TI - Quality Improvement Education in Residency Training: A Review.
AB - Hospitals and healthcare institutions have strong external and internal pressures
to improve patient safety and healthcare quality. Quality improvement education
has been mandated for resident physicians by the Accreditation Council for
Graduate Medical Education. This review describes didactic and experiential
curricula for residents in quality improvement interventions as well as factors
that create challenges to implementing such a curriculum and those that foster
it. Resident attitudes, faculty capacity, institutional resources, and dedicated
time are critical elements influencing the success of quality improvement
curricula. Faculty interest in quality improvement could be enhanced by academic
recognition of their work. Recommendations to facilitate publication of quality
improvement efforts are described.
PMID- 29642079
TI - Nuclear Spin Attenuates the Anesthetic Potency of Xenon Isotopes in Mice:
Implications for the Mechanisms of Anesthesia and Consciousness.
AB - WHAT WE ALREADY KNOW ABOUT THIS TOPIC: WHAT THIS ARTICLE TELLS US THAT IS NEW:
BACKGROUND:: Xenon is an elemental anesthetic with nine stable isotopes. Nuclear
spin is a quantum property which may differ among isotopes. Xenon 131 (Xe) has
nuclear spin of 3/2, xenon 129 (Xe) a nuclear spin of 1/2, and the other seven
isotopes have no nuclear spin. This study was aimed to explore the effect of
nuclear spin on xenon anesthetic potency. METHODS: Eighty C57BL/6 male mice (7
weeks old) were randomly divided into four groups, xenon 132 (Xe), xenon 134
(Xe), Xe, and Xe groups. Due to xenon's low potency, loss of righting reflex ED50
for mice to xenon was determined with 0.50% isoflurane. Loss of righting reflex
ED50 of isoflurane was also measured, and the loss of righting reflex ED50 values
of the four xenon isotopes were then calculated. The exact polarizabilities of
the isotopes were calculated. RESULTS: Combined with 0.50% isoflurane, the loss
of righting reflex ED50 values were 15 +/- 4%, 16 +/- 5%, 22 +/- 5%, and 23 +/-
7% for Xe, Xe, Xe, and Xe, respectively. For xenon alone, the loss of righting
reflex ED50 values of Xe, Xe, Xe, and Xe were 70 +/- 4%, 72 +/- 5%, 99 +/- 5%,
and 105 +/- 7%, respectively. Four isotopes had a same exact polarizability of
3.60 A. CONCLUSIONS: Xenon isotopes with nuclear spin are less potent than those
without, and polarizability cannot account for the difference. The lower
anesthetic potency of Xe may be the result of it participating in conscious
processing and therefore partially antagonizing its own anesthetic potency.
Nuclear spin is a quantum property, and our results are consistent with theories
that implicate quantum mechanisms in consciousness.
PMID- 29642080
TI - Differentiating Drug-related and State-related Effects of Dexmedetomidine and
Propofol on the Electroencephalogram.
AB - BACKGROUND: Differentiating drug-related changes and state-related changes on the
electroencephalogram during anesthetic-induced unconsciousness has remained a
challenge. To distinguish these, we designed a rigorous experimental protocol
with two drugs known to have distinct molecular mechanisms of action. We
hypothesized that drug- and state-related changes can be separated. METHODS:
Forty-seven healthy participants were randomized to receive dexmedetomidine (n =
23) or propofol (n = 24) as target-controlled infusions until loss of
responsiveness. Then, an attempt was made to arouse the participant to regain
responsiveness while keeping the drug infusion constant. Finally, the
concentration was increased 1.5-fold to achieve presumable loss of consciousness.
We conducted statistical comparisons between the drugs and different states of
consciousness for spectral bandwidths, and observed how drug-induced
electroencephalogram patterns reversed upon awakening. Cross-frequency coupling
was also analyzed between slow-wave phase and alpha power. RESULTS: Eighteen
(78%) and 10 (42%) subjects were arousable during the constant drug infusion in
the dexmedetomidine and propofol groups, respectively (P = 0.011 between the
drugs). Corresponding with deepening anesthetic level, slow-wave power increased,
and a state-dependent alpha anteriorization was detected with both drugs,
especially with propofol. The slow-wave and frontal alpha activities were
momentarily disrupted as the subjects regained responsiveness at awakening.
Negative phase-amplitude coupling before and during loss of responsiveness
frontally and positive coupling during the highest drug concentration posteriorly
were observed in the propofol but not in the dexmedetomidine group. CONCLUSIONS:
Electroencephalogram effects of dexmedetomidine and propofol are strongly drug-
and state-dependent. Changes in slow-wave and alpha activity seemed to best
detect different states of consciousness.
PMID- 29642081
TI - [68Ga]Ga-Pentixafor PET/MRI for CXCR4 Imaging of Chronic Lymphocytic Leukemia:
Preliminary Results.
AB - OBJECTIVES: This prospective proof-of-principle study aimed to determine whether
[Ga]Ga-Pentixafor uptake, which reflects CXCR4 expression, is higher in the bone
marrow of chronic lymphocytic leukemia (CLL) than in other oncological diseases
without bone marrow infiltration and can therefore be used for CLL imaging.
MATERIALS AND METHODS: Thirteen CLL patients and 20 controls (10 with pancreatic
adenocarcinoma and 10 with mucosa-associated lymphoid tissue lymphoma) with
histologically proven cancer underwent [Ga]Ga-Pentixafor positron emission
tomography/magnetic resonance imaging. Standardized [Ga]Ga-Pentixafor uptake
values (SUVmax, SUVmean) were measured in the bone marrow of the pelvis, the
lumbar vertebra L4, and the bony structure with the visually highest tracer
uptake ("hottest lesion"). Mean apparent diffusion coefficient values were also
measured in the pelvis. Serum leukocyte count (gram per liter), lymphocyte
percentage (percent), lactate dehydrogenase (unit per liter), beta2-microglobulin
(milligram per deciliter), and C-reactive protein (milligram per deciliter) were
measured. Statistical analyses comprised analysis of variance with Games-Howell
post hoc tests and Spearman correlation coefficients. RESULTS: SUVmax and SUVmean
differed significantly between CLL and pancreatic adenocarcinoma in the pelvis (P
= 0.032 and P = 0.008) and lumbar vertebra L4 (both P < 0.001). SUVmean also
differed in the pelvis (P = 0.020) and L4 (P = 0.041), and SUVmax in L4 (P =
0.019), between CLL and mucosa-associated lymphoid tissue lymphoma. Receiver
operating characteristic-based areas under the curve for separation of CLL from
the control groups were greatest for the SUVmax of the bony structure with the
strongest [Ga]Ga-Pentixafor uptake (0.94) and the SUVmax of L4 (0.92). There was
no significant correlation between [Ga]Ga-Pentixafor uptake and pelvic apparent
diffusion coefficients or serum parameters. CONCLUSIONS: [Ga]Ga-Pentixafor
positron emission tomography/magnetic resonance imaging may possibly be useful
for CXCR4-based CLL imaging.
PMID- 29642082
TI - Early Recurrence of a Solid Variant of Aneurysmal Bone Cyst in a Young Child
After Resection: Technique and Literature Review and Two-year Follow-up After
Corpectomy.
AB - Aneurysmal bone cysts (ABCs) are considered to be rare benign tumors that may
affect long bones or the vertebral column. Their incidence varies and is reported
to be 1.4% of all benign skeletal tumors. The solid-variant aneurysmal bone cyst
(S-ABC) is even rarer and constitutes 3.5% to 7% of all vertebral ABCs. We report
the case of an Enneking stage 3 S-ABC in a 5-year-old boy at C7 that showed rapid
local recurrence after primary excision from posterior and dorsal stabilization
requiring ventral corpectomy and posterior excision of the right lateral mass and
right posterolateral fusion. Histologic examination disclosed an S-ABC. To our
knowledge, this is the first case of S-ABC described in the literature that used
both anterior and posterior approaches and complete corpectomy. Over a 2-year
period, the patient showed no radiologic or clinical signs of local recurrence
with excellent neurologic function. Solid-variant aneurysmal bone cysts are
difficult to diagnose and treat, and careful clinical and radiologic assessment
should be done to tailor an appropriate surgical plan to prevent recurrence and
neurologic sequelae. To the best of our knowledge, there are to date no
publications that studied the behavior of this subtype.
PMID- 29642083
TI - Severe Maternal or Near Miss Morbidity: Implications for Public Health
Surveillance and Clinical Audit.
AB - This chapter reviews the historical development of indicators to identify severe
maternal morbidity/maternal near miss (SMM/MNM), and their use for public health
surveillance, research, and clinical audit. While there has been progress toward
identifying standard definitions for SMM/MNM within countries, there remain
inconsistencies in the definition of SMM/MNM indicators and their application
between countries. Using these indicators to screen for events that then trigger
a clinical audit may both under identify select SMM/MNM (false negative)and over
identify select SMM/MNM (false positive). Thus, indicators which support the
efficient identification of SMM/MNM for the purpose of facility-based clinical
audits are still needed.
PMID- 29642085
TI - On the Interpretation of Path-specific Effects in Health Disparities Research.
PMID- 29642084
TI - Socioeconomic and Tobacco Mediation of Ethnic Inequalities in Mortality over
Time: Repeated Census-mortality Cohort Studies, 1981 to 2011.
AB - BACKGROUND: Racial/ethnic inequalities in mortality may be reducible by
addressing socioeconomic factors and smoking. To our knowledge, this is the first
study to estimate trends over multiple decades in (1) mediation of racial/ethnic
inequalities in mortality (between Maori and Europeans in New Zealand) by
socioeconomic factors, (2) additional mediation through smoking, and (3)
inequalities had there never been smoking. METHODS: We estimated natural (1 and 2
above) and controlled mediation effects (3 above) in census-mortality cohorts for
1981-1984 (1.1 million people), 1996-1999 (1.5 million), and 2006-2011 (1.5
million) for 25- to 74-year-olds in New Zealand, using a weighting of regression
predicted outcomes. RESULTS: Socioeconomic factors explained 46% of male
inequalities in all three cohorts and made an increasing contribution over time
among females from 30.4% (95% confidence interval = 18.1%, 42.7%) in 1981-1984 to
41.9% (36.0%, 48.0%). Including smoking with socioeconomic factors only modestly
altered the percentage mediated for males, but more substantially increased it
for females, for example, 7.7% (5.5%, 10.0%) in 2006-2011. A counterfactual
scenario of having eradicated tobacco in the past (but unchanged socioeconomic
distribution) lowered mortality for all sex-by-ethnic groups and resulted in a
12.2% (2.9%, 20.8%) and 21.2% (11.6%, 31.0%) reduction in the absolute mortality
gap between Maori and Europeans in 2006-2011, for males and females,
respectively. CONCLUSIONS: Our study predicts that, in this high-income country,
reducing socioeconomic disparities between ethnic groups would greatly reduce
ethnic inequalities in mortality over the long run. Eradicating tobacco would
notably reduce ethnic inequalities in absolute but not relative mortality.
PMID- 29642086
TI - Evidence for Efficacy of Treatment With the Anti-PD-1 Mab Nivolumab in Radiation
and Multichemorefractory Advanced Penile Squamous Cell Carcinoma.
AB - Penile squamous cell carcinoma (PeSCC) is a rare tumor and advanced PeSCC is
associated with poor survival due to the aggressiveness of the disease and lack
of effective systemic therapies. We describe for the first time a case with
advanced chemoradiation refractory PeSCC who had documented response to active
immunotherapy with the immune checkpoint inhibitor, anti-programmed death-1
monoclonal antibody Nivolumab. The patient suffered from a poor prognosis human
papillomavirus-negative PeSCC, with a somatic inactivation mutation of cyclin
dependent kinase inhibitor 2A (CDKN2A) gene in tumor cells, and treatment with
Nivolumab resulted in a partial response to therapy and significant tumor
shrinkage. Histology transitions and alterations in tumor-infiltrating cytotoxic
CD8 T-cell lymphocytes, programmed death ligand-1 expression on tumor cells and
immune cells in tumor lesion biopsies pretreatment and posttreatment with
Nivolumab were observed and described. In conclusion, in patients with metastatic
PeSCC active immunotherapy combinations with an anti-programmed death
1/programmed death ligand-1 agent may be beneficial and further relative clinical
studies are required.
PMID- 29642087
TI - Market and organizational factors associated with hospital vertical integration
into sub-acute care.
AB - BACKGROUND: Changes in payment models incentivize hospitals to vertically
integrate into sub-acute care (SAC) services. Through vertical integration into
SAC, hospitals have the potential to reduce the transaction costs associated with
moving patients throughout the care continuum and reduce the likelihood that
patients will be readmitted. PURPOSE: The purpose of this study is to examine the
correlates of hospital vertical integration into SAC. METHODOLOGY/APPROACH: Using
panel data of U.S. acute care hospitals (2008-2012), we conducted logit
regression models to examine environmental and organizational factors associated
with hospital vertical integration. Results are reported as average marginal
effects. FINDINGS: Among 3,775 unique hospitals (16,269 hospital-year
observations), 25.7% vertically integrated into skilled nursing facilities during
at least 1 year of the study period. One measure of complexity, the availability
of skilled nursing facilities in a county (ME = -1.780, p < .001), was negatively
associated with hospital vertical integration into SAC. Measures of munificence,
percentage of the county population eligible for Medicare (ME = 0.018, p < .001)
and rural geographic location (ME = 0.069, p < .001), were positively associated
with hospital vertical integration into SAC. Dynamism, when measured as the
change county population between 2008 and 2011 (ME = 1.19e-06, p < .001), was
positively associated with hospital vertical integration into SAC. Organizational
resources, when measured as swing beds (ME = 0.069, p < .001), were positively
associated with hospital vertical integration into SAC. Organizational resources,
when measured as investor owned (ME = -0.052, p < .1) and system affiliation (ME
= -0.041, p < .1), were negatively associated with hospital vertical integration
into SAC. PRACTICE IMPLICATIONS: Hospital adaption to the changing health care
landscape through vertical integration varies across market and organizational
conditions. Current Centers for Medicare and Medicaid reimbursement programs do
not take these factors into consideration. Vertical integration strategy into SAC
may be more appropriate under certain market conditions. Hospital leaders may
consider how to best align their organization's SAC strategy with their operating
environment.
PMID- 29642088
TI - Retaining nurses in a changing health care environment: The role of job
embeddedness and self-efficacy.
AB - BACKGROUND: Because nurses are on the front lines of care delivery, they are
subject to frequent changes to their work practices. This change-laden
environment puts nurses at higher risk for turnover. Given the frequent
disruption to the way nurses perform their jobs, change-related self-efficacy
(CSE), or confidence that one can handle change, may be vital to their retention.
PURPOSE: The purpose of this article is to examine the roles of CSE and job
embeddedness in reducing turnover intentions among nurses. Specifically, this
article tests a model in which CSE is the intervening mechanism through which job
embeddedness influences turnover intentions. METHODS: Drawing on a sample of 207
nurses working in the medical/surgical unit of a major metropolitan hospital in
the United States, this study employs OLS regression to test for direct effects
of job embeddedness and CSE on turnover intentions and bias-corrected
bootstrapping to test for the indirect effects of job embeddedness on turnover
intentions through CSE. FINDINGS: Results show that CSE is directly linked to
turnover intentions, and the effects of job embeddedness on turnover intentions
become fully manifest through CSE. PRACTICE IMPLICATIONS: Improved nurse
retention may lead to stable patient care and less disruption in service
delivery. Improved retention also benefits health care organizations financially,
as costs of replacing a nurse can exceed 100% of the salary for the position.
Given the shortage of nurses in some geographic areas, retention remains an
important goal.
PMID- 29642089
TI - Evidence-Based Inclusion Criteria for Cochlear Implantation in Patients With
Postlingual Deafness.
AB - OBJECTIVES: This study determined the relationship between preoperative phoneme
and word scores and the gain in speech perception after cochlear implantation in
a large cohort of patients. The authors aimed to define evidence-based selection
criteria for cochlear implantations in adults with postlingual deafness. DESIGN:
This retrospective study included 364 adults with postlingual deafness who
received a cochlear implant between 2000 and 2013 at the Leiden University
Medical Center. The gain in speech perception observed postimplantation was
compared with preoperative-aided/binaural speech perception scores, measured at
65 dB SPL in quiet. Patients who showed preoperative phoneme scores on
monosyllabic words above 50% were also tested for speech perception in the
presence of speech-shaped background noise, at a +5 dB signal to noise ratio.
RESULTS: Speech perception in quiet improved after implantation in all except 7
patients. Average scores in quiet continued to improve, up to approximately 1
year after implantation. When participants were divided into five groups, based
on preoperative speech perception scores, all groups showed gains in speech
perception, except for the group with aided preoperative phoneme scores above
80%. However, all patients who were tested preoperatively with the addition of
background noise showed improvements in speech perception in noise after the
cochlear implantation. CONCLUSIONS: Although the decision to implant should
consider individual ear differences and other factors that might apply to a
particular case, based on our data, all patients with preoperative scores of
either 80% (phonemes correct) or 60% (words correct) and lower in an optimal
aided situation are potential candidates for a cochlear implant, provided that
their preoperative speech perception score decreases below 50% (phonemes correct)
or 20% (words correct), when background noise is added at a +5 dB signal to noise
ratio.
PMID- 29642090
TI - Global PA development: Time-consuming, complicated, and worthwhile.
PMID- 29642091
TI - Mumps: Resurgence of a once-dormant disease.
AB - Mumps is a systemic viral illness, preventable by vaccination, that typically
affects children and is characterized by unilateral or bilateral swelling of the
parotid glands. Uncommon complications such as orchitis, oophoritis, deafness,
pancreatitis, aseptic meningitis, and encephalitis occur more often in adults.
Recent outbreaks of the disease appear to be caused by a failure to maintain herd
immunity in certain populations, particularly in affluent white communities. This
article reviews the clinical manifestations, diagnosis, and potential
complications in patients with mumps.
PMID- 29642092
TI - Strategic foresight, leadership, and the future of rural healthcare staffing in
the United States.
AB - This article uses a strategic foresight tool, megatrends, to examine forces
influencing long-term healthcare staffing in the rural United States. Two
megatrends-exponential advances in science and technology and the continued
evolution of the decentralized global marketplace-will influence and ultimately
help shape the future of rural healthcare. Successful health ecosystems of the
future will need to be customer-driven, more affordable, and tech-savvy.
Successful evolution in an era of continuous change will require a blend of
intentional engagement with stakeholders, strategic foresight, and future-focused
leadership. More research is needed to fully understand not only the challenges
of rural healthcare but also the emerging opportunities.
PMID- 29642093
TI - Measuring the value of endoscopic retrograde cholangiopancreatography activity:
an opportunity to stratify endoscopists on the basis of their value.
AB - INTRODUCTION: As finite healthcare resources come under pressure, the value of
physician activity is assuming increasing importance. The value in healthcare can
be defined as patient health outcomes achieved per monetary unit spent. Even
though some attempts have been made to quantify the value of clinician activity,
there is little in the medical literature describing the importance of
endoscopists' activity. This study aimed to characterize the value of endoscopic
retrograde cholangiopancreatography (ERCP) performance of five
gastroenterologists. PATIENTS AND METHODS: We carried out a retrospective
prospective cohort study using the databases of patients undergoing ERCP between
September 2014 and March 2017. We collected data from 1070 patients who underwent
ERCP comparing value among the ERCPists at index ERCP. Procedure value was
calculated using the formula Q/(T/C), where Q is the quality of procedure, T is
the duration of procedure and C is the adjusted for complexity level. Quality and
complexity were derived on a 1-4 Likert scale on the basis of American Society
for Gastrointestinal Endoscopy criteria; time was recorded (in min) from
intubation to extubation. Endoscopist time calculated from procedure time was
considered a surrogate marker of cost as individual components of procedure cost
were not itemized. RESULTS: In total, 590 procedures were analysed: 465
retrospectively over 24 months and 125 prospectively over 6 months. There was a
32% variation in the value of endoscopist activity in a more substantial
retrospective cohort, with an even more considerable 73% variation in a smaller
prospective arm. CONCLUSION: In an analysis of greater than 1000 ERCPs by a small
cohort of experienced ERCPists, there was a wide variation in the value of
endoscopist activity. Although the precision of estimating procedural costs needs
further refinement, these findings show the ability to stratify ERCPists on the
basis of the value their activity. As healthcare costs are scrutinized more
closely, such value measurements are likely to become more relevant.
PMID- 29642096
TI - Availability of a New Job-Exposure Matrix (CANJEM) for Epidemiologic and
Occupational Medicine Purposes.
AB - OBJECTIVE: The aim of this study was to introduce the Canadian job-exposure
matrix (CANJEM). METHODS: Four large case-control studies of cancer were
conducted in Montreal, focused on assessing occupational exposures by means of
detailed interviews followed by expert assessment of possible occupational
exposures. Thirty-one thousand six hundred seventy-three jobs were assessed using
a checklist of 258 agents (listed with prevalences at http://expostats.ca/chems).
This large exposure database was configured as a JEM. RESULTS: CANJEM is
available in four occupational classification systems. It provides estimates of
probability of exposure among workers with a given occupation, and for those
exposed, various metrics of exposure. CANJEM can be accessed online
(www.canjem.ca) or in a batch version. CONCLUSION: CANJEM is a large source of
retrospective exposure information, covering most occupations and many agents.
CANJEM can be used to support exposure assessment efforts in epidemiology and
occupational health.
PMID- 29642095
TI - Efficacy of Intra-articular Polynucleotides Associated With Hyaluronic Acid
Versus Hyaluronic Acid Alone in the Treatment of Knee Osteoarthritis: A
Randomized, Double-Blind, Controlled Clinical Trial.
AB - OBJECTIVE: Pain and range of motion loss are the main clinical features of
osteoarthritis (OA). Hyaluronic acid (HA) is one of the infiltrative therapies
for OA treatment; however, its effectiveness is a matter of an ongoing debate in
clinical practice. Polynucleotides (PNs), a DNA-derived macromolecule with
natural origin and trophic activity, were found to favor cell growth and collagen
production, in preclinical and clinical studies regarding cartilage regeneration.
This study aimed at evaluating whether injection of PNs, in combination with HA
[PNs associated with HA (PNHA)], can ameliorate pain and function of knees
affected by OA, more than HA alone. DESIGN: A randomized, double-blind,
controlled clinical trial. PATIENTS: The study enrolled 100 patients, then
randomized to receive PNHA or HA alone (3 weekly knee I.A. injections).
INTERVENTIONS AND MAIN OUTCOME MEASURES: Pain reduction, decrease of
proinflammatory synovial fluid (SF) factors, and improvement in knee function
were evaluated by Knee Society Score and WOMAC scores, after 2, 6, and 12 months
and by biochemical and immunoenzymatic analyses of SF at the end of the
treatment. RESULTS: Knee Society Score total score and pain item significantly
ameliorated in both groups, showing better results in PNHA- than in the HA
treated group. A significant reduction in the WOMAC score was observed over time
for both groups. No significant adverse events were reported in either group.
CONCLUSIONS: These findings suggest that I.A. injection of PNs, in combination
with HA, is more effective in improving knee function and pain, in a joint
affected by OA, compared with HA alone.
PMID- 29642094
TI - Split-dose bowel cleansing with picosulphate is safe and better tolerated than 2
l polyethylene glycol solution.
AB - BACKGROUND: In physically less fit patients and patients requiring repeated
exams, adequate bowel preparation for colonoscopy remains problematic,
particularly because patients need to drink large volumes of unpleasant-tasting
fluids. A further concern is potential unwarranted fluid shifts. AIMS: This study
aimed to compare the safety and burden of a small-volume sodium
picosulphate/magnesium citrate preparation (SPS-MC) with a 2-l ascorbic-acid
enriched polyethylene glycol solution plus bisacodyl pretreatment (PEG-Asc+B).
PATIENTS AND METHODS: Patients referred for colonoscopy were randomized to SPS-MC
or PEG-Asc+B administered as a split-dose regimen. Patients received advice on
the recommended 4-l SPS-MC and 2-l PEG-Asc+B fluid intake. Safety was assessed by
blood sampling before and after the preparation and during a 30-day follow-up
period. A questionnaire assessed tolerability and perceived burden of the
preparation. RESULTS: A total of 341 patients underwent colonoscopy. Blood
sampling showed a slight but significant decrease in sodium, chloride and
osmolality and increase in magnesium in the SPS-MC group and a decrease in
bicarbonate in the PEG-Asc+B group. Hyponatraemia and hypermagnesaemia without
clinical signs were observed in 16 (14 SPS-MC) and 13 SPS-MC patients,
respectively. Patients reported significantly fewer physical complaints and a
significantly higher completion rate with SPS-MC. Patients receiving SPS-MC rated
the intake as being easier and better tasting. In the event of a repeat
colonoscopy, 59.7% of patients in the PEG-Asc+B and 93.6% of patients in the SPS
MC group would opt for the same preparation again. CONCLUSION: Despite
electrolyte shifts, both SPS-MC and PEG-Asc+B appeared clinically safe. From a
patient's perspective, a small-volume preparation formula such as SPS-MC is
preferred, resulting in fewer physical complaints and greater ease of intake.
PMID- 29642097
TI - Patient Satisfaction Measurement in Occupational and Environmental Medicine
Practice.
AB - : High patient satisfaction is a desirable goal in medical care. Patient
satisfaction measures are increasingly used to evaluate and improve quality in
all types of medical practices. However, the unique aspects of occupational and
environmental medicine (OEM) practice require development of OEM-specific
measures and thoughtful interpretation of results. The American College of
Occupational and Environmental Medicine has developed and recommends a set of
specific questions to measure patient satisfaction in OEM, designed to meet
anticipated regulatory requirements, facilitate quality improvement of
participating OEM practices, facilitate case-management review, and offer fair
and accurate assessment of OEM physicians.
PMID- 29642098
TI - The Impact of Depression on Ill-Health Retirement and Its Moderating Factors:
Analysis From the Korean Longitudinal Study of Aging (2006 to 2014).
AB - OBJECTIVE: To investigate the effect of depressive symptoms on ill-health
retirement (IHR). METHODS: Data were collected from the Korean Longitudinal Study
of Ageing which conducted biennially from 2006 to 2014. IHR were defined as those
who retired due to their health problems. The short-form Center for
Epidemiological Studies-Depression scale served as outcome measure for definition
of depressive symptoms. Hazard ratios of IHR were estimated by Cox regression.
RESULTS: We observed that depressive symptoms significantly increased risk of IHR
during 8-year follow-up period. Compared with those without depressive symptoms,
depressed worker had 1.27-fold elevated risk for IHR. Subgroup analysis showed
that the association between depressive symptoms and IHR is greater in the
elders, women, and lower income group than the others. CONCLUSION: Depressive
symptoms independently predicted IHR. Moreover, age, sex, and socioeconomic
status modified these effects.
PMID- 29642099
TI - Feasibility of Busulfan Melphalan and Stem Cell Rescue After 131I-MIBG and
Topotecan Therapy for Refractory or Relapsed Metastatic Neuroblastoma: The French
Experience.
AB - High-risk neuroblastoma is characterized by poor long-term survival, especially
for very high-risk (VHR) patients (poor response of metastases after induction
therapy). The benefits of a tandem high-dose therapy and hematologic stem cell
reinfusion (HSCR) have been shown in these patients. Further dose escalation will
be limited by toxicity. It is thus important to evaluate the efficacy and
tolerability of the addition of new agents such as I-MIBG (131Iode
metaiodobenzylguanidine) to be combined with high-dose therapy in the
consolidation phase. We report the feasibility of busulfan/melphalan (BuMel)
after I-MIBG therapy with HSCR in patients with refractory or relapsed metastatic
neuroblastoma. From November 2008 to March 2015, 9 patients received BuMel after
I-MIBG therapy and topotecan. The main toxicity was digestive with only 1 patient
developing grade 4 sinusoidal obstructive syndrome. Seven patients are alive at a
median follow-up of 25 months. Among them, 2 are in ongoing complete remission
and 1 in ongoing stable disease. These results suggest that BuMel with HSCR can
be administered safely 2 months after I-MIBG therapy associated with topotecan
for VHR patients. This strategy will be compared with tandem high-dose
chemotherapy (thiotepa and busulfan-melphalan), followed by HSCR in the upcoming
SIOPEN VHR Neuroblastoma Protocol.
PMID- 29642100
TI - Bone and Inflammatory Responses to Training in Female Rowers over an Olympic
Year.
AB - INTRODUCTION/PURPOSE: To examine whether fluctuations in training load during an
Olympic year lead to changes in bone mineral densities and factors that regulate
bone (sclerostin, osteoprotegerin and receptor activator of nuclear factor kappa
B ligand), energy metabolism (insulin-like growth factor-1 and leptin), and
inflammation (tumor necrosis factor-alpha and interleukin 6) in elite heavyweight
female rowers. METHODS: Blood samples were drawn from 15 female heavyweight
rowers (27.0 +/- 0.8 yr, 80.9 +/- 1.3 kg, 179.4 +/- 1.4 cm) at baseline (T1-45 wk
before Olympic Games) and after 7, 9, 20, 25, and 42 wk (T1-6, respectively).
Ongoing nutritional counseling was provided. Total weekly training load was
recorded over the week before each time point. Bone mineral density (BMD) was
measured by dual energy x-ray absorptiometry at T1 and T6. RESULTS: Total BMD
increased significantly before to after training (+0.02 g.cm), but was below the
least significant change (+/-0.04 g.cm). Osteoprotegerin, insulin-like growth
factor-1, and leptin remained stable across all time points. Fluctuations in
training load (high vs low) were accompanied by parallel changes in tumor
necrosis factor-alpha (2.1 +/- 0.2 vs 1.5 +/- 0.2 pg.mL), interleukin 6 (1.2 +/-
0.08 vs 0.8 +/- 0.09 pg.mL), and sclerostin (high: 993 +/- 109 vs low: 741 +/-
104 pg.mL). CONCLUSIONS: In this population of young female athletes with
suitable energy availability, sclerostin and inflammation markers responded to
fluctuations in training load, whereas BMD and bone mineral content were stable
during the season, suggesting that training load periodization is not harmful for
the bone health in athletes.
PMID- 29642102
TI - Measuring Medical Housestaff Teamwork Performance Using Multiple Direct
Observation Instruments: Comparing Apples and Apples.
AB - PURPOSE: To examine the composition and concordance of existing instruments used
to assess medical teams' performance. METHOD: A trained observer joined 20
internal medicine housestaff teams for morning work rounds at Tufts Medical
Center, a 415-bed Boston teaching hospital, from October through December 2015.
The observer rated each team's performance using nine teamwork observation
instruments that examined domains including team structure, leadership, situation
monitoring, mutual support, and communication. Observations recorded on paper
forms were stored electronically. Scores were normalized from 1 (low) to 5 (high)
to account for different rating scales. Overall mean scores were calculated and
graphed; weighted scores adjusted for the number of items in each teamwork
domain. Teamwork scores were analyzed using t tests, pairwise correlations, and
the Kruskal-Wallis statistic, and team performance was compared across
instruments by domain. RESULTS: The nine tools incorporated five major domains,
with 5 to 35 items per instrument, for a total of 161 items per observation
session. In weighted and unweighted analyses, the overall teamwork performance
score for a given team on a given day varied by instrument. While all of the
tools identified the same low outlier, high performers on some instruments were
low performers on others. Inconsistent scores for a given team across instruments
persisted in domain-level analyses. CONCLUSIONS: There was substantial variation
in the rating of individual teams assessed concurrently by a single observer
using multiple instruments. Because existing teamwork observation tools do not
yield concordant assessments, researchers should create better tools for
measuring teamwork performance.
PMID- 29642101
TI - Barriers and Facilitators to Self-Directed Learning in Continuing Professional
Development for Physicians in Canada: A Scoping Review.
AB - PURPOSE: This scoping review explored the barriers and facilitators that
influence engagement in and implementation of self-directed learning (SDL) in
continuing professional development (CPD) for physicians in Canada. METHOD: This
review followed the six-stage scoping review framework of Arksey and O'Malley and
of Daudt et al. In 2015, the authors searched eight online databases for English
language Canadian articles published January 2005-December 2015. To chart and
analyze data from the 17 included studies, they employed a two-step analysis
process composed of conventional content analysis followed by directed coding
applying the Theoretical Domains Framework (TDF). RESULTS: Conventional content
analysis generated five categories of barriers and facilitators: individual,
program, technological, environmental, and workplace/organizational. Directed
coding guided by the TDF allowed analysis of barriers and facilitators to
behavior change according to two key groups: physicians engaging in SDL, and SDL
developers designing and implementing SDL programs. Of the 318 total barriers and
facilitators coded, 290 (91.2%) were coded for physicians and 28 (8.8%) for SDL
developers. The majority (209; 65.7%) were coded in four key TDF domains:
environmental context and resources, social influences, beliefs about
consequences, and behavioral regulation. CONCLUSIONS: This scoping review
identified five categories of barriers and facilitators in the literature and
four key TDF domains where most factors related to behavior change of physicians
and SDL developers regarding SDL programs in CPD were coded. There was a
significant gap in the literature about factors that may contribute to SDL
developers' capacity to design and implement SDL programs in CPD.
PMID- 29642103
TI - Social Accountability: A Framework for Medical Schools to Improve the Health of
the Populations They Serve.
AB - Social accountability has gained importance and greater acceptance in the ever
increasing complexity and interconnectivity of patient care, education, and
research that is the threefold mission of academic health science centers and
networks. In this Invited Commentary, the author provides a brief overview of the
development of the concept of social accountability and the criteria for the
Association for Medical Education in Europe ASPIRE-to-Excellence Award for Social
Accountability, which provide a useful framework for medical schools to consider
when examining their own social accountability. Per these criteria, schools are
expected to document social accountability plans in their organization and
functions; document social accountability actions in their education and research
program activities; and demonstrate positive impacts of their education,
research, service, graduates, and partnerships on the health care and health of
their community, region, and nation. Award-winning schools integrate social
accountability into the school's mission, planning, and day-to-day management.
The health needs and diversity of the school's community, region, and nation are
reflected in the school's admissions, curriculum, learning experiences, research
activities, health care partnerships, and graduates. The author also describes
three award winners as exemplars of social accountability and concludes by
challenging every medical school and graduate medical education program to focus
on meeting the needs of the populations it serves, especially those who are
marginalized, vulnerable, and underserved.
PMID- 29642104
TI - Mixed Methods Convergent Study Designs in Health Professions Education Research:
Toward Meaningful Integration of Qualitative and Quantitative Data.
PMID- 29642105
TI - Illuminating Graduate Medical Education Outcomes in Order to Improve Them.
AB - Optimizing clinician education is an essential step toward enhancing health
outcomes, and graduate medical education (GME)-as the pipeline for producing the
nation's physicians-is an appropriate target for improvement. This Invited
Commentary focuses on the need to clarify the specific goals of GME and measure
achievement of those goals, using consistent metrics. The authors report on an
October 2017 National Academies of Sciences, Engineering, and Medicine (NASEM)
workshop focused on this agenda. A broadly representative group of participants
reflected strong consensus in support of using GME outcomes data to develop
better approaches to education and related policy. Implementation challenges
include identifying meaningful metrics, minimizing administrative burden,
addressing privacy concerns, and recognizing variability in institutional mission
and capabilities. The authors recommend creating a national inventory of current
data sources and initiating a pilot program to collect and share common metrics,
while advancing a national effort via a "neutral" convener, such as the NASEM.
The authors assert that measuring and reporting GME outcomes is a professional
responsibility that must now be tackled.
PMID- 29642106
TI - Policy Change From the Centers for Medicare and Medicaid Services Provides an
Opportunity to Improve Medical Student Education and Recruit Community
Preceptors.
AB - As U.S. medical educators know, it has been exceedingly difficult over the past
decade to train medical students to document in the electronic health record
(EHR) yet remain compliant with Centers for Medicare and Medicaid Services (CMS)
guidelines. Indeed, some institutions have interpreted the guidelines to prohibit
all medical student documentation in the EHR. This has been particularly
challenging since the Association of American Medical Colleges has recommended
that all medical school graduates be entrusted with 13 specific professional
activities, two of which directly require student use of the EHR. Furthermore,
critical efforts by clerkship directors to recruit community physicians as
preceptors of medical students have been significantly hampered by the medical
students' inability to document encounters. Therefore, the CMS policy transmittal
Pub 100-04 Medicare Claims Processing Manual, released on February 2, 2018, which
now explicitly allows appropriately supervised student documentation to be
submitted for billing, is a welcome policy change. U.S. medical educators need to
seize this opportunity, encourage their health systems to revise their internal
precepting practices, and widely advertise to community preceptors that students
can now add value in the clinical setting by assisting with documentation in the
EHR.
PMID- 29642107
TI - Estimation of Extra Length of Stay Attributable to Hospital-Acquired Infections
in Adult ICUs Using a Time-Dependent Multistate Model.
AB - OBJECTIVES: The objective of the study was to estimate the length of stay of
patients with hospital-acquired infections hospitalized in ICUs using a
multistate model. DESIGN: Active prospective surveillance of hospital-acquired
infection from January 1, 1995, to December 31, 2012. SETTING: Twelve ICUs at the
University of Lyon hospital (France). PATIENTS: Adult patients age greater than
or equal to 18 years old and hospitalized greater than or equal to 2 days were
included in the surveillance. All hospital-acquired infections (pneumonia,
bacteremia, and urinary tract infection) occurring during ICU stay were
collected. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: The competitive
risks of in-hospital death, transfer, or discharge were considered in estimating
the change in length of stay due to infection(s), using a multistate model, time
of infection onset. Thirty-three thousand four-hundred forty-nine patients were
involved, with an overall hospital-acquired infection attack rate of 15.5% (n =
5,176). Mean length of stay was 27.4 (+/- 18.3) days in patients with hospital
acquired infection and 7.3 (+/- 7.6) days in patients without hospital-acquired
infection. A multistate model-estimated mean found an increase in length of stay
by 5.0 days (95% CI, 4.6-5.4 d). The extra length of stay increased with the
number of infected site and was higher for patients discharged alive from ICU. No
increased length of stay was found for patients presenting late-onset hospital
acquired infection, more than the 25th day after admission. CONCLUSIONS: An
increase length of stay of 5 days attributable to hospital-acquired infection in
the ICU was estimated using a multistate model in a prospective surveillance
study in France. The dose-response relationship between the number of
hospitalacquired infection and length of stay and the impact of early-stage
hospital-acquired infection may strengthen attention for clinicians to focus
interventions on early preventions of hospital-acquired infection in ICU.
PMID- 29642108
TI - Flexible Versus Restrictive Visiting Policies in ICUs: A Systematic Review and
Meta-Analysis.
AB - OBJECTIVES: To synthesize data on outcomes related to patients, family members,
and ICU professionals by comparing flexible versus restrictive visiting policies
in ICUs. DATA SOURCES: Medline, Scopus, and Web of Science. STUDY SELECTION:
Observational and randomized studies comparing flexible versus restrictive
visiting policies in the ICU and evaluating at least one patient-, family member
, or ICU staff-related outcome. DATA EXTRACTION: Duplicate independent review and
data abstraction. DATA SYNTHESIS: Of 16 studies identified for inclusion, seven
were meta-analyzed. Most studies were rated as having a moderate risk of bias.
Among patients, flexible visiting policies were associated with reduced frequency
of delirium (odds ratio, 0.39; 95% CI, 0.22-0.69; I = 0%) and lower severity of
anxiety symptoms (mean difference, -2.20; 95% CI, -3.80 to -0.61; I = 71%).
Flexible visiting policies were not associated with increased risk of ICU
mortality (odds ratio, 0.71; 95% CI, 0.38-1.36; I = 86%), ICU-acquired infections
(odds ratio, 0.98; 95% CI, 0.68-1.42; I = 11%), or longer ICU stay (mean
difference, -0.26 d; 95% CI, -0.57 to 0.05; I = 54%). Among family members,
flexible visiting policies were associated with greater satisfaction. Among ICU
professionals, flexible visiting policies were associated with higher burnout
levels. CONCLUSIONS: Flexible ICU visiting hours have the potential to reduce
delirium and anxiety symptoms among patients and to improve family members'
satisfaction. However, they may be associated with an increased risk of burnout
among ICU professionals. These conclusions are based on few studies, with small
samples and moderate risk of bias.
PMID- 29642109
TI - Hidradenitis Suppurativa-Like Condition Occurring After Microwave Sweat Gland
Ablation.
PMID- 29642110
TI - Modernizing the Mohs Surgery Consultation: Instituting a Video Module for
Improved Patient Education and Satisfaction.
AB - BACKGROUND: Studies show that patients recall less than half of the information
given by their physicians. Use of video in medicine increases patient
comprehension and satisfaction and decreases anxiety. However, studies have not
elaborated on video content. OBJECTIVE: To use principles of learning with
multimedia to improve the Mohs surgery consultation. MATERIALS AND METHODS: The
authors developed 2 informational videos on Mohs surgery: traditional versus
narrative. The focus of the traditional video was purely didactic. The narrative
video included patient testimonials, patient-physician interaction, and
animations. New Mohs surgery patients viewed either the traditional (n = 40) or
the narrative video (n = 40). Existing Mohs surgery patients (n = 40) viewed both
videos. Both groups answered questionnaires about their satisfaction. RESULTS:
For new Mohs surgery patients, no significant difference was found between the
traditional and the narrative video groups because respondent satisfaction was
high for both video formats. For existing Mohs surgery patients, all respondents
(100%) reported that videos were helpful for understanding Mohs surgery; however,
the majority would recommend the narrative over the traditional format (72.5% vs
27.5%, p = .01). CONCLUSION: Technology is useful for patient education because
all patients preferred seeing a video to no video. Further research is needed to
optimize effective multimedia use in patient education.
PMID- 29642112
TI - Stepwise Surgical Treatment of Gnathophyma.
PMID- 29642111
TI - Commentary on Swept-Source Optical Coherence Tomography-Supervised Biopsy.
PMID- 29642113
TI - Efficacy of Denosumab for Glucocorticoid-Induced Osteoporosis in an Adolescent
Patient with Duchenne Muscular Dystrophy: A Case Report.
AB - CASE: We report the case of a 13-year-old boy with Duchenne muscular dystrophy
(DMD) who sustained bilateral femoral neck fractures associated with
glucocorticoid-induced osteoporosis. Denosumab therapy for 18 months markedly
improved the lumbar bone mineral density and the bone turnover markers. No
fractures or complications were recorded during the treatment period. CONCLUSION:
To the best of our knowledge, this is the first description of denosumab
treatment for glucocorticoid-induced osteoporosis in a patient with DMD. The drug
merits additional testing as an effective therapy for osteoporosis in patients
with DMD.
PMID- 29642114
TI - Bilateral Neuropathy Dermatitis Following Simultaneous Bilateral Total Knee
Arthroplasty: A Case Report.
AB - CASE: A 65-year-old man developed bilateral knee dermatitis in the distribution
of the infrapatellar nerve 3 months following uncomplicated simultaneous
bilateral total knee arthroplasty (TKA) for osteoarthritis. The patient was
treated with topical corticosteroids, and the rash had resolved after 6 months.
At the 2-year follow-up, there had been no recurrences. CONCLUSION: Neuropathy
dermatitis is a rare cutaneous complication of TKA. Although a few theories
exist, there is no definitive explanation for the underlying pathophysiology of
this disease process.
PMID- 29642117
TI - Birth Month as a Risk Factor for the Diagnosis of Celiac Disease Later in Life: A
Population-based Study.
AB - Various perinatal factors have been implicated in association with the risk of
developing celiac disease (CD) in genetically susceptible individuals. Our aim
was to investigate the association of month and season of birth with the
development of CD later in life in a large National cohort in Israel. Data were
retrieved from a National database of more than 2 million Israeli Jewish
adolescents born between 1971 and 1998. Overall, 10,566 CD cases out of 2,001,353
subjects (0.53%) were identified and analyzed. CD risk was significantly higher
for subjects born in May (odds ratio [OR] 1.07, P = 0.04) and June (OR 1.09, P =
0.008). Birth during the winter season (December to February) showed a marginal
significance toward reduced risk (OR 0.97, P = 0.05). In conclusion, children
born in May and June are at increased risk for CD. This increased risk provides
further evidence that perinatal environmental factors increase the risk of
developing CD.
PMID- 29642118
TI - Revisiting the Elastic Band Tourniquet in Pediatric Upper Limb Surgery.
AB - Pediatric upper limb surgery requires fine tissue handling in a bloodless
surgical field. Standard pneumatic tourniquets are often ineffective in the very
young, as they do not fit all limb circumferences. We describe a simple and
effective elastic band tourniquet technique and report our experience of safety
with 113 cases.
PMID- 29642120
TI - CDC Syphilis Summit: Difficult Clinical and Patient Management Issues.
AB - Despite over a century of clinical experience in diagnosing and managing patients
with syphilis, many thorny clinical questions remain unanswered. We focus on
several areas of uncertainty for the clinician: the role of serological tests in
diagnosing syphilis and assessing syphilis treatment responses, and the risk of
neurosyphilis and ocular syphilis in patients with syphilis. We also address
whether clinical approaches should differ in patients who are, and are not,
infected with HIV. The current increases in syphilis rates in the US and
elsewhere underscore our urgent need to definitively address these issues.
PMID- 29642115
TI - Common and Dissociable Neural Activity After Mindfulness-Based Stress Reduction
and Relaxation Response Programs.
AB - OBJECTIVE: We investigated common and dissociable neural and psychological
correlates of two widely used meditation-based stress reduction programs.
METHODS: Participants were randomized to the Relaxation Response (RR; n = 18; 56%
female) or the Mindfulness-Based Stress Reduction (MBSR; n = 16; 56% female)
programs. Both programs use a "bodyscan" meditation; however, the RR program
explicitly emphasizes physical relaxation during this practice, whereas the MBSR
program emphasizes mindful awareness with no explicit relaxation instructions.
After the programs, neural activity during the respective meditation was
investigated using functional magnetic resonance imaging. RESULTS: Both programs
were associated with reduced stress (for RR, from 14.1 +/- 6.6 to 11.3 +/- 5.5
[Cohen's d = 0.50; for MBSR, from 17.7 +/- 5.7 to 11.9 +/- 5.0 [Cohen's d =
1.02]). Conjunction analyses revealed functional coupling between ventromedial
prefrontal regions and supplementary motor areas (p < .001). The disjunction
analysis indicated that the RR bodyscan was associated with stronger functional
connectivity of the right inferior frontal gyrus-an important hub of intentional
inhibition and control-with supplementary motor areas (p < .001, family-wise
error [FWE] rate corrected). The MBSR program was uniquely associated with
improvements in self-compassion and rumination, and the within-group analysis of
MBSR bodyscan revealed significant functional connectivity of the right anterior
insula-an important hub of sensory awareness and salience-with pregenual anterior
cingulate during bodyscan meditation compared with rest (p = .03, FWE corrected).
CONCLUSIONS: The bodyscan exercises in each program were associated with both
overlapping and differential functional coupling patterns, which were consistent
with each program's theoretical foundation. These results may have implications
for the differential effects of these programs for the treatment of diverse
conditions.
PMID- 29642121
TI - Sexually Transmitted Infection Prevalence in Women With HIV: Is There a Role for
Targeted Screening?
AB - BACKGROUND: Rates of sexually transmitted infections (STIs) and HIV are highest
in the southern United States but vary widely by sex, age, and risk behavior.
Current guidelines recommend annual screening for chlamydia, gonorrhea, syphilis,
and trichomoniasis in all sexually active women with HIV. METHODS: Screening
rates and test positivity for chlamydia, gonorrhea, syphilis, and trichomoniasis
were determined per calendar year in this retrospective cohort study of women in
care at an urban HIV clinic in Birmingham, Alabama, from 2013 to 2015. Chlamydia,
gonorrhea, and trichomonas infections were detected by molecular diagnostics and
syphilis by serology. A combined end point for chlamydia/gonorrhea/syphilis (STI
3) was created based on similar test positivity and predictors. Predictors of STI
3 were identified using logistic regression and generalized estimating equations.
RESULTS: Among 745 women with HIV, median age was 46.8 years, 78.8% were black,
and 61% were sexually active. In 2015, 83.7% of women were tested for STI. Test
positivity was 1.0% for chlamydia, 0.5% for gonorrhea, 1.6% for syphilis, and
13.3% for trichomoniasis. Independent predictors of STI-3 were recent chlamydia
or gonorrhea (odds ratio [OR], 3.7; 95% confidence interval [CI], 1-13.4; P =
0.047), public insurance compared with private (OR, 3.5; CI, 1-11.8; P = 0.048),
and sex after drugs/alcohol (OR, 3.0; CI, 1.2-8.0; P = 0.025). Women 50 years or
older were less likely to have STI (OR, 0.3; CI, 0.1-1; P = 0.040). CONCLUSIONS:
In a cohort of women engaged in HIV care in the southern United States, detection
of chlamydia, gonorrhea, and syphilis was infrequent but trichomoniasis was
common. Many women screened for STI were low risk and universal testing
strategies warrant evaluation.
PMID- 29642122
TI - Lymphogranuloma Venereum Treatment and Terminology.
PMID- 29642123
TI - The Spatial Architecture of Egocentric Sexual Networks: A New Framework or Just
New Terms for Old Ideas?
PMID- 29642124
TI - Embracing Negativity to Improve Work Environments.
PMID- 29642125
TI - Holistic in the Hectic: Family Presence During Resuscitation in the Emergency
Department.
AB - Despite public knowledge of advanced directives and end-of-life wishes, desire
for control over destiny often conflicts with the reality of end-of-life
presentation. Recognized as best practice by both the Emergency Nurses
Association and the American College of Emergency Physicians, family presence
during resuscitation can actually prevent unintended harm to patients and their
families.
PMID- 29642126
TI - The Impact of Behavioral and Psychological Factors on Physical Fitness in Medical
and Nursing Students.
AB - Physical activity improves general health and quality of life. A stressful
academic environment can increase both physical and mental health disruptions in
medical and nursing students, negatively impacting physical activity and fitness.
We explored the relationship between the fitness profiles of 202 medical and
graduate nursing students with self-reported levels of general and emotional
health to elucidate the role of the psychosocial factors involved in choosing to
exercise.
PMID- 29642128
TI - Rest Among African American Women: The Current State of the Science.
AB - Effective health promotion among African American women requires knowledge and
understanding of cultural influences and practices. This scoping review focused
on rest, related concepts, and cultural perspectives and practices. We found a
lack of conceptual distinction between fatigue and sleep and limited research on
cultural meanings and practices of rest.
PMID- 29642127
TI - Frankincense Essential Oil as a Supportive Therapy for Cancer-Related Fatigue: A
Case Study.
AB - Fatigue experienced by patients diagnosed with cancer can be debilitating and can
be challenging to manage. The use of supportive therapies such as essential oils
is gaining popularity among patients diagnosed with cancer. This article
describes one patient's experience using frankincense (Boswellia carterii)
essential oil to help in the management of her fatigue. The topical application
of the frankincense helped to take her fatigue from being barely able to lift her
head to being able to do some basic activities of daily living.
PMID- 29642129
TI - The Effect of Spiritual Care on Adolescents Coping With Cancer.
AB - People's experiences of living with cancer suggest that spirituality creates
purpose and meaning in life for patients. Strengthening spirituality has positive
effects on coping, mental health, and symptoms of the disease. This study
examines the effect of spiritual care on adolescents coping with cancer. This
research is a single-group, quasi-experimental, pre-/poststudy conducted on 32
adolescents. The spiritual care program was presented individually and face to
face over six 45-minute sessions held on the admission days. Data were collected
using the Ways of Coping Questionnaire by Lazarus and Folkman. A significant
difference was observed between the pretest and posttest mean values in all the
coping subscales, which suggests the effect of spiritual care on adolescents'
coping with cancer, but no significant differences were observed between the
posttest and follow-up mean values, which shows the importance of the continuity
of spiritual care in adolescent patients. According to the results, spirituality
based care programs offered by nurses can positively affect adolescents' coping
with cancer and improve their overall coping.
PMID- 29642130
TI - Effectiveness of Integrative Restoration (iRest) Yoga Nidra on Mindfulness,
Sleep, and Pain in Health Care Workers.
AB - This article examines the effectiveness of Integrative Restoration (iRest) Yoga
Nidra meditation on mindfulness, sleep, and pain in health care workers. As
health care workers provide emotional support to patients, it is not uncommon for
workers to experience both physical and mental exhaustion. One holistic approach
to support employees is mindfulness training. iRest Yoga Nidra is a complementary
and integrative health therapy that increases mindfulness. A pre
/postinterveniton descriptive survey design was used. Before and after
experiencing iRest meditation, participants completed a 51-item questionnaire
consisting of demographics plus 3 validated instruments: the Five-Facet
Mindfulness Questionnaire (FFMQ), the Epworth Sleepiness Scale (ESS), and
Department of Defense/Veterans Administration (DoD/VA) Pain Supplemental
Questions (PSQ). A total of 15 participants completed both questionnaires.
Postintervention FFMQ scores were significantly higher than preintervention (z =
3.294, P = .001). The highest subscale scores were "acting with awareness" and
"nonjudging of inner experience." There was a not a significant difference in the
mean ESS scores at baseline and follow-up. However, there was a strong negative
correlation between the mean ESS improvement score and the number of weeks
attended (rs = -0.705, P = .003). There was a not a significant difference in the
mean pain baseline and follow-up scores. This study showed significant
improvement in mindfulness of health care workers following a guided 8-week iRest
Yoga Nidra program. The results of this study may provide some insight into
helping health care workers deal with the demands of their profession in a
positive manner, thus leading to an improved workplace environment.
PMID- 29642131
TI - Bath Bombs: The Power of Self-care Amongst Bubbling Discord.
PMID- 29642132
TI - The Nutrition-Brain Connection: Nutritional Status and Cognitive Decline.
PMID- 29642133
TI - Nurses' Sleepiness and Sleeplessness: The Epidemic of Insufficient Sleep.
PMID- 29642135
TI - The Development of Kyphosis and Lordosis in the Growing Spine.
AB - STUDY DESIGN: A longitudinal cohort study. OBJECTIVE: The aim of this study was
to do the analysis of the development of kyphosis and lordosis in the growing
spine. SUMMARY OF BACKGROUND DATA: Previous studies have measured kyphosis and
lordosis in different ways with differing techniques. None of the previous
literature has a truly longitudinal design and there is disagreement as to
whether there exists a difference between the development of kyphosis and
lordosis between males and females. METHODS: Repeated measures using Integrated
Shape Imaging System Integrated Shape Imaging System 2 surface topography over 5
years of a group of children aged 5 to 16 years without spinal deformity.
Longitudinal analysis was performed using linear mixed effects modeling. RESULTS:
There were 638 measures in 194 children. Both kyphosis and lordosis increased
with age in both males and females (P < 0.001 for kyphosis and P = 0.002 for
lordosis). There was no statistical difference in the development of kyphosis
between males and females (P = 0.149). However, there was a significant
difference in lordosis between males and females (P < 0.001) with female lordosis
larger than that seen in males. Kyphosis and lordosis increased in a nonlinear
fashion with age. CONCLUSION: Kyphosis and lordosis increase as children age.
Between males and females there is no difference in the increase in the size of
kyphosis, but there is difference in the size of lordosis with females having
greater lordosis versus males at the same age. LEVEL OF EVIDENCE: 2.
PMID- 29642134
TI - Effect of Diallyl Trisulfide on Ischemic Tissue Injury and Revascularization in a
Diabetic Mouse Model.
AB - BACKGROUND AND OBJECTIVE: Allitridin [diallyl trisulfide (DATS)] is an extract
from garlic (Allium sativum) that putatively improves endothelial function and is
protective against cardiovascular diseases. Endothelial dysfunction after tissue
ischemia in diabetic patients is partially due to poor angiogenic response. This
study investigated whether DATS may improve angiogenesis in a diabetic mouse
model with hind limb ischemia. METHODS: Streptozotocin was administered by
intraperitoneal injection to establish the model of diabetes in male C57BL/6
mice. After 14 days, nondiabetic and diabetic mice (n = 24, each) underwent
unilateral hind limb ischemia by femoral artery ligation. The mice were
apportioned to 4 groups: nondiabetic treated (or not) with DATS and diabetic
treated (or not) with DATS. DATS treatment consisted of a single daily
intraperitoneal injection of 500 MUg.kg.d for 14 days, beginning on the day of
induced ischemia. Ischemia was scored by standard criteria. Blood perfusion was
determined using thermal infrared imaging. Tissue capillary density and oxidative
stress levels were measured by immunohistochemistry and immunofluorescence,
respectively. Serum lipids were measured by enzymatic colorimetric assay. Fasting
serum insulin was detected using an insulin enzyme-linked immunosorbent assay
kit. Nitric oxide (NO) metabolites and protein carbonyls in tissues were
determined by enzyme-linked immunosorbent assay. Targeted protein concentrations
were measured by western blotting. RESULTS: At 14 days after ligation, the
ischemic skeletal muscle of the streptozotocin-induced diabetic mice had lower
levels of endothelial NO synthase, phosphorylated endothelial NO synthase, and
vascular endothelial growth factor compared with nondiabetic group. In addition,
the hind limb blood perfusion, capillary density, and NO bioactivity were lower
in the diabetic group, whereas oxidative stress and protein carbonyl levels were
higher. These changes were ameliorated by DATS treatment. CONCLUSIONS: DATS
treatment of diabetic mice promoted revascularization in ischemic tissue.
PMID- 29642136
TI - The Seven-Year Cost-Effectiveness of Anterior Cervical Discectomy and Fusion
Versus Cervical Disc Arthroplasty: A Markov Analysis.
AB - STUDY DESIGN: Markov model analysis. OBJECTIVE: The aim of this study was to
determine the 7-year cost-effectiveness of single-level anterior cervical
discectomy and fusion (ACDF) versus cervical disc replacement (CDR) for the
treatment of cervical disc degeneration. SUMMARY OF BACKGROUND DATA: Both ACDF
and CDR are acceptable surgical options for the treatment of symptomatic cervical
disc degeneration. Past studies have demonstrated at least equal effectiveness of
CDR when compared with ACDF in large randomized Investigational Device Exemption
(IDE) studies. Short-term cost-effectiveness analyses at 5 years have suggested
that CDR may be the preferred treatment option. However, adjacent segment disease
and other postoperative complications may occur after 5 years following surgery.
METHODS: A Markov model analysis was used to evaluate data from the LDR Mobi-C
IDE study, incorporating five Markov transition states and seven cycles with each
cycle set to a length of 1 year. Transition state probabilities were determined
from complication rates, as well as index and adjacent segment reoperation rates
from the IDE study. Raw SF-12 data were converted to health state utility values
using the SF-6D algorithm for 174 CDR patients and 79 ACDF patients. RESULTS:
Assuming an ideal operative candidate who is 40-years-old and failed appropriate
conservative care, the 7-year cost was $103,924 for ACDF and $105,637 for CDR.
CDR resulted in the generation of 5.33 quality-adjusted life-years (QALYs), while
ACDF generated 5.16 QALYs. Both ACDF and CDR were cost-effective, but the
incremental cost-effectiveness ratio (ICER) was $10,076/QALY in favor of CDR,
which was less than the willingness-to-pay (WTP) threshold of $50,000/QALY.
CONCLUSION: ACDF and CDR are both cost-effective strategies for the treatment of
cervical disc degeneration. However, CDR is the more cost-effective procedure at
7 years following surgery. Further long-term studies are needed to validate the
findings of this model. LEVEL OF EVIDENCE: 1.
PMID- 29642137
TI - Outpatient Posterior Lumbar Fusion: A Population-Based Analysis of Trends and
Complication Rates.
AB - STUDY DESIGN: A retrospective case-control study. OBJECTIVE: The aim of this
study was to determine the nationwide trends and complication rates associated
with outpatient posterior lumbar fusion (PLF). SUMMARY OF BACKGROUND DATA:
Outpatient lumbar spine fusion is now possible secondary to minimally invasive
techniques that allow for reduced hospital stays and analgesic requirements.
Limited data are currently available regarding the clinical outcome of outpatient
lumbar fusion. METHODS: The Humana administrative claims database was queried for
patients who underwent one to two-level PLF (CPT-22612 or CPT-22633 AND ICD-9
816.2) as either outpatients or inpatients from Q1 2007 to Q2 2015. The incidence
of perioperative medical and surgical complications was determined by querying
for relevant International Classification of Diseases and Current Procedural
Terminology codes. Multivariate logistic regression adjusting for age, gender,
and Charlson Comorbidity Index was used to calculate odds ratios (ORs) of
complications among outpatients relative to inpatients undergoing PLF. RESULTS:
Cohorts of 770 patients who underwent outpatient PLF and 26,826 patients who
underwent inpatient PLF were identified. The median age was in the 65 to 69 years
age group for both cohorts. The annual relative incidence of outpatient PLF
remained stable across the study period (R = 0.03, P = 0.646). Adjusting for age,
gender, and comorbidities, patients undergoing outpatient PLF had higher
likelihood of revision/extension of posterior fusion [(OR 2.33, confidence
interval (CI) 2.06-2.63, P < 0.001], anterior fusion (OR 1.64, CI 1.31-2.04, P <
0.001), and decompressive laminectomy (OR 2.01, CI 1.74-2.33, P < 0.001) within 1
year. Risk-adjusted rates of all other postoperative surgical and medical
complications were statistically comparable. CONCLUSION: Outpatient lumbar fusion
is uncommonly performed in the United States. Data collected from a national
private insurance database demonstrate a greater risk of postoperative surgical
complications including revision anterior and posterior fusion and decompressive
laminectomy. Surgeons should be cautious in performing PLF in the outpatient
setting, as the risk of revision surgery may increase in these cases. LEVEL OF
EVIDENCE: 3.
PMID- 29642138
TI - Paraneoplastic sensorimotor polyneuropathy in prostatic adenocarcinoma: A case
report.
AB - RATIONALE: Paraneoplastic syndrome is a very rare syndrome among prostate cancer
patients. In particular, paraneoplastic sensorimotor neuropathy has never been
reported as a complication of prostatic adenocarcinoma. PATIENT CONCERNS: A 75
year-old man who was diagnosed with prostatic adenocarcinoma with multiple
metastases received cancer treatment. But, numbness and tingling sensations in
both sides of the upper and lower limbs got progressively worse. DIAGNOSESE: He
was diagnosed with positive anti-Hu antibodies paraneoplastic sensorimotor
polyneuropathy caused by prostatic adenocarcinoma. INTERVENTIONS: The patient
received physical therapy, occupational therapy, and opioid medication during 3
weeks at cancer rehabilitation department during 3 weeks. OUTCOMES: There was no
improvement in functional outcome in this patient. But, the patient's neuropathic
pain was improved by the use of opioid agents. LESSONS: This case report is the
first to report anti-Hu antibody-positive paraneoplastic sensorimotor neuropathy
in a patient with adenocarcinoma of the prostate.
PMID- 29642139
TI - Antenatal screening and diagnosis of tuberous sclerosis complex by fetal
echocardiography and targeted genomic sequencing.
AB - Although fetal cardiac rhabdomyoma can be the initial finding in patients with
tuberous sclerosis complex (TSC), the challenges of precise genetic diagnosis of
TSC can now be potentially overcome by new whole or targeted genomic sequencing.
The goals of this study were to investigate the correlation between suspected
cardiac rhabdomyoma and TSC to provide the information for a prenatal diagnosis
of TSC and to validate the TSC genotype in this cohort of fetuses with suspected
prenatal cardiac rhabdomyoma.We retrospectively analyzed 10,728 fetal
echocardiograms from January 2013 to March 2016 in our institution. A total of 32
fetuses were suspected of having cardiac rhabdomyomas. Among them, 15 subjects
met the inclusion criteria and provided written consent. Samples from fetuses (n
= 13 after terminations) and newborns (n = 2) were available for targeted genomic
sequencing of the exons and introns of the TSC1 and TSC2 genes and the adjacent
10 base pairs and for validated studies using Sanger sequencing.Among the 15
subjects with suspected cardiac rhabdomyoma and TSC genomic sequencing data, 7
subjects were familial and 8 subjects were sporadic cases. Fetal echocardiography
showed a single tumor in 2 fetuses and multiple tumors in 13 fetuses. Gene
sequencing analysis showed no mutation of the TSC1 or TSC2 gene in 2 subjects
with a single tumor but positive mutations in all 13 subjects with multiple
tumors. Among the latter, 5 mutations were "pathogenic" and have been previously
reported (4 familial and 1 sporadic). Six new mutations were "likely pathogenic"
and had not been previously reported (3 familial and 3 sporadic); 1 was of
"uncertain significance" (sporadic), and 1 was suspected of being "likely benign"
(sporadic).Prenatal suspected cardiac rhabdomyoma detected by fetal
echocardiography should raise the suspicion of TSC. In fetuses with multiple
tumors, especially the familial cases, genomic sequencing has a high yield of
detecting TSC-causing genes. Patient history, prenatal fetal echocardiography,
and targeted genomic sequencing may facilitate screening for, diagnosis of, and
counseling for TSC.
PMID- 29642140
TI - Statins in adult patients with HIV: Protocol for a systematic review and network
meta-analysis.
AB - BACKGROUND: Patients with HIV have been found to suffer from lipid abnormalities,
including elevated levels of total and LDL-cholesterol as well as triglyceride
levels. Abnormal lipid levels are associated with an increased risk of developing
cardiovascular diseases, which are significant causes of mortality among the
general population. Therefore, the objective of the current study is to conduct a
systematic review with network meta-analysis to compare the effects of statins
classes on HIV patients. METHODS: Randomized clinical trials (RCTs) and
observational studies published in English up to 31 December 2017, and which
include direct and/or indirect evidence, will be included. Studies will be
retrieved by searching four electronic databases and cross-referencing. Dual
selection and abstraction of data will occur. The primary outcome will all-cause
mortality, new event of acute myocardial infarction, stroke (hemorrhagic and
ischemic), hospitalization for acute coronary syndrome and urgent
revascularization procedures and cardiovascular mortality. Secondary outcomes
will be assessment of the differences in change of total cholesterol (TC), low
density lipoprotein (LDL-C), apolipoprotein B (ApoB), high density lipoprotein
(HDL-C). Risk of bias will be assessed using the Cochrane Risk of Bias assessment
instrument for RCTs and the Strengthening the Reporting of Observational Studies
in Epidemiology instrument for observational studies. Network meta-analysis will
be performed using multivariate random-effects meta-regression models. The
surface under the cumulative ranking curve will be used to provide a hierarchy of
statins that reduce cardiovascular mortality in HIV patients. A revised version
of the Cochrane Risk of Bias tool (RoB 2.0) will be used to assess the risk of
bias in eligible RCTs. Results will be synthesized and analyzed using network
meta-analysis (NMA). Overall strength of the evidence and publication bias will
be evaluated. Subgroup and sensitivity analysis will also be performed. RESULTS
AND CONCLUSION: Ethics approval was not required for this study because it was
based on published studies. The results and findings of this study will be
submitted and published in a scientific peer-reviewed journal. The evidence will
determine which combination of interventions are most promising for current
practice and further investigation. TRIAL REGISTRATION NUMBER: PROSPERO
(CRD42017072996).
PMID- 29642141
TI - A case report of frozen elephant trunk combined with endovascular treatment for
acute aortic dissection of Kommerell's diverticulum involving right aortic arch
and descending aorta.
AB - RATIONALE: Acute aortic dissection of Kommerell's diverticulum in the right
aortic arch is extremely rare. There are several different procedures for the
disease. With advances in endovascular treatment, hybrid surgical and
endovascular management may provide a treatment of choice for this kind of
disease. PATIENT CONCERNS: A 43-year-old man was admitted to the hospital with
intermittent pain of left arm, chest, and back. DIAGNOSES: Computed tomography
demonstrated an aberrant left subclavian artery originating from Kommerell's
diverticulum in the right aortic arch, acute aortic dissection of Kommerell's
diverticulum involving arch and descending aorta. INTERVENTIONS: Total arch
replacement combined with frozen elephant trunk was performed to create an
adequate landing zone through a median sternotomy by circulatory arrest. Thoracic
endovascular aortic repair was performed to isolate Kommerell's diverticulum from
descending aorta completely, extending from the frozen elephant trunk to the
distal descending aorta at the same time. OUTCOMES: The patient got an uneventful
postoperative course. LESSONS: Hybrid surgical and endovascular management is a
safe and effective procedure for this rare disease.
PMID- 29642142
TI - Peroral endoscopic cardial constriction in gastroesophageal reflux disease.
AB - Gastroesophageal reflux disease (GERD) is a major digestive health problem with a
high and increasing incidence worldwide. Peroral endoscopic cardial constriction
(PECC) was developed by our group to provide a less invasive treatment for
GERD.In this preliminary follow-up study, 16 patients were enrolled and 13
patients with GERD were targeted for analysis according to the Los Angeles
classification of reflux esophagitis. The GERD health-related quality of life
(GERD-HRQL) scale and esophageal pH monitoring were applied to assess clinical
efficiency at 3 and 6 months after PECC treatment, respectively.All GERD patients
successively received PECC, and no severe treatment-related complication was
reported. Before PECC treatment, the GERD-HRQL scale was 19.92 +/- 7.89. At 3 and
6 months after treatment, the GERD-HRQL scale was 4.46 +/- 4.31 and 5.69 +/-
5.07, respectively. DeMeester score was 125.50 +/- 89.64 before PECC treatment,
and 16.97 +/- 12.76 and 20.32 +/- 15.22 at 3 and 6 months after PECC treatment.
Furthermore, the fraction time of a pH below 4 significantly decreased at 3 and 6
months after PECC treatment. Fraction time at pH <4 was 35.55 +/- 26.20 before
PECC treatment and 7.96 +/- 13.03 and 4.72 +/- 3.78 at 3 and 6 months after PECC
treatment, respectively. These results suggest that PECC treatment could
significantly reduce the GERD-HRQL scale and DeMeester score (P < .01).PECC is a
feasible, safe, and effective method to treatment GERD through narrowing the
diameter of the cardia and preventing the reflux of stomach contents.
PMID- 29642143
TI - Small bowel capsule endoscopy revealing neuromuscular and vascular hamartoma of
the jejunum: A case report.
AB - RATIONALE: Digestive hemorrhage is a life-threatening and represents for both
clinicians and patient a challenger problematic condition with the urgencies to
discover the origin for correct the cause and safe the life of patient. PATIENT
CONCERNS: We report the case of a 58 -year-old man with extremely rare
hamartomatous neurovascular lesion. Following recurrent episode of intestinal
hemorrhage the patient underwent small bowel capsule endoscopy. DIAGNOSES:
Diagnosed with small intestine neoplasia. INTERVENTIONS: The patient underwent
curative small bowel resection. Histologic diagnosis was neuromuscular and
vascular hamartoma (NMVH). In the small intestine, neoplastic lesions are very
rare (2%) and mostly malformative while the more frequent cause of cryptic
digestive hemorrhage remains angiodysplasia (50%) . The preexisting NMVH was
exacerbated by the use of non-steroidal anti-inflammatory drugs, causing
hemorrhage due to diffuse ulceration. OUTCOMES: The patient stay healthy after
treatment. LESSONS: This is an hemorrhagic lesion with macroscopic "neoplastic"
patterns due to abnormal mixing of normal indigenous tissue components. It poses
a diagnostic challenge for clinicians and pathologists, but diagnosis is
facilitated by capsule endoscopy and surgical treatment should provide definitive
resolution.
PMID- 29642144
TI - Lectin microarray and mass spectrometric analysis of hepatitis C proteins reveals
N-linked glycosylation.
AB - We used lectin microarray and mass spectrometric analysis to identify the N
linked glycosylation patterns of hepatitis C virus (HCV) particles. HCV J6/JFH-1
chimeric cell culture (HCVcc) in the culture supernatant was concentrated and
purified by ultrafiltration and sucrose gradient ultracentrifugation. Twelve
fractions were collected from the top and analyzed for viral infectivity and HCV
RNA content after sucrose gradient separation. HCV RNA and proteins were
separated by ultracentrifugation in a continuous 10% to 60% sucrose gradient to
purify viral particles based on their sedimentation velocities. HCVcc particles
were found mainly in fractions 6 to 8, as determined by quantitative polymerase
chain reaction (qPCR) analysis for HCV RNA and ELISA of the HCV core protein. The
N-glycans on HCV proteins were analyzed by lectin microarray and mass
spectrometry. We identified that 32 of 37 lectins displayed the positive binding
signals and 16 types of N-glycoforms of which the major HCV glycoforms were high
mannose-type N-linked oligosaccharides, hybrid N-glycans, and fucosylated N
glycans. Our study provided new detailed information regarding the majority of
the glycan-protein profile, complementing to previous findings of glycan-HCV
protein interactions.
PMID- 29642145
TI - Intra-articular injection of methylprednisolone for reducing pain in knee
osteoarthritis: A systematic review and meta-analysis.
AB - BACKGROUND: To evaluate the efficacy and safety of intra-articular
methylprednisolone for reducing pain in patients with knee osteoarthritis.
METHODS: We conduct electronic searches of Medline (1966-2017.11), PubMed (1966
2017.11), Embase (1980-2017.11), ScienceDirect (1985-2017.11), and the Cochrane
Library (1900-2017.11) for randomized clinical trials comparing the use of
methylprednisolone to treat knee osteoarthritis. The primary outcomes are Western
Ontario and McMaster Universities Arthritis Index (WOMAC) pain scores and WOMAC
function scores. Each outcome was combined and calculated using the statistical
software STATA 12.0. Fixed/random effect model was adopted based on the
heterogeneity tested by I statistic. RESULTS: A total of 739 patients were
analyzed across 4 randomized controlled trials (RCTs). The present meta-analysis
revealed that there were significant differences between groups regarding the
WOMAC pain scores at 4 weeks (WMD = -1.384, 95% CI: -1.975 to -0.793, P = .000),
12 weeks (WMD = -1.587, 95% CI: -2.489 to -0.685, P = .001), and 24 weeks (WMD =
1.563, 95% CI: -2.245 to -0.881, P = .000). Significant differences were
identified in terms of physical function at 4 weeks (WMD = -7.925, 95% CI:
13.359 to -2.491, P = .004), 12 weeks (WMD = -7.314, 95% CI: -13.308 to -1.320, P
= .117), and 24 weeks (WMD = -6.484, 95% CI: -11.256 to -1.711, P = .008).
CONCLUSION: Intra-articular methylprednisolone injection was associated with an
improved pain relief and physical function in patients with knee osteoarthritis.
Additionally, no severe adverse effects were observed. Due to the limited quality
of the evidence currently available, higher quality RCTs were required.
PMID- 29642146
TI - Comparison of angiotensin-converting enzyme inhibitors and angiotensin II
receptor blockers on cardiovascular outcomes in hypertensive patients with type 2
diabetes mellitus: A PRISMA-compliant systematic review and meta-analysis.
AB - BACKGROUND: Previous studies seem to show different effects of angiotensin
converting enzyme inhibitors (ACEIs) and angiotensin-receptor blockers (ARBs) on
cardiovascular (CV) events in hypertensive patients with type 2 diabetes mellitus
(T2DM). Our objective was to analyze which are preferable on the incidence of all
cause mortality, CV death, and major CV events in hypertensive patients with
T2DM. METHODS: PubMed, MEDLINE, and EMBASE were searched for randomized
controlled trials (RCTs) published up to June 2016 with ACEI or ARBs as the
intervention for hypertensive patients with T2DM. The primary end points were all
cause mortality and CV death. The secondary end points were myocardial infarction
(MI), stroke, heart failure (HF), and CV events. Two investigators extracted the
information independently. Data were pooled using a fixed-effects model or a
random-effects model if significant heterogeneity was present. RESULTS: A total
of 13 trials were included for analysis, 5 ACEI trials (24,976 patients) and 8
ARB trials (22,032 patients) followed for a mean of 3.8 years. Treatment with
ACEI was associated with significantly reduction in all-cause mortality [odds
ratio (OR) 0.87; 95% confidence interval (95% CI), 0.80-0.94], CV death (OR 0.81;
95% CI, 0.68-0.98), and other CV outcomes such as MI (0R 0.77; 95% CI, 0.66
0.90), stroke (OR 0.88; 95% CI, 0.78-0.99), HF (OR 0.65; 95% CI, 0.47-0.90), and
CV events (OR 0.83; 95% CI, 0.73-0.95), whereas ARBs therapy had no significant
reduction in the results of many primary and secondary outcomes. CONCLUSION: This
meta-analysis suggests that treatment with ACEI showed a significant CV
protection for all-cause mortality, CV death, and major CV events, whereas ARBs
had no benefits on these outcomes except MI. In consideration of high mortality
and morbidity, ACEI was preferable than ARBs on patients with hypertension and
T2DM.
PMID- 29642147
TI - The research status of immune checkpoint blockade by anti-CTLA4 and anti-PD1/PD
l1 antibodies in tumor immunotherapy in China: A bibliometrics study.
AB - PURPOSE: Using bibliometrics, we analyzed the research status of immune
checkpoint blockade (ICB, a popular tumor immunotherapy method represented by
antibodies targeted CTLA-4 and PD-1/PD-L1) in tumor immunotherapy in China during
the past 2 decades. METHODS: Articles in Science Citation Index Expanded (SCI
EXPANDED), patents in Thomson Innovation, and drugs in Cortellis Competitive
Intelligence in the field of ICB for tumor immunotherapy from 1996 to 2015 were
the subjects of bibliometric analysis. Using database-attached software and
Excel, quantitative analyses were performed including examination of the number
of documents, citation frequency, h-index, key projects, quantity of
publications, public patents, and status of new drug research. RESULTS: The
number of publications from 1996 to 2015 in the field of ICB for tumor
immunotherapy that came out of China was 380, which was 14.3% of the total
publications worldwide and was second only to that of the USA. In the past
decade, China has rapidly increased the number of publications and patents in
this field. However, indicators of publication influence, such as citation
frequency and h-index, were far behind other advanced countries. In addition, the
total number of patents in China was much lower than that of the USA. China has
introduced 5 drugs for ICB that are being developed for the healthcare market.
CONCLUSION: Tumor immunotherapy research such as ICB in China has developed
rapidly with increasing influence in the last 2 decades. However, there is still
a relatively large gap compared with the USA. It is expected that China will have
greater influence on tumor immunotherapy research in the near future.
PMID- 29642148
TI - Turning the backbone into an ankylosed concrete-like structure: Case report.
AB - RATIONALE: Progressive restriction of the spinal bio-mechanics is not-uncommon
deformity encountered in spine clinics. Congenital spinal fusion as seen in
Klippel-Feil-anomaly, progressive non-infectious anterior vertebral fusion, and
progressive spinal hyperostosis secondary to ossification of the anterior
longitudinal spinal ligament are well delineated and recognized. PATIENT
CONCERNS: A 24-year-old girl has history of osteoporosis since her early
childhood, associated with multiple axial and appendicular fractures and
scoliosis. Recently she presented with episodes of severe back pain, spinal
rigidity/stiffness with total loss of spine biomechanics. DIAGNOSES: She was
provisionally diagnosed as having osteogenesis imperfecta and was investigated
for COL1A1/A2 mutations which have been proven to be negative. Autosomal
recessive type of osteogenesis imperfecta was proposed as well, no mutations have
been encountered. A homozygous for CTSA gene mutation, the gene associated with
Galactosialidosis was identified via whole exome sequencing (Next-Generation
Sequencing projects) has been identified. INTERVENTIONS: Early in her life she
had a history of frequent fractures of the long bones since she was 4 years which
was followed by vertebral fractures at the age of 12 years. She manifested lower
serum 25OH-D levels and were associated with lower LS-aBMD Z-scores with higher
urinary bone turnover indexes (urinary NTX/Cr). OUTCOMES: Lysosomal storage
diseases (LSD) have a strong correlation with the development of osteoporosis.
LSD causes skeletal abnormalities results from a lack of skeletal remodeling and
ossification abnormalities owing to abnormal deposition of GAGs (impaired
degradation of glycosaminoglycans ) in bone and cartilage. 3D reconstruction CT
scan of the spine showed diffuse hyperostosis of almost the entire spine (begins
at the level of T4- extending downwards to involve the whole thoraco-lumbar and
upper part of the sacrum) with total diffuse fusion of the pedicles, the
transverse and articular processes, the laminae and the spinous processes.
LESSONS: This is the first clinical report of adult patient with a history of
osteoporosis and fractures with the late diagnosis of Galactosialidosis.
Osteogenesis imperfecta (autosomal dominant and recessive) were the first given
diagnoses which proven negative. The pathophysiology of the spine ankylosis in
our current patient and its correlation with LSD, antiresorptive medications,
vitamin D3 and supplemental calcium is not fully understood. Therefore, further
studies are needed to elucidate this sort of correlation.
PMID- 29642149
TI - Full recovery after prolonged resuscitation from cardiac arrest due to
propafenone intoxication: A case report.
AB - RATIONALE: The prognosis of cardiac arrest (CA) induced by propafenone
intoxication was thought to be very poor. The maximal duration of cardiopulmonary
resuscitation (CPR) for propafenone induced CA is unknown. PATIENT CONCERNS: We
describe a case that was successfully resuscitated after prolonged CPR (totaling
340 minutes during one hospital visit) for propafenone intoxication without
subsequent neurological sequela. DIAGNOSES: A previously healthy 36-year-old
female who developed multiple and prolonged CAs after consuming 98 tablets of
50mg propafenone. The CPR duration of this case, to the best of our knowledge, is
the longest of all existing propafenone-induced CPR events to still have full
recovery. We also analyse the contributing factors to this successful CPR.
INTERVENTIONS: Sodium bicarbonate, inotropic drugs and pacemaker application did
not prevent the occurrence of CA. A full recovery was eventually achieved after
prolonged CPR with a mechanical CPR device, blood purification and other
aggressive supportive treatments. OUTCOMES: Full recovery without neurological
sequela. LESSONS: Prolonged CPR including the application of mechanical CPR
devices should be considered in propafenone-related CA, especially in young
patients without significant comorbidities and delayed resuscitation.
PMID- 29642150
TI - The poor prognosis of the primary gastric epithelioid angiosarcoma: A case
report.
AB - RATIONALE: Primary gastric epithelioid angiosarcoma is a highly aggressive
endothelial cell malignancy and may pose a great diagnostic challenge. PATIENT
CONCERNS: Here we describe the case of a 56-year-old man presented with melena
and epigastric dull pain for 2 weeks. DIAGNOSIS: Primary gastric epithelioid
angiosarcomas: the definitive diagnosis was provided by immunohistochemical
analysis with endothelial markers such as cluster of differentiation 31 (CD31),
ether-a-go-go-related gene (ERG), and Freund leukemia integration (FLI-1).
INTERVENTIONS: After gastroscopic biopsy was performed at the bleeding fundus and
the results suggested malignant tumor, radical gastrectomy was performed.
OUTCOMES: Unfortunately, regional lymph node enlargement and distant metastases
occurred about 1 month later. The patient did not have the opportunity to undergo
chemotherapy or other treatment and died from multiple organ dysfunction
syndrome. LESSONS: Primary gastric epithelioid angiosarcomas are rare tumors with
a high rate of lymph nodes and peripheral organs metastasis. The strong
cytokeratin expression in epithelioid angiosarcomas represents a diagnostic
pitfall for pathologists. Their clinical behaviors are unpredictable and results
with surgical excision alone have been disappointing. Thus, the prognosis is
generally considered poor and patients seldom can survive over 1 year after
diagnosis.
PMID- 29642151
TI - Risk interrelationship among multiple primary tumors: A case report and review of
literature.
AB - RATIONALE: Along with advanced management in oncology, great progress has been
recently achieved in the studies of multiple primary tumors. Several reports have
studied the coexistence between lymphoma and either renal cell carcinoma (RCC) or
Warthin tumor. However, the level of coexistence between these cases remains
unclear due to the absence of a distinct link between them. PATIENT CONCERNS: We
present a unique case of multiple primary tumors (lymphoma, RCC, and Warthin
tumor) in an 80-year-old man and a review of the literature on the coexistence of
RCC with lymphoma and lymphoma with Warthin tumor. DIAGNOSIS: With a history of
RCC, the patient had a freely movable lump under his left ear, and the
pathological report indicated Hodgkin lymphoma and Warthin tumor. INTERVENTION:
RCC and Warthin tumor of the patient were surgically treated, followed by 2
cycles (14 days per cycle) of Epirubicin 40 mg day 1, Bleomycin 8 mg day 1,
Vincristine 2 mg day 1, and Dacarbazine 500 mg day 1. The chemotherapy protocol
was then changed to Epirubicin 40 mg day 1, Vincristine 2 mg day 1, and
Dacarbazine 500 mg day 1 for 7 cycles. OUTCOMES: After the last day of
chemotherapy, the patient showed a complete response. LESSONS: To the best of our
knowledge, this paper is the first to report a case of multiple primary tumors
with a complete response. For their early detection, favorable prognosis, and
correlation identification, we suggest a transitive relation between these
coexisting tumors. Therefore, similar studies should be conducted.
PMID- 29642152
TI - Mandibular metastasis as the first clinical indication of occult lung
adenocarcinoma with multiple metastases: A case report.
AB - RATIONALE: Although metastases to the oral and maxillofacial region (OMR) are
rare, the lung is the most common primary site metastasizing to the OMR. PATIENT
CONCERNS: An 83-year-old woman presented with reports of trismus, occlusal
discomfort, swelling, and spontaneous pain in the right buccal region. Despite
the absence of abnormal chest imaging findings, immunohistochemical analysis of
biopsy specimens of the mandible and the thyroid indicated that the patient had
multiple metastases from a lung poorly differentiated adenocarcinoma. DIAGNOSES:
Metastases to the OMR and the thyroid from an undiscovered lung adenocarcinoma.
INTERVENTIONS: Gefitinib was started as first-line chemotherapy, and zoledronic
acid was administered for bone metastases. OUTCOMES: Follow-up imaging
examinations showed ossification and deformation of the right mandibular ramus
and the condylar process. Although 2 years have passed since the first visit to
our hospital, lung lesions have not been confirmed by imaging examinations.
LESSONS: Clinicians should consider the possibility that symptoms in the OMR may
be the first clinical sign of an undiscovered distant primary tumor, and the
primary tumors may not be detected by imaging examinations even when metastases
to the OMR are revealed.
PMID- 29642153
TI - DRESS syndrome with thrombotic microangiopathy revealing a Noonan syndrome: Case
report.
AB - RATIONALE: The life-threatening drug rash with eosinophilia and systemic symptoms
(DRESS) syndrome occurs most commonly after exposure to drugs, clinical features
mimic those found with other serious systemic disorders. It is rarely associated
with thrombotic microangiopathy. PATIENT CONCERNS: We describe the unique case of
a 44-year-old man who simultaneously experienced DRESS syndrome with thrombotic
microangiopathy (TMA) after a 5 days treatment with fluindione. DIAGNOSES:
Clinical evaluation leads to the discovery of an underlying lymphangiomatosis,
due to a Noonan syndrome. INTERVETIONS: The anticoagulant was withdrawn, and
corticosteroids (1 mg/kg/day) and acenocoumarol were started. OUTCOMES: Clinical
improvement ensued. At follow-up the patient is well. LESSONS: The association of
DRESS with TMA is a rare condition; we believe that the presence of the
underlying Noonan syndrome could have been the trigger. Moreover, we speculate
about the potential interrelations between these entities.
PMID- 29642154
TI - Quantitative comorbidity risk assessment of dementia in Taiwan: A population
based cohort study.
AB - Dementia is one of the most burdensome illnesses in elderly populations
worldwide. However, the literature about multiple risk factors for dementia is
scant.To develop a simple, rapid, and appropriate predictive tool for the
clinical quantitative assessment of multiple risk factors for dementia.A
population-based cohort study.Based on the Taiwan National Health Insurance
Research Database, participants first diagnosed with dementia from 2000 to 2009
and aged >=65 years in 2000 were included.A logistic regression model with
Bayesian supervised learning inference was implemented to evaluate the
quantitative effects of 1- to 6-comorbidity risk factors for dementia in the
elderly Taiwanese population: depression, vascular disease, severe head injury,
hearing loss, diabetes mellitus (DM), and senile cataract, identified from a
nationwide longitudinal population-based database.This study enrolled 4749 (9.5%)
patients first diagnosed as having dementia. Aged, female, urban residence, and
low income were found as independent sociodemographic risk factors for dementia.
Among all odds ratios (ORs) of 2-comorbidity risk factors for dementia, comorbid
depression and vascular disease had the highest adjusted OR of 6.726. The 5
comorbidity risk factors, namely depression, vascular disease, severe head
injury, hearing loss, and DM, exhibited the highest OR of 8.767. Overall, the
quantitative effects of 2 to 6 comorbidities and age difference on dementia
gradually increased; hence, their ORs were less than additive. These results
indicate that depression is a key comorbidity risk factor for dementia.The
present findings suggest that physicians should pay more attention to the role of
depression in dementia development. Depression is a key cormorbidity risk factor
for dementia. It is the urgency of evaluating the nature of the link between
depression and dementia; and further testing what extent controlling depression
could effectively lead to the prevention of dementia.
PMID- 29642155
TI - Occipital epilepsy versus progressive myoclonic epilepsy in a patient with
continuous occipital spikes and photosensitivity in electroencephalogram: A case
report.
AB - INTRODUCTION: Progressive myoclonic epilepsy (PME) is rare epilepsy syndrome.
Although EEG is a useful neurophysiological technique in the evaluation of
epilepsy, few EEG abnormalities have been described in PME. So, how to use EEG
hints to establish the suspected diagnosis of PME as soon as possible should be
addressed. CASE PRESENT: We presented a case with refractory myoclonic seizures,
and progressive neurological deterioration, diagnosed as PME and neuronal ceroid
lipofuscinosis disease by gene testing. The patient manifested with a significant
regression in her speech ability and motor balance. The mini-mental state
examination showed poor scores of 15/30. The magnetic resonance imaging showed
diffused atrophy. Her EEG showed slow background with continuous occipital small
spikes and photosensitivity. The following genetic testing with mutation in CLN6
confirmed the diagnosis and excluded the occipital epilepsy. CONCLUSION: Our case
showed rare manifestations and special EEG features of PME, which may be confused
with occipital epilepsy or photosensitive epilepsy. Thus, if the continuous
occipital spikes and photosensitivity were presented in a patient with refractory
seizures and developmental regression, PME should be considered.
PMID- 29642156
TI - Neurolymphomatosis in non-Hodgkin lymphoma with cranial multineuritis: A case
report.
AB - RATIONALE: Neurolymphomatosis (NL) is a rare syndrome of lymphoma and leukemic
infiltration of cranial or peripheral nerves. PATIENT CONCERNS: We report a case
of non-Hodgkin Lymphoma (NHL) in a 24-year-old man presented with difficulty in
swallowing, hypersalivation, hoarseness, ptosis, facial paralysis, and facial
hypoesthesia associated with NL. DIAGNOSIS: NL was diagnosed based upon cranial
magnetic resonance imaging (MRI) and cerebrospinal fluid (CSF) examination.
INTERVENTIONS: The patient was treated with intrathecal methotreaxate (12.5 mg)
and cytosine arabinoside (70 mg), systemic high-dose methotrexate therapy, and
cranial radiotherapy. OUTCOME: Due to the deterioration of general condition of
the patient, he was admitted to intensive care unit, but died 22 days after the
onset of symptoms in spite of aggressive treatment. LESSONS: In this case, we
present a patient with T cell lymphoma and multineuritis of NL diagnosed by MRI
and as far as we know, this is the first reported case in which so many cranial
nerves (3, 5, 7, 8, 9, and 10 th) were involved. Briefly, in a patient with
hematologic malignancy and neurological complaints, NL should be considered.
Early and effective use of imaging modalities such as positron emission
tomography (PET-CT), MRI, and aggressive therapies are important for prolonged
survival.
PMID- 29642157
TI - A successful treatment of necrotizing fasciitis following the surgery of distal
radius plate removal: A case report and literature review.
AB - RATIONALE: Necrotizing fasciitis (NF) is defined as a rare, rapidly progressive,
and highly lethal skin infection characterized by necrosis of the fascia and
subcutaneous tissue. PATIENT CONCERNS: The present study aims to discuss the case
of a 35-year-old man who developed NF following a routine sterile right distal
radius bone plate removal surgery. DIAGNOSES: The patient was suspected of NF
based on his clinical manifestations, laboratory tests, and imaging results. The
diagnosis of NF was confirmed by histological examinations. INTERVENTIONS: Serial
prompt and extensive debridement was performed during the rapid and aggressive
extension of the skin infection, together with antibiotics and supportive
treatments. OUTCOMES: The condition of the patient finally improved on the sixth
day of disease progression. Skin grafting of his right forearm wound was
performed successfully 2 months after the admission. LESSONS: NF can occur during
the perioperative period for routine clean radius plate removal operation in
patients with no risk factor for NF. The objective is to remind the physicians to
stay aware of this disease, especially its early clinical signs and symptoms.
Urgent subsequent treatment, including surgical debridement, antibiotic therapy,
and supporting management, is the key to ensure the survival and better prognosis
of patients.
PMID- 29642158
TI - Primary epithelioid angiosarcoma of right hip joint: A case report and literature
review.
AB - RATIONALE: Epithelioid angiosarcoma (EA) is a rare, highly invasive tumor. The
histopathological features of EA are not distinct and less reported in the
literature, and most of the medical records are incomplete. PATIENT CONCERNS: A
61-year-old woman who came to the hospital because of pain in her right hip. This
patient had had surgery for right hip tuberculosis 30 years ago. DIAGNOSES: The
present study reports a case of primary EA of bone with aneurysmal bone cyst
(ABC) that was diagnosed by 3 experienced pathologists. INTERVENTIONS: The
patients had undergone 2 surgeries; however, an early recurrence of the tumor was
caused the death of the patient. OUTCOMES: Ten EA cases from other literature
were reviewed in this article; all the symptoms were found in different parts of
bone, and the case data were relatively complete. The primary clinical features
and nonspecific histopathological morphology of the disease were summarized from
the 11 cases mentioned in the literature, and the main immunohistochemistry
characteristics and diagnostic traps of EA were reviewed. LESSONS: Because the
tumor has no characteristic diagnostic index in imaging and laboratory
examination, the histopathologic features are not typical, especially in the case
of obvious secondary lesions. It is easy to miss and misdiagnose. If possible,
the diagnosis should be combined with immunohistochemical results.
PMID- 29642159
TI - Multiple total hip arthroplasties in refractory immune thrombocytopenic purpura:
A case report and literature review.
AB - RATIONALE: Refractory immune thrombocytopenic purpura (RITP) manifests as low
platelet count, with a high risk of hemorrhage, treatment difficulty, and high
mortality. Total hip arthroplasty (THA) in RITP is rarely reported. This study
aimed to evaluate multiple THAs or revision total hip arthroplasties (RTHAs) in
RITP. PATIENT CONCERNS: The male patient with RITP was 54-year-old patient and
hospitalized on September 21, 2009, with the main complaint of bilateral hip pain
after traveling for 2 weeks. The patient had a history of ITP for 16-years, with
no response to hormone therapy (after adequate hormone therapy, platelet count
persistently remained below 30 * 10/L). Two year prior to visit, the patient
underwent splenectomy, and postoperative platelet persistently fluctuated around
10 to 20 * 10/L. The patient did not undergo regular reexaminations, and declined
immunosuppressants. DIAGNOSES: Femoral neck fracture; Refractory immune
thrombocytopenic purpura (RITP). INTERVENTIONS: A RITP patient with femoral neck
fracture received 2 THAs and 1 RTHA. First THA indication was significant left
dislocation of Garden III type. RTHA was performed following prosthetic loosening
after left total hip arthroplasty. The second THA was prompted by non-healing of
the old fracture, significant pain, and a low Harris score. Platelet count
remained <20 * 10/L, and conventional drugs, splenectomy, and platelet
transfusion had no effects. A large gamma-globulin dose was administered
preoperatively. When platelet increased to 75 * 10/L, 2 THAs and 1 RTHA were
successfully completed. OUTCOMES: Postsurgery, conventional management was
applied; no severe complications occurred. The wound was well healed, with
platelet count reduced to <15 * 10/L at hospital discharge. The patient
recovered, with a Harris score >80 at 1 year postsurgery. LESSONS: Extremely low
platelet count is a contraindication of surgery. In this patient, preoperative
platelet count was <100 * 10/L. Extended disease course and multiple operations
lowered platelet count, and increased risk in surgery. However, high
postoperative gamma-globulin dose impacted therapy, and all surgeries were
successful, with no severe complications. The wound healed well, and the quality
of life was significantly improved, demonstrating the feasibility and safety of
this surgery. Multiple THA or RTHA surgeries are feasible and safe for RITP
patients.
PMID- 29642160
TI - A novel technique of using a miniature plate in combination with tension band
wiring to treat comminuted patellar fractures.
AB - Traditionally, tension band fixation has been used for treating simple fracture
patterns; however, fixation remains a challenge, especially for comminuted
fractures. We describe a new method of operation using a combination of a
miniature plate with tension band wiring to treat comminuted patellar fractures.
The aim of this technique is to transform complicate fractures into simple
transverse fractures. As far as we know, no studies using a similar method have
been found.The purpose of this study was to assess the effectiveness of a novel
technique in which a miniature plate is used in combination with tension band
wiring to treat comminuted patellar fractures.Between March 2013 and May 2015, 16
patients with closed, displaced, comminuted fractures of the patella were
included in the present study. All subjects underwent fixation using a
combination of a miniature plate with a tension band wire. Knee function and
patient status were evaluated at 12 months using the Bostman knee score and
Lysholm knee scale.The average follow-up period was 15.6 months (range, 12-20
months). At the 12-month follow-up, bone healing was satisfactory in all
patients. The average postoperative Lysholm score was 91.6 +/- 1.4 (range, 84
97). The average postoperative Bostman scale score was 26.4 +/- 0.5 (range, 22
30), thereby indicating excellent results in 4 patients and good results in 12.
No patients required reoperation.The results demonstrate that this new technique
is an effective and safe treatment option for comminuted patella fractures, as it
is associated with good clinical outcomes.
PMID- 29642161
TI - Icotinib and whole-brain radiotherapy for the treatment in patients with brain
metastases from EGFR-mutant nonsmall cell lung cancer: A retrospective study.
AB - This study aimed to explore the effect and toxicity of icotinib and whole-brain
radiotherapy (IWBRT) for the treatment of brain metastases from nonsmall cell
lung cancer (BMNSCLC) with epidermal growth factor receptor (EGFR)-mutant among
Chinese Han population.A total of 55 patients with EGFR-mutant BMNSCLC were
included. They received orally icotinib (125 mg/tablet, 125 mg each time, 3 times
daily) until disease progression. In addition, they also underwent whole-brain
radiotherapy (3-Gy fractions once daily, 5 days weekly for a total dose of 30 Gy)
in an attempt to extend their survival time. The outcomes consisted of complete
response (CR), partial response (PR), stable disease (SD), progress disease (PD),
overall response rate (ORR), progression-free survival (PFS), and overall
survival (OS). In addition, toxicity was also recorded in this study.The CR, PR,
SD, PD, ORR, PFS, and OS were 38.2%, 52.8%, 5.4%, 3.6%, 90.1%, 12.5%, and 48.0%
months, respectively. In addition, mild toxicity was observed in this study.This
study demonstrated that IWBRT is efficacious with acceptable toxicity for
patients with EGFR-mutant BMNSCLC among Chinese Han population.
PMID- 29642163
TI - Basal ganglia calcification and novel compound heterozygous mutations in the
PANK2 gene in a Chinese boy with classic Pantothenate kinase-associated
neurodegeneration: A case report.
AB - RATIONALE: Pantothenate kinase-associated neurodegeneration (PKAN) represents an
autosomal recessive hereditary disease. In this report, a PANK2 gene mutation in
a Chinese child was identified, as well as detections of PKAN among his family
members. Our findings exposed a world-wide novel compound heterozygous mutation.
PATIENT CONCERNS: We described a 6-year-old male patient with PKAN, exhibiting
involuntary movement for a period of 1.5 years, as well as feeding difficulties
for 2 weeks. DIAGNOSIS: Due to brain computed tomography and magnetic resonance
imaging results, and patient behavior, the attending physician suspected a
hereditary effect. INTERVENTIONS: The patient sample underwent high-throughput
sequencing. Subsequently, his parents and sister were screened for the mutations
identified in the patient genome. OUTCOMES: High-throughput sequencing revealed a
novel complex heterozygous mutation of the PANK2 gene, which was detected in the
second and fourth exons, c.A650G, and c.T1341G, respectively, resulting in amino
acid alterations (p.D217G and p.D447E, respectively). The child's father was
confirmed to possess a heterozygous c.A650G mutation, while his mother was
heterozygous for the c.T1341G mutation. LESSONS: The key finding of the study
encompassed the detection of a novel PANK2 gene mutation in a child of Chinese
ethnicity with PKAN. The PANK2 gene c.A650G, as well as c.T1341G, mutations may
be potential mutation hotspots in children with PKAN in Mainland China.
PMID- 29642162
TI - Stapled side-to-side anastomosis might be benefit in intestinal resection for
Crohn's disease: A systematic review and network meta-analysis.
AB - BACKGROUND AND AIM: Intestinal anastomosis is an essential step in the intestinal
resection in patients with Crohn's disease (CD). Anastomotic configuration such
as handsewn end-to-end anastomosis (HEEA), stapled side-to-side anastomosis
(SSSA) and so on may be a predictor of prognosis for postoperative CD patients.
However, the association between anastomotic types and surgical outcomes are
controversial. The aim of this review is to identify the optimal anastomosis for
intestinal resection in patients with CD. METHODS: Clinical trials comparing
anastomosis after intestinal resection in patients with CD were searched in the
database of MEDLINE, EMBASE, and the Cochrane Library. Outcomes such as
postoperative hospital stay, complications, mortality, recurrence, and
reoperation were evaluated. Pairwise treatment effects were estimated through a
random-effects network meta-analysis based on the frequency framework by using
the STATA software and reported as the estimated summary effect for each
comparison between the 2 treatments in the network with a 95% credible interval.
RESULTS: A total of 1113 patients in 11 trials were included. In pair-wise
comparisons between groups, for overall postoperative complications, SSSA showed
a more probability of superiority to HEEA; for complications other than
anastomotic leak, anastomotic leak, wound infection, postoperative hospital stay
and mortality, there were no significant difference between groups; for clinical
recurrence, SSSA showed a more probability of superiority to HEEA; for
reoperation, SSSA showed a more probability of superiority to HEEA. The number of
eligible randomized controlled trails (RCTs) was small, and more than half of the
included trials were retrospective studies; selection bias may lead to a less
power in this assessment; follow-up time between different groups was different,
which may possibly have affected the interpretation of the analysis of long-term
outcome. CONCLUSION: By comprehensive analyzing all the postoperative outcomes,
SSSA appeared to be the optimal anastomotic strategy after intestinal resection
for patients with CD.
PMID- 29642164
TI - Hydroa vacciniforme-like cutaneous T-cell lymphoma in a child: A case report.
AB - RATIONALE: Hydroa vacciniforme (HV)-like T-cell lymphoma is a rare malignancy in
childhood associated with Epstein-Barr virus infection. PATIENT CONCERNS: A 6
year old girl presented with complaint of 3-year history of recurrent skin
lesions, 3 months of fever accompanied by cough for 8 days. DIAGNOSES: Skin
biopsy revealed a HV-like lymphoma presentation and positive signals of EBER were
detected by in situ hybridization. TCR-gamma gene monoclonal rearrangement was
present. A HV-like cutaneous T-cell lymphoma was diagnosed. INTERVENTIONS: The
girl was treated with cyclosporine and CHOP. OUTCOMES: The girl's condition had
been stable for 6 months. LESSONS: Our case highlights the necessity for taking
the HV-like lymphoma as a differential diagnosis especially when a patient
manifests as recurrent skin lesions accompanied by systemic involvement.
PMID- 29642165
TI - Glial alterations in human prion diseases: A correlative study of astroglia,
reactive microglia, protein deposition, and neuropathological lesions.
AB - BACKGROUND: Neuroinflammation has recently been proposed to be a major component
of neurodegenerative diseases. The aim of this study was to determine how the
interaction between microglia and astroglia, which are the primary immune cell
populations in the brain, and pathological prion protein (PrPsc) could influence
the development and propagation of this neurodegenerative disease. Because a
relevant role for glial response in prion disease has been clearly demonstrated
in our previous studies using the natural animal model, a similar approach has
been taken here using the natural human model. METHODS: A morphological approach
has been developed to analyze cerebellar samples from patients with Creutzfeldt
Jakob disease (CJD) in comparison with healthy control cases. Histopathological
lesions were assessed, and PrPsc, glial fibrillary acidic protein (GFAP) and
reactive microglia were immunolabelled by specific antibodies. Furthermore, co
location studies using confocal microscopy were performed to determine the
possible relationships between both types of glial cells in all samples. RESULTS:
The results presented in this study support the involvement of both types of
glial cells in CJD. Evidence of increased astrocyte and microglia reactivity can
be observed in all CJD cases, and a close relationship between the types of glia
is demonstrated by co-location studies. CONCLUSION: Proteinopathies such as
Alzheimer, Parkinson, and Huntington diseases, where aberrant proteins spread
throughout the brain during disease progression, may share a molecular basis and
mechanisms of propagation. Therefore, studies elucidating the interaction between
gliosis and prion propagation may be relevant to these other neurodegenerative
diseases and may provide new targets for therapeutic intervention.
PMID- 29642166
TI - An investigation into psychological stress and its determinants in Xinjiang
desert oil workers.
AB - The present study aims to investigate psychological stress and its determinants
in Xinjiang field oil workers. Specifically, we aim to elucidate the mechanisms
underlying psychological stress by comprehensively and quantitatively evaluating
the processes involved in psychological stress, the factors which influence it,
and their relationship.The participants were 1200 field oil workers in Xinjiang
who had been in service for at least 1 year. A structural equation model based on
data from the symptom checklist, social support research scale, personality
questionnaire, occupational burnout questionnaire, and occupational stress
questionnaire was constructed to investigate the social (environmental) factors
that influence physiological stress and the interplay among these factors.The
positive incidence of psychological stress in the field oil workers in Xinjiang
was 12.54%. The structural equation model indicated that the main factors that
influenced psychological stress in these workers included social support (0.077),
occupational role (0.165), personal strain response (0.139), personality (0.189),
and occupational burnout (0.380). Among these factors, occupational role,
personality, and occupational burnout had a relatively strong predictive power
for psychological stress reactions. The cortisol level in workers with positive
psychological stress was significantly higher than that in workers with negative
psychological stress.Occupational stress, personality, and occupational burnout
exert an impact on psychological stress in field oil workers. Therefore,
interventions to address these factors should be taken to reduce the incidence of
psychological stress.
PMID- 29642167
TI - Burnout and study engagement among medical students at Sun Yat-sen University,
China: A cross-sectional study.
AB - This study aims to investigate burnout and study engagement among medical
students at Sun Yat-sen University, China.A cross-sectional survey was conducted
among undergraduate medical students of Sun Yat-sen University, China. A total of
453 undergraduate students completed a self-administered, structured
questionnaire between January and February, 2016. Burnout and study engagement
were measured using the Maslach Burnout Inventory-Student Survey (MBI-SS) and the
UTRECHT Work Engagement Scale-Students (UWES-S), respectively. Subjects who
scored high in emotional exhaustion subscale, high in cynicism subscale, and low
in professional efficacy subscale simultaneously were graded as having high risk
of burnout. Independent sample t tests and chi-square tests were used to compare
the differences in burnout and work engagement between genders, majors, and grade
levels.The means (standard deviations) of the MBI-SS subscales were 3.42 (1.45)
for emotional exhaustion, 2.34 (1.64) for cynicism, and 3.04 (1.30) for
professional efficacy. The means (standard deviations) of the UWES-S subscales
were 3.13 (1.49) for vigor, 3.44 (1.47) for dedication and 3.00 (1.51) for
absorption. Approximately 1 in 11 students experienced a high risk of burnout.
There were no statistically significant gender differences in burnout and study
engagement. There were also no statistically significant differences in burnout
and study engagement subscales according to student major. Students in higher
grades displayed increased burnout risk, higher mean burnout subscale score of
cynicism, lower mean burnout subscale score of professional efficacy, and
decreased mean study engagement subscale scores of dedication and absorption.
There were strong correlations within study engagement subscales.Chinese medical
students in this university experience a high level of burnout. Students at
higher-grade level experience more burnout and decreased study engagement
compared with students in lower level.
PMID- 29642168
TI - Spontaneous bilateral subclavian vein thrombosis in a 40-year-old man: A case
report.
AB - RATIONALE: Paget-Schroetter syndrome (PSS) is an uncommon condition that refers
to primary (spontaneous) thrombosis of the deep veins that drain the upper
extremities because of anatomical anomalies or repetitive strenuous arm activity.
Bilateral spontaneous upper extremity deep-vein thrombosis (UEDVT) is an
extremely rare phenomenon in adults, which may be misdiagnosed by physicians in
acute settings. PATIENT CONCERNS: A 40-year-old man presented to our emergency
department because of progressive left upper arm swelling for 1 day. He denied
fever, chest pain, dyspnea, trauma, or any other systemic disease before. The
swollen left arm also had no local heat or redness with normal radius pulsation.
He was a laborer who lifted heavy objects. DIAGNOSES: Blood examination included
tests for complete blood count, renal function, liver function, blood coagulation
profile, cardiac enzyme levels, and D-dimer level. Results showed that the white
blood cell count, renal and liver functions, and cardiac enzyme levels were all
within their normal ranges, except for the elevated D-dimer level (1.93 mg/L).
Chest radiography and electrocardiography were performed with nonspecific
findings. Subsequently, computed tomographic angiography was recommended for the
suspected deep-vein thrombosis. The report showed venous thrombosis involving the
bilateral subclavian and internal jugular veins. INTERVENTIONS: Heparin and
enoxaparin were prescribed for this patient, with loading and maintenance doses.
He was then admitted to our cardiovascular ward for further treatment. OUTCOMES:
The patient was discharged 9 days later in a stable condition. LESSONS: Emergency
physicians should consider the rare condition of UEDVT when a healthy patient
presents with acute arm swelling. Patient history taking should be thorough,
especially concerning the risk factors of secondary causes and possible frequent
vigorous heavy lifting and overhead motion. Without secondary risk factors,
primary upper deep-vein thrombosis might be suspected. Further laboratory tests
and imaging studies, especially bilateral imaging, should be arranged to exclude
secondary causes and to confirm the diagnosis.
PMID- 29642169
TI - Cost-effectiveness and short-term clinical outcomes of argon plasma coagulation
compared with endoscopic submucosal dissection in the treatment of gastric low
grade dysplasia.
AB - Endoscopic treatment such as endoscopic submucosal dissection (ESD) or argon
plasma coagulation (APC) is widely performed to treat gastric low-grade dysplasia
(LGD). We aimed to evaluate the clinical efficacy of APC versus ESD for gastric
LGD in terms of cost-effectiveness. This was a retrospective review of patients
with gastric LGD who were treated with endoscopic intervention (APC or ESD)
between March 2011 to December 2015. Fifty-nine patients treated with APC and 124
patients treated with ESD were included. Patients in the APC group were
significantly older (mean age, 67.68 vs 63.90 years, respectively, P < .01), had
an increased rate of Helicobacter pylori infection (27.1 vs 10.5%, respectively,
P < .01), and had a higher mean Charlson Comorbidity Index score (2.32 vs 0.38,
respectively, P < .01) than those in the ESD group. The 2 groups did not differ
in tumor size, location, macroscopic morphology, or surface configuration. The
procedure time (11.31 vs56.44 minutes, respectively, P < .01), and hospital stay
(3.2 vs 5.6 days, respectively, P < .01) were significantly, shorter in the APC
group than in the ESD group. Additionally, the cost incurred was significantly,
lower in the APC group than in the ESD group (962.03 vs 2,534.80 dollars,
respectively, P < .01). APC has many advantages related to safety, and cost
effectiveness compared with ESD. Therefore, APC can be considered an alternative
treatment option for gastric LGD.
PMID- 29642170
TI - A case of adult onset Still's disease with mutations of the MEFV gene who is
partially responsive to colchicine.
AB - RATIONALE: Familial Mediterranean fever (FMF) and adult onset Still's disease
(AOSD) have overlapping features, and are categorized as being on the spectrum of
autoinflammatory diseases (AIDs). FMF is more prevalent in the Mediterranean
region but rarely, described in the Chinese population. PATIENT CONCERNS: We
present an interesting case of a Han Chinese AOSD patient with episodic fever,
wheals, and polyarthritis for 2 years. DIAGNOSIS: Sequencing analysis found exon
2 mutations of the MEFV gene (c.329T>C [L110P], and c.442G>C [E148Q]).
INTERVENTION: Her arthritis was well-controlled with colchicine treatment, but
fever, and rashes were not. OUTCOMES: She eventually received tocilizumab, in
addition to colchicine, and her symptoms completely disappeared. LESSONS: MEFV
mutations may exist in AOSD patients, and treatment with colchicine might be
helpful in such patients.
PMID- 29642171
TI - Over-the-counter analgesic powder use in patients presenting with intracerebral
hemorrhage: A case series.
AB - INTRODUCTION: Over-the-counter (OTC) analgesics including aspirin-containing
powder formulations (BC Powder, Goody's Powder) (ACPFs) are commonly utilized in
the United States. While the ACPFs have been associated with upper
gastrointestinal bleeding, we describe a case series of patients presenting with
intracerebral hemorrhage (ICH) within 24 hours of ingestion. METHODS: We reviewed
all ICH patients presenting to a comprehensive stroke center from September 1,
2014 through June 30, 2016 to identify patients who reported taking BC Powder or
Goody's Powder within 7 days of their stroke. Baseline characteristics,
medication use, stroke risk factors, clinical imaging, and laboratory testing
were reviewed retrospectively. RESULTS: Of 334 patients admitted with ICH during
the study period, 6 (2%) reported use of OTC analgesic powders within 1 week of
their index stroke. All had consumed at least 1 packet within 24 hours of their
ICH. All patients were African American and all except 1 patient were females.
Three patients had no identified traditional stroke risk factors and 3 other
patients had evidence of mild hypertension history. CONCLUSIONS: Over-the-counter
analgesic powders containing high doses of aspirin including BC Powder and
Goody's Powder may contribute to ICH in patients with no or minimal risk factors.
Providers should inquire about the use of these powders in ICH patients
particularly among African Americans.
PMID- 29642172
TI - A case report of symptomatic presacral myelolipoma.
AB - RATIONALE: Extra-adrenal myelolipoma in the presacral area often raises a concern
for liposarcoma because they have similar radiologic features. PATIENT CONCERNS:
A 70-year-old woman with multiple abdominal surgeries in the past presented with
persistent lower abdominal pain and anemia. A presacral mass, found on a pelvic
magnetic resonance image (MRI), was suspicious of liposarcoma, as it is the most
common fat containing mass in the presacral area. It is often difficult to make a
diagnosis of myelolipoma just based on the radiological studies, which
necessitates a histopathologic examination of the resected mass for a definitive
diagnosis. DIAGNOSES: Myelolipoma is an encapsulated, benign tumor containing
mature adipocytes and hematologic cells. Most of the patients with myelolipoma
remain asymptomatic but continued growth of tumor without local invasion can lead
to compression of nearby structures, causing persistent pain. INTERVENTIONS:
Asymptomatic patients do not require intervention but surgical resection is
indicated for persistent pain or hemorrhage inside the tumor. Our patient had 2
indications for surgery - persistent pain as well as a provisional diagnosis of
liposarcoma. After the surgical resection, pelvic pain was resolved, and a
diagnosis of myelolipoma was made based on histopathologic examination. OUTCOMES:
Patient was reassured that it was myelolipoma, a benign tumor, not requiring
subsequent surveillance for recurrence. LESSONS: Despite advancement in imaging
techniques, and knowledge of the radiological features of myelolipoma, it still
remains as a challenge for clinicians to make the distinction between liposarcoma
and myelolipoma only based on diagnostic radiology. Although myelolipoma is a
benign tumor, if patient suffers from persistent pain due to local mass effect,
surgical resection is required.
PMID- 29642173
TI - Fulminant course in a patient with anti-N-methyl-D-aspartate receptor
encephalitis with bilateral ovarian teratomas: A case report and literature
review.
AB - RATIONALE: Anti-N-methyl-D-aspartate (NMDA) receptor encephalitis is an
autoimmune disorder that can be controlled and reversed by immunotherapy. The
presentation of NMDA receptor encephalitis varies, but NMDA receptor encephalitis
is seldom reported in patients with both bilateral teratomas and preexisting
brain injury. PATIENT CONCERNS: A 28-year-old female with a history of traumatic
intracranial hemorrhage presented acute psychosis, seizure, involuntary movement,
and conscious disturbance with a fulminant course. Anti-NMDA receptor antibody
was identified in both serum and cerebrospinal fluid, confirming the diagnosis of
anti-NMDA receptor encephalitis. Bilateral teratomas were also identified during
tumor survey. DIAGNOSES:: anti-N-methyl-D-aspartate receptor encephalitis.
INTERVENTIONS: Tumor resection and immunotherapy were performed early during the
course. OUTCOMES: The patient responded well to tumor resection and
immunotherapy. Compared with other reports in the literature, her symptoms
rapidly improved without further relapse. LESSONS: This case report demonstrates
that bilateral teratomas may be related to high anybody titers and that the
preexisting head injury may be responsible for lowering the threshold of
neurological deficits. Early diagnosis and therapy are crucial for a good
prognosis in such patients.
PMID- 29642174
TI - Acquired diaphragmatic hernia in pediatrics after living donor liver
transplantation: Three cases report and review of literature.
AB - RATIONALE: Diaphragmatic hernia (DH) in pediatrics following living donor liver
transplantation (LDLT) has been seldom reported in the past. PATIENT CONCERNS: We
report successful diagnosis and treatment of three pediatric cases with DH
secondary to LDLT, discuss the possible etiology, and review the relevant
literature. DIAGNOSES: The primary disease was biliary atresia and DH was
diagnosed by computed tomography scan or x-ray of chest. INTERVENTIONS:
Laparotomy was performed successfully to repair the DH. OUTCOMES: The respiratory
and digestive function was gradually recovered in 1 to 2 weeks after repair
operation. In 2 to 8 months follow-up, patients were asymptomatic without any
respiratory or digestive complications. LESSONS: DH post-LDLT should be
recognized as a possible complication when a left lateral segment graft is used.
Careful clinical examination and prompt surgery could minimize complications.
PMID- 29642175
TI - Apatinib + CPT-11 + S-1 for treatment of refractory brain metastases in patient
with triple-negative breast cancer: Case report and literature review.
AB - RATIONALE: Brain metastasis (BM) is a rising challenge in forward-looking
oncology, as its treatment choices are very limited, especially, after the
failure of local treatment schemes. PATIENT CONCERNS: We report on a 39-year-old
Chinese woman who was diagnosed with stage IV triple-negative breast cancer(TNBC)
with multiple brain, lung, and bone metastases. She had previously, undergone
whole-brain radiation therapy. Paclitaxel, platinum, UTD1, capecitabine,
gemcitabine, vinorelbine, and single-agent apatinib were then administered as
first- to fifth-line therapies. She exhibited progression each time after a short
period of disease stabilization. DIAGNOSES: Triple-negative breast cancer.
INTERVENTIONS: The patient chose treatment with apatinib+CPT-11+S-1 as the sixth
line therapy. OUTCOMES: A remarkable response of the brain, and lung metastases,
and alleviation of the brain edema were achieved, and these effects persisted for
7 months. LESSONS: We describe the significant anti-tumor effect of apatinib +
CPT-11 + S-1 against BMs from breast cancer. This report is the first to suggest
potential approaches to BM treatment using this scheme and describes the effects
of an apatinib-containing regimen on BMs.
PMID- 29642176
TI - The anatomical and imaging study of pes anserinus and its clinical application.
AB - BACKGROUND: The pes anserinus was an important graft choice for anterior cruciate
ligament (ACL) reconstruction. The infrapatellar branch of the saphenous nerve
(IPBSN) might be damaged in this surgery. This study aimed to provide anatomic
and ultrasonic measurement data of pes anserinus and superficial nerves. METHODS:
Eighty lower limb specimens of forty adult cadavers were dissected. The length,
width, thickness, and the position of the tibial attachment of pes anserinus
tendons were anthropometric measured, as well as the distance between the
infrapatellar branch of the saphenous nerve and the pes anserinus. Sixty healthy
adult participants were enrolled for ultrasonic research. The length, width,
thickness of pes anserinus was also measured and the saphenous nerve was also
assessed. RESULTS: Anatomic results showed that there were 3 types of pes
anserinus, the infrapatellar branch of the saphenous nerve (IPBSN) was almost
paralleled to the upper edge of the pes anserinus tendon, and the average of
distance between them was about 0.95 cm. The length of semitendinosus and
gracilis tendons were 146.49 +/- 12.83 mm and 124.62 +/- 8.86 mm, the width of
sartorius tendon was 25.58 +/- 4.65 mm, wider than other tendons. The
classification of pes anserinus tendons and the saphenous nerves could be
identified in ultrasonic image. The length of semitendinosus and gracilis tendons
were 151.35 +/- 9.65 mm and 120.86 +/- 8.99 mm, the width of sartorius tendon was
22.84 +/- 3.83 mm. And there was no significance difference between anatomic and
ultrasonic measurement (P > .05). CONCLUSION: The morphology of pes anserinus and
its peripheral structures could be identified and measured precisely by
ultrasound device, a presurgical ultrasonic examination was recommended. The
arrangement of pes anserinus tendons was classified into 3 types according to our
results. The incision should be performed medial to tibial eminence 1.5 cm and
under the tibial tubercle level 2 to 3 cm, an oblique incision formed an angle of
50 degrees with tibial transection was recommend, which was parallel to the
direction of pes anserinus tendon.
PMID- 29642177
TI - Companied P16 genetic and protein status together providing useful information on
the clinical outcome of urinary bladder cancer.
AB - SPEC P16/CEN3/7/17 Probe fluorescence-in-situ-hybridization (FISH) has become the
most sensitive method in indentifying the urothelial tumors and loss of P16 has
often been identified in low-grade urothelial lesions; however, little is known
about the significations of other P16 genetic status (normal and amplification)
in bladder cancer.We detected P16 gene status by FISH in 259 urine samples and
divided these samples into 3 groups: 1, normal P16; 2, loss of P16; and 3,
amplified P16. Meanwhile, p16 protein expression was measured by
immunocytochemistry and we characterized the clinicopathologic features of cases
with P16 gene status.Loss of P16 occurred in 26.2%, P16 amplification occurred in
41.3% and P16 gene normal occurred in 32.4% of all cases. P16 genetic status was
significantly associated with tumor grade and primary tumor status (P = .008 and
.017), but not with pathological tumor stage, overall survival, and p16 protein
expression. However, P16 gene amplification accompanied protein high-expression
has shorter overall survival compared with the overall patients (P = .023), and
P16 gene loss accompanied loss of protein also had the tendency to predict bad
prognosis (P = .067).Studies show that the genetic status of P16 has a close
relation with the stages of bladder cancer. Loss of P16 is associated with low
grade urothelial malignancy while amplified P16 donotes high-grade. Neither P16
gene status nor p16 protein expression alone is an independent predictor of
urothelial bladder carcinoma, but combine gene and protein status together
providing useful information on the clinical outcome of these patients.
PMID- 29642178
TI - Circulating LECT2 levels in newly diagnosed type 2 diabetes mellitus and their
association with metabolic parameters: An observational study.
AB - Leukocyte cell-derived chemotaxin 2 (LECT2) is a hepatokine expressed in
hepatocytes and appears to be involved in energy metabolism. The aim of this
study was to determine plasma LECT2 levels in newly diagnosed type 2 diabetic
patients and to correlate the results with various metabolic parameters.A total
of 93 newly diagnosed type 2 diabetic patients and 80 age- and sex-matched
nondiabetes mellitus ones were enrolled in the study. Plasma LECT2 levels were
measured by enzyme-linked immunosorbent assay.Circulating LECT2 levels were
approximately 1.3 times higher in newly diagnosed type 2 diabetic patients than
in controls (mean 30.30 vs 23.23 ng/mL, P < .001). Correlation analysis showed
that LECT2 was negatively associated with high-density lipoprotein-cholesterol
(HDL-C) levels in type 2 diabetic patients and obese subjects (P < .05). In
multiple stepwise regression analysis, HDL-C, HOMA-IR, BMI, FINS, and TG were
significantly independent determinants for LECT2 (P < .05).Our study showed that
circulating LECT2 concentrations are significantly higher in newly diagnosed type
2 diabetic patients and further elevated in obese type 2 diabetic patients. LECT2
concentrations are significantly negatively associated with HDL-cholesterol
levels in newly diagnosed type 2 diabetic patients and obese subjects.
PMID- 29642179
TI - New observation of microcystic macular edema as a mild form of cystoid macular
lesions after standard phacoemulsification: Prevalence and risk factors.
AB - We present the new observations of postoperative microcystic macular edema (MME)
as a mild form of cystoid macular lesions (CMLs) after standard
phacoemulsification.To report the incidence, risk factors, and pathophysiology of
MME compared to conventional concept of pseudophakic cystoid macular edema (CME),
we retrospectively reviewed patients' records. Pseudophakic CMLs were defined as
any cystic fluid collections that were newly formed after cataract surgery,
confirmed by preoperative and postoperative optical coherence tomography (OCT)
examinations. CMLs were classified into 2 groups, which are CME and MME,
according to the change the central retinal thickness. The dataset consisted of
316 patients (mean age, 67.52 +/- 12.95 years; range, 42-87 years). Topical
nonsteroidal anti-inflammatory drug (NSAID) were administered in 197 eyes during
the perioperative period; 147 eyes were not treated. CMLs were present in 22 out
of 344 (6.39%) eyes. Six of 344 eyes (1.74%) had CME and 16 of 344 eyes (4.65%)
had MME. The incidence of MME significantly decreased in the group of patients
treated with topical NSAIDs (P = .039), while the incidence of CME was not
different in both groups (P = .408). All of the patients with MME were
experienced improvement with only topical NSAIDs. However, 67% (4/6) of patients
with CME did not improve with topical NSAIDs alone and needed additional
treatments. Pseudophakic MMEs were more likely to have a history of diabetic
retinopathy, epiretinal membrane, and eyes were not treated with topical
NSAID.This study showed a wide clinical spectrum of CMLs. MME has not been
included in the conventional definition of pseudophakic CME. Topical NSAIDs could
decrease the CML incidence. People with risk factors for CML should use topical
NSAIDs and undergo regular follow-up OCT examinations.
PMID- 29642180
TI - Clinicopathological significance of cystatin A expression in progression of
esophageal squamous cell carcinoma.
AB - We previously conducted transcriptome analysis of a paired specimen of normal and
esophageal squamous cell carcinoma (ESCC) tissues and found that mRNA expression
of cystatin A (CSTA), a member of the cystatin superfamily, was perturbed in
tumors compared with that in the background mucosa. However, little is known
about the significance of CSTA expression in ESCC.The mRNA expression of CSTA was
evaluated by qRT-PCR using 28 paired frozen samples of tumor and nontumor
mucosae. The protein expression of CSTA was evaluated by the immunostaining of
formalin-fixed, paraffin-embedded sections of ESCC samples from 59 patients who
underwent surgery, and its relationship with clinical features was analyzed.The
mRNA expression of CSTA was significantly decreased in ESCC compared with that in
matched normal mucosa (P < .0001). The protein expression of CSTA was limited in
stratum granulosum and stratum spinosum but not in stratum basal in normal
esophageal mucosa. It was reduced in all ESCC tissue samples compared with normal
tissues; however, CSTA expression levels in tumors showed considerable variation.
Of the 59 samples, 20 did not express CSTA, whereas 39 clearly expressed it. The
expression of CSTA in tumors was significantly associated with pT classification
(deeper tumor invasions) (P = .0118) and advanced TNM stages (P = .0497). In CSTA
positive tumor samples, CSTA-expressing cancer cells often expressed Ki67, a
proliferation marker, which was in sharp contrast to normal mucosa, where Ki67
expressing cells were limited to the basal layer and did not express CSTA.
Furthermore, CSTA expression was observed in all 22 lymph node metastases
analyzed.Relatively high levels of CSTA expression in tumors were correlated with
tumor progression and advanced cancer stage, including lymph node metastasis.
PMID- 29642181
TI - Associations between serum vitamin D and the risk of female reproductive tumors:
A meta-analysis with trial sequential analysis.
AB - BACKGROUND: Female reproductive tumors are common with high morbidity and
mortality worldwide; however, the association between gynecological tumors and
serum vitamin D is controversial. The aim of this meta-analysis was to evaluate
the relationship between insufficiency of serum vitamin D and the occurrence of
benign and malignant gynecological tumors. METHODS: Studies from inception to
June 2017 were searched in the electronic databases: National Library of Medicine
(PubMed), Web of Science (Clerivate), and Cochrane Database of Systematic Reviews
(Cochrane Library, CDSR) by 2 investigators independently. Odds ratios (ORs) with
95% confidence intervals (CIs) were calculated using a random-effects model.
STATA 12.0 Software and Trial Sequential Analysis (TSA) software were applied for
data analyses. RESULTS: Overall, 8 studies (including 2391 patients and 5798
patients with and without female reproductive tumors, respectively) were eligible
for the present meta-analysis. In the subsequent meta-analysis, the occurrence of
vitamin D deficiency in the case and control groups were 52.36% and 48.70%,
respectively; women with female reproductive benign and malignant tumors were
55.57% and 50.59%, respectively. Although, no conclusive association was found
between vitamin D deficiency and female reproductive tumors (OR, 1.05; 95% CI,
0.85-1.31); vitamin D deficiency may be a risk factor of malignant female
reproductive neoplasm, as shown by the pooled OR (95% CI):1.17 (1.02-1.33).
Furthermore, based on the OR values, association of vitamin D insufficiency with
disease type, study location, number of patients, and methods for detecting CLA
was observed. Similar results in the sensitivity analysis were observed. TSA
showed that the cumulative Z-curve crossed the traditional boundary line, rather
than crossing the trial sequential monitoring boundary. However, the cumulative
information failed to reach the required information size. CONCLUSIONS:
Currently, vitamin D deficiency appears to be a common issue in females, and
there may be an urgent need to improve the level of vitamin D. Furthermore,
vitamin D deficiency may be a non-negligible risk factor of malignant female
reproductive neoplasm. Undoubtedly, more trials are required in the future
according to TSA.
PMID- 29642182
TI - Human immunodeficiency virus infection and mortality risk among lung cancer
patients: A systematic review and meta-analysis.
AB - BACKGROUND: Previous studies have suggested that patients with human
immunodeficiency virus (HIV) infection are at higher risk of lung cancer, but the
impact of HIV infection on the risk of mortality among lung cancer patients is
still unclear. We conducted a systematic review and meta-analysis to clarify the
association between HIV infection and mortality risk among lung cancer patients.
METHODS: PubMed and Embase databases were searched to identify studies assessing
the association between HIV infection and mortality risk among lung cancer
patients. Only studies reporting adjusted relative risk (RR) of mortality among
lung cancer patients with HIV infection were included. Meta-analysis of random
effect model was utilized to calculate the pooled RR with 95% confidence interval
(CI). RESULTS: Twelve cohort studies were finally included. Compared with lung
cancer patients without HIV infection, the pooled RR of mortality among lung
cancer patients with HIV infection was 1.48 (95% CI, 1.22-1.78, P < .001; I =
88.6%). After excluding 2 studies with low quality, HIV infection was still
significantly associated with an elevated risk of mortality among lung cancer
patients (RR = 1.51, 95% CI, 1.25-1.82, P < .001; I = 89.8%). Sensitivity
analysis showed that the statistical significance of the pooled RR was not
changed by excluding any one study. CONCLUSION: The outcomes from the meta
analysis provide strong evidence for the elevated risk of mortality among lung
cancer patients with HIV infection, and HIV infection is an important prognostic
factor in lung cancer patients.
PMID- 29642183
TI - Identification of genes related to consecutive trauma-induced sepsis via gene
expression profiling analysis.
AB - We aimed to identify crucial genes relevant to the development of consecutive
trauma-induced sepsis.A microarray dataset was used to identify genes
differentially expressed between peripheral blood samples from consecutive
traumatized patients complicated with sepsis and not complicated with sepsis. The
dataset GSE12624 was obtained from Gene Expression Omnibus, containing 34
peripheral blood samples from consecutive traumatized patients complicated by
sepsis and 36 consecutive traumatized controls. The differentially expressed
genes (DEGs) were identified using Linear Models for Microarray Data package.
Then, gene ontology (GO) enrichment analysis for DEGs was performed by Onto
Express. Subsequently, the protein-protein interaction (PPI) network was
constructed and pathway enrichment analysis was performed by Search Tool for the
Retrieval of Interacting Genes (STRING). Furthermore, protein complexes in the
PPI network were predicted by ClusterONE and validated through GO and Kyoto
Encyclopedia of Genes and Genomes pathway enrichment analyses, and protein domain
analysis.Totally, 446 upregulated and 447 downregulated DEGs were identified.
Some DEGs were related to acyl-CoA binding (eg, ACBD6), chromosome, and
centromeric region (eg, CENPN). In the PPI network, some DEGs were enriched in
renin-angiotensin system (RAS, eg, AGTR1 and AGTR2). Three predicted protein
complexes were validated in the PPI network. Some genes composing protein complex
A were associated with cell proliferation (eg, CDC20, CCNB1, MCM4, RPA2, and
PRIM2), and several genes composing protein complex F were implicated in
regulation of actin cytoskeleton (eg, PFN2, ARPC2, and WASL).The results suggest
that those DEGs may be crucial in the etiology of consecutive trauma-induced
sepsis, and they are expected to be therapeutic targets.
PMID- 29642184
TI - Metaplastic breast carcinoma composed of epithelial-myoepithelial carcinoma and
squamous cell carcinoma: A case report.
AB - RATIONALE: Metaplastic breast carcinomas are a group of breast malignancies with
varying histomorphological characteristics and prognoses. The tumor composed of
epithelial -myoepithelial carcinoma and squamous cell carcinoma was not reported
previously. PATIENT CONCERNS: An 81-year-old woman presented with a palpable
nodule in the left breast for 4 days. The ultrasonography showed multinodular
growth and focally indistinct borders. Owing to her advanced age and possible
early clinical staging, primary tumor resection was performed. One year later, a
small and slow growing subcutaneous nodule was found under the incision of the
left breast. Ultrasonography revealed an irregular, cystic and solid, hypoechoic
mass with circumscribed borders. DIAGNOSES: Microscopic examination of the
primary tumor revealed epithelial -myoepithelial carcinoma and squamous cell
carcinoma. The former had a variety of architecture patterns, including nests,
lobulations, papillary and tubular structures, and the latter showed varying
morphological features, from squamous pearls to spindle cells. The recurrent
tumor showed only epithelial -myoepithelial carcinoma with more aggressively
malignant features than those seen in the primary tumor. INTERVENTIONS: An
extensive resection of the left breast mass was performed for the recurrent
tumor. The patient did not receive any adjuvant chemotherapy or radiation therapy
because of the patient's advanced age. OUTCOMES: The patient has been followed up
for 1.5 years after second surgery without evidence of tumor recurrence and
metastasis. LESSONS: Wide local excision with adequate margins is recommended for
elderly patients with metaplastic breast carcinoma composed of epithelial
myoepithelial carcinoma and squamous cell carcinoma.
PMID- 29642185
TI - Development of massive pulmonary embolism during echocardiographic imaging: A
case report.
AB - RATIONALE: Pulmonary embolism (PE) is a common diagnostic consideration for
patients who present to the emergency department (ED) with chest pain, dyspnea,
or both. In addition, PE has a very high mortality in patients who are
hemodynamically unstable. An electrocardiography, bedside transthoracic
echocardiogram, and computed tomography pulmonary angiogram are usually performed
to confirm the diagnosis. PATIENT CONCERNS: A 53-year-old man was admitted to the
cardiology clinic with complaints of dyspnea, chest pain, and general weakness
after walking. He had a history of hypertension and smoking. DIAGNOSIS: During
synchronous recording of echocardiographic images, a large mobile thrombus
detached from the right atrium, and first embolized to the right ventricle and
then to the main pulmonary artery from the right heart chambers. Soon after,
shortness of breath developed which clinically worsened the patient.
Transthoracic echocardiogram which demonstrated the thrombus in the pulmonary
artery or right heart chambers was suspected of causing acute massive PE.
INTERVENTIONS: The patient was transferred to Critical Care Unit for monitoring;
100 mg of alteplase was initiated immediately and alleviated the hemodynamic
instability within 2 hours of intravenous administration. OUTCOMES: To the best
of our knowledge, this is the first synchronous echocardiographic recording
showing the embolization of a thrombus from the right atrium, first to the right
ventricle and then to the main pulmonary artery. LESSONS: Transthoracic
echocardiography provides a safe, rapid, and noninvasive diagnostic tool for
evaluation of suspected massive PE. Thrombolytic therapy is useful for treating
acute massive PE that leads to hemodynamic instability.
PMID- 29642186
TI - Rebound thymic hyperplasia after adrenalectomy in a patient with Cushing syndrome
caused by adrenocortical adenoma: A case report.
AB - RATIONALE: The development of rebound thymic hyperplasia (RTH) has been reported
in patients who have recovered from stressful conditions such as surgery and
steroid therapy. We report a case of RTH following the resolution of
hypercortisolism after adrenalectomy for the treatment of adrenocortical adenoma
in a patient with Cushing syndrome. PATIENT CONCERNS: A 5-month-old female infant
with a history of overeating, hirsutism, and excessive weight gain for the
previous 2 months was referred to the hospital. The laboratory results revealed
elevated 24-hour urinary free cortisol levels. An overnight dexamethasone
suppression test showed no response. Abdominal imaging revealed a right-sided
suprarenal mass measuring 4_3cm. Histology showed an adrenocortical adenoma.
Thus, she underwent a right adrenalectomy. DIAGNOSES: The patient showed clinical
improvement with weight loss and normal cortisol levels over the next 4 months.
Six months after the operation, a chest computed tomography showed enlargement of
the left thymic lobe, which was previously nonexistent. INTERVENTIONS: A fine
needle aspiration biopsy was performed, and histological examination revealed
diffuse thymic hyperplasia. OUTCOMES: At the 1-year follow-up, the chest imaging
studies showed resolution of the RTH. LESSIONS: An understanding of RTH after
adrenalectomy as a treatment for cortisol-producing adrenocortical tumors is
important for the prevention of unnecessary surgical intervention and therapy.
PMID- 29642187
TI - The incidence of cardiovascular events in Italian patients with systemic lupus
erythematosus is lower than in North European and American cohorts: implication
of disease-associated and traditional risk factors as emerged by a 16-year
retrospective GIRRCS study: GIRRCS=Gruppo Italiano di Ricerca in Reumatologia
Clinica e Sperimentale.
AB - Previous study from our group has pointed out a lower number of cardiovascular
(CV) events in Italian patients with systemic lupus erythematosus (SLE) than in
North European and American ones. This study aims to assess the incidence of the
first CV event in a large, multicenter, Italian cohort of patients with SLE and
search for differences in disease and traditional risk factors among distinct
cohorts.Clinical charts of SLE patients consecutively admitted to 5 Italian
rheumatologic centers from November 1st 2000 to December 31st 2015 and free of CV
events at baseline were retrospectively studied. CV cumulative incidence (ie, the
proportion of patients who experienced a new CV event over the follow-up period)
and CV incidence rate (ie, the number of events in the cohort divided by the
total number of years at risk) were evaluated. The detected incidences were
compared with those reported in SLE cohorts from other countries.The median
duration of follow-up was 6 years (IQR = 3-11). During the observational period,
37 (cumulative incidence = 7.2%) patients had a first episode of CV event with an
incidence rate of 10.1/1000 person-years. The CV cumulative incidence and
incidence rate detected in our Italian cohort were lower than those from most
North European and American cohorts, characterized by a high impact of
traditional risk factors. Nevertheless, the cumulative incidence was similar to
that reported in a Spanish cohort with a high frequency of traditional risk
factors (geographic impact), while the incidence rate was only slightly higher
than that in the Baltimore cohort, which is characterized by a strict follow-up
of patients (medical impact).Our results confirmed that Italian lupus patients
have a low incidence of CV events. Moreover, the geographic origin, traditional
risk factors, and medical approach appear to have an impact on CV disease in SLE.
PMID- 29642188
TI - Association between blood cholesterol and sodium intake in hypertensive women
with excess weight.
AB - Restricted sodium intake has been recommended for more than 1 century for the
treatment of hypertension. However, restriction seems to increase blood
cholesterol. In women with excess weight, blood cholesterol may increase even
more because of insulin resistance and the high lipolytic activity of adipose
tissue.The aim of this study was to assess the association between blood
cholesterol and sodium intake in hypertensive women with and without excess
weight.This was a cross-sectional study with hypertensive and nondiabetic women
aged 20 to 59 years, recruited at the primary healthcare units of Maceio,
Alagoas, Brazilian Northeast. Excess weight was defined as body mass index (BMI)
>=25.0 kg/m. Sodium intake was estimated by the 24-hour urinary excretion of
sodium. Blood cholesterol was the primary outcome investigated by this study, and
its relationship with sodium intake and other variables was assessed by Pearson
correlation and multivariate linear regression using a significance level of
5%.This study included 165 hypertensive women. Of these, 135 (81.8%) were with
excess weight. The mean sodium intake was 3.7 g (+/-1.9) and 3.4 g (+/-2.4) in
hypertensive women with and without excess weight, respectively. The multiple
normal linear regression models fitted to the "blood cholesterol" in the 2 groups
reveal that for the group of hypertensive women without excess weight only 1
independent variable "age" is statistically significant to explain the
variability of the blood cholesterol levels. However, for the group of
hypertensive women with excess weight, 2 independent variables, age and sodium
intake, can statistically explain variations of the blood cholesterol
levels.Blood cholesterol is statistically inversely related to sodium intake for
hypertensive women with excess weight, but it is not statistically related to
sodium intake for hypertensive women without excess weight.
PMID- 29642189
TI - Nonleukemic granulocytic sarcoma of orbit after blunt trauma: A case report and
review of literature.
AB - RATIONALE: Granulocytic sarcoma without invasion of bone marrow or blood is very
rare. The diagnosis of it is usually overlooked and the treatment has not reached
a consensus. Meanwhile, the onset of this kind of disease is not clear. PATIENT
CONCERNS: Diagnose patients in early stage and help choose the right treatment
strategies. DIAGNOSES: The ultimate diagnosis was nonleukemic granulocytic
sarcoma after blunt trauma. INTERVENTIONS: Surgery was the initial treatment
option. Chemotherapy including idarubicin (70 mg, D1-D3) and cytosine arabinoside
(100 mg, D1-D7) and radiotherapy of total 3,060 cGy were then administered but
failed to control the disease. Hematopoietic stem cell transplantation was
finally administered. OUTCOMES: No evidence of disease progression or spread
according to the latest follow-up. LESSONS: The etiology of nonleukemic
granulocytic still remains unclear, though trauma seems to be a potential
predisposing factor and deserves more attention for early diagnosis and timely
and proper treatment. Systemic chemotherapy is more effective than radiotherapy
or surgery. Hematopoietic stem cell transplantation is an alternative choice
after the failure of chemotherapy.
PMID- 29642190
TI - Treatment of primary tracheal glomus tumors: Two case reports and a literature
review.
AB - RATIONALE: Glomus tumors (GTs) are rare soft tissue neoplasms. Several treatment
options have been reported for tracheal GTs including thoracotomy, bronchoscopic
electrocautery, Nd: YAG laser, and cryotherapy. However, few studies have
evaluated the ideal treatment for tracheal GTs. PATIENT CONCERNS: A 30-year old
man who presented with cough, and expectoration for 1 month, and who had been
diagnosed as having a tracheal neoplasm by cervical, and thoracic computed
tomography (CT). The patient was a47 years old man. He was admitted to our
hospital presenting with intermittent hemoptysis for 3 years. Thoracic CT
revealed a round tumor on the right posterior tracheal wall. DIAGNOSES: Both of
them were diagnosed as benign GTs. Histopathology of the tumor showed clusters of
round epithelioid cells with eosinophilic cytoplasm, and uniform round to ovoid
nuclei surrounding dilated capillaries. Immunohistochemical staining was positive
for smooth muscle actin (SMA). INTERVENTIONS: The tracheal tumor of first patient
was located at the level of C7-T1. Tumor resection was performed under fiberoptic
bronchoscopy. The tracheal tumor in second patient was located in the lower
trachea. Surgical tracheal resection and anastomosis were performed. OUTCOMES:
Both of them achieved good results and no recurrence was seen at the final follow
up LESSONS:: We recommend choosing the most appropriate approach to manage
tracheal GTs based on patients' general condition, and tumor characteristics to
obtain an excellent prognosis. Our 2 cases of tracheal GT were managed by
different approaches, and both achieved good results.
PMID- 29642191
TI - Intrauterine endometrial cyst after low uterine incision: A case report with
literature review.
AB - RATIONALE: During the surgical procedure, endometrial cells can be seeded into
the wound edge of the uterine wall, developing into scar endometriosis. Due to
the extremely low incidence, estimation of its prevalence is still unavailable.
Even rarer might be the scar endometriosis in uterine cavity, to our best
knowledge, a situation has not been reported yet. PATIENT CONCERNS: A 37-year-old
woman complained of heavier and prolonged menstruation as well as pelvic pain
during menses for more than 4 months. An endometrial cyst in diameter of 6 cm in
uterine cavity was revealed by transvaginal ultrasound. Her surgical history was
significant for 1 caesarean section and 1 abdominal myomectomy through transverse
incision of lower uterine segment. DIAGNOSES: Space-occupying lesions in uterine
cavity, moderate anemia and scar uterus. INTERVENTIONS: The hysteroscopy was
performed and a multilocular cyst full of chocolate-like fluid was removed.
Pathological examination confirmed endometrial glands in the removed cyst tissue.
OUTCOMES: During the follow-up visits at 1 and 6 months after surgery, the
patient denied any special discomfort. Her postoperative transvaginal ultrasound
showed an enlarged uterus with no lesion in uterine cavity. To achieve a better
surveillance, a 3-year period of follow-up after surgery at a 6-month interval
was suggested. LESSONS: Intrauterine endometriosis should be considered in
patients of pelvic surgery history with pelvic pain, menstrual disorder, and
intrauterine cystic mass.
PMID- 29642192
TI - Bullous pemphigoid associated with chronic hepatitis C virus infection in a
hepatitis B virus endemic area: A case report.
AB - INTRODUCTION: Bullous pemphigoid is a type of acute or chronic autoimmune disease
that involves subepidermal skin lesions with bulla formation. Although viral
infections, such as, human herpes virus (HHV), human immunodeficiency virus,
cytomegalovirus, Epstein-Barr virus, HHV-6, hepatitis B virus (HBV), and
hepatitis C virus (HCV), are known factors of bullous pemphigoid, HCV infection
has only been rarely associated factor, especially in HBV endemic area. A 78-year
old man was admitted to our hospital due to erythematous bulla of onset 3 months
before presentation affecting his entire body. Pathologic findings, that is,
subepidermal bullae containing eosinophils and neutrophils with superficial
perivascular lymphocytic and eosinophilic infiltration, were consistent with
bullous pemphigoid. Anti-HCV was positive and HCV quantitative real-time
polymerase chain reaction (PCR) was 1.25 x 10 IU/mL. HCV genotype was 2a. After a
diagnosis of bullous pemphigoid associated with chronic HCV infection was
reached, he was treated with oral methylprednisolone for bullous pemphigoid, and
his skin lesions improved. Oral direct-acting antiviral agents (sofosbuvir plus
ribavirin) were prescribed for chronic hepatitis C, and sustained viral response
was achieved. CONCLUSION: The authors report a rare case of bullous pemphigoid
associated with chronic HCV infection in a HBV endemic area and advise that HCV
should be considered in the differential diagnosis of factors precipitating
bullous pemphigoid, even in HBV endemic areas.
PMID- 29642193
TI - Successful chemotherapeutic treatment for metastatic littoral cell angioma: A
case report.
AB - RATIONALE: Metastatic littoral cell angioma (LCA) is extremely rare. No standard
therapeutic strategy has been established, and the impact of chemotherapy has not
yet been evaluated. PATIENT CONCERNS: A 61-year-old woman was admitted because of
bicytopenia. She had a splenectomy for LCA of the spleen 10 years earlier. Bone
marrow aspiration was normal, and a computed tomography (CT) scan showed
hepatomegaly with multiple liver tumors. DIAGNOSES: Liver biopsy samples showed
macrophage-like cell infiltration in the hepatic sinusoids. Metastatic LCA was
diagnosed based on immunohistochemistry, imaging tests, and the clinical course.
INTERVENTIONS: Immunosuppressive agents, such as prednisolone and cyclosporine,
were ineffective. Next, cytotoxic agents, such as etoposide, paclitaxel, and
vincristine, were administered. OUTCOMES: Cytotoxic agents showed a prominent
effect against LCA. CT showed improvement of the hepatomegaly, and fluoro
deoxyglucose (FDG) uptake decreased markedly at a follow-up FDG- positron
emission tomography (PET) scan. LESSONS: Chemotherapeutic treatment based on
hemophagocytic syndrome or angiosarcoma might have anti-tumor activity against
metastatic LCA. Analysis of the molecular characteristics of this tumor is needed
to develop better treatment options.
PMID- 29642194
TI - Poor pathogenetic role of luminal obstruction in the development of appendicitis:
A case report.
AB - RATIONALE: In developed countries, the incidence of acute appendicitis is about
95 cases out of 100,000 per year, being one of the most common urgencies in
general surgery worldwide. However, its pathogenesis is still poorly understood.
Direct luminal obstruction (by a fecalith, lymphoid hyperplasia, or impacted
stool) is reported to be the primary and principal cause of acute appendicitis.
PATIENT CONCERNS: During October 2016 a 58-year-old woman was operated because of
a clinical recurrence of Crohn's disease. At surgery, performed through single
incision laparoscopy, we observed an exceptional finding. DIAGNOSES: Despite a
previous ileo-cecal resection, the appendix was still present and vascularized by
small vessels within the mesoappendix connected to the neo-terminal ileum
mesentery; it was about 5 cm long and macroscopically not inflamed even if its
base was clearly no longer connected with the cecum. OUTCOMES: The patient
underwent ileo-colic resection with en-bloc removal of the appendix. With a
narrow metallic stylet probe we carefully tried to enter the appendix lumen
through the opposite side from its fundus but we were not able to enter it before
cutting the wall with scissors. Pathological examination confirmed the Crohn's
disease recurrence affecting the small bowel and the appendix lumen obstructed in
the presence of a fecalith but without any sign of inflammation. LESSONS: This
finding seems to highlight the poor pathogenetic role of luminal obstruction in
the development of acute appendicitis.
PMID- 29642195
TI - Treatment of tuberculous aortic pseudoaneurysm associated with vertebral
tuberculosis: A case series and a literature review.
AB - RATIONALE: Tuberculous aortic pseudoaneurysm associated with vertebral
tuberculosis is a rare disease but with very high mortality. We review the
literature and find 19 reports with 22 patients. Here we report three cases with
vertebral tuberculosis, who also have tuberculous pseudoaneurysm of the aorta.
These patients were treated by different methods. We try to analyze the
epidemiology, pathogenesis, presentation, and management of this disease to find
the best treatment. PATIENT CONCERNS: The patients presented with different
symptoms such as pain (chest, abdominal or back), fever, blood volume reduction
or hemorrhagic shock symptoms. Large mass also could be observed by imaging. In
addition to clinical manifestations, enhanced computed tomography or magnetic
resonance imaging could also help the diagnosis of this disease. DIAGNOSES:
Tuberculous aortic pseudoaneurysm associated with vertebral tuberculosis.
INTERVENTIONS: Three patients were treated with anti-tuberculosis(TB) drugs or
combined with different sequences surgical treatment: Case 1 refused to receive
pseudoaneurysm surgery and only had anti-TB drug treatment; Case 2 received
thoracic spinal surgery first; Case 3 received endovascular stent grafting.
OUTCOMES: Two patients (case 1 and case 2) who refused to undergo aneurysm
surgery died. The last patient (case 3) underwent endovascular repair and
antibiotic therapy for tuberculosis, and the postoperative course was uneventful;
the patient recovered and survived. LESSONS: Once the diagnosis of tuberculous
pseudoaneurysm is confirmed, surgical treatment should be provided immediately
combined with anti-tuberculosis drugs. The aim of the treatment is to save lives,
prevent relapse, and facilitate the return to normal life, regardless of the size
of the pseudoaneurysm. The pseudoaneurysm should be treated first to prevent
aneurysm rupture before the vertebral tuberculosis surgery.
PMID- 29642196
TI - Ascending aortic dilation in adult patients with congenital ventricular septal
defect: An observational study.
AB - Many adult patients with congenital ventricular septal defect (VSD) also
developed ascending aortic dilation, but few report the clinical features and
surgical management of these patients. This study was designed to study ascending
aortic dilation in adult patients with congenital VSD, and summarized the
treatment experience and prognosis.To assess the clinical features and surgical
management, we performed a retrospective analysis on preoperative data,
intraoperative data, and postoperative data from the adult patients with
congenital VSD who developed ascending aortic dilation in our institution from
February 2010 to December 2016.From February 2010 to December 2016, we operated
on 13 adult patients (12 males, 92.31%) with VSD who developed ascending aortic
dilation. Median age was 37 (interquartile range 14) years. All patients suffered
from perimembranous VSD and received surgical treatment. Their symptoms were all
improved after surgery, no deaths occurred.Surgery is feasible for the ascending
aortic dilation in adult patients with congenital VSD. Both proper perioperative
treatment and close monitoring are required for the successful surgery.
PMID- 29642197
TI - Lower limb immobilization device induced small setup errors in the radiotherapy.
AB - The aim of this study was to design a lower limb immobilization device and
investigate its clinical application in the radiotherapy of the lower
limbs.Around 38 patients who underwent lower limb radiotherapy using the designed
immobilization device were included in this study. The setup errors were
calculated by comparison of the portal images and the simulator films or digital
reconstructed radiographs (DRRs).From all 38 patients accomplished the
radiotherapy using this device, 178 anteroposterior portal images and 178 lateral
portal images were used for the analysis of the positional accuracy. Significant
differences were observed in the setup error of the head-foot direction compared
with the left-right direction (t = 3.404, P = .002) and the anterior-posterior
directions (t = 3.188, P = .003). No statistical differences were identified in
the setup error in the left-right direction and anterior-posterior direction (t =
0.497, P = .622).The use of the in-house designed lower limb immobilization
device allowed for relatively small setup errors. Furthermore, it showed
satisfactory accuracy and repeatability.
PMID- 29642198
TI - Association between interleukin 37 (rs3811047) polymorphism and multiple
autoimmune diseases in a Chinese population: A PRISMA-compliant meta-analysis.
AB - OBJECTIVE: Emerging evidence suggests that interleukin 37 (IL-37) plays an
important role in the pathogenesis of several autoimmune diseases (ADs), but the
correlations are still unclear. We conducted a meta-analysis to explore whether
IL-37 gene (rs3811047) polymorphism was associated with susceptibility to
multiple ADs in a Chinese population. METHODS: Relevant studies were searched in
the PubMed, Embase, Chinese National Knowledge Infrastructure, and Chinese
Wangfang databases up to August 31, 2017. Odds ratio (OR) and its 95% confidence
interval (95% CI) was used to estimate the strength of the association in
different genetic models. The results of fixed or random models were adopted
according to the heterogeneity. Publication bias and sensitive analysis were also
performed to evaluate the reliability of results. RESULTS: A total of 3161
patients and 4078 controls from 6 studies were included in this meta-analysis.
Pooling all data together, a significant association between IL-37 gene
(rs3811047 A/G) polymorphism and susceptibility to ADs in the Chinese population
was found in all 4 genetic models (allelic model A vs G: OR = 0.73 95% CI =
0.67~0.79; recessive model AA + AG vs GG: OR = 0.72, 95% CI = 0.65~0.79; dominant
model AA vs AG + GG: OR = 0.59, 95% CI = 0.45~0.77; homozygous model AA vs GG: OR
= 0.55, 95% CI = 0.42~0.72). No heterogeneity and publication bias was detected
in all models. Sensitive analysis indicated that all of the positive results are
reliable. CONCLUSION: The IL- 37 (rs3811047) polymorphism contributes to the
development of ADs in a Chinese population.
PMID- 29642199
TI - Extent of simultaneous radiation dose and iodine reduction at stable image
quality in computed tomography of the chest: A systematic approach using
automated tube voltage adaption and iterative reconstructions.
AB - BACKGROUND: Aim of this study was to systematically combine tube voltage
adaptation and iterative reconstructions for reduction of iodine and radiation
dose. METHODS: Settings for the study protocol were evaluated in ex-ante trials
to provide image quality that is comparable to a reference protocol at 120 kV
with tube current modulation. Consecutive patients were randomized to undergo
computed tomography (CT) of the chest using the study protocol (n = 62) or
reference protocol (n = 50). Objective and subjective image quality was assessed
and compared. RESULTS: Tube voltage was decreased to 100 kV in 47 patients and to
80 kV in 15 patients in the study group. The iodine dosage (16.1 vs 10.5 g) and
the effective radiation dose (3.6 vs 2.5 mSv) were significantly decreased in the
study group (both P < .001). Contrast-to-noise ratio was comparable in the
pulmonary trunk and increased in the aorta (P < .01). Subjective image quality
was comparable without statistically significance. CONCLUSIONS: Simultaneous
reductions in iodine dosage and radiation dose by one-third are feasible for CT
of the chest.
PMID- 29642200
TI - A systematic review and network meta-analysis protocol of neoadjuvant treatments
for patients with gastric cancer.
AB - BACKGROUND: National Comprehensive Cancer Network (NCCN) guidelines recommend
surgery, chemotherapy, and radiation therapy for gastric cancer patients.
Neoadjuvant treatments as the administration of therapeutic agents before a main
treatment gained in more and more attention. However, the role of neoadjuvant
treatments is still controversial. The main aim of this systematic review and
network meta-analysis is to assess the relative efficacy of different neoadjuvant
treatment regimens for gastric cancer using network meta-analysis method.
METHODS: We will search 5 electronic databases to identify randomized controlled
trials (RCTs) and non-RCTs compared the efficacy differences of surgery alone
(S), preoperative chemotherapy follow by surgery (CTS), preoperative radiotherapy
follow by surgery (RTS), and preoperative chemoradiotherapy follow by surgery
(CRTS) for patients with gastric cancer. The risk of bias tool from the Cochrane
Handbook version 5.1.0 will be used to assess the risk of bias of RCTs, and the
risk of bias in nonrandomized studies of interventions (ROBINS-I) for non-RCTs.
Data will be analyzed using R-3.4.1 software. RESULTS AND CONCLUSION: The results
of present network meta-analysis will estimate the relative efficacy among all
interventions and rank the interventions even if head-to-head comparisons are
lacking and will provide more evidence for clinicians, researchers, and patients
in the management of gastric cancer.Protocol registration number: CRD42017074956.
PMID- 29642201
TI - Robotic surgeries for patients with colorectal cancer who have undergone
abdominal procedures: Protocol for meta-analysis.
AB - BACKGROUND: Although the safety and the advantages of laparoscopic and robotic
colorectal surgeries have been confirmed, the use of both modalities in patients
with previous abdominal surgeries (PAS) history remains uncertain. Herein, we
perform a meta-analysis to investigate the impact of PAS on perioperative
recovery outcomes from laparoscopic and robotic colorectal surgeries. METHODS: We
will search PUBMED, the Cochrane Library, the Chinese Biomedical database (CBM),
WanFang data, China National Knowledge Infrastructure (CNKI) up to January 2018.
Studies will be screened by title, abstract, and full text independently and in
duplicate. Studies that report the impact of PAS on perioperative recovery
outcomes from laparoscopic and robotic colorectal surgeries will be eligible for
inclusion. Outcome variables will be assessed included combined resection,
conversion, operation time, blood loss, number of retrieved lymph nodes, days to
soft diet intake, length of hospital stay, and postoperative complications.
Assessment of risk of bias and data synthesis will be performed using STATA SE
12.0. Heterogeneity among studies will be assessed using the I statistic.
RESULTS: Randomized controlled trials, prospective cohort studies, and propensity
matched comparative studies will be used for the quantitative synthesis of the
meta-analysis to evaluate the impact of PAS on perioperative recovery outcomes
from laparoscopic and robotic colorectal surgeries. CONCLUSIONS: We aim to draw
an objective conclusion of the comparisons in aspects of perioperative outcomes
and provide physicians level I evidences for clinical decision makings.
PMID- 29642202
TI - S-1-based concurrent chemoradiotherapy in the treatment of locally advanced non
small cell lung cancer: A systematic review and meta-analysis protocol.
AB - BACKGROUND: Lung cancer is the leading cause of cancer-related deaths in the
world, and non-small cell lung cancer accounts for > 75% of all lung cancer
cases. Cisplatin-based concurrent chemoradiotherapy has become the standard
treatment for locally advanced non-small cell lung cancer (NSCLC). Third
generation chemotherapy agents plus cisplatin have been most commonly used in
concurrent chemoradiotherapy, which is also associated with more adverse effects
and acute toxicities. S-1 as an oral chemotherapeutic agent exhibits higher
antitumor activity, less adverse effects, and better biological availability.
Recently, studies illustrated S-1-based concurrent chemoradiotherapy also had
excellent effects in the treatment of locally advanced NSCLC. METHODS: A
systematic literature search will be performed through February 2018 using
MEDLINE, EMBASE, the Cochrane Central Register of Controlled Trials, and Google
Scholar for relevant articles published in any language. Randomized controlled
trials and prospective comparative studies will be included. All meta-analyses
will be performed using Review Manager software. The quality of the studies will
be evaluated using the guidelines listed in the Cochrane Handbook. The Preferred
Reporting Items for Systematic Reviews and Meta-Analyses statements will be
followed until the findings of the systematic review and meta-analysis are
reported. RESULTS: The results of this systematic review and meta-analysis will
be published in a peer-reviewed journal. CONCLUSION: Our study will draw an
objective conclusion of the efficacy and safety of S-1-based chemoradiotherapy in
the treatment of locally advanced unresectable NSCLC and provides level I
evidence for clinical decision makings.
PMID- 29642203
TI - Evaluation of effect and safety of arthroscopic surgery with three different
operative approaches in patients with terrible triad of the elbow: A comparative
study.
AB - BACKGROUND: The terrible triad of the elbow (TTE) is a difficult injury, and the
usual TTE consists of posterior dislocation of the elbow, radial head fracture,
and coronoid fracture. The target of this retrospective study is to explore the
effect, postoperative complications, and prognostic factors in patients with TTE
undergoing arthroscopic surgery with three different operative approaches.
METHODS: Patients with TTE underwent arthroscopic surgery were treated using
lateral, anterior medial, or combined lateral and anterior medial approaches,
respectively. In order to analyze the postoperative complications and the effect
of arthroscopic surgery for patients with TTE, the function of elbow joint before
and after the surgery was evaluated and the flexion-extension of elbow joint and
rotation of elbow joint and forearm were measured. RESULTS: The evaluation
results obtained from patients underwent 3 different operative approaches
revealed that after surgery, patients receiving arthroscopic surgery using
combined lateral and anterior medial approach had superior flexion-extension of
elbow joint, rotation of elbow joint and forearm, higher Mayo Elbow Performance
Score (MEPS) and more cases of Broberg-Morrey grade 0, and lower complication
rate, compared with those using lateral or anterior medial approach. A key
finding in the study demonstrated that fracture type, operative approach, and
postoperative complications were factors related with the effect of arthroscopic
surgery for patients with TTE. CONCLUSION: Collectively, the key findings
obtained from the present study supported the notion that the effect of
arthroscopic surgery in combined lateral and anterior medial approach is much
better than in lateral approach or anterior medial approach, and is related with
fracture type, operative approach, and postoperative complications.
PMID- 29642204
TI - Patterns of ocular toxoplasmosis presenting at a tertiary eye care center in
Korean patients.
AB - The objective of this study was to describe demographic and clinical features of
ocular toxoplasmosis (OT) in Korean patients compared to those in other
countries.This retrospective study comprised 46 patients diagnosed with OT. All
participants were recruited at the uveitis clinic in Seoul St. Mary's
Hospital.The mean age of patients was 54 years. Of 46 patients, 31 (67.4%) were
females. Of all patients, 24 (52.2%) had definite eating history of wild boar
meat or deer blood while 5 (10.9%) had history of close contact with cats. The
most common forms of OT were vitritis (91.3%) combined with retinochoroiditis
(65.2%). Active retinochoroidal lesion was located at the peripheral retina in 18
(39.1%) patients, central retina in 8 (17.4%) patients, and peripapillary retina
in 4 (8.7%) patients. Seven (15.2%) cases were clinically diagnosed with typical
OT without serologic evidence. Thirty-nine (84.8%) had serum IgG for
toxoplasmosis. However, only 8 (17.4%) had serum IgM. In 65.2% of patients, there
was no complication after treatment. The most common ocular complication was
macular scar (8.7%).The present study provides demographic and clinical
characteristics of OT in Korea, a low endemic area of Toxoplasma gondii. Acquired
infection is the major cause of OT in Korea. Even though Korea is a low endemic
area of Toxoplasma gondii, OT is a preventable and common cause of acquired
infectious uveitis.
PMID- 29642205
TI - Mature cystic teratoma of the pancreas with 30 years of clinical course: A case
report.
AB - RATIONALE: Mature cystic teratoma of the pancreas is an extremely rare condition
that is challenging to manage due to inadequate preoperative histological
diagnosis. PATIENT CONCERNS: A 36-year-old female was admitted to our hospital
because of a complaint of worsening paroxysmal abdominal pain for over a year.
She was diagnosed with pancreatic pseudocyst and underwent five surgical
procedures (i.e., four external drainage and one internal drainage procedures) in
the last 30 years. DIAGNOSES: Intraoperative frozen section analysis identified
the tumor as a cystic teratoma, and pathological evaluation confirmed it to be a
mature cystic teratoma. INTERVENTIONS: Explorative laparotomy was performed, and
the tumor was then resected completely through with partial pancreatectomy, left
colon resection, partial gastrectomy, duodenectomy, as well as inferior vena cava
and renal vascular repair. OUTCOMES: The patient exhibited a generally good
wellbeing without any recurrence during the 6 months of follow up. LESSONS: This
case demonstrated the significant impacts of misdiagnosis and inappropriate
treatments for benign disease on the patient's quality of life and highlighted
the importance of early surgical resection with a definitive pathological
diagnosis for pancreatic cystic teratoma.
PMID- 29642206
TI - Progressive cystic lesion in a middle-aged patient with tuberous sclerosis
complex: A case report.
AB - RATIONALE: Tuberous sclerosis complex (TSC) is an uncommon multiple systems
disorder. The main characteristics of the disease in the central nervous system
include cortical or subcortical tubers, subependymal nodules, and subependymal
giant cell astrocytoma. However, progressive cystic lesions in the cerebral
hemispheres have rarely been reported in previous studies of TSC. PATIENT
CONCERNS: We present the case of a 35-year-old man with TSC who was admitted to
our hospital for a sudden attack of serious headache, vomiting, and left
hemiplegia. Brain computerized tomography and magnetic resonance imaging (MRI)
revealed multiple subependymal calcific nodes and multiple cystic lesions in the
right frontal, temporal, and parietal lobes. The solid nodule in the lesion
demonstrated contrast enhancement. DIAGNOSES: The patient was diagnosed with TSC,
but the properties of the cystic lesion in the brain were unclear and a tumor was
suspected. INTERVENTIONS: Emergency operation was performed immediately.
Pathological examination of the lesion revealed a vascular malformation, but no
tumor cells. OUTCOMES: In the fourth year after the surgery, brain MRI revealed a
relapse of the cystic mass and surgery was suggested again. However, the patient
refused to undergo surgery again. LESSONS: This case describes an atypical MRI
presentation of TSC occurring in middle-age. This condition can cause a life
threatening condition and may recur after surgery. Our finding emphasizes the
importance of neuroimaging surveillance in patients older than 25 years old and
after lesion resection.
PMID- 29642207
TI - Successful management of fatal peripartum cardiomyopathy in a young pregnant
woman: A case report.
AB - RATIONALE: Peripartum cardiomyopathy (PPCM) is a rare and life-threatening form
of pregnancy associated myocardial disease. PATIENT CONCERNS: In the present
report, we describe a case of a patient with PPCM at 33 weeks of gestation with
stillbirth and cardiorespiratory failure. DIAGNOSES: Peripartum cardiomyopathy.
INTERVENTIONS: The patient underwent emergency cesarean section (CS) and
comprehensive medical treatments, including bromocriptine, as well as positive
inotropic agents and diuretics after the CS. OUTCOMES: She had an uneventful
recovery period, and was discharged 9 days after surgery. Her heart function was
restored within 6 months after CS, and follow-up echocardiographies indicated
normal heart function. LESSONS: This case highlights that early diagnosis and
timely termination of pregnancy are crucial in the management of PPCM.
PMID- 29642208
TI - Cardioprotective effect of histamine H2 antagonists in congestive heart failure:
A systematic review and meta-analysis.
AB - BACKGROUND: Histamine H2 antagonists (H2RAs) have long been suggested to have
beneficial effects on congestive heart failure (CHF). However, full agreement
about the cardioprotective effects of H2RAs is still not reached yet. Therefore,
this study aims to clarify the effects of H2RAs on myocardial function in CHF
patients by meta-analysis. METHODS: Electronic databases including PubMed,
Embase, and Cochrane Library were retrieved. Randomized controlled trials
comparing the cardiac effects of H2RAs and placebo or other medicines were
collected. Pooled mean differences (MDs) with 95% confidence intervals (CIs) were
calculated and meta-analysis was performed using RevMan 5.3 software. RESULTS: A
total of 10 studies (472 participants) were included in this meta-analysis. H2RAs
exhibited significant negative inotropic and chronotropic effects to reduce heart
rate (MD: -3.90; 95%CI: -7.07 to -0.73, P = .02). Furthermore, although H2RAs did
not affect the blood pressure in health volunteers, they significantly decreased
the blood pressure of CHF patients. Additionally, H2RAs were also associated with
significant increase in pre-ejection period and the ratio of pre-ejection period
to left ventricular ejection time. CONCLUSION: In summary, these findings showed
that H2RAs exerted negative inotropic and chronotropic effects to reduce heart
rate and blood pressure, which, similar to beta-adrenergic receptor blockers,
might decrease myocardial oxygen demand and eventually result in improvement of
CHF symptoms. These data provided further evidence for the effect of H2RAs on
cardiac function and novel potential strategy for treatment of CHF.
PMID- 29642209
TI - Retrospective analysis of prognosis and risk factors of patients with stroke by
TOAST.
AB - To determine differences in 90-day mortality and identify risk factors among
different etiological classifications of ischemic stroke using the Trial of ORG
10172 in Acute Stroke Treatment (TOAST) classification.Our retrospective analysis
included 538 ischemic stroke patients. The cause of stroke was categorized
according to the TOAST criteria, and 90-day mortality rates were obtained through
the patient follow-up. Age, sex, previous medical history, and clinical features
were used in the analysis of potential risk factors.There were 38 deaths during
the 90-day follow-up period. Patients in the undetermined cause subgroups
experienced significantly higher mortality rate than those in subgroups with
small artery occlusion and large artery atherosclerosis. Factors independently
associated with 90-day mortality for patients with the large artery
atherosclerosis stroke subtype were age (95% confidence interval [CI], 1.010
1.192, P = .028), history of hypertension (95% CI, 3.030-99.136, P = .001), high
blood glucose (95% CI, 1.273-2.354, P < .001), high cholesterol (95% CI, 0.017
0.462, P = .004), high uric acid (95% CI, 2.360-64.389, P = .003), and National
Institute of Health Stroke Scale(95% CI, 1.076-1.312, P = .001). Age (95% CI,
1.012-1.358, P = .034) and high cholesterol (95% CI, 0.011-0.496, P = .007) were
independently associated with 90-day mortality for patients with the small artery
occlusion subtype of stroke.Our analysis identified that certain risk factors and
90-day mortality differ significantly among different stroke subtypes, as
classified by the TOAST criteria. These risk factors must be considered carefully
to provide the best clinical management of these patients and thus reduce
mortality.
PMID- 29642210
TI - VEGF levels in plasma in relation to metabolic control, inflammation, and
microvascular complications in type-2 diabetes: A cohort study.
AB - The vascular endothelial growth factor (VEGF) level in human circulation may
reflect the severity of endothelial dysfunction in patients with diabetes
mellitus, which leads to diabetic microvascular complications.We determined
plasma VEGF levels as well as metabolic control and inflammatory factors in 26
healthy subjects and 52 type-2 diabetes mellitus (T2DM) patients with or without
diabetic microvascular complications. Pearson correlation coefficient was used to
evaluate the associations among those indices.The results showed that VEGF levels
in plasma were positively correlated with fasting blood glucose level,
glycosylated hemoglobin (HbA1c) level, type 1 helper T cell (Th1) percentage, and
Th1/Th2 ratio, while they were negatively correlated with regulatory T cell
percentage. Multiple linear regression analysis showed that HbA1c and Th1/Th2
ratio were the independent predictors of VEGF levels in T2DM patients.Thus, in
T2DM patients with poor glycemic control as well as an elevated Th1/Th2 cell
ratio, more VEGF might be released.
PMID- 29642211
TI - A case report of sofosbuvir and daclatasvirto treat a patient with acute
hepatitis C virus genotype 2 monoinfection.
AB - RATIONALE: Direct-acting antivirals (DAAs) are the first-line treatment for
patients with chronic hepatitis C virus (HCV) infection. However, its effects on
patients with acute HCV infection are poorly understood, and the data for
treatment of DAAs for genotype 2 acute monoinfection patients with HCV are
lacking. PATIENT CONCERNS: In this case report, a 26 year-old Chinese female
acquired a tattoo and developed fatigue, nausea, and anorexia. Laboratory tests
showed abnormal liver function. DIAGNOSES: Five months after the patient acquired
a tattoo, laboratory tests showed anti-HCV antibody titers were 26.0 s/co, HCV
RNA was 5.74*10 IU/mL, and HCV genotype was 2a. The patient was diagnosed with
acute hepatitis C (AHC). INTERVENTIONS: HCV RNA did not have spontaneous
clearance 12 weeks after the infection of the patient. The patient received
sofosbuvir (SOF) and daclatasvir (DCV) combination treatment for 12 weeks.
OUTCOMES: Laboratory tests showed HCV RNA was undetectable at weeks 4, and anti
HCV antibody was in seroconversion at weeks 12 during treatment. The patient
achieved a sustained virological response 36 weeks after the end of treatment.
LESSONS: Patients with acute HCV genotype 2 monoinfection would benefit from
antiviral treatment with SOF and DCV.
PMID- 29642212
TI - Fiberoptic bronchoscopic treatment of blood aspiration and use of sugammadex in a
patient with epistaxis: A case report.
AB - RATIONALE: In patients with oropharyngeal and nasopharyngeal bleeding, blood
aspiration can make airway management difficult and lead to severe pulmonary
complications. PATIENT CONCERNS: A 44-year-old male patient with recurrent
epistaxis underwent surgery for hemostasis. The patient aspirated blood through
the endotracheal tube when he hiccupped during the surgery. DIAGNOSIS: The
patient was diagnosed with blood aspiration after intraoperative fiberoptic
bronchoscopy revealed a blood clot and viscous mucus in the airways, but no sign
of active bleeding. INTERVENTIONS: Tracheobronchial suctioning and irrigation
with normal saline was performed through the bronchoscope to remove the aspirated
blood clot. Prior to emergence from anesthesia, sugammadex was administered to
induce complete neuromuscular recovery and enable the patient to cough up any
blood remaining in the airways. OUTCOMES: The patient was successfully extubated
and fully recovered with no complications. LESSONS: Blood aspiration due to
oropharyngeal or nasopharyngeal bleeding can be diagnosed and treated by
tracheobronchial suctioning via fiberoptic bronchoscopy. In addition, sugammadex
can enable patients to recover spontaneous breathing, facilitate extubation, and
enable patients to cough up any blood remaining in the airways.
PMID- 29642213
TI - Hidden blood loss and its influencing factors after percutaneous kyphoplasty
surgery: A retrospective study.
AB - Percutaneous kyphoplasty (PKP) surgery is generally accepted as a minimally
invasive treatment for osteoporotic vertebral compression fractures (OVCFs).
However, hidden blood loss (HBL) caused by this procedure is usually disregarded.
This study aimed to investigate the amount of HBL and its influencing factors
after PKP surgery.A total of 160 patients were retrospectively examined from
January 2014 to January 2016, and their clinical and radiological data were
recorded and analyzed. Preoperative and postoperative hematocrit (Hct) and
hemoglobin (Hb) levels were also documented. HBL was calculated using Gross
formula. Different factors, including gender, age, bone mineral density (BMD),
number of fracture levels, hypertension, diabetes mellitus, operative time,
percentage of vertebral height loss, percentage of vertebral height restoration,
and cement leakage, were examined. Multivariate linear regression analysis was
performed to elucidate the related clinical or radiological factors of HBL.A
total of 122 patients with 169 levels were eligible for inclusion in the study.
The mean HBL was 279 +/- 120 mL, and the postoperative Hb loss was 8.2 +/- 3.9
g/L. Multivariate linear regression analysis revealed that HBL was positively
associated with operative time (P = .000), percentage of vertebral height loss (P
= .037), and percentage of vertebral height restoration (P = .000). By contrast,
HBL was not associated with gender (P = .874), age (P = .148), BMD (P = .134),
number of fracture levels (P = .079), hypertension (P = .259), diabetes mellitus
(P = .495), and cement leakage (P = .975). The postoperative incidence of anemia
significantly increased by 39.3% compared with that of the preoperative incidence
(chi = 21.432, P = .000).For patients with OVCFs, the amount of HBL after PKP is
much larger than that observed perioperatively. Operative time, percentage of
vertebral height loss, and percentage of vertebral height restoration are
influencing factors of HBL.
PMID- 29642214
TI - Successful treatment of plasma exchange for rapidly progressive interstitial lung
disease with anti-MDA5 antibody-positive dermatomyositis: A case report.
AB - RATIONALE: As the initial treatment of rapidly progressive interstitial lung
disease (RPILD) with antimelanoma differentiation-associated gene 5 antibody
(anti-MDA5 Ab)-positive dermatomyositis (DM) patients, a combination of
corticosteroids, cyclophosphamide, and calcineurin inhibitor is recommended.
However, some of these patients have poor prognoses despite such intensive
treatment. Other more effective treatments are desired. We report the case of an
anti-MDA5 Ab-positive DM patient who had developed RPILD despite intensive
treatments; she was treated successfully by a short-term plasma exchange (PE).
PATIENT CONCERNS: A 71-year-old Japanese woman was admitted to the rheumatology
department of another hospital with progressive muscle weakness of the limbs and
erythema on both upper eyelids and the fingers of both hands. She was suspected
of having classical DM (CDM) based on the findings of typical skin and myositis.
Although a chest computed tomography (CT) examination showed no findings of
interstitial pneumonia at the first visit to the department, she newly presented
interstitial pneumonia during her admission and her anti-MDA5 Ab titer was
elevated. DIAGNOSES: She was diagnosed with interstitial lung disease (ILD) with
anti-MDA5 Ab-positive DM. INTERVENTIONS: She was treated with 1000 mg of methyl
prednisolone pulse, 500 mg of intravenous cyclophosphamide therapy (IVCY)
followed by prednisolone 40 mg/day with tapering, and oral cyclosporine 200
mg/day. However, her interstitial pneumonia worsened with increasing breathing
difficulty and an increasing serum ferritin level. She was transferred to our
department, and we initiated PE as an additional treatment. OUTCOMES: After the
PE treatment, all laboratory findings, for example, ferritin, KL-6, and the titer
of anti-MDA5 Ab showed marked improvement, and the patient's skin symptoms and
active interstitial pneumonia were relieved. LESSONS: Our patient's case suggests
that PE may be effective for RPILD in anti-MDA5 Ab-positive DM patients.
PMID- 29642215
TI - Anomalous coagulation factors in non-arteritic anterior ischemic optic neuropathy
with central retinal vein occlusion: A case report.
AB - RATIONALE: Non-arteritic anterior ischemic optic neuropathy (NAION) is
characterized by sudden, painless visual loss and optic disc edema. NAION occurs
mainly in the presence of cardiovascular disease and hypercoagulability, mainly
in patients over 50 years of age. We experienced a case of NAION associated with
central retinal vein occlusion (CRVO) in a young man with no underlying disease.
PATIENT CONCERNS: A 46-year-old man was referred to our clinic following a sudden
loss of vision in his right eye. The patient exhibited no underlying disease and
reported no ongoing medication. Significant visual loss and visual disturbance of
the right eye were observed. The pupil of the right eye was enlarged and an
afferent pupillary defect was observed. On fundus examination, retinal hemorrhage
was observed in the peripheral retina; macular edema was observed in optical
coherence tomography analysis. However, optic disc edema was not evident. No
abnormal findings were found in routine blood tests for hypercoagulability. After
3 days of steroid intravenous injection, macular edema disappeared and visual
acuity was improved, but optic disc edema began to appear. One week later, optic
disc edema was evident and visual acuity was significantly reduced; thus, the
patient was diagnosed with NAION. In fluorescein angiography, peripheral retinal
ischemia was observed, suggesting that CRVO was complicated. Blood tests,
including analysis of coagulation factors, were performed again, showing that
coagulation factors IX and XI were increased. DIAGNOSES: Anomalous coagulation
factors in non-arteritic anterior ischemic optic neuropathy with central retinal
vein occlusion. INTERVENTIONS: Systemic steroids were administered. OUTCOMES: One
month later, optic disc edema and retinal hemorrhage gradually diminished and
eventually disappeared; however, visual acuity did not recover. CONCLUSION: In
young patients without underlying disease, cases of NAION require careful
screening for coagulation disorders. Even if there is no abnormality in the test
for routine coagulation status, it may be necessary to confirm a coagulation
defect through an additional coagulation factor assay.
PMID- 29642216
TI - Diagnostic accuracy of cystoscopy and ultrasonography in the prenatal diagnosis
of abnormally invasive placenta.
AB - The aim of this study was to compare the accuracy of cystoscopy and
ultrasonography for the prenatal diagnosis of abnormally invasive placenta (AIP),
including its subgroups: placenta accreta (PA), placenta increta (PI), and
placenta percreta (PP).A retrospective observational study including a total of
85 pregnant women at high risk for AIP underwent prenatal cystoscopy and
ultrasonography evaluations. The sensitivity (Se), specificity (Sp), positive
predictive value, negative predictive value, and exact diagnosed were calculated
and compared for both cystoscopy and ultrasonography. Se and Sp values of
cystoscopy and ultrasonography were compared by means of the McNemar test.Of the
85 patients, there were 24 (28.2%) PA, 35 (41.2%) PI, 4 (4.7%) PP, and 22 (25.9%)
nonadherent placenta. The mean maternal age and gestational age of delivery were
31.88 +/- 4.42 years and 36.14 +/- 1.84 weeks, respectively. No one was found to
develop any complications with cystoscopy like urinary tract infection, or
ureteral injury or perforations. Se in the diagnosis of AIP was 50.8% with
ultrasonography and 61.9% for cystoscopy. Sp was 86.4% with cystoscopy and 72.7%
for ultrasonography. In subgroups, Se with cystoscopy was 25.0%, 62.9%, and
100.0% in PA, PI, and PP, respectively, and 37.5%, 74.3%, and 100.0%,
respectively, for ultrasonography; Sp remained unchanged with 86.4% for
cystoscopy and 72.7% for ultrasonography. After McNemar test, no difference was
found in either Se or Sp between cystoscopy and ultrasonography in AIP and its
subgroups.According to the depth of invasion, the diagnostic value of cystoscopy
and ultrasonography is all conspicuous increased and they have similar test
validity for prenatal diagnosis of AIP and its subgroups.
PMID- 29642217
TI - Revision surgery after rod breakage in a patient with occipitocervical fusion: A
case report.
AB - RATIONALE: Rod breakage after occipitocervical fusion (OCF) has never been
described in a patient who has undergone surgery for basilar invagination (BI)
and atlantoaxial dislocation (AAD). Here, we present an unusual but significant
case of revision surgery to correct this complication. PATIENT CONCERNS: A 32
year-old female presented with neck pain, unstable leg motion in walking, and
also BI with AAD. Her first surgery was planned to correct these conditions and
for fusion at the occipital junction (C3-4) using a screw-rod system. At the 31
month follow-up after her first operation, the patient complained of severe neck
pain and limitation of motion, suggesting rod breakage. DIAGNOSES: Rod breakage
after occipitocervical fusion for BI and AAD. INTERVENTIONS: The patient
underwent reoperation for replacement of the broken rods, adjustment of the
occipitocervical angle, maintenance of the bone graft bed, and fusion. OUTCOMES:
At follow-up, the hardware was found to be in good condition, with no significant
loss of cervical lordosis. At the 37-month follow-up after her second operation,
the patient was doing better and continuing to recover. LESSONS: We concluded
that nonideal choice of occipitocervical angle may play an important role in rod
breakage; however, an inadequate bone graft and poor postoperative fusion may
also contribute to implant failure.
PMID- 29642218
TI - Spontaneous conversion of atrial fibrillation caused by severe hyperkalemia: A
case report.
AB - RATIONALE: Hyperkalemia is a life-threatening electrolyte disturbance which could
lead to arrhythmias and potentially death. PATIENT CONCERNS: An 82-year-old male
patient who presented typical electrocardiographic indications of hyperkalemia,
including the absence of P waves, prolongation of QRS complex, sinoventricular
conduction, bradyarrhythmia and tall peaked T waves. He developed a rare self
defibrillation of atrial fibrillation to sinus rhythm due to hyperkalemia.
Besides, he developed secondary thrombosis caused by abrupt termination of atrial
fibrillation. DIAGNOSES: This patient was diagnosed with hyperkalemia,
hypertension, and AF. INTERVENTIONS: He was treated with an intravenous infusion
of calcium gluconate, insulin and dextrose, an oral kayexalate, and emergency
hemodialysis. OUTCOMES: The patient was managed effectively and discharged with
stable status. LESSONS: Hyperkalemia could induce malignant arrhythmia with high
mortality. Thus we suggested more attention be paid to monitoring electrolyte
disorders and maintaining anticoagulation treatments to avoid thromboembolism.
PMID- 29642219
TI - Descemet's membrane detachment following uneventful phacoemulsification
surgeries: A case report.
AB - RATIONALE: Descemet's membrane detachment (DMD) may occur during or following
cataract surgery, causing corneal edema and visual loss1. The incidence of DMD
after phacoemulsification surgery is only approximately 0.5%, and mostly surgical
related. Late onset bilateral spontaneous DMD after sequential uneventful
cataract surgeries, is even rarer, and may result from not only surgery itself,
but also from an underlying anatomic abnormality 2. PATIENT CONCERNS: We present
a 80 year old female developed bilateral descemet's membrane detachment after
sequential uncomplicated cataract surgeries. DIAGNOSIS: Bilateral Descemet's
membrane detachment. INTERVENTIONS: One eye (left eye) was treated with
intracameral air injection and the fellow eye (right eye) was treated with
medical treatment only. OUTCOMES: The DMDs were reattached in both eyes after
treatment. Surgical intervention accelerated the duration of recovery and there
were no significant outcome differences between the right and the left eye.
LESSONS: Even if there is a large area of DMD with visual axis involvement,
conservative treatment with close observation might still provide a satisfactory
result if Descemet's membrane is separated from the posterior corneal stroma by
<=1 mm.
PMID- 29642221
TI - Pregnancy-induced complications in IgA nephropathy: A case report.
AB - RATIONALE: IgA nephropathy is one of the most common causes of renal
hypertension. The clinical management of IgA renal patients during pregnancy is
challenging, as complex pathophysiological changes may occur that affect both the
patient's prognosis and the outcome of the pregnancy. PATIENT CONCERNS: A 36-year
old woman with a family history of hypertension and at least one year of
untreated mild high blood pressure was admitted to our hospital in the 28th week
of pregnancy. She suffered from hypertensive disorder complicating pregnancy
(HDCP) with renal insufficiency and stillbirth. Treatment with duplex
antihypertensive drugs did not improve her blood pressure and she presented with
abnormal renal function. DIAGNOSES: A renal biopsy led to the diagnosis of a
grade IV IgA nephropathy (Lee's grading system) with renal hypertension.
INTERVENTIONS: The prescribed treatment regimen consisted of low dose
cyclophosphamide 0.2 g per day for two days, followed by daily oral
administration of 30 mg prednisone, 30 mg Nifedipine extended-release tablets and
80 mg Telmisartan to regulate the blood pressure. OUTCOMES: The medication with a
combination of antihypertensive and immunosuppressive drugs led to a clinical
improvement with a nearly normal renal function and a stable blood pressure
during the one-year follow-up. LESSONS: This case underlines that 1) the
pregnancy outcomes of patients with IgA nephropathy are variable and depend on
the renal function, blood pressure, status of urine proteins and the renal
histological grade, and 2) especially female patients of childbearing age with
hypertension need to be carefully examined to determine the cause of hypertension
to avoid damage to target organs and complications during pregnancy.
PMID- 29642220
TI - Clinical feature of infertile men carrying balanced translocations involving
chromosome 10: Case series and a review of the literature.
AB - RATIONALE: Infertile male carrying balanced translocations can be broadly divided
into two types: pregestational and gestational infertility. Chromosome and
breakpoints involved translocation should be considered in genetic counselling
for these patients. To date, > 100 cases have been described with carrying
balanced translocations involving chromosome 10 in fertile male. PATIENT
CONCERNS: We report 11 cases translocation carriers involving chromosome 10, and
review 99 carriers of chromosome 10 translocation from reported literature.
DIAGNOSES: Eleven cases of chromosomal translocation were diagnosed by
cytogenetic analysis. Three of these men had azoospermia or oligozoospermia,
while eight had normal semen. Of these latter cases, their partners were able to
conceive, but had a tendency to miscarry or have a stillbirth. INTERVENTIONS:
Chromosome breakpoints should be considered in genetic counseling.
Preimplantation genetic diagnosis should be performed to decrease the high risk
of miscarriage and to minimize the genetic risks to offspring for patients with
gestational infertility. OUTCOMES: The most common translocations and breakpoints
were at t(4;10) and 10q24, observed in 12 and 10 patients respectively.
Breakpoints at 10p15.1, 10p12, 10q10, 10q22.1, 10q24.2, and 10q26.3 were linked
to pregestational infertility; breakpoints at 10p12.1, 10q11, 10q21.2, and
10q23.3 were associated with gestational infertility; the other breakpoints were
connected with both forms of infertility. LESSONS: Breakpoints at 10p12 and
10q26.3 were associated with pregestational infertility. Other breakpoints at
chromosome 10 were correlated with gestational infertility. These breakpoints
should be considered when counseling men with chromosome 10 translocations should
be informed of their options.
PMID- 29642223
TI - A gastrointestinal stromal tumor with acute bleeding: Management and nursing:
Erratum.
PMID- 29642222
TI - Management of pulmonary embolism after recent intracranial hemorrhage: A case
report.
AB - RATIONALE: Venous thromboembolism may result from prolong immobilization
following intracerebral hemorrhage. Massive pulmonary embolism with associated
right heart failure is life-threatening, requiring treatment with anticoagulants
or even thrombolytic agents. However, these drugs are contraindicated after a
recent hemorrhagic episode, as they may induce further hemorrhage. There are no
guidelines for treatment in these circumstances. PATIENT CONCERNS: A 57-year-old
man experienced massive pulmonary embolism and shock 18 days after an
intracerebral hemorrhage. DIAGNOSES: Tachycardia and high D-dimer (21.27 mg/L
fibrinogen-equivalent units) were noted. Chest computed tomography showed
bilateral pulmonary trunk embolism. INTERVENTIONS: Heparinization were used and
activated partial thromboplastin time therapeutic range was 50 to 70 seconds.
Fortunately, shock status and shortness of breath improved two days later.
Continuing high dose Rivaroxaban was administrated for three weeks. OUTCOMES:
There was no recurrent intracranial hemorrhage (ICH) following treatment for
three-weeks with high-dose and one-year with standard dose of rivaroxaban. This
report presents a treatment option in the management of these difficult clinical
situations. LESSONS: The combination of unfractionated heparin infusion and
continuing non-Vitamin K antagonist oral anticoagulants use could manage life
threatening pulmonary embolism following recent ICH. Theoretically, the use of
NOAC is a safer strategy if the patient with previous history of major ICH.
PMID- 29642225
TI - A case report of Brugada-like ST-segment elevation probably due to coronary
vasospasm: Erratum.
PMID- 29642224
TI - Bedside ultrasound diagnosis of a malpositioned central venous catheter: A case
report.
AB - RATIONALE: Central venous catheter (CVC) placement is commonly performed in
intensive care unit. And CVC placement is associated with risks including CVC
malposition, pneumothorax. Many of the previously reported cases are about
catheter misplacement detected by bedside ultrasound, chest x-ray (CXR) and
computed tomography. In this case, malposition was detected by bedside ultrasound
incidentally particularly with no clinical manifestation. PATIENT CONCERNS: An 88
year-old male with severe diabetic peripheral neuropathy secondary to type 2
diabetes mellitus was admitted for further treatment. DIAGNOSES: We cannulated a
single-lumen CVC via the right subclavian vein, and the tip ended up in the
internal jugular vein on the same side. With bedside ultrasound, we discovered
the malposition though it was mistaken by aspiration of venous blood. Later, CXR
revealed malposition of the tip once again. INTERVENTIONS: Since the patient was
asymptomatic and the catheter was functioning normally, the catheter was used for
the following 20 days without complications. Ultimately, we carefully performed
the catheter removal. OUTCOMES: After the inserted catheter was removed, we
attempted a new CVC through the left internal jugular vein. After the procedure,
bedside ultrasound and CXR confirmed the correct position of CVC. Following
successful replacement of the central catheter, no further complications were
observed. LESSONS: Bedside ultrasound offers safety and effectiveness during
insertion of CVC. It also exhibits promptness and accuracy compared to post
intervention radiological imaging.
PMID- 29642226
TI - Clinical features and prognosis of paraquat poisoning in French Guiana: A review
of 62 cases.
AB - Paraquat is a nonselective contact herbicide of great toxicological importance,
being associated with high mortality rates. Because of its high toxicity, the
European Union withdrew it from its market in 2007. The aim of this study is to
analyze all cases of paraquat poisoning hospitalized in French Guiana in order to
assess their incidence and main characteristics.Medical records of all paraquat
intoxicated patients hospitalized from 2008 until 2015 were reviewed in this
retrospective study.Demographics, clinical presentation, and laboratory data were
evaluated.A total of 62 cases were reviewed. The incidence of paraquat poisoning
was 3.8/100,000 inhabitants/year. There were 44 adults and 18 children younger
than 16 years of age. The median ages were 31 years [18.08-75.25] in adults and
13.4 years [0.75-15.08] in children, respectively. The median duration of
hospitalization was longer in children [15.5 days (1-24)] than in adults [2 days
(1-30)], P < .01. The majority of cases was due to self-poisoning (84%).Children
had ingested a lower quantity of paraquat [48.8 mg/kg (10-571.1)] than adults
[595.8 mg/kg (6-3636.4), P = .03]. There were more deaths among adults (65%) than
in children (22%), P = .004. The severity and outcome was determined primarily by
the amount of paraquat ingested.In conclusion, French Guiana has the largest
cohort of paraquat poisonings in the European Union. The major factor affecting
the prognosis of patients was the ingested amount of paraquat. The administration
of activated charcoal or Pemba, in situ, within the first hour after ingestion of
paraquat is essential.
PMID- 29642228
TI - An observational study of clinical outcomes of everolimus-eluting bioresorbable
scaffolds comparing the procedural use of optical coherence tomography against
angiography alone.
AB - OBJECTIVES: The introduction of the bioresorbable vascular scaffold (BVS) has led
to new avenues of coronary intervention; however, there have been concerns raised
regarding the mechanical properties of BVS and the resulting in-stent thrombosis.
We aim to assess whether intracoronary imaging improves outcome in patients
following BVS implantation. PATIENTS AND METHODS: All patients undergoing
percutaneous coronary intervention using BVS at a single centre between June 2013
and June 2016 were included in this study (n=79). Percutaneous coronary
intervention with BVS was performed according to conventional practice:
predilatation, postdilatation and intracoronary assessment with optical coherence
tomography (OCT) according to operator's preferences. The primary endpoint was
Major Adverse Cardiovascular Events (MACE), defined as all-cause mortality,
myocardial infarction and revascularization, at 120 days. RESULTS: Forty-three
(54.4%) patients underwent OCT assessment and 36 (45.6%) patients underwent
angiography alone post-BVS insertion. There were no significant differences at
baseline between both groups; a total of 13 (30.2%) patients who had
intracoronary OCT imaging performed underwent further postdilatation after
malapposed struts were identified. Although no deaths were observed, there was a
significant difference seen in MACE over the follow-up period between OCT and
angiography cohorts (4.7 vs. 19.4% respectively; P=0.042). There was a greater
number of MACE in low-experienced operators. CONCLUSION: As visual assessment
with angiography has poor specificity for identifying strut malapposition,
intracoronary OCT should be undertaken in all patients postinsertion of BVS
stents in inexperienced operators to appropriately assess for stent
malapposition, and reduce the subsequent risk of MACE.
PMID- 29642229
TI - Military Supplement: Perfluorocarbon Emulsions, Platelet Counts and Inflammation.
AB - Perfluorocarbon emulsions (PFC) are a class of lipid coated micelle slurries
wherein the active center of the micelle is a completely halogen/fluorine
substituted hydrocarbon capable of dissolving very large quantities of non-polar
gases. Due to their unique enhanced solubility for oxygen (O2) and nitrogen (N2)
PFCs have been utilized in research as enhanced gas transport media for
situations wherein the microcirculation is dysfunctional. In the early 1990's a
PFC emulsion was approved for human use during coronary artery angioplasty and
one is presently in use in Russia as well as other countries. The pharmaceutical
class has had reported in the past associated with variable amounts of time
limited thrombocytopenia. Anxiety about cerebral embolism surfaced after a
pivotal phase III trial leading to the cessation of all human research in the
United States. At that time papers both published and submitted to the FDA opined
(without proof) that the platelet count decrease might be caused by platelet
white cell conjugates and/or platelet aggregates thereby signaling a general
inflammatory response to PFCs and a potential thrombosis risk. Although
thrombocytopenia has been reported in response to PFC emulsion formulations it is
not ubiquitous and seems to be less associated with some formulations. As well,
in some recent animal studies there is no evidence of platelet white cell adverse
interactions. The mechanism for the reported thrombocytopenia is as yet not fully
understood, and risk-benefit profiles will have to be carefully studied as
contemporary human trials move forward.
PMID- 29642227
TI - Metabolic syndrome and risk of stroke: Protocol for an update systematic review
and meta-analysis.
AB - INTRODUCTION: The metabolic syndrome is composed of several cardiovascular risk
factors and has a high prevalence throughout the world. However, there are no
systematic analyses or well-conducted meta-analyses to evaluate the relationship
between metabolic syndrome and stroke. The aim of this study is to examine this
association of metabolic syndrome with stroke in different ages and sex. METHODS
AND ANALYSIS: The update systematic review and meta-analysis will be conducted
using published studies that will be identified from electronic databases (i.e.,
PubMed, EMBASE, Web of Science, and Google Scholar. Studies that examined the
association between metabolic syndrome and stroke, had a longitudinal or
prospective cohort design, were conducted among in adults aged 40 to 70 years,
provided sufficient data for calculating ORs or relative risk with a 95% CI, were
published as original articles written in English or other languages, and have
been published until December 2017 will be included. Study selection, data
collection, quality assessment, and statistical syntheses will be conducted based
on discussions among investigators. ETHICS AND DISSEMINATION: Ethics approval was
not required for this study because it was based on published studies. The
results and findings of this study will be submitted and published in a
scientific peer-reviewed journal. The findings from this study could be useful
for assessing metabolic syndrome risk factors in stroke, and determining
approaches for prevention of stroke in the future.
PMID- 29642230
TI - Mirna-Mediated Mechanisms of Cardiac Protection in Ischemic and Remote Ischemic
Preconditioning - A Qualitative Systematic Review.
AB - BACKGROUND: Ischemic preconditioning (IPC) and remote ischemic preconditioning
(RIPC) protect myocardial tissue against subsequent ischemia and reperfusion
injury (IRI) and have a high potential to improve patient outcome. The mediators
and mechanisms of protection through IPC and RIPC remain largely unknown, but
micro-RNAs (miRNAs) are promising candidates. METHODS: Systematic review of
Medline and Embase databases for biomedical scientific literature. RESULTS: 26
relevant publications (21 full-text original articles and 5 conference abstracts)
were identified, 8 describing cell culture experiments, 14 animal experiments and
4 randomised clinical trials in humans. Most commonly reported miRNAs with
differential expression between preconditioned and control groups include miR-1,
miR-21 and miR-144. Experimental designs and procedures differ widely, thereby
limiting the potential to compare results between studies. Two of the four RCTs
did not find any differentially expressed miRNAs. CONCLUSIONS: Results from RCTs
should feed back into basic research and focused studies confirming or rejecting
hypotheses generated by these RCTs are needed.
PMID- 29642231
TI - Soluble Suppression of Tumorigenicity-2 Predicts Hospital Mortality in Burn
Patients: An Observational Prospective Cohort Pilot Study.
AB - BACKGROUND: The IL33/ST2 pathway has been implicated in the pathogenesis of
different inflammatory diseases. Our aim was to analyze whether plasma levels of
biomarkers involved in the IL33/ST2 axis might help to predict mortality in burn
patients. METHODS: Single-center prospective observational cohort pilot study
performed at the Burns Unit of the Plastic and Reconstructive Surgery Department
of the Vall d'Hebron University Hospital (Barcelona). All patients aged >=18
years old with second or third-degree burns requiring admission to the Burns Unit
were considered for inclusion. Blood samples were taken to measure levels of
interleukins (IL)6, IL8, IL33, and soluble suppression of tumorigenicity-2 (sST2)
within 24 h of admission to the Burns Unit and at day 3. Results are expressed as
medians and interquartile ranges or as frequencies and percentages. RESULTS:
Sixty-nine patients (58 [84.1%] male, mean age 52 [35-63] years, total body
surface area burned 21% [13%-30%], Abbreviated Burn Severity Index 6 [4-8]) were
included. Thirteen (18.8%) finally died in the Burns Unit. Plasma levels of sST2
measured at day 3 after admission demonstrated the best prediction accuracy for
survival (area under the ROC curve 0.85 [0.71-0.99]; P < 0.001). The best cutoff
point for the AUROC index was estimated to be 2,561. In the Cox proportional
hazards model, after adjusting for potential confounding, a plasma sST2 level
>=2,561 measured at day 3 was significantly associated with mortality (HR 6.94
[1.73-27.74]; P = 0.006). CONCLUSIONS: Plasma sST2 at day 3 predicts hospital
mortality in burn patients.
PMID- 29642232
TI - Transcription factor 7 functions as an unfavorable prognostic marker of
glioblastoma multiforme by promoting proliferation by upregulating c-Myc.
AB - Transcription factor 7 (TCF7) is an oncogenic transcription factor in several
kinds of cancers. However, the clinical significance of TCF7 in glioblastoma
multiforme (GBM) has not been well elucidated. A total of 107 patients with
surgical resection of GBM were enrolled in our study. TCF7 expression in these
cases was detected by immunohistochemistry and the difference in TCF7 mRNA levels
between tumor tissues and adjacent tissues was compared with a real-time PCR. The
correlation between TCF7 expression and the clinicopathologic factors was
analyzed using the chi-test. Moreover, the prognostic value of TCF7 was evaluated
by univariate and multivariate analyses. In addition, the influence of TCF7 on
the proliferation of the GBM cell line U251 was detected using an MTT assay after
regulating TCF7 and its target gene c-Myc. The high and low expressions of TCF7
accounted for 54.21 and 45.79%, respectively, in all cases. The mRNA level of
TCF7 in GBM tissues was markedly higher than that in adjacent tissues, indicating
the oncogenic role of TCF7 in GBM. High expression of TCF7 was associated
significantly with high Ki67 percentage and the sex of the patients, and it was
identified as an independent prognostic factor for patients with GBM. With
experiments in vitro, TCF7 was shown to promote cell proliferation by increasing
c-Myc expression in GBM. TCF7 could be considered an independent prognostic
factor in GBM, and could enhance GBM cell proliferation by upregulating c-Myc,
indicating that it may be a potential and promising molecular drug target for
GBM.
PMID- 29642233
TI - Sender and Receiver Acceptability and Usability of an Online Partner Notification
Tool for Sexually Transmitted Infection in the Netherlands.
AB - Users (index patients with a verified sexually transmitted infection and notified
partners) rated the health care provider-initiated Internet-based partner
notification application Suggestatest.nl acceptable and usable. Both groups were
less positive about Suggestatest.nl to notify/get notified of HIV than other
sexually transmitted infection. An anonymous notification was perceived less
acceptable.
PMID- 29642234
TI - A retrospective investigation of HLA-B*5801 in hyperuricemia patients in a Han
population of China.
AB - BACKGROUND: Hyperuricemia and gout have become increasingly prevalent in China.
Allopurinol is an effective urate-lowering therapy, but it has severe side
effects. HLA-B*5801 is highly associated with the allopurinol-induced toxic
epidermal necrolysis and Stevens-Johnson syndrome. PATIENTS AND METHODS: In this
retrospective report, we had genotyped HLA-B*5801 in 253 cases of hyperuricemia
and gout patients in a Han population in Shenzhen and analyzed the clinical
management of medications. RESULTS: We found 30 carriers of the HLA-B*5801 allele
in 253 cases of hyperuricemia or gout patients in the population (11.9%).
Allopurinol was prescribed in both HLA-B*5801-positive and HLA-B*5801-negative
groups. The evaluation of four models with or without genetic screening and
management of allopurinol or febuxostat indicated that the HLA-B*5801 screening
had significant cost benefit for clinical management. CONCLUSION: For appropriate
management and cost-effectiveness, the HLA-B*5801 allele should be screened in
all patients with hyperuricemia and gout in the Chinese population.
PMID- 29642235
TI - Back to Living: Long-term Functional Status of Pediatric Patients Who Completed
Intensive Interdisciplinary Pain Treatment.
AB - OBJECTIVES: To provide a descriptive account of long-term functioning (>=5 y
posttreatment) among youth who completed intensive interdisciplinary pain
treatment (IIPT) for pediatric chronic pain conditions. MATERIALS AND METHODS: A
total of 95 patients (mean age at follow-up=20.0 y) treated at least 5 years
previously at a single IIPT program completed questionnaires assessing pain,
functional disability, health care utilization, academic/career achievement, and
quality of life. Data analyses focused on pain, functioning, and progress toward
developmental goals at long-term follow-up. RESULTS: The majority of respondents
report significant reduction in pain compared with preadmission (P<0.001). Five
years posttreatment, average functional disability scores were in the minimal
range, with statistically significant decrease in functional disability from time
of admission (P<0.001). Nearly 80% of respondents characterized themselves as
having no functional difficulties at follow-up. Clinically significant
improvement was established for both pain and function. Respondents generally
reported developmentally appropriate status, with 89% graduating high school on
schedule. DISCUSSION: Results show long-term positive functioning among
individuals who underwent intensive rehabilitation treatment for chronic pain as
children or adolescents. Despite experiencing one or more pain flares at some
point after treatment, most former IIPT patients report minimal to no ongoing
functional disability, complete or partial resolution of pain symptoms, and
developmentally appropriate progress toward goals (eg, school completion,
independent living).
PMID- 29642236
TI - Occupational and Ergonomic Factors Associated With Low Back Pain Among Car-patrol
Police Officers: Findings From the Quebec Serve and Protect Low Back Pain Study.
AB - OBJECTIVES: Low back pain (LBP) is frequent and burdensome among police officers,
but occupational and ergonomic factors associated with LBP and its chronic
symptoms have never been studied among these workers using a biopsychosocial
model. This study aimed at exploring such factors associated with acute or
subacute LBP and chronic low back pain (CLBP) among car-patrol police officers.
METHODS: A web-based cross-sectional study was conducted among car-patrol
officers working in the province of Quebec (Canada). Factors associated with
acute or subacute LBP and CLBP (as opposed to absence of LBP) were studied using
a multivariate multinomial regression model. RESULTS: A total of 2208 car-patrol
officers composed the study population. Statistically significant
occupational/ergonomic determinant for higher prevalence of acute or subacute LBP
was more frequent discomfort in the lower back when sitting in the patrol car as
a driver (adjusted odds ratio [OR], 3.008; 95% confidence interval [CI], 2.170
4.168). More frequent posttraumatic interventions was associated with lower
prevalence of acute or subacute LBP (adjusted OR, 0.609; 95% CI, 0.410-0.907).
Occupational and ergonomic factors associated with higher prevalence of CLBP were
greater seniority (adjusted OR, 1.061; 95% CI, 1.007-1.118) and more frequent
discomfort in the lower back when sitting in the patrol car as a driver (adjusted
OR, 7.546; 95% CI, 5.257-10.831). DISCUSSION: Few occupational and ergonomic
factors that police organizations could use to better tailor prevention were
found to be associated with acute or subacute LBP and CLBP. This cross-sectional
study is an efficient first investigation for screening hypotheses that should be
confirmed in further cohort studies.
PMID- 29642238
TI - ASSOCIATION BETWEEN GENOTYPE AND DISEASE PROGRESSION IN ITALIAN STARGARDT
PATIENTS: A Retrospective Natural History Study.
AB - PURPOSE: To investigate the natural history of Stargardt disease over a multiyear
follow-up. METHODS: We reviewed medical records of Stargardt disease patients,
with clinical diagnosis of Stargardt disease at a single institution, which was
also supported by molecular diagnosis. All patients underwent best-corrected
visual acuity, fundus photography, optical coherence tomography, and full-field
electroretinography. RESULTS: The study cohort consisted of 157 Stargardt disease
patients aged 30.4 +/- 1.1 years. Longitudinal analysis (mean follow-up: 3 years)
showed a significant worsening of best-corrected visual acuity at an average rate
of 1.5 Early Treatment Diabetic Retinopathy Study letters/year (P < 0.001), an
enlargement of retinal pigment epithelium lesion area by optical coherence
tomography at an average linear rate of 0.10 mm/year (P < 0.001), and a thinning
of central macular thickness at a mean rate of -1.42 MUm/year (P < 0.001).
Survival analysis showed that patients with 2 alleles harboring likely-null
variants, on average, reached most severe disease stage, i.e., legal blindness,
alteration in both dark-adapted and light-adapted electroretinographic responses,
and retinal pigment epithelium lesion area larger than 2.5 mm significantly
earlier than patients with at least one allele harboring a missense variant.
CONCLUSION: The current longitudinal study showed a significant genotype
phenotype correlation characterization, because patients harboring 2 likely-null
alleles reach a severe disease stage about 10 years earlier than patients with at
least one missense allele.
PMID- 29642237
TI - Association Between the 2011 Fibromyalgia Survey Criteria and Multisite Pain
Sensitivity in Knee Osteoarthritis.
AB - OBJECTIVES: The present study evaluated the relationship between the 2011
American College of Rheumatology fibromyalgia (FM) survey criteria and
quantitative sensory testing (QST). MATERIALS AND METHODS: Patients with knee
osteoarthritis scheduled to undergo knee arthroplasty completed the FM survey
criteria and self-report measures assessing clinical symptoms. Patients also
underwent a battery of QST procedures at the surgical knee and remote body sites,
including pressure algometry, conditioned pain modulation, and temporal
summation. All assessments were completed before surgery. FM survey criteria were
used to calculate a continuous FM score indicating FM severity. RESULTS: A total
of 129 patients were analyzed. Of these, 52.7% were female, 93.8% were Caucasian,
and 3.8% met the FM survey criteria for FM classification. Mean age for females
(63.6 y) and males (64.7 y) was similar. Females and males differed significantly
in nearly every outcome, including FM severity, clinical pain, anxiety,
depression, and pressure pain sensitivity. In females, FM scores significantly
correlated with pressure pain sensitivity, but not conditioned pain modulation or
temporal summation, such that increased sensitivity was associated with greater
FM severity at all body sites examined. In addition, as FM scores increased, the
association between pain sensitivity at the surgical knee and pain sensitivity at
remote body sites also increased. No relationship between FM score and QST was
observed in males. DISCUSSION: We demonstrated an association between diffuse
hyperalgesia as measured by QST and FM severity in females with knee
osteoarthritis. These results suggest that the FM survey criteria may represent a
marker of pain centralization in females with potential utility in clinical
decision making.
PMID- 29642239
TI - MULTILEVEL ISCHEMIA IN DISORGANIZATION OF THE RETINAL INNER LAYERS ON PROJECTION
RESOLVED OPTICAL COHERENCE TOMOGRAPHY ANGIOGRAPHY.
AB - PURPOSE: To examine the relationship between ischemia and disorganization of the
retinal inner layers (DRIL). METHODS: Cross-sectional retrospective study of 20
patients (22 eyes) with diabetic retinopathy presenting to a tertiary academic
referral center, who had DRIL on structural optical coherence tomography (OCT)
using Spectralis HRA + OCT (Heidelberg Engineering, Heidelberg, Germany) and OCT
angiography with XR Avanti (Optovue Inc, Fremont, CA) on the same day. Optical
coherence tomography angiography images were further processed to remove flow
signal projection artifacts using a software algorithm adapted from recent
studies. Retinal capillary perfusion in the superficial capillary plexuses,
middle capillary plexuses, and deep capillary plexuses, as well as integrity of
the photoreceptor lines on OCT was compared in areas with DRIL to control areas
without DRIL in the same eye. RESULTS: Qualitative assessment of projection
resolved OCT angiography of eyes with DRIL on structural OCT demonstrated
significant perfusion deficits compared with adjacent control areas (P < 0.001).
Most lesions (85.7%) showed superimposed superficial capillary plexus and/or
middle capillary plexus nonperfusion in addition to deep capillary plexus
nonflow. Areas of DRIL were significantly associated with photoreceptor
disruption (P = 0.035) compared with adjacent DRIL-free areas. CONCLUSION: We
found that DRIL is associated with multilevel retinal capillary nonperfusion,
suggesting an important role for ischemia in this OCT phenotype.
PMID- 29642241
TI - Modeling the Nature of Grammar and Vocabulary Trajectories From Prekindergarten
to Third Grade.
AB - Purpose: This study investigated the longitudinal development of 2 important
contributors to reading comprehension, grammar, and vocabulary skills. The
primary interest was to examine the trajectories of the 2 skill areas from
preschool to 3rd grade. Method: The study involved a longitudinal sample of 420
children from 4 sites. Language skills, including grammar and vocabulary, were
assessed annually with multiple measures. Multivariate latent growth curve
modeling was used to examine the developmental trajectories of grammar and
vocabulary, to test the correlation between the 2 domains, and to investigate the
effects of demographic predictors on language growth. Results: Results showed
that both grammar and vocabulary exhibited decelerating growth from preschool to
Grade 2. In Grade 3, grammar growth further flattened, whereas vocabulary
continued to grow stably. Growth of vocabulary and grammar were positively
correlated. Demographic characteristics, such as child gender and family
socioeconomic status, were found to predict the intercept but not the slope of
the growth trajectories. Conclusions: Children's growth in grammar skills is
differentiated in a number of important ways from their growth in vocabulary
skills. Results of this study suggest the need to differentiate these dimensions
of language when seeking to closely examine growth from preschool to primary
grades.
PMID- 29642242
TI - Shikonin Prevents Early Phase Inflammation Associated with Azoxymethane/Dextran
Sulfate Sodium-Induced Colon Cancer and Induces Apoptosis in Human Colon Cancer
Cells.
AB - Shikonin is the main active principle in the root of Lithospermum erythrorhizon,
widely used in traditional Chinese medicine for its anti-inflammatory and wound
healing properties. Recent research highlights shikonin's antitumor properties
and capacity to prevent acute ulcerative colitis. The aim of the present study
was to evaluate the ability of shikonin to prevent, in vivo, the early phases of
colorectal cancer development, with special focus on its cytotoxic mechanism in
vitro. We employed the azoxymethane/dextran sulfate sodium model of colitis in
Balb/C mice. Body weight and drinking were monitored throughout the experiment,
and length of colon and lesions of the colon were recorded on termination of the
experiment in all of the experimental groups. Colons underwent histological
evaluation and biochemical analyses [myeloperoxidase activity assay, measurement
of interleukin-6, evaluation of proinflammatory enzymes (cyclooxygenase-2 and
inducible nitric oxide synthase), and nuclear factor-kappaB activation by Western
blot]. Caco-2 cells were used to evaluate, in vitro, the effect of shikonin on
proliferation, cytotoxicity, cell cycle, and apoptosis. Our results reveal that
shikonin significantly protected the intestinal tissue of our animals by
preventing the shortening of the colorectum and ulcer formation in a dose
dependent manner. Shikonin attenuated the expression of cyclooxygenase-2 and
inducible nitric oxide synthase, and myeloperoxidase activity, and inhibited the
production of interleukin-6 and activation of nuclear factor-kappaB. It induced
Bcl-2 and inhibited caspase 3. In conclusion, shikonin acts as a chemopreventive
agent in the azoxymethane/dextran sulfate sodium model through inhibition of the
proinflammatory milieu generated during the disease, an important risk factor in
cancer development.
PMID- 29642243
TI - Symptomatic Ruptured Seed-Type Partial Ossification of the Ligamentum Flavum: A
Case Report.
AB - BACKGROUND: Seed-type partial ossification of the ligamentum flavum (OLF)
causing severe radiculopathy after rupture has not yet been described in the
literature. CASE DESCRIPTION: A 51-year-old man presented with sudden onset
severe back pain and right anterior thigh pain without any neurologic deficit
after lifting a heavy weight. On preoperative computed tomography, we identified
a ruptured seed-type partial OLF at the L1-L2 level. T2-weighted magnetic
resonance sagittal and axial images showed thecal sac compression by the ruptured
OLF. There was no improvement in his symptoms after 2 weeks of conservative
management. The patient had percutaneous full endoscopic interlaminar removal of
OLF. Postoperatively he experienced prompt improvement of his symptoms.
CONCLUSION: Rupture of seed-type partial OLF causing severe radiculopathy is
extremely rare, and percutaneous endoscopy is a safe and effective alternative to
open surgery in selected cases.
PMID- 29642240
TI - Sodium bicarbonate cotransporter NBCe2 gene variants increase sodium and
bicarbonate transport in human renal proximal tubule cells.
AB - RATIONALE: Salt sensitivity of blood pressure affects >30% of the hypertensive
and >15% of the normotensive population. Variants of the electrogenic sodium
bicarbonate cotransporter NBCe2 gene, SLC4A5, are associated with increased blood
pressure in several ethnic groups. SLC4A5 variants are also highly associated
with salt sensitivity, independent of hypertension. However, little is known
about how NBCe2 contributes to salt sensitivity, although NBCe2 regulates renal
tubular sodium bicarbonate transport. We hypothesized that SLC4A5 rs10177833 and
rs7571842 increase NBCe2 expression and human renal proximal tubule cell (hRPTC)
sodium transport and may be a cause of salt sensitivity of blood pressure.
OBJECTIVE: To characterize the hRPTC ion transport of wild-type (WT) and
homozygous variants (HV) of SLC4A5. METHODS AND RESULTS: The expressions of NBCe2
mRNA and protein were not different between hRPTCs carrying WT or HV SLC4A5
before or after dopaminergic or angiotensin (II and III) stimulation. However,
luminal to basolateral sodium transport, NHE3 protein, and Cl-/HCO3- exchanger
activity in hRPTCs were higher in HV than WT SLC4A5. Increasing intracellular
sodium enhanced the apical location of NBCe2 in HV hRPTCs (4.24+/-0.35% to
11.06+/-1.72% (P<0.05, N = 3, 2-way ANOVA, Holm-Sidak test)) as determined by
Total Internal Reflection Fluorescence Microscopy (TIRFM). In hRPTCs isolated
from kidney tissue, increasing intracellular sodium enhanced bicarbonate
dependent pH recovery rate and increased NBCe2 mRNA and protein expressions to a
greater extent in HV than WT SLC4A5 (+38.00+/-6.23% vs HV normal salt (P<0.01, N
= 4, 2-way ANOVA, Holm-Sidak test)). In hRPTCs isolated from freshly voided
urine, bicarbonate-dependent pH recovery was also faster in those from salt
sensitive and carriers of HV SLC4A5 than from salt-resistant and carriers of WT
SLC4A5. The faster NBCe2-specific bicarbonate-dependent pH recovery rate in HV
SCL4A5 was normalized by SLC4A5- but not SLC4A4-shRNA. The binding of purified
hepatocyte nuclear factor type 4A (HNF4A) to DNA was increased in hRPTCs carrying
HV SLC4A5 rs7571842 but not rs10177833. The faster NBCe2-specific bicarbonate
dependent pH recovery rate in HV SCL4A5 was abolished by HNF4A antagonists.
CONCLUSION: NBCe2 activity is stimulated by an increase in intracellular sodium
and is hyper-responsive in hRPTCs carrying HV SLC4A5 rs7571842 through an
aberrant HNF4A-mediated mechanism.
PMID- 29642244
TI - Adverse Cerebral Outcomes after Coronary Artery Bypass Surgery-More Than a Decade
of Experience in a Single Center.
AB - BACKGROUND: Nonfatal strokes, transient ischemic attacks (TIAs), and reversible
ischemic neurological deficits (RIND) after elective coronary artery bypass
grafting (CABG) are devastating clinical problems. The anesthesiologist, surgical
and intensive care teams in a community hospital adopted a strategy developed in
an effort to minimize these adverse outcome events.The purpose of this study is
to determine the incidence of and predictors for perioperative adverse neurologic
events. METHODS: A historical cohort study of 1,108 consecutive CABG patients
operated between 2002 and 2014. Outcomes were defined as (1) a new neurologic
damage (a new stroke, TIA, or RIND) and (2) a new neurologic damage or 30 days
mortality. RESULTS: Adverse cerebral outcomes occurred in 16 patients (1.4
percent). Nine patients had postoperative stroke, six suffered TIAs, and one had
postoperative RIND.In multivariate analysis, older age (OR 1.07, 95% CI 1.01
1.14), congestive heart failure (OR 3.57, 95% CI 1.22-10.49) and prior stroke (OR
6.27, 95% CI 1.78-22.03) were significantly associated with increased risk of new
neurologic damage. These parameters were also significantly associated with
increased risk of the combined outcome (new neurologic damage/mortality).
CONCLUSIONS: A low incidence rate of adverse cerebral outcomes after CABG in
community hospital can be achieved with the use of the suggested surgical
intensive care, and anesthetic strategy. Advanced age, congestive heart failure,
and prior stroke are associated with adverse outcomes.
PMID- 29642245
TI - Impact of Glucose Metabolism Disorders on IGF-1 Levels in Patients with
Acromegaly.
AB - In this study, we aimed to evaluate the presence of glucose metabolism
abnormalities and their impact on IGF-1 levels in patients with acromegaly.
Ninety-three patients with acromegaly (n=93; 52 males/41 females) were included
in this study. Patients were separated into three groups such as; normal glucose
tolerance (n=23, 25%), prediabetes (n=38, 41%), and diabetes mellitus (n=32,
34%). Insulin resistance was calculated with homeostasis model assessment (HOMA).
HOMA-IR > 2.5 or <=2.5 were defined as insulin resistant or noninsulin resistant
groups, respectively. Groups were compared in terms of factors that may be
associated with glucose metabolism abnormalities. IGF-1% ULN (upper limit of
normal)/GH ratios were used to evaluate the impact of glucose metabolism
abnormalities on IGF-1 levels. Patients with diabetes mellitus were significantly
older with an increased frequency of hypertension (p<0.001, p=0.01,
respectively). IGF-1% ULN/GH ratio was significantly lower in prediabetes group
than in normal glucose tolerance group (p=0.04). Similarly IGF-1% ULN/GH ratio
was significantly lower in insulin resistant group than in noninsulin resistant
group (p=0.04). Baseline and suppressed GH levels were significantly higher in
insulin resistant group than in noninsulin resistant group (p=0.024, p<0.001,
respectively). IGF-1% ULN/GH ratio is a useful marker indicating glucose
metabolism disorders and IGF-1 levels might be inappropriately lower in
acromegalic patients with insulin resistance or prediabetes. We suggest that IGF
1 levels should be re-evaluated after the improvement of insulin resistance or
glycemic regulation for the successful management of patients with acromegaly.
PMID- 29642246
TI - A Novel CCND2 Mutation in a Previously Reported Case of Megalencephaly and
Perisylvian Polymicrogyria with Postaxial Polydactyly and Hydrocephalus.
PMID- 29642248
TI - ?
PMID- 29642247
TI - Examining Workflow in a Pediatric Emergency Department to Develop a Clinical
Decision Support for an Antimicrobial Stewardship Program.
AB - BACKGROUND: Clinical decision support (CDS) embedded into the electronic health
record (EHR), is a potentially powerful tool for institution of antimicrobial
stewardship programs (ASPs) in emergency departments (EDs). However, design and
implementation of CDS systems should be informed by the existing workflow to
ensure its congruence with ED practice, which is characterized by erratic
workflow, intermittent computer interactions, and variable timing of antibiotic
prescription. OBJECTIVE: This article aims to characterize ED workflow for four
provider types, to guide future design and implementation of an ED-based ASP
using the EHR. METHODS: Workflow was systematically examined in a single,
tertiary-care academic children's hospital ED. Clinicians with four roles
(attending, nurse practitioner, physician assistant, resident) were observed over
a 3-month period using a tablet computer-based data collection tool. Structural
observations were recorded by investigators, and classified using a predetermined
set of activities. Clinicians were queried regarding timing of diagnosis and
disposition decision points. RESULTS: A total of 23 providers were observed for
90 hours. Sixty-four different activities were captured for a total of 6,060
times. Among these activities, nine were conducted at different frequency or time
allocation across four roles. Moreover, we identified differences in sequential
patterns across roles. Decision points, whereby clinicians then proceeded with
treatment, were identified 127 times. The most common decision points identified
were: (1) after/during examining or talking to patient or relative; (2) after
talking to a specialist; and (3) after diagnostic test/image was resulted and
discussed with patient/family. CONCLUSION: The design and implementation of CDS
for ASP should support clinicians in various provider roles, despite having
different workflow patterns. The clinicians make their decisions about treatment
at different points of overall care delivery practice; likewise, the CDS should
also support decisions at different points of care.
PMID- 29642249
TI - ?
PMID- 29642250
TI - ?
PMID- 29642251
TI - Terminal ileum resection as a trigger for Strongyloides stercoralis
hyperinfection and ensuing serial sepsis in a 37-year-old patient with
complicated Crohn's disease: a case report.
AB - The nematode Strongyloides stercoralis, outside the tropics and subtropics
present in small endemic foci, can cause an infection after direct skin contact
with contaminated soil containing infective filariform larvae and, rarely, after
intimate interhuman contact or after transplantation of an infected solid organ.
Following skin penetration, migration, and maturation through several stages, a
small number of invasive filariform larvae can develop anew in the gut lumen,
perpetuating new cycles of penetration, tissue migration, and reproduction,
without leaving the host.In a state of immunosuppression, autoinfection can
progress to life-threatening hyperinfection and/or infection disseminated through
virtually any organ. In developed countries, the most frequently recognized risk
for severe hyperinfection is corticosteroid therapy, but this has been also
described in malnourished, alcoholic, cancer, and transplant patients. Due to the
frequent need for immunosuppressive therapy, patients suffering from inflammatory
bowel disease (IBD) are susceptible to develop overwhelming strongyloidiasis.
Strongyloidiasis can be easily overlooked in clinical settings, and in many
European regions there is poor insight into the epidemiological burden of this
disease.We present a case of S. stercoralis hyperinfection that triggered 3
successive episodes of sepsis caused by pathogens of the gut flora in a young
patient suffering from stenotic form of Crohn's disease. S. stercoralis
hyperinfection occurred in the corticosteroid-free period, shortly after
resection of the terminal ileum, which was probably the trigger for the
overwhelming course. The patient was successfully treated with 10-day albendazole
therapy.
PMID- 29642252
TI - [ASCO- and ESMO-update 2017 - highlights of the 53. meeting of the American
Society of Clinical Oncology/ASCO 2017 and European Society for Medical
Oncology/ESMO congress 2017].
AB - At ASCO 2017, and subsequently the ESMO congress 2017, a number of studies were
presented which, in part, may change the present standard of therapy in
gastrointestinal oncology. The German FLOT4 trial established perioperative
Docetaxel, Oxaliplatin and 5-Fluorouracil (5-FU) as the new treatment standard
for resectable adenocarcinoma of the gastroesophageal junction and the stomach.
In hepatocellular carcinoma (HCC), two large studies did not show a survival
benefit for selective internal therapy (SIRT), so an increasing use of SIRT in
HCC is not recommended. On the other hand, the multityrosinekinase inhibitor
Lenvatinib seems to be a promising alternative to sorafenib in first line
treatment of metastatic HCC. In early colon cancer-following the data from the
large IDEA initiative-three months of capecitabine and oxaliplatin is recommended
for low-risk stage III cancers (T1 - 3, N1), while in high-risk stage III cancers
(T4 or N2) patients should still receive six months of oxaliplatin and a
fluoropyrimidine. Aside from regular exercise, one study found that regular
intake of tree nuts (at least 2 servings per week), may decrease the risk of
recurrence. In first line metastatic colorectal cancer (mCRC), SIRT should not be
applied, whereas in BRAF mutant cancers, the combination of irinotecan, cetuximab
and vemurafenib seems to be a promising second line treatment option. In biliary
tract cancer, after curative resection, six months of capecitabine is considered
the new treatment standard. Finally, in pancreatic cancer, targeting the tumor
stroma with pegylated hyaluronidase (PEGPH20) may be a new treatment option that
needs to be proven in phase 3 studies.
PMID- 29642253
TI - [The IDEA Intergroup Study - New standard in adjuvant treatment of stage III
colorectal cancer].
PMID- 29642254
TI - [Colorectal cancer prevention in Europe - where do we stand?]
PMID- 29642255
TI - [The School Entry Health Examination: A Critical View of History and Status Quo.]
AB - At the end of the 19th century, the school entrance medical examination of all
school beginners was established in Germany. The focus was on exclusion of
infectious diseases and illnesses that could make school attendance more
difficult or worsen during the school visit. In the middle of the 20th century
the concept of "school maturity" came to the foreground. Different "school
maturity concepts" were applied but abandoned because they could not withstand an
evaluation. Meanwhile, the concept of "school maturity" has been replaced by the
concept of "school ability". The question no longer arises as to whether or not a
child can be taught at school, but rather the assistance and support with which
this can be achieved. Today, the school entrance medical check-up in nearly all
the federal states includes, in addition to a medical history, an assessment of
the precautionary and vaccination status, a visual and hearing test as well as
assessment of the development of the child and his/her individual support
requirements, especially in the field of cognition and language. In almost all
German federal states, the school entrance examinations use up considerable
resources of the pediatric and adolescent services in the public health
departments. In the paper presented, this is critically discussed, and a
discourse is suggested as to whether these resources could be used more
effectively in terms of child health considering changed social conditions, such
as the mandatory health checks in childhood in many federal states, the increase
of immigrants from other countries, in particular asylum seekers, or the
"Inclusion", i. e. with closure of special-needs schools and the associated
challenges in the regular schools.
PMID- 29642256
TI - ?
PMID- 29642257
TI - [Health Impact of the Heatwave of 2015: Hospital Admissions in Frankfurt/Main,
Germany].
AB - Heatwaves are gaining in importance due to increasing climatic changes. They can
impair health and increase mortality. A comparison of studies is difficult
because of differences in the definition of a heatwave used and/or different
populations studied. In this study, morbidity data were collected using the web
based IVENA system. This system registers hospital admissions with diagnosis by
the emergency medical services. We analysed hospital admissions in Frankfurt/Main
during June-August of 2014-2016. These periods included a heatwave in July 2015
(per definition>32 degrees C for at least 5 days/more than 4 days). On days with
"heatwave", there was a 22% increase in hospital admissions of patients brought
in by the emergency services (excess morbidity). Considering only "heat-related
diagnosis", the increase was 300%. In addition to the increase in hospital
admissions on "heatwave" days, there was also a direct correlation between
temperature and hospital admissions over the summer days. Using the data on
hospital admission of patients brought in by the emergency services turned out to
be a valid marker for "heat morbidity".
PMID- 29642258
TI - [Rapidly Growing Tumor of the Eyelid].
PMID- 29642259
TI - [Sun, Moon and Stars].
PMID- 29642260
TI - [Endothelial Cell Reaction to Elevated Hydrostatic Pressure and Oxidative Stress
in Vitro].
AB - INTRODUCTION: Endothelial dysfunction has become a strongly discussed factor
regarding glaucoma pathogenesis. In addition to peripapillary bleedings as signs
of vascular damage, there is a definite correlation between glaucoma and vascular
dysregulation syndrome. The aim of this study was to evaluate endothelial cell
reaction to moderately elevated hydrostatic pressure and oxidative stress in
vitro. METHODS: In vitro, primarily dissociated brain microvascular endothelial
cells (BMECs) were exposed to moderately elevated hydrostatic pressure (60 and
120 mmHg) in a special pressure chamber. Additionally, cells primarily exposed to
pressure, and cells not exposed to pressure, were incubated with low amounts of
H2O2. A live/dead assay was performed to evaluate cell viability.
Immunohistochemical staining against actin was used for morphological evaluation.
RESULTS: Neither 60 nor 120 mmHg of elevated pressure had a viability changing
effect on primary endothelial cells. Secondary, no big morphological changes
could be discovered. However, against a low concentration of oxidative stress,
BMECs showed high vulnerability. A difference in reaction to cells stressed with
high pressure before could not be shown. CONCLUSION: Direct effects, in terms of
higher vulnerability or morphological changes of moderately elevated high
pressure on endothelial cells, could not be shown. However, the reaction to low
amounts of oxidative stress indicates the involvement of endothelial cells in the
pathogenesis of glaucoma and the special role of oxidative stress when referring
to endothelial dysfunction in glaucomatous disease.
PMID- 29642261
TI - [Foster Modification of Full Tendon Transposition of Vertical Rectus Muscles for
Sixth Nerve Palsy].
AB - INTRODUCTION: Since 1907 a variety of muscle transposition procedures for the
treatment of abducens nerve palsy has been established internationally. Full
tendon transposition of the vertical rectus muscle was initially described by
O'Connor 1935 and then augmented by Foster 1997 with addition of posterior
fixation sutures on the vertical rectus muscle. Full tendon transposition
augmented by Foster belongs to the group of the most powerful surgical techniques
to improve the abduction. Purpose of this study was to evaluate the results of
full tendon vertical rectus transposition augmented with lateral fixation suture
for patients with abducens nerve palsy. METHODS AND RESULTS: Full tendon
transpositions of vertical rectus muscles augmented with posterior fixation
suture was performed in 2014 on five patients with abducens nerve palsy. Two of
the patients received Botox injections in the medial rectus muscle: one of them
three months after the surgery and another during the surgery. One of the
patients had a combined surgery of the horizontal muscles one year before. On
three of the patients, who received a pure transposition surgery, the
preoperative deviation at the distance (mean: + 56.6 pd; range: + 40 to + 80 pd)
was reduced by a mean of 39.6 pd (range 34 to 50 pd), the abduction was improved
by a mean of 3 mm (range 2 to 4 mm). The other two patients, who received besides
the transposition procedure additional surgeries of the horizontal muscles, the
preoperative deviation at the distance (+ 25 and + 126 pd respectively) was
reduced by 20 and 81 pd respectively. The abduction was improved by 4 and 8 mm
respectively. After surgery two patients developed a vertical deviation with a
maximum of 4 pd. None of the patients had complications or signs of anterior
segment ischemia. The elevation and/or depression was only marginally affected.
There was no diplopia in up- or downgaze. CONCLUSIONS: Full tendon transposition
of vertical rectus muscles, augmented with lateral posterior fixation suture is a
safe and effective treatment method for abducens nerve palsy and in most cases
recession of the medial rectus can be avoided. Upgaze and downgaze are affected
very slightly. Diverse studies have shown that the risk of anterior segment
ischemia is low.
PMID- 29642262
TI - [Predictive Value of OCT-Controlled Early Response of Macular Edema Secondary to
Retinal Vein Occlusion After Intravitreal Bevacizumab Therapy].
AB - INTRODUCTION: The goal of this study was to examine the response of macular edema
(ME) secondary to retinal vein occlusion (RVO) to predict macular edema course
and re-injection intervals. MATERIAL AND METHODS: Twenty-four eyes from 24
patients with ME secondary to RVO, both central (CRVO) and branch (BRVO), were
retrospectively included. All eyes received intravitreal bevacizumab therapy
(1.25 mg/0.1 ml). Repetitive optical coherence tomography (OCT) examinations were
performed to document the early macular edema response to treatment and the
course of the disease during the first three monthly injections until the last
follow-up 105 days after the first injection. RESULTS: A significant ME reduction
is present as early as three hours after the first injection of bevacizumab. This
significant reduction of central retinal thickness (CRT) is present until the
third post-injection day. The greatest reduction occurs during the first 24
hours. Patients who reach a CRT less than 300 um after 24 hours (day 1) have no
recurrence after 30 days. Patients with a CRT over 300 um on day 1 have a 50%
rate of recurrence after 30 days. The favorable results of the first group are
still present during the last follow-up examination, 105 days after the first
injection. DISCUSSION AND CONCLUSION: An OCT examination, 24 hours after the
first bevacizumab injection, has a prognostic value and can identify the patients
that can tolerate longer intervals between injections, this results in fewer
bevacizumab injections during the course of the disease. This way an
individualization of the treatment, opposed to a fixed therapy pattern, can be
implemented. Also, patients with poor prognosis can be identified early and
treatment can be altered or intensified accordingly.
PMID- 29642263
TI - [Endogenous Endophthalmitis: Epidemiology, Clinic, Therapy and Visual Outcome].
AB - BACKGROUND: Endogenous endophthalmitis is a rare and severe inflammation of the
eye in the context of a systemic infectious disease, which can lead to the loss
of the affected eye in the worst case. MATERIAL AND METHODS: In a 5-year period,
20 eyes were treated for endogenous endophthalmitis and evaluated
retrospectively. Evaluation parameters were epidemiological data, causes,
concomitant diseases, assessment of the pathogen spectrum, therapy and visual
acuity. RESULTS: 13.2% (n = 20/152; 20 eyes of 17 patients) of all
endophthalmitis cases were of endogenous origin. In 15% (n = 3/20) of the cases,
bilateral endogenous endophthalmitis was present. The cause for the
endophthalmitis was generalised sepsis in 9 of 17 cases, an infection of the
urogenital tract in 2 of 17 cases and endocarditis and liver abscess in 1 of 17
cases, respectively. In 4 of 17 cases, no primary foci were detected. Eight of 17
patients suffered from diabetes mellitus, 6 of 17 from renal insufficiency and 2
of 17 from malignancies, pneumonia or rheumatism. Two of 17 patients had had an
organ transplantation, 15 of 17 suffered from cardiovascular diseases, 3 of 17
were immunosuppressed and 2 of 17 reported drug abuse. Four of 17 infections were
caused by streptococci, 3 of 17 by Candida, 2 of 17 by herpes viruses and 1 of 17
by Staphylococcus aureus and Bacillus cereus. No pathogen could be found in 5
cases. The time interval between the onset of symptoms and diagnosis and the
beginning of the therapy was 4 days (min.: 1 day; max.: 39 days). This was
significantly longer in comparison with other causes of endophthalmitis (p <
0.001). Median visual acuity (VA) was 2.0 logMAR (n = 19/20) at the first
presentation. One month and three months after initiation of the therapy VA was
1.3 logMAR (both n = 15/20), VA was 0.1 logMAR (n = 9/20) at the one year follow
up. Retinal detachment occurred in 2 of 20 eyes and recurrence of the
endophthalmitis in 1 of 20 eyes within the one year follow-up, 5 of 17 patients
died. Enucleation was never necessary. CONCLUSION: Endogenous endophthalmitis is
often misdiagnosed due to a severe underlying non-ophthalmological disease.
Delayed presentation with consequent late initiation of therapy is an unresolved
problem, because colleagues from other fields are often unexperienced in
diagnosing the ocular infection. This is also a cause of the already poor visual
prognosis. Ophthalmologists can usually only influence the choice of the proper
therapy.
PMID- 29642264
TI - [Possible Influencing Factors for the Prediction of Postoperative Visual Acuity
Gain after Retroiridal Iris Claw Lens Implantation].
AB - PURPOSE: To determine effect sizes for visual acuity (VA) and visual acuity gain
(DeltaVA, pre- to postoperative) after the implantation of retroiridal Verisyse
iris-claw lenses. MATERIALS AND METHODS: Nineteen eyes of 19 patients (mean age
of 70 +/- 17 years) who underwent retoriridal iris claw lens implantation were
included. Inclusion criteria were a lack of capsular support and complete data of
pre- and postoperative corrected visual acuity, optical biometry, accompanying
diseases and previous surgical intervention. A generalized linear model was
established with postoperative visual acuity and visual acuity gain as dependent
variables. Effect sizes were pseudoexfoliation syndrome, trauma, sex, previous
surgical interventions as factors and axial length, average keratometry and
corneal astigmatism as covariates. RESULTS: The mean visual acuity improved from
0.72 +/- 0.65 logMAR preoperatively to 0.36 +/- 0.39 logMAR postoperatively
(DeltaVA 0.36 +/- 0.69 logMAR). The average refractive IOL power was 19.4 +/- 4.9
dpt. For modelling of VA/DeltaVA the axial length (p = 0.001)/axial length (p =
0.001) and preop VA (p < 0.001) were tailored out as effect sizes. CONCLUSIONS:
The axial length and preoperative VA affect postoperative visual acuity and
visual acuity gain significantly. In eyes with lower preoperative visual acuity
and in longer eyes larger visual acuity gain can be expected after retroiridal
iris claw lens implantation.
PMID- 29642265
TI - [Laser Trabeculoplasty in Modern Glaucoma Therapy - a Review].
AB - BACKGROUND: Laser trabeculoplasty (LTP) is a well established treatment modality
in the management of chronic open angle glaucoma. Nonetheless there is much
variation in practice. METHODS: A literature search in the PubMed database was
conducted and the most important evidence-based results were summarised. RESULTS:
Argon laser trabeculoplasty (ALT) and selective laser trabeculoplasty (SLT) are
safe and effective treatment modalities, achieving an IOP reduction of 20 - 30%.
Similar success rates have been reported for both procedures. A 50% rate of
failure occurs after 2 - 5 years. Repeat-ALT is associated with a lower IOP
decrease and a shorter duration of treatment effect. Repetition of SLT after
initial SLT seems to be associated with loss of efficiency as well. SLT has been
shown to be successful after initial ALT, whereas there are no data on ALT after
initial SLT. For advanced glaucoma on maximum medical therapy, low success rates
have been reported with regard to additional interventions. CONCLUSION: LTP is a
good option for initial and adjunctive treatment. In advanced glaucoma on maximum
medical therapy, low target pressures are needed, and filtering surgery has to be
considered.
PMID- 29642266
TI - [The Assistant Doctors' Experience and Vocational Training Satisfaction in
Ophthalmology in Bavaria: Outcomes of an Online Survey with Evaluation of the
Vocational Training in Ophthalmic Surgery].
AB - BACKGROUND: The following paper presents findings of an online survey on the
assistant doctors' vocational training experiences and satisfaction in Bavaria
with a special focus on the evaluation of their ophthalmic surgery training. The
starting point for the survey were recent studies and experience reports on the
situation of assistant doctors in ophthalmology, which have rudimentarily
unveiled the assistant doctors' dissatisfaction with their ophthalmic surgery
training as well as the neglect of support for acquiring ophthalmic surgery
competences. METHOD: In March 2017, 219 assistant doctors in Bavaria were e
mailed and asked to evaluate the quality of their experienced ophthalmic surgery
training by completing an online questionnaire with a total of 38 open and closed
questions. RESULTS: The findings of the survey have shown that 69% of the
assistant doctors are dissatisfied with their ophthalmic surgery training. Over
50% of the cases criticize the trainer doctor's loss of motivation to train their
assistants in ophthalmic surgery. The following results create a fundamental
tension to the assistant doctors' request for a substantiated training in that
field, which over 90% of the respondents have articulated. Based on a defined
catalogue of ophthalmic surgery interventions in the professional training
guidelines for ophthalmologists in Bavaria, considerable deficits in the area of
eyelid, tear duct and rectus eye muscle surgery could have been identified.
CONCLUSION: Considering the limited scope and response rate, the survey presented
in this paper must be defined as a pilot study. Nevertheless, the results plea
for a nation-wide survey to be able to representatively formulate demand
orientated approaches for improvement concerning the ophthalmic surgery training
in Germany. However, it is clear that in comparison to conservative approaches in
ophthalmology, the ophthalmic surgery training has been neglected to a certain
point, although the professional training guidelines for ophthalmologists in
Bavaria include a series of surgical interventions to be handled by assistant
doctors.
PMID- 29642267
TI - Quantile regression with nominated samples: An application to a bone mineral
density study.
AB - This paper studies quantile regression analysis with maxima or minima nomination
sampling designs. These designs are often used to obtain more representative
samples from the tails of the underlying distribution using the easy to access
rank information during the sampling process. We propose new loss functions to
incorporate the rank information of nominated samples in the estimation process.
Also, we provide an alternative approach that translates estimation problems with
nominated samples to corresponding problems under simple random sampling (SRS).
Strategies are given to choose proper nomination sampling designs for a given
population quantile. Numerical studies show that quantile regression models with
maxima (or minima) nominated samples have higher relative efficiencies compared
with their counterparts under SRS for analyzing the upper (or lower) tail
quantiles of the distribution of the response variable. Results are then
implemented on a large cohort study in the Canadian province of Manitoba to
analyze quantiles of bone mineral density using available covariates. We show
that in some cases, methods based on nomination sampling designs require about
one-tenth of the sample used in SRS to estimate the lower or upper tail
conditional quantiles with comparable mean squared errors. This is a dramatic
reduction in time and cost compared with the usual SRS approach.
PMID- 29642268
TI - Breaking the cycle of functional decline in older dialysis patients.
AB - Currently, older adults comprise nearly one-third of prevalent US dialysis
patients, and this proportion will increase as the population ages. Older
dialysis patients experience greater morbidity and mortality than nondialysis
patients of the same age, and in part, it is related to progressive functional
decline. Progressive functional decline, characterized by need for assistance
with more than 2 activities of daily living, contributes to risk of
hospitalization, further functional decline, and subsequent nursing home
placement when a patient no longer functions independently at home. Progressive
functional decline may appear to be unavoidable for older dialysis patients;
however, comprehensive geriatric assessment (CGA) may alleviate the prevalence
and severity of functional decline. This editorial summarizes common risk factors
of functional decline and introduces CGA as a potentially transformative approach
to breaking the cycle of functional decline in older dialysis patients.
PMID- 29642269
TI - Genome-wide detection of CNVs associated with beak deformity in chickens using
high-density 600K SNP arrays.
AB - Beak deformity (crossed beaks) is found in several indigenous chicken breeds
including Beijing-You studied here. Birds with deformed beaks have reduced feed
intake and poor production performance. Recently, copy number variation (CNV) has
been examined in many species and is recognized as a source of genetic variation,
especially for disease phenotypes. In this study, to unravel the genetic
mechanisms underlying beak deformity, we performed genome-wide CNV detection
using Affymetrix chicken high-density 600K data on 48 deformed-beak and 48 normal
birds using penncnv. As a result, two and eight CNV regions (CNVRs) covering 0.32
and 2.45 Mb respectively on autosomes were identified in deformed-beak and normal
birds respectively. Further RT-qPCR studies validated nine of the 10 CNVRs. The
ratios of six CNVRs were significantly different between deformed-beak and normal
birds (P < 0.01). Within these six regions, three and 21 known genes were
identified in deformed-beak and normal birds respectively. Bioinformatics
analysis showed that these genes were enriched in six GO terms and one KEGG
pathway. Five candidate genes in the CNVRs were further validated using RT-qPCR.
The expression of LRIG2 (leucine rich repeats and immunoglobulin like domains 2)
was lower in birds with deformed beaks (P < 0.01). Therefore, the LRIG2 gene
could be considered a key factor in view of its known functions and its potential
roles in beak deformity. Overall, our results will be helpful for future
investigations of the genomic structural variations underlying beak deformity in
chickens.
PMID- 29642270
TI - Meaning, lived experience, empathy and boredom: Max van Manen on phenomenology
and Heidegger.
AB - Phenomenology as Qualitative Research: A Critical Analysis of Meaning Attribution
has attracted the attention of Max van Manen, who has published a highly critical
review article. Anyone reading this article, but unfamiliar with the book, will
get a distorted view of what it is about, whom it is addressed to, what it tries
to achieve, and how it goes about presenting its arguments. Not mildly distorted,
in need of the odd correction here and there, but systematically misrepresented.
One problem is that van Manen appears to have an idee fixe which prevents him
from recognizing that the book is not about a certain philosophical tradition
(known as "phenomenology"), but about a particular type of qualitative research
(also known, unfortunately and confusingly, as "phenomenology"). A second idee
fixe disposes him to misread an earlier article of mine and (much more seriously)
three works by Heidegger. My aim in this article is to describe these two idees
fixes, and exhibit their consequences. In doing so, I will examine what van Manen
has to say on four crucial topics: meaning, lived experience, empathy, and
Heidegger's analysis of boredom in The Fundamental Concepts of Metaphysics.
PMID- 29642272
TI - "You don't look for it"-A study of Swiss professionals' awareness of young carers
and their support needs.
AB - While political and public interest in providing support for family carers is
growing, so called young carers and young adult carers-young persons under the
ages of 18 and 25 respectively-mostly remain unrecognised. Yet, this vulnerable
group is in need of special attention and support from professionals in order to
get along with the situation of an ill family member and the caring duties they
perform. This paper presents the results from a focus group study on the level of
awareness among professionals from healthcare, education and social services
concerning the topic of caring children, adolescents and young adults; and on the
practice tools they consider necessary and helpful in order to support young
carers and young adult carers. Twenty-seven professionals from the German- and
French-speaking parts of Switzerland participated in five focus groups. The focus
groups were recorded and transcribed verbatim, and the transcripts were analysed
using qualitative content analysis. Our findings show that the professionals have
a low level of awareness of the issue of young carers and young adult carers and
also highlight the professionals' willingness to engage with the subject. The
results also show that professionals consider that practice tools (such as
standardised questionnaires and check lists) could be important devices in
providing support for young carers and young adult carers. These tools could be
helpful in identifying this group, enabling them to identify themselves as such,
and would ensure that they received appropriate support.
PMID- 29642271
TI - The expression change of RORgammat, BATF, and IL-17 in Chinese vitiligo patients
with 308 nanometers excimer laser treatment.
AB - This study aims to explore the expression of RORgammat, BATF, and IL-17 in
Chinese vitiligo patients with 308 nm excimer laser treatment. One hundred and
sixty-four vitiligo patients treated with 308 nm excimer laser were enrolled as
Case group and 137 health examiners as Control group. Quantitative real-time
polymerase chain reaction and immunohistochemistry were conducted to detect the
expressions of RORgammat, BATF, and IL-17. Expression of RORgammat, BATF, IL-17A,
and IL-17F were higher in Case group than Control group, with the diagnostic
accuracy of 88.04, 87.38, 97.34, and 89.04%, respectively. Pearson correlation
analysis showed a positive correlation in RORgammat, BATF, IL-17A, and IL-17F
mRNAs in vitiligo patients. Moreover, their expressions were higher in active
vitiligo patients than stable ones. Besides, the expressions of RORgammat, BATF,
IL-17A, and IL-17F in vitiligo skin were significantly higher than those in non
lesional skin and normal controls. After treatment, their expressions were
significantly decreased. Active vitiligo and the high expressions of RORgammat,
BATF, and IL-17F were the independent risk factors for the ineffectiveness of 308
nm excimer laser treatment. The expressions of RORgammat, BATF, IL-17 were
significantly enhanced in vitiligo patients, which were correlated with the
activity of vitiligo and 308 nm excimer laser therapeutic effects.
PMID- 29642273
TI - The associations for separated parents in Italy: Their role for parents' well
being and coparenting.
AB - After separation or divorce, people generally experience a deterioration of
health, not only in terms of physical well-being but also in terms of emotional
and social well-being. In addition, when separated, individuals are parents as
well and they are concerned with the well-being of their children. The main task
for separated parents is to maintain a parental alliance (coparenting) for the
sake of their children's well-being. Social support is a critical resource, which
helps parents face their new life condition, promoting their psychological well
being. In recent years in Italy, many associations targeting separated and
divorced parents have been founded: They support ex-partners during and after
separation and are active in defending their rights. These associations are
voluntary associations/non-profit organisations and self-help groups, which are
constituted by parents themselves who associate to support each other in the
tasks connected with separation. The present study investigated, with an
explorative aim, the role of these associations for separated parents' well-being
and coparenting abilities. In particular, drawing on a sample of 318 Italian
separated parents (73.30% fathers; 26.70% mothers) belonging to a formal
association targeting separated parents, the study analysed whether and how the
perception of being supported by the association was related to psychological and
relational well-being and to coparenting. Results showed that the more parents
perceived to be supported by the association the less they were depressed, the
more they were satisfied with the relationship with children and friends, and the
more they displayed coparenting abilities. Our findings suggest that social
support from these associations is a resource for separated parents' health.
PMID- 29642274
TI - Sample size evaluation for a multiply matched case-control study using the score
test from a conditional logistic (discrete Cox PH) regression model.
PMID- 29642275
TI - Development and validation of a new cognitive screening test: The Hong Kong Brief
Cognitive Test (HKBC).
AB - OBJECTIVES: To develop and examine the validity of a new brief cognitive test
with less educational bias for screening cognitive impairment. METHODS: A new
cognitive test, Hong Kong Brief Cognitive Test (HKBC), was developed based on
review of the literature, as well as the views of an expert panel. Three groups
of subjects aged 65 or above were recruited after written consent: normal older
people recruited in elderly centres, people with mild NCD (neurocognitive
disorder), and people with major NCD. The brief cognitive test, Mini-Mental State
Examination (MMSE) and Montreal Cognitive Assessment Scale (MoCA), were
administered to the subjects. The performance of HKBC in differentiating subjects
with major NCD, mild NCD, and normal older people were compared with the clinical
diagnosis, as well as the MMSE and MoCA scores. RESULTS: In total, 359 subjects
were recruited, with 99 normal controls, 132 subjects with major NCD, and 128
with mild NCD. The mean MMSE, MoCA, and HKBC scores showed significant
differences among the 3 groups of subjects. In the receiving operating
characteristic curve analysis of the HKBC in differentiating normal subjects from
those with cognitive impairment (mild NCD + major NCD), the area under the curve
was 0.955 with an optimal cut-off score of 21/22. The performances of MMSE and
MoCA in differentiating normal from cognitively impaired subjects are slightly
inferior to the HKBC. CONCLUSIONS: The HKBC is a brief instrument useful for
screening cognitive impairment in older adults and is also useful in populations
with low educational level.
PMID- 29642276
TI - Phenomenology and qualitative research: Amedeo Giorgi's hermetic epistemology.
AB - Amedeo Giorgi has published a review article devoted to Phenomenology as
Qualitative Research: A Critical Analysis of Meaning Attribution. However, anyone
reading this article, but unfamiliar with the book, will get a distorted view of
what it is about, whom it is addressed to, what it seeks to achieve and how it
goes about presenting its arguments. Not mildly distorted, in need of the odd
correction here and there, but systematically misrepresented. The article is a
study in misreading. Giorgi misreads the book's mise en scene; he misreads its
narrative arc; he misreads individual arguments; he misreads short, simple
passages; he misreads the philosophy of the science literature; he misreads his
own data; he misreads the title; he misreads the blurb; he misreads the
acknowledgements. In addition, there are serious failures of scholarship
(ironically, he demonstrates how unacquainted he is with the relevant literature
at the very moment he is accusing me of being ill-informed). In this reply, I
provide several examples of these errors, but my primary aim is to understand why
Giorgi's misreading is as ubiquitous as it is. To this end, I explain his
mistakes by reference to the hermetic epistemology within which he is confined.
PMID- 29642277
TI - A consensus statement on how to conduct inclusive health research.
AB - BACKGROUND: The active involvement of people with intellectual disabilities in
research, or inclusive research, is relatively common. However, inclusive health
research is less common, even though it is expected to lead to appropriate
healthcare and increased quality of life. Inclusive health research can build
upon lessons learned from inclusive research. METHOD: A total of 17 experts on
inclusive (health) research without intellectual disabilities and 40 experts with
intellectual disabilities collaborated in this consensus statement. The consensus
statement was developed in three consecutive rounds: (1) an initial feedback
round; (2) a roundtable discussion at the 2016 International Association for the
Scientific Study of Intellectual and Developmental Disabilities World Congress;
and (3) a final feedback round. RESULTS: This consensus statement provides
researchers with guidelines, agreed upon by experts in the field, regarding
attributes, potential outcomes, reporting and publishing, and future research
directions, for designing and conducting inclusive health research. CONCLUSIONS:
Consensus was reached on how to design and conduct inclusive health research.
However, this statement should be continuously adapted to incorporate recent
knowledge. The focus of this consensus statement is largely on inclusive health
research, but the principles can also be applied to other areas.
PMID- 29642278
TI - Understanding the space of nursing practice in Colombia: A critical reflection on
the effects of health system reform.
AB - Worldwide, healthcare has been touched by neoliberal policies to the extent that
it has some of its characteristics, such as being asymmetrical, competitive,
dehumanized, and profit driven. In Colombia, Law 100/93 was created as an
ambitious reform aimed at integrating the social security and public sectors of
healthcare in order to create universal access, and at the same time to generate
market competence with the objective of improving effectiveness and
responsiveness. Instead, however, Colombian health reform has served to generate
competition which has aggravated inequalities among people. Within this context,
we practice nursing. As nurses, our responsibility is to advocate for our
patients. We cannot ignore what is happening worldwide in hospitals and community
health settings because our responsibility is to promote health, prevent disease,
and care for human beings. So, today, when the world pushes for economical profit
and competence on one hand, and, on the other, for moral compromises to care,
respect, and advocacy for all human beings, being a nurse in the Colombian health
system represents a challenge for us. This challenge is especially significant
because harm and benefit, justice and injustice, respect and disrespect are
separated by a fine line that is easy to transgress.
PMID- 29642279
TI - Efficacy and tolerability of a lotion containing triethyl citrate, ethyl
linoleate, and GT peptide-10 in the adjuvant treatment of hidradenitis
suppurativa: Real-life data.
AB - Hidradenitis suppurativa (HS) is a chronic disorder of terminal follicular
epithelium in the apocrine gland-bearing areas. The long term therapy is based
mainly on topical and/or systemic antibiotic use that could result in antibiotic
resistance. The aim of our study was to present the real-life experience based on
the efficacy and tolerability of a novel lotion containing triethyl-citrate,
ethyl-linoleate, and g-peptide-10 in the treatment of mild to moderate HS that
has already shown effectiveness in acne treatment. This was an open-label study
on 30 patients of both sexes affected by HS. Patients were divided into two
groups: 15 with Hurley I and 15 with Hurley II-III. The subjects were treated
with the topical lotion, three-times-daily for eight weeks, with control at 4 (T1
) and eight weeks (T2 ). Any other concomitant treatment (both topical and/or
systemic) was avoided during study period. Improvement was observed in both
Sartorius score grading system and inflammatory and noninflammatory lesion
counts. The novel lotion has proved to be effective and well-tolerated topical
agent alone or in association with other topical and/or systemic tratments in HS,
without side effects.
PMID- 29642280
TI - A feasibility study on using tablet personal computers for self-reported symptom
assessment in newly diagnosed lung cancer patients.
AB - AIM: The study aimed to assess the feasibility and acceptability of an innovative
tablet-assisted self-reported symptom assessment among newly diagnosed lung
cancer patients. BACKGROUND: Routine symptom assessment for lung cancer patients
in a fast-paced clinical environment is demanding. Mobile health technology
offers a potential platform for symptom assessment and paves the way for tailored
self-care intervention. DESIGN: A feasibility study using a mixed method design.
METHOD: A convenience sample of 10 newly diagnosed lung cancer patients used
tablet personal computers to self-report common symptoms: dyspnoea, fatigue,
pain, and anxiety. A nurse reviewed the assessment results and provided tailored
educational interventions. Acceptability was evaluated by the Electronic Self
Report Assessment-Cancer. Semi-structured interviews were conducted to explore
the patients' perceptions of this symptom assessment method. Data were collected
from January to March 2016. RESULTS: An Electronic Self-Report Assessment-Cancer
mean score of 23.5 (SD 2.7) showed moderate acceptance of the assessment method.
Participants found this approach user-friendly and helpful in identifying their
symptoms and they gained awareness and better understanding of them. CONCLUSION:
Tablet-assisted symptom assessment is a feasible method that can be further
tested in busy clinical settings to assess symptom burden and identify individual
needs for tailoring symptom management plans.
PMID- 29642281
TI - Fas Ligand localizes to intraluminal vesicles within NK cell cytolytic granules
and is enriched at the immune synapse.
AB - INTRODUCTION: T cell and NK cell cytotoxicity can be mediated via the
perforin/granzyme system and Fas Ligand (FasL, CD178). FasL is synthesized as a
type II transmembrane protein that binds its cognate receptor Fas (CD95).
Membrane-bound FasL is expressed on the plasma membrane of activated lymphocytes
and is the main form of FasL with cytotoxic activity, but whether FasL is
delivered to the immune synapse along with granzyme and perforin-containing
granules is unclear. METHODS: We stably expressed FasL-fluorescent fusion
proteins into human NK cells and examined the localization of FasL relative to
other intracellular markers by confocal and immunoelectron microscopy, and
examined the trafficking of FasL during formation of immune synapses with HLA
deficient B cells. RESULTS: FasL co-localized with CD63 more strongly than
perforin or Lamp1+ in cytolytic granules. Electron microscopy revealed that FasL
is enriched on intraluminal vesicles (ILVs) adjacent to the dense-core within
cytolytic granules. In NK cells forming immune synapses with HLA-deficient B
cells, a portion of FasL-containing granules re-localize toward the immune
synapse, while a distinct pool of FasL remains at the distal pole of the cell.
CONCLUSIONS: Localization of FasL to intra-luminal vesicles within cytolytic
granules facilitates FasL trafficking to immune synapses and cytotoxic function
in NK cells.
PMID- 29642282
TI - Effect of the S-nitrosoglutathione reductase inhibitor N6022 on bronchial
hyperreactivity in asthma.
AB - RATIONALE: Patients with asthma demonstrate depletion of the endogenous
bronchodilator GSNO and upregulation of GSNOR. OBJECTIVES: An exploratory proof
of concept clinical study of N6022 in mild asthma to determine the potential
bronchoprotective effects of GSNOR inhibition. Mechanistic studies aimed to
provide translational evidence of effect. METHODS: Fourteen mild asthma patients
were treated with intravenous N6022 (5 mg) or placebo and observed for 7 days,
with repeated assessments of the provocative dose of methacholine causing a 20%
fall in FEV1 (methacholine PC20 FEV1), followed by a washout period and crossover
treatment and observation. In vitro studies in isolated eosinophils investigated
the effect of GSNO and N6022 on apoptosis. MEASUREMENTS AND MAIN RESULTS: This
was a negative trial as it failed to reach its primary endpoint, which was change
from baseline in methacholine PC20 FEV1 at 24 h. However, our exploratory
analysis demonstrated significantly more two dose-doubling increases in PC20 FEV1
for N6022 compared with placebo (21% vs 6%, P < 0.05) over the 7-day observation
period. Furthermore, a significant treatment effect was observed in the change in
PC20 FEV1 from baseline averaged over the 7-day observation period (mean change:
+0.82 mg/ml [N6022] from 1.34 mg/ml [baseline] vs -0.18 mg/ml [placebo] from 1.16
mg/ml [baseline], P = 0.023). N6022 was well tolerated in mild asthmatics. In
vitro studies demonstrated enhanced eosinophilic apoptosis with N6022.
CONCLUSIONS: In this early phase exploratory proof of concept trial in asthma,
N6022 did not significantly alter methacholine PC20 FEV1 at 24 h, but did have a
treatment effect at 7 days compared to baseline. Further investigation of the
efficacy of S-nitrosoglutathione reductase inhibition in a patient population
with eosinophilic asthma is warranted.
PMID- 29642283
TI - Hyperglycaemia is inversely correlated with live M. bovis BCG-specific CD4+ T
cell responses in Tanzanian adults with latent or active tuberculosis.
AB - INTRODUCTION: The rising prevalence of Diabetes mellitus (DM) in high TB-endemic
countries may adversely affect sustainability of TB control since DM constitutes
a risk factor for development of active tuberculosis (TB). The impact of DM on TB
specific adaptive immune responses remains poorly addressed, particularly in
people living in Sub-Saharan countries. We performed a functional
characterization of TB specific cellular immune response in Tanzanian subjects
with active or latent Mycobacterium tuberculosis (Mtb) infection stratified by
their diabetic status. METHODS: HIV negative active TB patients (>=18 years) with
Xpert MTB/RIF positive pulmonary TB were included before starting TB treatment in
Dar es Salaam, Tanzania between April and December 2013. HIV negative healthy
controls latently infected with TB but without past TB history were also
included. Active and latent TB patients were stratified in two groups according
to their diabetic status. Peripheral Blood Mononuclear cells were stimulated with
either live M. bovis BCG or Mtb-specific peptide pools and analyzed by
intracellular cytokine staining and polychromatic flow cytometry. RESULTS: Our
results show a lower frequency of IFN-gamma CD4+ T cells in patients with active
TB and DM compared to patients with active TB only after live M. bovis BCG (p =
0.04) but not after Mtb peptide pools re-stimulation. Irrespective of TB status,
level of glycaemia is selectively inversely correlated with IFN-gamma and TNF
alpha CD4+ T cell production (p = 0.02 and p = 0.03) after live M. bovis BCG
stimulation. CONCLUSIONS: These results support the hypothesis that
hyperglycaemia negatively impacts antigen processing and/or presentation of whole
mycobacteria delaying secretion of key cytokines involved in TB immunity.
PMID- 29642284
TI - Scarless Genomic Point Mutation to Construct a Bacillus subtilis Strain
Displaying Increased Antibiotic Plipastatin Production.
AB - Bacillus strains produce various types of antibiotics, and random mutagenesis has
traditionally been used to overproduce these natural metabolites. However, this
method leads to the accumulation of unwanted mutations in the genome. Here, we
rationally designed a single nucleotide substitution in the degU gene to generate
a B. subtilis strain displaying increased plipastatin production in a foreign DNA
free manner. The mutant strain (BS1028u) showed improved antifungal activity
against Pythium ultimum. Notably, pps operon deletion in BS1028u resulted in
complete loss of antifungal activity, suggesting that the antifungal activity
strongly depends on the expression of the pps operon. Quantitative real-time PCR
and lacZ assays showed that the point mutation resulted in 2-fold increased pps
operon expression, which caused the increase in antifungal activity. Likewise,
commercial Bacillus strains can be improved to display higher antifungal activity
by rationally designed simple modifications of their genome, rendering them more
efficient biocontrol agents.
PMID- 29642285
TI - Probiotic Potential of Enterococcus faecium Isolated from Chicken Cecum with
Immunomodulating Activity and Promoting Longevity in Caenorhabditis elegans.
AB - Probiotics, including Enterococcus faecium, confer a health benefit on the host.
An Enterococcus strain was isolated from healthy chicken cecum, identified as E.
faecium by 16S rDNA gene sequence analysis, and designated as E. faecium L11. To
evaluate the potential of E. faecium L11 as a probiotic, the gastrointestinal
tolerance, immunomodulatory activity, and lifespan extension properties of the
strain were assayed. E. faecium L11 showed >66% and >62% survival in artificial
gastric juice (0.3% pepsin, pH 2.5) and simulated small intestinal juice (0.5%
bile salt and 0.1% pancreatin), respectively. Heat-killed E. faecium L11
significantly (p < 0.05) increased immune cell proliferation compared with
controls, and stimulated the production of cytokines (IL-6 and TNF-alpha) by
activated macrophages obtained from ICR mice. In addition, E. faecium L11 showed
a protective effect against Salmonella Typhimurium infection in Caenorhabditis
elegans. In addition, feeding E. faecium L11 significantly (p < 0.05) extended
the lifespan of C. elegans compared with the control. Furthermore, genes related
to aging and host defense were upregulated in E. faecium L11-fed worms. In
conclusion, E. faecium L11, which prolongs the lifespan of C. elegans, may be a
potent probiotic supplement for livestock.
PMID- 29642286
TI - Functional Characterization of the C-Terminus of YhaV in the Escherichia coli
PrlF-YhaV Toxin-Antitoxin System.
AB - Bacterial programmed cell death is regulated by the toxin-antitoxin (TA) system.
YhaV (toxin) and Pr1F (antitoxin) have been recently identified as a type II TA
system in Escherichia coli. YhaV homologs have conserved active residues within
the C-terminus, and to characterize the function of this region, we purified
native YhaV protein (without denaturing) and constructed YhaV proteins of varying
lengths. Here, we report a new low-temperature method of purifying native YhaV,
which is notable given the existing challenges of purifying this highly toxic
protein. The secondary structures and thermostability of the purified native
protein were characterized and no significant structural destruction was
observed, suggesting that the observed inhibition of cell growth in vivo was not
the result of structural protein damage. However, it has been reported that
excessive levels of protein expression may result in protein misfolding and
changes in cell growth and mRNA stability. To exclude this possibility, we used
an [35S]-methionine prokaryotic cell-free protein synthesis system in vitro in
the presence of purified YhaV, and two C-terminal truncated forms of this protein
(YhaV-L and YhaV-S). Our results suggest that the YhaV C-terminal region is
essential for mRNA interferase activity, and the W143 or H154 residues may play
an analogous role to Y87 of RelE.
PMID- 29642287
TI - Saci_1816: A Trehalase that Catalyzes Trehalose Degradation in the
Thermoacidophilic Crenarchaeon Sulfolobus acidocaldarius.
AB - Previously, a cytosolic trehalase (TreH) from the hyperthermophilic archaeon
Sulfolobus acidocaldarius was reported; however, the gene responsible for the
trehalase activity was not identified. Two genes, saci_1816 and saci_1250, that
encode the glycoside hydrolase family 15 type glucoamylase-like proteins in S.
acidocaldarius were targeted and expressed in Escherichia coli, and their
abilities to hydrolyze trehalose were examined. Recombinant Saci_1816 hydrolyzed
trehalose exclusively without any help from a cofactor. The mass spectrometric
analysis of partially purified native TreH also confirmed that Saci_1816 was
involved in proteins exhibiting trehalase activity. Optimal trehalose hydrolysis
activity of the recombinant Saci_1816 was observed at pH 4.0 and 60 degrees C.
The pH dependence of the recombinant enzyme was similar to that of the native
enzyme, but its optimal temperature was 20-25 degrees C lower, and its
thermostability was also slightly reduced. From the biochemical and structural
results, Saci_1816 was identified as a trehalase responsible for trehalose
degradation in S. acidocaldarius. Identification of the treH gene confirms that
the degradation of trehalose in Sulfolobus species occurs via the TreH pathway.
PMID- 29642288
TI - Generation of a High-Growth Influenza Vaccine Strain in MDCK Cells for Vaccine
Preparedness.
AB - As shown during the 2009 pandemic H1N1 (A(H1N1)pdm09) outbreak, egg-based
influenza vaccine production technology is insufficient to meet global demands
during an influenza pandemic. Therefore, there is a need to adapt cell culture
derived vaccine technology using suspended cell lines for more rapid and larger
scale vaccine production. In this study, we attempted to generate a high-growth
influenza vaccine strain in MDCK cells using an A/Puerto/8/1934 (H1N1) vaccine
seed strain. Following 48 serial passages with four rounds of virus plaque
purification in MDCK cells, we were able to select several MDCK-adapted plaques
that could grow over 108 PFU/ml. Genetic characterization revealed that these
viruses mainly had amino acid substitutions in internal genes and exhibited
higher polymerase activities. By using a series of Rg viruses, we demonstrated
the essential residues of each gene and identified a set of high-growth strains
in MDCK cells (PB1D153N, M1A137T, and NS1N176S). In addition, we confirmed that
in the context of the high-growth A/PR/8/34 backbone, A/California/7/2009 (H1N1),
A/Perth/16/2009 (H3N2), and A/environment/Korea/deltaW150/2006 (H5N1) also showed
significantly enhanced growth properties (more than 107 PFU/ml) in both attached-
and suspended-MDCK cells compared with each representative virus and the original
PR8 vaccine strain. Taken together, this study demonstrates the feasibility of a
cell culture-derived approach to produce seed viruses for influenza vaccines that
are cheap and can be grown promptly and vigorously as a substitute for egg-based
vaccines. Thus, our results suggest that MDCK cell-based vaccine production is a
feasible option for producing large-scale vaccines in case of pandemic outbreaks.
PMID- 29642289
TI - Fractionated Coptis chinensis Extract and Its Bioactive Component Suppress
Propionibacterium acnes-Stimulated Inflammation in Human Keratinocytes.
AB - Coptis chinensis (CC) is widely used in Asian countries to treat inflammatory
diseases. We investigated the anti-inflammatory activity of the aqueous fraction
separated from CC extract and of berberine, its key bioactive component, in human
keratinocytes and the possible molecular mechanisms underlying this. Treating
HaCaT keratinocytic cells with heat-killed Propionibacterium acnes induced nitric
oxide and proinflammatory cytokine (e.g., tumor necrosis factor-alpha,
interleukin (IL)-1beta, and IL-8) production and their mRNA expression; these
effects were suppressed by pretreatment with the aqueous fraction or berberine,
which also suppressed the phosphorylation of ERK, JNK, and p38 kinases and the
nuclear expression of nuclear factor (NF)-kappaB p65 in P. acnes-stimulated
cells. Thus, the aqueous fraction and berberine effectively exerted anti
inflammatory activities by suppressing mitogen-activated protein kinase and NF
kappaB signaling pathways in human keratinocytes and may be used for treating P.
acnes-induced inflammatory skin diseases.
PMID- 29642290
TI - Nematicidal and Plant Growth-Promoting Activity of Enterobacter asburiae HK169:
Genome Analysis Provides Insight into Its Biological Activities.
AB - In the course of screening for microbes with nematicidal activity, we found that
Enterobacter asburiae HK169 displayed promising nematicidal activity against the
root-knot nematode Meloidogyne incognita, along with plant growth-promoting
properties. Soil drenching of a culture of HK169 reduced gall formation by 66%
while also increasing root and shoot weights by 251% and 160%, respectively,
compared with an untreated control. The cell-free culture filtrate of the HK169
culture killed all juveniles of M. incognita within 48 h. In addition, the
nematicidal activity of the culture filtrate was dramatically reduced by a
protease inhibitor, suggesting that proteolytic enzymes contribute to the
nematicidal activity of HK169. In order to obtain genomic information about the
HK169 isolate related to its nematicidal and plant growth-promoting activities,
we sequenced and analyzed the whole genome of the HK169 isolate, and the
resulting information provided evidence that the HK169 isolate has nematicidal
and plant growth-promoting activities. Taken together, these observations enable
the future application of E. asburiae HK169 as a biocontrol agent for nematode
control and promote our understanding of the beneficial interactions between E.
asburiae HK169 and plants.
PMID- 29642291
TI - Statistical Optimization of Medium Components by Response Surface Methodology to
Enhance Menaquinone-7 (Vitamin K2) Production by Bacillus subtilis.
AB - Optimization of the culture medium to maximize menaquinone-7 (MK-7) production by
Bacillus subtilis strain KCTC 12392BP in static culture was carried out using
statistical experimental methods, including one factor at a time, fractional
factorial design, and response surface methodology (RSM). Maltose (carbon
source), tryptone (nitrogen source), and glycerol (activator) were identified as
the key medium components for MK-7 synthesis by the fractional factorial design,
and were selected for statistical optimization by RSM. The statistical analysis
indicated that, in the range that was studied, maltose, tryptone, and glycerol
were all critical factors having profound effects on the production of MK-7, with
their coefficients for linear and quadratic all significant at the p < 0.05
level. The established model was efficient and feasible, with a determination
coefficient (R2) of 0.9419. The predicted concentrations of maltose, tryptone,
and glycerol in the optimal medium were determined as 36.78, 62.76, and 58.90
g/l, respectively. In this optimized medium, the maximum yield of MK-7 reached a
remarkably high level of 71.95 +/- 1.00 MUg/ml after 9 days of static
fermentation, which further verified the practicability of this optimized
strategy.
PMID- 29642292
TI - Improved Anti-Cancer Effect of Curcumin on Breast Cancer Cells by Increasing the
Activity of Natural Killer Cells.
AB - Curcumin is known to possess various biological functions, including anti
inflammatory, antioxidative, and anti-cancer activities. Natural killer (NK)
cells are large lymphocytes that directly kill cancer cells. However, many
aggressive cancers, including breast cancer, were reported to escape the
successful killing of NK cells in a tumor microenvironment. In this study, we
investigated the anti-cancer effect of curcumin in coculture of human breast
carcinoma MDA-MB-231 and NK (NK-92) cells. We found that curcumin had an immune
stimulatory effect on NK-92 by increasing the surface expression of the CD16+ and
CD56dim population of NK-92. We confirmed that the cytotoxic effect of NK-92 on
MDA-MB-231 was significantly enhanced in the presence of curcumin, which was
highly associated with the activation of Stat4 and Stat5 proteins in NK-92.
Finally, this improved anticancer effect of curcumin was correlated with
decreased expression of pErk and PI3K in MDA-MB-231.
PMID- 29642293
TI - Enzyme Hydrolysates of Ginseng Marc Polysaccharides Promote the Phagocytic
Activity of Macrophages Via Activation of TLR2 and Mer Tyrosine Kinase.
AB - Although ginseng marc is a by-product obtained during manufacturing of various
commercial ginseng products and has been routinely discarded as a waste, it still
contains considerable amounts of potential bioactive compounds, including
saponins and polysaccharides. Previously, we reported that ginseng
oligosaccharides derived from ginseng marc polysaccharides by enzymatic
hydrolysis exert immunostimulatory activities in macrophages and these activated
macrophages are in turn able to inhibit the growth of skin melanoma cells by
inducing apoptosis. In the present study, a more detailed investigation of the
immunostimulatory activity and underlying action mechanisms of an enzymatic
hydrolysate (GEH) containing these oligosaccharides derived from ginseng marc
polysaccharides was performed. The levels of proinflammatory cytokines and anti
inflammatory cytokines were measured in GEH-stimulated RAW264.7 macrophages using
RT-PCR analysis and ELISA. The expression levels of Toll-like receptor 2 (TLR2)
and TLR4, Dectin-1, and MerTK were measured by RT-PCR analysis or western blot
analysis, and the phagocytic activities of GEH-challenged bone marrow-derived
macrophages toward apoptotic Jurkat cells were assayed using fluorescence
microscopy. GEH induced the production of both proinflammatory cytokines TNF
alpha and IL-6, and anti-inflammatory cytokine IL-10 in RAW 264.7 cells. The
expression of the TLR2 and MerTK mRNAs was increased upon GEH treatment.
Phagocytosis of apoptotic Jurkat cells was enhanced in GEH-treated macrophages.
Based on the results, this enzymatic hydrolysate (GEH) containing
oligosaccharides exerts immunostimulatory effects by maintaining the balance
between M1 and M2 cytokines, facilitating macrophage activation and contributing
to the efficient phagocytosis of apoptotic cells. Therefore, the GEH could be
developed as value-added, health-beneficial food materials with immunostimulatory
effects.
PMID- 29642294
TI - Characterization of Three Extracellular beta-Glucosidases Produced by a Fungal
Isolate Aspergillus sp. YDJ14 and Their Hydrolyzing Activity for a Flavone
Glycoside.
AB - A cellulolytic fungus, YDJ14, was isolated from compost and identified as an
Aspergillus sp. strain. Three extracellular beta-glucosidases, BGL-A1, BGL-A2,
and BGL-A3, were separated using ultrafiltration, ammonium sulfate fractionation,
and High-Q chromatography. The molecular masses of the three enzymes were
estimated to be 100, 45, and 40 kDa, respectively, by SDS-PAGE. The optimum pH
and temperature of BGL-A3 were 5.0 and 50 degrees C, respectively, whereas the
optimum pH and temperature of BGL-A1 and BGL-A2 were identical (4.0 and 60
degrees C, respectively). The half-life of BGL-A3 at 70 degrees C (2.8 min) was
shorter than that of BGL-A1 and BGL-A2 (12.1 and 8.8 min, respectively). All
three enzymes preferred p-nitrophenyl-beta-D-glucopyranoside (pNPG) and hardly
hydrolyzed cellobiose, suggesting that these enzymes were aryl beta-glucosidases.
The Km of BGL-A3 (1.26 mM) for pNPG was much higher than that of BGL-A1 and BGL
A2 (0.25 and 0.27 mM, respectively). These results suggested that BGL-A1 and BGL
A2 were similar in their enzymatic properties, whereas BGL-A3 differed from the
two enzymes. When tilianin (a flavone glycoside of acacetin) was reacted with the
three enzymes, the inhibitory activity for monoamine oxidase, a target in the
treatment of neurological disorders, was similar to that shown by acacetin. We
conclude that these enzymes may be useful in the hydrolysis of flavone glycosides
to improve their inhibitory activities.
PMID- 29642295
TI - Development and Characterization of Monoclonal Antibodies against Nucleoprotein
for Diagnosis of Influenza A Virus.
AB - Influenza, which is a highly contagious disease caused by the influenza A virus,
continues to be a major health concern worldwide. Although the accurate and early
diagnosis of influenza virus infection is important for controlling the spread of
this disease and rapidly initiating antiviral therapy, the current influenza
diagnostic kits are limited by their low sensitivity. In this study, we developed
several new influenza nucleoprotein (NP)-specific monoclonal antibodies (mAbs)
and compared their sensitivity and specificity of those with commercially
available anti-NP mAbs. Three mAbs, designated M24.11, M34.3, and M34.33,
exhibited higher reactivities to recombinant NPs and A/Puerto Rico/8/1934 (H1N1)
viral lysates compared with the commercial mAbs, as assessed using enzyme-linked
immunosorbent assays. M34.3 and M34.33 showed higher reactivities with
A/California/04/09 (pandemic H1N1) and A/Philippines/2/82 (H3N2) viral lysates
than the commercial mAbs. In contrast, M24.11 had marked reactivity with H3N2 but
not with pandemic H1N1. Immunofluorescent confocal microscopy showed that the
three mAbs effectively detected the presence of influenza virus in lung tissues
of mice infected with A/Puerto Rico/8/1934. These results indicate that the newly
developed M34.3 and M34.33 mAbs could be useful for the development of influenza
diagnostics.
PMID- 29642296
TI - ENTEROAGGREGATIVE ESCHERICHIA COLI O104 FROM THAI AND IMPORTED MALAYSIAN RAW
BEEF.
AB - Local Thai and imported Malaysian beef in southern Thailand area carryseveral
Shiga toxin-producing Escherichia coli (STEC) serotypes. STEC O104 is animportant
pathogen capable of causing outbreaks with considerable morbidityand mortality.
This study investigated the presence of E. coli O104 from local Thaiand imported
Malaysian beef obtained from markets in Hat Yai City, SongkhlaProvince during
August 2015 - February 2016. Thirty-one E. coli O104 strainswere isolated from 12
beef samples (16% and 23% Thai and imported Malaysian,respectively). Thirty
strains possessed aggA (coding for a major component ofAAF/I fimbriae), a gene
associated with enteroaggregative E. coli (EAEC) pathotype,and all strains
carried fimH (encoding Type 1 fimbriae). Thirty strainsbelonged to phylogenetic
group B1 and one strain (from Malaysian beef) to groupA. Agglutination of yeast
cells was observed among 29 E. coli O104 strains. Investigationof stx2 phage
occupancy loci demonstrated that sbcB was occupied in 12strains. Antimicrobial
susceptibility assay revealed that 7 strains were resistantto at least one
antimicrobial agent and two were multi-drug resistant. One straincarried extended
spectrum beta-lactamase gene blaCTX-M and three carried blaTEM. PFGE-generated
DNA profiling showed identical DNA pattern between that ofone EAEC O104 strain
from Thai beef and another from Malaysian beef, indicatingthat these two strains
originated from the same clone. This is the first reportin Thailand describing
the presence of EAEC O104 from both Thai and importedMalaysian beef and their
transfer between both countries. Thorough surveillanceof this pathogen in fresh
meats and vegetables should help to prevent any possibleoutbreak of E. coli O104.
PMID- 29642297
TI - PREVALENCE AND ANTIBIOTIC SUSCEPTIBLITY OF METHICILLIN RESISTANT STAPHYLOCOCCUS
AUREUS, COLLECTED AT THAMMASAT UNIVERSITY HOSPITAL, THAILAND, AUGUST 2012 - JULY
2015.
AB - We analyzed data of Staphylococcus aureus isolated from patients
attendingThammasat University Hospital, Thailand from August 2012 to July 2015.
Intotal, 232/502 (46%) S. aureus isolates were methicillin-resistant S. aureus
(MRSA).There was a declining trend of proportion of MRSA infection, but the
prevalenceof MRSA in the last year of study remained high (38%). All 32 MRSA
infectedoutpatients had history of exposure to healthcare facilities during the
previous twomonths and thus were not considered as having community-associated
MRSA.In addition, all these strains were negative for pvl, suggesting that these
strainswere hospital-associated MRSA. All MRSA stains were susceptible to
linezolid,teicoplanin and vancomycin, but resistance to erythromycin and
clindamycinwere nearly 100%. Fifty-two percent and 87% of MRSA strains were
susceptibleto tetracycline and trimethoprim-sulfamethoxazole, respectively. These
resultsemphasize the necessity of long-term surveillance and monitoring of
antimicrobialsusceptibility pattern of MRSA.
PMID- 29642298
TI - REPEATED SCLEROTHERAPY SUCCESSFULLY TREATS A CHILD WITH IDIOPATHIC CHYLURIA: A
CASE REPORT.
AB - We describe a clinical course of idiopathic chyluria in a previouslyhealthy 8
year-old Thai boy, with a 1-year history of cloudy white urine
undergoingantibiotic treatment. The patient was investigated for the causes but
theyall proved negative. Cystoscopy and retrograde pyelography demonstrated
arenolymphatic fistula of the right kidney. The patient was refractory to a
mediumchaintriglyceride-rich diet. Ultimately, sclerotherapy with 1% povidone
iodine wasemployed twice, which resulted in a resolution of the disorder. We
emphasize thatchyluria, even though a very rare condition of chylous disorders,
but ultimatelycan be initially managed by providing a medium-chain triglyceride
rich diet andis curable by sclerotharapy. Importantly, medium-chain triglyceride
rich diet canbe applied to treat other types of chylous disorders.
PMID- 29642299
TI - PARTNER NOTIFICATION OUTCOMES AMONG MALE GONORRHEA PATIENTS AT BANGRAK HOSPITAL,
BANGKOK, THAILAND.
AB - Partner notification (PN) is an important strategy to control sexuallytransmitted
infections. The objective of this study was to assess the outcomes ofPN in order
to improve control of sexually transmitted infections. We retrospectivelyreviewed
heterosexual male gonorrhea cases who presented for treatmentto Bangrak Hospital
during 2008 to determine the percent PN, the percent ofsuccessful partner
management (SPM) and the factors associated with both. Weused univariate and
multivariate analyses to determine significant associationsbetween
characteristics of index cases and PN outcomes. We reviewed the medicalrecords of
418 index cases. The median age of the subjects reviewed was 30 yearsold (range:
14-63). Six hundred ninety-two partners were identified. Of those,367 partners
(53.0%) were notified by 311 index cases; 95 partners (25.9% of thenotifications)
of the 89 index cases presented for treatment. The medical recordsof 92 partners
were available to review: 61 (66%) had gonorrhea, chlamydia, orgenital herpes
infections. The median period from being notified to seeking carewas 2.5 days
(range: 0-92); 80% sought care within 9 days of notification. Spousesand
girlfriends were the major partners being notified and had greater SPM.
Onmultivariate analysis, a greater notification rate was found among index
caseswho were government workers or had a steady relationship. A higher SPM
ratewas associated with index cases who were aged >=25 years, married or had
asteady relationship. The PN rate among the studied index cases was
inadequate.Further studies are needed to develop successful methods to improve PN
ratesand SPM rates in order to improve sexually transmitted infection control in
thestudy population.
PMID- 29642300
TI - NURSES' ATTITUDES TOWARDS CO-WORKERS INFECTED WITH HIV OR HEPATITIS B OR C IN
VIETNAM.
AB - Stigma and discrimination experienced by nurses infected with
humanimmunodeficiency virus (HIV), hepatitis B virus (HBV), or hepatitis C virus
(HCV)potentially undermine their positions. The aim of this study was to
determine thefactors associated with nurses' attitudes towards accepting co
workers with HIV,HBV, or HCV. The study design was descriptive and cross
sectional. Four hundredVietnamese nurses participated in this study using
stratified random sampling attwo public hospitals in Hanoi, Vietnam. A self
administrated questionnaire wasused to obtain data. Descriptive statistics and
multivariable logistic regression wasperformed to analyze data. Nine percent of
nurses had experienced a needle-stickor sharps injury (NSI) from a patient
infected with HIV, and 15.8% of respondentsreported having a previous NSI from a
patient infected with HBV or HCV. Somenurses reported that they could not accept
contact between patients and nursesinfected with HIV (25.2%) and HBV or HCV
(12.7%). Older age and a belief thatcolleagues should disclose their infection
status were associated with positiveattitudes towards HIV-, HBV-, or HCV-positive
colleagues. Fear of transmissionwas associated with negative attitudes towards
HIV-positive co-workers. Infectedemployees disclosure of their status may help
their colleagues to be more acceptingby providing appropriate workplace
adjustments for infected employees.HIV is generally a more stigmatized infection,
and therefore attitudes towardsHIV-positive co-workers might be affected by fear
of transmission. Providingeducation to recognize infectious risk may be effective
in improving nurses' attitudesin Vietnam, as elsewhere.
PMID- 29642301
TI - AWARENESS, KNOWLEDGE LEVEL, AND MISCONCEPTIONS ABOUT SEXUALLY TRANSMITTED
INFECTIONS AMONG SECONDARY SCHOOLSTUDENTS IN BRUNEI DARUSSALAM.
AB - Sexually transmitted infections (STI) remain an important publichealth issue
globally, particularly among the young population. Despite beingpreventable and
curable, STI continue to spread. Lack of access to treatment isan important
factor in many developing and underdeveloped nations. Lack ofawareness and
knowledge is another important factor. This study assessed theawareness,
knowledge, and misconceptions among secondary school students onSTI. Overall,
awareness was poor but better among male students and those inthe Pure Science
academic streaming. Similarly, the knowledge level of STI wasalso poor: low level
(63.0%), moderate level (34.4%), and high level (2.6%). Malestudents (p=0.014)
and Pure Science academic streaming students (p<0.001) scoredbetter. There were
misconceptions, but poor knowledge was predominant. Commonsources of information
were teachers, health professionals, Internet, parentsand TV programs. Based on
our study, more needs to be done to improve theawareness and knowledge level of
STI, and detailed inclusion into the educationalcurriculum may be a
consideration.
PMID- 29642302
TI - HEALTH STATUS, ENVIRONMENTAL LIVING CONDITIONS AND MICROBIAL INDOOR AIR QUALITY
AMONG MIGRANT WORKER HOUSEHOLDSIN THAILAND.
AB - A large number of migrants have move to cities in Thailand seeking
employment.These people may be at increased risk for environmental health
problems.We studied the health status, environmental living conditions and
microbial indoorair quality (IAQ) among selected groups of migrant workers and
their households inMueang District, Samut Sakhon, central Thailand. We conducted
a cross sectionalstudy of 240 migrant workers and their households randomly
selected by multistagesampling. The person responsible for hygiene at each
studied household wasinterviewed using a structured questionnaire. Two indoor air
samples were takenfrom each household (480 indoor air samples) to determine
bacterial and fungalcounts using a Millipore air tester; 240 outdoor air samples
were collected for comparison.Ninety-nine point six percent of study subjects
were Myanmar, 74.2% wereaged 21-40 years, 91.7% had a primary school level
education or lower and 53.7%had stayed in Thailand less than 5 years. Eight point
three percent had a historyof an underlying disease, 20.8% had a recent history
of pulmonary tuberculosis ina family member within the previous year. Forty-three
point eight percent had acurrent illness related to IAQ during a previous month.
Twenty-one point threewere current cigarette smokers, 15.0% were current alcohol
consumers, and 5.0%exercises >=3 times per week. Forty-nine point two percent
never opened the windowsof their bedrooms or living rooms for ventilation, 45%
never cleaned theirwindow screens, and 38.3% never put their pillows or
mattresses in the sunlight. Themean(+/-SD) air bacterial count was 230(+/-229)
CFU/m3 (outdoor air = 128+/-82 CFU/m3), and the mean fungal count was 630(+/-842)
CFU/m3 (outdoor air = 138+/-94 CFU/m3). When the bacterial and fungal counts were
compared with the guidelines of theAmerican Conference of Governmental Industrial
Hygienists, the bacterial countsin 6.5% of houses surveyed and the fungal counts
in 28.8% of house surveyed werehigher than the recommended levels (<500 CFU/m3).
Bacterial and fungal counts inthe sample households were not significantly
correlated with household hygienepractice scores (p>0.05). There was a positive
correlation between bacterial countsand fungal counts in household air samples,
r=0.28, p<0.001.
PMID- 29642303
TI - CAPACITY BUILDING PROCESS IN ENVIRONMENTAL AND HEALTH IMPACT ASSESSMENT FOR A
THAI COMMUNITY.
AB - This research aimed at exploring the development of the capacitybuildingprocess
in environmental and health impact assessment, including theconsideration of
subsequent, capacity-building achievements. Data were gatheredthrough
questionnaires, participatory observations, in-depth interviews, focusgroup
discussions, and capacity building checklist forms. These data were analyzedusing
content analysis, descriptive statistics, and inferential statistics. Our
studyused the components of the final draft for capacity-building processes
consistingof ten steps that were formulated by synthesis from each respective
process. Additionally,the evaluation of capacity building levels was performed
using 10-itemevaluation criteria for nine communities. The results indicated that
the communitiesperformed well under these criteria. Finally, exploration of the
factors influencingcapacity building in environmental and health impact
assessment indicated thatthe learning of community members by knowledge exchange
via activities andstudy visits were the most influential factors of the capacity
building processes inenvironmental and health impact assessment. The final
revised version of capacitybuildingprocess in environmental and health impact
assessment could serve as abasis for the consideration of interventions in
similar areas, so that they increasedcapacity in environmental and health impact
assessments.
PMID- 29642304
TI - ASSESSMENT OF A NEW SMOKING CESSATION PROGRAM AT THAMMASAT UNIVERSITY HOSPITAL,
PATHUM THANI, THAILAND.
AB - The Smoking Cessation Clinic (SCC) at Thammasat University Hospitalhad only 27
patients from October 2013 to September 2014 and a quit rate of only3.7%. A new
smoking cessation program was implemented at the end of 2014 toimprove success
rates. This new program is an interdisciplinary program thatincludes several
health care specialists. The study aimed to examine the characteristicsof the
smokers and the outcomes after implementing the new programwith an
interdisciplinary approach at the SCC in order to gain data to improvethe
efficacy of the SCC. This prospective descriptive study was conducted by theSCC
from December 2014 to December 2015. During the study period 111 patientsattended
the SCC under the new program and all were included in the survey;100 (90.1%)
were men. The mean (+/-SD) age of these patients was 56.5+/-13.5 years.The mean
(+/-SD) age of onset of smoking was 18.5+/-5.5 years. Smoking cessationin the
program was checked by measuring the exhaled carbon monoxide levels at6 months
after reporting smoking cessation. A level less than 10 parts per millionwas
considered not to be a smoker. Of the 111 patients, 14 (12.6%) had
reportedsmoking cessation and had an exhaled carbon monoxide level less than 10
parts permillion. The new smoking cessation program was attended by more patients
andhad a higher success rate than the previous smoking cessation program,
althoughthe smoking cessation success rate was still low. Further studies are
needed todetermine which factors improved cessation rates and to determine other
factorsassociated with successful smoking cessation in the study population.
PMID- 29642305
TI - EXHALED CARBON MONOXIDE LEVELS AMONG TOBACCO SMOKERS BY AGE.
AB - Measurement of exhaled carbon monoxide (ECO) has been used toconfirm self
reported tobacco smoking. There is little data regarding ECO levelsamong Thai
tobacco smokers by age. The objectives of this study were to determineECO cutoff
level to confirm tobacco smoking and to assess whether the cutofflevel varies by
age. During 2009 we evaluated 875 Thai volunteers aged 16-70years, residing in
Pathum Thani (central Thailand) and Khon Kaen (northeasternThailand). Among the
875 volunteers, there were 584 non-smokers and 291 smokers.Each subject was
interviewed and had their ECO level measured. The meanECO level was 11.24 ppm
among smokers and 2.25 ppm among non-smokers.The best ECO cutoff level to
distinguish 291smokers from 584 non-smokers was5 ppm (sensitivity 79.0%,
specificity 89.9%).The optimal ECO cutoff level variedby age-group. For subjects
aged 16-25 years, the best ECO cutoff level was 4 ppm(sensitivity 85.2%,
specificity 77.5%) and for subject aged 26-70 years, the best ECOcutoff level was
5 ppm (sensitivity 79.4%, specificity 91.2%).These levels by ageshould be used
among Thai subjects to determine smoking.
PMID- 29642306
TI - A WEIGHT-LOSS PROGRAM FOR MEDICAL STUDENTS IN THAILAND: AN EVALUATION OF RELATED
KNOWLEDGE, PREVAILING ATTITUDES, AND PROGRAM OUTCOMES FOR WEIGHT LOSS.
AB - Millions of people, worldwide, struggle with being overweight or obese.Medical
students, who will eventually become physicians, should be good rolemodels for
patients; however, some medical students are themselves overweight orobese. The
aim of this study was to evaluate the efficacy of a weight-loss programfor
medical students in Thailand. A six-month weight reduction program wasdesigned
consisted of three full-day sections that were scheduled, as follows: DayOne, End
of Week One, and End of Week Eight. The interventions incorporatedvarious
behavior modification strategies. Participant anthropometric measurementswere
recorded. Obesity-related knowledge, perception, attitude, and
inappropriateweight-loss behaviors were obtained by validated questionnaire. At
theend of the study, statistically significant weight loss was demonstrated
(median2.70 kg, p<0.05) compared to baseline. Moreover, participant knowledge
significantlyincreased and inappropriate weight-loss behaviors significantly
decreased(p<0.05 and p<0.05, respectively) compared to baseline. Given the
demonstratedmodest effectiveness of this low-intensity weight reduction program,
this interventionshould be considered as an effective education tool for medical
students.
PMID- 29642307
TI - IMPACT OF PERSONALITY TRAIT AND PROFESSIONAL IDENTITY ON WORK-RELATED DEPRESSION,
ANXIETY AND IRRITATION AMONG CHINESE NURSES.
AB - This study aimed to explore the impact of personality trait and
professionalidentity on work-related depression, anxiety, irritation among
Chinesenurses. Hospital nurses are known to work in stressful environment, which
maylead to depression, anxiety and irritation symptoms. Few studies have been
doneto address this problem from the perspective of personality trait and
professionalidentity in Chinese nurses. This was a cross sectional study. Data
were collectedfrom twenty hospitals of Hunan Province, in central China. The
instruments usedin this study were Eysenck Personality Questionnaire Short Scale,
ProfessionalIdentity Questionnaire, and Work-Related Depression, Anxiety and
Irritation Scale.Extraversion and professional identity negatively correlated
with and predictedwork-related depression, anxiety and irritation; Neuroticism
and Psychoticismpositively correlated with and predicted work-related depression,
anxiety andirritation. Strengthening the nurses' professional identity may be
helpful towardreducing work-related depression, anxiety and irritation.
Administrative andpsychological interventions of these work-related emotion
problems should takethe difference in personality trait into account.
PMID- 29642308
TI - Prevalence of Eosinophilic Esophagitis in Adult Patients with Upper
Gastrointestinal Symptoms in a Locality in Upper Egypt.
AB - BACKGROUND/AIMS: Eosinophilic esophagitis (EoE) is gaining importance in the
diagnosis of upper gastrointestinal (UGI) symptoms. Diagnosis is based on the
clinical presentation of esophageal dysfunction and pathological findings in the
absence of other causes of tissue eosinophilia. Our study was designed to
evaluate EoE prevalence in patients with UGI symptoms in our locality (El-Minia,
Egypt). METHODS: This single-center, cross-sectional study recruited all patients
with UGI symptoms who agreed for endoscopic evaluation. Esophageal biopsy samples
were obtained and histological evaluation for the presence of eosinophils was
performed for every patient. EoE was defined when at least 15 eosinophils were
present in a single high-power field, in the absence of other causes of
esophageal eosinophilia. RESULTS: Between 2013 and 2015, 218 of 476 adult
patients with UGI symptoms underwent upper endoscopy after giving consent. Among
the 218 patients, only 4 (1.87%) had the diagnosis of EoE based on the presence
of eosinophils in esophageal biopsies and exclusion of other causes of esophageal
eosinophilia. Three patients with EoE presented mainly with dysphagia (75%)
and/or other UGI symptoms, such as heartburn. CONCLUSIONS: We observed a low
prevalence of EoE in our locality. The diagnosis of EoE should be considered in
patients with dysphagia and/or heartburn.
PMID- 29642309
TI - SCREENING FOR TYPE 2 DIABETES MELLITUS AND PREDIABETES USING POINT-OF-CARE
TESTING FOR HBA1C AMONG THAI DENTAL PATIENTS.
AB - Diabetes mellitus type 2 (DM) is associated with oral diseases. Somestudies
indicated that patients who seek dental treatment could have
undiagnosedhyperglycemic condition. The aim of this study was to assess the
prevalence ofundiagnosed hyperglycemia and selected associated factors among Thai
dentalpatients. Dental patients without a history of hyperglycemia were recruited
fromthe Special Clinic, Faculty of Dentistry, Mahidol University, Bangkok,
Thailand andHis Majesty the King's Dental Service Unit, Thailand. The patients
were randomlyselected and a standardized questionnaire was used to collect
demographic datafrom each patient. Blood pressure, body mass index (BMI), and
waist circumferencewere recorded for each subject. The number of missing teeth,
periodontalstatus, and salivary flow rate were also investigated. HbA1c was
assessed usinga finger prick blood sample and analyzed with a point-of-care
testing machine.Hyperglycemia was defined as a HbA1c >=5.7%. The prevalence of
hyperglycemiaamong participants was calculated and multivariate logistic
regression analysiswas used to identify risk factors. A total of 724 participants
were included in thestudy; 33.8% had hyperglycemia. On multiple logistic
regression analysis, olderage, family history of DM, being overweight (BMI >=23
kg/m2), having centralobesity and having severe periodontitis were significantly
associated with hyperglycemia.The high prevalence of hyperglycemia in this study
of dental patientssuggests this setting may be appropriate to screen for patients
with hyperglycemia.
PMID- 29642310
TI - DIET, BODY MASS INDEX AND DENTAL CARIES AMONG THAI CHILDREN AGED 3 TO 5 YEARS.
AB - Early childhood caries (ECC) can cause pain and interfere with healthynutrition
affecting a child growth. The aim of this study was to determine if thereis an
association between dental caries and body mass index (BMI) among Thaichildren
aged 3 to 5 years. We randomly selected 100 students attending SuanMissakawan
School, Bangkok, Thailand. We examined each child to determine thenumber of
decayed, missing and filled teeth (DMFT) giving a DMFT score. We alsomeasured the
height and weight for each subject and calculated their body massindex (BMI) as
weight in kilograms divided by height in meter squared. Parentsor guardians were
asked to complete a questionnaire asking general informationand the diet of the
child. Data from the questionnaire were analyzed using theKruskal-Wallis test.
Associations between caries and variables were examinedusing the Spearman's
correlation with significance set at p<0.05. The mean (+/-SD)age of the subject,
the mean (+/-SD) DMFT score of the subject and the mean (+/-SD)BMI for the
subjects were 4.21(+/-0.71) years old, 5.27(+/-4.78) and 16.46(+/-2.56) kg/m2,
respectively. Seventy-one percent of subjects had a normal BMI, 25%
wereoverweight and 4% were underweight. Eighteen percent had no caries
(DMFTscore=0), 32% had a few caries (DMFT score=0.1-3.0), 14% had many caries
(DMFTscores=3.1-6.9), and 36% had very many caries (DMFT>=7). The DMFT score
wasnot significantly associated with a history of sugar consumption or BMI.
TheDMFT score was significantly negatively associated with estimated fat
consumptionand estimated iron consumption based on the diet reported by the
parents orguardians. Further studies are needed to determine if these reported
diets reflectactual consumption and if the associations are still significant.
PMID- 29642311
TI - DENTAL PATIENT KNOWLEDGE ABOUT THE EFFECTS OF SMOKING AND ATTITUDES ABOUT THE
ROLE OF DENTISTS IN SMOKING CESSATION.
AB - Dentists can offer their patients who smoke tobacco assistance withsmoking
cessation. We conducted this study to assess dental patient knowledgeabout the
effects of smoking and perceptions and attitudes regarding the role ofdentists in
smoking cessation counselling. We conducted this study to inform tobaccocessation
programs that could potentially include dentists. We conducted across-sectional
study using a self-administered questionnaire among 375 patients.The mean age of
subjects was 33.4 years; females comprised 51.5%. Participantswere divided into 3
groups: those who never smoked (n = 263, 70.7%), smokers (n= 92, 24.7%), and ex
smokers (n = 17, 4.5%). Significantly more participants (p =0.046) who never
smoked (92.9%) knew smoking can cause bad breath than smokers(86.9%).
Significantly more participants (p = 0.002) who never smoked (74.8%)knew smoking
can cause periodontal disease than smokers (57.6%). Significantlymore
participants (p < 0.001) who never smoked (84.5%) knew smoking can causeoral
cancer than smokers (66.7%). Significantly more participants (p < 0.001) whonever
smoked (86.7%) knew smoking can cause lung cancer than smokers
(69.7%).Significantly more participants who never smoked (85.5%) felt dentists
should beinterested in the smoking status of their patients (p = 0.004) than
smokers (72.6%).Significantly more participants (p = 0.08) who never smoked
(69.6%) stated dentistsshould give smoking cessation advice than smokers/ex
smokers (59.0%). Smoker/ex-smokers had less knowledge about the effects of
smoking on oral and generalhealth than non-smokers. Both smokers/ex-smokers and
non-smokers felt dentistsshould provide smoking cessation advice.
PMID- 29642312
TI - EFFECTS OF SHORT-TERM USE OF XYLITOL CHEWING GUM AND MOLTITOL ORAL SPRAY ON
SALIVARY STREPTOCOCCUS MUTANS AND ORAL PLAQUE.
AB - The purpose of this study was to investigate the short-term effects ofxylitol
chewing gum and maltitol spray on the concentration of salivary
mutansstreptococci (MS) and on the plaque index. Eighty-one second, third and
fourthyear dental and dental assistant students with a salivary MS concentration
> 103CFU/ml cultured on mitis salivarius bacitracin (MSB) agar were included in
thestudy. The age range of subjects was 18-23 years. The participants were
dividedinto 3 groups: control, xylitol chewing gum and maltitol spray groups.
Each subjectbrushed their teeth with fluoridated toothpaste (1,000 ppm). Each
subject in thexylitol chewing gum group was told to chew 2 pieces, 6 times a day
(total xylitoldose=7.3 g/day) for 4 weeks. Each subject in the maltitol spray
group was told tospray one puff twice daily (morning and evening) for 4 weeks. A
dental examinationand saliva samples to determine the salivary MS concentration
were collectedat baseline and at 2 and 4 weeks after experiment initiation. The
nonparametricMann-Whitney U test was used to analyze differences among groups.
The meanages in the control, xylitol chewing gum and maltitol spray groups were
22+/-1,20+/-1 and 20+/-1 years, respectively. The mean MS concentrations at the
beginningof the study and after 2 weeks in the control, and xylitol chewing gum
and moltitoloral spray groups were not significantly different from each other.
There wasa significantly lower MS concentration in the moltitol oral spray group
than inthe control group by 4 weeks (p=0.045) but no significant difference
between thecontrol group and the xylitol gum group by 4 weeks. There were no
significantdifferences in the mean plaque index at baseline among the control
group, thexylitol chewing gum group and the moltitol oral spray group. The plaque
indexwas significantly lower in the xylitol chewing gum group than the control
group(p=0.003) at 2 weeks but not 4 weeks. There was no significant difference in
themean plaque index between the control group and the moltitol oral spray
groupat any time. Using the maltitol spray significantly reduced the MS level in
thesaliva by 4 weeks use but using the xylitol gum did not. However, using the
xylitolchewing gum did reduce the mean plaque by 2 weeks use but the effect did
notlast; by 4 weeks there was no difference from control. The moltitol spray
providedno benefit over the control in reducing the mean plaque index.
PMID- 29642313
TI - ENHANCING REMINERALIZATION OF PRIMARY ENAMEL LESIONS WITH FLUORIDE DENTIFRICE
CONTAINING TRICALCIUM PHOSPHATE.
AB - Fluoride dentifrice is effective in preventive dental caries but may
causefluorosis, especially in young children. Reducing the concentration of
fluoride fromthe regular concentration of 1,000 parts per million (ppm) to 500
ppm can reducethe risk for fluorosis but increases the risk of caries. Adding
tricalcium phosphate(TCP) to the dentifrices may improve the efficacy of
remineralization possiblyallowing for a lower concentration of fluoride to reduce
the risk of fluorosis. Westudied this to inform future caries prevention efforts
in children. We immersed40 sound primary incisors into demineralizing solution
(pH=4.4) for 96 hours at37 degrees C to create demineralized lesions. The 40
teeth were then divided into 4 groupsof 10 teeth each. Group A: control (treated
with deionized water only); Group B:treated with fluoride dentifrice at a
concentration of 1,000 ppm; Group C: treatedwith fluoride dentifrice at a
concentration of 500 ppm and 500 ppm TCP, andGroup D: treated with fluoride
dentifrice at a concentration of 1,000 ppm and 500ppm TCP. The teeth were each
subjected to 7 days of pH-cycling and the studieddentifrice was applied for one
minute, 3 times daily during the 7 day period. Afterthe 7 day period the teeth
were each sectioned and examined with polarized lightmicroscopy. The depths of
demineralized areas were measured using Image-Proplus software. A pair t-test was
used to compare lesion depths before and afterdentifrice treatment. Differences
in mean lesion depths within each group wereanalyzed using the One-way ANOVA and
LSD tests; a 95% confidence intervalswere calculated. The mean lesion depths in
all the groups before dentifrice treatmentwere not significantly different
(p=0.143). The mean demineralized lesiondepths after dentifrice treatment were
significantly different by group (p=0.00).The mean demineralized lesion depth in
Group A significantly deeper than theother groups (p=0.00). Group D had the
shallowest depth, significantly shallowerthan the other groups (p=0.006). There
was no significant difference in themean demineralized lesion depth between
Groups B and C (p=0.478). The meandemineralized lesion depth changed
significantly after dentifrice treatment in allthe groups (p=0.00). Group A was
significantly deeper (p=0.00) and groups B, Cand D were all significantly
shallow. Group D had the greatest reduction in meandemineralized lesion depth
(p<0.05). The 1,000 ppm fluoride plus TCP dentifricegave superior
remineralization than the 500 ppm fluoride plus TCP and the 1,000ppm fluoride
dentifrice. The 500 ppm fluoride plus TCP gave the same remineralizingeffect as
the 1,000 ppm fluoride dentifrice. TCP enhances remineralizationon primary enamel
when added to fluoride dentifrice. Our results show if TCP isadded to fluoride
dentifrice a lower concentration of fluoride is needed to providethe same benefit
as fluoride dentifrice with a higher concentration of fluoride,reducing the risk
of fluorosis in children.
PMID- 29642314
TI - Re: Examining the Value of Video Visits to Patients in an Outpatient Urology
Clinic.
PMID- 29642315
TI - Re: Comparing Clinical and Economic Outcomes Associated with Early Initiation of
Combination Therapy of an Alpha Blocker and Dutasteride or Finasteride in Men
with Benign Prostatic Hyperplasia in the United States.
PMID- 29642316
TI - Re: Medical Treatment of Nocturia in Men with Lower Urinary Tract Symptoms:
Systematic Review by the European Association of Urology Guidelines Panel for
Male Lower Urinary Tract Symptoms.
PMID- 29642317
TI - Re: Alvimopan for Recovery of Bowel Function after Radical Cystectomy.
PMID- 29642318
TI - Re: Use of Adjuvant Chemotherapy in Radical Cystectomy Patients Aged >65 Years: A
Population-Based Study from the Surveillance Epidemiology and End Results (SEER)
Medicare Database.
PMID- 29642319
TI - Re: Clinical Characteristics, Beliefs, and Coping Strategies among Older Patients
with Overactive Bladder.
PMID- 29642320
TI - Re: Frontal Lobe Function Correlates with One-Year Incidence of Urinary
Incontinence in Elderly with Alzheimer Disease.
PMID- 29642321
TI - Re: Qualitative Exploration of the Patient Experience of Underactive Bladder.
PMID- 29642322
TI - Re: Nocturia Increases the Incidence of Depressive Symptoms: A Longitudinal Study
of the HEIJO-KYO Cohort.
PMID- 29642323
TI - Re: Functional and Targeted Lymph Node Imaging in Prostate Cancer: Current Status
and Future Challenges.
PMID- 29642324
TI - Re: Symptomatic Treatment of Uncomplicated Lower Urinary Tract Infections in the
Ambulatory Setting: Randomised, Double Blind Trial.
PMID- 29642325
TI - Re: Selective Depletion of Uropathogenic E. coli from the Gut by a FimH
Antagonist.
PMID- 29642326
TI - Re: Robotic Salvage Retroperitoneal and Pelvic Lymph Node Dissection for 'Node
Only' Recurrent Prostate Cancer: Technique and Initial Series.
PMID- 29642327
TI - Re: A Pragmatic Randomized Controlled Trial Examining the Impact of the Retzius
Sparing Approach on Early Urinary Continence Recovery after Robot-Assisted
Radical Prostatectomy.
PMID- 29642328
TI - Re: Sexual Dysfunction is Associated with Suicidal Ideation in Female Service
Members and Veterans.
PMID- 29642329
TI - Re: Robot Assisted Laparoscopic Radical Prostatectomy: Assistant's Seniority has
No Influence on Perioperative Course.
PMID- 29642330
TI - Re: Factors Associated with Specific Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition. Sexual Dysfunctions in Breast Cancer Survivors: A
Study of Patients and Their Partners.
PMID- 29642332
TI - Re: Non-Alcoholic Fatty Liver Disease as a Risk Factor for Female Sexual
Dysfunction in Premenopausal Women.
PMID- 29642331
TI - Re: Randomized Trial of Clitoral Vacuum Suction versus Vibratory Stimulation in
Neurogenic Female Orgasmic Dysfunction.
PMID- 29642333
TI - Re: Fertility Outcomes after Extended Searches for Ejaculated Spermatozoa in Men
with Virtual Azoospermia.
PMID- 29642334
TI - Re: Effect of Cranial Irradiation on Sperm Concentration of Adult Survivors of
Childhood Acute Lymphoblastic Leukemia: A Report from the St. Jude Lifetime
Cohort Study.
PMID- 29642335
TI - Re: Transurethral Resection of Ejaculatory Ducts: A Step-by-Step Guide.
PMID- 29642336
TI - Re: Efficacy of Standardized Nursing Fertility Counseling on Sperm Banking Rates
in Cancer Patients.
PMID- 29642337
TI - Re: Validation Study of the SCREENIVF: An Instrument to Screen Women or Men on
Risk for Emotional Maladjustment before the Start of a Fertility Treatment.
PMID- 29642338
TI - Re: Long-Term Functional Outcomes after Penoscrotal Hypospadias Repair: A
Retrospective Comparative Study of Proximal TIP, Onlay, and Duckett.
PMID- 29642339
TI - Re: Standardized Process to Improve Patient Flow from the Emergency Room to the
Operating Room for Pediatric Patients with Testicular Torsion.
PMID- 29642340
TI - Re: State Appellant Cases for Testicular Torsion: Case Review from 1985 to 2015.
PMID- 29642341
TI - Re: Biometry of the Hypospadic Penis after Hormone Therapy (Testosterone and
Estrogen): A Randomized, Double-Blind Controlled Trial.
PMID- 29642343
TI - Re: A 22-Year Retrospective Study: Educational Update and New Referral Pattern of
Age at Orchidopexy.
PMID- 29642342
TI - Re: New Insights into the Alleged Kidney Donor Profile Index Labeling Effect on
Kidney Utilization.
PMID- 29642344
TI - Re: Narrow Networks on the Health Insurance Marketplaces: Prevalence, Pricing,
and the Cost of Network Breadth.
PMID- 29642345
TI - Re: Sustained Gains in Coverage, Access, and Affordability under the ACA: A 2017
Update.
PMID- 29642346
TI - Re: Americans Support Price Shopping for Health Care, but Few Actually Seek out
Price Information.
PMID- 29642347
TI - Re: Outcomes of Urethroplasty to Treat Urethral Strictures Arising from
Artificial Urinary Sphincter Erosions and Rates of Subsequent Device Replacement.
PMID- 29642348
TI - Re: Transobturator Sling for Post-Prostatectomy Incontinence: Radiation's Effect
on Efficacy/Satisfaction.
PMID- 29642349
TI - Re: Inflatable Penile Prosthesis as Tissue Expander: What is the Evidence?
PMID- 29642350
TI - Re: Associating Mutations Causing Cystinuria with Disease Severity with the Aim
of Providing Precision Medicine.
PMID- 29642351
TI - Re: Plasma Oxalate in Relation to eGFR in Patients with Primary Hyperoxaluria,
Enteric Hyperoxaluria and Urinary Stone Disease.
PMID- 29642352
TI - Re: Oxalobacter formigenes-Associated Host Features and Microbial Community
Structures Examined Using the American Gut Project.
PMID- 29642353
TI - Re: Dual Energy CT Kidney Stone Differentiation in Photon Counting Computed
Tomography.
PMID- 29642354
TI - Re: Impact of Diagnostic Ureteroscopy on Intravesical Recurrence in Patients
Undergoing Radical Nephroureterectomy for Upper Tract Urothelial Cancer: A
Systematic Review and Meta-Analysis.
PMID- 29642355
TI - Re: Defining the Role of Intraoperative Transesophageal Echocardiography during
Radical Nephrectomy with Inferior Vena Cava Tumor Thrombectomy for Renal Cell
Carcinoma.
PMID- 29642356
TI - Re: Comparative Effectiveness of Treatment Strategies for Bladder Cancer with
Clinical Evidence of Regional Lymph Node Involvement.
PMID- 29642357
TI - Re: Phase III, Double-Blind, Randomized Trial that Compared Maintenance Lapatinib
versus Placebo after First-Line Chemotherapy in Patients with Human Epidermal
Growth Factor Receptor 1/2-Positive Metastatic Bladder Cancer.
PMID- 29642358
TI - Re: Health-Related Quality of Life for Immediate versus Delayed Androgen
Deprivation Therapy in Patients with Asymptomatic, Non-Curable Prostate Cancer
(TROG 03.06 and VCOG PR 01-03 [TOAD]): A Randomised, Multicentre, Non-Blinded,
Phase 3 Trial.
PMID- 29642359
TI - Re: Cabazitaxel versus Docetaxel as First-Line Therapy for Patients with
Metastatic Castration-Resistant Prostate Cancer: A Randomized Phase III Trial
FIRSTANA.
PMID- 29642360
TI - Re: Post-Chemotherapy Retroperitoneal Teratoma in Nonseminomatous Germ Cell
Tumors: Do Predictive Factors Exist? Results from a National Multicenter Study.
PMID- 29642361
TI - Re: Enterobacteria Secrete an Inhibitor of Pseudomonas Virulence during Clinical
Bacteriuria.
PMID- 29642362
TI - Re: Prostate Cancer-Associated SPOP Mutations Confer Resistance to BET Inhibitors
through Stabilization of BRD4.
PMID- 29642363
TI - Re: A CCR4 Antagonist Reverses the Tumor-Promoting Microenvironment of Renal
Cancer.
PMID- 29642364
TI - Re: Characterization of Lower Urinary Tract Symptoms in Patients with Idiopathic
Normal Pressure Hydrocephalus.
PMID- 29642365
TI - Re: Long-Term Outcomes of Intravesical Dimethyl Sulfoxide/Heparin/Hydrocortisone
Therapy for Interstitial Cystitis/Bladder Pain Syndrome.
PMID- 29642366
TI - Re: Detailed Analysis of Adverse Events and Surgical Interventions in a Large
Prospective Trial of Sacral Neuromodulation Therapy for Overactive Bladder
Patients.
PMID- 29642367
TI - Re: Dietary Sodium Restriction Reduces Nocturnal Urine Volume and Nocturnal
Polyuria Index in Renal Allograft Recipients with Nocturnal Polyuria.
PMID- 29642368
TI - Re: Effectiveness of BTX-A and Neuromodulation in Treating OAB with or without
Detrusor Overactivity: A Systematic Review.
PMID- 29642369
TI - Bis-Indolyl Benzenoids, Hydroxypyrrolidine Derivatives and Other Constituents
from Cultures of the Marine Sponge-Associated Fungus Aspergillus candidus
KUFA0062.
AB - A previously unreported bis-indolyl benzenoid, candidusin D (2e) and a new
hydroxypyrrolidine alkaloid, preussin C (5b) were isolated together with fourteen
previously described compounds: palmitic acid, clionasterol, ergosterol 5,8
endoperoxides, chrysophanic acid (1a), emodin (1b), six bis-indolyl benzenoids
including asterriquinol D dimethyl ether (2a), petromurin C (2b), kumbicin B
(2c), kumbicin A (2d), 2"-oxoasterriquinol D methyl ether (3), kumbicin D (4),
the hydroxypyrrolidine alkaloid preussin (5a), (3S, 6S)-3,6-dibenzylpiperazine
2,5-dione (6) and 4-(acetylamino) benzoic acid (7), from the cultures of the
marine sponge-associated fungus Aspergillus candidus KUFA 0062. Compounds 1a, 2a
e, 3, 4, 5a-b, and 6 were tested for their antibacterial activity against Gram
positive and Gram-negative reference and multidrug-resistant strains isolated
from the environment. Only 5a exhibited an inhibitory effect against S. aureus
ATCC 29213 and E. faecalis ATCC29212 as well as both methicillin-resistant S.
aureus (MRSA) and vancomycin-resistant enterococci (VRE) strains. Both 1a and 5a
also reduced significant biofilm formation in E. coli ATCC 25922. Moreover, 2b
and 5a revealed a synergistic effect with oxacillin against MRSA S. aureus 66/1
while 5a exhibited a strong synergistic effect with the antibiotic colistin
against E. coli 1410/1. Compound 1a, 2a-e, 3, 4, 5a-b, and 6 were also tested,
together with the crude extract, for cytotoxic effect against eight cancer cell
lines: HepG2, HT29, HCT116, A549, A 375, MCF-7, U-251, and T98G. Except for 1a,
2a, 2d, 4, and 6, all the compounds showed cytotoxicity against all the cancer
cell lines tested.
PMID- 29642370
TI - Global Transcriptome Analysis of Brown Adipose Tissue of Diet-Induced Obese Mice.
AB - Consumption of a high-fat diet (HFD) promotes the development of obesity, a
disease resulting from an imbalance between energy intake and energy expenditure.
Brown adipose tissue (BAT) has thermogenic capacity that burns calories to
produce heat, and it is a potential target for the treatment and prevention of
obesity. There is limited information regarding the impact of HFD on the BAT
transcriptome. We hypothesized that HFD-induced obesity would lead to
transcriptional regulation of BAT genes. RNA sequencing was used to generate
global transcriptome profiles from BAT of lean mice fed with a low-fat diet (LFD)
and obese mice fed with a HFD. Gene Ontology (GO) analysis identified increased
expression of genes involved in biological processes (BP) related to immune
responses, which enhanced molecular function (MF) in chemokine activity;
decreased expression of genes involved in BP related to ion transport and muscle
structure development, which reduced MF in channel and transporter activity and
structural binding. Kyoto Encyclopedia of Genes and Genomes (KEGG) functional
pathway analysis indicated that pathways associated with innate immunity were
enhanced by HFD, while pathways associated with muscle contraction and calcium
signaling were suppressed by HFD. Collectively, these results suggest that diet
induced obesity changes transcriptomic signatures of BAT, leading to dysfunction
involving inflammation, calcium signaling, ion transport, and cell structural
development.
PMID- 29642371
TI - Fairness-Based Tasks for Assessing Children's Perceptions of Food Quantities and
Associations with Portion Selection.
AB - It is critical to develop ecologically valid experimental methods to assess
consumers' food-related behaviors. Ad libitum approaches are often used but may
not be appropriate for studies with children or with products that are not
typically consumed until the individual feels full. The current study presents
novel methods to assess children's size perception and portion preference for
gummy candies. In the first study, 62 children (30 boys, 32 girls) aged 6 to 9
years completed two matching tasks: one using pictures on a computer screen, and
a similar task where the products were physically manipulated. Results of the two
matching tasks were correlated, demonstrating that a computer-based approach
could be used to predict the factors influencing children's perception of food
amount: the number, size, and shape of pieces. In the second study, a portioning
measure was developed to investigate whether the factors identified in the
matching tasks were confirmed in a task that more closely represented portion
selection in the real world. The effects observed in the matching tasks could not
be replicated in the portioning task. The size of each item had no significant
impact on the portion selection, suggesting that it may be possible to reduce the
size of pieces in snacks where multiple pieces are typically consumed without
negatively impacting perceived quantity in children, thus offering a promising
strategy to nudge children toward choosing smaller portions.
PMID- 29642372
TI - omega-3 and omega-6 Fatty Acids Modulate Conventional and Atypical Protein Kinase
C Activities in a Brain Fatty Acid Binding Protein Dependent Manner in
Glioblastoma Multiforme.
AB - Glioblastoma multiforme (GBM) is a highly infiltrative brain cancer with a dismal
prognosis. High levels of brain fatty acid binding protein (B-FABP) are
associated with increased migration/infiltration in GBM cells, with a high ratio
of arachidonic acid (AA) to docosahexaenoic acid (DHA) driving B-FABP-mediated
migration. Since several protein kinase Cs (PKCs) are overexpressed in GBM and
linked to migration, we explored a possible relationship between B-FABP and
levels/activity of different PKCs, as a function of AA and DHA supplementation.
We report that ectopic expression of B-FABP in U87 cells alters the levels of
several PKCs, particularly PKCzeta. Upon analysis of PKCzeta RNA levels in a
panel of GBM cell lines and patient-derived GBM neurospheres, we observed a trend
towards moderate positive correlation (r = 0.624, p = 0.054) between B-FABP and
PKCzeta RNA levels. Analysis of PKC activity in U87 GBM cells revealed decreased
typical PKC activity (23.4%) in B-FABP-expressing cells compared with
nonexpressing cells, with no difference in novel and atypical PKC activities. AA
and DHA modulated both conventional and atypical PKC activities in a B-FABP
dependent manner, but had no effect on novel PKC activity. These results suggest
that conventional and atypical PKCs are potential downstream effectors of B
FABP/fatty acid-mediated alterations in GBM growth properties.
PMID- 29642373
TI - Oil Motion Control by an Extra Pinning Structure in Electro-Fluidic Display.
AB - Oil motion control is the key for the optical performance of electro-fluidic
displays (EFD). In this paper, we introduced an extra pinning structure (EPS)
into the EFD pixel to control the oil motion inside for the first time. The
pinning structure canbe fabricated together with the pixel wall by a one-step
lithography process. The effect of the relative location of the EPS in pixels on
the oil motion was studied by a series of optoelectronic measurements. EPS showed
good control of oil rupture position. The properly located EPS effectively guided
the oil contraction direction, significantly accelerated switching on process,
and suppressed oil overflow, without declining in aperture ratio. An
asymmetrically designed EPS off the diagonal is recommended. This study provides
a novel and facile way for oil motion control within an EFD pixel in both
direction and timescale.
PMID- 29642374
TI - Cancer Mortality in Residents of the Cadmium-Polluted Jinzu River Basin in
Toyama, Japan.
AB - After 26 years, we followed up 7348 participants in a 1979-1984 health screening
survey in the Jinzu River basin, the heaviest cadmium-polluted area in Japan. We
assessed the associations of cadmium exposure levels and mortality from cancer
and renal damage, indicated by records of proteinuria and glucosuria in the
original survey. Mortality risks (hazard ratios) were analyzed using the Cox
proportional hazards model, stratified by sex, after adjusting for age, smoking
status, and hypertension, as indicated in the original survey records. In men,
the adjusted hazard ratio for mortality from lung cancer was significantly lower
in individuals residing in an area of historically high cadmium exposure and in
subjects with a historical record of proteinuria, glucosuria, and
glucoproteinuria. The risk of mortality from prostate cancer was borderline
higher in cadmium-exposed men. In women, historical cadmium exposure was not
associated with an increased risk of mortality from malignant neoplasms, but the
adjusted hazard ratios for death from total malignant neoplasms or from renal and
uterine cancers were significantly higher in exposed subjects with a historical
record of proteinuria, glucosuria, and glucoproteinuria. These findings suggest
that women residing in cadmium-polluted areas who exhibit markers of renal damage
may be at risk of dying of cancer.
PMID- 29642375
TI - Molecular Characterization of Gastric Epithelial Cells Using Flow Cytometry.
AB - The ability to analyze individual epithelial cells in the gastric mucosa would
provide important insight into gastric disease, including chronic gastritis and
progression to gastric cancer. However, the successful isolation of viable
gastric epithelial cells (parietal cells, neck cells, chief cells, and foveolar
cells) from gastric glands has been limited due to difficulties in tissue
processing. Furthermore, analysis and interpretation of gastric epithelial cell
flow cytometry data has been difficult due to the varying sizes and light scatter
properties of the different epithelial cells, high levels of autofluorescence,
and poor cell viability. These studies were designed to develop a reliable method
for isolating viable single cells from the corpus of stomachs and to optimize
analyses examining epithelial cells from healthy and diseased stomach tissue by
flow cytometry. We performed a two stage enzymatic digestion in which collagenase
released individual gastric glands from the stromal tissue of the corpus,
followed by a Dispase II digestion that dispersed these glands into greater than
1 * 106 viable single cells per gastric corpus. Single cell suspensions were
comprised of all major cell lineages found in the normal gastric glands. A method
describing light scatter, size exclusion, doublet discrimination, viability
staining, and fluorescently-conjugated antibodies and lectins was used to analyze
individual epithelial cells and immune cells. This technique was capable of
identifying parietal cells and revealed that gastric epithelial cells in the
chronically inflamed mucosa significantly upregulated major histocompatibility
complexes (MHC) I and II but not CD80 or CD86, which are costimulatory molecules
involved in T cell activation. These studies describe a method for isolating
viable single cells and a detailed description of flow cytometric analysis of
cells from healthy and diseased stomachs. These studies begin to identify effects
of chronic inflammation on individual gastric epithelial cells, a critical
consideration for the study of gastric cancer.
PMID- 29642376
TI - Transgenic Xenopus laevis Line for In Vivo Labeling of Nephrons within the
Kidney.
AB - Xenopus laevis embryos are an established model for studying kidney development.
The nephron structure and genetic pathways that regulate nephrogenesis are
conserved between Xenopus and humans, allowing for the study of human disease
causing genes. Xenopus embryos are also amenable to large-scale screening, but
studies of kidney disease-related genes have been impeded because assessment of
kidney development has largely been limited to examining fixed embryos. To
overcome this problem, we have generated a transgenic line that labels the
kidney. We characterize this cdh17:eGFP line, showing green fluorescent protein
(GFP) expression in the pronephric and mesonephric kidneys and colocalization
with known kidney markers. We also demonstrate the feasibility of live imaging of
embryonic kidney development and the use of cdh17:eGFP as a kidney marker for
secretion assays. Additionally, we develop a new methodology to isolate and
identify kidney cells for primary culture. We also use morpholino knockdown of
essential kidney development genes to establish that GFP expression enables
observation of phenotypes, previously only described in fixed embryos. Taken
together, this transgenic line will enable primary kidney cell culture and live
imaging of pronephric and mesonephric kidney development. It will also provide a
simple means for high-throughput screening of putative human kidney disease
causing genes.
PMID- 29642377
TI - Benzodiazepines Withdrawal: Initial Outcomes and Long-Term Impact on Falls in a
French Nursing Home.
AB - Long-term use of benzodiazepines (BZDs) is known to induce tolerance and
dependence, and increase the risk of falls-related injuries in older adults. We
present a study carried out in a French nursing home that concerns the
implementation of a BZD withdrawal program reassessed at one year. BZD
deprescription was achieved by gradual cessation of doses. A secondary benefit of
this program was assessed by comparing the number of falls among residents before
and after withdrawal. The number of falls was recorded over a six-month period
prior to the onset of withdrawal (T1) and then over a six-month period after
reassessment at one year (T2). At the beginning, 31 (28.7%) of the patients were
under BZD. Total deprescription was obtained for 11 patients. The number of falls
per patient over the T1 period was not different between the two groups (future
non-withdrawn and withdrawn patients in BZD): 2.1 +/- 1.3 and 2.3 +/- 0.6 falls
per resident, respectively. Conversely, the number of falls per patient was
significantly decreased in the population completely withdrawn in BZD between the
T1 and T2 periods (2.3 +/- 0.6 vs. 0.5 +/- 0.2 falls, p = 0.01). The results show
that BZD deprescription, through a gradual reduction of doses, is possible to
achieve.
PMID- 29642379
TI - Surface Nanocrystallization and Amorphization of Dual-Phase TC11 Titanium Alloys
under Laser Induced Ultrahigh Strain-Rate Plastic Deformation.
AB - As an innovative surface technology for ultrahigh strain-rate plastic
deformation, laser shock peening (LSP) was applied to the dual-phase TC11
titanium alloy to fabricate an amorphous and nanocrystalline surface layer at
room temperature. X-ray diffraction, transmission electron microscopy, and high
resolution transmission electron microscopy (HRTEM) were used to investigate the
microstructural evolution, and the deformation mechanism was discussed. The
results showed that a surface nanostructured surface layer was synthesized after
LSP treatment with adequate laser parameters. Simultaneously, the behavior of
dislocations was also studied for different laser parameters. The rapid slipping,
accumulation, annihilation, and rearrangement of dislocations under the laser
induced shock waves contributed greatly to the surface nanocrystallization. In
addition, a 10 nm-thick amorphous structure layer was found through HRTEM in the
top surface and the formation mechanism was attributed to the local temperature
rising to the melting point, followed by its subsequent fast cooling.
PMID- 29642378
TI - Pasture Feeding Changes the Bovine Rumen and Milk Metabolome.
AB - The purpose of this study was to examine the effects of two pasture feeding
systems-perennial ryegrass (GRS) and perennial ryegrass and white clover (CLV)
and an indoor total mixed ration (TMR) system on the (a) rumen microbiome; (b)
rumen fluid and milk metabolome; and (c) to assess the potential to distinguish
milk from different feeding systems by their respective metabolomes. Rumen fluid
was collected from nine rumen cannulated cows under the different feeding systems
in early, mid and late lactation, and raw milk samples were collected from ten
non-cannulated cows in mid-lactation from each of the feeding systems. The
microbiota present in rumen liquid and solid portions were analysed using 16S
rRNA gene sequencing, while 1H-NMR untargeted metabolomic analysis was performed
on rumen fluid and raw milk samples. The rumen microbiota composition was not
found to be significantly altered by any feeding system in this study, likely as
a result of a shortened adaptation period (two weeks' exposure time). In
contrast, feeding system had a significant effect on both the rumen and milk
metabolome. Increased concentrations of volatile fatty acids including acetic
acid, an important source of energy for the cow, were detected in the rumen of
TMR and CLV-fed cows. Pasture feeding resulted in significantly higher
concentrations of isoacids in the rumen. The ruminal fluids of both CLV and GRS
fed cows were found to have increased concentrations of p-cresol, a product of
microbiome metabolism. CLV feeding resulted in increased rumen concentrations of
formate, a substrate compound for methanogenesis. The TMR feeding resulted in
significantly higher rumen choline content, which contributes to animal health
and milk production, and succinate, a product of carbohydrate metabolism. Milk
and rumen-fluids were shown to have varying levels of dimethyl sulfone in each
feeding system, which was found to be an important compound for distinguishing
between the diets. CLV feeding resulted in increased concentrations of milk urea.
Milk from pasture-based feeding systems was shown to have significantly higher
concentrations of hippuric acid, a potential biomarker of pasture-derived milk.
This study has demonstrated that 1H-NMR metabolomics coupled with multivariate
analysis is capable of distinguishing both rumen-fluid and milk derived from cows
on different feeding systems, specifically between indoor TMR and pasture-based
diets used in this study.
PMID- 29642380
TI - Low-Cost GRIN-Lens-Based Nephelometric Turbidity Sensing in the Range of 0.1-1000
NTU.
AB - Turbidity sensing is very common in the control of drinking water. Furthermore,
turbidity measurements are applied in the chemical (e.g., process monitoring),
pharmaceutical (e.g., drug discovery), and food industries (e.g., the filtration
of wine and beer). The most common measurement technique is nephelometric
turbidimetry. A nephelometer is a device for measuring the amount of scattered
light of suspended particles in a liquid by using a light source and a light
detector orientated in 90 degrees to each other. Commercially available
nephelometers cost usually-depending on the measurable range, reliability, and
precision-thousands of euros. In contrast, our new developed GRIN-lens-based
nephelometer, called GRINephy, combines low costs with excellent reproducibility
and precision, even at very low turbidity levels, which is achieved by its
ability to rotate the sample. Thereby, many cuvette positions can be measured,
which results in a more precise average value for the turbidity calculated by an
algorithm, which also eliminates errors caused by scratches and contaminations on
the cuvettes. With our compact and cheap Arduino-based sensor, we are able to
measure in the range of 0.1-1000 NTU and confirm the ISO 7027-1:2016 for low
turbidity values.
PMID- 29642381
TI - Decision Tree Approach to the Impact of Parents' Oral Health on Dental Caries
Experience in Children: A Cross-Sectional Study.
AB - Decision tree (DT) analysis was applied in this cross-sectional study to
investigate caries experience in children by using clinical and microbiological
data obtained from parent-child pairs. Thirty pairs of parents and children were
recruited from periodontal and pediatric dental clinics. All participants were
clinically examined for caries and periodontitis by a calibrated examiner.
Cariogenic and periodontopathic bacteria examinations were conducted. The Kendall
rank correlation coefficient was used to measure the association between data
variables obtained through clinical and microbiological examinations. A
classificatory inductive decision tree was generated using the C4.5 algorithm
with the top-down approach. The C4.5 DT analysis was applied to classify major
influential factors for children dental caries experience. The DT identified
parents' periodontal health classification, decayed, missing, filled permanent
teeth (DMFT) index, periodontopathic test (PerioCheck) result, and periodontal
pocket depth as the classification factors for children caries experience. 13.3%
of children were identified with a low decayed, missing, filled primary teeth
(dmft) index (dmft < 3) whose parents had a periodontal pocket depth <=3.7,
PerioCheck score >1, DMFT index <13.5, and periodontal classification >2. The DT
model for this study sample had an accuracy of 93.33%. Here, parental periodontal
status and parents' DMFT were the factors forming the DT for children's caries
experience.
PMID- 29642382
TI - A Low Glycaemic Index Diet in Pregnancy Induces DNA Methylation Variation in
Blood of Newborns: Results from the ROLO Randomised Controlled Trial.
AB - The epigenetic profile of the developing fetus is sensitive to environmental
influence. Maternal diet has been shown to influence DNA methylation patterns in
offspring, but research in humans is limited. We investigated the impact of a low
glycaemic index dietary intervention during pregnancy on offspring DNA
methylation patterns using a genome-wide methylation approach. Sixty neonates
were selected from the ROLO (Randomised cOntrol trial of LOw glycaemic index diet
to prevent macrosomia) study: 30 neonates from the low glycaemic index
intervention arm and 30 from the control, whose mothers received no specific
dietary advice. DNA methylation was investigated in 771,484 CpG sites in free DNA
from cord blood serum. Principal component analysis and linear regression were
carried out comparing the intervention and control groups. Gene clustering and
pathway analysis were also explored. Widespread variation was identified in the
newborns exposed to the dietary intervention, accounting for 11% of the total
level of DNA methylation variation within the dataset. No association was found
with maternal early-pregnancy body mass index (BMI), infant sex, or birthweight.
Pathway analysis identified common influences of the intervention on gene
clusters plausibly linked to pathways targeted by the intervention, including
cardiac and immune functioning. Analysis in 60 additional samples from the ROLO
study failed to replicate the original findings. Using a modest-sized discovery
sample, we identified preliminary evidence of differential methylation in progeny
of mothers exposed to a dietary intervention during pregnancy.
PMID- 29642383
TI - AlgM4: A New Salt-Activated Alginate Lyase of the PL7 Family with Endolytic
Activity.
AB - Alginate lyases are a group of enzymes that catalyze the depolymerization of
alginates into oligosaccharides or monosaccharides. These enzymes have been
widely used for a variety of purposes, such as producing bioactive
oligosaccharides, controlling the rheological properties of polysaccharides, and
performing structural analyses of polysaccharides. The algM4 gene of the marine
bacterium Vibrio weizhoudaoensis M0101 encodes an alginate lyase that belongs to
the polysaccharide lyase family 7 (PL7). In this study, the kinetic constants
Vmax (maximum reaction rate) and Km (Michaelis constant) of AlgM4 activity were
determined as 2.75 nmol/s and 2.72 mg/mL, respectively. The optimum temperature
for AlgM4 activity was 30 degrees C, and at 70 degrees C, AlgM4 activity
dropped to 11% of the maximum observed activity. The optimum pH for AlgM4
activity was 8.5, and AlgM4 was completely inactive at pH 11. The addition of 1
mol/L NaCl resulted in a more than sevenfold increase in the relative activity of
AlgM4. The secondary structure of AlgM4 was altered in the presence of NaCl,
which caused the alpha-helical content to decrease from 12.4 to 10.8% and the
beta-sheet content to decrease by 1.7%. In addition, NaCl enhanced the thermal
stability of AlgM4 and increased the midpoint of thermal denaturation (Tm) by 4.9
degrees C. AlgM4 exhibited an ability to degrade sodium alginate, poly
mannuronic acid (polyM), and poly-guluronic acid (polyG), resulting in the
production of oligosaccharides with a degree of polymerization (DP) of 2-9. AlgM4
possessed broader substrate, indicating that it is a bifunctional alginate lyase.
Thus, AlgM4 is a novel salt-activated and bifunctional alginate lyase of the PL7
family with endolytic activity.
PMID- 29642384
TI - Tracking the Continuous Evolutionary Processes of an Endogenous Retrovirus of the
Domestic Cat: ERV-DC.
AB - An endogenous retrovirus (ERV) is a remnant of an ancient retroviral infection in
the host genome. Although most ERVs have lost their viral productivity, a few
ERVs retain their replication capacity. In addition, partially inactivated ERVs
can present a potential risk to the host via their encoded virulence factors or
the generation of novel viruses by viral recombination. ERVs can also eventually
acquire a biological function, and this ability has been a driving force of host
evolution. Therefore, the presence of an ERV can be harmful or beneficial to the
host. Various reports about paleovirology have revealed each event in ERV
evolution, but the continuous processes of ERV evolution over millions of years
are mainly unknown. A unique ERV family, ERV-DC, is present in the domestic cat
(Felis silvestriscatus) genome. ERV-DC proviruses are phylogenetically classified
into three genotypes, and the specific characteristics of each genotype have been
clarified: their capacity to produce infectious viruses; their recombination with
other retroviruses, such as feline leukemia virus or RD-114; and their biological
functions as host antiviral factors. In this review, we describe ERV-DC-related
phenomena and discuss the continuous changes in the evolution of this ERV in the
domestic cat.
PMID- 29642385
TI - MicroRNAs as Potential Mediators for Cigarette Smoking Induced Atherosclerosis.
AB - Smoking increases the risk of atherosclerosis-related events, such as myocardial
infarction and ischemic stroke. Recent studies have examined the expression
levels of altered microRNAs (miRNAs) in various diseases. The profiles of tissue
miRNAs can be potentially used in diagnosis or prognosis. However, there are
limited studies on miRNAs following exposure to cigarette smoke (CS). The present
study was designed to dissect the effects and cellular/molecular mechanisms of CS
induced atherosclerogenesis. Apolipoprotein E knockout (ApoE KO) mice were
exposed to CS for five days a week for two months at low (two puffs/min for 40
min/day) or high dose (two puffs/min for 120 min/day). We measured the area of
atherosclerotic plaques in the aorta, representing the expression of miRNAs after
the exposure period. Two-month exposure to the high dose of CS significantly
increased the plaque area in aortic arch, and significantly upregulated the
expression of atherosclerotic markers (VCAM-1, ICAM-1, MCP1, p22phox, and
gp91phox). Exposure to the high dose of CS also significantly upregulated the
miRNA-155 level in the aortic tissues of ApoE KO mice. Moreover, the expression
level of miR-126 tended to be downregulated and that of miR-21 tended to be
upregulated in ApoE KO mice exposed to the high dose of CS, albeit statistically
insignificant. The results suggest that CS induces atherosclerosis through
increased vascular inflammation and NADPH oxidase expression and also emphasize
the importance of miRNAs in the pathogenesis of CS-induced atherosclerosis. Our
findings provide evidence for miRNAs as potential mediators of inflammation and
atherosclerosis induced by CS.
PMID- 29642386
TI - Hedgehog Signals Mediate Anti-Cancer Drug Resistance in Three-Dimensional Primary
Colorectal Cancer Organoid Culture.
AB - Colorectal cancer is one of the most common causes of cancer death worldwide. In
patients with metastatic colorectal cancer, combination treatment with several
anti-cancer drugs is employed and improves overall survival in some patients.
Nevertheless, most patients with metastatic disease are not cured owing to the
drug resistance. Cancer stem cells are known to regulate resistance to
chemotherapy. In the previous study, we established a novel three-dimensional
organoid culture model from tumor colorectal tissues of human patients using an
air-liquid interface (ALI) method, which contained numerous cancer stem cells and
showed resistance to 5-fluorouracil (5-FU) and Irinotecan. Here, we investigate
which inhibitor for stem cell-related signal improves the sensitivity for anti
cancer drug treatment in tumor ALI organoids. Treatment with Hedgehog signal
inhibitors (AY9944, GANT61) decreases the cell viability of organoids compared
with Notch (YO-01027, DAPT) and Wnt (WAV939, Wnt-C59) signal inhibitors.
Combination treatment of AY9944 or GANT61 with 5-FU, Irinotecan or Oxaliplatin
decreases the cell viability of tumor organoids compared with each anti-cancer
drug alone treatment. Treatment with AY9944 or GANT61 inhibits expression of stem
cell markers c-Myc, CD44 and Nanog, likely through the decrease of their
transcription factor, GLI-1 expression. Combination treatment of AY9944 or GANT61
with 5-FU or Irinotecan also prevents colony formation of colorectal cancer cell
lines HCT116 and SW480. These findings suggest that Hedgehog signals mediate anti
cancer drug resistance in colorectal tumor patient-derived ALI organoids and that
the inhibitors are useful as a combinational therapeutic strategy against
colorectal cancer.
PMID- 29642387
TI - A Beneficial Role of Rooibos in Diabetes Mellitus: A Systematic Review and Meta
Analysis.
AB - In a rapid increase in cases of diabetes mellitus worldwide, there has been
interested in the use of plant-derived polyphenols as nutraceuticals to prevent
the onset and progression of diabetes mellitus and its associated complications.
Aspalathus linearis, commonly known as rooibos, is a rich source of uncommon
glycosylated plant polyphenols with various critical health-promoting properties,
including the prevention and treatment of diabetes mellitus (DM). This study
aimed to examine these effects by meta-analyzing the current evidence in diabetic
rodent models. Peer-reviewed studies written in English from two databases,
PubMed and Embase, were searched up to 28 February 2018. Studies reporting blood
glucose levels in diabetic rodents with and without receiving rooibos extracts or
their major phenolic compounds are included. Twelve studies enrolling 88 diabetic
rodents treated with rooibos extracts or their polyphenols and 85 diabetic
control males reported blood glucose levels. The pooled effect size was -0.89
(95% CI: -1.44 to -0.35) with a substantial heterogeneity (I2 = 67.0%). This
effect was likely to be modified by type of rooibos extracts and their
polyphenols and treatment period. Blood glucose levels were significantly lower
in diabetic rodent models treated with the phenolic compound rich in rooibos
extracts, PPAG.
PMID- 29642389
TI - Soil Water Measurement Using Actively Heated Fiber Optics at Field Scale.
AB - Several studies have demonstrated the potential of actively heated fiber optics
(AHFO) to measure soil water content (SWC) at high spatial and temporal
resolutions. This study tested the feasibility of the AHFO technique to measure
soil water in the surface soil of a crop grown field over a growing season using
an in-situ calibration approach. Heat pulses of five minutes duration were
applied at a rate of 7.28 W m-1 along eighteen fiber optic cable transects
installed at three depths (0.05, 0.10 and 0.20 m) at six-hour intervals.
Cumulative temperature increase (Tcum) during heat pulses was calculated at
locations along the cable. While predicting commercial sensor measurements, the
AHFO showed root mean square errors (RMSE) of 2.8, 3.7 and 3.7% for 0.05, 0.10
and 0.20 m depths, respectively. Further, the coefficients of determination (R2)
for depth specific relationships were 0.87 (0.05 m depth), 0.46 (0.10 m depth),
0.86 (0.20 m depth) and 0.66 (all depths combined). This study showed a great
potential of the AHFO technique to measure soil water at high spatial resolutions
(<1 m) and to monitor soil water dynamics of surface soil in a crop grown field
over a cropping season with a reasonable compromise between accuracy and
practicality.
PMID- 29642388
TI - Oligodendroglioma Cells Lack Glutamine Synthetase and Are Auxotrophic for
Glutamine, but Do not Depend on Glutamine Anaplerosis for Growth.
AB - In cells derived from several types of cancer, a transcriptional program drives
high consumption of glutamine (Gln), which is used for anaplerosis, leading to a
metabolic addiction for the amino acid. Low or absent expression of Glutamine
Synthetase (GS), the only enzyme that catalyzes de novo Gln synthesis, has been
considered a marker of Gln-addicted cancers. In this study, two human cell lines
derived from brain tumors with oligodendroglioma features, HOG and Hs683, have
been shown to be GS-negative. Viability of both lines depends from extracellular
Gln with EC50 of 0.175 +/- 0.056 mM (Hs683) and 0.086 +/- 0.043 mM (HOG), thus
suggesting that small amounts of extracellular Gln are sufficient for OD cell
growth. Gln starvation does not significantly affect the cell content of
anaplerotic substrates, which, consistently, are not able to rescue cell growth,
but causes hindrance of the Wnt/beta-catenin pathway and protein synthesis
attenuation, which is mitigated by transient GS expression. Gln transport
inhibitors cause partial depletion of intracellular Gln and cell growth
inhibition, but do not lower cell viability. Therefore, GS-negative human
oligodendroglioma cells are Gln-auxotrophic but do not use the amino acid for
anaplerosis and, hence, are not Gln addicted, exhibiting only limited Gln
requirements for survival and growth.
PMID- 29642390
TI - Low Levels of Knowledge, Attitudes and Preventive Practices on Leptospirosis
among a Rural Community in Hulu Langat District, Selangor, Malaysia.
AB - Little is known on the knowledge, attitudes and preventive practices (KAP) of
leptospirosis worldwide. This study embarked on assessing the KAP of
leptospirosis among rural communities in Malaysia. A total of 444 participants
(223 male; 221 female) aged between 18 and 81 years old were surveyed by using a
self-administered questionnaire. A majority of participants had poor knowledge
level (57.0%), unacceptable attitudes (90.3%) and unacceptable preventive
practices (69.1%) on leptospirosis, and only 29.7% knew "rat-urine disease" as
leptospirosis. Only 34.2% of the participants knew the bacteria could enter via
wound lesions. Ethnicity and income were strongly associated with knowledge level
and preventive practices, respectively (p-values < 0.05). As for attitudes,
ethnicity, income and education type were significantly associated (p-values <
0.05). Only 36.5% of the participants were willing to see a doctor and did not
mind if their house or surrounding area is dirty (59.7%). Surprisingly, only
32.9% had used rubber boots during floods. By logistic regression analysis,
ethnicity was the only significant predictor for both knowledge level (an odds
ratio (AOR) = 0.39, 95% confidence interval (CI) = 0.222-0.680) and preventive
practices (AOR = 1.81, 95% CI = 1.204-2.734). Ethnicity (AOR = 0.40, 95% CI =
0.239-0.665), income (AOR = 1.58, 95% CI = 1.041-2.385) and education type (AOR =
3.69, 95% CI = 1.237-10.986) were strong predictors for attitudes. Among the KAP
variables, attitude (AOR = 4.357, 95% CI = 2.613-7.264) was the only predictor
for the preventive practices by logistic regression analysis. The KAP elements on
leptospirosis are still lacking and poor health seeking behavior and attitudes
are of our utmost concern. Thus, effective strategies should be planned to impart
knowledge, and develop proactive approaches and good preventive modules on
leptospirosis to this leptospirosis-prone community.
PMID- 29642391
TI - Smart City Pilot Projects Using LoRa and IEEE802.15.4 Technologies.
AB - Information and Communication Technologies (ICTs), through wireless
communications and the Internet of Things (IoT) paradigm, are the enabling keys
for transforming traditional cities into smart cities, since they provide the
core infrastructure behind public utilities and services. However, to be
effective, IoT-based services could require different technologies and network
topologies, even when addressing the same urban scenario. In this paper, we
highlight this aspect and present two smart city testbeds developed in Italy. The
first one concerns a smart infrastructure for public lighting and relies on a
heterogeneous network using the IEEE 802.15.4 short-range communication
technology, whereas the second one addresses smart-building applications and is
based on the LoRa low-rate, long-range communication technology. The smart
lighting scenario is discussed providing the technical details and the economic
benefits of a large-scale (around 3000 light poles) flexible and modular
implementation of a public lighting infrastructure, while the smart-building
testbed is investigated, through measurement campaigns and simulations, assessing
the coverage and the performance of the LoRa technology in a real urban scenario.
Results show that a proper parameter setting is needed to cover large urban areas
while maintaining the airtime sufficiently low to keep packet losses at
satisfactory levels.
PMID- 29642392
TI - Optimization Strategies for Responsivity Control of Microgel Assisted Lab-On
Fiber Optrodes.
AB - Integrating multi-responsive polymers such as microgels onto optical fiber tips,
in a controlled fashion, enables unprecedented functionalities to Lab-on-fiber
optrodes. The creation of a uniform microgel monolayer with a specific coverage
factor is crucial for enhancing the probes responsivity to a pre-defined target
parameter. Here we report a reliable fabrication strategy, based on the dip
coating technique, for the controlled realization of microgel monolayer onto
unconventional substrates, such as the optical fiber tip. The latter was
previously covered by a plasmonic nanostructure to make it sensitive to
superficial environment changes. Microgels have been prepared using specific
Poly(N-isopropylacrylamide)-based monomers that enable bulky size changes in
response to both temperature and pH variations. The formation of the microgel
monolayer is efficiently controlled through the selection of suitable operating
pH, temperature and concentration of particle dispersions used during the dipping
procedure. The effect of each parameter has been evaluated, and the validity of
our procedure is confirmed by means of both morphological and optical
characterizations. We demonstrate that when the coverage factor exceeds 90%, the
probe responsivity to microgels swelling/collapsing is significantly improved.
Our study opens new paradigms for the development of engineered microgels
assisted Lab-on-Fiber probes for biochemical applications.
PMID- 29642393
TI - Ancient DNA from Giant Panda (Ailuropoda melanoleuca) of South-Western China
Reveals Genetic Diversity Loss during the Holocene.
AB - The giant panda was widely distributed in China and south-eastern Asia during the
middle to late Pleistocene, prior to its habitat becoming rapidly reduced in the
Holocene. While conservation reserves have been established and population
numbers of the giant panda have recently increased, the interpretation of its
genetic diversity remains controversial. Previous analyses, surprisingly, have
indicated relatively high levels of genetic diversity raising issues concerning
the efficiency and usefulness of reintroducing individuals from captive
populations. However, due to a lack of DNA data from fossil specimens, it is
unknown whether genetic diversity was even higher prior to the most recent
population decline. We amplified complete cytb and 12s rRNA, partial 16s rRNA and
ND1, and control region sequences from the mitochondrial genomes of two Holocene
panda specimens. We estimated genetic diversity and population demography by
analyzing the ancient mitochondrial DNA sequences alongside those from modern
giant pandas, as well as from other members of the bear family (Ursidae).
Phylogenetic analyses show that one of the ancient haplotypes is sister to all
sampled modern pandas and the second ancient individual is nested among the
modern haplotypes, suggesting that genetic diversity may indeed have been higher
earlier during the Holocene. Bayesian skyline plot analysis supports this view
and indicates a slight decline in female effective population size starting
around 6000 years B.P., followed by a recovery around 2000 years ago. Therefore,
while the genetic diversity of the giant panda has been affected by recent
habitat contraction, it still harbors substantial genetic diversity. Moreover,
while its still low population numbers require continued conservation efforts,
there seem to be no immediate threats from the perspective of genetic
evolutionary potential.
PMID- 29642395
TI - Retrieval of Winter Wheat Leaf Area Index from Chinese GF-1 Satellite Data Using
the PROSAIL Model.
AB - Leaf area index (LAI) is one of the key biophysical parameters in crop structure.
The accurate quantitative estimation of crop LAI is essential to verify crop
growth and health. The PROSAIL radiative transfer model (RTM) is one of the most
established methods for estimating crop LAI. In this study, a look-up table (LUT)
based on the PROSAIL RTM was first used to estimate winter wheat LAI from GF-1
data, which accounted for some available prior knowledge relating to the
distribution of winter wheat characteristics. Next, the effects of 15 LAI-LUT
strategies with reflectance bands and 10 LAI-LUT strategies with vegetation
indexes on the accuracy of the winter wheat LAI retrieval with different
phenological stages were evaluated against in situ LAI measurements. The results
showed that the LUT strategies of LAI-GNDVI were optimal and had the highest
accuracy with a root mean squared error (RMSE) value of 0.34, and a coefficient
of determination (R2) of 0.61 during the elongation stages, and the LUT
strategies of LAI-Green were optimal with a RMSE of 0.74, and R2 of 0.20 during
the grain-filling stages. The results demonstrated that the PROSAIL RTM had great
potential in winter wheat LAI inversion with GF-1 satellite data and the
performance could be improved by selecting the appropriate LUT inversion
strategies in different growth periods.
PMID- 29642394
TI - Neuroprotective Effects of Platonin, a Therapeutic Immunomodulating Medicine, on
Traumatic Brain Injury in Mice after Controlled Cortical Impact.
AB - Traumatic brain injury (TBI) is one of the leading causes of mortality worldwide
and leads to persistent cognitive, sensory, motor dysfunction, and emotional
disorders. TBI-caused primary injury results in structural damage to brain
tissues. Following the primary injury, secondary injuries which are accompanied
by neuroinflammation, microglial activation, and additional cell death
subsequently occur. Platonin, a cyanine photosensitizing dye, has been used to
treat trauma, ulcers, and some types of acute inflammation. In the present study,
the neuroprotective effects of platonin against TBI were explored in a controlled
cortical impact (CCI) injury model in mice. Treatment with platonin (200 ug/kg)
significantly reduced the neurological severity score, general locomotor
activity, and anxiety-related behavior, and improved the rotarod performance of
CCI-injured mice. In addition, platonin reduced lesion volumes, the expression of
cleaved caspase-3, and microglial activation in TBI-insulted brains. Platonin
also suppressed messenger (m)RNA levels of caspase-3, caspase-1, cyclooxygenase
2, tumor necrosis factor-alpha, interleukin-6, and interleukin-1beta. On the
other hand, free radical production after TBI was obviously attenuated in
platonin-treated mice. Treatment with platonin exhibited prominent
neuroprotective properties against TBI in a CCI mouse model through its anti
inflammatory, anti-apoptotic, and anti-free radical capabilities. This evidence
collectively indicates that platonin may be a potential therapeutic medicine for
use with TBIs.
PMID- 29642396
TI - Collision Detection for Underwater ROV Manipulator Systems.
AB - Work-class ROVs equipped with robotic manipulators are extensively used for
subsea intervention operations. Manipulators are teleoperated by human pilots
relying on visual feedback from the worksite. Operating in a remote environment,
with limited pilot perception and poor visibility, manipulator collisions which
may cause significant damage are likely to happen. This paper presents a real
time collision detection algorithm for marine robotic manipulation. The proposed
collision detection mechanism is developed, integrated into a commercial ROV
manipulator control system, and successfully evaluated in simulations and
experimental setup using a real industry standard underwater manipulator. The
presented collision sensing solution has a potential to be a useful pilot
assisting tool that can reduce the task load, operational time, and costs of
subsea inspection, repair, and maintenance operations.
PMID- 29642397
TI - Energy-Efficient Data Collection Method for Sensor Networks by Integrating
Asymmetric Communication and Wake-Up Radio.
AB - In large-scale wireless sensor networks (WSNs), nodes close to sink nodes consume
energy more quickly than other nodes due to packet forwarding. A mobile sink is a
good solution to this issue, although it causes two new problems to nodes: (i)
overhead of updating routing information; and (ii) increased operating time due
to aperiodic query. To solve these problems, this paper proposes an energy
efficient data collection method, Sink-based Centralized transmission Scheduling
(SC-Sched), by integrating asymmetric communication and wake-up radio.
Specifically, each node is equipped with a low-power wake-up receiver. The sink
node determines transmission scheduling, and transmits a wake-up message using a
large transmission power, directly activating a pair of nodes simultaneously
which will communicate with a normal transmission power. This paper further
investigates how to deal with frame loss caused by fading and how to mitigate the
impact of the wake-up latency of communication modules. Simulation evaluations
confirm that using multiple channels effectively reduces data collection time and
SC-Sched works well with a mobile sink. Compared with the conventional duty
cycling method, SC-Sched greatly reduces total energy consumption and improves
the network lifetime by 7.47 times in a WSN with 4 data collection points and 300
sensor nodes.
PMID- 29642398
TI - Novel Nanoparticulate and Ionic Titanium Antigens for Hypersensitivity Testing.
AB - Titanium is used in a wide variety of materials ranging from medical devices to
materials used in everyday life. Adverse biological reactions that could occur in
patients, consumers, and workers should be monitored and prevented. There is a
lack of available agents to test and predict titanium-related hypersensitivity.
The aim of this study was to develop two bioavailable titanium substances in
ionic and nanoparticulate form to serve as antigens for hypersensitivity testing
in vitro. Peripheral blood mononuclear cells from 20 test subjects were
stimulated with the antigens and secretion of monocytic and lymphatic cytokines
and chemokines were measured by a multiplex bead assay. Lymphocyte stimulation
indices were also determined in a subset of test subjects by measuring CD69 and
HLA-DR expression by flow cytometry. Cytokine profiling revealed that both
antigens increased production of typical monocyte and macrophage secreted
cytokines after 24 h, with significant increases in IL-1beta, IL-7, IL-10, IL-12,
IL-2R, IL-6, GM-CSF, TNF-alpha, IL-1RA, MIP-1alpha, MIP-1beta, IFN-alpha, and IL
15. Lymphatic cytokines and chemokines were not significantly induced by
activation. After seven days of stimulation, ionic-Ti (2.5 MUg/mL) caused
proliferation (stimulation index > 2) of CD4+ cells and CD8+ cells in all persons
tested (N = 6), while titanium dioxide nanoparticles (50 MUg/mL) only caused
significant proliferation of CD4+ cells. Our preliminary results show that the
experimental titanium antigens, especially the ionic form, induce a general
inflammatory response in vitro. A relevant cohort of test subjects is required to
further elucidate their potential for predictive hypersensitivity testing.
PMID- 29642399
TI - Antibacterial Activity of Emulsified Pomelo (Citrus grandis Osbeck) Peel Oil and
Water-Soluble Chitosan on Staphylococcus aureus and Escherichia coli.
AB - This study utilized pomelo steam distillation to isolate pomelo peel essential
oil. The constituents were then analyzed through gas chromatography-mass
spectrometry (GC-MS), and the antibacterial activity of the essential oil
emulsions at different homogenizer speed conditions and concentrations of water
soluble chitosan (degree of acetylation, DA = 54.8%) against S. aureus and E.
coli was examined. Analysis of the essential oil composition identified a total
of 33 compounds with the main constituent, limonene accounting for 87.5% (940.07
mg/g) of the total. The pomelo peel oil was emulsified through homogenization at
24,000 rpm, resulting in a minimal inhibitory concentration (MIC) for E. coli
that was 1.9 times lower than that of the essential oil without homogenization.
In addition, a mixture of 0.4% essential oil emulsion and 0.03% water-soluble
chitosan had the strongest synergetic antibacterial effect on S. aureus and E.
coli at pH 7.4. In comparison with chitosan alone, the MIC value of this mixture
was significantly 2.4 and 2.5 times lower. Hence, this study suggests using a
mixture of emulsified pomelo peel oil and water-soluble chitosan to develop a
novel natural food preservative, and that the processability of food, as well as
the economic value of the byproducts of the Taiwan Matou pomelo and chitosan,
could be increased.
PMID- 29642400
TI - Iron and the Breastfed Infant.
AB - The first 6 months of life is a crucial time in meeting iron needs. The purpose
of this review is to examine iron in mother's milk and whether or not it meets
the physiological needs of the growing infant. Key issues include iron content
and iron transport from the mammary gland as well as when and what foods should
be added to the solely breastfed infant. We examine these topics in light of new
molecular biology findings in the mammary gland.
PMID- 29642401
TI - Oral Vaccination with a DNA Vaccine Encoding Capsid Protein of Duck Tembusu Virus
Induces Protection Immunity.
AB - The emergence of duck tembusu virus (DTMUV), a new member of the Flavivirus
genus, has caused great economical loss in the poultry industry in China. Since
the outbreak and spread of DTMUV is hard to control in a clinical setting, an
efficient and low-cost oral delivery DNA vaccine SL7207 (pVAX1-C) based on the
capsid protein of DTMUV was developed and evaluated in this study. The antigen
capsid protein was expressed from the DNA vaccine SL7207 (pVAX1-C), both in vitro
and in vivo. The humoral and cellular immune responses in vivo were observed
after oral immunization with the SL7207 (pVAX1-C) DNA vaccine. High titers of the
specific antibody against the capsid protein and the neutralizing antibody
against the DTMUV virus were both detected after inoculation. The ducks were
efficiently protected from lethal DTMUV exposure by the SL7207 (pVAX1-C) vaccine
in this experiment. Taken together, we demonstrated that the capsid protein of
DTMUV possesses a strong immunogenicity against the DTMUV infection. Moreover, an
oral delivery of the DNA vaccine SL7207 (pVAX1-C) utilizing Salmonella SL7207 was
an efficient way to protect the ducks against DTMUV infection and provides an
economic and fast vaccine delivery strategy for a large scale clinical use.
PMID- 29642402
TI - Therapeutic Use of Stem Cells for Myocardial Infarction.
AB - Myocardial infarction is a leading cause of morbidity and mortality worldwide.
Although medical and surgical treatments can significantly improve patient
outcomes, no treatment currently available is able to generate new contractile
tissue or reverse ischemic myocardium. Driven by the recent/novel understanding
that regenerative processes do exist in the myocardium-tissue previously thought
not to possess regenerative properties-the use of stem cells has emerged as a
promising therapeutic approach with high expectations. The literature describes
the use of cells from various sources, categorizing them as either embryonic,
induced pluripotent, or adult/tissue stem cells (mesenchymal, hematopoietic,
skeletal myoblasts, cardiac stem cells). Many publications show the successful
use of these cells to regenerate damaged myocardium in both animal and human
models; however, more studies are needed to directly compare cells of various
origins in efforts to draw conclusions on the ideal source. Although numerous
challenges exist in this developing area of research and clinical practice,
prospects are encouraging. The following aims to provide a concise review
outlining the different types of stem cells used in patients after myocardial
infarction.
PMID- 29642403
TI - Cebpd Is Essential for Gamma-Tocotrienol Mediated Protection against Radiation
Induced Hematopoietic and Intestinal Injury.
AB - Gamma-tocotrienol (GT3) confers protection against ionizing radiation (IR)
induced injury. However, the molecular targets that underlie the protective
functions of GT3 are not yet known. We have reported that mice lacking CCAAT
enhancer binding protein delta (Cebpd-/-) display increased mortality to IR due
to injury to the hematopoietic and intestinal tissues and that Cebpd protects
from IR-induced oxidative stress and cell death. The purpose of this study was to
investigate whether Cebpd mediates the radio protective functions of GT3. We
found that GT3-treated Cebpd-/- mice showed partial recovery of white blood cells
compared to GT3-treated Cebpd+/+ mice at 2 weeks post-IR. GT3-treated Cebpd-/-
mice showed an increased loss of intestinal crypt colonies, which correlated with
increased expression of inflammatory cytokines and chemokines, increased levels
of oxidized glutathione (GSSG), S-nitrosoglutathione (GSNO) and 3-nitrotyrosine
(3-NT) after exposure to IR compared to GT3-treated Cebpd+/+ mice. Cebpd is
induced by IR as well as a combination of IR and GT3 in the intestine. Studies
have shown that granulocyte-colony stimulating factor (G-CSF), mediates the
radioprotective functions of GT3. Interestingly, we found that IR alone as well
as the combination of IR and GT3 caused robust augmentation of plasma G-CSF in
both Cebpd+/+ and Cebpd-/- mice. These results identify a novel role for Cebpd in
GT3-mediated protection against IR-induced injury, in part via modulation of IR
induced inflammation and oxidative/nitrosative stress, which is independent of G
CSF.
PMID- 29642404
TI - Improved Optical and Morphological Properties of Vinyl-Substituted Hybrid Silica
Materials Incorporating a Zn-Metalloporphyrin.
AB - This work is focused on a novel class of hybrid materials exhibiting enhanced
optical properties and high surface areas that combine the morphology offered by
the vinyl substituted silica host, and the excellent absorption and emission
properties of 5,10,15,20-tetrakis(N-methyl-4-pyridyl)porphyrin-Zn(II)
tetrachloride as a water soluble guest molecule. In order to optimize the
synthesis procedure and the performance of the immobilized porphyrin, silica
precursor mixtures of different compositions were used. To achieve the
requirements regarding the hydrophobicity and the porous structure of the gels
for the successful incorporation of porphyrin, the content of
vinyltriacetoxysilane was systematically changed and thoroughly investigated.
Substitution of the silica gels with organic groups is a viable way to provide
new properties to the support. An exhaustive characterization of the synthesized
silica samples was realised by complementary physicochemical methods, such as
infrared spectroscopy (FT-IR), absorption spectroscopy (UV-Vis) and
photoluminescence, nuclear magnetic resonance spectroscopy (29Si-MAS-NMR)
transmission and scanning electron microscopy (TEM and SEM), nitrogen absorption
(BET), contact angle (CA), small angle X ray and neutron scattering (SAXS and
SANS). All hybrids showed an increase in emission intensity in the wide region
from 575 to 725 nm (Q bands) in comparison with bare porphyrin. By simply tuning
the vinyltriacetoxysilane content, the hydrophilic/hydrophobic profile of the
hybrid materials was changed, while maintaining a high surface area. Good control
of hydrophobicity is important to enhance properties such as dispersion,
stability behaviour, and resistance to water, in order to achieve highly
dispersible systems in water for biomedical applications.
PMID- 29642405
TI - Transcriptome Analysis of Acute Phase Liver Graft Injury in Liver
Transplantation.
AB - BACKGROUND: Liver transplantation remains the treatment of choice for a selected
group of hepatocellular carcinoma (HCC) patients. However, the long-term benefit
is greatly hampered by post-transplant HCC recurrence. Our previous studies have
identified liver graft injury as an acute phase event leading to post-transplant
tumor recurrence. METHODS: To re-examine this acute phase event at the molecular
level and in an unbiased way, RNA sequencing (RNA-Seq) was performed on liver
graft biopsies obtained from the transplant recipients two hours after portal
vein reperfusion with an aim to capture frequently altered pathways that account
for post-transplant tumor recurrence. Liver grafts from recurrent recipients (n =
6) were sequenced and compared with those from recipients without recurrence (n =
5). RESULTS: RNA expression profiles comparison pointed to several frequently
altered pathways, among which pathways related to cell adhesion molecules were
the most involved. Subsequent validation using quantitative polymerase chain
reaction confirmed the differential involvement of two cell adhesion molecules
HFE (hemochromatosis) and CD274 and their related molecules in the acute phase
event. CONCLUSION: This whole transcriptome strategy unravels the molecular
landscape of liver graft gene expression alterations, which can identify key
pathways and genes that are involved in acute phase liver graft injury that may
lead to post-transplant tumor recurrence.
PMID- 29642406
TI - Fucoidan Rescues p-Cresol-Induced Cellular Senescence in Mesenchymal Stem Cells
via FAK-Akt-TWIST Axis.
AB - Mesenchymal stem cells (MSCs) are a source for cell-based therapy. Although MSCs
have the potential for tissue regeneration, their therapeutic efficacy is
restricted by the uremic toxin, p-cresol, in chronic kidney disease (CKD). To
address this issue, we investigated the effect of fucoidan, a marine sulfated
polysaccharide, on cellular senescence in MSCs. After p-cresol exposure, MSC
senescence was induced, as indicated by an increase in cell size and a decrease
in proliferation capacity. Treatment of senescent MSCs with fucoidan
significantly reversed this cellular senescence via regulation of SMP30 and p21,
and increased proliferation through the regulation of cell cycle-associated
proteins (CDK2, CDK4, cyclin D1, and cyclin E). These effects were dependent on
FAK-Akt-TWIST signal transduction. In particular, fucoidan promoted the
expression of cellular prion protein (PrPC), which resulted in the maintenance of
cell expansion capacity in p-cresol-induced senescent MSCs. This protective
effect of fucoidan on senescence-mediated inhibition of proliferation was
dependent on the TWIST-PrPC axis. In summary, this study shows that fucoidan
protects against p-cresol-induced cellular senescence in MSCs through activation
of the FAK-Akt-TWIST pathway and suggests that fucoidan could be used in
conjunction with functional MSC-based therapies in the treatment of CKD.
PMID- 29642407
TI - Load-Bearing Capacity and Retention of Newly Developed Micro-Locking Implant
Prosthetic System: An In Vitro Pilot Study.
AB - The aim of this study was to introduce the newly developed micro-locking implant
prosthetic system and to evaluate the resulting its characteristics. To evaluate
load-bearing capacity, 25 implants were divided into five groups: external
hexagon connection (EH), internal-octagon connection (IO), internal-hexagon
connection (IH), one-body implant (OB), micro-locking implant system (ML). The
maximum compressive load was measured using a universal testing machine (UTM)
according to the ISO 14801. Retention was evaluated in two experiments: (1) a
tensile test of the structure modifications of the components (attachment and
implant) and (2) a tensile test after cyclic loading (total 5,000,000 cycles, 100
N, 2 Hz). The load-bearing capacity of the ML group was not significantly
different from the other groups (p > 0.05). The number of balls in the attachment
and the presence of a hexagonal receptacle did not show a significant correlation
with retention (p > 0.05), but the shape of the retentive groove in the implant
post had a statistically significant effect on retention (p < 0.05). On the other
hand, the retention loss was observed during the initial 1,000,000 cycles, but an
overall constant retention was maintained afterward. Various preclinical studies
on this novel micro-locking implant prosthetic system should continue so that it
can be applied in clinical practice.
PMID- 29642408
TI - Mucormycosis: Battle with the Deadly Enemy over a Five-Year Period in India.
AB - Mucormycosis is an emerging opportunistic fungal infection. Increasing
immunocompromization, widespread use of antibacterial and antifungal agents (such
as voriconazole prophylaxis), carcinomas, transplantation and lifestyle diseases
such as diabetes are the main contributors to this situation. The predominant
clinical manifestations of mucormycosis vary from host to host, with rhino
orbital-cerebral, pulmonary, cutaneous, and gastrointestinal infections being the
most common. In India, the prevalence of mucormycosis is approximately 0.14
cases/1000 population, which is about 70 times the worldwide-estimated rate for
mucormycosis. The present study was undertaken over a period of five years
(January 2009-December 2014) to determine the prevalence of mucormycosis. The
samples suspected of mucormycosis were examined by direct KOH wet mount and
cultured on Sabouraud's dextrose agar without actidione and on blood agar as per
standard mycological techniques. Histopathological correlation was done for most
of the cases. Antifungal susceptibility testing was performed by the EUCAST
reference method. We identified a total of 82 cases of mucormycosis out of a
total of 6365 samples received for mycological culture and examination during the
said time period. Out of these, 56 were male patients and 27 were females. Most
common presentation was rhino-orbito-cerebral (37), followed by cutaneous (25),
pulmonary (14), oral cavity involvement (4) and gastrointestinal (2). The most
common risk factors were diabetes and intramuscular injections. The fungi
isolated were Rhizopus arrhizus (17), Apophysomyces variabilis (12), R.
microsporus (9), Lichtheimia ramosa (8), Saksenaea erythrospora (5),
Syncephalastrum racemosus (4), R. homothallicus (2), Rhizomucor pusillus (1),
Mucor irregularis (1) and A. elegans (1). The mainstay of the treatment was
amphotericin B, along with extensive surgical debridement whenever feasible. Most
of the patients (50) recovered, but 25 died. The rest of the patients left
against medical advice. "Nip in the Bud" should be the mantra for
clinicians/surgeons for a favorable prognosis. Early diagnosis, prompt
institution of appropriate antifungal therapy, surgical debridement whenever
necessary, knowledge of risk factors and their timely reversal is the key for
management.
PMID- 29642410
TI - Latent Factors Limiting the Performance of sEMG-Interfaces.
AB - Recent advances in recording and real-time analysis of surface electromyographic
signals (sEMG) have fostered the use of sEMG human-machine interfaces for
controlling personal computers, prostheses of upper limbs, and exoskeletons among
others. Despite a relatively high mean performance, sEMG-interfaces still exhibit
strong variance in the fidelity of gesture recognition among different users.
Here, we systematically study the latent factors determining the performance of
sEMG-interfaces in synthetic tests and in an arcade game. We show that the degree
of muscle cooperation and the amount of the body fatty tissue are the decisive
factors in synthetic tests. Our data suggest that these factors can only be
adjusted by long-term training, which promotes fine-tuning of low-level neural
circuits driving the muscles. Short-term training has no effect on synthetic
tests, but significantly increases the game scoring. This implies that it works
at a higher decision-making level, not relevant for synthetic gestures. We
propose a procedure that enables quantification of the gestures' fidelity in a
dynamic gaming environment. For each individual subject, the approach allows
identifying "problematic" gestures that decrease gaming performance. This
information can be used for optimizing the training strategy and for adapting the
signal processing algorithms to individual users, which could be a way for a
qualitative leap in the development of future sEMG-interfaces.
PMID- 29642409
TI - Squamous Cell Carcinoma Antigen 2 (SCCA2, SERPINB4): An Emerging Biomarker for
Skin Inflammatory Diseases.
AB - Squamous cell carcinoma antigens 1 and 2 (SCCA1 and 2, SERPIN B3 and B4), members
of the ovalbumin serpin (ov-serpin)/clade B serpin family, were originally
discovered as tumor-specific antigens and are used as tumor markers for various
kinds of squamous cell carcinomas. Recently, our understanding of the underlying
mechanisms of how SCCA1/2 enhance tumor growth has greatly increased. Moreover,
it has been shown that SCCA1/2 are involved in the pathogenesis of several
inflammatory diseases: asthma, psoriasis, and atopic dermatitis (AD). IL-22 and
IL-17, signature cytokines of type 17 inflammation, as well as IL-4 and IL-13,
signature cytokines of type 2 inflammation, both of which are positively
correlated with the pathogenesis of psoriasis and allergic diseases,
respectively, can induce expression of SCCA1/2 in airway epithelial cells and/or
keratinocytes, leading to high expression of SCCA1/2 in these diseases. Based on
these findings, several trials have been performed to examine the potential of
applying SCCA1/2 to biomarkers for these diseases. The findings show that SCCA2
is useful to aid diagnosis, estimate clinical severity and disease type, and
assess responses to treatment in psoriasis and AD. These results suggest that
SCCA2 has emerged as a novel biomarker for skin inflammatory diseases.
PMID- 29642411
TI - Presence of Human Enteric Viruses, Protozoa, and Indicators of Pathogens in the
Bagmati River, Nepal.
AB - Quantification of waterborne pathogens in water sources is essential for alerting
the community about health hazards. This study determined the presence of human
enteric viruses and protozoa in the Bagmati River, Nepal, and detected fecal
indicator bacteria (total coliforms, Escherichia coli, and Enterococcus spp.),
human-fecal markers (human Bacteroidales and JC and BK polyomaviruses), and index
viruses (tobacco mosaic virus and pepper mild mottle virus). During a one-year
period between October 2015 and September 2016, a total of 18 surface water
samples were collected periodically from three sites along the river. Using
quantitative polymerase chain reaction, all eight types of human enteric viruses
tested-including adenoviruses, noroviruses, and enteroviruses, were detected
frequently at the midstream and downstream sites, with concentrations of 4.4-8.3
log copies/L. Enteroviruses and saliviruses were the most frequently detected
enteric viruses, which were present in 72% (13/18) of the tested samples. Giardia
spp. were detected by fluorescence microscopy in 78% (14/18) of the samples, with
a lower detection ratio at the upstream site. Cryptosporidium spp. were detected
only at the midstream and downstream sites, with a positive ratio of 39% (7/18).
The high concentrations of enteric viruses suggest that the midstream and
downstream regions are heavily contaminated with human feces and that there are
alarming possibilities of waterborne diseases. The concentrations of enteric
viruses were significantly higher in the dry season than the wet season (p <
0.05). There was a significant positive correlation between the concentrations of
human enteric viruses and the tested indicators for the presence of pathogens
(IPP) (p < 0.05), suggesting that these IPP can be used to estimate the presence
of enteric viruses in the Bagmati River water.
PMID- 29642412
TI - Signal Quality Improvement Algorithms for MEMS Gyroscope-Based Human Motion
Analysis Systems: A Systematic Review.
AB - Motion sensors such as MEMS gyroscopes and accelerometers are characterized by a
small size, light weight, high sensitivity, and low cost. They are used in an
increasing number of applications. However, they are easily influenced by
environmental effects such as temperature change, shock, and vibration. Thus,
signal processing is essential for minimizing errors and improving signal quality
and system stability. The aim of this work is to investigate and present a
systematic review of different signal error reduction algorithms that are used
for MEMS gyroscope-based motion analysis systems for human motion analysis or
have the potential to be used in this area. A systematic search was performed
with the search engines/databases of the ACM Digital Library, IEEE Xplore,
PubMed, and Scopus. Sixteen papers that focus on MEMS gyroscope-related signal
processing and were published in journals or conference proceedings in the past
10 years were found and fully reviewed. Seventeen algorithms were categorized
into four main groups: Kalman-filter-based algorithms, adaptive-based algorithms,
simple filter algorithms, and compensation-based algorithms. The algorithms were
analyzed and presented along with their characteristics such as advantages,
disadvantages, and time limitations. A user guide to the most suitable signal
processing algorithms within this area is presented.
PMID- 29642413
TI - Aqueous Phase Synthesis of CuIn Alloy Nanoparticles and Their Application for a
CIS (CuInSe2)-Based Printable Solar Battery.
AB - To apply CuInSe2 (CIS)-based printable solar batteries; an aqueous phase
synthesis method of Cu-In (CI) alloy nanoparticles is studied. Metal complexes in
the original solution are restricted to homogenized species by utilizing
calculations. For example; [(Cu2+)(ASP2-)2] [ASP: the "body (C4H5O4N)" of
aspartic acid (C4H7O4N)] is predominant in the pH 6-13 region (CASP/CCu > 6);
while In complexes can be restricted to [(In3+)(OH-)(EDTA4-)] (pH 10-12;
CEDTA/CIn = 2) and/or [(In3+)(ASP2-)2] (pH 7-9; CASP/CIn = 5). These results
indicate that the added amount of complex reagents should be determined by
calculations and not the stoichiometric ratio. The reduction potential of
homogenized metal complex is measured by cyclic voltammetry (CV) measurements and
evaluated by Nernst's equation using the overall stability constants. CuIn alloy
nanoparticles with a small amount of byproduct (In nanoparticles) are
successfully synthesized. The CI precursor films are spin-coated onto the
substrate using a 2-propanol dispersion. Then the films are converted into CIS
solar cells; which show a maximum conversion efficiency of 2.30%. The
relationship between the open circuit potential; short circuit current density;
and fill factor indicate that smoothing of the CIS films and improving the
crystallinity and thickness increase the solar cell conversion efficiency.
PMID- 29642414
TI - Proteomic Profiles of Adipose and Liver Tissues from an Animal Model of Metabolic
Syndrome Fed Purple Vegetables.
AB - Metabolic Syndrome (MetS) is a complex disorder that predisposes an individual to
Cardiovascular Diseases and type 2 Diabetes Mellitus. Proteomics and
bioinformatics have proven to be an effective tool to study complex diseases and
mechanisms of action of nutrients. We previously showed that substitution of the
majority of carbohydrate in a high fat diet by purple potatoes (PP) or purple
carrots (PC) improved insulin sensitivity and hypertension in an animal model of
MetS (obese Zucker rats) compared to a control sucrose-rich diet. In the current
study, we used TMT 10plex mass tag combined with LC-MS/MS technique to study
proteomic modulation in the liver (n = 3 samples/diet) and adipose tissue (n = 3
samples/diet) of high fat diet-fed rats with or without substituting sucrose for
purple vegetables, followed by functional enrichment analysis, in an attempt to
elucidate potential molecular mechanisms responsible for the phenotypic changes
seen with purple vegetable feeding. Protein folding, lipid metabolism and
cholesterol efflux were identified as the main modulated biological themes in
adipose tissue, whereas lipid metabolism, carbohydrate metabolism and oxidative
stress were the main modulated themes in liver. We propose that enhanced protein
folding, increased cholesterol efflux and higher free fatty acid (FFA) re
esterification are mechanisms by which PP and PC positively modulate MetS
pathologies in adipose tissue, whereas, decreased de novo lipogenesis, oxidative
stress and FFA uptake, are responsible for the beneficial effects in liver. In
conclusion, we provide molecular evidence for the reported metabolic health
benefits of purple carrots and potatoes and validate that these vegetables are
good choices to replace other simple carbohydrate sources for better metabolic
health.
PMID- 29642415
TI - Rothmund-Thomson Syndrome: Insights from New Patients on the Genetic Variability
Underpinning Clinical Presentation and Cancer Outcome.
AB - Biallelic mutations in RECQL4 gene, a caretaker of the genome, cause Rothmund
Thomson type-II syndrome (RTS-II) and confer increased cancer risk if they damage
the helicase domain. We describe five families exemplifying clinical and allelic
heterogeneity of RTS-II, and report the effect of pathogenic RECQL4 variants by
in silico predictions and transcripts analyses. Complete phenotype of patients
#39 and #42 whose affected siblings developed osteosarcoma correlates with their
c.[1048_1049del], c.[1878+32_1878+55del] and c.[1568G>C;1573delT],
c.[3021_3022del] variants which damage the helicase domain. Literature survey
highlights enrichment of these variants affecting the helicase domain in patients
with cancer outcome raising the issue of strict oncological surveillance.
Conversely, patients #29 and #19 have a mild phenotype and carry, respectively,
the unreported homozygous c.3265G>T and c.3054A>G variants, both sparing the
helicase domain. Finally, despite matching several criteria for RTS clinical
diagnosis, patient #38 is heterozygous for c.2412_2414del; no pathogenic CNVs out
of those evidenced by high-resolution CGH-array, emerged as contributors to her
phenotype.
PMID- 29642416
TI - Microenvironment Responsive Modulations in the Fatty Acid Content, Cell Surface
Hydrophobicity, and Adhesion of Candida albicans Cells.
AB - Considering the significance in survival and virulence, we have made an attempt
to understand modulations in the membrane and cell wall properties of Candida
albicans hyphae induced by temperature (37 degrees C) and neutral pH and yeast
form cells grown under low hydrostatic pressure (LHP). Our results suggest that
cell surface hydrophobicity (CSH) and adhesion are dynamic properties determined
largely by the microenvironment rather than morphological forms, citing the
significance of variation in niche specific virulence. GC-MS analysis showed that
49 and 41 fatty acids modulated under hyphal form induced by temperature alone
(37 degrees C) and neutral pH, respectively while that of 58 under yeast form
cells under low hydrostatic pressure (LHP) (1800 Pa). Fatty acid and ergosterol
data indicates that fluidity increases with increase in temperature (37 degrees
C) and neutral pH i.e., saturated fatty acids and ergosterol decreases.
Similarly, CSH and adhesion decrease in response to temperature (37 degrees C),
pH 7, and LHP compared to controls, irrespective of morphological forms. In
general, membranes were more rigid, and cell walls were more hydrophobic and
adhesive in yeast form compared to hyphal form cells, except in case of yeast
form cells grown under LHP. Yeast form cells grown under LHP are less hydrophobic
and adhesive.
PMID- 29642417
TI - Molecular Consortia-Various Structural and Synthetic Concepts for More Effective
Therapeutics Synthesis.
AB - The design and discovery of novel drug candidates are the initial and most
probably the crucial steps in the drug development process. One of the tasks of
medicinal chemistry is to produce new molecules that have a desired biological
effect. However, even today the search for new pharmaceuticals is a very
complicated process that is hard to rationalize. Literature provides many
scientific reports on future prospects of design of potentially useful drugs.
Many trends have been proposed for the design of new drugs containing different
structures (dimers, heterodimers, heteromers, adducts, associates, complexes,
biooligomers, dendrimers, dual-, bivalent-, multifunction drugs and codrugs,
identical or non-identical twin drugs, mixed or combo drugs, supramolecular
particles and various nanoindividuals. Recently much attention has been paid to
different strategies of molecular hybridization. In this paper, various molecular
combinations were described e.g., drug-drug or drug-non-drug combinations which
are expressed in a schematic multi-factor form called a molecular matrix,
consisting of four factors: association mode, connection method, and the number
of elements and linkers. One of the most popular trends is to create small-small
molecule combinations such as different hybrids, codrugs, drug-drug conjugates
(DDCs) and small-large molecule combinations such as antibody-drug conjugates
(ADCs), polymer-drug conjugates (PDCs) or different prodrugs and macromolecular
therapeutics. A review of the structural possibilities of active framework
combinations indicates that a wide range of potentially effective novel-type
compounds can be formed. What is particularly important is that new therapeutics
can be obtained in fast, efficient, and selective methods using current trends in
chemical synthesis and the design of drugs such as the "Lego" concept or rational
green approach.
PMID- 29642418
TI - Toxicological Investigations on the Sea Urchin Tripneustes gratilla
(Toxopneustidae, Echinoid) from Anaho Bay (Nuku Hiva, French Polynesia): Evidence
for the Presence of Pacific Ciguatoxins.
AB - The sea urchin Tripneustes gratilla (Toxopneustidae, Echinoids) is a source of
protein for many islanders in the Indo-West Pacific. It was previously reported
to occasionally cause ciguatera-like poisoning; however, the exact nature of the
causative agent was not confirmed. In April and July 2015, ciguatera poisonings
were reported following the consumption of T.gratilla in Anaho Bay (Nuku Hiva
Island, Marquesas archipelago, French Polynesia). Patient symptomatology was
recorded and sea urchin samples were collected from Anaho Bay in July 2015 and
November 2016. Toxicity analysis using the neuroblastoma cell-based assay (CBA
N2a) detected the presence of ciguatoxins (CTXs) in T.gratilla samples.
Gambierdiscus species were predominant in the benthic assemblages of Anaho Bay,
and G.polynesiensis was highly prevalent in in vitro cultures according to qPCR
results. Liquid chromatography-tandem mass spectrometry (LC-MS/MS) analyses
revealed that P-CTX-3B was the major ciguatoxin congener in toxic sea urchin
samples, followed by 51-OH-P-CTX-3C, P-CTX-3C, P-CTX-4A, and P-CTX-4B. Between
July 2015 and November 2016, the toxin content in T.gratilla decreased, but was
consistently above the safety limit allowed for human consumption. This study
provides evidence of CTX bioaccumulation in T.gratilla as a cause of ciguatera
like poisoning associated with a documented symptomatology.
PMID- 29642419
TI - Treatment of Multidrug-Resistant Leukemia Cells by Novel Artemisinin-, Egonol-,
and Thymoquinone-Derived Hybrid Compounds.
AB - Two major obstacles for successful cancer treatment are the toxicity of
cytostatics and the development of drug resistance in cancer cells during
chemotherapy. Acquired or intrinsic drug resistance is responsible for almost 90%
of treatment failure. For this reason, there is an urgent need for new anticancer
drugs with improved efficacy against cancer cells, and with less toxicity on
normal cells. There are impressive examples demonstrating the success of natural
plant compounds to fight cancer, such as Vinca alkaloids, taxanes, and
anthracyclines. Artesunic acid (ARTA), a drug for malaria treatment, also exerts
cytotoxic activity towards cancer cells. Multidrug resistance often results from
drug efflux pumps (ABC-transporters) that reduce intracellular drug levels.
Hence, it would be interesting to know, whether ARTA could overcome drug
resistance of tumor cells, and in what way ABC-transporters are involved.
Different derivatives showing improved features concerning cytotoxicity and
pharmacokinetic behavior have been developed. Considering both drug sensitivity
and resistance, we chose a sensitive and a doxorubicin-resistant leukemia cell
line and determined the killing effect of ARTA on these cells. Molecular docking
and doxorubicin efflux assays were performed to investigate the interaction of
the derivatives with P-glycoprotein. Using single-cell gel electrophoresis
(alkaline comet assay), we showed that the derivatives of ARTA induce DNA
breakage and accordingly programmed cell death, which represents a promising
strategy in cancer treatment. ARTA activated apoptosis in cancer cells by the
iron-mediated generation of reactive oxygen species (ROS). In conclusion, ARTA
derivatives may bear the potential to be further developed as anticancer drugs.
PMID- 29642421
TI - Nano-Graphene Oxide Functionalized Bioactive Poly(lactic acid) and Poly(epsilon
caprolactone) Nanofibrous Scaffolds.
AB - A versatile and convenient way to produce bioactive poly(lactic acid) (PLA) and
poly(epsilon-caprolactone) (PCL) electrospun nanofibrous scaffolds is described.
PLA and PCL are extensively used as biocompatible scaffold materials for tissue
engineering. Here, biobased nano graphene oxide dots (nGO) are incorporated in
PLA or PCL electrospun scaffolds during the electrospinning process aiming to
enhance the mechanical properties and endorse osteo-bioactivity. nGO was found to
tightly attach to the fibers through secondary interactions. It also improved the
electrospinnability and fiber quality. The prepared nanofibrous scaffolds
exhibited enhanced mechanical properties, increased hydrophilicity, good
cytocompatibility and osteo-bioactivity. Therefore, immense potential for bone
tissue engineering applications is anticipated.
PMID- 29642422
TI - Chemical Characterization of an Encapsulated Red Wine Powder and Its Effects on
Neuronal Cells.
AB - Red wine polyphenols are known for their implications for human health
protection, although they suffer from high instability. For this reason, a red
wine powder was prepared by freeze-drying encapsulation in maltodextrin/arabic
gum matrix, and its composition was determined by means of high-performance
liquid chromatography coupled quadrupole time-of-flight mass spectrometry (HPLC
MS-QTOF). More than thirty polyphenols, including anthocyanins, flavanols,
flavonols, phenolic acids and stilbenoids, were identified. Some of the main
quantified polyphenols were: malvidin-3-O-glucoside, malvidin 3-O-(6"-acetyl
glucose), petunidin-3-O-glucoside, quercetin-3-O-glucuronide, syringenin-3-O
glucoside, epicatechin, gallic acid and syringic acid. The biological activity of
this de-alcoholized and encapsulated red wine on human neuroblastoma SH-SY5Y
cells was studied. The results showed that the encapsulated red wine powder has
active redox properties, as verified by performing reactive oxygen species (ROS)
analysis utilizing a neuronal model. This could help explain its action against
the neurotoxicity induced by 6-hydroxydopamine (6-OHDA).
PMID- 29642420
TI - EBNA1: Oncogenic Activity, Immune Evasion and Biochemical Functions Provide
Targets for Novel Therapeutic Strategies against Epstein-Barr Virus- Associated
Cancers.
AB - The presence of the Epstein-Barr virus (EBV)-encoded nuclear antigen-1 (EBNA1)
protein in all EBV-carrying tumours constitutes a marker that distinguishes the
virus-associated cancer cells from normal cells and thereby offers opportunities
for targeted therapeutic intervention. EBNA1 is essential for viral genome
maintenance and also for controlling viral gene expression and without EBNA1, the
virus cannot persist. EBNA1 itself has been linked to cell transformation but the
underlying mechanism of its oncogenic activity has been unclear. However, recent
data are starting to shed light on its growth-promoting pathways, suggesting that
targeting EBNA1 can have a direct growth suppressing effect. In order to carry
out its tasks, EBNA1 interacts with cellular factors and these interactions are
potential therapeutic targets, where the aim would be to cripple the virus and
thereby rid the tumour cells of any oncogenic activity related to the virus.
Another strategy to target EBNA1 is to interfere with its expression. Controlling
the rate of EBNA1 synthesis is critical for the virus to maintain a sufficient
level to support viral functions, while at the same time, restricting expression
is equally important to prevent the immune system from detecting and destroying
EBNA1-positive cells. To achieve this balance EBNA1 has evolved a unique repeat
sequence of glycines and alanines that controls its own rate of mRNA translation.
As the underlying molecular mechanisms for how this repeat suppresses its own
rate of synthesis in cis are starting to be better understood, new therapeutic
strategies are emerging that aim to modulate the translation of the EBNA1 mRNA.
If translation is induced, it could increase the amount of EBNA1-derived
antigenic peptides that are presented to the major histocompatibility (MHC) class
I pathway and thus, make EBV-carrying cancers better targets for the immune
system. If translation is further suppressed, this would provide another means to
cripple the virus.
PMID- 29642423
TI - Colour Counts: Sunlight and Skin Type as Drivers of Vitamin D Deficiency at UK
Latitudes.
AB - Sunlight exposure, with resulting cutaneous synthesis, is a major source of
vitamin D for many, while dietary intake is low in modern diets. The constitutive
pigment in skin determines skin type, observed as white, brown, or black skin.
The melanin pigment absorbs ultraviolet radiation (UVR) and protects underlying
skin from damage caused by UVR. It also reduces the UVR available for vitamin D
synthesis in the skin. It has been shown that the white-skinned population of the
UK are able to meet their vitamin D needs with short, daily lunchtime exposures
to sunlight. We have followed the same methodology, based on a 10-year UK all
weather UVR climatology, observation (sun exposure, diet, vitamin D status), and
UVR intervention studies with Fitzpatrick skin type V (brown) adults, to
determine whether sunlight at UK latitudes could provide an adequate source of
vitamin D for this section of the population. Results show that to meet vitamin D
requirements, skin type V individuals in the UK need ~25 min daily sunlight at
lunchtime, from March to September. This makes several assumptions, including
that forearms and lower legs are exposed June-August; only exposing hands and
face at this time is inadequate. For practical and cultural reasons, enhanced
oral intake of vitamin D should be considered for this population.
PMID- 29642424
TI - On-Chip Cell Staining and Counting Platform for the Rapid Detection of Blood
Cells in Cerebrospinal Fluid.
AB - Counting blood cells in cerebrospinal fluid (CSF) is indispensable for diagnosing
several pathological conditions in the central nervous system, such as
meningitis, even though collecting CSF samples is invasive. Cell counting
methods, such as hemocytometer chambers and flow cytometers, have been used for
CSF cell counting, but they often lack the sensitivity to detect low blood cell
numbers. They also depend on off-chip, manual sample preparation or require
bulky, costly equipment, thereby limiting their clinical utility. Here, we
present a portable cell counting platform for simple, rapid CSF cell counting
that integrates a microfluidic cell counting chamber with a miniaturized
microscope. The microfluidic chamber is designed not only to be a reagent
container for on-chip cell staining but also to have a large control volume for
accurate cell counting. The proposed microscope miniaturizes both bright-field
and fluorescence microscopy with a simple optical setup and a custom cell
counting program, thereby allowing rapid and automated cell counting of nucleated
white blood cells and non-nucleated red blood cells in fluorescence and bright
field images. Using these unique features, we successfully demonstrate the
ability of our counting platform to measure low CSF cell counts without sample
preparation.
PMID- 29642426
TI - Impact of Rodenticides on the Coagulation Properties of Milk.
AB - In this study, we investigated the impact of the rodenticides (strychnine,
bromadiolone, and brodifacoum) on milk pH, rennet coagulation time (RCT), and
coagulum strength. Sub-lethal amounts of strychnine and bromadiolone produced an
unnaturally large change in milk pH, compared to brodifacoum and brodifacoum on
milk coagulation properties. All three studied rodenticides significantly
affected RCT and coagulum strength. The presence of sub-lethal amounts of each
individual rodenticide increased RCT by an overall mean of 17% (p < 0.001).
Rodenticide contamination decreased coagulum strength by an overall mean of 26%
(p < 0.05). Our results suggest that such changes could be noticeable at the
farm, thus, potentially averting the mixture of contaminated milk with the tanker
supply, and preventing downstream distribution to consumers.
PMID- 29642425
TI - Lutein and Zeaxanthin Are Positively Associated with Visual-Spatial Functioning
in Older Adults: An fMRI Study.
AB - Lutein (L) and zeaxanthin (Z) are two xanthophyll carotenoids that have
antioxidant and anti-inflammatory properties. Previous work has demonstrated
their importance for eye health and preventing diseases such as age-related
macular degeneration. An emerging literature base has also demonstrated the
importance of L and Z in cognition, neural structure, and neural efficiency. The
present study aimed to better understand the mechanisms by which L and Z relate
to cognition, in particular, visual-spatial processing and decision-making in
older adults. We hypothesized that markers of higher levels of L and Z would be
associated with better neural efficiency during a visual-spatial processing task.
L and Z were assessed via standard measurement of blood serum and retinal
concentrations. Visual-spatial processing and decision-making were assessed via a
judgment of line orientation task (JLO) completed during a functional magnetic
resonance imaging (fMRI) scan. The results demonstrated that individuals with
higher concentrations of L and Z showed a decreased blood-oxygen-level dependent
(BOLD) signal during task performance (i.e., "neural efficiency") in key areas
associated with visual-spatial perception, processing, decision-making, and motor
coordination, including the lateral occipital cortex, occipital pole, superior
and middle temporal gyri, superior parietal lobule, superior and middle frontal
gyri, and pre- and post-central gyri. To our knowledge, this is the first
investigation of the relationship of L and Z to visual-spatial processing at a
neural level using in vivo methodology. Our findings suggest that L and Z may
impact brain health and cognition in older adults by enhancing neurobiological
efficiency in a variety of regions that support visual perception and decision
making.
PMID- 29642427
TI - Laboratory Investigation Comparing Plaque Removal Efficacy of Two Novel-Design
Toothbrushes with Different Brushing Techniques.
AB - Manufacturers of manual toothbrushes have improved novel brush head designs aimed
at achieving good plaque removal even with inadequate brushing technique. This
study tested the plaque removal efficacy of two novel designs compared with a
flat trimmed toothbrush with different brushing techniques. Two novel-design
toothbrushes (Colgate(r) 360 degrees Surround and Oral-B(r) Pro-HealthTM
Clinical Pro-Flex) were tested. The control toothbrush was Butler(r) GUM 311.
Artificial plaque was applied on artificial teeth. Brushing with the modified
Bass and horizontal scrub technique was then performed independently. After
brushing, the remaining plaque index and Proximal Marginal Index (PMI) were
evaluated. With the same brushing technique, there was no statistically
significant difference in the mean of the whole mouth plaque scores or PMI among
the three different toothbrush designs with neither brushing techniques (p >
0.05). When a comparison was made between the mean PMI of the two brushing
techniques in each toothbrush design, Colgate(r) showed no statistically
significant difference with either brushing technique (p > 0.05), but Butler(r)
and Oral-B(r) showed statistically significantly less PMI with modified Bass
technique than with horizontal scrub technique (p < 0.05). No difference in the
whole mouth plaque removal efficacy was found among the three different
toothbrush designs with either brushing technique.
PMID- 29642428
TI - Consumption of 100% Pure Fruit Juice and Dietary Quality in French Adults:
Analysis of a Nationally Representative Survey in the Context of the WHO
Recommended Limitation of Free Sugars.
AB - Sugar-containing beverages are often seen as a negative influence on diet quality
and body weight control. The present study examines the consumption of 100% fruit
juice (FJ) based on a seven-day dietary survey in a representative sample of
French adults (n = 1607). About a half of the participants (44%) consumed FJ,
most often at breakfast time (60%). Average intake in FJ consumers was 115.6 +/-
4.0 mL/day (46.3 +/- 1.7 kcal/day). Prevalence of consumption increased with
education and income and decreased with age, but no association was observed with
body mass index (BMI), physical activity, or smoking. In consumers, FJ brought 2%
daily energy and contributed larger proportions of vitamins (B1 7%, B2 3%, B5 5%,
B6 6%, B9 10%, C 32%, E 9%, beta-carotene 5%), minerals (magnesium 4%, potassium
7%), and free sugars (19%). FJ consumers ingested more whole fruits, vegetables,
and many other foods than non-consumers did. Free sugars represented 11.2% of the
daily energy in FJ consumers versus 8.6% in non-consumers. This cross-sectional
survey reveals that FJ contributes to diet quality without association with
excess body weight. These observations should be confirmed in longitudinal
studies. They support the view that contribution to diet quality should be
specifically recognized in the context of the World Health Organization (WHO)
recommended decrease of free sugar intake.
PMID- 29642429
TI - Thermomechanical Studies of Yielding and Strain Localization Phenomena of Gum
Metal under Tension.
AB - This paper presents results of investigation of multifunctional beta-Ti alloy Gum
Metal subjected to tension at various strain rates. Digital image correlation was
used to determine strain distributions and stress-strain curves, while infrared
camera allowed for us to obtain the related temperature characteristics of the
specimen during deformation. The mechanical curves completed by the temperature
changes were applied to analyze the subsequent stages of the alloy loading.
Elastic limit, recoverable strain, and development of the strain localization
were studied. It was found that the maximal drop in temperature, which
corresponds to the yield limit of solid materials, was referred to a
significantly lower strain value in the case of Gum Metal in contrast to its
large recoverable strain. The temperature increase proves a dissipative character
of the process and is related to presence of omega and alpha" phases induced
during the alloy fabrication and their exothermic phase transformations activated
under loading. During plastic deformation, both the strain and temperature
distributions demonstrate that strain localization for higher strain rates starts
nucleating just after the yield limit leading to specimen necking and rupture.
Macroscopically, it is exhibited as softening of the stress-strain curve in
contrast to the strain hardening observed at lower strain rates.
PMID- 29642430
TI - Oxadiazole-Based Highly Efficient Bipolar Fluorescent Emitters for Organic Light
Emitting Diodes.
AB - In this study, a series of bipolar fluorescence emitters named 2DPAc-OXD, DPAc
OXD, 2PTZ-OXD and PTZ-OXD were designed and synthesized with excellent yields.
The characterization of materials was investigated by using nuclear magnetic
resonance (NMR) (1H, 13C), mass spectrometry and thermogravimetric analysis
(TGA). To investigate device efficiencies, two different OLED devices (Device 1,
Device 2) were fabricated with two different host materials (Bepp2, DPEPO). The
Device 2 with 2PTZ-OXD as fluorescent emitter exhibited excellent power and
current efficiencies of 6.88 Lm/W and 10.10 cd/A, respectively. The external
quantum efficiency of 2PTZ-OXD was around 3.99% for Device 2. The overall device
properties of phenothiazine donor were better than acridine derivatives.
PMID- 29642432
TI - Mobile User Connectivity in Relay-Assisted Visible Light Communications.
AB - In this paper, we investigate relay-assisted visible light communications (VLC)
where a mobile user acts as a relay and forwards data from a transmitter to the
end mobile user. We analyse the utilization of the amplify-and-forward (AF) and
decode-and-forward (DF) relaying schemes. The focus of the paper is on analysis
of the behavior of the mobile user acting as a relay while considering a
realistic locations of the receivers and transmitters on a standard mobile phone,
more specifically with two photodetectors on both sides of a mobile phone and a
transmitting LED array located upright. We also investigate dependency of the bit
error rate (BER) performance on the azimuth and elevation angles of the mobile
relay device within a typical office environment. We provide a new analytical
description of BER for AF and DF-based relays in VLC. In addition we compare AF
and DF-based systems and show that DF offers a marginal improvement in the
coverage area with a BER < 10-3 and a data rate of 100 Mb/s. Numerical results
also illustrate that relay-based systems offer a significant improvement in terms
of the coverage compared to direct non-line of sight VLC links.
PMID- 29642431
TI - The Recombinant Inhibitor of DNA Binding Id2 Forms Multimeric Structures via the
Helix-Loop-Helix Domain and the Nuclear Export Signal.
AB - The inhibitor of DNA binding and cell differentiation 2 (Id2) is a helix-loop
helix (HLH) protein that acts as negative dominant regulator of basic-HLH
transcription factors during development and in cancer. The structural properties
of Id2 have been investigated so far by using synthetic or recombinant fragments
reproducing single domains (N-terminus, HLH, C-terminus): the HLH domain tends to
dimerize into a four-helix bundle, whereas the flanking regions are flexible. In
this work, the intact protein was expressed in E. coli, solubilized from
inclusion bodies with urea, purified and dissolved in water at pH~4. Under these
conditions, Id2 was obtained with both cysteine residues disulfide-bonded to beta
mercaptoethanol that was present during the solubilization process. Moreover, it
existed in a self-assembled state, in which the N-terminus remained highly
flexible, while the HLH domain and, surprisingly, part of the C-terminus, which
corresponds to the nuclear export signal (NES), both were involved in slowly
tumbling, rigid structures. The protein oligomers also formed twisted fibrils
that were several micrometers long and up to 80 nm thick. These results show that
self-assembly decreases the backbone flexibility of those two protein regions
(HLH and NES) that are important for interaction with basic-HLH transcription
factors or for nucleocytoplasmic shuttling.
PMID- 29642433
TI - Spatially Correlated, Single Nanomaterial-Level Structural and Optical Profiling
of Cu-Doped ZnO Nanorods Synthesized via Multifunctional Silicides.
AB - We demonstrate a straightforward and effective method to synthesize vertically
oriented, Cu-doped ZnO nanorods (NRs) using a novel multipurpose platform of
copper silicide nanoblocks (Cu3Si NBs) preformed laterally in well-defined
directions on Si. The use of the surface-organized Cu3Si NBs for ZnO NR growth
successfully results in densely assembled Cu-doped ZnO NRs on each NB platform,
whose overall structures resemble thick bristles on a brush head. We show that
Cu3Si NBs can uniquely serve as a catalyst for ZnO NRs, a local dopant source of
Cu, and a prepatterned guide to aid the local assembly of the NRs on the growth
substrate. We also ascertain the crystalline structures, optical properties, and
spectroscopic signatures of the Cu-doped ZnO NRs produced on the NBs, both at
each module of NRs/NB and at their ensemble level. Subsequently, we determine
their augmented properties relative to the pristine form of undoped ZnO NRs and
the source material of Cu3Si NBs. We provide spatially correlated structural and
optical data for individual modules of Cu-doped ZnO NRs assembled on a Cu3Si NB
by resolving them along the different positions on the NB. Ensemble-averaged
versus individual behaviors of Cu-doped ZnO NRs on Cu3Si NBs are then compared.
We further discuss the potential impact of such ZnO-derived NRs on their
relatively unexplored biological and biomedical applications. Our efforts will be
particularly useful when exploiting each integrated module of self-aligned, Cu
doped ZnO NRs on a NB as a discretely addressable, active element in solid-state
sensors and miniaturized luminescent bioprobes.
PMID- 29642435
TI - Effects of N2 Partial Pressure on Growth, Structure, and Optical Properties of
GaN Nanorods Deposited by Liquid-Target Reactive Magnetron Sputter Epitaxy.
AB - GaN nanorods, essentially free from crystal defects and exhibiting very sharp
band-edge luminescence, have been grown by reactive direct-current magnetron
sputter epitaxy onto Si (111) substrates at a low working pressure of 5 mTorr.
Upon diluting the reactive N2 working gas with a small amount of Ar (0.5 mTorr),
we observed an increase in the nanorod aspect ratio from 8 to ~35, a decrease in
the average diameter from 74 to 35 nm, and a two-fold increase in nanorod
density. With further dilution (Ar = 2.5 mTorr), the aspect ratio decreased to
14, while the diameter increased to 60 nm and the nanorod density increased to a
maximum of 2.4 * 108 cm-2. Yet, lower N2 partial pressures eventually led to the
growth of continuous GaN films. The observed morphological dependence on N2
partial pressure is explained by a change from N-rich to Ga-rich growth
conditions, combined with reduced GaN-poisoning of the Ga-target as the N2 gas
pressure is reduced. Nanorods grown at 2.5 mTorr N2 partial pressure exhibited a
high intensity 4 K photoluminescence neutral donor bound exciton transitions
(D0XA) peak at ~3.479 eV with a full-width-at-half-maximum of 1.7 meV. High
resolution transmission electron microscopy corroborated the excellent
crystalline quality of the nanorods.
PMID- 29642434
TI - A Single Dose of Atorvastatin Applied Acutely after Spinal Cord Injury Suppresses
Inflammation, Apoptosis, and Promotes Axon Outgrowth, Which Might Be Essential
for Favorable Functional Outcome.
AB - The aim of our study was to limit the inflammatory response after a spinal cord
injury (SCI) using Atorvastatin (ATR), a potent inhibitor of cholesterol
biosynthesis. Adult Wistar rats were divided into five experimental groups: one
control group, two Th9 compression (40 g/15 min) groups, and two Th9 compression
+ ATR (5 mg/kg, i.p.) groups. The animals survived one day and six weeks. ATR
applied in a single dose immediately post-SCI strongly reduced IL-1beta release
at 4 and 24 h and considerably reduced the activation of resident cells at one
day post-injury. Acute ATR treatment effectively prevented the excessive
infiltration of destructive M1 macrophages cranially, at the lesion site, and
caudally (by 66%, 62%, and 52%, respectively) one day post-injury, whereas the
infiltration of beneficial M2 macrophages was less affected (by 27%, 41%, and
16%). In addition, at the same time point, ATR visibly decreased caspase-3
cleavage in neurons, astrocytes, and oligodendrocytes. Six weeks post-SCI, ATR
increased the expression of neurofilaments in the dorsolateral columns and Gap43
positive fibers in the lateral columns around the epicenter, and from day 30 to
42, significantly improved the motor activity of the hindlimbs. We suggest that
early modulation of the inflammatory response via effects on the M1/M2
macrophages and the inhibition of caspase-3 expression could be crucial for the
functional outcome.
PMID- 29642436
TI - Radon Levels in Indoor Environments of the University Hospital in Bari-Apulia
Region Southern Italy.
AB - Since 1988, the International Agency for Research on Cancer (IARC) has classified
radon among the compounds for which there is scientific evidence of
carcinogenicity for humans (group 1). The World Health Organization (WHO)
recommends a reference radon level between 100 and 300 Bq/m3 for homes. The
objective of this study is to measure the radon concentrations in 401 workplaces,
different from the patient rooms, in 28 different buildings of the university
hospital in Bari (Apulia region, Southern Italy) to evaluate the exposure of
health care workers. Radon environmental sampling is performed over two
consecutive six-month periods via the use of passive dosimeters of the CR-39
type. We find an average annual radon concentration expressed as median value of
48.0 Bq/m3 (range 6.5-388.0 Bq/m3) with a significant difference between the two
six-month periods (median value: February/July 41.0 Bq/m3 vs. August/January 55.0
Bq/m3). An average concentration of radon lower than the WHO reference level (100
Bq/m3) is detected in 76.1% of monitored environments, while higher than 300
Bq/m3 only in the 0.9%. Most workplaces report radon concentrations within the
WHO reference level, therefore, the risk to workers' health deriving from
occupational exposure to radon can be considered to be low. Nevertheless, the
goal is to achieve near-zero exposures to protect workers' health.
PMID- 29642439
TI - d-Amino Acids Are Exuded by Arabidopsis thaliana Roots to the Rhizosphere.
AB - Proteinogenic l-amino acids (l-AAs) are essential in all kingdoms as building
blocks of proteins. Their d-enantiomers are also known to fulfill important
functions in microbes, fungi, and animals, but information about these molecules
in plants is still sparse. Previously, it was shown that d-amino acids (d-AAs)
are taken up and utilized by plants, but their ways to reduce excessive amounts
of them still remained unclear. Analyses of plant d-AA content after d-Ala and d
Glu feeding opened the question if exudation of d-AAs into the rhizosphere takes
place and plays a role in the reduction of d-AA content in plants. The exudation
of d-Ala and d-Glu could be confirmed by amino acid analyses of growth media from
plants treated with these d-AAs. Further tests revealed that other d-AAs were
also secreted. Nevertheless, treatments with d-Ala and d-Glu showed that plants
are still able to reduce their contents within the plant without exudation.
Further exudation experiments with transport inhibitors revealed that d-AA root
exudation is rather passive and comparable to the secretion of l-AAs. Altogether,
these observations argued against a dominant role of exudation in the regulation
of plant d-AA content, but may influence the composition of the rhizosphere.
PMID- 29642437
TI - Photodynamic Effect of Methylene Blue and Low Level Laser Radiation in Head and
Neck Squamous Cell Carcinoma Cell Lines.
AB - Photodynamic therapy (PDT) is suggested to have an impact on the treatment of
early stage head and neck cancers (HNSCC). We investigated the effect of PDT with
methylene blue (MB) and a diode laser (660 nm) as the laser source on HNSCC cell
lines as an in vitro model of surface oral squamous cell carcinoma. Cell-cultures
were exposed to 160 uM MB for 4 min and to laser light for 8 min. Viability was
proven via cell viability assay and clonogenic survival via clone counting assay.
The combination of MB and diode laser evidenced high efficient loss of cell
viability by 5% of the control, while treatment with the same concentration of MB
for 4 min alone showed a viability of 46% of the control. In both SCC-25 and
Detroit 562 HNSCC cells, MB combined with the laser allowed a significant
abrogation of clonogenic growth (p < 0.01), especially in the case of Detroit 562
cells less than 1% of the suspension plated cells were able to grow tumor cell
nests. Multiresistant (Detroit 562) HNSCC cells expressing cancer stem cell
markers are sensitive to MB/red laser combined PDT.
PMID- 29642438
TI - Sesamol Inhibited Melanogenesis by Regulating Melanin-Related Signal Transduction
in B16F10 Cells.
AB - Melanin is synthesized through a series of interactions catalyzed by melanogenic
enzymes such as tyrosinase, dopachrome tautomerase (tyrosinase-related protein-2;
TRP-2), and tyrosinase-related protein-1 (TRP-1). Tyrosinase plays a key role in
catalysing the initial and limiting steps of melanogenesis. The melanin that
results from melanogenesis has the protective effect of absorbing ultraviolet
radiation. However, overproduction of melanin, in addition to altering the
appearance of skin, may lead to skin disorders such as melasma, solar lentigo,
and postinflammatory hyperpigmentation. Previous studies have revealed that
sesamol is a strong antioxidant and a free radical scavenger. In this study, we
investigated the effects of sesamol on the regulation of melanogenesis and
related mechanisms in B16F10 cells. The results indicated that sesamol inhibited
tyrosinase activity and melanogenesis induced by alpha-melanocyte-stimulating
hormone (alpha-MSH) in B16F10 melanoma cells. Sesamol decreased the protein level
of melanocortin 1 receptor (MC1R), microphthalmia-associated transcription factor
(MITF), tyrosinase, and TRP-1 by downregulating cyclic adenosine monophosphate
(cAMP)/protein kinase A (PKA) pathways that had been activated by alpha-MSH.
Sesamol increased glycogen synthase kinase 3 beta (GSK3beta), protein kinase B
(AKT), and extracellular signal-related kinase (ERK) phosphorylation, thus
inhibiting the transcription of MITF. Sesamol also inhibited melanin synthesis
and tyrosinase expression by modulating ERK, phosphoinositide 3-kinase
(PI3K)/AKT, p38, and c-Jun amino-terminal kinase (JNK) signalling pathways. These
results indicate that sesamol acted as a potent depigmenting agent.
PMID- 29642440
TI - Global and Complement Gene-Specific DNA Methylation in Grass Carp after Grass
Carp Reovirus (GCRV) Infection.
AB - Grass carp reovirus (GCRV) causes huge economic loss to the grass carp
cultivation industry but the mechanism remains largely unknown. In this study, we
investigated the global and complement gene-specific DNA methylation in grass
carp after GCRV infection aimed to uncover the mechanism underlying GCRV
infection. The global DNA methylation level was increased after GCRV infection.
Expression levels of enzymes involved in DNA methylation including DNA
methyltransferase (DNMT), ten-eleven translocation proteins (TETs), and glycine N
methyltransferase (GNMT) were significantly altered after GCRV infection. In
order to investigate the relationship between the gene expression level and DNA
methylation level, two representative complement genes, complement component 3
(C3) and kininogen-1 (KNG1), were selected for further analysis. mRNA expression
levels of the two genes were significantly increased at 5 and 7 days after GCRV
infection, whereas the DNA methylation level at the 5' flanking regions of the
two genes were down-regulated at the same time-points. Moreover, a negative
correlation was detected between gene expression levels and DNA methylation
levels of the two genes. Therefore, the current data revealed a global and
complement gene-specific DNA methylation profile after GCRV infection. Our study
would provide new insights into understanding the mechanism underlying GCRV
infection.
PMID- 29642441
TI - Transcriptome Profile in Unilateral Adrenalectomy-Induced Compensatory Adrenal
Growth in the Rat.
AB - Compensatory adrenal growth evoked by unilateral adrenalectomy
(hemiadrenalectomy) constitutes one of the most frequently studied in vivo models
of adrenocortical enlargement. This type of growth has been quite well
characterized for its morphological, biochemical, and morphometric parameters.
However, the molecular basis of compensatory adrenal growth is poorly understood.
Therefore, the aim of this study was to investigate the rat adrenal transcriptome
profile during the time of two previously described adrenocortical proliferation
waves at 24 and 72 h after unilateral adrenalectomy. Surgical removal of the left
adrenal or a sham operation was accomplished via the classic dorsal approach. As
expected, the weight of the remaining right adrenal glands collected at 24 and 72
h after hemiadrenalectomy increased significantly. The transcriptome profile was
identified by means of Affymetrix(r) Rat Gene 2.1 ST Array. The general profiles
of differentially expressed genes were visualized as volcano plots and heatmaps.
Detailed analyzes consisted of identifying significantly enriched gene
ontological groups relevant to adrenal physiology, by means of DAVID and GOplot
bioinformatics tools. The results of our studies showed that compensatory adrenal
growth induced by unilateral adrenalectomy exerts a limited influence on the
global transcriptome profile of the rat adrenal gland; nevertheless, it leads to
significant changes in the expression of key genes regulating the circadian
rhythm. Our results confirm also that regulation of compensatory adrenal growth
is under complex and multifactorial control with a pivotal role of neural
regulatory mechanisms and a supportive role of other components.
PMID- 29642442
TI - Baculovirus PTP2 Functions as a Pro-Apoptotic Protein.
AB - The family Baculoviridae encompasses a large number of invertebrate viruses,
mainly infecting caterpillars of the order Lepidoptera. The baculovirus
Spodoptera exigua multiple nucleopolyhedrovirus (SeMNPV) induces physiological
and behavioral changes in its host Spodoptera exigua, as well as immunological
responses, which may affect virus transmission. Here we show that the SeMNPV
encoded protein tyrosine phosphatase 2 (PTP2) induces mild apoptosis in
Spodoptera frugiperda (Sf) 21 cells upon transient expression. Transient
expression of a catalytic-site mutant of ptp2 did not lead to apoptosis,
indicating that the phosphatase activity of PTP2 is needed to induce apoptosis.
We also found that the caspase level (indicator of apoptosis) was higher in cells
transfected with the ptp2 gene than in cells transfected with the catalytic
mutant. Adding a caspase inhibitor reduced the level of ptp2-induced apoptosis.
Moreover, deletion of the ptp2 gene from the viral genome prevented the induction
of apoptosis in S. exigua hemocytes. The virus titer and virulence indices (the
viral infectivity and the time to death) were not affected by deletion of the
ptp2 gene. However, the viral occlusion body yield from S. exigua larvae infected
with the mutant virus lacking the ptp2 gene was much lower than the yield from
larvae infected with the wild-type (WT) virus. We hypothesize that the observed
pro-apoptotic effects of PTP2 are the result of PTP2-mediated immune suppression
in larvae, which consequently leads to higher viral occlusion body yields.
PMID- 29642443
TI - Association between Urinary Aflatoxin (AFM1) and Dietary Intake among Adults in
Hulu Langat District, Selangor, Malaysia.
AB - Aflatoxin is a food contaminant and its exposure through the diet is frequent and
ubiquitous. A long-term dietary aflatoxin exposure has been linked to the
development of liver cancer in populations with high prevalence of aflatoxin
contamination in foods. Therefore, this study was conducted to identify the
association between urinary aflatoxin M1 (AFM1), a biomarker of aflatoxin
exposure, with the dietary intake among adults in Hulu Langat district, Selangor,
Malaysia. Certain food products have higher potential for aflatoxin contamination
and these were listed in a Food Frequency Questionnaire, which was given to all
study participants. This allowed us to record consumption rates for each food
product listed. Concomitantly, urine samples were collected, from adults in
selected areas in Hulu Langat district, for the measurement of AFM1 levels using
an ELISA kit. Of the 444 urine samples collected and tested, 199 were positive
for AFM1, with 37 of them exceeding the limit of detection (LOD) of 0.64 ng/mL.
Cereal products showed the highest consumption level among all food groups, with
an average intake of 512.54 g per day. Chi-square analysis showed that
consumption of eggs (X2 = 4.77, p = 0.03) and dairy products (X2 = 19.36, p <
0.01) had significant associations with urinary AFM1 but both food groups were
having a phi and Cramer's V value that less than 0.3, which indicated that the
association between these food groups' consumption and AFM1 level in urine was
weak.
PMID- 29642444
TI - Nutritionally Optimized, Culturally Acceptable, Cost-Minimized Diets for Low
Income Ghanaian Families Using Linear Programming.
AB - The Ghanaian population suffers from a double burden of malnutrition. Cost of
food is considered a barrier to achieving a health-promoting diet. Food prices
were collected in major cities and in rural areas in southern Ghana. Linear
programming (LP) was used to calculate nutritionally optimized diets (food
baskets (FBs)) for a low-income Ghanaian family of four that fulfilled energy and
nutrient recommendations in both rural and urban settings. Calculations included
implementing cultural acceptability for families living in extreme and moderate
poverty (food budget under USD 1.9 and 3.1 per day respectively). Energy
appropriate FBs minimized for cost, following Food Balance Sheets (FBS), lacked
key micronutrients such as iodine, vitamin B12 and iron for the mothers.
Nutritionally adequate FBs were achieved in all settings when optimizing for a
diet cheaper than USD 3.1. However, when delimiting cost to USD 1.9 in rural
areas, wild foods had to be included in order to meet nutritional adequacy.
Optimization suggested to reduce roots, tubers and fruits and to increase
cereals, vegetables and oil-bearing crops compared with FBS. LP is a useful tool
to design culturally acceptable diets at minimum cost for low-income Ghanaian
families to help advise national authorities how to overcome the double burden of
malnutrition.
PMID- 29642445
TI - Effect of Gypsum on the Early Hydration of Cubic and Na-Doped Orthorhombic
Tricalcium Aluminate.
AB - The tricalcium aluminate (C3A) and sulfate content in cement influence the
hydration chemistry, setting time and rheology of cement paste, mortar and
concrete. Here, in situ experiments are performed to better understand the effect
of gypsum on the early hydration of cubic (cub-)C3A and Na-doped orthorhombic
(orth-)C3A. The isothermal calorimetry data show that the solid-phase assemblage
produced by the hydration of C3A is greatly modified as a function of its crystal
structure type and gypsum content, the latter of which induces non-linear changes
in the heat release rate. These data are consistent with the in situ X-ray
diffraction results, which show that a higher gypsum content accelerates the
consumption of orth-C3A and the subsequent precipitation of ettringite, which is
contrary to the cub-C3A system where gypsum retarded the hydration rate. These in
situ results provide new insight into the relationship between the chemistry and
early-age properties of cub- and orth-C3A hydration and corroborate the reported
ex situ findings of these systems.
PMID- 29642446
TI - Scalable Fabrication of High-Performance Transparent Conductors Using Graphene
Oxide-Stabilized Single-Walled Carbon Nanotube Inks.
AB - Recent development in liquid-phase processing of single-walled carbon nanotubes
(SWNTs) has revealed rod-coating as a promising approach for large-scale
production of SWNT-based transparent conductors. Of great importance in the ink
formulation is the stabilizer having excellent dispersion stability,
environmental friendly and tunable rheology in the liquid state, and also can be
readily removed to enhance electrical conductivity and mechanical stability.
Herein we demonstrate the promise of graphene oxide (GO) as a synergistic
stabilizer for SWNTs in water. SWNTs dispersed in GO is formulated into inks with
homogeneous nanotube distribution, good wetting and rheological properties, and
compatible with industrial rod coating practice. Microwave treatment of rod
coated films can reduce GOs and enhance electro-optical performance. The
resultant films offer a sheet resistance of ~80 Omega/sq at 86% transparency,
along with good mechanical flexibility. Doping the films with nitric acid can
further decrease the sheet resistance to ~25 Omega/sq. Comparing with the films
fabricated from typical surfactant-based SWNT inks, our films offer superior
adhesion as assessed by the Scotch tape test. This study provides new insight
into the selection of suitable stabilizers for functional SWNT inks with strong
potential for printed electronics.
PMID- 29642448
TI - Microstructure, Mechanical Properties, and Corrosion Resistance of
Thermomechanically Processed AlZn6Mg0.8Zr Alloy.
AB - The paper presents results of the investigations on the effect of low-temperature
thermomechanical treatment (LTTT) on the microstructure of AlZn6Mg0.8Zr alloy
(7000 series) and its mechanical properties as well as electrochemical and stress
corrosion resistance. For comparison of the LTTT effect, the alloy was subjected
to conventional precipitation hardening. Comparative studies were conducted in
the fields of metallographic examinations and static tensile tests. It was found
that mechanical properties after the LTTT were better in comparison to after
conventional heat treatment (CHT). The tested alloy after low-temperature
thermomechanical treatment with increasing plastic deformation shows decreased
electrochemical corrosion resistance during potentiodynamic tests. The alloy
after low-temperature thermomechanical treatment with deformation degree in the
range of 10 to 30% is characterized by a high resistance to stress corrosion
specified by the level of PSCC indices.
PMID- 29642447
TI - MitoQ Loaded Chitosan-Hyaluronan Composite Membranes for Wound Healing.
AB - Two self-associating biopolymers, namely chitosan (Ch) and a high-molar-mass
hyaluronan (HA), were used to prepare membranes with the aim to protect and to
enhance the healing of injured skin. A mitochondrially-targeted antioxidant-MitoQ
was incorporated into the mixture of biopolymers prior to their self-association.
These three-component membranes were evaluated in detail utilising surface
roughness measurements, contact angle measurements, hemocompatibility, and
thrombogenicity analyses. Furthermore, in vivo application of Ch/HA/MitoQ
membranes was assessed on injured rabbit and rat skin utilizing histological
methods. The results showed that the prepared thrombogenic Ch/HA/MitoQ membranes
had higher roughness, which allowed for greater surface area for tissue membrane
interaction during the healing processes, and lower cytotoxicity levels than
controls. MitoQ-loaded composite membranes displayed superior healing properties
in these animal models compared to control membranes.
PMID- 29642449
TI - Characterization of a New Staphylococcus aureus Kayvirus Harboring a Lysin Active
against Biofilms.
AB - Staphylococcus aureus is one of the most relevant opportunistic pathogens
involved in many biofilm-associated diseases, and is a major cause of nosocomial
infections, mainly due to the increasing prevalence of multidrug-resistant
strains. Consequently, alternative methods to eradicate the pathogen are urgent.
It has been previously shown that polyvalent staphylococcal kayviruses and their
derived endolysins are excellent candidates for therapy. Here we present the
characterization of a new bacteriophage: vB_SauM-LM12 (LM12). LM12 has a broad
host range (>90%; 56 strains tested), and is active against several MRSA strains.
The genome of LM12 is composed of a dsDNA molecule with 143,625 bp, with average
GC content of 30.25% and codes for 227 Coding Sequences (CDSs). Bioinformatics
analysis did not identify any gene encoding virulence factors, toxins, or
antibiotic resistance determinants. Antibiofilm assays have shown that this phage
significantly reduced the number of viable cells (less than one order of
magnitude). Moreover, the encoded endolysin also showed activity against
biofilms, with a consistent biomass reduction during prolonged periods of
treatment (of about one order of magnitude). Interestingly, the endolysin was
shown to be much more active against stationary-phase cells and suspended biofilm
cells than against intact and scraped biofilms, suggesting that cellular
aggregates protected by the biofilm matrix reduced protein activity. Both phage
LM12 and its endolysin seem to have a strong antimicrobial effect and broad host
range against S. aureus, suggesting their potential to treat S. aureus biofilm
infections.
PMID- 29642450
TI - Mechanistic Insights into the Stimulant Properties of Novel Psychoactive
Substances (NPS) and Their Discrimination by the Dopamine Transporter-In Silico
and In Vitro Exploration of Dissociative Diarylethylamines.
AB - Novel psychoactive substances (NPS) may have unsuspected addiction potential
through possessing stimulant properties. Stimulants normally act at the dopamine
transporter (DAT) and thus increase dopamine (DA) availability in the brain,
including nucleus accumbens, within the reward and addiction pathway. This paper
aims to assess DAT responses to dissociative diarylethylamine NPS by means of in
vitro and in silico approaches. We compared diphenidine (DPH) and 2
methoxydiphenidine (methoxphenidine, 2-MXP/MXP) for their binding to rat DAT,
using autoradiography assessment of [125I]RTI-121 displacement in rat striatal
sections. The drugs' effects on electrically-evoked DA efflux were measured by
means of fast cyclic voltammetry in rat accumbens slices. Computational modeling,
molecular dynamics and alchemical free energy simulations were used to analyse
the atomistic changes within DAT in response to each of the five dissociatives:
DPH, 2-MXP, 3-MXP, 4-MXP and 2-Cl-DPH, and to calculate their relative binding
free energy. DPH increased DA efflux as a result of its binding to DAT, whereas
MXP had no significant effect on either DAT binding or evoked DA efflux. Our
computational findings corroborate the above and explain the conformational
responses and atomistic processes within DAT during its interactions with the
dissociative NPS. We suggest DPH can have addictive liability, unlike MXP,
despite the chemical similarities of these two NPS.
PMID- 29642452
TI - A Novel Approach for Evaluating the Contraction of Hypo-Peritectic Steels during
Initial Solidification by Surface Roughness.
AB - The contraction of peritectic steels in the initial solidification has an
important influence on the formation of surface defects of continuously cast
slabs. In order to understand the contraction behavior of the initial
solidification of steels in the mold, the solidification process and surface
roughness in a commercial hypo-peritectic and several non-peritectic steels were
investigated using Confocal Scanning Laser Microscope (CSLM). The massive
transformation of delta-Fe (delta) to austenite (gamma) was documented in the
hypo-peritectic steel, which caused surface wrinkles and greatly increases the
surface roughness of samples in the experiments. Surface roughness (Ra(delta
>gamma)) was calculated to evaluate the contraction level of the hypo-peritectic
steel due to delta-gamma transformation. The result shows that the surface
roughness method can facilitate the estimation of the contraction level of
peritectic transformation over a wide range of cooling rates.
PMID- 29642451
TI - Should We Prescribe More Protein to Critically Ill Patients?
AB - In the context of critical illness, evidence suggests that exogenous
protein/amino acid supplementation has the potential to favorably impact whole
body protein balance. Whether this translates into retention of muscle, greater
muscle strength, and improved survival and physical recovery of critically ill
patients remains uncertain. The purpose of this brief commentary is to provide an
overview of the clinical evidence for and against increasing protein doses and to
introduce two new trials that will add considerably to our evolving understanding
of protein requirements in the critically ill adult patient.
PMID- 29642454
TI - Application of Deep Learning Architectures for Accurate and Rapid Detection of
Internal Mechanical Damage of Blueberry Using Hyperspectral Transmittance Data.
AB - Deep learning has become a widely used powerful tool in many research fields,
although not much so yet in agriculture technologies. In this work, two deep
convolutional neural networks (CNN), viz. Residual Network (ResNet) and its
improved version named ResNeXt, are used to detect internal mechanical damage of
blueberries using hyperspectral transmittance data. The original structure and
size of hypercubes are adapted for the deep CNN training. To ensure that the
models are applicable to hypercube, we adjust the number of filters in the
convolutional layers. Moreover, a total of 5 traditional machine learning
algorithms, viz. Sequential Minimal Optimization (SMO), Linear Regression (LR),
Random Forest (RF), Bagging and Multilayer Perceptron (MLP), are performed as the
comparison experiments. In terms of model assessment, k-fold cross validation is
used to indicate that the model performance does not vary with the different
combination of dataset. In real-world application, selling damaged berries will
lead to greater interest loss than discarding the sound ones. Thus, precision,
recall, and F1-score are also used as the evaluation indicators alongside
accuracy to quantify the false positive rate. The first three indicators are
seldom used by investigators in the agricultural engineering domain. Furthermore,
ROC curves and Precision-Recall curves are plotted to visualize the performance
of classifiers. The fine-tuned ResNet/ResNeXt achieve average accuracy and F1
score of 0.8844/0.8784 and 0.8952/0.8905, respectively. Classifiers SMO/
LR/RF/Bagging/MLP obtain average accuracy and F1-score of
0.8082/0.7606/0.7314/0.7113/0.7827 and 0.8268/0.7796/0.7529/0.7339/0.7971,
respectively. Two deep learning models achieve better classification performance
than the traditional machine learning methods. Classification for each testing
sample only takes 5.2 ms and 6.5 ms respectively for ResNet and ResNeXt,
indicating that the deep learning framework has great potential for online fruit
sorting. The results of this study demonstrate the potential of deep CNN
application on analyzing the internal mechanical damage of fruit.
PMID- 29642453
TI - Glycosylation of Hemagglutinin and Neuraminidase of Influenza A Virus as
Signature for Ecological Spillover and Adaptation among Influenza Reservoirs.
AB - Glycosylation of the hemagglutinin (HA) and neuraminidase (NA) of the influenza
provides crucial means for immune evasion and viral fitness in a host population.
However, the time-dependent dynamics of each glycosylation sites have not been
addressed. We monitored the potential N-linked glycosylation (NLG) sites of over
10,000 HA and NA of H1N1 subtype isolated from human, avian, and swine species
over the past century. The results show a shift in glycosylation sites as a
hallmark of 1918 and 2009 pandemics, and also for the 1976 "abortive pandemic".
Co-segregation of particular glycosylation sites was identified as a
characteristic of zoonotic transmission from animal reservoirs, and
interestingly, of "reverse zoonosis" of human viruses into swine populations as
well. After the 2009 pandemic, recent isolates accrued glycosylation at canonical
sites in HA, reflecting gradual seasonal adaptation, and a novel glycosylation in
NA as an independent signature for adaptation among humans. Structural
predictions indicated a remarkably pleiotropic influence of glycans on multiple
HA epitopes for immune evasion, without sacrificing the receptor binding of HA or
the activity of NA. The results provided the rationale for establishing the
ecological niche of influenza viruses among the reservoir and could be
implemented for influenza surveillance and improving pandemic preparedness.
PMID- 29642455
TI - Efficient Visible Light Communication Transmitters Based on Switching-Mode dc-dc
Converters.
AB - Visible light communication (VLC) based on solid-state lighting (SSL) is a
promising option either to supplement or to substitute existing radio frequency
(RF) wireless communication in indoor environments. VLC systems take advantage of
the fast modulation of the visible light that light emitting diodes (LEDs)
enable. The switching-mode dc-to-dc converter (SMCdc-dc) must be the cornerstone
of the LED driver of VLC transmitters in order to incorporate the communication
functionality into LED lighting, keeping high power efficiency. However, the new
requirements related to the communication, especially the high bandwidth that the
LED driver must achieve, converts the design of the SMCdc-dc into a very
challenging task. In this work, three different methods for achieving such a high
bandwidth with an SMCdc-dc are presented: increasing the order of the SMCdc-dc
output filter, increasing the number of voltage inputs, and increasing the number
of phases. These three strategies are combinable and the optimum design depends
on the particular VLC application, which determines the requirements of the VLC
transmitter. As an example, an experimental VLC transmitter based on a two-phase
buck converter with a fourth-order output filter will demonstrate that a
bandwidth of several hundred kilohertz (kHz) can be achieved with output power
levels close to 10 W and power efficiencies between 85% and 90%. In conclusion,
the design strategy presented allows us to incorporate VLC into SSL, achieving
high bit rates without damaging the power efficiency of LED lighting.
PMID- 29642456
TI - Crosslinked Polymer Ionic Liquid/Ionic Liquid Blends Prepared by
Photopolymerization as Solid-State Electrolytes in Supercapacitors.
AB - A photopolymerization method is used to prepare a mixture of polymer ionic liquid
(PIL) and ionic liquid (IL). This mixture is used as a solid-state electrolyte in
carbon nanoparticle (CNP)-based symmetric supercapacitors. The solid electrolyte
is a binary mixture of a PIL and its corresponding IL. The PIL matrix is a cross
linked polyelectrolyte with an imidazole salt cation coupled with two anions of
Br- in PIL-M-(Br) and TFSI- in PIL-M-(TFSI), respectively. The corresponding
ionic liquids have imidazolium salt cation coupled with two anions of Br- and
TFSI-, respectively. This study investigates the electrochemical characteristics
of PILs and their corresponding IL mixtures used as a solid electrolyte in
supercapacitors. Results show that a specific capacitance, maximum power density
and energy density of 87 and 58 F.g-1, 40 and 48 kW.kg-1, and 107 and 59.9 Wh.kg
1 were achieved in supercapacitors based on (PIL-M-(Br)) and (PIL-M-(TFSI)) solid
electrolytes, respectively.
PMID- 29642457
TI - Dandelion Root Extract Induces Intracellular Ca2+ Increases in HEK293 Cells.
AB - Dandelion (Taraxacum officinale Weber ex F.H.Wigg.) has been used for centuries
as an ethnomedical remedy. Nonetheless, the extensive use of different kinds of
dandelion extracts and preparations is based on empirical findings. Some of the
tissue-specific effects reported for diverse dandelion extracts may result from
their action on intracellular signaling cascades. Therefore, the aim of this
study was to evaluate the effects of an ethanolic dandelion root extract (DRE) on
Ca2+ signaling in human embryonic kidney (HEK) 293 cells. The cytotoxicity of
increasing doses of crude DRE was determined by the Calcein viability assay. Fura
2 and the fluorescence resonance energy transfer (FRET)-based probe ERD1 were
used to measure cytoplasmic and intraluminal endoplasmic reticulum (ER) Ca2+
levels, respectively. Furthermore, a green fluorescent protein (GFP)-based probe
was used to monitor phospholipase C (PLC) activation (pleckstrin homology [PH]
PLCdelta-GFP). DRE (10-400 ug/mL) exposure, in the presence of external Ca2+,
dose-dependently increased intracellular Ca2+ levels. The DRE-induced Ca2+
increase was significantly reduced in the absence of extracellular Ca2+. In
addition, DRE caused a significant Ca2+ release from the ER of intact cells and a
concomitant translocation of PH-PLCdelta-GFP. In conclusion, DRE directly
activates both the release of Ca2+ from internal stores and a significant Ca2+
influx at the plasma membrane. The resulting high Ca2+ levels within the cell
seem to directly stimulate PLC activity.
PMID- 29642459
TI - Bearing Fault Diagnosis by a Robust Higher-Order Super-Twisting Sliding Mode
Observer.
AB - An effective bearing fault detection and diagnosis (FDD) model is important for
ensuring the normal and safe operation of machines. This paper presents a
reliable model-reference observer technique for FDD based on modeling of a
bearing's vibration data by analyzing the dynamic properties of the bearing and a
higher-order super-twisting sliding mode observation (HOSTSMO) technique for
making diagnostic decisions using these data models. The HOSTSMO technique can
adaptively improve the performance of estimating nonlinear failures in rolling
element bearings (REBs) over a linear approach by modeling 5 degrees of freedom
under normal and faulty conditions. The effectiveness of the proposed technique
is evaluated using a vibration dataset provided by Case Western Reserve
University, which consists of vibration acceleration signals recorded for REBs
with inner, outer, ball, and no faults, i.e., normal. Experimental results
indicate that the proposed technique outperforms the ARX-Laguerre proportional
integral observation (ALPIO) technique, yielding 18.82%, 16.825%, and 17.44%
performance improvements for three levels of crack severity of 0.007, 0.014, and
0.021 inches, respectively.
PMID- 29642458
TI - Luminescent Lanthanide MOFs: A Unique Platform for Chemical Sensing.
AB - In recent years, lanthanide metal-organic frameworks (LnMOFs) have developed to
be an interesting subclass of MOFs. The combination of the characteristic
luminescent properties of Ln ions with the intriguing topological structures of
MOFs opens up promising possibilities for the design of LnMOF-based chemical
sensors. In this review, we present the most recent developments of LnMOFs as
chemical sensors by briefly introducing the general luminescence features of
LnMOFs, followed by a comprehensive investigation of the applications of LnMOF
sensors for cations, anions, small molecules, nitroaromatic explosives, gases,
vapors, pH, and temperature, as well as biomolecules.
PMID- 29642460
TI - Turning the Co-Production Corner: Methodological Reflections from an Action
Research Project to Promote LGBT Inclusion in Care Homes for Older People.
AB - : Background: Older lesbian, gay, bisexual and trans (LGBT) residents are often
invisible in long-term care settings. This article presents findings from a
community-based action research project, which attempted to address this
invisibility through co-produced research with LGBT community members. Particular
Question: What conditions enable co-produced research to emerge in long-term
residential care settings for older people? Aims of Project: To analyse outcomes
and challenges of action-oriented, co-produced research in the given context. In
particular, we explore how co-production as a collaborative approach to action
orientated research can emerge during the research/fieldwork process; and reflect
critically on the ethics and effectiveness of this approach in advancing
inclusion in context. METHODS: The project was implemented across six residential
care homes in England. Reflections are based on qualitative evaluation data
gathered pre- and post-project, which includes 37 interviews with care home
staff, managers and community advisors (two of whom are co-authors). Results and
Conclusions: We discuss how the co-production turn emerged during research and
evaluate how the politics of this approach helped advance inclusion-itself
crucial to well-being. We argue for the value of co-produced research in
instigating organizational change in older people's care environments and of non
didactic storytelling in LGBT awareness-raising amongst staff.
PMID- 29642461
TI - German Public Support for Tobacco Control Policy Measures: Results from the
German Study on Tobacco Use (DEBRA), a Representative National Survey.
AB - Smoking prevalence in Germany remains high at approximately 28%. We assessed
public support for tobacco legislation and associations between level of support
and smoking and socio-demographic characteristics. Data from 2087 people were
collected as part of the German Study on Tobacco Use ("DEBRA"): a nationally
representative, face-to-face household survey. Public support was measured on
total ban of sale, raising the minimum age for sales, taxation of tobacco
industry sales, research into e-cigarettes, and ban of smoking in cars when
children are present. Associations were assessed with multivariate logistic
regression. Over 50% of the German population support taxing industry profits
(57.3%) and assessing e-cigarettes as an aid to quit smoking (55.5%). Over 40%
support raising the legal age of sale (43.1%), and 22.9% support a total ban on
tobacco sales. A smoking ban in cars when children are present was most popular
(71.5%), even among current smokers (67.0%). There is public support for stricter
tobacco control measures in Germany. A smoking ban in cars when children are
present could be a feasible policy to implement.
PMID- 29642463
TI - Preparation of Ruthenium Dithiolene Complex/Polysiloxane Films and Their
Responses to CO Gas.
AB - To develop advanced materials using metal complexes, it is better to prepare
metal complexes contained in composite or hybrid films. To achieve this purpose,
we synthesized ruthenium complexes with dihalogen-substituted benzendithiolate
ligands, [(eta6-C6Me6)Ru(S2C6H2X2)] (X = F, 3,6-Cl, Br, 4,5-Cl), 1b-1e. We also
investigated preparation of 1c or 1e containing polysiloxane composite films and
their reactivity to CO gas. All ruthenium complexes 1b-1e reacted with CO gas,
and carbonyl ligand adducts 2b-2e were generated. Ruthenium complexes 1b-1e show
two strong absorption peaks around 550 and 420 nm. After exposure to CO gas,
these absorption peaks were immediately decreased without a peak shift. A similar
trend was observed in 1c or 1e containing polysiloxane composite films. These
results indicate that 1c and 1e were easily converted into 2c and 2e, both in the
solution and the polysiloxane film during CO gas exposure.
PMID- 29642462
TI - New Challenges in Targeting Signaling Pathways in Acute Lymphoblastic Leukemia by
NGS Approaches: An Update.
AB - The identification and study of genetic alterations involved in various signaling
pathways associated with the pathogenesis of acute lymphoblastic leukemia (ALL)
and the application of recent next-generation sequencing (NGS) in the
identification of these lesions not only broaden our understanding of the
involvement of various genetic alterations in the pathogenesis of the disease but
also identify new therapeutic targets for future clinical trials. The present
review describes the main deletions, amplifications, sequence mutations,
epigenetic lesions, and new structural DNA rearrangements detected by NGS in B
ALL and T-ALL and their clinical importance for therapeutic procedures. We
reviewed the molecular basis of pathways including transcriptional regulation,
lymphoid differentiation and development, TP53 and the cell cycle, RAS signaling,
JAK/STAT, NOTCH, PI3K/AKT/mTOR, Wnt/beta-catenin signaling, chromatin structure
modifiers, and epigenetic regulators. The implementation of NGS strategies has
enabled important mutated genes in each pathway, their associations with the
genetic subtypes of ALL, and their outcomes, which will be described further. We
also discuss classic and new cryptic DNA rearrangements in ALL identified by mRNA
seq strategies. Novel cooperative abnormalities in ALL could be key prognostic
and/or predictive biomarkers for selecting the best frontline treatment and for
developing therapies after the first relapse or refractory disease.
PMID- 29642464
TI - Optimization of the Ultrasonic-Assisted Extraction of Phenolic Compounds from
Oryza Sativa L. 'Violet Nori' and Determination of the Antioxidant Properties of
its Caryopses and Leaves.
AB - 'Violet Nori' is a new spontaneous growing violet rice variety showing a peculiar
violet color in its fresh leaves as well. In this paper, the antioxidant
properties and the content of total phenols, radical scavengers, and anthocyanins
in 'Violet Nori' caryopses, flour, and leaves are explored and compared.
Ultrasonic-Assisted Extraction (UAE) is employed for the extraction of phenolic
compounds, improving the extraction conditions by Design of Experiments (DoE).
The obtained results show that the Radical Scavenging Activities (RSAs), the
Total Phenolic Contents (TPCs), and the anthocyanin amounts (1000-1500 MUg/g,
expressed as cyanidin-3-glucoside) of 'Violet Nori' caryopses are higher than
those in the other analyzed colored rice samples (300-900 MUg/g as cyanidin-3
glucoside), with the exception of the cultivars 'Artemide' and 'Nerone', which
show comparable values of RSAs and TPCs. The study of 'Violet Nori' leaves at
different plant maturation stages shows that their anthocyanin content is 2-3
times higher than in the caryopses and in the flour, reaching the highest levels
at about 60 days from seeding. Thus, the estimated extraction yield of 4 kg
anthocyanins/t makes fresh leaves very interesting for the extraction of
anthocyanins on an industrial scale, whereas violet caryopses are a very
interesting dietetic source of valuable anthocyanins and other antioxidant
compounds.
PMID- 29642466
TI - Non-Mutually Exclusive Deep Neural Network Classifier for Combined Modes of
Bearing Fault Diagnosis.
AB - The simultaneous occurrence of various types of defects in bearings makes their
diagnosis more challenging owing to the resultant complexity of the constituent
parts of the acoustic emission (AE) signals. To address this issue, a new
approach is proposed in this paper for the detection of multiple combined faults
in bearings. The proposed methodology uses a deep neural network (DNN)
architecture to effectively diagnose the combined defects. The DNN structure is
based on the stacked denoising autoencoder non-mutually exclusive classifier
(NMEC) method for combined modes. The NMEC-DNN is trained using data for a single
fault and it classifies both single faults and multiple combined faults. The
results of experiments conducted on AE data collected through an experimental
test-bed demonstrate that the DNN achieves good classification performance with a
maximum accuracy of 95%. The proposed method is compared with a multi-class
classifier based on support vector machines (SVMs). The NMEC-DNN yields better
diagnostic performance in comparison to the multi-class classifier based on SVM.
The NMEC-DNN reduces the number of necessary data collections and improves the
bearing fault diagnosis performance.
PMID- 29642467
TI - Proton Partial Breast Irradiation: Detailed Description of Acute Clinico
Radiologic Effects.
AB - Introduction: Accelerated partial breast irradiation (APBI) with protons results
in a very different acute effect profile than standard whole breast irradiation.
We reviewed our initial experience with proton APBI and felt that a detailed
description of these effects were needed to permit a common tool to compare
experience with this developing technology. Methods: Sixty sequential patients
treated with proton APBI on a prospective protocol were evaluated and 43 patients
with a minimum six-month follow-up underwent detailed photographic and radiologic
analysis. The tumorectomy cavity plus an additional 1.5 cm clinical target volume
(CTV) was treated with two or three passively-scattered proton beams to a dose of
34 Gy in 10 fractions in one week. Photographs were taken at the end of
radiation, at two weeks, six weeks, and every six months thereafter. Mammography
was obtained at six months after radiation and annually thereafter. All visual
changes were categorized using the smallest meaningful gradations in findings and
are demonstrated herein. All treatment-related mammographic findings are
reported. Findings: Visual and mammographic findings showed a clear time
dependent relationship and significant variation between individuals. Peak skin
reaction occurred at two to six weeks after completion of therapy. At two weeks
most patients had either no visible effects and patchy erythema involving <50% of
the treated skin (60%). At six weeks most patients had either patchy erythema
involving <50% of the overlying skin (33%) or patchy erythema involving >50% of
the treated skin (28%). Only one patient developed any moist desquamation. At six
months most patients had no visible skin changes (57%) or a small, circular area
of mild hyperpigmentation (33%). Mammographic changes seen at six months were
regional skin thickening (40%), residual seroma (14%), localized retraction
(26%), and fat necrosis (2%). A subcategorized variant on the CTCAE 4.0 was
developed to foster granular recording of these findings.
PMID- 29642468
TI - Study and Development of a Fluorescence Based Sensor System for Monitoring Oxygen
in Wine Production: The WOW Project.
AB - The importance of oxygen in the winemaking process is widely known, as it affects
the chemical aspects and therefore the organoleptic characteristics of the final
product. Hence, it is evident the usefulness of a continuous and real-time
measurements of the levels of oxygen in the various stages of the winemaking
process, both for monitoring and for control. The WOW project (Deployment of WSAN
technology for monitoring Oxygen in Wine products) has focused on the design and
the development of an innovative device for monitoring the oxygen levels in wine.
This system is based on the use of an optical fiber to measure the luminescent
lifetime variation of a reference metal/porphyrin complex, which decays in
presence of oxygen. The developed technology results in a high sensitivity and
low cost sensor head that can be employed for measuring the dissolved oxygen
levels at several points inside a wine fermentation or aging tank. This system
can be complemented with dynamic modeling techniques to provide predictive
behavior of the nutrient evolution in space and time given few sampled measuring
points, for both process monitoring and control purposes. The experimental
validation of the technology has been first performed in a controlled laboratory
setup to attain calibration and study sensitivity with respect to different photo
luminescent compounds and alcoholic or non-alcoholic solutions, and then in an
actual case study during a measurement campaign at a renown Italian winery.
PMID- 29642465
TI - Translation and Translational Control in Dinoflagellates.
AB - Dinoflagellates are unicellular protists that feature a multitude of unusual
nuclear features, including large genomes, packaging of DNA without histones, and
multiple gene copies organized as tandem gene arrays. Furthermore, all
dinoflagellate mRNAs experience trans-splicing with a common 22-nucleotide splice
leader (SL) sequence. These features challenge some of the concepts and
assumptions about the regulation of gene expression derived from work on model
eukaryotes such as yeasts and mammals. Translational control in the
dinoflagellates, based on extensive study of circadian bioluminescence and by
more recent microarray and transcriptome analyses, is now understood to be a
crucial element in regulating gene expression. A picture of the translation
machinery of dinoflagellates is emerging from the recent availability of
transcriptomes of multiple dinoflagellate species and the first complete genome
sequences. The components comprising the translational control toolkit of
dinoflagellates are beginning to take shape and are outlined here.
PMID- 29642469
TI - Flotillins Regulate Focal Adhesions by Interacting with alpha-Actinin and by
Influencing the Activation of Focal Adhesion Kinase.
AB - Cell-matrix adhesion and cell migration are physiologically important processes
that also play a major role in cancer spreading. In cultured cells, matrix
adhesion depends on integrin-containing contacts such as focal adhesions.
Flotillin-1 and flotillin-2 are frequently overexpressed in cancers and are
associated with poor survival. Our previous studies have revealed a role for
flotillin-2 in cell-matrix adhesion and in the regulation of the actin
cytoskeleton. We here show that flotillins are important for cell migration in a
wound healing assay and influence the morphology and dynamics of focal adhesions.
Furthermore, anchorage-independent growth in soft agar is enhanced by flotillins.
In the absence of flotillins, especially flotillin-2, phosphorylation of focal
adhesion kinase and extracellularly regulated kinase is diminished. Flotillins
interact with alpha-actinin, a major regulator of focal adhesion dynamics. These
findings are important for understanding the molecular mechanisms of how
flotillin overexpression in cancers may affect cell migration and, especially,
enhance metastasis formation.
PMID- 29642470
TI - The Complete Chloroplast Genome of Heimia myrtifolia and Comparative Analysis
within Myrtales.
AB - Heimia myrtifolia is an important medicinal plant with several pharmacologically
active alkaloids and is also used as an ornamental landscape plant. The purpose
of this study is to complete and characterize the chloroplast (cp) genome of H.
myrtifolia and compare genomic features to other Myrtales species' cp genomes.
The analysis showed that H. myrtifolia has a total length of 159,219 bp with a
typical quadripartite structure containing two identical inverted repeats (IRs)
of 25,643 bp isolated by one large single copy (LSC) of 88,571 bp and one small
single copy (SSC) of 18,822 bp. The H. myrtifolia cp genome contains 129 genes
with eight ribosomal RNAs, 30 transfer RNAs, and 78 protein coding genes, in
which 17 genes are duplicated in two IR regions. The genome organization
including gene type and number and guanine-cytosine (GC) content is analyzed
among the 12 cp genomes in this study. Approximately 255 simple sequence repeats
(SSRs) and 16 forward, two reverses, and two palindromic repeats were identified
in the H. myrtifolia cp genome. By comparing the whole H. myrtifolia cp genome
with 11 other Myrtales species, the results showed that the sequence similarity
was high between coding regions while sequence divergence was high between
intergenic regions. By employing the full cp genomes for phylogenetic analysis,
structural and sequence differences were characterized between H. myrtifolia and
11 Myrtales species illustrating what patterns are common in the evolution of cp
genomes within the Myrtales. The first entire cp genome in the genus Heimia
provides a valuable resource for further studies in these medicinally and
ornamentally important taxa.
PMID- 29642472
TI - Reversible Redox Activity by Ion-pH Dually Modulated Duplex Formation of i-Motif
DNA with Complementary G-DNA.
AB - The unique biological features of supramolecular DNA have led to an increasing
interest in biomedical applications such as biosensors. We have developed an i
motif and G-rich DNA conjugated single-walled carbon nanotube hybrid materials,
which shows reversible conformational switching upon external stimuli such as pH
(5 and 8) and presence of ions (Li+ and K+). We observed reversible
electrochemical redox activity upon external stimuli in a quick and robust
manner. Given the ease and the robustness of this method, we believe that pH- and
ion-driven reversible DNA structure transformations will be utilized for future
applications for developing novel biosensors.
PMID- 29642471
TI - Relationship between the Manner of Mobile Phone Use and Depression, Anxiety, and
Stress in University Students.
AB - Objectives: There is insufficient evidence regarding the potential risk of mobile
phone use on mental health. Therefore, the aim of this research was to examine
the relationship between mobile phone use and mental health by measuring the
levels of depression, anxiety, and stress among university students in Serbia and
Italy. Methods: This cross-sectional study was carried out at two distinguished
universities in Serbia and Italy from March to May of the 2015/2016 academic year
and included 785 students of both genders. The questionnaire was compiled and
developed from different published sources regarding the manner and intensity of
mobile phone use, along with the Depression Anxiety Stress Scale (DASS 42) for
measuring psychological health. The statistical analysis of the data included the
application of binary logistic regression and correlation tests. Results:
Statistical analysis indicates that anxiety symptoms are somewhat more present in
younger students (odds ratio (OR) = 0.86, 95% confidence interval (CI): 0.76
0.96), in those who send more text messages SMSs (OR = 1.15, 95% CI: 1.11-1.31),
and in those who browse the internet less frequently (OR = 0.84, 95% CI: 0.73
0.95). Stress is more common in students who make fewer calls a day (OR = 0.79,
95% CI: 0.64-0.97), as well in those who spend more time talking on the mobile
phone per day (OR = 1.28, 95% CI: 1.12-1.56). The strongest predictor of high
stress levels was keeping the mobile phone less than 1 m away during sleeping (OR
= 1.48, 95% CI: 1.12-2.08). Conclusions: The results indicated that the intensity
and modality of mobile phone use could be a factor that can influence causal
pathways leading to mental health problems in the university student population.
PMID- 29642473
TI - Resistance to Carbapenems in Non-Typhoidal Salmonella enterica Serovars from
Humans, Animals and Food.
AB - Non-typhoidal serovars of Salmonella enterica (NTS) are a leading cause of food
borne disease in animals and humans worldwide. Like other zoonotic bacteria, NTS
have the potential to act as reservoirs and vehicles for the transmission of
antimicrobial drug resistance in different settings. Of particular concern is the
resistance to critical "last resort" antimicrobials, such as carbapenems. In
contrast to other Enterobacteriaceae (e.g., Klebsiella pneumoniae, Escherichia
coli, and Enterobacter, which are major nosocomial pathogens affecting
debilitated and immunocompromised patients), carbapenem resistance is still very
rare in NTS. Nevertheless, it has already been detected in isolates recovered
from humans, companion animals, livestock, wild animals, and food. Five
carbapenemases with major clinical importance-namely KPC (Klebsiella pneumoniae
carbapenemase) (class A), IMP (imipenemase), NDM (New Delhi metallo-beta
lactamase), VIM (Verona integron-encoded metallo-beta-lactamase) (class B), and
OXA-48 (oxacillinase, class D)-have been reported in NTS. Carbapenem resistance
due to the production of extended spectrum- or AmpC beta-lactamases combined with
porin loss has also been detected in NTS. Horizontal gene transfer of
carbapenemase-encoding genes (which are frequently located on self-transferable
plasmids), together with co- and cross-selective adaptations, could have been
involved in the development of carbapenem resistance by NTS. Once acquired by a
zoonotic bacterium, resistance can be transmitted from humans to animals and from
animals to humans through the food chain. Continuous surveillance of resistance
to these "last resort" antibiotics is required to establish possible links
between reservoirs and to limit the bidirectional transfer of the encoding genes
between S. enterica and other commensal or pathogenic bacteria.
PMID- 29642474
TI - Effects of Co-Processing Sewage Sludge in the Cement Kiln on PAHs, Heavy Metals
Emissions and the Surrounding Environment.
AB - To understand the effects of co-processing sewage sludge in the cement kiln on
non-criterion pollutants emissions and its surrounding environment, the flue gas
from a cement kiln stack, ambient air and soil from the background/downwind sites
were collected in the cement plant. Polycyclic aromatic hydrocarbons (PAHs) and
heavy metals of the samples were analyzed. The results show that PAHs in flue gas
mainly exist in the gas phase and the low molecular weight PAHs are the
predominant congener. The co-processing sewage sludge results in the increase in
PAHs and heavy metals emissions, especially high molecular weight PAHs and low
volatile heavy metals such as Cd and Pb in the particle phase, while it does not
change their compositions and distribution patterns significantly. The
concentrations and their distributions of the PAHs and heavy metals between the
emissions and ambient air have a positive correlation and the co-processing
sewage sludge results in the increase of PAHs and heavy metals concentrations in
the ambient air. The PAHs concentration level and their distribution in soil are
proportional to those in the particle phase of flue gas, and the co-processing
sewage sludge can accelerate the accumulation of the PAHs and heavy metals in the
surrounding soil, especially high/middle molecular weight PAHs and low-volatile
heavy metals.
PMID- 29642475
TI - Our Evolving Understanding of the Mechanism of Quinolones.
AB - The maintenance of DNA supercoiling is essential for the proper regulation of a
plethora of biological processes. As a consequence of this mode of regulation,
ahead of the replication fork, DNA replication machinery is prone to introducing
supercoiled regions into the DNA double helix. Resolution of DNA supercoiling is
essential to maintain DNA replication rates that are amenable to life. This
resolution is handled by evolutionarily conserved enzymes known as
topoisomerases. The activity of topoisomerases is essential, and therefore
constitutes a prime candidate for targeting by antibiotics. In this review, we
present hallmark investigations describing the mode of action of quinolones, one
of the antibacterial classes targeting the function of topoisomerases in
bacteria. By chronologically analyzing data gathered on the mode of action of
this imperative antibiotic class, we highlight the necessity to look beyond
primary drug-target interactions towards thoroughly understanding the mechanism
of quinolones at the level of the cell.
PMID- 29642476
TI - Tailoring of Perpendicular Magnetic Anisotropy in Dy13Fe87 Thin Films with
Hexagonal Antidot Lattice Nanostructure.
AB - In this article, the magnetic properties of hexagonally ordered antidot arrays
made of Dy13Fe87 alloy are studied and compared with corresponding ones of
continuous thin films with the same compositions and thicknesses, varying between
20 nm and 50 nm. Both samples, the continuous thin films and antidot arrays, were
prepared by high vacuum e-beam evaporation of the alloy on the top-surface of
glass and hexagonally self-ordered nanoporous alumina templates, which serve as
substrates, respectively. By using a highly sensitive magneto-optical Kerr effect
(MOKE) and vibrating sample magnetometer (VSM) measurements an interesting
phenomenon has been observed, consisting in the easy magnetization axis transfer
from a purely in-plane (INP) magnetic anisotropy to out-of-plane (OOP)
magnetization. For the 30 nm film thickness we have measured the volume
hysteresis loops by VSM with the easy magnetization axis lying along the OOP
direction. Using magnetic force microscopy measurements (MFM), there is strong
evidence to suggest that the formation of magnetic domains with OOP magnetization
occurs in this sample. This phenomenon can be of high interest for the
development of novel magnetic and magneto-optic perpendicular recording patterned
media based on template-assisted deposition techniques.
PMID- 29642477
TI - 3D Copper Foam-Supported CuCo2O4 Nanosheet Arrays as Electrode for Enhanced Non
Enzymatic Glucose Sensing.
AB - CuCo2O4 anchored on Cu foam (CuCo2O4/CF) with polycrystalline features was
fabricated by a mild process based on solvothermal reaction and subsequent
calcination in this work. The structure and morphology of the obtained materials
were thoroughly characterized by X-ray diffraction, X-ray photoelectron
spectroscopy, field-emission scanning electron microscopy, and transmission
electron microscopy. According to the above analysis, the morphology of the
CuCo2O4 was nanosheet arrays. Meanwhile, the CuCo2O4 was grown on Cu foam
successfully. The CuCo2O4/CF displayed good electrochemical properties for
glucose detection at a linear range from 0 mM to 1.0 mM. Meanwhile, the detection
limit was as low as 1 MUM (S/N = 3), and the sensitivity was 20,981 MUA.mM-1.cm
2. Moreover, the selectivity and the stability were tested with excellent
results. This nanomaterial could show great potential application in
electrochemical sensors.
PMID- 29642478
TI - Diagnostic Criteria and Clinical Outcomes in Sarcopenia Research: A Literature
Review.
AB - By the sixth decade of life, nearly one quarter of the population has substantial
muscle atrophy, or sarcopenia. Despite the creation of a standardized definition
of sarcopenia by the European Working Group on Sarcopenia in Older People,
variability may exist in the diagnostic criteria utilized for clinical sarcopenia
research. The primary objectives of this review were to characterize diagnostic
criteria used for measurement of sarcopenia in original studies, and to describe
associations between sarcopenia and important clinical outcomes. We performed a
literature review of the term "sarcopenia" in PubMed. Inclusion criteria were
English language, original data, a clear and specific definition for diagnosing
sarcopenia, and the analysis of sarcopenia's effect on a clinical outcome. A
total of 283 studies met inclusion criteria. More than half of the included
sarcopenia investigations were level IV studies (54.1%), while 43.1% provided
level II evidence. Under one third (27.6%) of studies examined sarcopenia with
regard to surgical outcomes. In terms of diagnostic criteria for sarcopenia, 264
(93.3%) studies used measures of skeletal muscle mass, with dual energy X-ray
absorptiometry (DEXA) being the most common modality (43.6%). Sarcopenia was
found to be a consistent predictor of chronic disease progression, all-cause
mortality, poorer functional outcomes, and postoperative complications. In
conclusion, there is substantial evidence that sarcopenia impacts both medical
and surgical outcomes. However, current research has utilized heterogeneous
diagnostic criteria for sarcopenia. Further efforts to standardize the modalities
used to diagnose sarcopenia in clinical research and practice will help
strengthen our ability to study this important phenomenon.
PMID- 29642479
TI - Real-Time Seismic Data from the Bottom Sea.
AB - An anchored marine seismometer, acquiring real-time seismic data, has been built
and tested. The system consists of an underwater seismometer, a surface buoy, and
a mooring line that connects them. Inductive communication through the mooring
line provides an inexpensive, reliable, and flexible solution. Prior to the
deployment the dynamics of the system have been simulated numerically in order to
find optimal materials, cables, buoys, and connections under critical marine
conditions. The seismometer used is a high sensitivity triaxial broadband
geophone able to measure low vibrational signals produced by the underwater
seismic events. The power to operate the surface buoy is provided by solar
panels. Additional batteries are needed for the underwater unit. In this paper we
also present the first results and an earthquake detection of a prototype system
that demonstrates the feasibility of this concept. The seismometer transmits
continuous data at a rate of 1000 bps to a controller equipped with a radio link
in the surface buoy. A GPS receiver on the surface buoy has been configured to
perform accurate timestamps on the seismic data, which makes it possible to
integrate the seismic data from these marine seismometers into the existing
seismic network.
PMID- 29642480
TI - Examining Youth Dual and Polytobacco Use with E-Cigarettes.
AB - E-cigarettes and other non-cigarette tobacco products are increasingly popular
among youth. Little is known to inform public health efforts to reduce youth use.
We examined psychosocial correlates of single and multiple tobacco product use
among youth e-cigarette users. Data were from the 2014 Florida Youth Tobacco
Survey (N = 69,923), a representative sample of Florida middle and high school
students. Associations between combinations of e-cigarette, cigarette and other
tobacco product (OTP) use and psychosocial variables were examined using
multinomial logistic regression with an analytic sample of N = 2756. Most e
cigarette-using youth used at least one other product (81%). Perceiving
cigarettes as easy to quit was significantly associated with greater likelihood
of combined e-cigarette/OTP use (relative risk ratio (RRR) = 2.51, p < 0.001) and
combined e-cigarette/cigarette/OTP use (RRR = 3.20, p < 0.0001). Thinking you
will be smoking cigarettes in 5 years was associated with product combinations
that include cigarettes. Tobacco company marketing receptivity was associated
with multiple product user types. Given that specific psychosocial factors put
youth at risk for concurrent use of e-cigarettes with tobacco products, public
health efforts should address polytobacco use specifically, instead of individual
product use. Youth perceptions about the ease of quitting cigarettes, intentions
to continue smoking cigarettes and receptivity to tobacco company marketing are
promising areas for messaging aimed at reducing e-cigarette polytobacco product
use.
PMID- 29642481
TI - 3,3'-Bicarbazole-Based Host Molecules for Solution-Processed Phosphorescent
OLEDs.
AB - Solution-processed organic light-emitting diodes (OLEDs) are attractive due to
their low-cost, large area displays, and lighting features. Small molecules as
well as polymers can be used as host materials within the solution-processed
emitting layer. Herein, we report two 3,3'-bicarbazole-based host small
molecules, which possess a structural isomer relationship. 9,9'-Di-4-n
butylphenyl-9H,9'H-3,3'-bicarbazole (BCz-nBuPh) and 9,9'-di-4-t-butylphenyl
9H,9'H-3,3'-bicarbazole (BCz-tBuPh) exhibited similar optical properties within
solutions but different photoluminescence within films. A solution-processed
green phosphorescent OLED with the BCz-tBuPh host exhibited a high maximum
current efficiency and power efficiency of 43.1 cd/A and 40.0 lm/W, respectively,
compared to the device with the BCz-nBuPh host.
PMID- 29642482
TI - Extracellular Phytase Production by the Wine Yeast S. cerevisiae (Finarome
Strain) during Submerged Fermentation.
AB - One of the key steps in the production of phytases of microbial origin is
selection of culture parameters, followed by isolation of the enzyme and
evaluation of its catalytic activity. It was found that conditions for S.
cerevisiae yeast culture, strain Finarome, giving the reduction in phytic acid
concentration of more than 98% within 24 h of incubation were as follows: pH 5.5,
32 degrees C, continuous stirring at 80 rpm, the use of mannose as a carbon
source and aspartic acid as a source of nitrogen. The highest catalytic activity
of the isolated phytase was observed at 37 degrees C, pH 4.0 and using phytate
as substrate at concentration of 5.0 mM. The presence of ethanol in the medium at
a concentration of 12% v/v reduces the catalytic activity to above 60%.
Properties of phytase derived from S. cerevisiae yeast culture, strain Finarome,
indicate the possibility of its application in the form of a cell's free crude
protein isolate for the hydrolysis of phytic acid to improve the efficiency of
alcoholic fermentation processes. Our results also suggest a possibility to use
the strain under study to obtain a fusant derived with specialized distillery
strains, capable of carrying out a highly efficient fermentation process combined
with the utilization of phytates.
PMID- 29642483
TI - Drawing Inspiration from Human Brain Networks: Construction of Interconnected
Virtual Networks.
AB - Virtualization of wireless sensor networks (WSN) is widely considered as a
foundational block of edge/fog computing, which is a key technology that can help
realize next-generation Internet of things (IoT) networks. In such scenarios,
multiple IoT devices and service modules will be virtually deployed and
interconnected over the Internet. Moreover, application services are expected to
be more sophisticated and complex, thereby increasing the number of modifications
required for the construction of network topologies. Therefore, it is imperative
to establish a method for constructing a virtualized WSN (VWSN) topology that
achieves low latency on information transmission and high resilience against
network failures, while keeping the topological construction cost low. In this
study, we draw inspiration from inter-modular connectivity in human brain
networks, which achieves high performance when dealing with large-scale networks
composed of a large number of modules (i.e., regions) and nodes (i.e., neurons).
We propose a method for assigning inter-modular links based on a connectivity
model observed in the cerebral cortex of the brain, known as the exponential
distance rule (EDR) model. We then choose endpoint nodes of these links by
controlling inter-modular assortativity, which characterizes the topological
connectivity of brain networks. We test our proposed methods using simulation
experiments. The results show that the proposed method based on the EDR model can
construct a VWSN topology with an optimal combination of communication
efficiency, robustness, and construction cost. Regarding the selection of
endpoint nodes for the inter-modular links, the results also show that high
assortativity enhances the robustness and communication efficiency because of the
existence of inter-modular links of two high-degree nodes.
PMID- 29642485
TI - Differential Diagnosis of Autism Spectrum Disorder and Post Traumatic Stress
Disorder: Two Clinical Cases.
AB - Autism spectrum disorder (ASD) is estimated to affect one in 68 children. Given
the increase in both prevalence and awareness of ASD, it is critical to provide
accurate and timely diagnosis. However, ASD often co-occurs with other disorders,
making diagnosis difficult. The objective of the current case study was to
provide two examples of differential diagnosis in ASD and post-traumatic stress
disorder (PTSD) observed in an autism clinic. In both cases, the goal was to
decide whether each child should be given a diagnosis of ASD, PTSD, or both.
PMID- 29642484
TI - Differential Expression and Clinical Significance of Transforming Growth Factor
Beta Isoforms in GBM Tumors.
AB - Glioblastoma (GBM) represents the most common and aggressive malignant primary
brain tumors in adults. Response to standard treatment is transitory and the
survival of clinical trial cohorts are little more than 14 months. GBM are
characterized by excessive proliferation, invasiveness, and radio
/chemoresistance features; which are strongly upregulated by transforming growth
factor-beta (TGF-beta). We hypothesized that TGF-beta gene expression could
correlate with overall survival (OS) and serve as a prognostic biomarker. TGF
beta1 and -beta2 expression were analyzed by qPCR in 159 GBM tumor specimens.
Kaplan-Meier and multivariate analyses were used to correlate expression with OS
and progression-free survival (PFS). In GBM, TGF-beta1 and -beta2 levels were 33-
and 11-fold higher respectively than in non-tumoral samples. Kaplan-Meier and
multivariate analyses revealed that high to moderate expressions of TGF-beta1
significantly conferred a strikingly poorer OS and PFS in newly diagnosed
patients. Interestingly, at relapse, neither isoforms had meaningful impact on
clinical evolution. We demonstrate that TGF-beta1 is the dominant isoform in
newly diagnosed GBM rather than the previously acknowledged TGF-beta2. We believe
our study is the first to unveil a significant relationship between TGF-beta1
expression and OS or PFS in newly diagnosed GBM. TGF-beta1 could serve as a
prognostic biomarker or target affecting treatment planning and patient follow
up.
PMID- 29642486
TI - Hybrid Drug Delivery Patches Based on Spherical Cellulose Nanocrystals and
Colloid Titania-Synthesis and Antibacterial Properties.
AB - Spherical cellulose nanocrystal-based hybrids grafted with titania nanoparticles
were successfully produced for topical drug delivery. The conventional analytical
filter paper was used as a precursor material for cellulose nanocrystals (CNC)
production. Cellulose nanocrystals were extracted via a simple and quick two-step
process based on first the complexation with Cu(II) solution in aqueous ammonia
followed by acid hydrolysis with diluted H2SO4. Triclosan was selected as a model
drug for complexation with titania and further introduction into the
nanocellulose based composite. Obtained materials were characterized by a broad
variety of microscopic, spectroscopic, and thermal analysis methods. The drug
release studies showed long-term release profiles of triclosan from the titania
based nanocomposite that agreed with Higuchi model. The bacterial susceptibility
tests demonstrated that released triclosan retained its antibacterial activity
against Escherichia coli and Staphylococcus aureus. It was found that a small
amount of titania significantly improved the antibacterial activity of obtained
nanocomposites, even without immobilization of model drug. Thus, the developed
hybrid patches are highly promising candidates for potential application as
antibacterial agents.
PMID- 29642487
TI - Semaphorin 3C and Its Receptors in Cancer and Cancer Stem-Like Cells.
AB - Neurodevelopmental programs are frequently dysregulated in cancer. Semaphorins
are a large family of guidance cues that direct neuronal network formation and
are also implicated in cancer. Semaphorins have two kinds of receptors,
neuropilins and plexins. Besides their role in development, semaphorin signaling
may promote or suppress tumors depending on their context. Sema3C is a secreted
semaphorin that plays an important role in the maintenance of cancer stem-like
cells, promotes migration and invasion, and may facilitate angiogenesis.
Therapeutic strategies that inhibit Sema3C signaling may improve cancer control.
This review will summarize the current research on the Sema3C pathway and its
potential as a therapeutic target.
PMID- 29642488
TI - Sinularin Selectively Kills Breast Cancer Cells Showing G2/M Arrest, Apoptosis,
and Oxidative DNA Damage.
AB - The natural compound sinularin, isolated from marine soft corals, is
antiproliferative against several cancers, but its possible selective killing
effect has rarely been investigated. This study investigates the selective
killing potential and mechanisms of sinularin-treated breast cancer cells. In 3
(4,5-dimethylthiazol-2-yl)-5-(3-carboxymethoxyphenyl)-2-(4-sulfophenyl)-2H-
tetrazolium, inner salt (MTS) assay, sinularin dose-responsively decreased the
cell viability of two breast cancer (SKBR3 and MDA-MB-231) cells, but showed less
effect on breast normal (M10) cells after a 24 h treatment. According to 7
aminoactinomycin D (7AAD) flow cytometry, sinularin dose-responsively induced the
G2/M cycle arrest of SKBR3 cells. Sinularin dose-responsively induced apoptosis
on SKBR3 cells in terms of a flow cytometry-based annexin V/7AAD assay and
pancaspase activity, as well as Western blotting for cleaved forms of poly(ADP
ribose) polymerase (PARP), caspases 3, 8, and 9. These caspases and PARP
activations were suppressed by N-acetylcysteine (NAC) pretreatment. Moreover,
sinularin dose-responsively induced oxidative stress and DNA damage according to
flow cytometry analyses of reactive oxygen species (ROS), mitochondrial membrane
potential (MitoMP), mitochondrial superoxide, and 8-oxo-2'-deoxyguanosine (8
oxodG)). In conclusion, sinularin induces selective killing, G2/M arrest,
apoptosis, and oxidative DNA damage of breast cancer cells.
PMID- 29642489
TI - Click Access to a Cyclodextrin-Based Spatially Confined AIE Material for
Hydrogenase Recognition.
AB - The spatial confinement of conjugated phenyl rotators is a compulsory requirement
for the fluorescence enhancement of aggregation induced emission (AIE) molecules.
This work reports a novel spatially confined AIE material by restricting several
tetraphenylethylene (TPE) molecules around the primary face of beta-cyclodextrin
(CD) via a Cu(I) catalytic 1,3-dipolar cycloaddition reaction (click chemistry).
The spatial confinement effect was found to significantly enhance the
fluorescence emission when compared with a single TPE modified CD. In addition,
the emission maxima took place with the dimethyl sulfoxide volume ratio of 30% in
a water mixture, which is remarkably different from traditional AIE molecules.
Benefiting from the CD's complexation effect, this material exhibits a selective
fluorescence quenching property in certain hydrogenases and can be used as a
fluorescence probe for hydrogenase sensing. This demonstrates the potential of
the spatially confined AIECD for practical applications.
PMID- 29642490
TI - Experimental Analysis of Critical Current and Alternating Current Losses of High
Temperature Superconductor Tape with Resin and Gallium-Indium-Tin.
AB - This paper experimentally analyzes the critical current degradation and AC
(alternating current) losses of second-generation (2G) high-temperature
superconductor (HTS) tape during the impregnation process. Two impregnation
materials were utilized: Gallium-Indium-Tin (GaInSn), and an epoxy resin,
Araldite. The critical current of the impregnation materials was measured after
different thermal cycles and compared with the tape with no impregnation process.
The experimental results show that the critical current of Yttrium Barium Copper
Oxide (YBCO) short samples varies between differently impregnated materials. The
resin, Araldite, degraded the critical current; however, the GaInSn showed no
degradation. Two degradation patterns with Araldite were identified due to the
impregnation process, and the corresponding causes were analyzed. We further
measured the AC losses of tapes impregnated with liquid metal at different
frequencies, up to 600 Hz. Based on the experimental results, GaInSn liquid metal
should be the most suitable impregnation material in terms of critical current
degradation.
PMID- 29642491
TI - Defocus Blur Detection and Estimation from Imaging Sensors.
AB - Sparse representation has been proven to be a very effective technique for
various image restoration applications. In this paper, an improved sparse
representation based method is proposed to detect and estimate defocus blur of
imaging sensors. Considering the fact that the patterns usually vary remarkably
across different images or different patches in a single image, it is unstable
and time-consuming for sparse representation over an over-complete dictionary. We
propose an adaptive domain selection scheme to prelearn a set of compact
dictionaries and adaptively select the optimal dictionary to each image patch.
Then, with nonlocal structure similarity, the proposed method learns nonzero-mean
coefficients' distributions that are much more closer to the real ones. More
accurate sparse coefficients can be obtained and further improve the performance
of results. Experimental results validate that the proposed method outperforms
existing defocus blur estimation approaches, both qualitatively and
quantitatively.
PMID- 29642492
TI - Effects of Intragastric Administration of Tryptophan on the Blood Glucose
Response to a Nutrient Drink and Energy Intake, in Lean and Obese Men.
AB - Tryptophan stimulates plasma cholecystokinin and pyloric pressures, both of which
slow gastric emptying. Gastric emptying regulates postprandial blood glucose.
Tryptophan has been reported to decrease energy intake. We investigated the
effects of intragastric tryptophan on the glycaemic response to, and gastric
emptying of, a mixed-nutrient drink, and subsequent energy intake. Lean and obese
participants (n = 16 each) received intragastric infusions of 1.5 g ("Trp-1.5g")
or 3.0 g ("Trp-3.0g") tryptophan, or control, and 15 min later consumed a mixed
nutrient drink (56 g carbohydrates). Gastric emptying (13C-acetate breath-test),
blood glucose, plasma C-peptide, glucagon, cholecystokinin and tryptophan
concentrations were measured (t = 0-60 min). Energy intake was assessed between t
= 60-90 min. In lean individuals, Trp-3.0g, but not Trp-1.5g, slowed gastric
emptying, reduced C-peptideAUC and increased glucagonAUC (all P < 0.05), but did
not significantly decrease the blood glucose response to the drink, stimulate
cholecystokinin or reduce mean energy intake, compared with control. In obese
individuals, Trp-3.0g, but not Trp-1.5g, tended to slow gastric emptying (P =
0.091), did not affect C-peptideAUC, increased glucagonAUC (P < 0.001) and
lowered blood glucose at t = 30 min (P < 0.05), and did not affect
cholecystokinin or mean energy intake. In obese individuals, intragastrically
administered tryptophan may reduce postprandial blood glucose by slowing gastric
emptying; the lack of effect on mean energy intake requires further
investigation.
PMID- 29642493
TI - Effects of tDCS on Real-Time BCI Detection of Pedaling Motor Imagery.
AB - The purpose of this work is to strengthen the cortical excitability over the
primary motor cortex (M1) and the cerebro-cerebellar pathway by means of a new
transcranial direct current stimulation (tDCS) configuration to detect lower limb
motor imagery (MI) in real time using two different cognitive neural states:
relax and pedaling MI. The anode is located over the primary motor cortex in Cz,
and the cathode over the right cerebro-cerebellum. The real-time brain-computer
interface (BCI) designed is based on finding, for each electrode selected, the
power at the particular frequency where the most difference between the two
mental tasks is observed. Electroencephalographic (EEG) electrodes are placed
over the brain's premotor area (PM), M1, supplementary motor area (SMA) and
primary somatosensory cortex (S1). A single-blind study is carried out, where
fourteen healthy subjects are separated into two groups: sham and active tDCS.
Each subject is experimented on for five consecutive days. On all days, the
results achieved by the active tDCS group were over 60% in real-time detection
accuracy, with a five-day average of 62.6%. The sham group eventually reached
those levels of accuracy, but it needed three days of training to do so.
PMID- 29642494
TI - Raman Spectroscopic and Microscopic Analysis for Monitoring Renal Osteodystrophy
Signatures.
AB - Defining the pathogenesis of renal osteodystrophy (ROD) and its treatment
efficacy are difficult, since many factors potentially affect bone quality. In
this study, confocal Raman microscopy and parallel statistical analysis were used
to identify differences in bone composition between healthy and ROD bone tissues
through direct visualization of three main compositional parametric ratios,
namely, calcium content, mineral-to-matrix, and carbonate-to-matrix. Besides the
substantially lower values found in ROD specimens for these representative
ratios, an obvious accumulation of phenylalanine is Raman spectroscopically
observed for the first time in ROD samples and reported here. Thus, elevated
phenylalanine could also be considered as an indicator of the disease. Since the
image results are based on tens of thousands of spectra per sample, not only are
the average ratios statistically significantly different for normal and ROD bone,
but the method is clearly powerful in distinguishing between the two types of
samples. Furthermore, the statistical outcomes demonstrate that only a relatively
small number of spectra need to be recorded in order to classify the samples.
This work thus opens the possibility of future development of in vivo Raman
sensors for assessment of bone structure, remodeling, and mineralization, where
different biomarkers are simultaneously detected with unprecedented accuracy.
PMID- 29642495
TI - Visual Servoing-Based Nanorobotic System for Automated Electrical
Characterization of Nanotubes inside SEM.
AB - The maneuvering and electrical characterization of nanotubes inside a scanning
electron microscope (SEM) has historically been time-consuming and laborious for
operators. Before the development of automated nanomanipulation-enabled
techniques for the performance of pick-and-place and characterization of
nanoobjects, these functions were still incomplete and largely operated manually.
In this paper, a dual-probe nanomanipulation system vision-based feedback was
demonstrated to automatically perform 3D nanomanipulation tasks, to investigate
the electrical characterization of nanotubes. The XY-position of Atomic Force
Microscope (AFM) cantilevers and individual carbon nanotubes (CNTs) were
precisely recognized via a series of image processing operations. A coarse-to
fine positioning strategy in the Z-direction was applied through the combination
of the sharpness-based depth estimation method and the contact-detection method.
The use of nanorobotic magnification-regulated speed aided in improving working
efficiency and reliability. Additionally, we proposed automated alignment of
manipulator axes by visual tracking the movement trajectory of the end effector.
The experimental results indicate the system's capability for automated
measurement electrical characterization of CNTs. Furthermore, the automated
nanomanipulation system has the potential to be extended to other
nanomanipulation tasks.
PMID- 29642496
TI - DCT-Based Preprocessing Approach for ICA in Hyperspectral Data Analysis.
AB - The huge quantity of information and the high spectral resolution of
hyperspectral imagery present a challenge when performing traditional processing
techniques such as classification. Dimensionality and noise reduction improves
both efficiency and accuracy, while retaining essential information. Among the
many dimensionality reduction methods, Independent Component Analysis (ICA) is
one of the most popular techniques. However, ICA is computationally costly, and
given the absence of specific criteria for component selection, constrains its
application in high-dimension data analysis. To overcome this limitation, we
propose a novel approach that applies Discrete Cosine Transform (DCT) as
preprocessing for ICA. Our method exploits the unique capacity of DCT to pack
signal energy in few low-frequency coefficients, thus reducing noise and
computation time. Subsequently, ICA is applied on this reduced data to make the
output components as independent as possible for subsequent hyperspectral
classification. To evaluate this novel approach, the reduced data using (1) ICA
without preprocessing; (2) ICA with the commonly used preprocessing techniques
which is Principal Component Analysis (PCA); and (3) ICA with DCT preprocessing
are tested with Support Vector Machine (SVM) and K-Nearest Neighbor (K-NN)
classifiers on two real hyperspectral datasets. Experimental results in both
instances indicate that data after our proposed DCT preprocessing method combined
with ICA yields superior hyperspectral classification accuracy.
PMID- 29642497
TI - Computational Modelling of Large Scale Phage Production Using a Two-Stage Batch
Process.
AB - Cost effective and scalable methods for phage production are required to meet an
increasing demand for phage, as an alternative to antibiotics. Computational
models can assist the optimization of such production processes. A model is
developed here that can simulate the dynamics of phage population growth and
production in a two-stage, self-cycling process. The model incorporates variable
infection parameters as a function of bacterial growth rate and employs ordinary
differential equations, allowing application to a setup with multiple reactors.
The model provides simple cost estimates as a function of key operational
parameters including substrate concentration, feed volume and cycling times. For
the phage and bacteria pairing examined, costs and productivity varied by three
orders of magnitude, with the lowest cost found to be most sensitive to the
influent substrate concentration and low level setting in the first vessel. An
example case study of phage production is also presented, showing how parameter
values affect the production costs and estimating production times. The approach
presented is flexible and can be used to optimize phage production at laboratory
or factory scale by minimizing costs or maximizing productivity.
PMID- 29642498
TI - Monitoring of the Apple Fruit Moth: Detection of Genetic Variation and Structure
Applying a Novel Multiplex Set of 19 STR Markers.
AB - The apple fruit moth Argyresthia conjugella (Lepidoptera, Yponomeutidae) is a
seed predator of rowan (Sorbus aucuparia) and is distributed in Europe and Asia.
In Fennoscandia (Finland, Norway and Sweden), rowan fruit production is low every
2-4 years, and apple (Malus domestica) functions as an alternative host,
resulting in economic loss in apple crops in inter-mast years. We have used
Illumina MiSeq sequencing to identify a set of 19 novel tetra-nucleotide short
tandem repeats (STRs) in Argyresthia conjugella. Such motifs are recommended for
genetic monitoring, which may help to determine the eco-evolutionary processes
acting on this pest insect. The 19 STRs were optimized and amplified into five
multiplex PCR reactions. We tested individuals collected from Norway and Sweden
(n = 64), and detected very high genetic variation (average 13.6 alleles, He =
0.75) compared to most other Lepidoptera species studied so far. Spatial genetic
differentiation was low and gene flow was high in the test populations, although
two non-spatial clusters could be detected. We conclude that this set of genetic
markers may be a useful resource for population genetic monitoring of this
economical important insect species.
PMID- 29642499
TI - Cimetidine: A Safe Treatment Option for Cutaneous Warts in Pediatric Heart
Transplant Recipients.
AB - Abstract:Background andObjectives: Immunosuppressed individuals are at
particularly increased risk for human papilloma virus-related infections. The
primary objective of our study is to determine if there are any adverse effects
associated with high-dose cimetidine treatment. A secondary objective is to
report our experience with cimetidine in the treatment of cutaneous warts in
pediatric heart transplant recipients. Methods and Results: This was a
retrospective observational study. A total of 8 pediatric heart transplant
recipients diagnosed with multiple recalcitrant warts were the subject of the
study. All patients were treated with cimetidine (30-40 mg/kg/day) in two divided
doses for 3 to 6 month durations. All patients had complete resolution of their
lesions except 1 patient who had no clinical improvement. Of these 8 patients,
one had recurrence of warts at one year follow-up, which resolved with restarting
cimetidine therapy. One patient who had only 3 months of cimetidine therapy had
immediate relapse after cimetidine was stopped. None of them had significant
change in their tacrolimus trough, serum creatinine, and alanine transaminase
levels. No adverse events were reported except one patient experienced mild
gynecomastia. Conclusion: Cimetidine can be a safe and alternative treatment
option for multiple warts in pediatric heart transplant recipients.
PMID- 29642500
TI - Antibacterial Free Fatty Acids and Monoglycerides: Biological Activities,
Experimental Testing, and Therapeutic Applications.
AB - Antimicrobial lipids such as fatty acids and monoglycerides are promising
antibacterial agents that destabilize bacterial cell membranes, causing a wide
range of direct and indirect inhibitory effects. The goal of this review is to
introduce the latest experimental approaches for characterizing how antimicrobial
lipids destabilize phospholipid membranes within the broader scope of introducing
current knowledge about the biological activities of antimicrobial lipids,
testing strategies, and applications for treating bacterial infections. To this
end, a general background on antimicrobial lipids, including structural
classification, is provided along with a detailed description of their targeting
spectrum and currently understood antibacterial mechanisms. Building on this
knowledge, different experimental approaches to characterize antimicrobial lipids
are presented, including cell-based biological and model membrane-based
biophysical measurement techniques. Particular emphasis is placed on drawing out
how biological and biophysical approaches complement one another and can yield
mechanistic insights into how the physicochemical properties of antimicrobial
lipids influence molecular self-assembly and concentration-dependent interactions
with model phospholipid and bacterial cell membranes. Examples of possible
therapeutic applications are briefly introduced to highlight the potential
significance of antimicrobial lipids for human health and medicine, and to
motivate the importance of employing orthogonal measurement strategies to
characterize the activity profile of antimicrobial lipids.
PMID- 29642501
TI - Isolation of Bioactive Compounds from Calicotome villosa Stems.
AB - A phenylethanoid, two steroids, a flavone glucoside and a chalcone have been
isolated for the first time from the stems of Calicotome villosa together with a
previously isolated flavone glucoside. Their structures were determined by
spectroscopic analyses (NMR, HRMS) as basalethanoid B (1), beta-sitosterol and
stigmasterol (2), chrysine-7-O-beta-d-glucopyranoside (3), chrysine 7-((6''-O
acetyl)-O-beta-d-glucopyranoside) (4) and calythropsin (5). The crude extracts
and the isolated compounds (except 4), were evaluated for their antioxidant,
antimicrobial (against two Gram-positive bacterial strains: Staphylococcus
aureus, Bacillus cereus, four Gram-negative bacterial strains: Staphylococcus
epidermidis, Klebsiella pneumonia, Acinetobacter baumanii, and three yeasts:
Candida albicans, Candida tropicalis, and Candida glabrata), hemolytic,
antidiabetic, anti-inflammatory and cytotoxic activity. The crude extracts showed
good ability to scavenge the free radical DPPH. Methanol stem extract followed by
the dichloromethane stem extract showed moderate antimicrobial potency;
furthermore, at 1 mg/mL the methanol extract showed an inhibition of C. albicans
growth comparable to nystatin. Dichloromethane, methanol, and aqueous extracts
inhibited 98%, 90%, and 80% of HeLa cell proliferation at 2 mg/mL respectively.
Weak hypoglycemic and hemolytic effects were exhibited by the crude extracts.
Among all the tested compounds, compound 3 showed remarkable hypoglycemic
potential (93% at 0.1 mg/mL) followed by compound 5 (90% at 0.3 mg/mL). Compound
5 was the most effective in the DPPH. scavenging assay (100% at 0.1 mg/mL) and
cytotoxic assay on HeLa cells (99% and 90% after 24 and 48 h of treatment at 0.1
mg/mL, respectively). No anti-inflammatory effects were displayed by any of the
crude extracts or the isolated compounds at any of the tested concentrations.
PMID- 29642502
TI - A 3D Microfluidic Model to Recapitulate Cancer Cell Migration and Invasion.
AB - We have developed a microfluidic-based culture chip to simulate cancer cell
migration and invasion across the basement membrane. In this microfluidic chip, a
3D microenvironment is engineered to culture metastatic breast cancer cells (MX1)
in a 3D tumor model. A chemo-attractant was incorporated to stimulate motility
across the membrane. We validated the usefulness of the chip by tracking the
motilities of the cancer cells in the system, showing them to be migrating or
invading (akin to metastasis). It is shown that our system can monitor cell
migration in real time, as compare to Boyden chambers, for example. Thus, the
chip will be of interest to the drug-screening community as it can potentially be
used to monitor the behavior of cancer cell motility, and, therefore, metastasis,
in the presence of anti-cancer drugs.
PMID- 29642504
TI - Alteration of Hepatic Gene Expression along with the Inherited Phenotype of
Acquired Fatty Liver in Chicken.
AB - Fatty liver is a widespread disease in chickens that causes a decrease in egg
production and even death. The characteristics of the inherited phenotype of
acquired fatty liver and the molecular mechanisms underlying it, however, are
largely unknown. In the current study, fatty liver was induced in 3 breeds by a
high-fat (HF) diet and a methionine choline-deficient (MCD) diet. The results
showed that the dwarf Jingxing-Huang (JXH) chicken was more susceptible to fatty
liver compared with the layer White Leghorns (WL) and local Beijing-You (BJY)
breeds. In addition, it was found that the paternal fatty livers induced by HF
diet in JXH chickens were inherited. Compared to birds without fatty liver in the
control group, both offsprings and their sires with fatty livers in the paternal
group exhibited altered hepatic gene expression profiles, including upregulation
of several key genes involved in fatty acid metabolism, lipid metabolism and
glucose metabolism (ACACA, FASN, SCD, ACSL5, FADS2, FABP1, APOA4 and ME1). This
study uniquely revealed that acquired fatty liver in cocks can be inherited. The
hepatic gene expression profiles were altered in chickens with the inherited
phenotype of acquired paternal fatty liver and several genes could be candidate
biomarkers.
PMID- 29642505
TI - BMP9 Promotes the Proliferation and Migration of Bladder Cancer Cells through Up
Regulating lncRNA UCA1.
AB - As the most common malignant tumor of the urinary system worldwide, the bladder
tumor has a high mortality rate, which is mainly due to its onset of concealment.
Therefore, research into novel diagnostic markers and treatment of bladder cancer
is urgently needed. BMP9 (Bone morphogenetic protein 9) is a member of BMP, which
belongs to the TGF-beta (transforming growth factor-beta) superfamily. It has
been associated with multiple tumors. We found that BMP9 is highly expressed in
bladder cancer cells and it could significantly promote the proliferation and
migration of bladder cancer cells. In the study of the mechanism of this effect,
we found that BMP9 can increase the expression of lncRNA UCA1 (Urothelial cancer
associated 1) through phosphorylated AKT. The promoting effect of BMP9 on bladder
cancer cells was rescued after interfering with UCA1 in BMP9 overexpressed
bladder cancer cells both in vitro and in vivo. Our research confirms that BMP9
promotes the proliferation and migration of bladder cancer cells through up
regulated lncRNA UCA1. It also shows that BMP9 is a novel diagnostic marker and a
potential therapeutic target in bladder cancer.
PMID- 29642506
TI - FPGA Based Adaptive Rate and Manifold Pattern Projection for Structured Light 3D
Camera System.
AB - The quality of the captured point cloud and the scanning speed of a structured
light 3D camera system depend upon their capability of handling the object
surface of a large reflectance variation in the trade-off of the required number
of patterns to be projected. In this paper, we propose and implement a flexible
embedded framework that is capable of triggering the camera single or multiple
times for capturing single or multiple projections within a single camera
exposure setting. This allows the 3D camera system to synchronize the camera and
projector even for miss-matched frame rates such that the system is capable of
projecting different types of patterns for different scan speed applications.
This makes the system capturing a high quality of 3D point cloud even for the
surface of a large reflectance variation while achieving a high scan speed. The
proposed framework is implemented on the Field Programmable Gate Array (FPGA),
where the camera trigger is adaptively generated in such a way that the position
and the number of triggers are automatically determined according to camera
exposure settings. In other words, the projection frequency is adaptive to
different scanning applications without altering the architecture. In addition,
the proposed framework is unique as it does not require any external memory for
storage because pattern pixels are generated in real-time, which minimizes the
complexity and size of the application-specific integrated circuit (ASIC) design
and implementation.
PMID- 29642507
TI - A Fiber Bragg Grating Interrogation System with Self-Adaption Threshold Peak
Detection Algorithm.
AB - A Fiber Bragg Grating (FBG) interrogation system with a self-adaption threshold
peak detection algorithm is proposed and experimentally demonstrated in this
study. This system is composed of a field programmable gate array (FPGA) and
advanced RISC machine (ARM) platform, tunable Fabry-Perot (F-P) filter and
optical switch. To improve system resolution, the F-P filter was employed. As
this filter is non-linear, this causes the shifting of central wavelengths with
the deviation compensated by the parts of the circuit. Time-division multiplexing
(TDM) of FBG sensors is achieved by an optical switch, with the system able to
realize the combination of 256 FBG sensors. The wavelength scanning speed of 800
Hz can be achieved by a FPGA+ARM platform. In addition, a peak detection
algorithm based on a self-adaption threshold is designed and the peak recognition
rate is 100%. Experiments with different temperatures were conducted to
demonstrate the effectiveness of the system. Four FBG sensors were examined in
the thermal chamber without stress. When the temperature changed from 0 degrees
C to 100 degrees C, the degree of linearity between central wavelengths and
temperature was about 0.999 with the temperature sensitivity being 10 pm/ degrees
C. The static interrogation precision was able to reach 0.5 pm. Through the
comparison of different peak detection algorithms and interrogation approaches,
the system was verified to have an optimum comprehensive performance in terms of
precision, capacity and speed.
PMID- 29642508
TI - ECCM Scheme against Interrupted Sampling Repeater Jammer Based on Parameter
Adjusted Waveform Design.
AB - Interrupted sampling repeater jamming (ISRJ) is an effective way of deceiving
coherent radar sensors, especially for linear frequency modulated (LFM) radar. In
this paper, for a simplified scenario with a single jammer, we propose a dynamic
electronic counter-counter measure (ECCM) scheme based on jammer parameter
estimation and transmitted signal design. Firstly, the LFM waveform is
transmitted to estimate the main jamming parameters by investigating the
discontinuousness of the ISRJ's time-frequency (TF) characteristics. Then, a
parameter-adjusted intra-pulse frequency coded signal, whose ISRJ signal after
matched filtering only forms a single false target, is designed adaptively
according to the estimated parameters, i.e., sampling interval, sampling duration
and repeater times. Ultimately, for typical jamming scenes with different jamming
signal ratio (JSR) and duty cycle, we propose two particular ISRJ suppression
approaches. Simulation results validate the effective performance of the proposed
scheme for countering the ISRJ, and the trade-off relationship between the two
approaches is demonstrated.
PMID- 29642503
TI - Migration/Invasion of Malignant Gliomas and Implications for Therapeutic
Treatment.
AB - Malignant tumors of the central nervous system (CNS) are among cancers with the
poorest prognosis, indicated by their association with tumors of high-level
morbidity and mortality. Gliomas, the most common primary CNS tumors that arise
from neuroglial stem or progenitor cells, have estimated annual incidence of 6.6
per 100,000 individuals in the USA, and 3.5 per 100,000 individuals in Taiwan.
Tumor invasion and metastasis are the major contributors to the deaths in cancer
patients. Therapeutic goals including cancer stem cells (CSC), phenotypic shifts,
EZH2/AXL/TGF-beta axis activation, miRNAs and exosomes are relevant to GBM
metastasis to develop novel targeted therapeutics for GBM and other brain
cancers. Herein, we highlight tumor metastasis in our understanding of gliomas,
and illustrate novel exosome therapeutic approaches in glioma, thereby paving the
way towards innovative therapies in neuro-oncology.
PMID- 29642509
TI - In-Situ Synthesis of Hydrogen Titanate Nanotube/Graphene Composites with a
Chemically Bonded Interface and Enhanced Visible Photocatalytic Activity.
AB - Hydrogen titanate nanotube (HTT)/graphene nanocomposites are synthesized by
hydrothermal reduction of graphene oxide (GO) and simultaneous preparation of
nanotubular HTT via an alkaline hydrothermal process. By using this facile in
situ compositing strategy, HTT are densely supported upon the surface of graphene
sheets with close interface contacts. The as-prepared HTT/graphene nanocomposites
possess significantly enhanced visible light catalytic activity for the partial
oxidation of benzylic alcohols. The amount of graphene has significant influence
on catalytic activity and the optimal content of graphene is 1.0 wt %, giving a
normalized rate constant k of 1.71 * 10-3 g/m2.h, which exceeds that of pure HTT
and HTT/graphene-1.0% mixed by a factor of 7.1 or 5.2. Other than the general
role of graphene as a high-performance electron acceptor or transporter, the
observed enhancement in photocatalytic activity over HTT/graphene can be ascribed
to the improved interfacial charge migration from enhanced chemical bonding (Ti-C
bonds) during the in-situ compositing process. The formation of Ti-C bonds is
confirmed by XPS analysis and the resulting enhanced separation of photoinduced
charge carriers is demonstrated by electrochemical impedance spectra and
transient photocurrent response.
PMID- 29642511
TI - Effect of Pinocembrin Isolated from Mexican Brown Propolis on Diabetic
Nephropathy.
AB - Propolis is a resinous beehive product that has been used worldwide in
traditional medicine to prevent and treat colds, wounds, rheumatism, heart
disease and diabetes. Diabetic nephropathy is the final stage of renal
complications caused by diabetes and for its treatment there are few
alternatives. The present study aimed to determine the chemical composition of
three propolis samples collected in Chihuahua, Durango and Zacatecas and to
evaluate the effect of pinocembrin in a model of diabetic nephropathy in vivo.
Previous research demonstrated that propolis of Chihuahua possesses hypoglycemic
and antioxidant activities. Two different schemes were assessed, preventive
(before renal damage) and corrective (once renal damage is established). In the
preventive scheme, pinocembrin treatment avoids death of the rats, improves lipid
profile, glomerular filtration rate, urinary protein, avoid increases in urinary
biomarkers, oxidative stress and glomerular basement membrane thickness. Whereas,
in the corrective scheme, pinocembrin only improves lipid profile without showing
improvement in any other parameters, even pinocembrin exacerbated the damage. In
conclusion, pinocembrin ameliorates diabetic nephropathy when there is no kidney
damage but when it is already present, pinocembrin accelerates kidney damage.
PMID- 29642510
TI - Dietary Patterns Associated with Cognitive Function among the Older People in
Underdeveloped Regions: Finding from the NCDFaC Study.
AB - Although dietary patterns are crucial to cognitive function, associations of
dietary patterns with cognitive function have not yet been fully understood. This
cross-sectional study explored dietary patterns associated with cognitive
function among the older adults in underdeveloped regions, using 1504 community
dwelling older adults aged 60 and over. Diet was assessed using a food frequency
questionnaire and 24-h dietary recall. Factor analysis was used to extract
dietary patterns. Global cognitive function was assessed using the Mini-Mental
State Examination (MMSE). Two dietary patterns, a "mushroom, vegetable, and
fruits" (MVF) pattern and a "meat and soybean products" (MS) pattern, were
identified. The MVF pattern, characterized by high consumption of mushrooms,
vegetables, and fruits was significantly positively associated with cognitive
function (p < 0.05), with an odds ratio of (95% CIs) 0.60 (0.38, 0.94) for
cognitive impairment and beta (95% CIs) 0.15 (0.02, 0.29) for -log (31-MMSE
score). The MS pattern, characterized by high consumption of soybean products and
meat, was also associated with better cognitive function, with an odds ratio of
0.47 (95% CIs 0.30, 0.74) for cognitive impairment and beta (95% CIs) 0.34 (0.21,
0.47) for -log (31-MMSE score). Our results suggested that both the MVF and MS
patterns were positively associated with better cognitive function among older
adults in underdeveloped regions.
PMID- 29642512
TI - Finite Element Simulations of Hard-On-Soft Hip Joint Prosthesis Accounting for
Dynamic Loads Calculated from a Musculoskeletal Model during Walking.
AB - The hip joint replacement is one of the most successful orthopedic surgical
procedures although it involves challenges to overcome. The patient group
undergoing total hip arthroplasty now includes younger and more active patients
who require a broad range of motion and a longer service lifetime for the
replacement joint. It is well known that wear tests have a long duration and they
are very expensive, thus studying the effects of geometry, loading, or alignment
perturbations may be performed by Finite Element Analysis. The aim of the study
was to evaluate total deformation and stress intensity on ultra-high molecular
weight polyethylene liner coupled with hard material head during one step. Moving
toward in-silico wear assessment of implants, in the presented simulations we
used a musculoskeletal multibody model of a human body giving the loading and
relative kinematic of the investigated tribo-system during the gait. The analysis
compared two frictional conditions -dry and wet and two geometrical cases- with
and without radial clearance. The loads and rotations followed the variability of
the gait cycle as well as stress/strain acting in the UHWMPE cup. The obtained
results allowed collection of the complete stress/strain description of the
polyethylene cup during the gait and calculation of the maximum contact pressure
on the lateral edge of the insert. The tensional state resulted in being more
influenced by the geometrical conditions in terms of radial clearance than by the
variation of the friction coefficients due to lubrication phenomena.
PMID- 29642513
TI - Intra-Household Allocation of Nutrients in an Opening China.
AB - This paper uses China Health and Nutrition Survey (CHNS) data to analyze the
effect of foreign direct investment (FDI) on nutrient intakes across various
family roles to identify the different family roles' heterogeneous nutrition
intake responses to economic openness. The empirical evidence shows that FDI
enhances labor forces' calorie intake significantly, especially for rural
households. The government should continue facilitating more FDI inflows,
especially FDI in secondary industries for rural populations. However, the larger
the family, the smaller the effect of FDI on nutrient intake for some family
roles. The elderly and children may be weaker responders on nutrient intake than
other family members in an open economy. This implies the existence of intra
household redistribution and that the level of effectiveness will decrease with
family size. The results suggest that family members in rural areas can benefit
more in terms of nutrient intake. Our empirical evidence also indicates that
female family members' calorie intake from the FDI effect is higher than that of
male family members (except for the granddaughter/grandson). Preferential
policies should be provided for the FDI, flowing to rural areas and female
dominant industries.
PMID- 29642514
TI - An Intelligent Monitoring Network for Detection of Cracks in Anvils of High-Press
Apparatus.
AB - Due to the endurance of alternating high pressure and temperature, the carbide
anvils of the high-press apparatus, which are widely used in the synthetic
diamond industry, are prone to crack. In this paper, an acoustic method is used
to monitor the crack events, and the intelligent monitoring network is proposed
to classify the sound samples. The pulse sound signals produced by such cracking
are first extracted based on a short-time energy threshold. Then, the signals are
processed with the proposed intelligent monitoring network to identify the
operation condition of the anvil of the high-pressure apparatus. The monitoring
network is an improved convolutional neural network that solves the problems that
may occur in practice. The length of pulse sound excited by the crack growth is
variable, so a spatial pyramid pooling layer is adopted to solve the variable
length input problem. An adaptive weighted algorithm for loss function is
proposed in this method to handle the class imbalance problem. The good
performance regarding the accuracy and balance of the proposed intelligent
monitoring network is validated through the experiments finally.
PMID- 29642515
TI - Biocatalyst Screening with a Twist: Application of Oxygen Sensors Integrated in
Microchannels for Screening Whole Cell Biocatalyst Variants.
AB - Selective oxidative functionalization of molecules is a highly relevant and often
demanding reaction in organic chemistry. The use of biocatalysts allows the
stereo- and regioselective introduction of oxygen molecules in organic compounds
at milder conditions and avoids the use of complex group-protection schemes and
toxic compounds usually applied in conventional organic chemistry. The
identification of enzymes with the adequate properties for the target reaction
and/or substrate requires better and faster screening strategies. In this
manuscript, a microchannel with integrated oxygen sensors was applied to the
screening of wild-type and site-directed mutated variants of naphthalene
dioxygenase (NDO) from Pseudomonas sp. NICB 9816-4. The oxygen sensors were used
to measure the oxygen consumption rate of several variants during the conversion
of styrene to 1-phenylethanediol. The oxygen consumption rate allowed the
distinguishing of endogenous respiration of the cell host from the oxygen
consumed in the reaction. Furthermore, it was possible to identify the higher
activity and different reaction rate of two variants, relative to the wild-type
NDO. The meander microchannel with integrated oxygen sensors can therefore be
used as a simple and fast screening platform for the selection of dioxygenase
mutants, in terms of their ability to convert styrene, and potentially in terms
of substrate specificity.
PMID- 29642516
TI - Erratum: Ramanathan, A.; et al. Metal-Incorporated Mesoporous Silicates: Tunable
Catalytic Properties and Applications. Molecules 2018, 23, 263.
AB - The authors wish to make the following change to their paper [1].[...].
PMID- 29642517
TI - Asymptomatic Effect of Occupational Radiation Exposure on Thyroid Gland Hormones
and Thyroid Gland Ultrasonographic Abnormalities.
AB - Data evaluating the effect of asymptomatic effects of radiation on thyroid
hormone panels and ultrasonographic abnormalities among radiology technologists
are scarce. This study aimed to determine the asymptomatic effect of radiation in
a total of 39 male and 11 female exposed radiology technologists working in
radiology departments, and a total of 34 male and 16 female age-matched controls
working in other departments in the same hospital. The level of triiodothyronine
(T3), thyroxine (T4) and thyroid-stimulating hormone (TSH) were evaluated using
Enzyme Linked Immunosorbent Assay (ELISA). Thyroid ultrasonographic evaluation
outcomes were given as normal or abnormal. There was significant interaction
between exposure and gender in the mean TSH and T4 but not T3. The mean TSH for
exposed men was significantly higher than that among non-exposed men (2.28 mIU/L
vs. 1.59 mIU/L; p-value = 0.003). The mean TSH was not significantly different
between exposed and non-exposed women. The mean T4 for exposed men was
significantly higher when compared with non-exposed men (11.1 pmol/L vs. 10.05
pmol/L; p-value = 0.005). In the non-exposed group, 93.8% of women and 94.1% of
men had T4 values lower than established normal reference range, while in the
exposed group, 90.9% of women and 74.4% of men had low values of T4. There was no
significant difference in mean T3 between exposed and non-exposed groups for men
and women. Furthermore, there were no significant differences in the thyroid
gland ultrasonographic findings between exposed and non-exposed groups.
Occupational radiation exposure is associated with increased means of TSH and T3,
especially among men.
PMID- 29642518
TI - Absolute Measurement of the Refractive Index of Water by a Mode-Locked Laser at
518 nm.
AB - In this paper, we demonstrate a method using a frequency comb, which can
precisely measure the refractive index of water. We have developed a simple
system, in which a Michelson interferometer is placed into a quartz-glass
container with a low expansion coefficient, and for which compensation of the
thermal expansion of the water container is not required. By scanning a mirror on
a moving stage, a pair of cross-correlation patterns can be generated. We can
obtain the length information via these cross-correlation patterns, with or
without water in the container. The refractive index of water can be measured by
the resulting lengths. Long-term experimental results show that our method can
measure the refractive index of water with a high degree of accuracy-measurement
uncertainty at 10-5 level has been achieved, compared with the values calculated
by the empirical formula.
PMID- 29642519
TI - Beneficial Effects of Bioactive Compounds in Mulberry Fruits against Cisplatin
Induced Nephrotoxicity.
AB - Mulberry, the fruit of white mulberry tree (Morus alba L., Moraceae), is commonly
used in traditional Chinese medicines as a sedative, tonic, laxative, and emetic.
In our continuing research of the bioactive metabolites from mulberry, chemical
analysis of the fruits led to the isolation of five compounds, 1-5. The compounds
were identified as butyl pyroglutamate (1), quercetin 3-O-beta-d-glucoside (2),
kaempferol 3-O-beta-d-rutinoside (3), rutin (4), and 2-phenylethyl d-rutinoside
(5) by spectroscopic data analysis, comparing their nuclear magnetic resonance
(NMR) data with those in published literature, and liquid chromatography-mass
spectrometry analysis. The isolated compounds 1-5 were evaluated for their
effects on anticancer drug-induced side effects by cell-based assays. Compound 1
exerted the highest protective effect against cisplatin-induced kidney cell
damage. This effect was found to be mediated through the attenuation of
phosphorylation of c-Jun N-terminal kinase, extracellular signal-regulated
kinase, p38, mitogen-activated protein kinase, and caspase-3 in cisplatin-induced
kidney cell damage.
PMID- 29642520
TI - Melatonin Protects against Lung Fibrosis by Regulating the Hippo/YAP Pathway.
AB - Idiopathic pulmonary fibrosis (IPF) is a progressive, fibrotic interstitial
pneumonia with high mortality. Melatonin, a hormone predominantly secreted by the
pineal gland, has been reported to participate in the process of IPF. However,
the mechanisms underlying the effect of melatonin in pulmonary fibrosis have not
been elucidated to date. This study was designed to evaluate the anti-fibrotic
role of melatonin in pulmonary fibrosis and to elucidate the potential
mechanisms. We observed that melatonin markedly attenuated bleomycin (BLM)
induced experimental lung fibrosis in mice and inhibited TGF-beta1-induced
fibrogenesis in lung fibroblasts. Additionally, we determined that luzindole, a
melatonin receptor inhibitor, reduced the anti-fibrotic effect of melatonin.
Further studies showed that melatonin alleviated the translocation of YAP1 from
cytoplasm to nucleus, a key downstream effector of the Hippo pathway, in vivo and
in vitro by interacting with its receptor. Taken together, our results suggest
that melatonin prevents lung fibrosis by inhibiting YAP1 and indicate that
melatonin replacement could be a novel strategy for the treatment of lung
fibrosis.
PMID- 29642521
TI - Cytochrome P450 CYP6EV11 in Chironomus kiiensis Larvae Involved in Phenol Stress.
AB - Phenol is one of the organic pollutants which can cause water environment
pollution. It is not only enriched in aquatic organisms but is also a serious
threat to human health. Chironomus kiiensis is very sensitive to the contaminants
in water and its cytochrome P450s are usually chosen as biomarkers for water
pollution. To examine whether CYP6EV11 plays a role in the oxidative metabolism
of phenol, we measured the silencing efficiency of CYP6EV11 and evaluated larval
susceptibility to sublethal phenol levels by RNA interference (RNAi) technology.
The results showed that the transcription of CYP6EV11 was found significantly up
regulated when the 4th instar C.kiiensis larvae were exposed to three doses of
phenol. However, the transcriptional levels of CYP6EV11 were significantly
suppressed by 92.7% in the 4th instar C. kiiensis larvae soaked in dsCYP6EV11
compared with those soaked in dsGFP for 6 h. The CYP6EV11 expression and
mortality of the 4th instar C. kiiensis larvae with CYP6EV11 silencing were
mostly decreased under phenol stress. Therefore, the CYP6EV11 gene may be used as
a molecular biomarker for earlier warning and monitoring for water pollution.
PMID- 29642522
TI - Cellulose-Silica Nanocomposites of High Reinforcing Content with Fungi Decay
Resistance by One-Pot Synthesis.
AB - Hybrid bionanocomposites based on cellulose matrix, with silica nanoparticles as
reinforcers, were prepared by one-pot synthesis of cellulose surface modified by
solvent exchange method to keep the biopolymer net void for hosting inorganic
nanoparticles. Neither expensive inorganic-particle precursors nor crosslinker
agents or catalysts were used for effective dispersion of reinforcer
concentration up to 50 wt %. Scanning electron microscopy of the nanocomposites
shows homogeneous dispersion of reinforcers in the surface modified cellulose
matrix. The FTIR spectra demonstrated the cellulose features even at 50 weight
percent content of silica nanoparticles. Such a high content of silica provides
high thermal stability to composites, as seen by TGA-DSC. The fungi decay
resistance to Trametes versicolor was measured by standard test showing good
resistance even with no addition of antifungal agents. This one-pot synthesis of
biobased hybrid materials represents an excellent way for industrial production
of high performance materials, with a high content of inorganic nanoparticles,
for a wide variety of applications.
PMID- 29642523
TI - Tricycloalternarene Analogs from a Symbiotic Fungus Aspergillus sp. D and Their
Antimicrobial and Cytotoxic Effects.
AB - Bioassay-guided fractionation of the crude extract of fermentation broth of one
symbiotic strain Aspergillus sp. D from the coastal plant Edgeworthia chrysantha
Lindl. led to isolation of one new meroterpenoid, tricycloalternarene 14b (1),
together with four known analogs (2-5), tricycloalternarenes 2b (2), 3a (3), 3b
(4), and ACTG-toxin F (5). Their chemical structures were unambiguously
established on the basis of NMR, mass spectrometry, and optical rotation data
analysis, as well as by comparison with literature data. Biological assays
indicated that compound 2 exhibited potent in vitro cytotoxicity against human
lung adenocarcinoma A549 cell line with an IC50 value of 2.91 MUM, and compound 5
had a moderate inhibitory effect on Candida albicans, with an MIC value of 15.63
MUM. The results indicated that this symbiotic strain D is an important producer
of tricycloalternarene derivatives, with potential therapeutic application in
treatment of cancer and pathogen infection.
PMID- 29642524
TI - Medical and Legal Aspects of Child Sexual Abuse: A Population-Based Study in a
Hungarian County.
AB - BACKGROUND: Very few studies focus on childhood sexual abuse in middle European
countries. AIM: The purpose of our study is to describe the medical and legal
characteristics of children who experience sexual abuse and explore common
features that may result in strategies for prevention. METHODS: Between 2000 and
2015, 400 girls and 26 boys under the age of 18, suspected of being sexually
abused, visited one of the four hospitals in a Hungarian county. RESULTS: Mean
age at onset was 10.81 years for boys, 13.46 years for girls. In 278 cases
(65.3%), the perpetrator was known to the victim, and a stranger was suspected in
148 cases (34.7%). In 79 cases (30.7% of boys and 17.7% of girls), a family
member was the accused perpetrator. In more than one-third (boys) and in one
fifth (girls) of cases, sexual abuse had occurred on multiple occasions. In the
case of boys, child and adolescent sexual abuse (CSA) included oral genital,
genital touching and genital to genital contact in 14 cases (53.8%) and anal
intercourse in 12 (46.2%) cases. In case of girls, sexual abuse included coitus
in 219 (54.8%), oral genital, genital touching, genital to genital contact in 164
(41.0%), anal abuse in 14 (3.5%) cases, physical injury was incurred in 15 cases.
Legal proceedings followed the CSA in 205 (48.1%) cases. CONCLUSION: The results
highlight the urgent need to address the issue of sexual abuse in Hungary and
minimize its impact. Prevention requires a systematic and lifelong approach to
educating children about personal space safety and privacy to reduce
vulnerability and is the responsibility of parents and professionals.
PMID- 29642525
TI - Multi-Objectives Optimization of Ventilation Controllers for Passive Cooling in
Residential Buildings.
AB - An inappropriate indoor climate, mostly indoor temperature, may cause occupants'
discomfort. There are a great number of air conditioning systems that make it
possible to maintain the required thermal comfort. Their installation, however,
involves high investment costs and high energy demand. The study analyses the
possibilities of limiting too high a temperature in residential buildings using
passive cooling by means of ventilation with ambient cool air. A fuzzy logic
controller whose aim is to control mechanical ventilation has been proposed and
optimized. In order to optimize the controller, the modified Multiobjective
Evolutionary Algorithm, based on the Strength Pareto Evolutionary Algorithm, has
been adopted. The optimization algorithm has been implemented in MATLAB(r), which
is coupled by MLE+ with EnergyPlus for performing dynamic co-simulation between
the programs. The example of a single detached building shows that the occupants'
thermal comfort in a transitional climate may improve significantly owing to
mechanical ventilation controlled by the suggested fuzzy logic controller. When
the system is connected to the traditional cooling system, it may further bring
about a decrease in cooling demand.
PMID- 29642526
TI - Construction and Characterization of a Humanized Anti-Epstein-Barr Virus gp350
Antibody with Neutralizing Activity in Cell Culture.
AB - Acute Epstein-Barr virus (EBV) infection in immunosuppressed transplant patients
can give rise to a malignant B-cell proliferation known as post-transplant
lymphoproliferative disease (PTLD). The EBV major virion surface glycoprotein
(gp)350 is a principal target of naturally occurring neutralizing antibodies and
is viewed as the best target to prevent acute infection and PTLD in at-risk
transplant recipients. We have constructed a humanized (hu) version of the murine
anti-gp350 neutralizing monoclonal antibody 72a1. The hu72a1 IgG1 antibody
displayed no significant anti-mouse activity, recognized both gp350 and its
splice variant gp220 as well as a gp350 peptide that was shown to constitute the
principal EBV gp350 neutralizing epitope when tested in immunoassays. Hu72a1
antibody blocked in vitro EBV infection of B cells at a level which equaled that
of a mouse-human chimeric 72a1 antibody construct. This work provides a further
structural and immunological understanding of the 72a1 antibody interaction with
EBV gp350, and constitutes a launch point for future anti-EBV therapeutic
antibodies designed to block EBV infection and prevent PTLD while eliminating the
deleterious antigenic murine features of the original 72a1 antibody.
PMID- 29642527
TI - Fluid Intake and Beverage Consumption Description and Their Association with
Dietary Vitamins and Antioxidant Compounds in Italian Adults from the
Mediterranean Healthy Eating, Aging and Lifestyles (MEAL) Study.
AB - The aim of the present study was to investigate the total water intake (TWI) from
drinks and foods and to evaluate the correlation between the different types of
drinks on energy and antioxidant intake. The cohort comprised 1602 individuals
from the city of Catania in Southern Italy. A food frequency questionnaire was
administered to assess dietary and water intake. The mean total water intake was
2.7 L; more than about two thirds of the sample met the European recommendations
for water intake. Water and espresso coffee were the most consumed drinks.
Alcohol beverages contributed about 3.0% of total energy intake, and sugar
sweetened beverages contributed about 1.4%. All antioxidant vitamins were
significantly correlated with TWI. However, a higher correlation was found for
water from food rather than water from beverages, suggesting that major food
contributors to antioxidant vitamin intake might be fruits and vegetables, rather
than beverages other than water. A mild correlation was found between fruit
juices and vitamin C; coffee, tea and alcohol, and niacin and polyphenols; and
milk and vitamin B12. The findings from the present study show that our sample
population has an adequate intake of TWI and that there is a healthy association
between beverages and dietary antioxidants.
PMID- 29642528
TI - The Effect of Topical Ocular Anesthetic Proparacaine on Conjunctival and Nasal
Mucosal Flora in Dry Eye Disease Patients.
AB - The aim of this study was to investigate the effect of topically applied ocular
anesthetic proparacaine on conjunctival and nasal bacterial mucosal flora in
patients with dry eye disease. A Schirmer test was done with (group 1) and
without (group 2) topical anesthetic proparacaine to 40 patients in each group.
Conjunctival and nasal cultures were obtained before and 10 min after performing
the Schirmer test. The bacterial culture results and the isolated bacteria were
recorded in two groups. Patients' mean age was 62 years (70 female, 10 male).
Before the application of topical anesthetic, 50 (62.5%) and 62 (77.5%) had
positive conjunctival and nasal culture, respectively, with the most commonly
isolated organism being coagulase-negative Staphylococcus in each group. In group
1 the conjunctival bacterial culture positivity rate decreased from 26 (65%) to
six (15%) eyes (p < 0.001); however, this rate decreased slightly from 24 (60%)
to 20 (50%) eyes in group 2 (p > 0.05). For the nasal cultures, the bacterial
culture positivity rate decreased from 80% to 20% and from 75% to 65% in groups 1
(p < 0.001) and 2 (p > 0.05), respectively. Topical ocular anesthetic
proparacaine has antibacterial activity in both conjunctival and nasal flora in
patients with dry eye disease.
PMID- 29642529
TI - A Novel Pb-Resistant Bacillus subtilis Bacterium Isolate for Co-Biosorption of
Hazardous Sb(III) and Pb(II): Thermodynamics and Application Strategy.
AB - The present work is the first to study co-biosorption of Pb(II) and Sb(III) by a
novel bacterium and its application strategy. The biosorption characteristics of
Pb(II) and Sb(III) ions from aqueous solution using B. subtilis were
investigated. Optimum pH, biomass dosage, contact time and temperature were
determined to be 5.00, 6.00 mg/L, 45 min and 35 degrees C, respectively.
Langmuir, Freundlich, Temkin and Dubinin-Radushkevich (D-R) models were applied
to describe the biosorption isotherm of the metal ions by B. subtilis. Results
showed that Langmuir model fitted the equilibrium data of Pb(II) better than
others, while biosorption of Sb(III) obeyed the Freundlich model well. The
biosorption capacity of B. subtilis biomass for Pb(II) and Sb(III) ions was found
to be 17.34 +/- 0.14 and 2.32 +/- 0.30 mg/g, respectively. Kinetic data showed
the biosorption process of Pb(II) and Sb(III) ions both followed the pseudo
second-order kinetic model, with R2 ranging from 0.974 to 0.999 for Pb(II) and
from 0.967 to 0.979 for Sb(III). The calculated thermodynamic parameters,
negative ?G and positive ?H and ?S values, indicated the biosorption of Pb(II)
and Sb(III) ions onto B. subtilis biomass in water was feasible, endothermic, and
spontaneous. Bacterial bioleaching experiment revealed B. subtilis can increase
the mobility of Pb(II) and Sb(III) in polluted soil when pH was close to 6 at low
temperature. Consequently, B. subtilis, as a cheap and original bacterial
material, could be a promising biomass to remove Pb or isolate Sb from industrial
wastewater and to assist phytoremediation of Pb and Sb from weak acid or near
neutral pH polluted soils at low temperature.
PMID- 29642530
TI - An Innovative Context-Based Crystal-Growth Activity Space Method for
Environmental Exposure Assessment: A Study Using GIS and GPS Trajectory Data
Collected in Chicago.
AB - Scholars in the fields of health geography, urban planning, and transportation
studies have long attempted to understand the relationships among human movement,
environmental context, and accessibility. One fundamental question for this
research area is how to measure individual activity space, which is an indicator
of where and how people have contact with their social and physical environments.
Conventionally, standard deviational ellipses, road network buffers, minimum
convex polygons, and kernel density surfaces have been used to represent people's
activity space, but they all have shortcomings. Inconsistent findings of the
effects of environmental exposures on health behaviors/outcomes suggest that the
reliability of existing studies may be affected by the uncertain geographic
context problem (UGCoP). This paper proposes the context-based crystal-growth
activity space as an innovative method for generating individual activity space
based on both GPS trajectories and the environmental context. This method not
only considers people's actual daily activity patterns based on GPS tracks but
also takes into account the environmental context which either constrains or
encourages people's daily activity. Using GPS trajectory data collected in
Chicago, the results indicate that the proposed new method generates more
reasonable activity space when compared to other existing methods. This can help
mitigate the UGCoP in environmental health studies.
PMID- 29642531
TI - A Possible Trifunctional beta-Carotene Synthase Gene Identified in the Draft
Genome of Aurantiochytrium sp. Strain KH105.
AB - Labyrinthulomycetes have been regarded as a promising industrial source of
xanthophylls, including astaxanthin and canthaxanthin, polyunsaturated fatty
acids such as docosahexaenoic acid and docosapentaenoic acid, omega-3 oils, and
terpenic hydrocarbons, such as sterols and squalene. A Thraustochytrid,
Aurantiochytrium sp. KH105 produces carotenoids, including astaxanthin, with
strong antioxidant activity. To gain genomic insights into this capacity, we
decoded its 97-Mbp genome and characterized genes for enzymes involved in
carotenoid biosynthesis. Interestingly, all carotenogenic genes, as well as other
eukaryotic genes, appeared duplicated, suggesting that this strain is diploid. In
addition, among the five genes involved in the pathway from geranylgeranyl
pyrophosphate to astaxanthin, geranylgeranyl phytoene synthase (crtB), phytoene
desaturase (crtI) and lycopene cyclase (crtY) were fused into single gene
(crtIBY) with no internal stop codons. Functionality of the trifunctional enzyme,
CrtIBY, to catalyze the reaction from geranylgeranyl diphosphate to beta-carotene
was confirmed using a yeast assay system and mass spectrometry. Furthermore,
analyses of differential gene expression showed characteristic up-regulation of
carotenoid biosynthetic genes during stationary and starvation phases under these
culture conditions. This suggests genetic engineering events to promote more
efficient production of carotenoids. We also showed an occurrence of crtIBY in
other Thraustochytrid species.
PMID- 29642532
TI - Ursodeoxycholate Restores Biliary Excretion of Methotrexate in Rats with Ethinyl
Estradiol Induced-Cholestasis by Restoring Canalicular Mrp2 Expression.
AB - The in vivo relevance of ursodeoxycholate (UDCA) treatment (100 mg/kg/day, per
oral tid for 5 days before cholestasis induction followed by the same dosing for
5 days) on hepatic function was investigated in rats with 17alpha
ethinylestradiol (EE, 10 mg/kg, subcutaneous for 5 days)-induced experimental
cholestasis. The bile flow rate and the expression level of hepatic multidrug
resistance-associated protein 2 (Mrp 2) that were decreased in cholestasis were
restored after UDCA treatment. Consistent with this, the biliary excretion
clearance (CLexc,bile) of a representative Mrp2 substrate-methotrexate (MTX)-was
decreased in cholestatic rats but was restored after UDCA treatment.
Consequently, the plasma concentrations of MTX, which were increased by
cholestasis, were decreased to control levels by UDCA treatment. Thus, the
restoration of CLexc,bile appears to be associated with the increase in Mrp2
expression on the canalicular membrane by UDCA treatment followed by Mrp2
mediated biliary excretion of MTX. On the other hand, the hepatic uptake
clearance (CLup,liver) of MTX was unchanged by cholestasis or UDCA treatment,
suggestive of the absence of any association between the uptake process and the
overall biliary excretion of MTX. Since UDCA has been known to induce the
expression of canalicular MRP2 in humans, UDCA treatment might be effective in
humans to maintain or accelerate the hepatobiliary elimination of xenobiotics or
metabolic conjugates that are MRP2 substrates.
PMID- 29642533
TI - Rhabdomyolysis in a Young Girl with Van Wyk-Grumbach Syndrome due to Severe
Hashimoto Thyroiditis.
AB - Background: Autoimmune hypothyroidism (Hashimoto thyroiditis; HT) is the most
common postnatal thyroid disease. Clinical manifestations of HT vary according to
disease severity. Due to the pleiotropic effects of thyroid hormone, less common
signs and symptoms of HT can occur, leading to a delay in diagnosis. Case
presentation: A 9-year-old girl of Indian origin was admitted for a one-week
history of widespread myalgia, fatigue, muscle weakness, difficulty walking, and
a significant increase in weight (approximately 2 kg) without any changes in
daily habits. The only relevant medical history was several intermittent vaginal
bleeding episodes since four years of age. Breast development was consistent with
Tanner stage 2 without pubic or axillary hair; while height and weight were at
the 10th percentile and the 38th percentile; respectively. Bone age from a left
wrist X-ray was delayed 1 year. Pelvic ultrasonography revealed a uterine
body/neck ratio of >1 (pubertal stage) and multifollicular ovaries. Her external
genitalia had a childlike appearance. Laboratory examinations showed an increased
thyroid-stimulating hormone, decreased free thyroxine, and positive anti
thyroglobulin antibody titres, as well as elevation of creatine phosphokinase,
myoglobin, lactate dehydrogenase, serum aspartate aminotransferase,
hypercholesterolemia, and a basal serum prolactin near the upper limit of normal.
Follicle stimulating hormone and estradiol were slightly and significantly
elevated, respectively. Thyroid ultrasound showed an increased gland size with
irregular echostructures and high vascularization. Levothyroxine replacement
therapy led to complete normalization of clinical and laboratory findings,
including rhabdomyolysis indices. No further vaginal bleeding episodes were
reported. Conclusion: This case report highlights how various can be the clinical
picture of HT in children, and how rare clinical manifestations can be the only
signs of disease at presentation leading to delayed diagnosis and treatment. In
this girl, a never-described association of Van Wyk-Grumbach syndrome and acute
rhabdomyolysis in a young girl with previously unrecognized HT is described. The
importance of recognizing the signs and symptoms of rare complications of HT in
order to begin appropriate therapy is stressed.
PMID- 29642535
TI - Molecular Targeting of Acid Ceramidase in Glioblastoma: A Review of Its Role,
Potential Treatment, and Challenges.
AB - Glioblastoma is the most common, malignant primary tumor of the central nervous
system. The average prognosis for life expectancy after diagnosis, with the triad
of surgery, chemotherapy, and radiation therapy, is less than 1.5 years.
Chemotherapy treatment is mostly limited to temozolomide. In this paper, the
authors review an emerging, novel drug called acid ceramidase, which targets
glioblastoma. Its role in cancer treatment in general, and more specifically, in
the treatment of glioblastoma, are discussed. In addition, the authors provide
insights on acid ceramidase as a potential druggable target for glioblastoma.
PMID- 29642536
TI - Multi-Component Analysis of Ilex Kudingcha C. J. Tseng by a Single Marker
Quantification Method and Chemometric Discrimination of HPLC Fingerprints.
AB - The quantitative analysis of multiple components with a single marker (QAMS)
method was firstly established for simultaneous determination of 18 active
components in Ilex kudingcha C. J. Tseng by HPLC. Using rutin, isochlorogenic
acid A and kudinoside A as internal refererence substances (IRS), compatibility
results showed that the relative correction factors (RCFs) of all compounds
showed good reproducibility under different chromatographic conditions. On the
basis of previous studies, the accuracy of the QAMS method was systematically
evaluated by investigating the influences of curve intercept, analytes and IRS
concentration. The results showed that the concentration (especially at low
level) of analytes and curve intercept were the major influencing parameters for
the LRG-QAMS method (LRG = linear regression), whereas the influence of IRS
concentration seemed more apparent in terms of the AVG-QAMS method (AVG =
average). The two approaches were complementary with each other. In addition,
hierarchical clustering analysis (HCA), principal components analysis (PCA) and
similarity analysis (SA) were performed to differentiate and classify the samples
based on the contents of 18 marker compounds. The results of the different
chemometric analyses were completely consistent with each other, and could be
supported by the quantification results.
PMID- 29642534
TI - Mesenchymal Stromal Cells: Emerging Roles in Bone Metastasis.
AB - Bone metastasis is the most advanced stage of many cancers and indicates a poor
prognosis for patients due to resistance to anti-tumor therapies. The
establishment of metastasis within the bone is a multistep process. To ensure
survival within the bone marrow, tumor cells must initially colonize a niche in
which they can enter dormancy. Subsequently, reactivation permits the
proliferation and growth of the tumor cells, giving rise to a macro-metastasis
displayed clinically as a bone metastatic lesion. Here, we review the evidences
that suggest mesenchymal stromal cells play an important role in each of these
steps throughout the development of bone metastasis. Similarities between the
molecular mechanisms implicated in these processes and those involved in the
homeostasis of the bone indicate that the metastatic cells may exploit the
homeostatic processes to their own advantage. Identifying the molecular
interactions between the mesenchymal stromal cells and tumor cells that promote
tumor development may offer insight into potential therapeutic targets that could
be utilized to treat bone metastasis.
PMID- 29642539
TI - In Silico Functional Networks Identified in Fish Nucleated Red Blood Cells by
Means of Transcriptomic and Proteomic Profiling.
AB - Nucleated red blood cells (RBCs) of fish have, in the last decade, been
implicated in several immune-related functions, such as antiviral response,
phagocytosis or cytokine-mediated signaling. RNA-sequencing (RNA-seq) and label
free shotgun proteomic analyses were carried out for in silico functional pathway
profiling of rainbow trout RBCs. For RNA-seq, a de novo assembly was conducted,
in order to create a transcriptome database for RBCs. For proteome profiling, we
developed a proteomic method that combined: (a) fractionation into cytosolic and
membrane fractions, (b) hemoglobin removal of the cytosolic fraction, (c) protein
digestion, and (d) a novel step with pH reversed-phase peptide fractionation and
final Liquid Chromatography Electrospray Ionization Tandem Mass Spectrometric (LC
ESI-MS/MS) analysis of each fraction. Combined transcriptome- and proteome-
sequencing data identified, in silico, novel and striking immune functional
networks for rainbow trout nucleated RBCs, which are mainly linked to innate and
adaptive immunity. Functional pathways related to regulation of hematopoietic
cell differentiation, antigen presentation via major histocompatibility complex
class II (MHCII), leukocyte differentiation and regulation of leukocyte
activation were identified. These preliminary findings further implicate
nucleated RBCs in immune function, such as antigen presentation and leukocyte
activation.
PMID- 29642538
TI - DDX5 RNA Helicases: Emerging Roles in Viral Infection.
AB - Asp-Glu-Ala-Asp (DEAD)-box polypeptide 5 (DDX5), also called p68, is a
prototypical member of the large ATP-dependent RNA helicases family and is known
to participate in all aspects of RNA metabolism ranging from transcription to
translation, RNA decay, and miRNA processing. The roles of DDX5 in cell cycle
regulation, tumorigenesis, apoptosis, cancer development, adipogenesis, Wnt-beta
catenin signaling, and viral infection have been established. Several RNA viruses
have been reported to hijack DDX5 to facilitate various steps of their
replication cycles. Furthermore, DDX5 can be bounded by the viral proteins of
some viruses with unknown functions. Interestingly, an antiviral function of DDX5
has been reported during hepatitis B virus and myxoma virus infection. Thus, the
precise roles of this apparently multifaceted protein remain largely obscure.
Here, we provide a rapid and critical overview of the structure and functions of
DDX5 with a particular emphasis on its role during virus infection.
PMID- 29642537
TI - Epigenetic Mechanisms Impacting Aging: A Focus on Histone Levels and Telomeres.
AB - Aging and age-related diseases pose some of the most significant and difficult
challenges to modern society as well as to the scientific and medical
communities. Biological aging is a complex, and, under normal circumstances,
seemingly irreversible collection of processes that involves numerous underlying
mechanisms. Among these, chromatin-based processes have emerged as major
regulators of cellular and organismal aging. These include DNA methylation,
histone modifications, nucleosome positioning, and telomere regulation, including
how these are influenced by environmental factors such as diet. Here we focus on
two interconnected categories of chromatin-based mechanisms impacting aging:
those involving changes in the levels of histones or in the functions of
telomeres.
PMID- 29642540
TI - Instillation of Sericin Enhances Corneal Wound Healing through the ERK Pathway in
Rat Debrided Corneal Epithelium.
AB - Sericin is a major constituent of silk produced by silkworms. We previously found
that the instillation of sericin enhanced the proliferation of corneal epithelial
cells, and acted to promote corneal wound healing in both normal and diabetic
model rats. However, the mechanisms by which sericin promotes the proliferation
of corneal cells have not been established. In this study, we investigated the
effects of sericin on Akt and ERK activation in a human corneal epithelial cell
line (HCE-T cells) and rat debrided corneal epithelium. Although Akt
phosphorylation was not detected following the treatment of HCE-T cells with
sericin, ERK1/2 phosphorylation was enhanced. The growth of HCE-T cells treated
with sericin was significantly increased, with the cell growth of sericin-treated
HCE-T cells being 1.7-fold higher in comparison with vehicle-treated HCE-T cells.
On the other hand, both of an ERK inhibitor U0126 (non-specific specific
inhibitor) and SCH772984 (specific inhibitor) attenuated the enhanced cell growth
by sericin, and the growth level in the case of co-treatment with sericin and
ERK1/2 inhibitor was similar to that of cells treated with ERK1/2 inhibitor
alone. In an in vivo study using rat debrided corneal epithelium, the corneal
wound healing rate was enhanced by the instillation of sericin, and this
enhancement was also attenuated by the instillation of U0126. In addition, the
corneal wound healing rate in rats co-instilled with sericin and U0126 was
similar to that following the instillation of U0126 alone. In conclusion, we
found that the instillation of sericin enhanced cell proliferation via the
activation of the MAPK/ERK pathway, resulting in the promotion of corneal wound
healing in rat eyes. These findings provide significant information for designing
further studies to develop potent corneal wound-healing drugs.
PMID- 29642541
TI - Influence of Dietary Supplementation of Propolis and Bee Pollen on Liver
Pathology in Broiler Chickens.
AB - One of the major problems in intensive breeding of chickens is liver damage. The
objective of this study was to determine the influence of dietary supplementation
with propolis and bee pollen on liver pathology in broiler chickens. The study
was conducted on 200 Ross 308 chickens equally distributed by sex that were
divided into five groups. Throughout the whole study, the control group of
chickens was fed with a basal diet, while the experimental groups of chickens
were fed with the same diet further supplemented with propolis and bee pollen,
each supplement given separately or in combination in a certain proportion. The
study showed that the clusters of lymphocytes in the hepatocytes, the vacuolar
degeneration and necrosis of the liver parenchyma, the bile ductule hyperplasia,
and the various forms of pathological changes in the liver arteries and veins
were more frequent in liver tissue samples of the control group compared to liver
tissue samples of all the experimental groups (p < 0.001). The study further
showed that all the previously mentioned histopathological lesions of liver
tissue were always more extensive in the liver tissue samples of the control
group than in the liver tissue samples of all the experimental groups (p <
0.001). The supplementation of broiler chickens with propolis and/or bee pollen
has a strong protective effect on liver pathology in broiler chickens.
PMID- 29642543
TI - Comparative Genomics and Transcriptome Profiling in Primary Aldosteronism.
AB - Primary aldosteronism is the most common form of endocrine hypertension with a
prevalence of 6% in the general population with hypertension. The genetic basis
of the four familial forms of primary aldosteronism (familial hyperaldosteronism
FH types I-IV) and the majority of sporadic unilateral aldosterone-producing
adenomas has now been resolved. Familial forms of hyperaldosteronism are,
however, rare. The sporadic forms of the disease prevail and these are usually
caused by either a unilateral aldosterone-producing adenoma or bilateral adrenal
hyperplasia. Aldosterone-producing adenomas frequently carry a causative somatic
mutation in either of a number of genes with the KCNJ5 gene, encoding an inwardly
rectifying potassium channel, a recurrent target harboring mutations at a
prevalence of more than 40% worldwide. Other than genetic variations, gene
expression profiling of aldosterone-producing adenomas has shed light on the
genes and intracellular signalling pathways that may play a role in the
pathogenesis and pathophysiology of these tumors.
PMID- 29642544
TI - Quality Assessment of Kumu Injection, a Traditional Chinese Medicine Preparation,
Using HPLC Combined with Chemometric Methods and Qualitative and Quantitative
Analysis of Multiple Alkaloids by Single Marker.
AB - Kumu injection (KMI) is a common-used traditional Chinese medicine (TCM)
preparation made from Picrasma quassioides (D. Don) Benn. rich in alkaloids. An
innovative technique for quality assessment of KMI was developed using high
performance liquid chromatography (HPLC) combined with chemometric methods and
qualitative and quantitative analysis of multi-components by single marker
(QAMS). Nigakinone (PQ-6, 5-hydroxy-4-methoxycanthin-6-one), one of the most
abundant alkaloids responsible for the major pharmacological activities of Kumu,
was used as a reference substance. Six alkaloids in KMI were quantified,
including 6-hydroxy-beta-carboline-1-carboxylic acid (PQ-1), 4,5-dimethoxycanthin
6-one (PQ-2), beta-carboline-1-carboxylic acid (PQ-3), beta-carboline-1-propanoic
acid (PQ-4), 3-methylcanthin-5,6-dione (PQ-5), and PQ-6. Based on the outcomes of
twenty batches of KMI samples, the contents of six alkaloids were used for
further chemometric analysis. By hierarchical cluster analysis (HCA), radar
plots, and principal component analysis (PCA), all the KMI samples could be
categorized into three groups, which were closely related to production date and
indicated the crucial influence of herbal raw material on end products of KMI.
QAMS combined with chemometric analysis could accurately measure and clearly
distinguish the different quality samples of KMI. Hence, QAMS is a feasible and
promising method for the quality control of KMI.
PMID- 29642542
TI - Antibody-Drug Conjugates for Cancer Therapy: Chemistry to Clinical Implications.
AB - Chemotherapy is one of the major therapeutic options for cancer treatment.
Chemotherapy is often associated with a low therapeutic window due to its poor
specificity towards tumor cells/tissues. Antibody-drug conjugate (ADC) technology
may provide a potentially new therapeutic solution for cancer treatment. ADC
technology uses an antibody-mediated delivery of cytotoxic drugs to the tumors in
a targeted manner, while sparing normal cells. Such a targeted approach can
improve the tumor-to-normal tissue selectivity and specificity in chemotherapy.
Considering its importance in cancer treatment, we aim to review recent efforts
for the design and development of ADCs. ADCs are mainly composed of an antibody,
a cytotoxic payload, and a linker, which can offer selectivity against tumors,
anti-cancer activity, and stability in systemic circulation. Therefore, we have
reviewed recent updates and principal considerations behind ADC designs, which
are not only based on the identification of target antigen, cytotoxic drug, and
linker, but also on the drug-linker chemistry and conjugation site at the
antibody. Our review focuses on site-specific conjugation methods for producing
homogenous ADCs with constant drug-antibody ratio (DAR) in order to tackle
several drawbacks that exists in conventional conjugation methods.
PMID- 29642545
TI - Chloroplast Genome of the Folk Medicine and Vegetable Plant Talinum paniculatum
(Jacq.) Gaertn.: Gene Organization, Comparative and Phylogenetic Analysis.
AB - The complete chloroplast (cp) genome of Talinum paniculatum (Caryophyllale), a
source of pharmaceutical efficacy similar to ginseng, and a widely distributed
and planted edible vegetable, were sequenced and analyzed. The cp genome size of
T. paniculatum is 156,929 bp, with a pair of inverted repeats (IRs) of 25,751 bp
separated by a large single copy (LSC) region of 86,898 bp and a small single
copy (SSC) region of 18,529 bp. The genome contains 83 protein-coding genes, 37
transfer RNA (tRNA) genes, eight ribosomal RNA (rRNA) genes and four pseudogenes.
Fifty one (51) repeat units and ninety two (92) simple sequence repeats (SSRs)
were found in the genome. The pseudogene rpl23 (Ribosomal protein L23) was insert
AATT than other Caryophyllale species by sequence alignment, which located in IRs
region. The gene of trnK-UUU (tRNA-Lys) and rpl16 (Ribosomal protein L16) have
larger introns in T. paniculatum, and the existence of matK (maturase K) genes,
which usually located in the introns of trnK-UUU, rich sequence divergence in
Caryophyllale. Complete cp genome comparison with other eight Caryophyllales
species indicated that the differences between T. paniculatum and P. oleracea
were very slight, and the most highly divergent regions occurred in intergenic
spacers. Comparisons of IR boundaries among nine Caryophyllales species showed
that T. paniculatum have larger IRs region and the contraction is relatively
slight. The phylogenetic analysis among 35 Caryophyllales species and two
outgroup species revealed that T. paniculatum and P. oleracea do not belong to
the same family. All these results give good opportunities for future
identification, barcoding of Talinum species, understanding the evolutionary mode
of Caryophyllale cp genome and molecular breeding of T. paniculatum with high
pharmaceutical efficacy.
PMID- 29642546
TI - The Brown Midrib Leaf (bml) Mutation in Rice (Oryza sativa L.) Causes Premature
Leaf Senescence and the Induction of Defense Responses.
AB - Isolating and characterizing mutants with altered senescence phenotypes is one of
the ways to understand the molecular basis of leaf aging. Using ethyl methane
sulfonate mutagenesis, a new rice (Oryza sativa) mutant, brown midrib leaf (bml),
was isolated from the indica cultivar 'Zhenong34'. The bml mutants had brown
midribs in their leaves and initiated senescence prematurely, at the onset of
heading. The mutants had abnormal cells with degraded chloroplasts and contained
less chlorophyll compared to the wild type (WT). The bml mutant showed excessive
accumulation of reactive oxygen species (ROS), increased activities of superoxide
dismutase, catalase, and malondialdehyde, upregulation of senescence-induced STAY
GREEN genes and senescence-related transcription factors, and down regulation of
photosynthesis-related genes. The levels of abscisic acid (ABA) and jasmonic acid
(JA) were increased in bml with the upregulation of some ABA and JA biosynthetic
genes. In pathogen response, bml demonstrated higher resistance against
Xanthomonas oryzae pv. oryzae and upregulation of four pathogenesis-related genes
compared to the WT. A genetic study confirmed that the bml trait was caused by a
single recessive nuclear gene (BML). A map-based cloning using insertion/deletion
markers confirmed that BML was located in the 57.32kb interval between the L5IS7
and L5IS11 markers on the short arm of chromosome 5. A sequence analysis of the
candidate region identified a 1 bp substitution (G to A) in the 5'-UTR (+98) of
bml. BML is a candidate gene associated with leaf senescence, ROS regulation, and
disease response, also involved in hormone signaling in rice. Therefore, this
gene might be useful in marker-assisted backcrossing/gene editing to improve rice
cultivars.
PMID- 29642548
TI - The Strange Case of Peri-Implantology.
PMID- 29642547
TI - Antiplatelet Therapy in Coronary Artery Disease: A Daunting Dilemma.
AB - Percutaneous coronary intervention (PCI) with stenting for the treatment of acute
coronary syndrome (ACS) is the contemporary standard of care. Such treatment is
followed by dual antiplatelet therapy (DAPT) comprising of aspirin and a P2Y12
inhibitor. The efficacy of this therapy has been well established but the optimal
duration of DAPT remains elusive, and has thus far attracted a prodigious deal of
scientific attention. The decision regarding DAPT duration can be clinically
challenging in the modern era with the evolution of newer stents, more potent
antiplatelet agents, and novel anticoagulant drugs in addition to an older
patient population with multiple comorbidities. Major societal guidelines have
emphasized comprehensive assessment of ischemic and bleeding risk, in turn
recommending individualization of DAPT duration, thus encouraging "shared
decision making". The following review is aimed at critically evaluating the
available evidence to help make these crucial clinical decisions regarding
duration of DAPT and triple therapy.
PMID- 29642549
TI - A SINS/SRS/GNS Autonomous Integrated Navigation System Based on Spectral Redshift
Velocity Measurements.
AB - In order to meet the requirements of autonomy and reliability for the navigation
system, combined with the method of measuring speed by using the spectral
redshift information of the natural celestial bodies, a new scheme, consisting of
Strapdown Inertial Navigation System (SINS)/Spectral Redshift (SRS)/Geomagnetic
Navigation System (GNS), is designed for autonomous integrated navigation
systems. The principle of this SINS/SRS/GNS autonomous integrated navigation
system is explored, and the corresponding mathematical model is established.
Furthermore, a robust adaptive central difference particle filtering algorithm is
proposed for this autonomous integrated navigation system. The simulation
experiments are conducted and the results show that the designed SINS/SRS/GNS
autonomous integrated navigation system possesses good autonomy, strong
robustness and high reliability, thus providing a new solution for autonomous
navigation technology.
PMID- 29642550
TI - Healing of Osteochondral Defects Implanted with Biomimetic Scaffolds of
Poly(epsilon-Caprolactone)/Hydroxyapatite and Glycidyl-Methacrylate-Modified
Hyaluronic Acid in a Minipig.
AB - Articular cartilage is a structure lack of vascular distribution. Once the
cartilage is injured or diseased, it is unable to regenerate by itself. Surgical
treatments do not effectively heal defects in articular cartilage. Tissue
engineering is the most potential solution to this problem. In this study,
methoxy poly(ethylene glycol)-block-poly(epsilon-caprolactone) (mPEG-PCL) and
hydroxyapatite at a weight ratio of 2:1 were mixed via fused deposition modeling
(FDM) layer by layer to form a solid scaffold. The scaffolds were further
infiltrated with glycidyl methacrylate hyaluronic acid loading with 10 ng/mL of
Transforming Growth Factor-beta1 and photo cross-linked on top of the scaffolds.
An in vivo test was performed on the knees of Lanyu miniature pigs for a period
of 12 months. The healing process of the osteochondral defects was followed by
computer tomography (CT). The defect was fully covered with regenerated tissues
in the control pig, while different tissues were grown in the defect of knee of
the experimental pig. In the gross anatomy of the cross section, the scaffold
remained in the subchondral location, while surface cartilage was regenerated.
The cross section of the knees of both the control and experimental pigs were
subjected to hematoxylin and eosin staining. The cartilage of the knee in the
experimental pig was partially matured, e.g., few chondrocyte cells were enclosed
in the lacunae. In the knee of the control pig, the defect was fully grown with
fibrocartilage. In another in vivo experiment in a rabbit and a pig, the
composite of the TGF-beta1-loaded hydrogel and scaffolds was found to regenerate
hyaline cartilage. However, scaffolds that remain in the subchondral lesion
potentially delay the healing process. Therefore, the structural design of the
scaffold should be reconsidered to match the regeneration process of both
cartilage and subchondral bone.
PMID- 29642551
TI - N-Aryl-7-hydroxy-5-oxo-2,3-dihydro-1H,5H-pyrido-[3,2,1-ij]quinoline-6
carboxamides. The Synthesis and Effects on Urinary Output.
AB - Continuing a targeted search for new leading structures with diuretic action
among tricyclic derivatives of hydroxyquinolines, which are of interest as
potential inhibitors of aldosterone synthase, the synthesis of a series of the
corresponding pyrido[3,2,1-ij]quinoline-6-carboxanilides was carried out by
amidation of ethyl-7-hydroxy-5-oxo-2,3-dihydro-1H,5H-pyrido[3,2,1-ij]quinoline-6
carboxylate with aniline, aminophenols and O-alkylsubstituted analogs with high
yields and purity. The optimal conditions of this reaction are proposed; they
make it possible to prevent partial destruction of the original heterocyclic
ester and thereby avoid formation of specific impurities of 7-hydroxy-2,3-dihydro
1H,5H-pyrido[3,2,1-ij]quinolin-5-one. To confirm the structure of all substances
obtained, elemental analysis, nuclear magnetic resonance (NMR) spectroscopy, and
mass spectrometry were used. Moreover, the peculiarities of their 1H and 13C-NMR
spectra, as well as their mass spectrometric behavior under conditions of
electron impact ionization, were discussed. The effect of pyrido[3,2,1
ij]quinoline-6-carboxanilides on the urinary function of the kidneys was studied
in white rats of both genders by the standard method of oral administration at a
dose of 10 mg/kg. Testing was conducted in comparison with hydrochlorothiazide,
as well as with structurally close pyrrolo[3,2,1-ij] quinoline-5-carboxanilides
studied earlier with the same substituents in the anilide fragments. It was found
that addition of one methylene unit to the heterocycle partially hydrogenated and
annelated with the quinolone core has a positive impact on biological properties
most of the substances studied exhibit a statistically significant diuretic
effect exceeding the activity of not only hydrochlorothiazide, in some cases, but
also the action of the structural analogs. The important structural and
biological regularities, which are common with pyrroloquinolines and introduced
by a chemical modification, were revealed. The importance of the presence in the
structure of terminal amide fragments of tricyclic quinoline-3-carboxamides of a
4-methoxy-substituted aromatic ring was particularly marked. The expediency of
further study of pyridoquinolines as promising diuretic agents has been shown.
PMID- 29642552
TI - Three-Dimensional Registration for Handheld Profiling Systems Based on Multiple
Shot Structured Light.
AB - In this article, a multi-view registration approach for the 3D handheld profiling
system based on the multiple shot structured light technique is proposed. The
multi-view registration approach is categorized into coarse registration and
point cloud refinement using the iterative closest point (ICP) algorithm. Coarse
registration of multiple point clouds was performed using relative orientation
and translation parameters estimated via homography-based visual navigation. The
proposed system was evaluated using an artificial human skull and a paper box
object. For the quantitative evaluation of the accuracy of a single 3D scan, a
paper box was reconstructed, and the mean errors in its height and breadth were
found to be 9.4 MUm and 23 MUm, respectively. A comprehensive quantitative
evaluation and comparison of proposed algorithm was performed with other variants
of ICP. The root mean square error for the ICP algorithm to register a pair of
point clouds of the skull object was also found to be less than 1 mm.
PMID- 29642554
TI - Maritime over the Horizon Sensor Integration: High Frequency Surface-Wave-Radar
and Automatic Identification System Data Integration Algorithm.
AB - To obtain the complete operational picture of the maritime situation in the
Exclusive Economic Zone (EEZ) which lies over the horizon (OTH) requires the
integration of data obtained from various sensors. These sensors include: high
frequency surface-wave-radar (HFSWR), satellite automatic identification system
(SAIS) and land automatic identification system (LAIS). The algorithm proposed in
this paper utilizes radar tracks obtained from the network of HFSWRs, which are
already processed by a multi-target tracking algorithm and associates SAIS and
LAIS data to the corresponding radar tracks, thus forming an integrated data
pair. During the integration process, all HFSWR targets in the vicinity of AIS
data are evaluated and the one which has the highest matching factor is used for
data association. On the other hand, if there is multiple AIS data in the
vicinity of a single HFSWR track, the algorithm still makes only one data pair
which consists of AIS and HFSWR data with the highest mutual matching factor.
During the design and testing, special attention is given to the latency of AIS
data, which could be very high in the EEZs of developing countries. The algorithm
is designed, implemented and tested in a real working environment. The testing
environment is located in the Gulf of Guinea and includes a network of HFSWRs
consisting of two HFSWRs, several coastal sites with LAIS receivers and SAIS data
provided by provider of SAIS data.
PMID- 29642555
TI - A Novel Design Framework for Structures/Materials with Enhanced Mechanical
Performance.
AB - Abstract: Structure/material requires simultaneous consideration of both its
design and manufacturing processes to dramatically enhance its manufacturability,
assembly and maintainability. In this work, a novel design framework for
structural/material with a desired mechanical performance and compelling
topological design properties achieved using origami techniques is presented. The
framework comprises four procedures, including topological design, unfold,
reduction manufacturing, and fold. The topological design method, i.e., the solid
isotropic material penalization (SIMP) method, serves to optimize the structure
in order to achieve the preferred mechanical characteristics, and the origami
technique is exploited to allow the structure to be rapidly and easily
fabricated. Topological design and unfold procedures can be conveniently
completed in a computer; then, reduction manufacturing, i.e., cutting, is
performed to remove materials from the unfolded flat plate; the final structure
is obtained by folding out the plate from the previous procedure. A series of
cantilevers, consisting of origami parallel creases and Miura-ori (usually
regarded as a metamaterial) and made of paperboard, are designed with the least
weight and the required stiffness by using the proposed framework. The findings
here furnish an alternative design framework for engineering structures that
could be better than the 3D-printing technique, especially for large structures
made of thin metal materials.
PMID- 29642553
TI - Genomic Profiling on an Unselected Solid Tumor Population Reveals a Highly
Mutated Wnt/beta-Catenin Pathway Associated with Oncogenic EGFR Mutations.
AB - Oncogenic epidermal growth factor receptors (EGFRs) can recruit key effectors in
diverse cellular processes to propagate oncogenic signals. Targeted and
combinational therapeutic strategies have been successfully applied for treating
EGFR-driven cancers. However, a main challenge in EGFR therapies is drug
resistance due to mutations, oncogenic shift, alternative signaling, and other
potential mechanisms. To further understand the genetic alterations associated
with oncogenic EGFRs and to provide further insight into optimal and personalized
therapeutic strategies, we applied a proprietary comprehensive next-generation
sequencing (NGS)-based assay of 435 genes to systematically study the genomic
profiles of 1565 unselected solid cancer patient samples. We found that
activating EGFR mutations were predominantly detected in lung cancer,
particularly in non-small cell lung cancer (NSCLC). The mutational landscape of
EGFR-driven tumors covered most key signaling pathways and biological processes.
Strikingly, the Wnt/beta-catenin pathway was highly mutated (48 variants detected
in 46% of the EGFR-driven tumors), and its variant number topped that in the
TP53/apoptosis and PI3K-AKT-mTOR pathways. Furthermore, an analysis of mutation
distribution revealed a differential association pattern of gene mutations
between EGFR exon 19del and EGFR L858R. Our results confirm the aggressive nature
of the oncogenic EGFR-driven tumors and reassure that a combinational strategy
should have advantages over an EGFR-targeted monotherapy and holds great promise
for overcoming drug resistance.
PMID- 29642556
TI - Reliability and Validity of the European Child Environment Questionnaire (ECEQ)
in Children and Adolescents with Cerebral Palsy: Persian Version.
AB - The aim of this study was to assess the reliability and validity of the Persian
version of the European Child Environment Questionnaire (ECEQ) in the Iranian
context. In total, 332 parents (20.2% fathers and 79.8% mothers) of children and
adolescents with cerebral palsy (CP) with an average age of 12.33 years (min 7.08
to max 18.08) from three provinces in Iran participated in the study. The
original version of the questionnaire was translated and back-translated.
Confirmatory construct validity was assessed by factor analysis and reliability
was evaluated by Cronbach's alpha (N = 332) and after two weeks' test-retest
reliability (n = 51) using an intraclass correlation coefficient (ICC). Eleven
questions were dropped as they did not fit well into domains in the Persian
version (p > 0.05). Cronbach's alpha and intraclass correlation coefficient in
all domains and overall were acceptable (higher than 0.70) and significant (p >
0.05). The Persian version of the ECEQ is suitable for assessing the needs and
availability of environmental factors and is reliable and valid for children with
CP, as reported by their parents.
PMID- 29642558
TI - Confinement Effects on Chemical Equilibria: Pentacyano(Pyrazine)Ferrate(II)
Stability Changes within Nanosized Droplets of Water.
AB - Nanoscale confinement is known to impact properties of molecules and we observed
changes in the reactivity of an iron coordination complex,
pentacyano(pyrazine)ferrate(II). The confinement of two coordination complexes in
a sodium AOT/isooctane reverse micellar (RM) water droplet was found to
dramatically increase the hydrolysis rate of [Fe(CN)5pyz]3- and change the
monomer-dimer equilibria between [Fe(CN)5pyz]3- and [Fe2(CN)10pyz]6-. Combined UV
Vis and 1H-NMR spectra of these complexes in RMs were analyzed and the position
of the monomer-dimer equilibrium and the relative reaction times were determined
at three different RM sizes. The data show that the hydrolysis rates (loss of
pyrazine) are dramatically enhanced in RMs over bulk water and increase as the
size of the RM decreases. Likewise, the monomer-dimer equilibrium changes to
favor the formation of dimer as the RM size decreases. We conclude that the
effects of the [Fe(CN)5pyz]3- stability is related to its solvation within the
RM.
PMID- 29642560
TI - Near-Field Chipless Radio-Frequency Identification (RFID) Sensing and
Identification System with Switching Reading.
AB - A chipless radio-frequency identification (chipless-RFID) and sensing system,
where tags are read by proximity (near-field) through a switch, is presented. The
tags consist of a set of identical resonant elements (split-ring resonators or
SRRs), printed or etched at predefined and equidistant positions, forming a
linear chain, each SRR providing a bit of information. The logic state ('1' or
'0') associated with each resonator depends on whether it is present or not in
the predefined position. The reader is an array of power splitters used to feed a
set of SRR-loaded transmission lines (in equal number to the number of resonant
elements, or bits, of the tag). The feeding (interrogation) signal is a harmonic
(single-tone) signal tuned to a frequency in the vicinity of the fundamental
resonance of the SRRs. The set of SRR-loaded lines must be designed so that the
corresponding SRRs are in perfect alignment with the SRRs of the tag, provided
the tag is positioned on top of the reader. Thus, in a reading operation, as long
as the tag is very close to the reader, the SRRs of the tag modify (decrease) the
transmission coefficient of the corresponding reader line (through
electromagnetic coupling between both SRRs), and the amplitude of the output
signal is severely reduced. Therefore, the identification (ID) code of the tag is
contained in the amplitudes of the output signals of the SRR-loaded lines, which
can be inferred sequentially by means of a switching system. Unlike previous
chipless-RFID systems based on near-field and sequential bit reading, the tags in
the proposed system can be merely positioned on top of the reader, conveniently
aligned, without the need to mechanically place them across the reader. Since tag
reading is only possible if the tag is very close to the reader, this system can
be also used as a proximity sensor with applications such as target
identification. The proposed chipless-RFID and sensing approach is validated by
reading a designed 4-bit tag. For identification purposes, this system is of
special interest in applications where a low number of bits suffice, and tag
reading by proximity is acceptable (or even convenient). Applications mostly
related to secure paper, particularly involving a limited number of items (e.g.,
exams, ballots, etc.), in order to provide authenticity and avoid counterfeiting,
are envisaged. As a proximity sensor, the system may be of use in detecting and
distinguishing different targets in applications such as smart packaging.
PMID- 29642557
TI - A Mediterranean Diet Model in Australia: Strategies for Translating the
Traditional Mediterranean Diet into a Multicultural Setting.
AB - Substantial evidence supports the effect of the Mediterranean Diet (MD) for
managing chronic diseases, although trials have been primarily conducted in
Mediterranean populations. The efficacy and feasibility of the Mediterranean
dietary pattern for the management of chronic diseases has not been extensively
evaluated in non-Mediterranean settings. This paper aims to describe the
development of a MD model that complies with principles of the traditional MD
applied in a multiethnic context. Optimal macronutrient and food-based
composition was defined, and a two-week menu was devised incorporating
traditional ingredients with evidence based on improvements in chronic disease
management. Strategies were developed for the implementation of the diet model in
a multiethnic population. Consistent with the principles of a traditional MD, the
MD model was plant-based and high in dietary fat, predominantly monounsaturated
fatty acids from extra virgin olive oil. Fruits, vegetables and wholegrains were
a mainstay, and moderate amounts of nuts and seeds, fish, dairy and red wine were
recommended. The diet encompassed key features of the MD including cuisine,
biodiversity and sustainability. The MD model preserved traditional dietary
components likely to elicit health benefits for individuals with chronic
diseases, even with the adaptation to an Australian multiethnic population.
PMID- 29642559
TI - 1-(Acylamino)alkylphosphonic Acids-Alkaline Deacylation.
AB - The alkaline deacylation of a representative series of 1
(acylamino)alkylphosphonic acids [(AC)-AAP: (AC) = Ac, TFA, Bz; AAP = GlyP, AlaP,
ValP, PglP and PheP] in an aqueous solution of KOH (2M) was investigated. The
results suggested a two-stage reaction mechanism with a quick interaction of the
hydroxyl ion on the carbonyl function of the amide R-C(O)-N(H)- group in the
first stage, which leads to instant formation of the intermediary acyl-hydroxyl
adducts of R-C(O-)2-N(H)-, visible in the 31P NMR spectra. In the second stage,
these intermediates decompose slowly by splitting of the RC(O-)2-N(H)- function
with the subsequent formation of 1-aminoalkylphosphonate and carboxylate ions.
PMID- 29642562
TI - Antioxidant Potential of Extracts Obtained from Macro- (Ascophyllum nodosum,
Fucus vesiculosus and Bifurcaria bifurcata) and Micro-Algae (Chlorella vulgaris
and Spirulina platensis) Assisted by Ultrasound.
AB - Background: Natural antioxidants, which can replace synthetic ones due to their
potential implications for health problems in children, have gained significant
popularity. Therefore, the antioxidant potential of extracts obtained from three
brown macroalgae (Ascophyllum nodosum, Fucus vesiculosus and Bifurcaria
bifurcata) and two microalgae (Chlorella vulgaris and Spirulina platensis) using
ultrasound-extraction as an innovative and green approach was evaluated. Methods:
Algal extracts were obtained by ultrasound-assisted extraction using
water/ethanol (50:50, v:v) as the extraction solvent. The different extracts were
compared based on their antioxidant potential, measuring the extraction yield,
the total phenolic content (TPC) and the antioxidant activity. Results: Extracts
from Ascophyllum nodosum (AN) and Bifurcaria bifurcata (BB) showed the highest
antioxidant potential compared to the rest of the samples. In particular, BB
extract presented the highest extraction (35.85 g extract/100 g dry weight (DW))
and total phenolic compounds (TPC) (5.74 g phloroglucinol equivalents (PGE)/100 g
DW) yields. Regarding the antioxidant activity, macroalgae showed again higher
values than microalgae. BB extract had the highest antioxidant activity in the
ORAC, DPPH and FRAP assays, with 556.20, 144.65 and 66.50 umol Trolox equivalents
(TE)/g DW, respectively. In addition, a correlation among the antioxidant
activity and the TPC was noted. Conclusions: Within the obtained extracts,
macroalgae, and in particular BB, are more suitable to be used as sources of
phenolic antioxidants to be included in products for human consumption. The
relatively low antioxidant potential, in terms of polyphenols, of the microalgae
extracts studied in the present work makes them useless for possible industrial
applications compared to macroalgae, although further in vivo studies evaluating
the real impact of antioxidants from both macro- and micro-algae at the cellular
level should be conducted.
PMID- 29642563
TI - Application of Multiple Regression and Design of Experiments for Modelling the
Effect of Monoethylene Glycol in the Calcium Carbonate Scaling Process.
AB - To avoid gas hydrate formation during oil and gas production, companies usually
employ thermodynamic inhibitors consisting of hydroxyl compounds, such as
monoethylene glycol (MEG). However, these inhibitors may cause other types of
fouling during production such as inorganic salt deposits (scale). Calcium
carbonate is one of the main scaling salts and is a great concern, especially for
the new pre-salt wells being explored in Brazil. Hence, it is important to
understand how using inhibitors to control gas hydrate formation may be
interacting with the scale formation process. Multiple regression and design of
experiments were used to mathematically model the calcium carbonate scaling
process and its evolution in the presence of MEG. It was seen that MEG, although
inducing the precipitation by increasing the supersaturation ratio, actually
works as a scale inhibitor for calcium carbonate in concentrations over 40%. This
effect was not due to changes in the viscosity, as suggested in the literature,
but possibly to the binding of MEG to the CaCO3 particles' surface. The
interaction of the MEG inhibition effect with the system's variables was also
assessed, when temperature' and calcium concentration were more relevant.
PMID- 29642561
TI - beta-Nicotinamide Adenine Dinucleotide (beta-NAD) Inhibits ATP-Dependent IL-1beta
Release from Human Monocytic Cells.
AB - While interleukin-1beta (IL-1beta) is a potent pro-inflammatory cytokine
essential for host defense, high systemic levels cause life-threatening
inflammatory syndromes. ATP, a stimulus of IL-1beta maturation, is released from
damaged cells along with beta-nicotinamide adenine dinucleotide (beta-NAD). Here,
we tested the hypothesis that beta-NAD controls ATP-signaling and, hence, IL
1beta release. Lipopolysaccharide-primed monocytic U937 cells and primary human
mononuclear leukocytes were stimulated with 2'(3')-O-(4-benzoyl-benzoyl)ATP
trieethylammonium salt (BzATP), a P2X7 receptor agonist, in the presence or
absence of beta-NAD. IL-1beta was measured in cell culture supernatants. The
roles of P2Y receptors, nicotinic acetylcholine receptors (nAChRs), and Ca2+
independent phospholipase A2 (iPLA2beta, PLA2G6) were investigated using specific
inhibitors and gene-silencing. Exogenous beta-NAD signaled via P2Y receptors and
dose-dependently (IC50 = 15 uM) suppressed the BzATP-induced IL-1beta release.
Signaling involved iPLA2beta, release of a soluble mediator, and nAChR subunit
alpha9. Patch-clamp experiments revealed that beta-NAD inhibited BzATP-induced
ion currents. In conclusion, we describe a novel triple membrane-passing
signaling cascade triggered by extracellular beta-NAD that suppresses ATP-induced
release of IL-1beta by monocytic cells. This cascade links activation of P2Y
receptors to non-canonical metabotropic functions of nAChRs that inhibit P2X7
receptor function. The biomedical relevance of this mechanism might be the
control of trauma-associated systemic inflammation.
PMID- 29642564
TI - Statistical Multipath Model Based on Experimental GNSS Data in Static Urban
Canyon Environment.
AB - A deep understanding of multipath characteristics is essential to design signal
simulators and receivers in global navigation satellite system applications. As a
new constellation is deployed and more applications occur in the urban
environment, the statistical multipath models of navigation signal need further
study. In this paper, we present statistical distribution models of multipath
time delay, multipath power attenuation, and multipath fading frequency based on
the experimental data in the urban canyon environment. The raw data of multipath
characteristics are obtained by processing real navigation signal to study the
statistical distribution. By fitting the statistical data, it shows that the
probability distribution of time delay follows a gamma distribution which is
related to the waiting time of Poisson distributed events. The fading frequency
follows an exponential distribution, and the mean of multipath power attenuation
decreases linearly with an increasing time delay. In addition, the detailed
statistical characteristics for different elevations and orbits satellites is
studied, and the parameters of each distribution are quite different. The
research results give useful guidance for navigation simulator and receiver
designers.
PMID- 29642565
TI - Polyacrylonitrile Nanofiber-Based Quartz Crystal Microbalance for Sensitive
Detection of Safrole.
AB - Safrole is the main precursor for producing the amphetamine-type stimulant (ATS)
drug, N-methyl-3,4-methylenedioxyamphetamine (MDMA), also known as ecstasy. We
devise a polyacrylonitrile (PAN) nanofiber-based quartz crystal microbalance
(QCM) for detecting safrole. The PAN nanofibers were fabricated by direct
electrospinning to modify the QCM chips. The PAN nanofiber on the QCM chips has a
diameter of 240 +/- 10 nm. The sensing of safrole by QCM modified with PAN
nanofiber shows good reversibility and an apparent sensitivity of 4.6 Hz.L/mg.
The proposed method is simple, inexpensive, and convenient for detecting safrole,
and can be an alternative to conventional instrumental analytical methods for
general volatile compounds.
PMID- 29642566
TI - In Vitro and In Vivo Osteogenic Activity of Titanium Implants Coated by Pulsed
Laser Deposition with a Thin Film of Fluoridated Hydroxyapatite.
AB - To enhance biocompatibility, osteogenesis, and osseointegration, we coated
titanium implants, by krypton fluoride (KrF) pulsed laser deposition, with a thin
film of fluoridated hydroxyapatite (FHA). Coating was confirmed by scanning
electron microscopy (SEM) and scanning probe microscopy (SPM), while
physicochemical properties were evaluated by attenuated reflectance Fourier
transform infrared spectroscopy (ATR-FTIR). Calcium deposition, osteocalcin
production, and expression of osteoblast genes were significantly higher in rat
bone marrow mesenchymal stem cells seeded on FHA-coated titanium than in cells
seeded on uncoated titanium. Implantation into rat femurs also showed that the
FHA-coated material had superior osteoinductive and osseointegration activity in
comparison with that of traditional implants, as assessed by microcomputed
tomography and histology. Thus, titanium coated with FHA holds promise as a
dental implant material.
PMID- 29642567
TI - Molecular Cloning and Functional Characterization of a Dihydroflavonol 4
Reductase from Vitis bellula.
AB - Vitis bellula is a new grape crop in southern China. Berries of this species are
rich in antioxidative anthocyanins and proanthocyanidins. This study reports
cloning and functional characterization of a cDNA encoding a V. bellula
dihydroflavonol reductase (VbDFR) involved in the biosynthesis of anthocyanins
and proanthocyanidins. A cDNA including 1014 bp was cloned from young leaves and
its open reading frame (ORF) was deduced encoding 337 amino acids, highly similar
to V. vinifera DFR (VvDFR). Green florescence protein fusion and confocal
microscopy analysis determined the cytosolic localization of VbDFR in plant
cells. A soluble recombinant VbDFR was induced and purified from E. coli for
enzyme assay. In the presence of NADPH, the recombinant enzyme catalyzed
dihydrokaempferol (DHK) and dihydroquercetin (DHQ) to their corresponding
leucoanthocyanidins. The VbDFR cDNA was introduced into tobacco plants via
Agrobacterium-mediated transformation. The overexpression of VbDFR increased
anthocyanin production in flowers. Anthocyanin hydrolysis and chromatographic
analysis revealed that transgenic flowers produced pelargonidin and delphinidin,
which were not detected in control flowers. These data demonstrated that the
overexpression of VbDFR produced new tobacco anthocyanidins. In summary, all data
demonstrate that VbDFR is a useful gene to provide three types of substrates for
metabolic engineering of anthocyanins and proanthocyanidins in grape crops and
other crops.
PMID- 29642568
TI - Irradiation-Induced Cardiac Connexin-43 and miR-21 Responses Are Hampered by
Treatment with Atorvastatin and Aspirin.
AB - Radiation of the chest during cancer therapy is deleterious to the heart, mostly
due to oxidative stress and inflammation related injury. A single sub-lethal dose
of irradiation has been shown to result in compensatory up-regulation of the
myocardial connexin-43 (Cx43), activation of the protein kinase C (PKC) signaling
along with the decline of microRNA (miR)-1 and an increase of miR-21 levels in
the left ventricle (LV). We investigated whether drugs with antioxidant, anti
inflammatory or vasodilating properties, such as aspirin, atorvastatin, and
sildenafil, may affect myocardial response in the LV and right ventricle (RV)
following chest irradiation. Adult, male Wistar rats were subjected to a single
sub-lethal dose of chest radiation at 25 Gy and treated with aspirin (3 mg/day),
atorvastatin (0.25 mg/day), and sildenafil (0.3 mg/day) for six weeks. Cx43,
PKCepsilon and PKCdelta proteins expression and levels of miR-1 as well as miR-21
were determined in the LV and RV. Results showed that the suppression of miR-1
was associated with an increase of total and phosphorylated forms of Cx43 as well
as PKCepsilon expression in the LV while having no effect in the RV post
irradiation as compared to the non-irradiated rats. Treatment with aspirin and
atorvastatin prevented an increase in the expression of Cx43 and PKCepsilon
without change in the miR-1 levels. Furthermore, treatment with aspirin,
atorvastatin, and sildenafil completely prevented an increase of miR-21 in the LV
while having partial effect in the RV post irradiation. The increase in pro
apoptotic PKCdelta was not affected by any of the used treatment. In conclusion,
irradiation and drug-induced changes were less pronounced in the RV as compared
to the LV. Treatment with aspirin and atorvastatin interfered with irradiation
induced compensatory changes in myocardial Cx43 protein and miR-21 by preventing
their elevation, possibly via amelioration of oxidative stress and inflammation.
PMID- 29642569
TI - Holotoxin A1 Induces Apoptosis by Activating Acid Sphingomyelinase and Neutral
Sphingomyelinase in K562 and Human Primary Leukemia Cells.
AB - Marine triterpene glycosides are attractive candidates for the development of
anticancer agents. Holotoxin A1 is a triterpene glycoside found in the edible sea
cucumber, Apostichopus (Stichopus) japonicus. We previously showed that
cladoloside C2, the 25(26)-dihydro derivative of holotoxin A1 induced apoptosis
in human leukemia cells by activating ceramide synthase 6. Thus, we hypothesized
that holotoxin A1, which is structurally similar to cladoloside C2, might induce
apoptosis in human leukemia cells through the same molecular mechanism. In this
paper, we compared holotoxin A1 and cladoloside C2 for killing potency and
mechanism of action. We found that holotoxin A1 induced apoptosis more potently
than cladoloside C2. Moreover, holotoxin A1-induced apoptosis in K562 cells by
activating caspase-8 and caspase-3, but not by activating caspase-9. During
holotoxin A1-induced apoptosis, acid sphingomyelinase (SMase) and neutral SMase
were activated in both K562 cells and human primary leukemia cells. Specifically
inhibiting acid SMase and neutral SMase with chemical inhibitors or siRNAs
significantly inhibited holotoxin A1-induced apoptosis. These results indicated
that holotoxin A1 might induce apoptosis by activating acid SMase and neutral
SMase. In conclusion, holotoxin A1 represents a potential anticancer agent for
treating leukemia. Moreover, the aglycone structure of marine triterpene
glycosides might affect the mechanism involved in inducing apoptosis.
PMID- 29642570
TI - Enhanced Efficacy of High Dose Oral Vancomycin Therapy in Clostridium difficile
Diarrhea for Hospitalized Adults Not Responsive to Conventional Oral Vancomycin
Therapy: Antibiotic Stewardship Implications.
AB - Current therapy of Clostridium difficile diarrhea (CDD) is problematic. Optimal
treatment for CDD remains oral vancomycin, but there is little data on oral
vancomycin dosing regimens. The objective of this C. difficile diarrhea study was
to compare the efficacy of "high dose" vancomycin, 500 mg (PO) q6h, as sole
treatment and in those who after 72 h failed to respond to conventional doses of
oral vancomycin, 125-250 mg (PO) q6h. Hospitalized adults with CDD were evaluated
by various oral vancomycin regimens, i.e., a conventional dose group (125-250 mg
(PO) q6h), a "high dose escalation" dose group (250 mg -> 500 mg (PO) q6h), and a
"high dose" group (500 mg (PO) q6h). Oral vancomycin treatment groups were
compared by time to improvement, i.e., decrease in >50% of watery stools/day and
duration of therapy. The high dose escalation and high dose oral vancomycin
groups showed the most rapid resolution of diarrhea. There was marked decrease in
stools/day after "high dose" vancomycin escalation from conventional dosing,
i.e., 250 mg (PO) q6h -> 500 mg (PO) q6h. This study demonstrated that "high
dose" escalation or initial high dose oral vancomycin, i.e., 500 mg (PO) q6h was
the most efficacious regimen for CDD.
PMID- 29642571
TI - Ultraviolet Laser Damage Dependence on Contamination Concentration in Fused
Silica Optics during Reactive Ion Etching Process.
AB - The reactive ion etching (RIE) process of fused silica is often accompanied by
surface contamination, which seriously degrades the ultraviolet laser damage
performance of the optics. In this study, we find that the contamination behavior
on the fused silica surface is very sensitive to the RIE process which can be
significantly optimized by changing the plasma generating conditions such as
discharge mode, etchant gas and electrode material. Additionally, an optimized
RIE process is proposed to thoroughly remove polishing-introduced contamination
and efficiently prevent the introduction of other contamination during the
etching process. The research demonstrates the feasibility of improving the
damage performance of fused silica optics by using the RIE technique.
PMID- 29642572
TI - Enhanced CO2 Adsorption by Nitrogen-Doped Graphene Oxide Sheets (N-GOs) Prepared
by Employing Polymeric Precursors.
AB - Nitrogen-doped graphene oxide sheets (N-GOs) are prepared by employing N
containing polymers such as polypyrrole, polyaniline, and copolymer (polypyrrole
polyaniline) doped with acids such as HCl, H2SO4, and C6H5-SO3-K, which are
activated using different concentrations of KOH and carbonized at 650 degrees C;
characterized using SEM, TEM, BET, TGA-DSC, XRD, and XPS; and employed for the
removal of environmental pollutant CO2. The porosity of the N-GOs obtained were
found to be in the range 1-3.5 nm when the KOH employed was in the ratio of 1:4,
and the XRD confirmed the formation of the layered like structure. However, when
the KOH employed was in the ratio of 1:2, the pore diameter was found to be in
the range of 50-200 nm. The SEM and TEM analysis reveal the porosity and sheet
like structure of the products obtained. The nitrogen-doped graphene oxide sheets
(N-GOs) prepared by employing polypyrrole doped with C6H5-SO3-K were found to
possess a high surface area of 2870 m2/g. The N-GOs displayed excellent CO2
capture property with the N-GOs; PPy/Ar-1 displayed ~1.36 mmol/g. The precursor
employed, the dopant used, and the activation process were found to affect the
adsorption property of the N-GOs obtained. The preparation procedure is simple
and favourable for the synthesis of N-GOs for their application as adsorbents in
greenhouse gas removal and capture.
PMID- 29642573
TI - 3D Printing of Thermo-Responsive Methylcellulose Hydrogels for Cell-Sheet
Engineering.
AB - A possible strategy in regenerative medicine is cell-sheet engineering (CSE),
i.e., developing smart cell culture surfaces from which to obtain intact cell
sheets (CS). The main goal of this study was to develop 3D printing via extrusion
based bioprinting of methylcellulose (MC)-based hydrogels. Hydrogels were
prepared by mixing MC powder in saline solutions (Na2SO4 and PBS). MC-based
hydrogels were analyzed to investigate the rheological behavior and thus optimize
the printing process parameters. Cells were tested in vitro on ring-shaped
printed hydrogels; bulk MC hydrogels were used for comparison. In vitro tests
used murine embryonic fibroblasts (NIH/3T3) and endothelial murine cells (MS1),
and the resulting cell sheets were characterized analyzing cell viability and
immunofluorescence. In terms of CS preparation, 3D printing proved to be an
optimal approach to obtain ring-shaped CS. Cell orientation was observed for the
ring-shaped CS and was confirmed by the degree of circularity of their nuclei:
cell nuclei in ring-shaped CS were more elongated than those in sheets detached
from bulk hydrogels. The 3D printing process appears adequate for the preparation
of cell sheets of different shapes for the regeneration of complex tissues.
PMID- 29642574
TI - An Organic Solvent-Tolerant Lipase with Both Hydrolytic and Synthetic Activities
from the Oleaginous Fungus Mortierella echinosphaera.
AB - Lipase enzymes of the oleaginous fungal group Mortierella are rarely studied.
However, considering that most commercial lipases are derived from filamentous
fungal sources, their investigation can contribute to the cost-effective
development of new biotechnological processes. Here, an extracellular lipase with
a molecular mass of 30 kDa was isolated from Mortierella echinosphaera CBS 575.75
and characterized. The purified lipase exhibited an optimal p-nitrophenyl
palmitate (pNPP)-hydrolyzing activity at 25 degrees C and pH 6.6-7.0 and proved
to be highly stable at temperatures up to 40 degrees C and under broad pH
conditions. The enzyme was active under low temperatures, retaining 32.5% of its
activity at 10 degrees C, and was significantly stable in polar and non-polar
organic solvents. The Km, Vmax, and kcat for pNPP were 0.336 mM, 30.4 MUM/min,
and 45.7 1/min for pNPP and 0.333 mM, 36.9 MUM/min, and 55.6 1/min for pNP
decanoate, respectively. The pNPP hydrolysis was inhibited by Hg2+, N
bromosuccinimide, and sodium dodecyl sulfate, while ethylenediaminetetraacetic
acid and metal ions, such as Ca2+, Mg2+, Na+, and K+ enhanced the activity. The
purified lipase had non-regioselective activity and wide substrate specificity,
showing a clear preference for medium-chained p-nitrophenyl esters. Besides its
good transesterification activity, the enzyme appeared as a suitable biocatalyst
to operate selective esterification reactions to long-chained alkyl esters.
Adsorption to Accurel MP1000 improved the storage stability of the enzyme at 5
degrees C. The immobilized lipase displayed tolerance to a non-aqueous
environment and was reusable for up to five cycles without significant loss in
its synthetic and hydrolytic activities. These findings confirm the applicability
of both the free and the immobilized enzyme preparations in future research.
PMID- 29642575
TI - Correction: TURDI, M. et al. Trace Elements Contamination and Human Health Risk
Assessment in Drinking Water from the Agricultural and Pastoral Areas of Bay
County, Xinjiang, China; Int. J. Environ. Res. Public Health 2016, 13, 938.
AB - In the original version of our article[...].
PMID- 29642576
TI - Comment on Cerland, L. et al. Incidence and Consequences of Near-Drowning-Related
Pneumonia-A Descriptive Series from Martinique, French West Indies. Int. J.
Environ. Res. Public Health 2017, 14, 1402.
AB - We read with great interest the recent paper by Cerland et al. on the frequency,
nature, and consequences of post-drowning pneumonia[...].
PMID- 29642577
TI - New Analysis Scheme of Flow-Acoustic Coupling for Gas Ultrasonic Flowmeter with
Vortex near the Transducer.
AB - Ultrasonic flowmeters with a small or medium diameter are widely used in process
industries. The flow field disturbance on acoustic propagation caused by a vortex
near the transducer inside the sensor as well as the mechanism and details of
flow-acoustic interaction are needed to strengthen research. For that reason, a
new hybrid scheme is proposed; the theories of computational fluid dynamics
(CFD), wave acoustics, and ray acoustics are used comprehensively by a new step
by-step method. The flow field with a vortex near the transducer, and its
influence on sound propagation, receiving, and flowmeter performance are analyzed
in depth. It was found that, firstly, the velocity and vortex intensity
distribution were asymmetric on the sensor cross-section and acoustic path.
Secondly, when passing through the vortex zone, the central ray trajectory was
deflected significantly. The sound pressure on the central line of the sound path
also changed. Thirdly, the pressure deviation becomes larger with as the flow
velocity increases. The deviation was up to 17% for different velocity profiles
in a range of 0.6 m/s to 53 m/s. Lastly, in comparison to the theoretical value,
the relative deviation of the instrument coefficient for the velocity profile
with a vortex near the transducer reached up to -17%. In addition, the
rationality of the simulation was proved by experiments.
PMID- 29642578
TI - Microwave-Assisted Oxalic Acid Pretreatment for the Enhancing of Enzyme
Hydrolysis in the Production of Xylose and Arabinose from Bagasse.
AB - In this study, highly-efficient hydrolysis of bagasse into xylose and arabinose
sugars (C5 sugars) was developed by microwave-assisted oxalic acid pretreatment
under mild reaction conditions. The effects of acid and hydrolysis conditions on
the C5 sugar yields were discussed. The results showed that oxalic acid performed
better than hydrochloric acid and maleic acid, and was a promising alternative to
sulfuric acid for xylose production at the same acid concentration. The maximum
yields of xylose (95.7%) and arabinose (91.5%) were achieved via the microwave
assisted oxalic acid pretreatment (120 degrees C, 10 min, 0.4 mol/L, solid
liquid ratio of 1:50 g/mL), indicating that almost all xylan-type hemicelluloses
were released from the cell wall and hydrolyzed into C5 sugars. After
pretreatment, more than 90% of the cellulose in the residual bagasse was
converted to glucose (92.2%) by enzymatic hydrolysis. This approach could realize
the highly-efficient hydrolysis of xylan from bagasse into C5 sugars, which would
enhance the enzyme hydrolysis of treated bagasse into glucose.
PMID- 29642579
TI - Dual Effects of Alpha-Hydroxy Acids on the Skin.
AB - AHAs are organic acids with one hydroxyl group attached to the alpha position of
the acid. AHAs including glycolic acid, lactic acid, malic acid, tartaric acid,
and citric acid are often used extensively in cosmetic formulations. AHAs have
been used as superficial peeling agents as well as to ameliorate the appearance
of keratoses and acne in dermatology. However, caution should be exercised in
relation to certain adverse reactions among patients using products with AHAs,
including swelling, burning, and pruritus. Whether AHAs enhance or decrease photo
damage of the skin remains unclear, compelling us to ask the question, is AHA a
friend or a foe of the skin? The aim of this manuscript is to review the various
biological effects and mechanisms of AHAs on human keratinocytes and in an animal
model. We conclude that whether AHA is a friend or foe of human skin depends on
its concentration. These mechanisms of AHAs are currently well understood, aiding
the development of novel approaches for the prevention of UV-induced skin damage.
PMID- 29642580
TI - Arbidol (Umifenovir): A Broad-Spectrum Antiviral Drug That Inhibits Medically
Important Arthropod-Borne Flaviviruses.
AB - Arthropod-borne flaviviruses are human pathogens of global medical importance,
against which no effective small molecule-based antiviral therapy has currently
been reported. Arbidol (umifenovir) is a broad-spectrum antiviral compound
approved in Russia and China for prophylaxis and treatment of influenza. This
compound shows activities against numerous DNA and RNA viruses. The mode of
action is based predominantly on impairment of critical steps in virus-cell
interactions. Here we demonstrate that arbidol possesses micromolar-level anti
viral effects (EC50 values ranging from 10.57 +/- 0.74 to 19.16 +/- 0.29 uM) in
Vero cells infected with Zika virus, West Nile virus, and tick-borne encephalitis
virus, three medically important representatives of the arthropod-borne
flaviviruses. Interestingly, no antiviral effects of arbidol are observed in
virus infected porcine stable kidney cells (PS), human neuroblastoma cells (UKF
NB-4), and human hepatoma cells (Huh-7 cells) indicating that the antiviral
effect of arbidol is strongly cell-type dependent. Arbidol shows increasing
cytotoxicity when tested in various cell lines, in the order: Huh-7 < HBCA < PS <
UKF-NB-4 < Vero with CC50 values ranging from 18.69 +/- 0.1 to 89.72 +/- 0.19 uM.
Antiviral activities and acceptable cytotoxicity profiles suggest that arbidol
could be a promising candidate for further investigation as a potential
therapeutic agent in selective treatment of flaviviral infections.
PMID- 29642581
TI - Remnants of an Ancient Deltaretrovirus in the Genomes of Horseshoe Bats
(Rhinolophidae).
AB - Endogenous retrovirus (ERV) sequences provide a rich source of information about
the long-term interactions between retroviruses and their hosts. However, most
ERVs are derived from a subset of retrovirus groups, while ERVs derived from
certain other groups remain extremely rare. In particular, only a single ERV
sequence has been identified that shows evidence of being related to an ancient
Deltaretrovirus, despite the large number of vertebrate genome sequences now
available. In this report, we identify a second example of an ERV sequence
putatively derived from a past deltaretroviral infection, in the genomes of
several species of horseshoe bats (Rhinolophidae). This sequence represents a
fragment of viral genome derived from a single integration. The time of the
integration was estimated to be 11-19 million years ago. This finding, together
with the previously identified endogenous Deltaretrovirus in long-fingered bats
(Miniopteridae), suggest a close association of bats with ancient
deltaretroviruses.
PMID- 29642582
TI - Synthesis of a Novel Electrospun Polycaprolactone Scaffold Functionalized with
Ibuprofen for Periodontal Regeneration: An In Vitro andIn Vivo Study.
AB - Ibuprofen (IBU) has been shown to improve periodontal treatment outcomes. The aim
of this study was to develop a new anti-inflammatory scaffold by functionalizing
an electrospun nanofibrous poly-epsilon-caprolactone membrane with IBU (IBU-PCL)
and to evaluate its impact on periodontal inflammation, wound healing and
regeneration in vitro and in vivo. IBU-PCL was synthesized through
electrospinning. The effects of IBU-PCL on the proliferation and migration of
epithelial cells (EC) and fibroblasts (FB) exposed to Porphyromonas gingivlais
lipopolysaccharide (Pg-LPS) were evaluated through the AlamarBlue test and
scratch assay, respectively. Anti-inflammatory and remodeling properties were
investigated through Real time qPCR. Finally, the in vivo efficacy of the IBU-PCL
membrane was assessed in an experimental periodontitis mouse model through
histomorphometric analysis. The results showed that the anti-inflammatory effects
of IBU on gingival cells were effectively amplified using the functionalized
membrane. IBU-PCL reduced the proliferation and migration of cells challenged by
Pg-LPS, as well as the expression of fibronectin-1, collagen-IV, integrin
alpha3beta1 and laminin-5. In vivo, the membranes significantly improved the
clinical attachment and IBU-PCL also reduced inflammation-induced bone
destruction. These data showed that the IBU-PCL membrane could efficiently and
differentially control inflammatory and migratory gingival cell responses and
potentially promote periodontal regeneration.
PMID- 29642584
TI - Antileishmanial Activity of 2-Methoxy-4H-spiro-[naphthalene-1,2'-oxiran]-4-one
(Epoxymethoxy-lawsone): A Promising New Drug Candidate for Leishmaniasis
Treatment.
AB - Epoxymethoxylawsone is a naphthoquinone derivative promising as drug candidate
for the treatment of leishmaniases. In the present work the effectiveness of
epoxymethoxylawsone, and meglumine antimoniate on Leishmania (Leishmania)
amazonensis parasites and on mice paw lesions of infected BALB/c mice was
assessed. In an intracellular amastigotes assay, the half-maximal inhibitory
concentration (IC50) value for epoxymethoxylawsone was slightly higher (1.7-fold)
than that found for meglumine antimoniate. The efficacy of both drugs became more
evident after 48 h of exposure when either the oxirane compound and reference
drug reached 18-fold and 7.4-fold lower IC50 values (0.40 +/- 0.001 uM and 0.60
+/- 0.02 uM), respectively. Promastigotes were also affected by
epoxymethoxylawsone after 24 h of incubation (IC50 = 45.45 +/- 5.0 uM), but with
IC50 6-fold higher than those found for intracellular amastigotes. Cytotoxicity
analysis revealed that epoxymethoxylawsone (CC50 = 40.05 +/- uM) has 1.7-fold
higher effects than meglumine antimoniate (CC50 = 24.14 +/- 2.6 uM). Treatment of
the paw lesion in infected BALB/c mice with epoxymethoxy-lawsone led to a
significant 27% reduction (p < 0.05) of the lesion size, for all administrated
doses, compared to the control group. Lesion reduction was also detected after
mice treatment with meglumine antimoniate, reaching 31.0% (0.23 mg of
Sb(V)/Kg/day and 2.27 mg of Sb(V)/Kg/day) and 64.0% (22.7 mg of Sb(V)/Kg/day). In
addition, mice lesion ultrastructural changes were evidenced in amastigotes. The
set of data gathered here indicate that epoxymethoxylawsone has pronounced
effects on parasites and merits furthering to the preclinical stage.
PMID- 29642583
TI - Feline APOBEC3s, Barriers to Cross-Species Transmission of FIV?
AB - The replication of lentiviruses highly depends on host cellular factors, which
defines their species-specific tropism. Cellular restriction factors that can
inhibit lentiviral replication were recently identified. Feline immunodeficiency
virus (FIV) was found to be sensitive to several feline cellular restriction
factors, such as apolipoprotein B mRNA-editing enzyme, catalytic polypeptide-like
3 (APOBEC3) and tetherin, but FIV evolved to counteract them. Here, we describe
the molecular mechanisms by which feline APOBEC3 restriction factors inhibit FIV
replication and discuss the molecular interaction of APOBEC3 proteins with the
viral antagonizing protein Vif. We speculate that feline APOBEC3 proteins could
explain some of the observed FIV cross-species transmissions described in wild
Felids.
PMID- 29642586
TI - Are Bank Employees Stressed? Job Perception and Positivity in the Banking Sector:
An Italian Observational Study.
AB - Background: The epidemiology of stress on bank workers in Europe is only at the
introductory stages. This study investigated for the first time the association
between occupational stress level in bank-employees using the BEST8, Karasek
Model and socio-demographic and working factors in Italy. Methods: The
observational pilot study involved 384 employees. Three questionnaires were
adopted to collect data: Karasek-Model, BEST8 (p < 0.001) and Positivity-Scale.
Results: 25% of the sample belonged to high stress group. The workers more
stressed were older with a commercial role and consumer of
antidepressants/sedatives. Women were much more likely to agree with the
perception of feeling unsafe in a possible robbery (OR = 2.42; 95% CI: 1.50-3.91)
and with that sales requests were in conflict with one's own personal moral code
(OR = 2.31; 95% CI: 1.38-3.87). Older employees declared feeling inadequate in
the workplace (OR = 1.97; 95% CI: 1.07-3.65) and younger employees referred to be
anxious about meeting financial budget goals. Workers who had a low positivity
had a lower probability of adaptation (OR = 0.88; 95% CI: 0.83-0.93).
Conclusions: The occupational stress level in the banking sector involves many
aspects: gender, type of bank, role, personal morals, high job-demands, low level
of decision-making. This study recommended that banks should implement strategic
interventions for well-being of employees, and consequently for their
productivity.
PMID- 29642585
TI - Mitochondrial Biogenesis in Diverse Cauliflower Cultivars under Mild and Severe
Drought. Impaired Coordination of Selected Transcript and Proteomic Responses,
and Regulation of Various Multifunctional Proteins.
AB - Mitochondrial responses under drought within Brassica genus are poorly
understood. The main goal of this study was to investigate mitochondrial
biogenesis of three cauliflower (Brassica oleracea var. botrytis) cultivars with
varying drought tolerance. Diverse quantitative changes (decreases in abundance
mostly) in the mitochondrial proteome were assessed by two-dimensional gel
electrophoresis (2D PAGE) coupled with liquid chromatography-tandem mass
spectrometry (LC-MS/MS). Respiratory (e.g., complex II, IV (CII, CIV) and ATP
synthase subunits), transporter (including diverse porin isoforms) and matrix
multifunctional proteins (e.g., components of RNA editing machinery) were
diversely affected in their abundance under two drought levels. Western
immunoassays showed additional cultivar-specific responses of selected
mitochondrial proteins. Dehydrin-related tryptic peptides (found in several 2D
spots) immunopositive with dehydrin-specific antisera highlighted the relevance
of mitochondrial dehydrin-like proteins for the drought response. The abundance
of selected mRNAs participating in drought response was also determined. We
conclude that mitochondrial biogenesis was strongly, but diversely affected in
various cauliflower cultivars, and associated with drought tolerance at the
proteomic and functional levels. However, discussed alternative oxidase (AOX)
regulation at the RNA and protein level were largely uncoordinated due to the
altered availability of transcripts for translation, mRNA/ribosome interactions,
and/or miRNA impact on transcript abundance and translation.
PMID- 29642587
TI - Pervasive Chimerism in the Replication-Associated Proteins of Uncultured Single
Stranded DNA Viruses.
AB - Numerous metagenomic studies have uncovered a remarkable diversity of circular
replication-associated protein (Rep)-encoding single-stranded (CRESS) DNA
viruses, the majority of which are uncultured and unclassified. Unlike capsid
proteins, the Reps show significant similarity across different groups of CRESS
DNA viruses and have conserved domain organization with the N-terminal nuclease
and the C-terminal helicase domain. Consequently, Rep is widely used as a marker
for identification, classification and assessment of the diversity of CRESS DNA
viruses. However, it has been shown that in certain viruses the Rep nuclease and
helicase domains display incongruent evolutionary histories. Here, we
systematically evaluated the co-evolutionary patterns of the two Rep domains
across classified and unclassified CRESS DNA viruses. Our analysis indicates that
the Reps encoded by members of the families Bacilladnaviridae, Circoviridae,
Geminiviridae, Genomoviridae, Nanoviridae and Smacoviridae display largely
congruent evolutionary patterns in the two domains. By contrast, among the
unclassified CRESS DNA viruses, 71% appear to have chimeric Reps. Such massive
chimerism suggests that unclassified CRESS DNA viruses represent a dynamic
population in which exchange of gene fragments encoding the nuclease and helicase
domains is extremely common. Furthermore, purging of the chimeric sequences
uncovered six monophyletic Rep groups that may represent new families of CRESS
DNA viruses.
PMID- 29642588
TI - Crystal Structure of the Isocitrate Dehydrogenase 2 from Acinetobacter baumannii
(AbIDH2) Reveals a Novel Dimeric Structure with Two Monomeric-IDH-Like Subunits.
AB - Monomeric isocitrate dehydrogenases (IDHs) have a single polypeptide sizing
around 85 kDa. The IDH2 from the opportunistic bacterium Acinetobacter baumannii
(AbIDH2) with a mass of 83 kDa was formerly recognized as a typical monomeric
IDH. However, both size exclusion chromatography and analytical
ultracentrifugation analysis indicated that AbIDH2 exists as a homodimer in
solution. The crystallographic study of the substrate/coenzyme-free AbIDH2 gave a
dimeric structure and each subunit contained a domain I and a domain II. The
dimeric assembly is mainly stabilized by hydrophobic interactions (16 hydrogen
bonds and 11 salt bridges) from the dimer's interface platform, which centered
around the three parallel helices (alpha4, alpha12, and alpha17) and one loop
from the domain II. Kinetic analysis showed that the dimeric AbIDH2 showed much
lower catalytic efficiency (0.39 MUM-1.s-1) as compared to the typical monomeric
IDHs (~15 MUM-1.s-1). Key residues crucial for dimer formation were
simultaneously changed to generate the mutant mAbIDH2. The disruption of the
hydrophobic forces disassociated the dimeric AbIDH2, making mAbIDH2 a monomeric
enzyme. mAbIDH2 sustained specific activity (21.9 +/- 2 U/mg) comparable to
AbIDH2 (25.4 +/- 0.7 U/mg). However, mAbIDH2 proved to be a thermolabile enzyme,
indicating that the thermostable dimeric AbIDH2 may have a physiological
significance for the growth and pathogenesis of A. baumannii. Phylogenetic
analysis demonstrated the existence of numerous AbIDH2 homologous proteins, thus
expanding the monomeric IDH protein family.
PMID- 29642589
TI - The Molecular Targets and Anti-Invasive Effects of 2,6-bis-(4-hydroxyl
3methoxybenzylidine) cyclohexanone or BHMC in MDA-MB-231 Human Breast Cancer
Cells.
AB - In order to metastasize, tumor cells need to migrate and invade the surrounding
tissues. It is important to identify compound(s) capable of disrupting the
metastasis of invasive cancer cells, especially for hindering invadopodia
formation, so as to provide anti-metastasis targeted therapy. Invadopodia are
thought to be specialized actin-rich protrusions formed by highly invasive cancer
cells to degrade the extracellular matrix (ECM). A curcuminoid analogue known as
2,6-bis-(4-hydroxy-3-methoxybenzylidine)cyclohexanone or BHMC has shown good
potential in inhibiting inflammation and hyperalgesia. It also possesses an anti
tumor effects on 4T1 murine breast cancer cells in vivo. However, there is still
a lack of empirical evidence on how BHMC works in preventing human breast cancer
invasion. In this study, we investigated the effect of BHMC on MDA-MB-231 breast
cancer cells and its underlying mechanism of action to prevent breast cancer
invasion, especially during the formation of invadopodia. All MDA-MB-231 cells,
which were exposed to the non-cytotoxic concentrations of BHMC, expressed the
proliferating cell nuclear antigen (PCNA), which indicate that the anti
proliferative effects of BHMC did not interfere in the subsequent experiments. By
using a scratch migration assay, transwell migration and invasion assays, we
determined that BHMC reduces the percentage of migration and invasion of MDA-MB
231 cells. The gelatin degradation assay showed that BHMC reduced the number of
cells with invadopodia. Analysis of the proteins involved in the invasion showed
that there is a significant reduction in the expressions of Rho guanine
nucleotide exchange factor 7 (beta-PIX), matrix metalloproteinase-9 (MMP-9), and
membrane type 1 matrix metalloproteinase (MT1-MMP) in the presence of BHMC
treatment at 12.5 uM. Therefore, it can be postulated that BHMC at 12.5 uM is the
optimal concentration for preventing breast cancer invasion.
PMID- 29642590
TI - Characterizing Phage Genomes for Therapeutic Applications.
AB - Multi-drug resistance is increasing at alarming rates. The efficacy of phage
therapy, treating bacterial infections with bacteriophages alone or in
combination with traditional antibiotics, has been demonstrated in emergency
cases in the United States and in other countries, however remains to be approved
for wide-spread use in the US. One limiting factor is a lack of guidelines for
assessing the genomic safety of phage candidates. We present the phage
characterization workflow used by our team to generate data for submitting phages
to the Federal Drug Administration (FDA) for authorized use. Essential analysis
checkpoints and warnings are detailed for obtaining high-quality genomes,
excluding undesirable candidates, rigorously assessing a phage genome for safety
and evaluating sequencing contamination. This workflow has been developed in
accordance with community standards for high-throughput sequencing of viral
genomes as well as principles for ideal phages used for therapy. The feasibility
and utility of the pipeline is demonstrated on two new phage genomes that meet
all safety criteria. We propose these guidelines as a minimum standard for phages
being submitted to the FDA for review as investigational new drug candidates.
PMID- 29642591
TI - Light Emission from the Fe2+-EGTA-H2O2 System: Possible Application for the
Determination of Antioxidant Activity of Plant Phenolics.
AB - Oxidative reactions can result in the formation of electronically excited species
that undergo radiative decay depending on electronic transition from the excited
state to the ground state with subsequent ultra-weak photon emission (UPE). We
investigated the UPE from the Fe2+-EGTA (ethylene glycol-bis(beta-aminoethyl
ether)-N,N,N',N'-tetraacetic acid)-H2O2 system with a multitube luminometer
(Peltier-cooled photon counter, spectral range 380 to 630 nm). The UPE of 92.6
umol/L Fe2+-185.2 umol/L EGTA-2.6 mmol/L H2O2 reached 4319 +/- 755 relative light
units during 2 min measurement and was about seven times higher (p < 0.001) than
the UPE of incomplete systems (Fe2+-H2O2, EGTA-H2O2) and medium alone.
Substitution of Fe2+ with Cr2+, Co2+, Mn2+ or Cu2+ as well as of EGTA with EDTA
(ethylenediaminetetraacetic acid) or citrate completely abolished UPE.
Experiments with ROS scavengers revealed the dependence of UPE on hydroxyl
radicals suggesting occurrence of oxidative attack and cleavage of the ether bond
in EGTA backbone structure and formation of triplet excited carbonyl groups with
subsequent light emission. Plant phenolics (ferulic, chlorogenic and caffec
acids) at concentration 87 umol/L and ascorbate at 0.46 mmol/L inhibited UPE by
90 +/- 4%, 90 +/- 5%, 97 +/- 2% and 92 +/- 1%, respectively. Quenching of UPE
from Fe2+-EGTA-H2O2 system can be used for evaluation of antioxidant activity of
phytochemicals.
PMID- 29642592
TI - The Effects of Long-Term, Low- and High-Dose Beta-Carotene Treatment in Zucker
Diabetic Fatty Rats: The Role of HO-1.
AB - Nowadays, there is a growing interest in compounds derived from plants as
potential raw materials for drug development. One of the most studied compounds
is beta-carotene (BC). Several clinical studies can be found investigating the
cardiovascular effects of BC, however, all these results are controversial. There
is an increasing body of evidence showing that besides the well-known antioxidant
properties, under strong oxidative circumstances, BC could become prooxidant as
well. In this study, we investigated the effects of long-term, low- and high-dose
BC treatment in ischemic/reperfused (ISA/REP) hearts isolated from Zucker
diabetic fatty (ZDF) rats. The animals were treated with various daily doses of
BC for 4 weeks and then hearts were isolated and subjected to 30 min of global
ischemia (ISA) followed by 120 min of reperfusion (REP). Blood glucose levels
were measured before, after two weeks, and at the end of the treatment. In
isolated hearts, the myocardial function was registered. At the end of the
reperfusion period, the infarct size (IS) and heme oxygenase-1 (HO-1) expression
were measured. The results showed that a low dose of BC treatment significantly
improved postischemic recovery, which was reflected in a decreased IS.
Interestingly, when BC was applied at high concentrations, the observed
protective effects were lost. Although BC treatment increased HO-1 expression, we
did not observe a better heart function and/or decreased IS in the high-dose
treated group. Glucose tolerance tests showed a concentration-independent
decrease in blood glucose levels. Our results suggest that long-term, low-dose BC
treatment could be effective in the treatment of type-2-diabetes and related
cardiovascular diseases.
PMID- 29642593
TI - Measuring the Human Ultra-Weak Photon Emission Distribution Using an Electron
Multiplying, Charge-Coupled Device as a Sensor.
AB - Ultra-weak photon emission (UPE) is the spontaneous emission from living systems
mainly attributed to oxidation reactions, in which reactive oxygen species (ROS)
may play a major role. Given the capability of the next-generation electron
multiplying CCD (EMCCD) sensors and the easy use of liquid crystal tunable
filters (LCTF), the aim of this work was to explore the potential of a simple UPE
spectrometer to measure the UPE from a human hand. Thus, an easy setup was
configured based on a dark box for inserting the subject's hand prior to LCTF as
a monochromator and an EMCCD sensor working in the full vertical binning mode
(FVB) as a spectra detector. Under controlled conditions, both dark signals and
left hand UPE were acquired by registering the UPE intensity at different
selected wavelengths (400, 450, 500, 550, 600, 650, and 700 nm) during a period
of 10 min each. Then, spurious signals were filtered out by ignoring the pixels
whose values were clearly outside of the Gaussian distribution, and the dark
signal was subtracted from the subject hand signal. The stepped spectrum with a
peak of approximately 880 photons at 500 nm had a shape that agreed somewhat with
previous reports, and agrees with previous UPE research that reported UPE from
420 to 570 nm, or 260 to 800 nm, with a range from 1 to 1000 photons s-1 cm-2.
Obtaining the spectral distribution instead of the total intensity of the UPE
represents a step forward in this field, as it may provide extra information
about a subject's personal states and relationship with ROS. A new generation of
CCD sensors with lower dark signals, and spectrographs with a more uniform
spectral transmittance, will open up new possibilities for configuring measuring
systems in portable formats.
PMID- 29642595
TI - delta-Generalized Labeled Multi-Bernoulli Filter Using Amplitude Information of
Neighboring Cells.
AB - The amplitude information (AI) of echoed signals plays an important role in radar
target detection and tracking. A lot of research shows that the introduction of
AI enables the tracking algorithm to distinguish targets from clutter better and
then improves the performance of data association. The current AI-aided tracking
algorithms only consider the signal amplitude in the range-azimuth cell where
measurement exists. However, since radar echoes always contain backscattered
signals from multiple cells, the useful information of neighboring cells would be
lost if directly applying those existing methods. In order to solve this issue, a
new delta-generalized labeled multi-Bernoulli (delta-GLMB) filter is proposed. It
exploits the AI of radar echoes from neighboring cells to construct a united
amplitude likelihood ratio, and then plugs it into the update process and the
measurement-track assignment cost matrix of the delta-GLMB filter. Simulation
results show that the proposed approach has better performance in target's state
and number estimation than that of the delta-GLMB only using single-cell AI in
low signal-to-clutter-ratio (SCR) environment.
PMID- 29642594
TI - Allosteric Activation of GDP-Bound Ras Isoforms by Bisphenol Derivative
Plasticisers.
AB - The protein family of small GTPases controls cellular processes by acting as a
binary switch between an active and an inactive state. The most prominent family
members are H-Ras, N-Ras, and K-Ras isoforms, which are highly related and
frequently mutated in cancer. Bisphenols are widespread in modern life because of
their industrial application as plasticisers. Bisphenol A (BPA) is the best-known
member and has gained significant scientific as well as public attention as an
endocrine disrupting chemical, a fact that eventually led to its replacement.
However, compounds used to replace BPA still contain the molecular scaffold of
bisphenols. BPA, BPAF, BPB, BPE, BPF, and an amine-substituted BPAF-derivate all
interact with all GDP-bound Ras-Isoforms through binding to a common site on
these proteins. NMR-, SOScat-, and GDI- assay-based data revealed a new bisphenol
induced, allosterically activated GDP-bound Ras conformation that define these
plasticisers as Ras allosteric agonists.
PMID- 29642596
TI - Predictors and Barriers to Full Vaccination among Children in Ethiopia.
AB - Predictors of immunization status outside of large cities in Ethiopia are not
well known, and Muslims have lower vaccination coverage. The aim of this study is
to assess factors associated with full immunization among children 12-23 months
in Worabe, Ethiopia, a Muslim-majority community. A cross-sectional study is
conducted in summer 2016. Multivariable logistic regression was used to assess
the significance of predictors of full immunization. Among 484 children, 61% are
fully vaccinated. Children whose mothers had fewer antenatal care (ANC) visits
have decreased odds of full vaccination (zero visits: odds ratio (OR) = 0.09; one
visit: OR = 0.15; two visits: OR = 0.46; three visits: OR = 0.89). The most
common reasons that the mother gave for not vaccinating the child are fear of
side reactions (36%), being too busy (31%), or hearing rumors about vaccines
(28%). Local interventions incorporating interventions with religious authorities
could raise awareness in the community of the importance of childhood
immunizations and ANC visits.
PMID- 29642597
TI - Immune Response against ALK in Children with ALK-Positive Anaplastic Large Cell
Lymphoma.
AB - Patients with anaplastic lymphoma kinase (ALK)-positive anaplastic large cell
lymphoma (ALCL) mount a humoral and cellular immune response against ALK. More
than 90% of children and adolescents with ALK-positive ALCL have detectable anti
ALK antibodies in serum or plasma, and the antibody titer inversely correlates
with the risk of relapse. ALK-specific CD8 and CD4 T cell responses have been
described in patients with ALK-positive ALCL. Vaccination with ALK DNA led to
protection against lymphoma growth in a murine model. Collectively, these data
suggest that the ALK-specific immune response is involved in the control of the
disease. The characteristics of the humoral and cellular immune response against
ALK as well as tumor immune escape mechanisms have been increasingly
investigated. However, tumor and host factors contributing to the individual
immune response against ALK are still largely unknown. Depending on the
individual strength of the immune response and its determinants, individualized
immunological approaches might be appropriate for the consolidation of ALCL
patients. Strategies such as ALK vaccination could be effective for those with a
pre-existing anti-tumor immunity, while an allogeneic blood stem cell
transplantation or check-point inhibition could be effective for others.
PMID- 29642600
TI - Erratum: Long-Term Dexamethasone Exposure Down-Regulates Hepatic TFR1 and Reduces
Liver Iron Concentration in Rats; Nutrients 2017, 9, 617.
AB - The Editorial Office of Nutrients would like to report an error in the published
paper [1] [...].
PMID- 29642599
TI - Many Infants and Young Children Are Not Compliant with Mexican and International
Complementary Feeding Recommendations for Milk and Other Beverages.
AB - Mexican and international authorities provide guidelines for milk and beverage
consumption for young children. This study classifies beverages as appropriate or
inappropriate by age (0-5.9, 6-11.9, and 12-23.9 months) and details consumption
patterns, amounts consumed, and the associated socio-demographic characteristics.
Analysis of the Mexican National Nutrition and Health Survey (ENSANUT 2012) was
conducted (n = 949). Among 0-5.9 month olds, 66.7% consumed either breast milk,
infant formula, or a combination with no other beverages, whereas 29.3% consumed
breast milk and/or infant formula with water (mean = 58 g/day) and/or other
beverages (mean = 115 g/day), such as 100% fruit juice, milk, and sugar-sweetened
beverages (SSBs). For infants 6-11.9 months, appropriate beverages include breast
milk, infant formula, and water; only 40.2% met these recommendations. Many 6
11.9 month olds consumed age-inappropriate beverages, including milk (31%) and
SSBs (35%). After 12 months of age, appropriate beverages include water, milk,
and a limited amount of 100% fruit juice and SSBs; 32.4% complied fully, 18.3%
consumed appropriate and inappropriate beverages, and 49.3% consumed only
inappropriate beverages. Among 12-23.9 month olds, 58% consumed milk, 18% juice,
and 42% water while 63% consumed SSBs. Many infants and young children are not
compliant with Mexican and international breastfeeding and complementary feeding
guidelines for beverages. Communication and guidance about age-appropriate
beverages should be improved.
PMID- 29642598
TI - ALK in Neuroblastoma: Biological and Therapeutic Implications.
AB - Neuroblastoma (NB) is the most common and deadly solid tumour in children.
Despite the development of new treatment options for high-risk NB, over half of
patients relapse and five-year survival remains at 40-50%. Therefore, novel
treatment strategies aimed at providing long-term disease remission are urgently
sought. ALK, encoding the anaplastic lymphoma kinase receptor, is altered by gain
of-function point mutations in around 14% of high-risk NB and represents an ideal
therapeutic target given its low or absent expression in healthy tissue
postnatally. Small-molecule inhibitors of Anaplastic Lymphoma Kinase (ALK)
approved in ALK fusion-positive lung cancer are currently undergoing clinical
assessment in patients with ALK-mutant NB. Parallel pre-clinical studies are
demonstrating the efficacy of ALK inhibitors against common ALK variants in NB;
however, a complex picture of therapeutic resistance is emerging. It is
anticipated that long-term use of these compounds will require combinatorial
targeting of pathways downstream of ALK, functionally-related 'bypass' mechanisms
and concomitant oncogenic pathways.
PMID- 29642601
TI - N-Confused Porphyrin Immobilized on Solid Supports: Synthesis and Metal Ions
Sensing Efficacy.
AB - In this work, the N-confused porphyrin 5,10,15,20-tetraphenyl-2-aza-21
carbaporphyrin (NCTPP) was immobilized on neutral or cationic supports based on
silica and on Merrifield resin. The new materials were characterized by
appropriate techniques (UV-Vis spectroscopy, SEM, and zeta potential analysis).
Piezoelectric quartz crystal gold electrodes were coated with the different
hybrids and their ability to interact with heavy metals was evaluated. The
preliminary results obtained showed that the new materials can be explored for
metal cations detection and the modification of the material surface is a key
factor in tuning the metal selectivity.
PMID- 29642602
TI - Production of Flocculants, Adsorbents, and Dispersants from Lignin.
AB - Currently, lignin is mainly produced in pulping processes, but it is considered
as an under-utilized chemical since it is being mainly used as a fuel source.
Lignin contains many hydroxyl groups that can participate in chemical reactions
to produce value-added products. Flocculants, adsorbents, and dispersants have a
wide range of applications in industry, but they are mainly oil-based chemicals
and expensive. This paper reviews the pathways to produce water soluble lignin
based flocculants, adsorbents, and dispersants. It provides information on the
recent progress in the possible use of these lignin-based flocculants,
adsorbents, and dispersants. It also critically discusses the advantages and
disadvantages of various approaches to produce such products. The challenges
present in the production of lignin-based flocculants, adsorbents, and
dispersants and possible scenarios to overcome these challenges for commercial
use of these products in industry are discussed.
PMID- 29642603
TI - Acyclovir-Loaded Chitosan Nanospheres from Nano-Emulsion Templating for the
Topical Treatment of Herpesviruses Infections.
AB - Acyclovir is not a good candidate for passive permeation since its polarity and
solubility limit is partitioning into the stratum corneum. This work aims to
develop a new topical formulation for the acyclovir delivery. New chitosan
nanospheres (NS) were prepared by a modified nano-emulsion template method.
Chitosan NS were characterized by Dynamic Light Scattering (DLS), Transmission
Electron Microscopy (TEM), and an in vitro release study. The in vitro skin
permeation experiment was carried out using Franz cells and was equipped with
porcine skin. Biological studies were performed on the Vero cell line infected by
HSV-1 and HSV-2 strains. The acyclovir loaded chitosan NS appeared with a
spherical shape, a size of about 200 nm, and a negative zeta potential of about
40.0 mV. The loading capacity of the drug was about 8.5%. In vitro release
demonstrated that the percentage of acyclovir delivered from the nanospheres was
approximately 30% after six hours. The in vitro skin permeation studies confirmed
an improved amount of permeated acyclovir. The acyclovir-NS complex displayed a
higher antiviral activity than that of free acyclovir against both the HSV-1 and
the HSV-2 strain. The acyclovir-loaded NS showed no anti-proliferative activity
and no signs of cytotoxicity induced by NS was detected. Confocal laser scanning
microscopy confirmed that the NS are taken up by the cells.
PMID- 29642604
TI - Assessment of Ab Initio and Density Functional Theory Methods for the Excitations
of Donor-Acceptor Complexes: The Case of the Benzene-Tetracyanoethylene Model.
AB - The understanding of the excited-state properties of electron donors, acceptors
and their interfaces in organic optoelectronic devices is a fundamental issue for
their performance optimization. In order to obtain a balanced description of the
different excitation types for electron-donor-acceptor systems, including the
singlet charge transfer (CT), local excitations, and triplet excited states,
several ab initio and density functional theory (DFT) methods for excited-state
calculations were evaluated based upon the selected model system of benzene
tetracyanoethylene (B-TCNE) complexes. On the basis of benchmark calculations of
the equation-of-motion coupled-cluster with single and double excitations method,
the arithmetic mean of the absolute errors and standard errors of the electronic
excitation energies for the different computational methods suggest that the M11
functional in DFT is superior to the other tested DFT functionals, and time
dependent DFT (TDDFT) with the Tamm-Dancoff approximation improves the accuracy
of the calculated excitation energies relative to that of the full TDDFT. The
performance of the M11 functional underlines the importance of kinetic energy
density, spin-density gradient, and range separation in the development of novel
DFT functionals. According to the TDDFT results, the performances of the
different TDDFT methods on the CT properties of the B-TCNE complexes were also
analyzed.
PMID- 29642605
TI - Abundances of Clinically Relevant Antibiotic Resistance Genes and Bacterial
Community Diversity in the Weihe River, China.
AB - The spread of antibiotic resistance genes in river systems is an emerging
environmental issue due to their potential threat to aquatic ecosystems and
public health. In this study, we used droplet digital polymerase chain reaction
(ddPCR) to evaluate pollution with clinically relevant antibiotic resistance
genes (ARGs) at 13 monitoring sites along the main stream of the Weihe River in
China. Six clinically relevant ARGs and a class I integron-integrase (intI1) gene
were analyzed using ddPCR, and the bacterial community was evaluated based on the
bacterial 16S rRNA V3-V4 regions using MiSeq sequencing. The results indicated
Proteobacteria, Actinobacteria, Cyanobacteria, and Bacteroidetes as the dominant
phyla in the water samples from the Weihe River. Higher abundances of blaTEM,
strB, aadA, and intI1 genes (103 to 105 copies/mL) were detected in the surface
water samples compared with the relatively low abundances of strA, mecA, and vanA
genes (0-1.94 copies/mL). Eight bacterial genera were identified as possible
hosts of the intI1 gene and three ARGs (strA, strB, and aadA) based on network
analysis. The results suggested that the bacterial community structure and
horizontal gene transfer were associated with the variations in ARGs.
PMID- 29642606
TI - Metabolism-Driven High-Throughput Cancer Identification with GLUT5-Specific
Molecular Probes.
AB - Point-of-care applications rely on biomedical sensors to enable rapid detection
with high sensitivity and selectivity. Despite advances in sensor development,
there are challenges in cancer diagnostics. Detection of biomarkers, cell
receptors, circulating tumor cells, gene identification, and fluorescent tagging
are time-consuming due to the sample preparation and response time involved.
Here, we present a novel approach to target the enhanced metabolism in breast
cancers for rapid detection using fluorescent imaging. Fluorescent analogs of
fructose target the fructose-specific transporter GLUT5 in breast cancers and
have limited to no response from normal cells. These analogs demonstrate a marked
difference in adenocarcinoma and premalignant cells leading to a novel detection
approach. The vastly different uptake kinetics of the analogs yields two unique
signatures for each cell type. We used normal breast cells MCF10A, adenocarcinoma
cells MCF7, and premalignant cells MCF10AneoT, with hepatocellular carcinoma
cells HepG2 as the negative control. Our data indicated that MCF10AneoT and MCF7
cells had an observable difference in response to only one of the analogs. The
response, observed as fluorescence intensity, leads to a two-point assessment of
the cells in any sample. Since the treatment time is 10 min, there is potential
for use in rapid on-site high-throughput diagnostics.
PMID- 29642607
TI - A New Inflammatory Marker: Elevated Monocyte to HDL Cholesterol Ratio Associated
with Smoking.
AB - OBJECTIVES: The adverse effects of smoking in various pathologies are mediated by
its effects on the inflammatory system. The monocyte to high-density lipoprotein
cholesterol (HDL-C) ratio (MHR) has recently emerged as an indicator of
inflammation. We aimed to investigate the relationship between MHR and cigarette
smoking. PATIENTS AND METHODS: Three hundred and ninety seven consecutive
participants who smoke and 515 healthy subjects with no history of smoking
enrolled in the study. Complete blood count parameters and lipid profile were
analyzed in all study participants. Smoking habits were calculated as pack.years
and number of cigarettes smoked per day. RESULTS: MHR levels were significantly
higher in smokers compared to non-smokers (respectively, 15.71 (12.02-20.00) and
11.17 (8.50-14.16), p < 0.0001)). Pearson's correlation analysis revealed a weak
but positive correlation between pack.year and MHR in the smokers group, and
there was a moderate positive correlation between the number of cigarettes smoked
daily and MHR in the group. In receiver operating characteristics (ROC) analyses,
it was determined that a MHR value >13.00 measured in smoker participants at
application had a predictive specificity of 66.6% and sensitivity of 70.0% for
smoking (area under the curve [AUC] 0.729, 95% CI 0.696, 0.762; p < 0.0001).
CONCLUSIONS: Elevated MHR is associated with cigarette smoking and may be a
useful indicator of a systemic inflammatory response in smokers. Smoker
participants who have high MHR levels can easily be identified during routine
complete blood count (CBC) analysis and could possibly benefit from preventive
treatment.
PMID- 29642608
TI - Neuroprotective Effects of Four Phenylethanoid Glycosides on H2O2-Induced
Apoptosis on PC12 Cells via the Nrf2/ARE Pathway.
AB - Nuclear factor erythroid 2-related factor 2 (Nrf2) is a key transcription factor
against oxidative stress and neurodegenerative disorders. Phenylethanoid
glycosides (PhGs; salidroside, acteoside, isoacteoside, and echinacoside) exhibit
antioxidant and neuroprotective bioactivities. This study was performed to
investigate the neuroprotective effect and molecular mechanism of PhGs. PhGs
pretreatment significantly suppressed H2O2-induced cytotoxicity in PC12 cells by
triggering the nuclear translocation of Nrf2 and reversing the downregulated
protein expression of heme oxygenase 1 (HO-1), NAD(P)H quinone oxidoreductase 1
(NQO1), glutamate cysteine ligase-catalytic subunit (GCLC), and glutamate
cysteine ligase modifier subunit (GCLM). Nrf2 siRNA or HO-1 inhibitor zinc
protoporphyrin (ZnPP) reduced the neuroprotective effect. PhGs showed potential
interaction with the Nrf2 binding site in Kelch-like ECH-association protein 1
(Keap1). This result may support the hypothesis that PhGs are activators of Nrf2.
We demonstrated the potential binding between PhGs and the Keap1-activated
Nrf2/ARE pathway, and that PhGs with more glycosides had enhanced effects.
PMID- 29642609
TI - Pseudogymnoascus destructans: Causative Agent of White-Nose Syndrome in Bats Is
Inhibited by Safe Volatile Organic Compounds.
AB - White-nose syndrome (WNS) is caused by Pseudogymnoascus destructans, a
psychrophilic fungus that infects hibernating bats and has caused a serious
decline in some species. Natural aroma compounds have been used to control growth
of fungal food storage pathogens, so we hypothesized that a similar strategy
could work for control of P. destructans. The effectiveness of exposure to low
concentrations of the vapor phase of four of these compounds was tested on
mycelial plugs and conidiospores at temperatures of 5, 10 and 15 degrees C. Here
we report the efficacy of vapor phase mushroom alcohol (1-octen-3-ol) for
inhibiting mycelial and conidiospore growth of P. destructans at 0.4 and 0.8
umol/mL and demonstrate that the R enantiomer of this compound is more effective
than the S enantiomer, supporting the finding that biological systems can be
sensitive to stereochemistry. Further, we report that vapor phase leaf aldehyde
(trans-2-hexenal), a common aroma compound associated with cut grass odors and
also the major volatile compound in extra virgin olive oil, is more effective
than mushroom alcohol. At 0.05 umol/mL, trans-2-hexenal is fungicidal to both
conidiospores and mycelia of P. destructans.
PMID- 29642610
TI - Don't Know Much about Bumblebees?-A Study about Secondary School Students'
Knowledge and Attitude Shows Educational Demand.
AB - Many insects are threatened with extinction, which in the case of pollinating
insects could lead to declining pollination services and reduced ecosystem
biodiversity. This necessitates rethinking how we deal with nature in general.
Schools are ideal places in which to instill a willingness to behave in an
environmentally-friendly way. Whereas scientific studies and school textbooks
stress the importance of honeybees as pollinators, the role of bumblebees is
either underestimated or neglected. The aim of this study was to provide
information concerning student knowledge and attitudes, which are important
factors of an individual's environmental awareness. A questionnaire with closed
and open questions was developed, which also included drawing and species
identification tasks. We surveyed 870 German secondary school students between 9
and 20 years of age. Our results indicate limited knowledge of bumblebees by
students of all grades. Knowledge increased with higher grades but only with a
small effect size. The attitude of students towards bumblebees was generally
positive; however, this positivity declined with increasing grade of the
participants. This correlation also had a small effect size. Our results are
discussed, with a particular focus on future educational demand.
PMID- 29642611
TI - Association between Precipitation and Diarrheal Disease in Mozambique.
AB - Diarrheal diseases are a leading cause of morbidity and mortality in Africa.
Although research documents the magnitude and pattern of diarrheal diseases are
associated with weather in particular locations, there is limited quantification
of this association in sub-Saharan Africa and no studies conducted in Mozambique.
Our study aimed to determine whether variation in diarrheal disease was
associated with precipitation in Mozambique. In secondary analyses we
investigated the associations between temperature and diarrheal disease. We
obtained weekly time series data for weather and diarrheal disease aggregated at
the administrative district level for 1997-2014. Weather data include modeled
estimates of precipitation and temperature. Diarrheal disease counts are
confirmed clinical episodes reported to the Mozambique Ministry of Health (n =
7,315,738). We estimated the association between disease counts and
precipitation, defined as the number of wet days (precipitation > 1 mm) per week,
for the entire country and for Mozambique's four regions. We conducted time
series regression analyses using an unconstrained distributed lag Poisson model
adjusted for time, maximum temperature, and district. Temperature was similarly
estimated with adjusted covariates. Using a four-week lag, chosen a priori,
precipitation was associated with diarrheal disease. One additional wet day per
week was associated with a 1.86% (95% CI: 1.05-2.67%), 1.37% (95% CI: 0.70
2.04%), 2.09% (95% CI: 1.01-3.18%), and 0.63% (95% CI: 0.11-1.14%) increase in
diarrheal disease in Mozambique's northern, central, southern, and coastal
regions, respectively. Our study indicates a strong association between diarrheal
disease and precipitation. Diarrheal disease prevention efforts should target
areas forecast to experience increased rainfall. The burden of diarrheal disease
may increase with increased precipitation associated with climate change, unless
additional health system interventions are undertaken.
PMID- 29642612
TI - Ponseti Technique for the Management of Congenital Talipes Equinovarus in a Rural
Set-Up in India: Experience of 356 Patients.
AB - Congenital talipes equinovarus (CTEV), also known as clubfoot, is a complex
congenital deformity of the foot that, left untreated, can limit a person's
mobility by making it difficult and painful to walk. Worldwide, 80% of children
born with clubfoot are in low- and middle-income countries. The management of
clubfoot has a long history. Non-operative management did not become popular, as
an increasing number of orthopaedists started leaning towards surgical treatment.
The late Dr. Ignacio Ponseti developed a method of clubfoot correction that
successfully realigns clubfoot in infants without extensive and major surgery.
The aim of the study was to assess the functional outcome of CTEV management by
the Ponseti technique, to study the severity of CTEV deformity using the Pirani
score, and to evaluate the cost-effectiveness of the technique. A total of 356
cases with 402 feet with CTEV were treated by the Ponseti method. The average age
of the children and the number of casts applied before full correction were 4.03
months and 6.91, respectively. There was a good functional outcome in 95.45% of
cases (score > 30) at the last follow up. The management of CTEV by the Ponseti
technique provides a good functional and cosmetic outcome. In a developing
country like India, this technique is a safe, easy, economical method of clubfoot
management.
PMID- 29642613
TI - Effect of Weight on the Resonant Tuning of Energy Harvesting Devices Using Giant
Magnetostrictive Materials.
AB - This study deals with the numerical and experimental study of the effect of
weight on the resonant tuning and energy harvesting characteristics of energy
harvesting devices using giant magnetostrictive materials. The energy harvesting
device is made in a cantilever shape using a thin Terfenol-D layer, stainless
steel (SUS) layer and a movable proof mass, among other things. In this study,
two types of movable proof mass were prepared, and the device was designed to
adjust its own resonant frequency automatically to match external vibration
frequency in real time. Three-dimensional finite element analysis (FEA) was
performed, and the resonant frequency, tip displacement, and output voltage in
the devices were predicted and measured, and the simulation and experiment
results were compared. The effects of the weight of the proof mass on self-tuning
ability and time-varying behavior were then considered in particular.
PMID- 29642614
TI - Microfluidic Fabrication of Morphology-Controlled Polymeric Microspheres of
Blends of Poly(4-butyltriphenylamine) and Poly(methyl methacrylate).
AB - Multicomponent polymer particles with specific morphology are promising materials
exhibiting novel functionality which cannot be obtained with single-component
polymer particles. Particularly, the preparation of such kinds of polymer
particles involving electrically or optically active conjugated polymers with
uniform size is a challenging subject due to their intense demands. Here,
microspheres of binary polymer blend consisting of poly(4-butyltriphenylamine)
(PBTPA)/poly(methyl methacrylate) (PMMA) (1:1 in weight) were produced via a
microfluidic emulsification with a Y-shaped microreactor, and a subsequent
solvent evaporation method. The flow rate of the dispersed phase (polymer
solution) was fixed to 7 uL/min, and 140 or 700 uL/min of the flow rate of the
continuous phase (aqueous 0.6 wt % of poly(vinyl alcohol) (PVA) solution) was
utilized to produce the dispersion with different diameter. The concentration of
dispersed phase was adjusted to 0.1 or 1.0 w/v%. Core-shell, Janus and dumbbell
type microspheres were obtained dependent on the flow rate of continuous phase.
Incomplete core-shell type microspheres were produced for the blend involving low
molecular weight PMMA. Complex Janus and core-shell type microspheres were
fabricated by the addition of sodium dodecyl sulfate (SDS) to continuous phase.
It is found that final morphologies are strongly dependent on the initial
conditions of dispersion including the particle size suggesting that the
morphologies are governed by the kinetical factors together with the
conventionally accepted thermodynamic ones.
PMID- 29642616
TI - Scutellarin Mitigates Abeta-Induced Neurotoxicity and Improves Behavior
Impairments in AD Mice.
AB - Alzheimer's disease (AD) is pathologically characterized by excessive
accumulation of amyloid-beta (Abeta) within extracellular spaces of the brain.
Aggregation of Abeta has been shown to trigger oxidative stress, inflammation,
and neurotoxicity resulting in cognitive dysfunction. In this study, we use
models of cerebral Abeta amyloidosis to investigate anti-amyloidogenic effects of
scutellarin in vitro and in vivo. Our results show that scutellarin, through
binding to Abeta42, efficiently inhibits oligomerization as well as fibril
formation and reduces Abeta oligomer-induced neuronal toxicity in cell line SH
SY5Y. After nine months of treatment in APP/PS1 double-transgenic mice,
scutellarin significantly improves behavior, reduces soluble and insoluble Abeta
levels in the brain and plasma, decreases Abeta plaque associated gliosis and
levels of proinflammatory cytokines TNF-alpha and IL-6, attenuates
neuroinflammation, displays anti-amyloidogenic effects, and highlights the
beneficial effects of intervention on development or progression of AD-like
neuropathology.
PMID- 29642617
TI - Effects of Lignans from Schisandra chinensis Rattan Stems against Abeta1-42
Induced Memory Impairment in Rats and Neurotoxicity in Primary Neuronal Cells.
AB - Oxidative stress, which is caused by Amyloid-beta deposition in brain, plays an
important role in Alzheimer's disease. In this study, we found that lignans from
Schisandra chinensis rattan stems (rsSCH-L) could reduce the escape latency and
the distance travelled by the Abeta1-42 injected rats while the crossing platform
time was enhanced in the Morris water maze test. Further research demonstrated
that lignans from rsSCH-L attenuated Abeta1-42-induced neuronal cell injury by
increasing the content of SOD and GSH-Px and decreasing the levels of LDH, ROS,
and MDA. Moreover, rsSCH-L also inhibited the apoptosis of primary neuronal
cells. The mechanisms of the apoptosis were related with the downregulation of
caspase-3, caspase-8, Bax, and upregulation of Bcl-2. Taken together, the results
show that rsSCH-L can improve cognitive ability in vivo. Meanwhile rsSCH-L
exhibit a neuroprotective environment against oxidative stress and apoptosis in
vitro. Therefore, rsSCH-L may be a potential therapeutic agent for this
neurodegenerative disease.
PMID- 29642618
TI - The Role of Extracellular Vesicles in Bone Metastasis.
AB - Multiple types of cancer have the specific ability to home to the bone
microenvironment and cause metastatic lesions. Despite being the focus of intense
investigation, the molecular and cellular mechanisms that regulate the metastasis
of disseminated tumor cells still remain largely unknown. Bone metastases
severely impact quality of life since they are associated with pain, fractures,
and bone marrow aplasia. In this review, we will summarize the recent discoveries
on the role of extracellular vesicles (EV) in the regulation of bone remodeling
activity and bone metastasis occurrence. Indeed, it was shown that extracellular
vesicles, including exosomes and microvesicles, released from tumor cells can
modify the bone microenvironment, allowing the formation of osteolytic,
osteosclerotic, and mixed mestastases. In turn, bone-derived EV can stimulate the
proliferation of tumor cells. The inhibition of EV-mediated crosstalk between
cancer and bone cells could represent a new therapeutic target for bone
metastasis.
PMID- 29642619
TI - Proton Beam Therapy Alone for Intermediate- or High-Risk Prostate Cancer: An
Institutional Prospective Cohort Study.
AB - The role of proton beam therapy (PBT) as monotherapy for localized prostate
cancer (PCa) remains unclear. The purpose of this study was to evaluate the
efficacy and adverse events of PBT alone for these patients. Between January 2011
and July 2014, 218 patients with intermediate- and high-risk PCa who declined
androgen deprivation therapy (ADT) were enrolled to the study and were treated
with PBT following one of the following protocols: 74 Gray (GyE) with 37
fractions (fr) (74 GyE/37 fr), 78 GyE/39 fr, and 70 GyE/28 fr. The 5-year
progression-free survival rate in the intermediate- and high-risk groups was 97%
and 83%, respectively (p = 0.002). The rate of grade 2 or higher late
gastrointestinal toxicity was 3.9%, and a significant increased incidence was
noted in those who received the 78 GyE/39 fr protocol (p < 0.05). Grade 2 or
higher acute and late genitourinary toxicities were observed in 23.5% and 3.4% of
patients, respectively. Our results indicated that PBT monotherapy can be a
beneficial treatment for localized PCa. Furthermore, it can preserve the quality
of life of these patients. We believe that this study provides crucial hypotheses
for further study and for establishing new treatment strategies.
PMID- 29642621
TI - Tailored Synthesis of Core-Shell Mesoporous Silica Particles-Optimization of Dye
Sorption Properties.
AB - Monodisperse spherical silica particles, with solid cores and mesoporous shells
(SCMS), were synthesized at various temperatures using a one-pot method utilizing
a cationic surfactant template. The temperature of the synthesis was found to
significantly affect the diameters of both the cores (ca. 170-800 nm) and shells
(ca. 11-80 nm) of the particles, which can be tailored for specific applications
that require a high specific surface area of the nanocarriers (mesoporous shells)
and simultaneously their mechanical robustness for, e.g., facile isolation from
suspensions (dense cores). The applied method enabled the formation of the
relatively thick mesoporous shells at conditions below room temperature. Radially
ordered pores with narrow distributions of their sizes in 3-4 nm range were found
in the shells. The adsorption ability of the SCMS particles was studied using
rhodamine 6G as a model dye. Decolorization of the dye solution in the presence
of the SCMS particles was correlated with their structure and specific surface
area and reached its maximum for the particles synthesized at 15 degrees C. The
presented strategy may be applied for the fine-tuning of the structure of SCMS
particles and the enhancement of their adsorption capabilities.
PMID- 29642615
TI - YAP/TAZ Activation as a Target for Treating Metastatic Cancer.
AB - Yes-Associated Protein (YAP) and Transcriptional Co-activator with PDZ-binding
Motif (TAZ) have both emerged as important drivers of cancer progression and
metastasis. YAP and TAZ are often upregulated or nuclear localized in aggressive
human cancers. There is abundant experimental evidence demonstrating that YAP or
TAZ activation promotes cancer formation, tumor progression, and metastasis. In
this review we summarize the evidence linking YAP/TAZ activation to metastasis,
and discuss the roles of YAP and TAZ during each step of the metastatic cascade.
Collectively, this evidence strongly suggests that inappropriate YAP or TAZ
activity plays a causal role in cancer, and that targeting aberrant YAP/TAZ
activation is a promising strategy for the treatment of metastatic disease. To
this end, we also discuss several potential strategies for inhibiting YAP/TAZ
activation in cancer and the challenges each strategy poses.
PMID- 29642620
TI - Rhodobacter sphaeroides Extract LycogenTM Attenuates Testosterone-Induced Benign
Prostate Hyperplasia in Rats.
AB - Benign prostate hyperplasia (BPH) is one of the most common urological problems
in mid-aged to elderly men. Risk factors of BPH include family history, obesity,
type 2 diabetes, and high oxidative stress. The main medication classes for BPH
management are alpha blockers and 5alpha-reductase inhibitors. However, these
conventional medicines cause adverse effects. LycogenTM, extracted from
Rhodobacter sphaeroides WL-APD911, is an anti-oxidant and anti-inflammatory
compound. In this study, the effect of LycogenTM was evaluated in rats with
testosterone-induced benign prostate hyperplasia (BPH). Testosterone injections
and LycogenTM administration were carried out for 28 days, and body weights were
recorded twice per week. The testosterone injection successfully induced a
prostate enlargement. BPH-induced rats treated with different doses of LycogenTM
exhibited a significantly decreased prostate index (PI). Moreover, the LycogenTM
administration recovered the histological abnormalities observed in the prostate
of BPH rats. In conclusion, these findings support a dose-dependent preventing
effect of LycogenTM on testosterone-induced BPH in rats and suggest that
LycogenTM may be favorable to the prevention and management of benign prostate
hyperplasia.
PMID- 29642622
TI - Colibactin: More Than a New Bacterial Toxin.
AB - Cyclomodulins are bacterial toxins that interfere with the eukaryotic cell cycle.
A new cyclomodulin called colibactin, which is synthetized by the pks genomic
island, was discovered in 2006. Despite many efforts, colibactin has not yet been
purified, and its structure remains elusive. Interestingly, the pks island is
found in members of the family Enterobacteriaceae (mainly Escherichia coli and
Klebsiella pneumoniae) isolated from different origins, including from intestinal
microbiota, septicaemia, newborn meningitis, and urinary tract infections.
Colibactin-producing bacteria induce chromosomal instability and DNA damage in
eukaryotic cells, which leads to senescence of epithelial cells and apoptosis of
immune cells. The pks island is mainly observed in B2 phylogroup E. coli strains,
which include extra-intestinal pathogenic E. coli strains, and pksE. coli are
over-represented in biopsies isolated from colorectal cancer. In addition, pksE.
coli bacteria increase the number of tumours in diverse colorectal cancer mouse
models. Thus, colibactin could have a major impact on human health. In the
present review, we will focus on the biological effects of colibactin, the
distribution of the pks island, and summarize what is currently known about its
synthesis and its structure.
PMID- 29642623
TI - Heavy Metal Pollution Delineation Based on Uncertainty in a Coastal Industrial
City in the Yangtze River Delta, China.
AB - Assessing heavy metal pollution and delineating pollution are the bases for
evaluating pollution and determining a cost-effective remediation plan. Most
existing studies are based on the spatial distribution of pollutants but ignore
related uncertainty. In this study, eight heavy-metal concentrations (Cr, Pb, Cd,
Hg, Zn, Cu, Ni, and Zn) were collected at 1040 sampling sites in a coastal
industrial city in the Yangtze River Delta, China. The single pollution index
(PI) and Nemerow integrated pollution index (NIPI) were calculated for every
surface sample (0-20 cm) to assess the degree of heavy metal pollution. Ordinary
kriging (OK) was used to map the spatial distribution of heavy metals content and
NIPI. Then, we delineated composite heavy metal contamination based on the
uncertainty produced by indicator kriging (IK). The results showed that mean
values of all PIs and NIPIs were at safe levels. Heavy metals were most
accumulated in the central portion of the study area. Based on IK, the spatial
probability of composite heavy metal pollution was computed. The probability of
composite contamination in the central core urban area was highest. A probability
of 0.6 was found as the optimum probability threshold to delineate polluted areas
from unpolluted areas for integrative heavy metal contamination. Results of
pollution delineation based on uncertainty showed the proportion of false
negative error areas was 6.34%, while the proportion of false positive error
areas was 0.86%. The accuracy of the classification was 92.80%. This indicated
the method we developed is a valuable tool for delineating heavy metal pollution.
PMID- 29642624
TI - Understanding and Increasing Influenza Vaccination Acceptance: Insights from a
2016 National Survey of U.S. Adults.
AB - Background: The percentage of adults in the U.S. getting seasonal influenza
vaccination has not changed significantly since 2013 and remains far below the
federal government's 70% target. Objective: This study assessed and identified
characteristics, experiences, and beliefs associated with influenza vaccination
using a nationally representative survey of 1005 U.S. adults 19 years old and
older. Methods: The sample was drawn from the National Opinion Research Center's
AmeriSpeak Panel, a probability-based panel designed to be representative of the
U.S. household population. Results: Overall, 42.3% received an influenza
vaccination in the past 12 months, with rates highest for non-Hispanic Whites and
Blacks and those 65 years old and older. Hispanic respondents and those under 64
years old were much less likely to get an influenza vaccination. They were also
less aware of the recommendation, less informed about influenza and the benefits
of vaccination, and least confident in the vaccine. Conclusions: Increasing
influenza vaccination coverage in the U.S. requires a greater focus on 19-64 year
olds, particularly those 50 to 64, Hispanics and continued focus on those with
diabetes and asthma. Efforts need to increase awareness of influenza vaccination
recommendations, foster a sense of being well informed about influenza
vaccination benefits and the risks associated with non-vaccination, and increase
confidence that there are meaningful benefits from receiving an influenza
vaccination.
PMID- 29642625
TI - Analysis of Sociodemographic, Psychological, and Genetic Factors Contributing to
Depressive symptoms in Pre-, Peri- and Postmenopausal Women.
AB - : Depressive symptoms that are faced by women in the pre-, peri-, and
postmenopausal periods are determined by a wide array of sociodemographic,
psychological, and biological variables. The aim of our study was to identify
factors that contribute to depressive problems at this stage of life. The study
included 815 healthy Polish women aged 45-60 years. The survey part was conducted
using the Beck Depression Inventory (BDI), the State-Trait Anxiety Inventory
(STAI), the Neuroticism-Extroversion-Openness Five Factor Inventory (NEO-FFI),
and a self-developed questionnaire. Genetic analysis was also performed.
Depressive symptoms were observed in 25.5% of participants. 70% of the women were
postmenopausal. No statistically significant differences in the severity of
depressive symptoms were demonstrated with regard to genetic variables (p >
0.05). Reproductive capacity (p < 0.001), employment (p < 0.001), and being
married (p < 0.018) were found to reduce the incidence of depressive symptoms.
The contribution of personality and anxiety as a trait to depressive symptoms
varied. CONCLUSIONS: The factors predisposing pre-, peri-, and postmenopausal
women to depressive symptoms include lower education, lack of a life partner,
unemployment, high anxiety, and neurotic personality. No evidence was found for
the contribution of genetic factors to depressive symptoms in the examined women.
PMID- 29642626
TI - Highly Efficient Low-Temperature N-Doped TiO2 Catalysts for Visible Light
Photocatalytic Applications.
AB - In this paper, TiO2 prepared with an aqueous sol-gel synthesis by peptization
process is doped with nitrogen precursor to extend its activity towards the
visible region. Three N-precursors are used: urea, ethylenediamine and
triethylamine. Different molar N/Ti ratios are tested and the synthesis is
adapted for each dopant. For urea- and trimethylamine-doped samples, anatase
brookite TiO2 nanoparticles of 6-8 nm are formed, with a specific surface area
between 200 and 275 m2.g-1. In ethylenediamine-doped samples, the formation of
rutile phase is observed, and TiO2 nanoparticles of 6-8 nm with a specific
surface area between 185 and 240 m2.g-1 are obtained. X-ray photoelectron
spectroscopy (XPS) and diffuse reflectance measurements show the incorporation of
nitrogen in TiO2 materials through Ti-O-N bonds allowing light absorption in the
visible region. Photocatalytic tests on the remediation of water polluted with p
nitrophenol show a marked improvement for all doped catalysts under visible
light. The optimum doping, taking into account cost, activity and ease of
synthesis, is up-scaled to a volume of 5 L and compared to commercial Degussa P25
material. This up-scaled sample shows similar properties compared to the lab
scale sample, i.e., a photoactivity 4 times higher than commercial P25.
PMID- 29642628
TI - Promoting Water Consumption on a Caribbean Island: An Intervention Using
Children's Social Networks at Schools.
AB - Sugar-sweetened beverage (SSB) consumption and the associated childhood obesity
are major concerns in the Caribbean, creating a need for interventions promoting
water consumption as a healthy alternative. A social network-based intervention
(SNI) was tested among Aruban children to increase their water consumption and
behavioral intention to do so and, consequently, to decrease SSB consumption and
the associated behavioral intention. In this study, the moderating effects of
descriptive and injunctive norms were tested. A cluster randomized controlled
trial was completed in schools (mean age = 11 years +/- SD = 0.98; 54% girls).
Children were assigned to the intervention group (IG; n = 192) or control group
(CG; n = 185). IG children were exposed to peer influencers promoting water
consumption and CG children were not. Regression analyses showed that water
consumption increased for IG children with a high injunctive norm score (p =
0.05); however, their intention to consume more water remained unchanged (p =
0.42). Moreover, IG children showed a decrease in SSB consumption (p = 0.04) and
an increase in their intention to consume less SSB (p = 0.00). These findings
indicate that SNIs are a promising instrument for health behavioral changes for
Aruba and other islands in the Caribbean region.
PMID- 29642627
TI - A Review of the Interactions between Wheat and Wheat Pathogens: Zymoseptoria
tritici, Fusarium spp. and Parastagonospora nodorum.
AB - Zymoseptoria tritici is a hemibiotrophic pathogen which causes Septoria leaf
blotch in wheat. The pathogenesis of the disease consists of a biotrophic phase
and a necrotrophic phase. The pathogen infects the host plant by suppressing its
immune response in the first stage of infection. Hemibiotrophic pathogens of the
genus Fusarium cause Fusarium head blight, and the necrotrophic
Parastagonosporanodorum is responsible for Septoria nodorum blotch in wheat. Cell
wall-degrading enzymes in plants promote infections by necrotrophic and
hemibiotrophic pathogens, and trichothecenes, secondary fungal metabolites,
facilitate infections caused by fungi of the genus Fusarium. There are no sources
of complete resistance to the above pathogens in wheat. Defense mechanisms in
wheat are controlled by many genes encoding resistance traits. In the wheat
genome, the characteristic features of loci responsible for resistance to
pathogenic infections indicate that at least several dozen genes encode
resistance to pathogens. The molecular interactions between wheat and Z. tritici,
P. nodorum and Fusarium spp. pathogens have been insufficiently investigated.
Most studies focus on the mechanisms by which the hemibiotrophic Z. tritici
suppresses immune responses in plants and the role of mycotoxins and effector
proteins in infections caused by P. nodorum and Fusarium spp. fungi.
Trichothecene glycosylation and effector proteins, which are involved in defense
responses in wheat, have been described at the molecular level. Recent advances
in molecular biology have produced interesting findings which should be further
elucidated in studies of molecular interactions between wheat and fungal
pathogens. The Clustered Regularly-Interspaced Short Palindromic Repeats/ CRISPR
associated (CRISPR/Cas) system can be used to introduce targeted mutations into
the wheat genome and confer resistance to selected fungal diseases. Host-induced
gene silencing and spray-induced gene silencing are also useful tools for
analyzing wheat-pathogens interactions which can be used to develop new
strategies for controlling fungal diseases.
PMID- 29642629
TI - 17beta-Hydroxysteroid Dehydrogenase Type 2 Expression Is Induced by Androgen
Signaling in Endometrial Cancer.
AB - Endometrial cancer is one of the most common female pelvic cancers and has been
considered an androgen-related malignancy. Several studies have demonstrated the
anti-cell proliferative effect of androgen on endometrial cancer cells; however,
the mechanisms of the anti-cancer effect of androgen remain largely unclear.
17beta-hydroxysteroid dehydrogenase type 2 (17beta-HSD2), which catalyzes the
conversion of E2 to E1, is known to be upregulated by androgen treatment in
breast cancer cells. In this study, we therefore focused on the role of androgen
on estrogen dependence in endometrial cancer. Dihydrotestosterone (DHT) was found
to induce 17beta-HSD2 mRNA and protein expression in HEC-1B endometrial cancer
cells. DHT could also inhibit cell proliferation of HEC-1B when induced by
estradiol treatment. In 19 endometrioid endometrial adenocarcinoma (EEA) tissues,
intratumoral DHT concentration was measured by liquid chromatography/electrospray
tandem mass spectrometry and was found to be significantly correlated with 17beta
HSD2 immunohistochemical status. We further examined the correlations between
17beta-HSD2 immunoreactivity and clinicopathological parameters in 53 EEA
tissues. 17beta-HSD2 status was inversely associated with the histological grade,
clinical stage, and cell proliferation marker Ki-67, and positively correlated
with progesterone receptor expression. 17beta-HSD2 status tended to be positively
associated with androgen receptor status. In 53 EEA cases, the 17beta-HSD2
positive group tended to have better prognosis than that for the negative group
with respect to progression-free survival and endometrial cancer-specific
survival. These findings suggest that androgen suppresses the estrogen dependence
of endometrial cancer through the induction of 17beta-HSD2 in endometrial cancer.
PMID- 29642630
TI - Aging and Intermittent Fasting Impact on Transcriptional Regulation and
Physiological Responses of Adult Drosophila Neuronal and Muscle Tissues.
AB - The progressive decline of the nervous system, including protein aggregate
formation, reflects the subtle dysregulation of multiple functional pathways. Our
previous work has shown intermittent fasting (IF) enhances longevity, maintains
adult behaviors and reduces aggregates, in part, by promoting autophagic function
in the aging Drosophila brain. To clarify the impact that IF-treatment has upon
aging, we used high throughput RNA-sequencing technology to examine the changing
transcriptome in adult Drosophila tissues. Principle component analysis (PCA) and
other analyses showed ~1200 age-related transcriptional differences in head and
muscle tissues, with few genes having matching expression patterns. Pathway
components showing age-dependent expression differences were involved with stress
response, metabolic, neural and chromatin remodeling functions. Middle-aged
tissues also showed a significant increase in transcriptional drift-variance
(TD), which in the CNS included multiple proteolytic pathway components. Overall,
IF-treatment had a demonstrably positive impact on aged transcriptomes, partly
ameliorating both fold and variance changes. Consistent with these findings, aged
IF-treated flies displayed more youthful metabolic, behavioral and basal
proteolytic profiles that closely correlated with transcriptional alterations to
key components. These results indicate that even modest dietary changes can have
therapeutic consequences, slowing the progressive decline of multiple cellular
systems, including proteostasis in the aging nervous system.
PMID- 29642633
TI - Structural Requirements of Alkylglyceryl-l-Ascorbic Acid Derivatives for
Melanogenesis Inhibitory Activity.
AB - l-Ascorbic acid has multifunctional benefits on skin aesthetics, including
inhibition of melanin production, and is widely used in cosmetics. It, however,
has low stability and poor skin penetration. We hypothesize that alkylglyceryl-l
ascorbic acid derivatives, highly stable vitamin C-alkylglycerol conjugates,
would have similar anti-melanogenic activity with better stability and
penetration. We test 28 alkylglyceryl-l-ascorbic acid derivatives (1-28) on
theophylline-stimulated B16 melanoma 4A5 cells to determine if they inhibit
melanogenesis and establish any structure-function relationships. Although not
the most potent inhibitors, 3-O-(2,3-dihydroxypropyl)-2-O-hexyl-l-ascorbic acid
(6, IC50 = 81.4 uM) and 2-O-(2,3-dihydroxypropyl)-3-O-hexyl-l-ascorbic acid (20,
IC50 = 117 uM) are deemed the best candidate derivatives based on their
inhibitory activities and low toxicities. These derivatives are also found to be
more stable than l-ascorbic acid and to have favorable characteristics for skin
penetration. The following structural requirements for inhibitory activity of
alkylglyceryl-l-ascorbic acid derivatives are also determined: (i) alkylation of
glyceryl-l-ascorbic acid is essential for inhibitory activity; (ii) the 3-O-alkyl
derivatives (2-14) exhibit stronger inhibitory activity than the corresponding 2
O-alkyl-derivatives (16-28); and (iii) derivatives with longer alkyl chains have
stronger inhibitory activities. Mechanistically, our studies suggest that l
ascorbic acid derivatives exert their effects by suppressing the mRNA expression
of tyrosinase and tyrosine-related protein-1.
PMID- 29642632
TI - Atomic Force Microscopy for Protein Detection and Their Physicoshemical
Characterization.
AB - This review is focused on the atomic force microscopy (AFM) capabilities to study
the properties of protein biomolecules and to detect the proteins in solution.
The possibilities of application of a wide range of measuring techniques and
modes for visualization of proteins, determination of their stoichiometric
characteristics and physicochemical properties, are analyzed. Particular
attention is paid to the use of AFM as a molecular detector for detection of
proteins in solutions at low concentrations, and also for determination of
functional properties of single biomolecules, including the activity of
individual molecules of enzymes. Prospects for the development of AFM in
combination with other methods for studying biomacromolecules are discussed.
PMID- 29642634
TI - Bio-Based Cellulose Acetate Films Reinforced with Lignin and Glycerol.
AB - Two sets of four cellulose acetate (degree of substitution = 2.2) were
incorporated with lignin extracted from the macauba endocarp, before and after
being chemically modified to sodium carboxymethyl-lignin and aluminum
carboxymethyl-lignin, respectively. The eight membranes were prepared by the
casting method after dissolution in acetone and embedded with lignins (0.1% w/w),
one without modification (CAc-Lig) and two chemically modified (CAc-CMLNa) and
(CAc-CMLAl), compared to membranes of pure acetate (CAc). In group II, in the
four membranes prepared, glycerol was added (10% w/w) as a plasticizer. The
membranes were characterized by a number of techniques: thermal (differential
scanning calorimetry (DSC) and thermogravimetric analysis (TGA)), morphological
(scanning electron microscope (SEM) and atomic force microscopy (AFM)),
structural (X-ray powder diffraction (XRD)), hydrophobic (contact angle and water
vapor permeability), and thermomechanical (dynamic thermal mechanical analysis
and tensile tests). The results show that despite some incompatibility with the
cellulose acetate, the incorporation of the lignin in a concentration of 0.1% w/w
acts as a reinforcement in the membrane, greatly increasing the tension rupture
of the material. The presence of glycerol in a concentration of 10% w/w also acts
as a reinforcement in all membranes, in addition to increasing the tension
rupture. In this study, glycerol and acetate both increased the compatibility of
the membranes.
PMID- 29642636
TI - Gliadin Peptide Facilitates FITC Dextran Transport across the Non Everted Gut Sac
of Rat Small Intestine.
AB - Superoxide dismutase (SOD) is an antioxidant protein. When administered orally,
it has low bioavailability due to its low permeation. In a previous study we
fused gliadin peptide P51 (LGQQQPFPPQQPYPQPQPF) and gliadin peptide P61
(QQPYPQPQPF) with SOD Citrus limon (SOD_Cl), namely GliSOD_P51 and GliSOD_P61 to
increase permeation of SOD_Cl through intestine. In this work, the permeation of
fluorescein isothiocyanate (FITC)-Dextran 10 kDa, FD10 and 40 kDa, FD40 as
paracellular transport markers across excised rat intestinal wall was
investigated with the presence of GliSOD_P51 and GliSOD_P61. A permeability study
was performed using non-everted rat intestine by incubating FD10 or FD40 with
SOD_Cl, and GliSOD_P61. The presence of SOD_Cl, GliSOD_P51 or GliSOD_P61 inside
intestine (apical) and outside intestine (basolateral) was analyzed by protein
electrophoresis. The concentration of FD that penetrated to the basolateral
solution was analyzed by spectrofluorometry. Sodium dodecyl sulfate
polyacrylamide gel electrophoresis (SDS-PAGE) analysis revealed the presence of
GliSOD_P51 and GliSOD_P61 but not SOD_Cl in basolateral compartment. The
percentage of FD10 but not FD40 and SOD_Cl that penetrated to the basolateral
solution significantly increased with the presence of gliadin in GliSOD_P51 and
GliSOD_P61. GliSOD_P51 and GliSOD_P61 are able to penetrate the rat intestinal
epithelial membrane and the gliadin peptides facilitate FD10 to penetrate the
epithelial.
PMID- 29642635
TI - CD147 Promotes CXCL1 Expression and Modulates Liver Fibrogenesis.
AB - Activated hepatic stellate cells (HSCs) release pro-inflammatory and pro
fibrogenic factors. CXC chemokine-ligand-1 (CXCL1) is expressed on HSCs. We
previously found that the CD147 is overexpressed in activated HSCs. In this
study, we showed an important role of CD147 in promoting liver fibrosis by
activating HSCs and upregulating expression of chemokines. Specifically, we found
that CD147 specific deletion in HSCs mice alleviated CCl4-induced liver fibrosis
and inhibited HSCs activation. Overexpression of CD147 upregulated the secretion
of CXCL1. Meanwhile, CXCL1 promoted HSCs activation through autocrine. Treating
with PI3K/AKT inhibitor could effectively suppress CD147-induced CXCL1
expression. Taken together, these findings suggest that CD147 regulates CXCL1
release in HSCs by PI3K/AKT signaling. Inhibition of CD147 attenuates CCl4
induced liver fibrosis and inflammation. Therefore, administration of targeting
CD147 could be a promising therapeutic strategy in liver fibrosis.
PMID- 29642631
TI - Prospects of Understanding the Molecular Biology of Disease Resistance in Rice.
AB - Rice is one of the important crops grown worldwide and is considered as an
important crop for global food security. Rice is being affected by various
fungal, bacterial and viral diseases resulting in huge yield losses every year.
Deployment of resistance genes in various crops is one of the important methods
of disease management. However, identification, cloning and characterization of
disease resistance genes is a very tedious effort. To increase the life span of
resistant cultivars, it is important to understand the molecular basis of plant
host-pathogen interaction. With the advancement in rice genetics and genomics,
several rice varieties resistant to fungal, bacterial and viral pathogens have
been developed. However, resistance response of these varieties break down very
frequently because of the emergence of more virulent races of the pathogen in
nature. To increase the durability of resistance genes under field conditions,
understanding the mechanismof resistance response and its molecular basis should
be well understood. Some emerging concepts like interspecies transfer of pattern
recognition receptors (PRRs) and transgenerational plant immunitycan be employed
to develop sustainable broad spectrum resistant varieties of rice.
PMID- 29642637
TI - Coherent and Noncoherent Joint Processing of Sonar for Detection of Small Targets
in Shallow Water.
AB - A coherent-noncoherent joint processing framework is proposed for active sonar to
combine diversity gain and beamforming gain for detection of a small target in
shallow water environments. Sonar utilizes widely-spaced arrays to sense
environments and illuminate a target of interest from multiple angles. Meanwhile,
it exploits spatial diversity for time-reversal focusing to suppress
reverberation, mainly strong bottom reverberation. For enhancement of robustness
of time-reversal focusing, an adaptive iterative strategy is utilized in the
processing framework. A probing signal is firstly transmitted and echoes of a
likely target are utilized as steering vectors for the second transmission. With
spatial diversity, target bearing and range are estimated using a broadband
signal model. Numerical simulations show that the novel sonar outperforms the
traditional phased-array sonar due to benefits of spatial diversity. The
effectiveness of the proposed framework has been validated by localization of a
small target in at-lake experiments.
PMID- 29642638
TI - Analysis of a Smartphone-Based Architecture with Multiple Mobility Sensors for
Fall Detection with Supervised Learning.
AB - This paper describes a wearable Fall Detection System (FDS) based on a body-area
network consisting of four nodes provided with inertial sensors and Bluetooth
wireless interfaces. The signals captured by the nodes are sent to a smartphone
which simultaneously acts as another sensing point. In contrast to many FDSs
proposed by the literature (which only consider a single sensor), the
multisensory nature of the prototype is utilized to investigate the impact of the
number and the positions of the sensors on the effectiveness of the production of
the fall detection decision. In particular, the study assesses the capability of
four popular machine learning algorithms to discriminate the dynamics of the
Activities of Daily Living (ADLs) and falls generated by a set of experimental
subjects, when the combined use of the sensors located on different parts of the
body is considered. Prior to this, the election of the statistics that optimize
the characterization of the acceleration signals and the efficacy of the FDS is
also investigated. As another important methodological novelty in this field, the
statistical significance of all the results (an aspect which is usually neglected
by other works) is validated by an analysis of variance (ANOVA).
PMID- 29642639
TI - Influence of Waveform Characteristics on LiDAR Ranging Accuracy and Precision.
AB - Time of flight (TOF) based light detection and ranging (LiDAR) is a technology
for calculating distance between start/stop signals of time of flight. In lab
built LiDAR, two ranging systems for measuring flying time between start/stop
signals include time-to-digital converter (TDC) that counts time between trigger
signals and analog-to-digital converter (ADC) that processes the sampled
start/stop pulses waveform for time estimation. We study the influence of
waveform characteristics on range accuracy and precision of two kinds of ranging
system. Comparing waveform based ranging (WR) with analog discrete return system
based ranging (AR), a peak detection method (WR-PK) shows the best ranging
performance because of less execution time, high ranging accuracy, and stable
precision. Based on a novel statistic mathematical method maximal information
coefficient (MIC), WR-PK precision has a high linear relationship with the
received pulse width standard deviation. Thus keeping the received pulse width of
measuring a constant distance as stable as possible can improve ranging
precision.
PMID- 29642640
TI - Predicting Key Agronomic Soil Properties with UV-Vis Fluorescence Measurements
Combined with Vis-NIR-SWIR Reflectance Spectroscopy: A Farm-Scale Study in a
Mediterranean Viticultural Agroecosystem.
AB - For adequate crop and soil management, rapid and accurate techniques for
monitoring soil properties are particularly important when a farmer starts up his
activities and needs a diagnosis of his cultivated fields. This study aimed to
evaluate the potential of fluorescence measured directly on 146 whole soil solid
samples, for predicting key soil properties at the scale of a 6 ha Mediterranean
wine estate with contrasting soils. UV-Vis fluorescence measurements were carried
out in conjunction with reflectance measurements in the Vis-NIR-SWIR range.
Combining PLSR predictions from Vis-NIR-SWIR reflectance spectra and from a set
of fluorescence signals enabled us to improve the power of prediction of a number
of key agronomic soil properties including SOC, Ntot, CaCO3, iron, fine particle
sizes (clay, fine silt, fine sand), CEC, pH and exchangeable Ca2+ with cross
validation RPD >= 2 and R2 >= 0.75, while exchangeable K+, Na+, Mg2+, coarse silt
and coarse sand contents were fairly predicted (1.42 <= RPD < 2 and 0.54 <= R2 <
0.75). Predictions of SOC, Ntot, CaCO3, iron contents, and pH were still good
(RPD >= 1.8, R2 >= 0.68) when using a single fluorescence signal or index such as
SFR_R or FERARI, highlighting the unexpected importance of red excitations and
indices derived from plant studies. The predictive ability of single fluorescence
indices or original signals was very significant for topsoil: this is very
important for a farmer who wishes to update information on soil nutrient for the
purpose of fertility diagnosis and particularly nitrogen fertilization. These
results open encouraging perspectives for using miniaturized fluorescence devices
enabling red excitation coupled with red or far-red fluorescence emissions
directly in the field.
PMID- 29642641
TI - Signals of Systemic Immunity in Plants: Progress and Open Questions.
AB - Systemic acquired resistance (SAR) is a defence mechanism that induces protection
against a wide range of pathogens in distant, pathogen-free parts of plants after
a primary inoculation. Multiple mobile compounds were identified as putative SAR
signals or important factors for influencing movement of SAR signalling elements
in Arabidopsis and tobacco. These include compounds with very different chemical
structures like lipid transfer protein DIR1 (DEFECTIVE IN INDUCED RESISTANCE1),
methyl salicylate (MeSA), dehydroabietinal (DA), azelaic acid (AzA), glycerol-3
phosphate dependent factor (G3P) and the lysine catabolite pipecolic acid (Pip).
Genetic studies with different SAR-deficient mutants and silenced lines support
the idea that some of these compounds (MeSA, DIR1 and G3P) are activated only
when SAR is induced in darkness. In addition, although AzA doubled in phloem
exudate of tobacco mosaic virus (TMV) infected tobacco leaves, external AzA
treatment could not induce resistance neither to viral nor bacterial pathogens,
independent of light conditions. Besides light intensity and timing of light
exposition after primary inoculation, spectral distribution of light could also
influence the SAR induction capacity. Recent data indicated that TMV and CMV
(cucumber mosaic virus) infection in tobacco, like bacteria in Arabidopsis,
caused massive accumulation of Pip. Treatment of tobacco leaves with Pip in the
light, caused a drastic and significant local and systemic decrease in lesion
size of TMV infection. Moreover, two very recent papers, added in proof,
demonstrated the role of FMO1 (FLAVIN-DEPENDENT-MONOOXYGENASE1) in conversion of
Pip to N-hydroxypipecolic acid (NHP). NHP systemically accumulates after
microbial attack and acts as a potent inducer of plant immunity to bacterial and
oomycete pathogens in Arabidopsis. These results argue for the pivotal role of
Pip and NHP as an important signal compound of SAR response in different plants
against different pathogens.
PMID- 29642642
TI - Improvement of Strength-Toughness-Hardness Balance in Large Cross-Section 718H
Pre-Hardened Mold Steel.
AB - The strength-toughness combination and hardness uniformity in large cross-section
718H pre-hardened mold steel from a 20 ton ingot were investigated with three
different heat treatments for industrial applications. The different
microstructures, including tempered martensite, lower bainite, and retained
austenite, were obtained at equivalent hardness. The microstructures were
characterized by using metallographic observations, scanning electron microscopy
(SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), and
electron back-scattered diffraction (EBSD). The mechanical properties were
compared by tensile, Charpy U-notch impact and hardness uniformity tests at room
temperature. The results showed that the test steels after normalizing-quenching
tempering (N-QT) possessed the best strength-toughness combination and hardness
uniformity compared with the conventional quenched-tempered (QT) steel. In
addition, the test steel after austempering-tempering (A-T) demonstrated the
worse hardness uniformity and lower yield strength while possessing relatively
higher elongation (17%) compared with the samples after N-QT (14.5%) treatments.
The better ductility of A-T steel mainly depended on the amount and morphology of
retained austenite and thermal/deformation-induced twined martensite. This work
elucidates the mechanisms of microstructure evolution during heat treatments and
will highly improve the strength-toughness-hardness trade-off in large cross
section steels.
PMID- 29642645
TI - A Novel Strategy of Ambiguity Correction for the Improved Faraday Rotation
Estimator in Linearly Full-Polarimetric SAR Data.
AB - Spaceborne synthetic aperture radar (SAR) missions operating at low frequencies,
such as L-band or P-band, are significantly influenced by the ionosphere. As one
of the serious ionosphere effects, Faraday rotation (FR) is a remarkable
distortion source for the polarimetric SAR (PolSAR) application. Various
published FR estimators along with an improved one have been introduced to solve
this issue, all of which are implemented by processing a set of PolSAR real data.
The improved estimator exhibits optimal robustness based on performance analysis,
especially in term of the system noise. However, all published estimators,
including the improved estimator, suffer from a potential FR angle (FRA)
ambiguity. A novel strategy of the ambiguity correction for those FR estimators
is proposed and shown as a flow process, which is divided into pixel-level and
image-level correction. The former is not yet recognized and thus is considered
in particular. Finally, the validation experiments show a prominent performance
of the proposed strategy.
PMID- 29642644
TI - Wnt Signaling in Thyroid Homeostasis and Carcinogenesis.
AB - The Wnt pathway is essential for stem cell maintenance, but little is known about
its role in thyroid hormone signaling and thyroid stem cell survival and
maintenance. In addition, the role of Wnt signaling in thyroid cancer progenitor
cells is also unclear. Here, we present emerging evidence for the role of Wnt
signaling in somatic thyroid stem cell and thyroid cancer stem cell function. An
improved understanding of the role of Wnt signaling in thyroid physiology and
carcinogenesis is essential for improving both thyroid disease diagnostics and
therapeutics.
PMID- 29642646
TI - A Socio-Technical Exploration for Reducing & Mitigating the Risk of Retained
Foreign Objects.
AB - A Retained Foreign Object (RFO) is a fairly infrequent but serious adverse event.
An accurate rate of RFOs is difficult to establish due to underreporting but it
has been estimated that incidences range between 1/1000 and 1/19,000 procedures.
The cost of a RFO incident may be substantial and three-fold: (i) the cost to the
patient of physical and/or psychological harm; (ii) the reputational cost to an
institution and/or healthcare provider; and (iii) the financial cost to the
taxpayer in the event of a legal claim. This Health Research Board-funded project
aims to analyse and understand the problem of RFOs in surgical and maternity
settings in Ireland and develop hospital-specific foreign object management
processes and implementation roadmaps. This project will deploy an integrated
evidence-based assessment methodology for social-technical modelling (Supply,
Context, Organising, Process & Effects/ SCOPE Analysis Cube) and bow tie
methodologies that focuses on managing the risks in effectively implementing and
sustaining change. It comprises a multi-phase research approach that involves
active and ongoing collaboration with clinical and other healthcare staff through
each phase of the research. The specific objective of this paper is to present
the methodological approach and outline the potential to produce generalisable
results which could be applied to other health-related issues.
PMID- 29642647
TI - ERBB1- and ERBB2-Positive Medullary Thyroid Carcinoma: A Case Report.
AB - Medullary thyroid carcinomas (MTCs) are rare thyroid tumors occurring in both
sporadic and hereditary forms, whose pathogenesis is related to RET proto
oncogene alterations. MTCs originate from parafollicular cells, which produce
calcitonin that represents the biochemical activity of MTC. Total thyroidectomy
is the main treatment for MTC and often cures patients with confined diseases. In
the presence of metastasis, the therapeutic approach depends on the rate of
disease progression. We report a case of a 54-year-old female with a single,
incidentally discovered, thyroid nodule of 1 cm, classified as suspicious MTC
after a stimulation test with intravenous (iv) calcium. After surgery, we
examined the nodule using immunohistochemistry, immunofluorescence, and electron
microscopy. In addition to calcitonin, we found that it expressed intracellular
positivity for the tyrosine kinase RTK receptors ERBB1 and ERBB2. Consistently
with MTC features, the ultrastructural examination of the tumor displayed
heterogeneous spindle-shaped cells containing two groups of secretory granules.
Because of the significant correlation found between high ERBB1/ERBB2 levels in
MTCs and extrathyroidal growth, the detection of ERBB1 and ERBB2 expression
suggests that the two oncoproteins may be involved in the tumor proliferative
responses and/or in the differentiation of parafollicular C-cells. The
biological, prognostic, and therapeutic significance of these patterns would
merit further investigations.
PMID- 29642648
TI - PL-VIO: Tightly-Coupled Monocular Visual-Inertial Odometry Using Point and Line
Features.
AB - To address the problem of estimating camera trajectory and to build a structural
three-dimensional (3D) map based on inertial measurements and visual
observations, this paper proposes point-line visual-inertial odometry (PL-VIO), a
tightly-coupled monocular visual-inertial odometry system exploiting both point
and line features. Compared with point features, lines provide significantly more
geometrical structure information on the environment. To obtain both computation
simplicity and representational compactness of a 3D spatial line, Plucker
coordinates and orthonormal representation for the line are employed. To tightly
and efficiently fuse the information from inertial measurement units (IMUs) and
visual sensors, we optimize the states by minimizing a cost function which
combines the pre-integrated IMU error term together with the point and line re
projection error terms in a sliding window optimization framework. The
experiments evaluated on public datasets demonstrate that the PL-VIO method that
combines point and line features outperforms several state-of-the-art VIO systems
which use point features only.
PMID- 29642643
TI - The Many Roles of Ubiquitin in NF-kappaB Signaling.
AB - The nuclear factor kappaB (NF-kappaB) signaling pathway ubiquitously controls
cell growth and survival in basic conditions as well as rapid resetting of
cellular functions following environment changes or pathogenic insults. Moreover,
its deregulation is frequently observed during cell transformation, chronic
inflammation or autoimmunity. Understanding how it is properly regulated
therefore is a prerequisite to managing these adverse situations. Over the last
years evidence has accumulated showing that ubiquitination is a key process in NF
kappaB activation and its resolution. Here, we examine the various functions of
ubiquitin in NF-kappaB signaling and more specifically, how it controls signal
transduction at the molecular level and impacts in vivo on NF-kappaB regulated
cellular processes.
PMID- 29642649
TI - Portrait of Matrix Gene Expression in Candida glabrata Biofilms with Stress
Induced by Different Drugs.
AB - (1) Background: Candida glabrata is one of the most significant Candida species
associated with severe cases of candidiasis. Biofilm formation is an important
feature, closely associated with antifungal resistance, involving alterations of
gene expression or mutations, which can result in the failure of antifungal
treatments. Hence, the main goal of this work was to evaluate the role of a set
of genes, associated with matrix production, in the resistance of C. glabrata
biofilms to antifungal drugs. (2) Methods: the determination of the expression of
BGL2, XOG1, FKS1, FKS2, GAS2, KNH1, UGP1, and MNN2 genes in 48-h biofilm's cells
of three C. glabrata strains was performed through quantitative real-time PCR (RT
qPCR), after contact with Fluconazole (Flu), Amphotericin B (AmB), Caspofungin
(Csf), or Micafungin (Mcf). (3) Results: Mcf induced a general overexpression of
the selected genes. It was verified that the genes related to the production of
beta-1,3-glucans (BGL2, XOG1, GAS2) had the highest expressions. (4) Conclusion:
though beta-1,6-glucans and mannans are an essential part of the cell and biofilm
matrix, C. glabrata biofilm cells seem to contribute more to the replacement of
beta-1,3-glucans. Thus, these biopolymers seem to have a greater impact on the
biofilm matrix composition and, consequently, a role in the biofilm resistance to
antifungal drugs.
PMID- 29642650
TI - A Novel Colorimetric Fluorescent Probe for SO2 and Its Application in Living
Cells Imaging.
AB - A novel chromenylium-based fluorescent probe was exploited for sulphur dioxide
(SO2) detecting. The probe displayed a remarkable fluorescence turn-on response
towards SO2 based on the nucleophilic addition reaction to the carbon-carbon
double bond with 105 nm Stock shift. The probe was successfully applied for the
quantification of SO2.The linear detection range was from 0-160 MUM with the
detection limit as low as 99.27 nM. It also exhibited high selectivity for SO2
than other reactive species and amino acids. Furthermore, cell staining
experiments indicated that the probe was cell membrane permeable and could be
used for high-performance imaging of SO2 in living cells. The superior properties
of the probe made it highly promising for use in chemical and biological
applications.
PMID- 29642652
TI - Waterborne Superhydrophobic and Superoleophobic Coatings for the Protection of
Marble and Sandstone.
AB - Silica nanoparticles were dispersed in an aqueous emulsion of alkoxy silanes and
organic fluoropolymer. The dispersion was sprayed onto white marble and
sandstone. The deposited composite coatings exhibited (i) superhydrophobicity and
superoleophobicity, as evidenced by the high (>150 degrees ) static contact
angles of water and oil drops as well as (ii) water and oil repellency according
to the low (<7 degrees ) corresponding tilt contact angles. Apart from marble and
sandstone, the coatings with extreme wetting properties were deposited onto
concrete, silk, and paper, thus demonstrating the versatility of the method. The
siloxane/fluoropolymer product was characterized using Fourier Transform Infrared
Spectroscopy (FT-IR), Raman spectroscopy and Scanning Electron Microscopy
equipped with an Energy Dispersive X-ray Spectrometer (SEM-EDX). Moreover, SEM
and FT-IR were used to reveal the surface structures of the composite coatings
and their transition from superhydrophobicity to superhydrophilicity which
occurred after severe thermal treatment. The composite coatings slightly reduced
the breathability of marble and sandstone and had practically no optical effect
on the colour of the two stones. Moreover, the coatings offered good protection
against water penetration by capillarity.
PMID- 29642651
TI - The Multifunctional Role of Chitosan in Horticultural Crops; A Review.
AB - Chitosan is a naturally occurring compound and is commercially produced from
seafood shells. It has been utilized in the induction of the defense system in
both pre and post-harvest fruits and vegetables against fungi, bacteria, viruses,
and other abiotic stresses. In addition to that, chitosan effectively improves
the physiological properties of plants and also enhances the shelf life of post
harvest produces. Moreover, chitosan treatment regulates several genes in plants,
particularly the activation of plant defense signaling pathways. That includes
the elicitation of phytoalexins and pathogenesis-related (PR) protein. Besides
that, chitosan has been employed in soil as a plant nutrient and has shown great
efficacy in combination with other industrial fertilizers without affecting the
soil's beneficial microbes. Furthermore, it is helpful in reducing the fertilizer
losses due to its coating ability, which is important in keeping the
environmental pollution under check. Based on exhibiting such excellent
properties, there is a striking interest in using chitosan biopolymers in
agriculture systems. Therefore, our current review has been centered upon the
multiple roles of chitosan in horticultural crops that could be useful in future
crop improvement programs.
PMID- 29642653
TI - Road dust and its effect on human health: a literature review.
AB - The purpose of this study was to determine the effects of road dust on human
health. A PubMed search was used to extract references that included the words
"road dust" and "health" or "fugitive dust" and "health" in the title or
abstract. A total of 46 references were extracted and selected for review after
the primary screening of 949 articles. The respiratory system was found to be the
most affected system in the human body. Lead, platinum-group elements (platinum,
rhodium, and bohrium), aluminum, zinc, vanadium, and polycyclic aromatic
hydrocarbons were the components of road dust that were most frequently
referenced in the articles reviewed. Road dust was found to have harmful effects
on the human body, especially on the respiratory system. To determine the complex
mechanism of action of various components of road dust on the human body and the
results thereof, the authors recommend a further meta-analysis and extensive risk
assessment research into the health impacts of dust exposure.
PMID- 29642654
TI - Income-related inequality in completed suicide across the provinces of Iran.
AB - OBJECTIVES: The aim of this study was to measure income-related inequality in
completed suicide across the provinces of Iran. METHODS: This ecological study
was performed using data from the Urban and Rural Household Income and
Expenditure Survey-2010 conducted by the Iranian Center of Statistics, along with
data on completed suicide from the Iranian Legal Medicine Organization in 2012.
We calculated the Gini coefficient of per capita income and the completed suicide
rate, as well as the concentration index for per capita income inequality in
completed suicide, across the provinces of Iran. RESULTS: The Gini coefficients
of per capita income and the completed suicide rate in the provinces of Iran were
0.10 (95% confidence interval [CI], 0.06 to 0.13) and 0.34 (95% CI, 0.21 to
0.46), respectively. We found a trivial decreasing trend in the completed suicide
incidence rate according to income quintile. The poorest-to-richest ratio in the
completed suicide rate was 2.01 (95% CI, 1.26 to 3.22). The concentration index
of completed suicide in the provinces of Iran was -0.12 (95% CI, -0.30 to 0.06).
CONCLUSIONS: This study found that lower income might be considered as a risk
factor for completed suicide. Nonetheless, further individual studies
incorporating multivariable analysis and repeated cross-sectional data would
allow a more fine-grained analysis of this phenomenon.
PMID- 29642655
TI - Factors related to cancer screening behaviors.
AB - OBJECTIVES: This study aimed to investigate the factors related to cancer
screening behaviors (CSB). METHODS: The 2014 Korean Community Health Survey used
for analysis. The dependent variable was CSB, and the independent variables were
demographic, health behavioral, and regional factor. Propensity score matching
(PSM) used to control health behavior and regional factors, which were
influencing CSB. For statistical analysis, chi-square test and logistic
regression analysis used. RESULTS: Logistic regression analysis after PSM showed
that gender, age, marital status, educational level, monthly household income,
employment type, alcohol drinking, smoking, body mass index group, chronic
disease, and subjective health status influenced the CSB, there were statistical
differences. CONCLUSIONS: To improve cancer screening (CS), it is necessary to
educate individuals on the need for CS and to carry out a personalized CS program
based on an individual's demographic status and health behavior.
PMID- 29642656
TI - Socioeconomic disparities and difficulties to access to healthcare services among
Canadian children with neurodevelopmental disorders and disabilities.
AB - OBJECTIVES: The aims of this study were to identify the associations of levels of
severity of neurodevelopmental disorders and disabilities (NDD/D) in children
with their household socioeconomic status (SES) and their frequency of visits to
a healthcare provider, and to examine how the severity of disability varied with
these determinants among NDD/D subgroups, in order to inform possible social
policy changes and to improve access to the healthcare system. METHODS: Data from
the 2006 Participation and Activity Limitation Survey on children aged 5-14
years, collected by Statistics Canada, were analyzed (n=7,072 and weighted
n=340,340). Children with NDD/D constituted those with impairments in motor,
speech, neurosensory, and psychological functioning, as well as those who had
issues with learning/cognition and social interactions. The weighted sample size
for this group was n=111,630 (total sample size for children with limitations:
n=174,810). We used logistic regression to assess the associations of household
SES and frequency of visits to a healthcare provider with disability level. We
included NDD/D subgroups as interaction terms in the model. Multiple
correspondence analysis (MCA) was conducted to develop a profile of disability
level. RESULTS: After-tax low income, family assistance, out-of-pocket expenses,
needing but not receiving health services from a social worker, condition of the
dwelling, and residential location were associated with the severity of NDD/D.
Using MCA, 2 disability profiles could be identified based on access to
healthcare, household income status, and condition of the dwelling. CONCLUSIONS:
More social interventions are needed to reduce difficulties in accessing
healthcare and to diminish the socially determined health inequalities faced by
children with NDD/D.
PMID- 29642657
TI - Exploring Demographic and Environmental Factors Related to Unintentional
Pesticide Poisonings in Children and Adolescents in Texas.
AB - There is limited literature on the frequency and distribution of pesticide
exposures, specifically with respect to demographic and environmental factors in
the United States. The purpose of this exploratory study was to investigate
geographic trends and factors associated with unintentional pesticide exposures
in children and adolescents in Texas. The study used an ecological design with
secondary data. A spatial scan statistic, based on a Poisson regression model,
was employed to identify spatial clusters of unintentional pesticide-related
poison center exposures. Next, logistic regression models were constructed to
identify potential demographic and environmental factors associated with
unintentional pesticide-related poison center exposures. There were 59,477
unintentional pesticide-related poison center exposures from 2000 to 2013. The
spatial scan statistic found a change in the number of counties in the identified
clusters (e.g. , aggregation of counties with higher than expected exposures) for
two time periods (2000-2006; 2007-2013). Based on the logistic regression models,
factors associated with unintentional pesticide-related poison center exposures
were percent black or African American population, year structure built, and
percent moved in the past 12 months. In conclusion, this study found certain
demographic and environmental factors may be associated with unintentional
pesticide-related poison center exposures. Through understanding trends and
associated factors, public health professionals can design interventions for
populations at higher risk of pesticide exposures. This study also supports the
use of spatial methods being utilized to expand upon current analysis of poison
center data. Future research should confirm and build upon these findings.
PMID- 29642658
TI - Evaluation of the Exposure to Environmental Pollutants Emanating from National
Industrial Complexes.
AB - The industrial complexes built during the course of economic development in South
Korea played a pivotal role in the country's rapid economic growth. However, this
growth was accompanied by health problems due to the pollutants released from the
industrial complexes inevitably located near residential areas, given the limited
land area available in South Korea. This study was conducted to evaluate the
exposure to each pollutant emanating from industrial complexes for residents
living in nearby areas, and to determine the substances requiring priority
attention in future surveys. Pollutants were comprehensively categorized
according to their emission and exposure levels based on data previously
collected from the study areas. The emission, ambient concentration, and
biomarker concentration levels of major pollutants emitted from eight national
industrial complexes (Ulsan, Pohang, Gwangyang, Yeosu, Chungju, Daesan, Sihwa,
and Banwol) were determined and tabulated. Each of the values was compared with
the national/local average values, reference values, or control area
concentrations depending on availability. Substances with completed exposure
pathways and with high values for emissions, ambient concentrations, and
biomarker concentrations were considered the substances posing exposure risks to
the residents living near the corresponding industrial complex. The substances
requiring continuous monitoring or supplementary exposure investigation were also
categorized and presented. Lead and benzene had higher values for emissions,
ambient concentrations, and biomarker concentrations in the Ulsan Industrial
Complex area; thus, they were most likely to pose exposure risks to residents
living in the area's neighborhoods. In other areas, styrene, xylene, cadmium,
nitrogen oxide, trichloroethylene, nickel, manganese, and chromium required
continuous monitoring, and arsenic, nickel, manganese, and chromium required
biomarker measurements. In conclusion, the substances identified and categorized
in this study need to be given appropriate attention in future surveys on
exposure risks and health effects related to industrial complexes.
PMID- 29642659
TI - In vitro evaluation of Rhus succedanea extracts for ruminants.
AB - OBJECTIVE: This study was conducted to evaluate the effects of Rhus succedanea
extract addition on in vitro ruminal fermentation and microbial growth. METHODS:
Two ruminally-fistulated steers consuming 600 g/kg timothy- and 400 g/kg cracked
corn-based concentrate with free access to water and mineral block were used as
rumen fluid donors. In vitro batch fermentation, with timothy as a substrate, was
conducted for up to 72 h, with Rhus succedanea extracts added to achieve final
concentrations of 0, 10, 30, 50, 70, and 90 mg/L. RESULTS: Effective dry matter
(DM) degradability rate linearly decreased (p = 0.046) depending on extract
dosing levels. Total gas production after 24 to 72 h incubation tended to
decrease following extract addition, beginning with 50 mg/L starting dose
(significance of quadratic effects: p = 0.006, p<0.001, and p = 0.008 for 24, 48,
and 72 h, respectively). Methane production decreased depending on dosing levels
following 24 h (p<0.05) and 48 h (p<0.005) incubations and was the lowest with
the 50 mg/L dose. The Rhus succedanea extracts increased the abundance of
Fibrobacter succinogenes (p<0.05) and Ruminococcus flavefaciens (p = 0.0597) and
decreased the abundance of methanogenic archaea (p<0.05) following 24 h
incubation. CONCLUSION: Rhus succedanea was shown to reduce methane production
and increase cellulolytic bacteria without any signs of toxic effects and with a
minor effect on DM degradability.
PMID- 29642660
TI - Digestibility, ruminal fermentation, and nitrogen balance with various feeding
levels of oil palm fronds treated with Lentinus sajor-caju in goats.
AB - OBJECTIVE: This study was an attempt to investigate the effect of levels of
fungal (Lentinus sajor-caju) treated oil palm fronds (FTOPF) on digestibility,
rumen fermentation, and nitrogen balance in goats. METHODS: Four 16 month old
male crossbred (Thai Native*Anglo Nubian) goats with initial body weights of
33.5+/-1.7 kg were randomly assigned according to a 4*4 Latin square design. Four
levels of FTOPF were assigned for feed intake. The experimental treatments
consisted of 0%, 33%, 67%, and 100% of oil palm fronds (OPF) being replaced by
FTOPF. RESULTS: The results revealed that total dry matter intake and nutrient
intake were not influenced (p>0.05) by the inclusion of FTOPF. However, the
efficiency values of the digestibility of the dry matter, organic matter, crude
protein, neutral detergent fiber, acid detergent fiber, and acid detergent lignin
on FTOPF were higher (p<0.05) in treatments with 33%, 67%, and 100% of FTOPF
compared with 0% of FTOPF. FTOPF feeding did not change the rumen pH,
temperature, and NH3-N. However, the FTOPF levels did affect the total volatile
fatty acid (VFA), molar proportion of acetate, propionate, butyrate, ratio of
acetic (propionic acid and acetic) plus butyric (propionic acid), and production
of CH4. The totals of VFA and propionate was lower in goat fed with 0% of FTOPF
than in those of the other groups (p<0.05). The amount of nitrogen retention
based on g/d/animal or the percentage of nitrogen retained was the lowest the
goat fed with 0% of FTOPF (p<0.05), whereas nitrogen intake, excretion, and
absorption were not changed among treatments. CONCLUSION: Based on this study,
FTOPF could be effectively used as an alternative roughage source in total mixed
ration diets, constituting at least up to 100% of OPF.
PMID- 29642661
TI - Genetic characterization and population structure of six brown layer pure lines
by using microsatellite markers.
AB - Objective: The first stage in both breeding and programmes for the conservation
of genetic resources are the identification of genetic diversity in the relevant
population. The aim of the present study is to identify genetic diversity of six
brown layer pure chicken lines (Rhode Island Red (RIRI, RIRII), Barred Rock
(BARI, BARII), Columbian Rock (COL) and Line 54 (L-54)) with microsatellite
markers. Furthermore, the study aims to employ its findings to discuss the
possibilities for the conservation and sustainable use of these lines that have
been bred as closed populations for a long period of time. Methods: In the
present study, a total number of 180 samples belonging to RIRI (n=30), RIRII
(n=30), BARI (n=30), BARII (n=30), L-54 (n=30), and COL (n=30) lines were
genotyped through the use of 22 microsatellite loci. Microsatellite markers are
extremely useful tools in the identification of genetic diversity owing to
distributed throughout the eukaryotic genome in multitudes, they demonstrate co
dominant inheritance; and they feature a high rate of polymorphism and
repeatability. Results: In this study found all loci to be polymorphic and
identified the average number of alleles per locus to be in the range between
4.41 (BARI) and 5.45 (RIRI); the observed heterozygosity to be in the range
between 0.31 (RIRII) and 0.50 (BARII); and FIS values in the range between 0.16
(L-54) and 0.46 (RIRII). The FIS values obtained in this context points out to a
deviation from Hardy-Weinberg equilibrium due to heterozygote deficiency in six
different populations. The Neighbour-Joining tree, Factorial Correspondence
Analysis and STRUCTURE clustering analyzes showed that six brown layer lines were
separated according to their genetic origins. Conclusion: The results obtained
from the study indicate a medium level of genetic diversity, high level
inbreeding in chicken lines and high level genetic differentiation between
chicken lines.
PMID- 29642662
TI - Current situation and future prospects for the Australian beef industry - A
review.
AB - Beef production extends over almost half of Australia, with about 47,000 cattle
producers that contribute about 20% ($A12.7 billion gross value of production) of
the total value of farm production in Australia. Australia is one of the world's
most efficient producers of cattle and was the world's third largest beef
exporter in 2016. The Australian beef industry had 25 million head of cattle in
2016-17, with a national beef breeding herd of 11.5 million head. Australian beef
production includes pasture-based cow-calf systems, a backgrounding or grow-out
period on pasture, and feedlot or pasture finishing. Feedlot finishing has
assumed more importance in recent years to assure the eating quality of beef
entering the relatively small Australian domestic market, and to enhance the
supply of higher value beef for export markets. Maintenance of Australia's
preferred status as a quality assured supplier of high value beef produced under
environmentally sustainable systems from 'disease-free' cattle is of highest
importance. Stringent livestock and meat quality regulations and quality
assurance systems, and productivity growth and efficiency across the supply chain
to ensure price competiveness, are crucial for continued export market growth in
the face of increasing competition. Major industry issues, that also represent
research, development and adoption priorities and opportunities for the
Australian beef industry have been captured within exhaustive strategic planning
processes by the red meat and beef industries. At the broadest level, these
issues include consumer and industry support, market growth and diversification,
supply chain efficiency, productivity and profitability, environmental
sustainability, and animal health and welfare. This review provides an overview
of the Australian beef industry including current market trends and future
prospects, and major issues and opportunities for the continued growth,
development and profitability of the industry.
PMID- 29642663
TI - Association of leptin, toll-like receptor 4, and chemokine receptor of
interleukin 8 C-X-C motif single nucleotide polymorphisms with fertility traits
in Czech Fleckvieh cattle.
AB - OBJECTIVE: The use of genetic markers can help to enhance reproduction in cattle,
which is a very important trait for profitability in dairy production systems.
This study evaluated the association between genotypes of leptin (LEP), toll-like
receptor 4 (TLR4), and chemokine receptor of interleukin 8 C-X-C motif (CXCR1)
genes and fertility traits in Czech Fleckvieh cattle. METHODS: Phenotypic data
from 786 Czech Fleckvieh cows raised on 5 farms in the Czech Republic were used,
along with information from the 1st three parities. To determine genotype, the
polymerase chain reaction- restriction fragment length polymorphism method was
used. RESULTS: Except for LEP g.-963C>T, all studied genotype frequencies of
single nucleotide polymorphisms (SNPs) were distributed according to the Hardy
Weinberg equilibrium. Two LEP SNPs (g.-963C>T and c.357C>T) were associated with
the age at the 1st calving, days open (DO), pregnancy rate after 1st service
(PR), and calving interval (CLI). In LEP g.-963C>T the TT genotype heifers
firstly calved 24 days earlier than CC genotype and the CT genotype cow showed a
tendency for shorter DO and higher PR. In LEP c.357C>T we observed longer CLI and
DO period in TT cows. In general, we can propose the TT genotype of g.-963C>T as
favorable and the TT genotype of c.357C>T as unfavorable for a cow's fertility.
Heterozygotes in TLR4 c.-226C>G were significantly associated with shorter CLI,
and presented a nonsignificant tendency to be associated with higher PR. In CXCR1
c.777 C>G, we did not observe any relationship of this SNP with reproduction.
CONCLUSION: Overall, the results showed that LEP could be an effective marker for
improving reproduction in Czech Fleckvieh cattle. This study also provides novel
insights into the relationship between TLR4 and CXCR1 SNPs and reproduction in
dual-purpose cattle.
PMID- 29642664
TI - Improving quality of common reed (Phragmites communis Trin.) silage with
additives.
AB - OBJECTIVE: Common reed (Phragmites communis Trin.) could potentially provide an
alternative resource for silage; however, its silage quality is poor. The aim of
this study was to investigate the factors in reed that contribute to poor quality
and determine how the use of additives at ensiling could improve fermentation
quality. METHODS: In Experiment 1, we determined the chemical composition and the
presence of indigenous lactic acid bacteria (LAB) in reed. We further examined
fermentation quality of reed silage under conditions without additives (NA) and
treated glucose (G), lactic acid bacteria (L), and their combination (G+L). In
Experiment 2, silage of NA, and with an addition of cellulase and lactic acid
bacteria (CL) were prepared from harvested reed. The harvested reeds were
fertilized at nitrogen concentrations of 0, 4, 8, and 12 g N/m2 and were
harvested thrice within one year. RESULTS: The indigenous LAB and fermentable
carbohydrates are at extremely low concentrations in reed. Reed silage, to which
we added G+L, provided the highest quality silage among treatments in Experiment
1. In Experiment 2, N fertilization had no negative effect on silage quality of
reed. The harvest times decreased fermentable carbohydrate content in reed. The
CL treatment provided a higher lactic acid content compared to the NA treatment.
However, the quality of CL treated silage at the second and third harvests was
significantly lower than at the first harvest, due to a reduction in
carbohydrates caused by frequent harvesting. CONCLUSION: The causes of poor
quality in reed silage are its lack of indigenous LAB and fermentable
carbohydrates and its high moisture content. In addition, reed managed by
frequent harvesting reduces carbohydrate content. Although the silage quality
could be improved by adding CL, higher-quality silage could be prepared by adding
fermentable carbohydrates, such as glucose (rather than adding cellulases).
PMID- 29642665
TI - Fatty acid composition of goose meat depending on genotype and sex.
AB - Objective: The aim of this study was to compare male and female geese of two
contrasting genotypes in terms of fatty acid composition, indexes related to
human health, lipid metabolism and oxidative stability of the meat. Methods: The
experiment was carried out on total of 120 geese of two different genotypes; the
native breed Czech Goose (CG) and commercial hybrid Novohradska Goose (NG). One-d
old goslings were divided into 4 groups according to genotype and sex, and 8
birds from each group were slaughtered at 8 weeks of age. Results: The effects of
the interactions between genotype and sex were observed on growth performance and
carcass traits. Final live weight (P < 0.001), daily weight gain (P < 0.001),
daily feed intake (P < 0.001), slaughter weight (P < 0.001) and cold carcass
weight (P < 0.001) were highest in NG males and lowest in CG females. The meat
fatty acid composition results showed effects of both genotype and sex on the
total n-6 and the total PUFA content, as well as the PUFA n-6/PUFA n-3 ratio.
Regarding genotype, the total n-6, the total PUFA content and the PUFA n-6/PUFA n
3 ratio were higher in CG, and higher values were found in females. In terms of
the lipid metabolism, Delta5 - Delta6 desaturase (P = 0.006) was higher in males.
The meat oxidative stability results revealed an interaction between genotype,
sex and storage time (P < 0.001). The highest (13.85 mg/kg) malondialdehyde
content was measured in the meat of CG females after 5 days of storage and was
presumably related to a higher PUFA content. Conclusions: NG had a relatively
higher growth rate and meat oxidative stability, whereas the advantage of CG meat
is its favourable fatty acid profile characterized by a higher PUFA content.
PMID- 29642666
TI - Expression of selenium-independent glutathione peroxidase 5 (GPx5) in the
epididymis of Small Tail Han sheep.
AB - OBJECTIVE: Selenium-independent glutathione peroxidase (GPx5) is specifically
expressed in the mammalian epididymis and plays an important role in protecting
sperm from reactive oxygen species and lipid peroxidation damage. This study
investigates GPx5 expression in the epididymis of Small Tail Han sheep. METHODS:
GPx5 expression was studied in three age groups: lamb (2 to 3 months), young (8
to 10 months), and adult (18 to 24 months). The epididymis of each age group
divided into caput, corpus and cauda, respectively. Analysis the expression
quantity of GPx5 in epididymis and testis by real-time fluorescent quantitative
polymerase chain reaction and Western blot. Finally, GPx5 protein locating in the
epididymis by immunohistochemical. RESULTS: The results demonstrate that in the
lamb group, the GPx5 mRNA, but not protein, can be detected. GPx5 mRNA and
expressed protein were detected in both the young and adult groups. Moreover,
both the mRNA and protein levels of GPx5 were significantly higher in the young
group than in other two groups. When the different segments of epididymis were
investigated, GPx5 mRNA was expressed in each segment of epididymis regardless of
age. Additionally, the mRNA level in the caput was significantly higher than that
in corpus and cauda within same age group. The GPx5 protein was in the epithelial
cells' cytoplasm. However, GPx5 mRNA and protein were not detected in the testis.
CONCLUSION: These results suggest that GPx5 is mainly expressed in the epididymis
of Small Tail Han sheep, and that the expression level of GPx5 is associated with
age. Additionally, GPx5 was primarily expressed in the epithelial cells of the
caput. Taken together, these studies indicate that GPx5 is expressed in the
epididymis in all age grades.
PMID- 29642667
TI - Mitochondrial tumor suppressor 1 is a target of AT-rich interactive domain 1A and
progesterone receptor in the murine uterus.
AB - Objective: Progesterone receptor (PGR) and AT-rich interactive domain 1A (ARID1A)
have important roles in the establishment and maintenance of pregnancy in the
uterus. In present studies, we examined the expression of mitochondrial tumor
suppressor 1 (MTUS1) in the murine uterus during early pregnancy as well as in
response to ovarian steroid hormone treatment. METHODS: We performed quantitative
reverse transcription polymerase chain reaction and immunohistochemistry analysis
to investigate the regulation of MTUS1 by ARID1A and determined expression
patterns of MTUS1 in the uterus during early pregnancy. RESULTS: The expression
of MTUS1 was detected on day 0.5 of gestation (GD 0.5) and then gradually
increased until GD 3.5 in the luminal and glandular epithelium. However, the
expression of MTUS1 was significantly reduced in the uterine epithelial cells of
Pgrcre/+Arid1af/f and Pgr knockout (PRKO) mice at GD 3.5. Furthermore, MTUS1
expression was remarkably induced after P4 treatment in the luminal and glandular
epithelium of the wild-type mice. However, the induction of MTUS1 expression was
not detected in uteri of Pgrcre/+Arid1af/f or PRKO mice treated with P4.
CONCLUSION: These results suggest that MTUS1 is a novel target gene by ARID1A and
PGR in the uterine epithelial cells.
PMID- 29642668
TI - Effect of increasing levels of apparent metabolizable energy on laying hens in
barn system.
AB - OBJECTIVE: This experiment was to investigate the effect of increasing levels of
apparent metabolizable energy (AMEn) on the laying performance, egg quality,
blood parameters, blood biochemistry, intestinal morphology, and apparent total
tract digestibility (ATTD) of energy and nutrients in diets fed to laying hens.
METHODS: A total of three-hundred twenty 33-week-old Hy-Line Brown laying hens
(Gallus domesticus) were evenly assigned to four experimental diets of 2,750,
2,850, 2,950, and 3,050 kcal AMEn/kg in pens with floors covered with deep litter
of rice hulls. There were four replicates of each treatment, each consisting of
20 birds in a pen. RESULTS: AMEn intake was increased (linear, p<0.05) with
inclusion level of AMEn in diets increased. Feed intake and feed conversion ratio
were improved (linear, p<0.01), but hen-day egg production tended to be increased
with an increasing level of AMEn in diets. During the experiment, leukocyte
concentration and blood biochemistry (total cholesterol, triglyceride, glucose,
total protein, calcium, asparate aminotransferase, and alanine transferase were
not influenced by increasing level of AMEn in diets. Gross energy and ether
extract were increased (linear, p<0.01) as the inclusion level of AMEn in diets
increased. CONCLUSION: Laying hens fed high AMEn diet (i.e., 3,050 kcal/kg in the
current experiment) tended to overconsume energy with a positive effect on feed
intake, feed conversion ratio, nutrient digestibility, and intestinal morphology
but not on egg production and egg mass.
PMID- 29642669
TI - Evaluation of polyherbal formulation and synthetic choline chloride on choline
deficiency model in broilers: implications on zootechnical parameters, serum
biochemistry and liver histopathology.
AB - OBJECTIVE: The study was designed to establish choline deficiency model (CDM) in
broilers for evaluating efficacy of polyherbal formulation (PHF) in comparison
with synthetic choline chloride (SCC). METHODS: A total of 2,550 one-day-old Cobb
430 broiler chicks were randomly assigned to different groups in three
experiments. In experiment 1, G1 and G2 served as normal controls and were fed a
basal diet with 100% soybean meal (SBM) as a major protein source supplemented
with and without SCC, respectively. In G3, G4, G5, and G6 groups, SBM was
replaced at 25%, 50%, 75%, and 100% by soy protein isolate (SPI) to induce a
graded level of choline deficiency. In experiment 2, PHF (500 and 1,000 g/ton) in
comparison with SCC (1,000 g/ton) were evaluated. In experiment 3, dose-response
of PHF (200, 400, and 500 g/ton) with SCC (400 g/ton) was determined. RESULTS:
Replacement of SBM by SPI produced a linear decrease in body weight gain (BWG)
with a poor feed conversion ratio (FCR). 25% SBM replacement by SPI yielded an
optimum negative impact on BWG and FCR; hence, it is considered for further
studies. In experiment 2, PHF (500 and 1,000 g/ton) and SCC (1,000 g/ton) showed
a similar performance in BWG, FCR and relative liver weight. In experiment 3, PHF
produced an optimum efficacy at 400 g/ton and was comparable to SCC in the
restoration of serum aspartate aminotransferase activity, abdominal fat, breast
muscle lipid content and liver histopathological abnormalities. CONCLUSION:
Replacement of SBM by SPI caused choline deficiency characterised by worsening of
BWG, FCR, elevation in liver enzymes and histopathological changes indicating
fatty liver. CDM was found valid for evaluating SCC and PHF. It is concluded that
PHF has the potential to mimic biological activities of SCC through the
restoration of negative effects caused by CDM.
PMID- 29642670
TI - Effects of dietary supplementation with fermented and non-fermented brown algae
by-products on laying performance, egg quality, and blood profile in laying hens.
AB - OBJECTIVE: This study was conducted to investigate the effects of dietary
supplementation with fermented and non-fermented brown algae by-products on the
laying performance, egg quality, relative organ weight, and blood profile of
laying hens. METHODS: Hy-Line Brown chickens (n = 180; 70-week-old) were randomly
divided into 5 groups with 4 replicates per group (3 hens per cage, 4 cages per
replicate), and fed with 5 experimental diets, namely the basal control diet
(CON) or the control diet supplemented with 0.5% brown seaweed (BS), 0.5% seaweed
fusiforme (SF), 0.5% fermented brown seaweed (FBS), or 0.5% fermented seaweed
fusiforme (FSF), for 4 weeks. RESULTS: Egg production rate and egg mass were
greater in the BS group than in the other groups (p<0.05), and the SF and FSF
groups had greater egg production than the control group (p<0.05). Egg weight was
higher in the BS group than in the other groups (p<0.05). There were no
differences in eggshell color, egg yolk color, eggshell strength, or eggshell
thickness among the groups. There was no difference in Haugh units among the
treatment groups, except for the FSF group, which had a significantly lower value
(p<0.05). The non-fermented groups had greater relative organ weights,
particularly the liver and cecum, than the other groups (p<0.05). Regarding blood
profile, the supplemented-diet groups had higher albumin levels than the control
group (p<0.05). The FBS group had higher total cholesterol and triglyceride
levels than the other groups (p<0.05). The BS and FBS groups had higher glutamic
pyruvic transaminase levels than the other groups (p<0.05). CONCLUSION: This
study demonstrated that dietary brown algae supplementation can improve egg
laying performance; however, supplementation with fermented seaweeds had no
positive effect on the egg-laying performance of hens.
PMID- 29642671
TI - Effects of different amylose to amylopectin ratios on rumen fermentation and
development in fattening lambs.
AB - OBJECTIVE: The objective of this experiment was to examine the effects of
different amylose/amylopectin ratios on rumen fermentation and development of
fattening lambs. METHODS: Forty-eight 7-day-old male Small-tailed Han
sheep*Northeast fine wool sheep were randomly assigned to four treatments of
dietary amylose/amylopectin ratios (0.12, 0.23, 0.24, and 0.48 in tapioca starch,
corn starch, wheat starch and pea starch diets, respectively). Three lambs from
each treatment were slaughtered at 21, 35, 56, and 77 days of age to determine
the rumen fermentation and development. RESULTS: Compared with tapioca starch
diet, the pea starch diet significantly increased the concentration of ammonia
nitrogen in the ruminal fluid of lambs but significantly decreased the bacterial
protein content. At 56 and 77 d, the rumen propionate concentration tended to be
greatest in the tapioca starch group than in other groups. The rumen butyrate
concentration was the greatest in lambs fed on pea starch compared with those fed
on other starch diets. Furthermore, the pea starch diet significantly stimulated
rumen development by increasing the papillae height, width and surface area in
the rumen ventral or dorsal locations in lambs. However, different
amylose/amylopectin ratios diets did not significantly affect the feed intake,
body weight, average daily gain, the relative weight and capacity of the rumen in
lambs with increasing length of trial periods. CONCLUSION: Lambs early
supplemented with a high amylose/amylopectin ratio diet had favourable
morphological development of rumen epithelium, which was not conducive to
bacterial protein synthesis.
PMID- 29642672
TI - Phytochemicals and antioxidant capacity of some tropical edible plants.
AB - OBJECTIVE: To find biological functions such as antibacterial and antioxidant
activities in several tropical plants and to investigate the possibility of
antibiotic substitute agents to prevent and treat diseases caused by pathogenic
bacteria. METHODS: Plants such as Poncirus trifoliata fruit (Makrut), Zingiber
officinale Rosc (Khing), Areca catechu L. (Mak), Solanum melongena L. I
(Makkhuayao), and Solanum melongena L. II (Makhurapro) were extracted by
methanol, n-hexane, chloroform, ethyl acetate, butanol and water. The free
radical scavenging activities were measured using 2-diphenyl-2-picryl hydrazyl
photometric assay. Antibacterial activities with a minimum inhibitory
concentration (MIC) were observed by agar diffusion assay against pathogenic
strains of Escherichia coli, Burkholderia sp., Haemopilus somnus, Haemopilus
parasuis, Clostridium perfringens, and Pantoea agglomerans. RESULTS: Poncirus
trifoliata fruit methanol extract showed antibacterial activities against gram
negative and gram-positive pathogens. Additionally, this showed the strongest
antibacterial activity against Burkholderia sp. and Haemopilus somnus with MIC
131 MUg/mL, respectively. Areca catechu L. water extract showed antibacterial
activities against Burkholderia sp., Haemopilus somnus, and Haemopilus parasuis.
The MIC value for Haemopilus parasuis was 105 MUg/mL in this. Antioxidant
activity of Zingiber officinale Rosc n-hexane extract showed 2.23 mg/mL effective
concentration 50% (EC50) value was the highest activity among tropical plants
extracts. Total polyphenol content in Zingiber officinale Rosc methanol extract
was 48.4 MUg/mL and flavonoid content was 22.1 MUg/mL showed the highest values
among tested plants extracts. CONCLUSION: Taken together, these results suggest
that tropical plants used in this study may have a potential benefit as an
alternative antibiotics agent through their antibacterial and antioxidant
activities.
PMID- 29642673
TI - The use of data mining methods for dystocia detection in Polish Holstein-Friesian
Black-and-White cattle.
AB - OBJECTIVE: The aim of this study was to verify the usefulness of artificial
neural networks (ANN), multivariate adaptive regression splines (MARS), naive
Bayes classifier (NBC), general discriminant analysis (GDA), and logistic
regression (LR) for dystocia detection in Polish Holstein-Friesian Black-and
White heifers and cows and to indicate the most influential predictors of calving
difficulty. METHODS: A total of 1,342 and 1,699 calving records including six
categorical and four continuous predictors were used. Calving category (difficult
vs easy or difficult, moderate and easy) was the dependent variable. RESULTS: The
maximum sensitivity, specificity and accuracy achieved for heifers on the
independent test set were 0.855 (for ANN), 0.969 (for NBC), and 0.813 (for GDA),
respectively, whereas the values for cows were 0.600 (for ANN), 1.000 and 0.965
(for NBC, GDA, and LR), respectively. With the three categories of calving
difficulty, the maximum overall accuracy for heifers and cows was 0.589 (for
MARS) and 0.649 (for ANN), respectively. The most influential predictors for
heifers were an average calving difficulty score for the dam's sire, calving age
and the mean yield of the farm, where the heifer was kept, whereas for cows,
these additionally included: calf sex, the difficulty of the preceding calving,
and the mean daily milk yield for the preceding lactation. CONCLUSION: The
potential application of the investigated models in dairy cattle farming
requires, however, their further improvement in order to reduce the rate of
dystocia misdiagnosis and to increase detection reliability.
PMID- 29642675
TI - Use of welfare outcome information in three types of dairy farm inspection
reports.
AB - OBJECTIVE: The aim of this study was to examine the use of outcome-based
observations within Assured Dairy Farm scheme (ADF), Soil Association Organic
Standards (SA), and cross compliance (CC) farm assessment reports. METHODS: A
total of 449 ADF reports, 37 SA reports and 26 CC reports were analyzed and their
objective comments categorized as either resource-based or outcome-based.
RESULTS: A mean of 61.0% of ADF questions were responded to with comments, in
comparison to 25.0% of SA and, 21.0% of CC report questions. The SA and CC
reports had significantly more outcome-based comments than the ADF (p<0.001). The
assessors' tendency of choosing resource-based approach was revealed in the
questionnaire results. CONCLUSION: Generally, the comments were comprehensive and
contained professional judgements. Large numbers of comments provided in the ADF
reports were mostly compliant and resource-based evidence, which serves as proof
of assessment rather than aiding the certifying process. The inclusion of
specific welfare outcome measures in the SA inspection likely increased the use
of outcome-based comments in the reports, irrespective of whether the farm
achieved compliance with a given standards. The CC scheme, on the other hand,
focused on providing outcome-based evidence to justify noncompliant decisions.
PMID- 29642674
TI - Expression pattern of prohibitin, capping actin protein of muscle Z-line beta
subunit and tektin-2 gene in Murrah buffalo sperm and its relationship with sperm
motility.
AB - OBJECTIVE: The aim of the current study is to investigate the relationship
between prohibitin (PHB), capping actin protein of muscle Z-line beta subunit
(CAPZB), and tektin-2 (TEKT2) and sperm motility in Murrah buffalo. METHODS: We
collected the high-motility and low-motility semen samples, testis, ovary,
muscle, kidney, liver, brain and pituitary from Murrah buffalo, and analysed the
expression of PHB, CAPZB, and TEKT2 in mRNA (message RNA) and protein level.
RESULTS: Quantitative reverse transcription-polymerase chain reaction (qRT-PCR)
result showed that the expression of PHB was higher and CAPZB, TEKT2 were
specifically expressed in testis as compared to the other 6 tissues, and that in
testis, the expression of TEKT2 was higher than that of CAPZB and PHB.
Immunohistochemistry test revealed that all three genes were located on the
convoluted seminiferous tubule and enriched in spermatogenic cells. Both qRT-PCR
and Western Blot results showed that the expression levels of PHB, CAPZB, and
TEKT2 were significantly lower in the low-motility semen group compared to the
high-motility semen group (p<0.05). CONCLUSION: The expression levels of PHB,
CAPZB, and TEKT2 in Murrah buffalo sperm have a high positive correlation with
sperm motility. And the three genes may be potential molecular markers for the
decline of buffalo sperm motility.
PMID- 29642676
TI - Can the body composition of crossbred dairy cattle be predicted by equations for
beef cattle?
AB - OBJECTIVE: The aim of the study was to evaluate the efficiency of the Hankins and
Howe (HH46), Valadares Filho (V06), and Marcondes (M12) equations for predicting
the physical and chemical composition of dairy crossbred bulls carcasses, as well
as the chemical composition of their empty bodies. METHODS: This study was
conducted using 30 dairy crossbred bulls. One group of five animals was
slaughtered at the beginning of the experiment, and the remaining were
slaughtered 112 days later. Animals were distributed in a completely randomized
design into treatments consisting different levels of concentrate (0%, 17%, 34%,
51%, and 68%). The physical and chemical compositions of the cattle were obtained
from the right half of the carcass and using samples taken between the 9th and
11th ribs of the left half of the carcass. The estimated and experimentally
determined values were compared using the correlation and concordance
coefficient, as well as the mean square error of prediction (MSEP) and its
components. RESULTS: The HH46 equations were better at estimating the amount of
muscle plus fat in the carcass. The amount of bone in the carcasses could not be
well estimated by the HH46 and M12 models. The M12, HH46, and V06 equations were
worst at estimating the amounts of protein, ether extract, and water in the
carcass, respectively. In the empty body, the amounts of protein and water were
well estimated by the HH46 equations. Protein, ether extract, and water were
accurately estimated by the V06 equations, and ether extract by the M12
equations. CONCLUSION: The physical and chemical composition of dairy crossbred
bull carcasses, as well as the chemical composition of their empty bodies, can be
predicted using the equations tested here. The amount of bone in these carcasses
could not be accurately predicted.
PMID- 29642677
TI - Selection response and estimation of the genetic parameters for multidimensional
measured breast meat yield related traits in a long-term breeding Pekin duck
line.
AB - OBJECTIVE: This study was conducted to estimate the genetic parameters and
breeding values of breast meat related traits of Pekin ducks. Selection response
was also determined by using ultrasound breast muscle thickness (BMT)
measurements in combination with bosom breadth (BB) and keel length (KL) values.
METHODS: The traits analyzed were breast meat weight (BMW), body weight (BW),
breast meat percentage (BMP) and the three parameters of breast meat (BB, KL, and
BMT). These measurements were derived from studying 15,781 Pekin ducks selected
from 10 generations based on breast meat weight. Genetic parameters and breeding
value were estimated for the analysis of the breeding process. RESULTS: Estimated
heritability of BMW and BMP were moderate (0.23 and 0.16, respectively), and
heritability of BW was high (0.48). Other traits such as BB, KL, and BMT
indicated moderate heritability ranging between 0.11 and 0.28. Significant
phenotypic correlations of BMW with BW and BMP were discovered (p<0.05), and
genetic correlations of BMW with BW and BMP were positive and high (0.83 and
0.66, respectively). It was noted that BMW had positive correlations with all the
other traits. Generational average estimated breeding values of all traits
increased substantially over the course of selection, which demonstrated that the
ducks responded efficiently to increased breast meat yield after 10 generations
of breeding. CONCLUSION: The results indicated that duck BMW had the potential to
be increased through genetic selection with positive effects on BW and BMP. The
ultrasound BMT, in combination with the measurement of BB and KL, is shown to be
essential and effective in the process of high breast meat yield duck breeding.
PMID- 29642678
TI - Polymorphisms of melatonin receptor genes and their associations with egg
production traits in Shaoxing duck.
AB - OBJECTIVE: In birds, three types of melatonin receptors (MTNR1A, MTNR1B, and
MTNR1C) have been cloned. Previous researches have showed that three melatonin
receptors played an essential role in reproduction and ovarian physiology.
However, the association of polymorphisms of the three receptors with duck
reproduction traits and egg quality traits is still unknown. In this test, we
chose MTNR1A, MTNR1B, and MTNR1C as candidate genes to detect novel sequence
polymorphism and analyze their association with egg production traits in Shaoxing
duck, and detected their mRNA expression level in ovaries. METHODS: In this
study, a total of 785 duck blood samples were collected to investigate the
association of melatonin receptor genes with egg production traits and egg
quality traits using a direct sequencing method. And 6 ducks representing two
groups (3 of each) according to the age at first eggs (at 128 days of age or
after 150 days of age) were carefully selected for quantitative real-time
polymerase chain reaction. RESULTS: Seven novel polymorphisms (MTNR1A: g. 268C>T,
MTNR1B: g. 41C>T, and g. 161T>C, MTNR1C: g. 10C>T, g. 24A>G, g. 108C>T, g. 363
T>C) were detected. The single nucleotide polymorphism (SNP) of MTNR1A (g.
268C>T) was significantly linked with the age at first egg (p<0.05). And a
statistically significant association (p<0.05) was found between MTNR1C g.108 C>T
and egg production traits: total egg numbers at 34 weeks old of age and age at
first egg. In addition, the mRNA expression level of MTNR1A in ovary was
significantly higher in late-mature group than in early-mature group, while
MTNR1C showed a contrary tendency (p<0.05). CONCLUSION: These results suggest
that identified SNPs in MTNR1A and MTNR1C may influence the age at first egg and
could be considered as the candidate molecular marker for identify early maturely
traits in duck selection and improvement.
PMID- 29642679
TI - Effects of dietary supplementation with a combination of plant oils on
performance, meat quality and fatty acid deposition of broilers.
AB - OBJECTIVE: This study was to evaluate effects of mixed plant oils (identified as
mixed oil 1 [MO1] and mixed oil 2 [MO2]) on performance, serum composition,
viscera percentages, meat quality, and fatty acid deposition of broilers.
METHODS: A total of 126 one-day-old Arbor Acres male broiler chicks (weighing
44.91+/-0.92 g) were randomly allocated to 1 of 3 treatments with 7 replicate
pens per treatment (6 broilers per pen). Dietary treatments included a corn
soybean basal diet supplemented with 3% soybean oil (CTR), basal diet with 3% MO1
(a mixture of 15% corn oil, 10% coconut oil, 15% linseed oil, 20% palm oil, 15%
peanut oil and 25% soybean oil; MO1), or basal diet with 3% MO2 (a combination of
50% MO1 and 50% extruded corn; MO2). The trial consisted of phase 1 (d 1 to 21)
and phase 2 (d 22 to 42). RESULTS: Compared to CTR, broilers fed MO (MO1 or MO2)
had greater (p<0.05) average daily gain in phase 1, 2, and overall (d 1 to 42),
redness in thigh muscle, concentrations of serum glucose, serum albumin,
saturated fatty acids (SFA) and n-6/n-3 polyunsaturated fatty acids (PUFA) ratio
in breast muscle, while these broilers also showed lower (p<=0.05) drip loss and
concentrations of C18:3n-3 and PUFA/SFA ratio in breast muscle. Broilers fed MO2
had higher (p<0.05) liver percentage, while broilers fed MO1 had lower (p<=0.05)
feed conversion ratio in phase 1 and increased (p<0.05) contents of C18:2n-6,
C20:5n-3, C22:6n-3, and n-3 PUFA in breast muscle compared to CTR. CONCLUSION:
Mixed plant oils had positive effects on performance, serum parameters, meat
quality, liver percentage and fatty acid deposition in broilers, which indicates
they can be used as better dietary energy feedstocks than soybean oil alone.
PMID- 29642680
TI - Effect of probiotics and xylo-oligosaccharide supplementation on nutrient
digestibility, intestinal health and noxious gas emission in weanling pigs.
AB - OBJECTIVE: This study was conducted to evaluate the effect of probiotics
(Bacillus subtilis and Enterococcus faecium) and xylo-oligosaccharide (XOS)
supplementation on growth performance, nutrient digestibility, serum profiles,
intestinal health, fecal microbiota and noxious gas emission in weanling pigs.
METHODS: A total of 240 weanling pigs ([Yorkshire*Landrace]*Duroc) with an
average body weight (BW) of 6.3+/-0.15 kg were used in this 28-day trial. Pigs
were randomly allocated in 1 of the following 4 dietary treatments in a 2*2
factorial arrangement with 2 levels of probiotics (0 and 500 mg/kg probiotics)
and XOS (0 and 200 mg/kg XOS) based on the BW and sex. RESULTS: Administration of
probiotics or XOS improved average daily gain (p<0.05) during 0 to 14 d and the
overall period, while pigs that were treated with XOS had a greater average daily
gain and feed efficiency (p<0.05) compared with unsupplemented treatments
throughout 15 to 28 d and the whole experiment. Either probiotics or XOS
treatments increased the apparent total tract digestibility of nutrients (p<0.05)
during 0 to 14 d. No effects on serum profiles were observed among treatments.
The XOS increased villus height: crypt depth ratio in jejunum (p<0.05). The
supplementation of probiotics (500 mg/kg) or XOS (200 mg/kg) alone improved the
apparent total tract digestibility of dry matter, nitrogen and gross energy on d
14, the activity of trypsin and decreased fecal NH3 concentration (p<0.05).
Administration of XOS decreased fecal Escherichia coli counts (p<0.05), while
increased lactobacilli (p<0.05) on d 14. There was no interaction between dietary
supplementation of probiotics and XOS. CONCLUSION: Inclusion of XOS at 200 mg/kg
or probiotics (Bacillus subtilis and Enterococcus faecium) at 500 mg/kg in diets
containing no antibiotics significantly improved the growth performance of
weanling pigs. Once XOS is supplemented, further providing of probiotics is not
needed since it exerts little additional effects.
PMID- 29642681
TI - The effect of nutrition and body condition of triplet-bearing ewes during late
pregnancy on the behaviour of ewes and lambs.
AB - OBJECTIVE: Triplet-born lambs are less likely to survive to weaning than twin
born or single-born lambs. Appropriate ewe-lamb bonding behaviours and lamb
vigour behaviours are necessary for survival of lambs. The aim of this experiment
was to determine whether maternal nutrition during late pregnancy influenced
behaviour of the ewe and her lambs soon after birth, and to determine whether mid
pregnancy body condition score (BCS) influenced any behavioural response.
METHODS: The experiments included ewes that were in BCS 2.0, 2.5, or 3.0 in mid
pregnancy and were fed either ad libitum or to pregnancy-maintenance requirements
in late-pregnancy (day 115 until 136 in experiment one, and day 128 until 141 in
experiment two). The time taken for lambs to stand, contact dam, suck from dam
and follow dam was recorded three to 18 h after birth. The number of high- and
low-pitched bleats emitted by the ewe and lambs was recorded, along with maternal
behaviour score (MBS) of the ewe. Lambs in experiment two underwent a maternal
recognition test at 12 or 24 h. RESULTS: There were significant effects of
feeding treatment on bleating behaviour of ewes and lambs, but these were
inconsistent among BCS groups and between experiments. Lamb vigour behaviours
were not affected by feeding treatment. In experiment one, there was no effect of
feeding treatment or BCS on MBS, but in experiment two, ewes in BCS3 in mid
pregnancy had greater MBS than ewes in BCS2 in mid-pregnancy (MBS 3.1/5 vs MBS
2.1/5; p<0.05). CONCLUSION: Given there were no repeatable effects on behaviour
of ewes and lambs, ad libitum feeding rather than feeding for pregnancy
maintenance requirements cannot be used to improve behaviours soon after birth of
triplet-bearing ewes in BCS 2-3 and their lambs in extensive pastoral conditions.
PMID- 29642682
TI - In vitro and in vivo evaluation of kenaf (Hibiscus cannabinus L.) as a roughage
source for beef cattle.
AB - OBJECTIVE: The goal of this study was to evaluate kenaf as a roughage source in
vitro and its effects on meat quality of Hanwoo (Korean native) cattle. METHODS:
Three roughage materials, rice straw silage, ryegrass silage, and kenaf silage,
were tested in a batch culture and feeding trial. Rumen fermentation parameters,
including gas, pH, volatile fatty acid (VFA), and ammonia were analyzed. In the
feeding trial, Hanwoo steers (373.5+/-5.1 kg, n = 36, 11 month of age) were
divided into three feeding groups (n = 12 each). Animals were fed with each
silage and concentrate until the fattening stage. RESULTS: Crude protein, ether
extract, and non-structural carbohydrates were greater in kenaf silage. Total gas
production was higher in ryegrass silage, followed by kenaf silage and rice straw
silage (p<0.05). Total VFA and individual VFA (acetate, propionate, and n
butyrate) were greater in kenaf silage than rice straw silage (p<0.05). In vitro
dry matter digestibility showed a similar trend to that of total gas and VFA
production; it was higher in ryegrass silage and lower in rice straw (p<0.05).
Throughout the feeding trial, the rice straw silage group showed significantly
greater average daily gain than did the others (p<0.05). The feed conversion
ratio in the group fed kenaf silage was significantly greater than that of others
(p<0.05). No significant differences were observed in yield or quality traits,
including carcass weight, ribeye area, backfat thickness, and scores for
marbling, meat color, and fat color (p>0.05). CONCLUSION: The results indicated
that no negative effects on growth performance and carcass characteristics
occurred across treatments. Therefore, kenaf could be substituted for rice straw,
which is most widely used as a roughage source in Korea.
PMID- 29642683
TI - Effect of Lactobacillus salivarius on growth performance, diarrhea incidence,
fecal bacterial population and intestinal morphology of suckling pigs challenged
with F4+ enterotoxigenic Escherichia coli.
AB - Objective: Gut health improvements were monitored with respect to growth
performance, diarrhea incidence, fecal bacterial population and intestinal
morphology of suckling pigs orally supplemented with live Lactobacillus
salivarius (L. salivarius) oral suspensions and challenged with F4+
enterotoxigenic Escherichia coli (ETEC). METHODS: Two groups of newborn pigs from
18 multiparous sows were randomly designated as non-supplemented (control: n =
114 piglets) and L. salivarius supplemented groups (treatment: n = 87 piglets).
Treatment pigs were orally administered with 2 mL of 109 colony-forming unit
(CFU)/mL L. salivarius on days 1 to 3, then they were orally administered with 5
mL of 109 CFU/mL L. salivarius on days 4 to 10, while those in control group
received an equal amount of phosphate buffered saline solution. On day 24 (2
weeks post supplementation), one pig per replicate of both groups was orally
administered with 108 CFU/mL F4+ ETEC, then they were euthanized on day 29 of
experiment. RESULTS: Results revealed that pigs in treatment group had a
statistically significant increase in average daily gain, body weight and weight
gain, and tended to lower diarrhea throughout the study. Numbers of Lactobacillus
population in feces of treatment pigs were higher than control pigs, especially
on day 10 of study. Numbers of total bacteria in intestinal contents of control
pigs were also increased, but not Coliform and Lactobacillus populations.
Histological examination revealed statistically significant improvements of
villous height and villous/crypt ratio of duodenum, proximal jejunum and distal
jejunum parts of treatment pigs compared with controls. Duodenal pH of treatment
group was significantly decreased. CONCLUSION: Oral supplementation of live L.
salivarius during the first 10 days of suckling pig promoted growth performance
and gut health, reduced diarrhea incidence, increased fecal Lactobacillus
populations and improved intestinal morphology.
PMID- 29642684
TI - Monitoring conservation effects on a Chinese indigenous chicken breed using major
histocompatibility complex B-G gene and DNA Barcodes.
AB - OBJECTIVE: We report monitoring conservation effect for a Chinese indigenous
chicken (Langshan) breed using major histocompatibility complex (MHC) and DNA
barcords. METHODS: The full length of MHC B-G gene and mitochondrial cytochrome
oxidase I (COI) gene in generations 0, 5, 10, 15, 16, and 17 was measured using
re-sequencing and sequencing procedures, respectively. RESULTS: There were 292
single nucleotide polymorphisms of MHC B-G gene identified in six generations.
Heterozygosity (He) and polymorphic information content (PIC) of MHC B-G gene in
generations 10, 15, 16, and 17 remained stable. He and PIC of MHC B-G gene were
different in six generations, with G10, G15, G16, G17 >G5>G0 (p<0.05). For the
COI gene, there were five haplotypes in generations 0, 5, 10, 15, 16, and 17.
Where Hap2 and Hap4 were the shared haplotypes, 164 individuals shared Hap2
haplotypes, while Hap1 and Hap3 were the shared haplotypes in generations 0 and 5
and Hap5 was a shared haplotype in generations 10, 15, 16, and 17. The sequence
of COI gene in 6 generations was tested by Tajima's and D value, and the results
were not significant, which were consistent with neutral mutation. There were no
differences in generations 10, 15, 16, and 17for measured phenotypic traits. In
other generations, for annual egg production, with G5, G10, G15, G16, G17>G0
(p<0.05). For age at the first egg and age at sexual maturity, with G10, G15,
G16, G17>G5>G0 (p<0.05). CONCLUSION: Combined with the results of COI gene DNA
barcodes, MHC B-G gene, and phenotypic traits we can see that genetic diversity
remained stable from generations 10 to 17 and the equimultiple random matching
pedigrees conservation population conservation effect of Langshan chicken was
effective as measured by these criteria.
PMID- 29642685
TI - Variations in mitochondrial cytochrome b region among Ethiopian indigenous cattle
populations assert Bos taurus maternal origin and historical dynamics.
AB - OBJECTIVE: This study was carried out to assess the haplotype diversity and
population dynamics in cattle populations of Ethiopia. METHODS: We sequenced the
complete mitochondrial cytochrome b gene of 76 animals from five indigenous and
one Holstein Friesian*Barka cross bred cattle populations. RESULTS: In the
sequence analysis, 18 haplotypes were generated from 18 segregating sites and the
average haplotype and nucleotide diversities were 0.7540+/-0.043 and 0.0010+/
0.000, respectively. The population differentiation analysis shows a weak
population structure (4.55%) among the populations studied. Majority of the
variation (95.45%) is observed by within populations. The overall average pair
wise distance (FST) was 0.049539 with the highest (FST = 0.1245) and the lowest
(FST = 0.011) FST distances observed between Boran and Abigar, and Sheko and
Abigar from the indigenous cattle, respectively. The phylogenetic network
analysis revealed that all the haplotypes detected clustered together with the
Bos taurus cattle and converged to a haplogroup. No haplotype in Ethiopian cattle
was observed clustered with the reference Bos indicus group. The mismatch
distribution analysis indicates a single population expansion event among the
cattle populations. CONCLUSION: Overall, high haplotype variability was observed
among Ethiopian cattle populations and they share a common ancestor with Bos
taurus.
PMID- 29642686
TI - Expression profiles of circular RNAs in sheep skeletal muscle.
AB - OBJECTIVE: Circular RNAs (circRNAs) are a newfound class of non-coding RNA in
animals and plants. Recent studies have revealed that circRNAs play important
roles in cell proliferation, differentiation, autophagy and apoptosis during
development. However, there are few reports about muscle development-related
circRNAs in livestock. METHODS: RNA sequencing analysis was employed to identify
and annotate circRNAs from longissimus dorsi of sheep. Reverse transcription
followed by real-time quantitative (q) polymerase chain reaction (PCR) analysis
verified the presence of these circRNAs. Targetscan7.0 and miRanda were used to
analyse the interaction of circRNA-microRNA (miRNA). To investigate the function
of circRNAs, an experiment was conducted to perform enrichment analysis hosting
genes of circRNAs using gene ontology (GO) and Kyoto encyclopedia of genes and
genomes (KEGG) pathways. RESULTS: About 75.5 million sequences were obtained from
RNA libraries of sheep skeletal muscle. These sequences were mapped to 729 genes
in the sheep reference genome. We identified 886 circRNAs, including numerous
circular intronic RNAs and exonic circRNAs. Reverse transcription PCR (RT-PCR)
and DNA sequencing analysis confirmed the presence of several circRNAs. Real-Time
RT-PCR analysis exhibited resistance of sheep circRNAs to RNase R digestion. We
found that many circRNAs interacted with muscle-specific miRNAs involved in
growth and development of muscle, especially circ776. The GO and KEGG enrichment
analysis showed that hosting genes of circRNAs was involved in muscle cell
development and signaling pathway. CONCLUSION: The study provides comprehensive
expression profiles of circRNAs in sheep skeletal muscle. Our study offers a
large number of circRNAs to facilitate a better understanding of their roles in
muscle growth. Meanwhile, we suggested that circ776 could be analyzed in future
study.
PMID- 29642687
TI - Estimation of genetic parameters for temperament in Jeju crossbred horses.
AB - Objective: Temperament can be defined as a type of behavioral tendency that
appears in a relatively stable manner in responses to various external stimuli
over time. The aim of this study was to estimate genetic parameters for the
records of temperament testing that are used to improve the temperament of Jeju
crossbred (Jeju*Thoroughbred) horses. METHODS: This study was conducted using 205
horses (101 females and 104 males) produced between 2010 and 2015. The
experimental animals were imprinted and tamed according to the Manual for Horse
Taming and Evaluation for Therapeutic Riding Horses and evaluated according to
the categories for temperament testing (gentleness, patience, aggressiveness,
sensitivity, and friendliness) between 15 months and 18 months of age. Each
category was scored on a five-point linear scale. Genetic parameters for the test
categories were analyzed using a multi-trait mixed model with repeated records.
The ASReml program was used to analyze the data. RESULTS: The heritability of
gentleness, patience, aggressiveness, sensitivity and friendliness ranged from
0.08 to 0.53. The standard errors of estimated heritability ranged from 0.13 to
0.17. The test categories showed high genetic correlations with each other,
ranging from 0.96 to 0.99 and high repeatability, ranging from 0.70 to 0.73.
CONCLUSION: The results of this study showed that the test categories had
moderate heritability and high genetic correlations, but additional studies may
be necessary to use the results for the improvement programs of the temperament
of Jeju crossbred horses.
PMID- 29642689
TI - The obesity paradox: the protective effect of obesity on right ventricular
function using echocardiographic strain imaging in patients with pulmonary
hypertension.
AB - BACKGROUND: Obesity is associated with right ventricular (RV) dysfunction, but
its effect on RV remodeling in patients with pulmonary hypertension (PHTN) has
not been studied. We evaluated the effect of obesity, and its interplay with
diabetes, in patients with PHTN using RV echocardiographic strain imaging.
METHODS: One hundred eighty-five patients underwent echocardiographic imaging and
pulmonary artery pressure was calculated using tricuspid regurgitation jet
velocity. From focused RV apical-four-chamber view, global and mid peak systolic
RV free wall longitudinal strain (FWLS) was calculated using speckle-tracking
software. RESULTS: Global and mid RV FWLS in patients with PHTN (N.=84) was lower
(-16.8+/-7 vs. 18.9+/-6.3, P=0.035 and -11.2+/-12.8 vs. -18.9+/-9.2, P=0.002
respectively) compared with patients without PHTN (N.=101). Among patients
without PHTN, obese patients (BMI>30) had lower global and mid RV FWLS (-17.2+/
6.2 vs. -20.3+/-5.7, P=0.012 and -17.6+/-7.2 vs. -21.9+/-7.3, P=0.004), even
after excluding diabetic patients (Mid RV FWLS -18.2+/-6.8 vs. -22.1+/-8,
P=0.032). Among patients with PHTN, obese patients had similar RV FWLS compared
with non-obese patients (P=0.46). However, on excluding diabetic patients from
PHTN group, obese patients with PHTN had higher global and mid RV FWLS (-21.7+/
5.7 vs. -16.1+/-8, P=0.017 and 23.8+/-4.8 vs. -17+/-9.4, P=0.009 respectively)
compared to non-obese patients which suggests a protective effect of obesity on
RV function in patients with PHTN. CONCLUSIONS: Obesity is associated with
subclinical RV dysfunction as assessed by RV strain imaging, but paradoxically it
may confer a protective effect on RV function once the patient develops PHTN.
Future studies should evaluate the clinical impact of this paradox.
PMID- 29642690
TI - Impella-protected PCI: the clinical results achieved so far.
AB - The ability of interventional cardiologists to identify high-risk percutaneous
coronary intervention (PCI) patients, requires the integration of different
features belonging to medical history, organ damage, coronary anatomy and the
nature of the acute event. The selection of a subgroup of patients that could
benefit from mechanical support during interventions is a key feature to success.
The introduction of the Impella percutaneous axial pump have added an easy-to-set
up, less invasive and time-consuming active-support device to the interventional
toolbox. Up to date, only few (four) randomized clinical trials (RCTs) have tried
to address the clinical efficacy of Impella assistance for the treatment of this
very high-risk population, but several large registries and multiple
observational studies have demonstrated its safety, feasibility and hemodynamic
performance. Although the largest RCT (PROTECT II) have been prematurely stopped
due to the risk of futility despite the evidence of more complete
revascularization in the Impella arm, the need for an adequate operator's
learning curve must be considered. More recent insights suggest an effect of the
device in optimizing end-organ perfusion and improving crucial parameters like
renal function. Furthermore, available data suggest that vary early Impella
support may improve patient's outcome in case of cardiogenic shock.
PMID- 29642688
TI - Samter's Triad: State of the Art.
AB - Samter's triad (ST) is a well-known disease characterized by the triad of
bronchial asthma, nasal polyps, and aspirin intolerance. Over the past few years,
a rapid development in the knowledge of the pathogenesis and clinical
characteristics of ST has happened. The aim of this paper is to review the recent
investigations on the pathophysiological mechanisms and genetic background,
diagnosis, and different therapeutic options of ST to advance our understanding
of the mechanism and the therapeutic control of ST. As concern for ST increase,
more application of aspirin desensitization will be required to manage this
disease successfully. There is also a need for continued research efforts in
pathophysiology, treatment, and possible prevention.
PMID- 29642691
TI - High-risk percutaneous coronary intervention: how to define it today?
AB - Before the percutaneous era, the mortality rate of patients with coronary heart
disease not suitable for cardiac surgery was extremely high. This limit has been
progressively exceeded with the advent of minimally invasive approaches, which,
although initially intended exclusively for low risk scenarios, was then employed
in complex patients often too compromised to undergo cardiac surgery. We are
currently witnessing, however, a sudden expansion in percutaneous coronary
interventions (PCI) in extreme cases, perceived as high-risk by operators,
imposing an important burden of human and economic resources on interventional
cardiology as a whole. In this review, the literature regarding the current
definition of high-risk PCI and its implications has been reviewed. In summary,
all proposed definitions of high risk PCI combine features related to three main
clinical areas: 1) patient risk factors and comorbidities (incorporating those
which preclude surgical or percutaneous revascularization such as diabetes,
chronic obstructive pulmonary disease, chronic kidney disease, lung disease,
frailty, advanced age); 2) location of the disease and complexity of coronary
anatomy (including multi-vessel disease, left main disease, chronic total
occlusion, bifurcations); 3) hemodynamic clinical status (ventricular
dysfunction, concomitant valvular disease or unstable characteristics).
Importantly, encouraging results in terms of efficacy and gains in health status
of PCI in (variously defined) high-risk, as compared to the low-risks patients,
are reported. Thus, treating high-risk patients is becoming increasingly
relevant, to the point that current guidelines now particularly highlight the
appropriateness of percutaneous interventions in this setting .
PMID- 29642692
TI - Non-cardiac chest pain: a 2018 update.
AB - Non-cardiac chest pain (NCCP) is defined as recurring, angina-like, chest pain of
non-cardiac origin. Studies have estimated that gastroesophageal reflux disease
(GERD) is the most common contributing factor for NCCP. In patients with non-GERD
related NCCP, esophageal motility disorders, and functional chest pain of
presumed esophageal origin are the main underlying mechanisms for symptoms.
Epidemiologic studies show a high prevalence of panic disorder, anxiety and major
depression in NCCP patients. The diagnostic esophageal workup starts only after
that cardiac and pulmonary diseases have been ruled out. NCCP patients with
typical reflux symptoms are more likely to have GERD-related NCCP than those
without typical reflux symptoms. High-dose proton pump inhibitor trial (PPI test)
can be used to confirm the diagnosis of GERD-related NCCP. Negative upper
endoscopy is quite common. For patients unresponsive to antireflux treatment and
with negative endoscopy, impedance-pH monitoring should be done. Treatment of
patients with non-GERD-related NCCP has focused on esophageal (hypercontractile
or spastic) motility disorders and esophageal visceral hypersensitivity. In the
first case, several trials using calcium channel blockers, nitrates,
anticholinergics, or botulinum toxin injection and recent trials with endoscopic
myotomy have been conducted. In case of visceral hypersensitivity, studies found
that the amelioration, when compared to placebo, was significant with
venlafaxine, sertraline, and imipramine. In this context, also cognitive
behavioral therapy has been proposed.
PMID- 29642693
TI - Tricuspid valve surgery: repair and replacement.
AB - The tricuspid valve has historically received less attention than any other
cardiac valve with regard to pathophysiology and surgical management. The
tricuspid valve is part of a complex functional apparatus that includes the right
atrium, right ventricle, and pulmonary circulation. Functional tricuspid
regurgitation is the most common tricuspid valve disease and it occurs secondary
to dilatation of tricuspid annulus and/or tethering of valve leaflets from right
ventricular dilatation and dysfunction. However, recent expansion in the use of
right-sided transvenous devices such as pacemakers and implantable defibrillators
has been met with a parallel increase in the risk of organic tricuspid disease.
Recent data suggest that tricuspid regurgitation is not benign, and many patients
will benefit from intervention at the time of left-sided valve surgery, or early
in isolated tricuspid valve disease. This review describes the various surgical
options and strategies in the treatment of common tricuspid valve diseases.
Current American and European guidelines advocate for a more proactive surgical
approach in the treatment of tricuspid regurgitation and/or annular dilatation at
the time of left-sided valve surgery. Tricuspid annuloplasty is the preferred
technique given its superior long-term outcomes. This renewed interest in
surgical repair has been ushered by the development of a newer generation of
tricuspid annuloplasty rings, as well as technological strides in transcatheter
therapies which now extend to include tricuspid pathologies in otherwise
inoperable patients with advanced tricuspid disease and cardiomyopathy. Tricuspid
disease is not a benign entity. An aggressive approach to surgical management as
well as prophylactic interventions are becoming more widely adopted. Aggressive
tricuspid surgery however, remains an area of controversy in cardiac surgery,
although surgical repair remains the gold standard for functional tricuspid
regurgitation with replacement reserved for advanced or organic tricuspid
disease. Transcatheter therapy is primarily directed toward non-surgical patients
and is not included in this review.
PMID- 29642694
TI - Integrated quadruple stress echocardiography.
AB - Stress Echocardiography (SE) is an established diagnostic technique. For 40
years, the cornerstone of the technique has been the detection of regional wall
motion abnormalities (RWMA), due to the underlying physiologically-relevant
epicardial coronary artery stenosis. In the last decade, three new parameters
(more objective than RWMA) have shown the potential to integrate and comple- ment
RWMA: 1- B-lines, also known as ultrasound lung comets, as a marker of extra
vascular lung water, measured using lung ultrasound with the 4-site simplified
scan symmetrically of the antero- lateral thorax on the third intercostal space,
from mid-axillary to anterior axillary and mid- clavicular line; 2-left
ventricular contractile reserve (LVCR), assessed as the peak stress/rest ratio of
left ventricular force, also known as elastance (systolic arterial pressure by
cuff sphygmomanome- ter/end-systolic volume from 2D echocardiography); 3-
coronary flow velocity reserve (CFVR) on left anterior descending coronary
artery, calculated as peak stress/rest ratio of diastolic peak flow velocity
assessed using pulsed-wave Doppler. The 4 parameters (RWMA, B-lines, LVCR and
CFVR) now converge conceptually, logistically, and methodologically in the
Integrated Quadruple (IQ)-SE. IQ-SE optimizes the versatility of SE to include in
a one-stop shop the core "ABCD" (Asynergy+B-lines+Contractile reserve+Doppler
flowmetry) protocol. It allows a synoptic assess- ment of parameters mirroring
the epicardial artery stenosis (RWMA), interstitial lung water (B- lines),
myocardial function (LVCR) and small coronary vessels (CFVR). Each variable has a
clear clinical correlate, different and complementary to all others: RWMA
identify an ischemic vs non- ischemic heart; B-lines a wet vs dry lung; LVCR a
strong vs weak heart; CFVR a warm vs cold heart. IQ-SE is highly feasible, with
minimal increase in the imaging and analysis time, and obvi- ous diagnostic and
prognostic impact also beyond coronary artery disease - especially in heart fail-
ure. Large scale effectiveness studies with IQ-SE are now under way with the
Stress Echo 2020 study, and will provide the necessary evidence base prior to
large scale acceptance of the tech- nique.
PMID- 29642695
TI - Tricuspid valve imaging.
AB - The right ventricle and tricuspid valve (TV) have long been neglected by
cardiologists. Functional tricuspid regurgitation (TR) is nowadays the most
common cause of severe TR and is emerging as a prognostic factor in many heart
diseases. A multimodality imaging approach is fundamental for defining the
pathophysiology of TR, using both two-dimensional and three-dimensional
echocardiography, as well as CT scan. In particular, 3D echocardiography can
characterize TV apparatus and tricuspid annulus; CT offers complementary
information about annular structure, and its relationship with the right coronary
artery. The following review will describe TV anatomy, define transthoracic and
transesophageal echocardiographic views for evaluating TV morphology, function
and TR grading with some clues on interventional perspectives.
PMID- 29642696
TI - Periprocedural and perioperatory management of patients with tricuspid valve
disease.
AB - Tricuspid regurgitation (TR) is a common valvular lesion which may affect
morbidity and mortality. It can be related to an intrinsic abnormality of the
tricuspid valve leaflets (organic) or secondary to annular dilatation
(functional). Often organic and functional TR coexist in the same patient. A long
standing TR is associated with ascites, congestive hepatopathy, peripheral edema,
renal failure, and abdominal fullness which significantly affect the outcome. In
particular, the perioperative course may be complicated due to both the presence
of comorbidities and the development of a severe postoperative right ventricle
(RV) dysfunction. In fact, the TR may conceal a preoperative RV dysfunction due
to a backflow in in the right atrium, which becomes overt only after the
tricuspid valve (TV) repair/replacement (afterload mismatch). In light of this,
an appropriate medical treatment before surgery may improve the performance of
the RV, maximizing the result of the elective surgical therapy. The perioperative
optimization should reduce the right atrial and ventricle overload, decrease the
pulmonary vascular resistances, improve RV contractility and treat aggressively
the arrhythmias. In doing so, the following rules should be considered: careful
fluid administration, beta1-agonists favored over alpha-agonists to treat
hemodynamic instability, and maintenance of a normal-to-elevated heart rate.
Since the TV repair/replacement needs mechanical ventilation in both open and
percutaneous surgery, a careful volume and pharmacological management should be
adopted to counteract the detrimental effect of the mechanical ventilation on the
top of an already dysfunctional RV. In fact, in the context of RV failure the
cardiac output is strictly dependent on the preload and the increase of the
intrathoracic pressure, reducing the venous return, may lead to acute heart
failure. The intraoperative administration of volume and vasoactive drugs,
titrated on the basis of the transesophageal echocardiography, permit to support
the RV intraoperatively. Paracorporeal mechanical hemodynamic support should be
always available as "bail out" in the event of intractable RV failure. In
conclusion the patients undergoing TV surgery are complex and only a detailed
anesthesiologic and surgical workup may decrease the perioperative mortality and
morbidity.
PMID- 29642697
TI - Bioaccumulation of Persistent Halogenated Organic Pollutants in Insects: Common
Alterations to the Pollutant Pattern for Different Insects during Metamorphosis.
AB - Few studies have examined the accumulation and fate of persistent halogenated
organic pollutants (HOPs) in insects. We measured HOPs, including
dichlorodiphenyltrichloroethanes (DDTs), polychlorinated biphenyls, and
halogenated flame retardants, in insects from four taxonomic groups collected
from an e-waste site. Dragonfly larvae collected from a pond contained the
highest concentrations of all chemicals except DDTs, while the litchi stinkbugs
contained the lowest. Different insect taxa exhibited different contaminant
patterns which could be attributed to their habitats and feeding strategies.
Bioaccumulation factors for dragonfly larvae and biomagnification factors for
moth and grasshopper larvae were significantly positively correlated with the
octanol-water partition coefficient of the chemicals (log KOW < 8). Common
nonlinear correlations between the ratio of larval to adult concentrations and
log KOW were observed for all taxa studied. The ratio of concentrations decreased
with increasing values of log KOW (log KOW < 6-6.5), then increased (6 < log KOW
< 8) and decreased again (log KOW > 8). This result implies that the mechanism
that regulates organic pollutants in insects during metamorphosis is common to
all the taxa studied.
PMID- 29642698
TI - Single-Molecule Test for Markovianity of the Dynamics along a Reaction
Coordinate.
AB - In an effort to answer the much-debated question of whether the time evolution of
common experimental observables can be described as one-dimensional diffusion in
the potential of mean force, we propose a simple criterion that allows one to
test whether the Markov assumption is applicable to a single-molecule trajectory
x( t). This test does not involve fitting of the data to any presupposed model
and can be applied to experimental data with relatively low temporal resolution.
PMID- 29642699
TI - Measuring Interfacial Tension of Emulsions in Situ by Microfluidics.
AB - Interfacial tension is a key parameter affecting industrially relevant properties
of emulsions, such as morphology and stability. Although several methods are
available to measure interfacial tension, they are based on generation of
droplets starting from separate emulsion components and cannot directly probe the
interfacial tension of an emulsion as such. Here, a novel microfluidic
tensiometry device to measure interfacial tension of a water-in-oil emulsion in
situ as a function of surfactant concentration is presented. In our approach,
interfacial tension is obtained from a quantitative analysis of the deformation
of individual emulsion droplets under steady state shear flow in microfluidic
channels. The technique is validated by comparing the results with experimental
data obtained by the pendant drop method in a broad range of interfacial tension
values. A very good agreement is found, and an estimate of the surfactant
critical micellar concentration (CMC) is also obtained. The proposed microfluidic
setup can be used even at high surfactant concentrations, where the measurement
is made more challenging by sample viscoelasticity, thus providing a powerful
tool to determine the interfacial tension of complex systems in an extended
concentration range. The technique could be also used for in-line monitoring of
emulsion processing.
PMID- 29642700
TI - Pressure-Induced Topological Phase Transitions in CdGeSb2 and CdSnSb2.
AB - Using first-principles calculations, we study the occurrence of topological
quantum phase transitions (TQPTs) as a function of hydrostatic pressure in
CdGeSb2 and CdSnSb2 chalcopyrites. At ambient pressure, both materials are
topological insulators, having a finite band gap with inverted order of Sb-s and
Sb-p x,p y orbitals of valence bands at the Gamma point. Under hydrostatic
pressure, the band gap reduces, and at the critical point of the phase
transition, these materials turn into Dirac semimetals. Upon further increasing
the pressure beyond the critical point, the band inversion is reverted, making
them trivial insulators. This transition is also captured by the Luttinger model
Hamiltonian, which demonstrates the critical role played by pressure-induced
anisotropy in frontier bands in driving the phase transitions. These theoretical
findings of peculiar coexistence of multiple topological phases provide a
realistic and promising platform for experimental realization of the TQPTs.
PMID- 29642701
TI - Critical Role of Water in Defect Aggregation and Chemical Degradation of
Perovskite Solar Cells.
AB - The chemical stability of methylammonium lead iodide (MAPbI3) under humid
conditions remains the primary challenge facing halide perovskite solar cells. We
investigate defect processes in the water-intercalated iodide perovskite
(MAPbI3_H2O) and monohydrated phase (MAPbI3.H2O) within a first-principles
thermodynamic framework. We consider the formation energies of isolated and
aggregated vacancy defects with different charge states under I-rich and I-poor
conditions. It is found that a PbI2 (partial Schottky) vacancy complex can be
formed readily, while the MAI vacancy complex is difficult to form in the hydrous
compounds. Vacancies in the hydrous phases create deep charge transition levels,
indicating the degradation of the lead halide perovskite upon exposure to
moisture. Electronic structure analysis supports a mechanism of water-mediated
vacancy pair formation.
PMID- 29642702
TI - G-Quadruplex DNA with an Apurinic Site as a Soft Molecularly Imprinted Sensing
Platform.
AB - Molecularly imprinted polymers (MIPs) provide versatile sensor platforms to
recognize targets by shape complementarity. However, the rigid structure of the
classic MIPs compromises the signal transduction with necessary polymer and
target modifications. Herein, we tried to use a flexible DNA that has a perfectly
structured folding as the soft molecularly imprinted polymer (SMIP) for a
straightforward sensor. As a proof of concept, the guanosine SMIP recognition was
achieved by removal of a guanosine from a G-quadruplex-forming sequence (G4). The
G4 folding structure with such an apurinic site (AP site) provides a well-defined
MIP binding accommodation for guanosine according to the shape complementarity.
The guanosine binding at the AP site subsequently leads to a conformation change
suitable for remote readout using a G4-specific fluorescent ligand. The G4
sequence and AP site position were optimized for this SMIP behavior. Due to the
G4 compact structure and the remaining hydrogen bonding pattern, nucleosides
other than guanosine and negatively charged nucleotides exhibit no binding with
the AP site, suggesting a high selectivity in the SMIP recognition. The proposed
rationale was then convinced by the alkaline phosphatase-catalyzed GMP
hydrolysis. Our work will inspire more interest in exploring nucleic acids as the
SMIP frameworks due to their variant conformations and well-established molecular
engineering.
PMID- 29642703
TI - Hydrogen Bond-Regulated Boron Nitride Network Structures for Improved Thermal
Conductive Property of Polyamide-imide Composites.
AB - Highly thermal conductive polymer composites with minimized content of fillers
are desirable for handling the issue in thermal management in modern electronics.
However, the difficulty of filler dispersion restricts the heat dissipation
performance of thermoplastic composites and the intermolecular interaction is
another crucial factor in this problem. In the present study, the hydrogen bond
was used to regulate the formation of the three-dimensional boron nitride (3D BN)
interconnected network to act as a high thermal conductive network in
thermoplastic polyamide-imide (PAI) materials. The prepared electrical insulated
PAI/3D-BN composites have a thermal conductivity (TC) of 1.17 W.m-1.K-1 at a low
BN loading of 4 wt %/2 vol % and exhibit a thermal conductivity enhancement of
409%. We attribute the increased TC to the construction of 3D BN interconnected
network and the hydrogen bond regulated between hydroxylated BN and polyvinyl
alcohol, in which an effective thermal conductive network is constructed. This
study provides a guided hydrogen bond strategy for thermally conductive polymer
composites with good mechanical and electrical insulation properties in thermal
management and other applications.
PMID- 29642704
TI - Selective, Scalable Synthesis of C60-Fullerene/Indene Monoadducts Using a
Microwave Flow Applicator.
AB - The synergy of continuous processing and microwave heating technologies has
unlocked scalable (g/h), safe and efficient reaction conditions for synthesis of
fullerene/indene-based organic photovoltaic acceptor materials in a
nonchlorinated solvent with levels of productivity unparalleled by previous
syntheses. The microwave flow reactor sustains high temperature while employing
short residence times, reaction conditions which uniquely allow the selective
synthesis of fullerene/indene monoadducts. Design of experiments analysis
revealed residence time as the most crucial factor for conversion and selectivity
control.
PMID- 29642705
TI - Durian-Shaped CdS@ZnSe Core@Mesoporous-Shell Nanoparticles for Enhanced and
Sustainable Photocatalytic Hydrogen Evolution.
AB - In artificial photosynthesis, the establishment of design guidelines for
nanostructures to maximize the photocatalytic performance remains a great
challenge. In contrast with the intense research into band-offset tuning for
photocatalysts, the relationship between nanostructures and photoinduced carrier
dynamics has still been insufficiently explored. We synthesized durian-shaped
CdS@ZnSe core@mesporous-shell nanoparticles ( d-CdS/ZnSe NPs) and investigated
the carrier dynamics in photocatalytic hydrogen evolution. The cocatalyst-free d
CdS/ZnSe NPs exhibited high photocatalytic activity for H2 evolution (14.8%
apparent quantum yield at 420 nm) and excellent stability (maintaining 80%
activity after 72 h) under visible-light irradiation (>422 nm). The transient
absorption measurement and flash photolysis time-resolved microwave conductivity
unveiled that the ultra-long-lived charge separation (>6.2 ms) and swift hole
transfer to the surfaces of ZnSe shell (11 ns) contribute the high catalytic
activity and stability. The present work provides a novel insight into designing
nanoparticulate photocatalysts with optimized performance.
PMID- 29642706
TI - What Is Neglect? State Legal Definitions in the United States.
AB - Neglect is the most common form of reported child maltreatment in the United
States with 75.3% of confirmed child maltreatment victims in 2015 neglected.
Despite constituting the majority of reported child maltreatment cases and
victims, neglect still lacks a standard definition. In the United States,
congruent with the pervasiveness of law in child welfare systems, every state and
the District of Columbia has its own statutory definition of neglect. This study
used content analysis to compare state legal statutory definitions with the
Fourth National Incidence Survey (NIS-4) operationalization of neglect. The
resulting data set was then analyzed using cluster analysis, resulting in the
identification of three distinct groups of states based on how they define
neglect: minimal, cornerstones, and expanded. The states' definitions incorporate
few of the NIS-4 components. Practice and policy implications of these
constructions of neglect definitions are discussed.
PMID- 29642707
TI - An integrated motivational interviewing and cognitive-behavioural intervention
promoting physical activity maintenance for adults with chronic health
conditions: A feasibility study.
AB - Objectives Physical activity is recommended for managing chronic health
conditions but is rarely maintained. This feasibility study aimed to evaluate the
preliminary efficacy of a motivational interviewing and cognitive-behavioural
intervention for long-term physical activity for adults with chronic health
conditions. Methods Participants ( N = 37) with stable conditions (e.g. diabetes)
were randomized into a three-month motivational interviewing and cognitive
behavioural group ( N = 20) or usual care ( N = 17) after completing a physical
activity referral scheme. Participants completed physical activity (e.g. average
steps per day and kilocalorie expenditure), psychological (e.g. self-efficacy)
and epidemiological (e.g. body mass index) standardized measures at baseline,
three- and six-month follow-up. Treatment fidelity and feasibility were assessed.
Results Thirty-five participants completed the study (96% retention). The
motivational interviewing and cognitive-behavioural group maintained kilocalorie
expenditure at three ( p = 0.009) and six months ( p = 0.009). Exercise barrier
self-efficacy ( p = 0.03), physical ( p = 0.02) and psychological ( p = 0.01)
physical activity experiences were increased at three months only. No difference
was found for average steps/day, social support, coping skills and
epidemiological factors. Discussion This is the first study to demonstrate the
feasibility and preliminary efficacy of motivational interviewing and cognitive
behavioural interventions for promoting physical activity maintenance in a
clinical population. A large-scale trial with a longer follow-up (>=6 months) is
warranted with treatment fidelity assessment.
PMID- 29642708
TI - Coronary Artery Development: Origin, Malformations, and Translational Vascular
Reparative Therapy.
AB - After thickening of the cardiac chamber walls during embryogenesis, oxygen and
nutrients can no longer be adequately supplied to cardiac cells via passive
diffusion; therefore, a primitive vascular network develops to supply these vital
structures. This plexus further matures into coronary arteries and veins, which
ensures continued development of the heart. Various models have been proposed to
account for the growth of the coronary arteries. However, lineage-tracing studies
in the last decade have identified 3 major sources, namely, the proepicardium,
the sinus venosus, and endocardium. Although the exact contribution of each
source remains unknown, the emerging model depicts alternative pathways and
progenitor cells, which ensure successful coronary angiogenesis. We aim to
explore the current trends in coronary artery development, the cellular and
molecular signals regulating heart vascularization, and its implications for
heart disease and vascular regeneration.
PMID- 29642709
TI - Thromboembolism and Mortality in the Tasmanian Atrial Fibrillation Study.
AB - BACKGROUND: Although utilization of anticoagulation in patients with atrial
fibrillation (AF) has increased in recent years, contemporary data regarding
thromboembolism and mortality incidence rates are limited outside of clinical
trials. This study aimed to investigate the impact of the direct oral
anticoagulants (DOACs) on the clinical outcomes of patients with AF included in
the Tasmanian Atrial Fibrillation Study. METHODS: The medical records of all
patients with a primary or secondary diagnosis of AF who presented to public
hospitals in Tasmania, Australia, between 2011 and 2015, were retrospectively
reviewed. We investigated overall thromboembolic events (TEs), ischemic
stroke/transient ischemic attack (IS/TIA), and mortality incidence rates in
patients admitted to the Royal Hobart Hospital, the main teaching hospital in the
state. We compared outcomes in 2 time periods: prior to the availability of DOACs
(pre-DOAC; 2011 to mid-2013) and following their general availability after
government subsidization (post-DOAC; mid-2013 to 2015). RESULTS: Of the 2390
patients with AF admitted during the overall study period, 942 patients newly
prescribed an antithrombotic medication (465 and 477 from the pre-DOAC and post
DOAC time periods, respectively) were followed. We observed a significant
decrease in the incidence rates of overall TE (3.2 vs 1.7 per 100 patient-years
[PY]; P < .001) and IS/TIA (2.1 vs 1.3 per 100 PY; P = .022) in the post-DOAC
compared to the pre-DOAC period. All-cause mortality was significantly lower in
the post-DOAC period (2.9 vs 2.2 per 100 PY, P = .028). Increasing age, prior
stroke, and admission in the pre-DOAC era were all risk factors for TE, IS/TIA,
and mortality in this study population. The risk of IS/TIA was more than doubled
(hazard ratio: 2.54; 95% confidence interval: 1.17-5.52) in current smokers
compared to ex- and nonsmokers. CONCLUSION: Thromboembolic event and all-cause
mortality rates were lower following the widespread availability of DOACs in this
population.
PMID- 29642710
TI - Teaching Residents How to Talk About Death and Dying: A Mixed-Methods Analysis of
Barriers and Randomized Educational Intervention.
AB - OBJECTIVES: We present a pilot study exploring the effects of a brief, 30-minute
educational intervention targeting resident communication surrounding dying in
the intensive care unit (ICU). We sought to determine whether simulation or
didactic educational interventions improved resident-reported comfort,
preparation, and skill acquisition. We also sought to identify resident barriers
to using the word "dying." METHODS: In this mixed-methods prospective study,
second- and third-year medical residents were randomized to participate in a
simulation-based communication training or a didactic session. Residents
completed a pre-post survey after the sessions evaluating the sessions and
reflecting on their use of the word "dying" in family meetings. RESULTS: Forty
five residents participated in the study. Residents reported increases in comfort
(Mean [M]-pre = 3.3 [standard deviation: 0.6], M-post = 3.7 [0.7]; P < .01, Cohen
d = 0.75) and preparation (M-pre = 3.4 [0.7], M-post = 3.9 [0.6]; P < .01, d =
1.07) using the word "dying" after both the simulation and didactic versions.
Residents randomized to the simulation reported they were more likely to have
learned new skills as compared to residents in the didactic (M-simulation = 2.2
[0.4], M-didactic = 1.9 [0.3]; P = .015, d = 0.80). They estimated that they used
the word "dying" in 50% of their end-of-life (EOL) conversations and identified
uncertain prognosis as the main barrier to explicitly stating the word "dying."
CONCLUSION: A 30-minute educational intervention improves internal medicine
residents' self-reported comfort and preparation in talking about death and dying
in the ICU. Residents in simulation-based training were more likely to report
they learned new skills as compared to the didactic session. Residents report
multiple barriers to using the word "dying" EOL conversations.
PMID- 29642711
TI - Recent advances in inhibitors of sirtuin1/2: an update and perspective.
AB - Sirtuins (SIRT) are coenzyme NAD+-dependent histone deacetylases for the transfer
of modified acetyl groups. Sirtuins are widely involved in various physiological
processes and therefore associated with cardiovascular disease, diabetes,
Parkinson's disease, cancer and beyond. Consequently, the development of
modulators for sirtuins has considerable clinical value. To date, a variety of
SIRT1/2 inhibitors have been reported and none has been approved for the market.
This review summarizes the recent progress in the discovery and development of
SIRT1/2 inhibitors including their inhibitory potency, structure-activity
relationship and binding mode analysis as well as discusses the perspective for
the future development of SIRT1/2 inhibitors.
PMID- 29642712
TI - Methodological advances, opportunities, and challenges in AAC research.
AB - Since its inception in 1985, the AAC journal has been publishing scientific
articles related to the field of augmentative and alternative communication (AAC)
that (a) report research concerning assessment, treatment, rehabilitation, and
education of people who use or have the potential to use AAC systems and (b)
cover theory, technology, and systems development relevant to AAC. The journal
has maintained a consistent focus on the science and practice of AAC while also
advancing in varied and impressive ways. Among the many developments apparent in
AAC over the years, methodological advancements emerge as pivotal within the
evolution of the science of AAC. This report examines the state of the science in
behavioral AAC research with specific regard to changes and opportunities in
research methodology. Illustrations from articles published in Volume 1 (1985)
and Volume 32 (2016) of AAC are used in this paper to frame commentary on (a)
contextual consideration in conducting AAC research, (b) types of research
design, (c) considerations of procedural rigor, and (d) future methodological
directions and resources. If the AAC field is to meet the goal of ensuring that
all individuals with complex communication needs achieve their full potential,
meaningful questions must be posed to address key problems, and rigorous
scientific methods must be employed to answer these questions.
PMID- 29642713
TI - House Dust Mite Sublingual Immunotherapy for Pediatric Patients With Allergic
Asthma.
AB - OBJECTIVE: To review the published literature evaluating administration of house
dust mite (HDM) sublingual immunotherapy (SLIT) in pediatric patients with
allergic asthma. DATA SOURCES: PubMed database search (1966 to November 2017)
using the search terms allergy, allergic rhinitis, asthma, allergic asthma, house
dust mite, allergen immunotherapy, subcutaneous immunotherapy, sublingual
immunotherapy, MK-8237, children, and pediatric. Package labeling and references
from identified articles were also reviewed. STUDY SELECTION AND DATA EXTRACTION:
Studies published in English evaluating the efficacy of HDM SLIT in children <18
years of age were included. DATA SYNTHESIS: Patients with allergic asthma who
fail to improve with pharmacotherapy may require disease-modifying, HDM-specific
immunotherapy. Acceptance of subcutaneous immunotherapy (SCIT) in the pediatric
population is limited by the need for weekly injections and the risk of systemic
adverse effects. Trials in pediatric patients with allergic rhinitis and asthma
monosensitized to HDM demonstrated mixed results, likely because of variability
in methodologies. SLIT reduced asthma symptoms in 8 placebo-controlled studies;
however, asthma medication use was reduced in just 4 trials. Compared with
pharmacotherapy alone, SLIT and SCIT decreased asthma symptom scores similarly
for up to 3 years. Sequential SCIT/SLIT decreased both asthma symptoms and
medication scores and was more effective than SLIT alone. CONCLUSIONS: Sublingual
HDM immunotherapy reduces symptom scores in pediatric patients with allergic
asthma but may be slower in onset and less effective than SCIT in reducing asthma
medication use.
PMID- 29642714
TI - Study of the Determinants of Chronic Malnutrition in Northern Nigeria:
Quantitative Evidence from the Nigeria Demographic and Health Surveys
International Food Policy Research Institute (IFPRI) Working Paper 45 (September
2017).
AB - BACKGROUND: Close to half of all children younger than 5 years in the Northeast
and Northwest geopolitical zones were estimated to be stunted in their growth for
their age in 2013 compared to 22% of children in the rest of Nigeria. OBJECTIVES:
We examine the drivers of chronic child undernutrition in northern Nigeria and
how those drivers differ from other areas of the country. METHODS: Both a
standard child-level regression-based approach and decomposition analysis were
used to address the determinants of stunting and decompose how drivers differ
between northern Nigeria and other areas of the country using 2008 and 2013
Nigeria DHS data. RESULTS: There are strong differences in the levels of the
determinants of undernutrition in young children between the 2 parts of the
country. However, equally important, the decomposition analysis shows that there
are significant differences between northern Nigeria and other areas of Nigeria
in the effect of the same determinant of nutritional status in accelerating or
retarding the linear growth of young children. CONCLUSIONS: A national program to
address child undernutrition must recognize this heterogeneity in its design. To
impose across Nigeria, a single set of approaches to address the factors which
results in stunted children is likely to fail for large numbers of children if
these strong geographical differences in how these determinants operate to affect
child nutritional status are not considered. Solutions need to be developed
within northern Nigeria to more closely reflect the way the determinants of
nutritional status operate in this area of the country.
PMID- 29642715
TI - Effects of dynamic text in an AAC app on sight word reading for individuals with
autism spectrum disorder.
AB - The purpose of this study was to investigate the effects of Transition to
Literacy (T2L) software features (i.e., dynamic text and speech output upon
selection of a graphic symbol) within a grid display in an augmentative and
alternative communication (AAC) app, on the sight word reading skills of
individuals with autism spectrum disorders (ASD) and complex communication needs.
The study implemented a single-subject multiple probe research design across one
set of three participants. The same design was utilized with an additional set of
two participants. As part of the intervention, the participants were exposed to
an AAC app with the T2L features during a highly structured matching task. With
only limited exposure to the features, the five participants all demonstrated
increased accuracy of identification of 12 targeted sight words. This study
provides preliminary evidence that redesigning AAC apps to include the provision
of dynamic text combined with speech output, can positively impact the sight-word
reading of participants during a structured task. This adaptation in AAC system
design could be used to complement literacy instruction and to potentially infuse
components of literacy learning into daily communication.
PMID- 29642716
TI - Thematic analysis of blog narratives written by people with Alzheimer's disease
and other dementias and care partners.
AB - Limited research takes a socio-biographical approach to study the experiences and
perspectives of individuals affected by Alzheimer's disease and related
dementias. The purpose of this study was to thematically analyze blog narratives
written by people with Alzheimer's disease and related dementia and care partners
in order to increase understanding of their experiences. Nineteen blogs written
by people with Alzheimer's disease and related dementia and 44 blogs written by
care partners were analyzed. The first two authors utilized line-by-line open
coding to analyze five posts from each group for the development of a codebook.
Using NVivo software, the first author proceeded to code the remaining blogs for
emergent themes and subcategories. Emergent themes included (1) effects of
Alzheimer's disease and related dementia on the person with Alzheimer's disease
and related dementia and/or the care partner; (2) seeing the positives; (3)
feeling out of control; (4) advocacy and empowerment; (5) coping mechanisms and
compensatory strategies; and (6) candid descriptions of experiences with
Alzheimer's disease and related dementia. These themes also encompassed numerous
subcategories that are discussed in this paper. Results from this study provide
insights into the experiences of individuals affected by Alzheimer's disease and
related dementia. Writers discussed several topics that are consistent with
research on illness narratives of individuals with chronic diseases, including
loss of identity, strategies for coping, and poignant descriptions of life with
the disease. This study provides information in the form of overlapping themes
from first-person perspectives of numerous individuals affected by Alzheimer's
disease and related dementia. This type of data is crucial to understand the
experiences of people who live with ADRD.
PMID- 29642717
TI - Optimizing Prediction Using Bayesian Model Averaging: Examples Using Large-Scale
Educational Assessments.
AB - This article provides a review of Bayesian model averaging as a means of
optimizing the predictive performance of common statistical models applied to
large-scale educational assessments. The Bayesian framework recognizes that in
addition to parameter uncertainty, there is uncertainty in the choice of models
themselves. A Bayesian approach to addressing the problem of model uncertainty is
the method of Bayesian model averaging. Bayesian model averaging searches the
space of possible models for a set of submodels that satisfy certain scientific
principles and then averages the coefficients across these submodels weighted by
each model's posterior model probability (PMP). Using the weighted coefficients
for prediction has been shown to yield optimal predictive performance according
to certain scoring rules. We demonstrate the utility of Bayesian model averaging
for prediction in education research with three examples: Bayesian regression
analysis, Bayesian logistic regression, and a recently developed approach for
Bayesian structural equation modeling. In each case, the model-averaged estimates
are shown to yield better prediction of the outcome of interest than any submodel
based on predictive coverage and the log-score rule. Implications for the design
of large-scale assessments when the goal is optimal prediction in a policy
context are discussed.
PMID- 29642718
TI - Psychotropic Pharmacotherapy Associated With QT Prolongation Among Veterans With
Posttraumatic Stress Disorder.
AB - BACKGROUND: In 2012, the Food and Drug Administration issued Drug Safety
Communications on several drugs associated with QT prolongation and fatal
ventricular arrhythmias. Among these was citalopram, a selective serotonin
reuptake inhibitor (SSRI) approved for depression and commonly used for
posttraumatic stress disorder (PTSD). Evaluation of the risk for QT prolongation
among other psychotropic drugs for individuals with PTSD remains limited.
OBJECTIVE: Explore psychotropic drugs associated with QT prolongation among
veterans with PTSD. METHODS: Patients in the Veterans Health Administration in
2006-2009 with PTSD and QT prolongation (176 cases) were matched 1:4 on age,
gender, visit date and setting, and physical comorbidity. Classification trees
assessed QT prolongation risk among prescribed medications (n=880). RESULTS:
Receipt of any drug with known risk of QT prolongation varied by group (23% QT
cases vs 15% control, p<0.01). Psychotropic medications conferring significant
risks included ziprasidone (3% vs 1%, p=0.02) and buspirone (6% vs 2%, p=0.01).
Increased risk was not observed for the SSRIs, citalopram and fluoxetine.
Classification trees found that sotalol and amitriptyline carried greater risk
among cardiac patients and methadone, especially if prescribed with quetiapine,
among noncardiac patients. Per adjusted survival model, patients with QT
prolongation were at increased risk for death (hazard ratio=1.60; 95% CI=1.04
2.44). CONCLUSIONS: Decision models are particularly advantageous when exploring
nonlinear relationships or nonadditive interactions. These findings may
potentially affect clinical decision-making concerning treatment for PTSD. For
patients at higher risk of QT prolongation, antidepressants other than
amitriptyline should be considered. Medications for comorbid conditions should
also be closely monitored for heightened QT prolongation risk.
PMID- 29642719
TI - Impact of Pharmacist-Physician Collaboration on Osteoporosis Treatment Rates.
AB - BACKGROUND: The vast majority of women at high risk for osteoporotic fractures
are not treated, despite known significant clinical and economic consequences of
this prevalent condition. To date, this is the first study of this size and
duration to examine the role of pharmacists in management of osteoporosis in a
family medicine clinic. OBJECTIVE: To compare the initiation or continuation of
prescription antifracture therapy in high-risk patients with collaborative
pharmacist-physician to physician-only management; secondarily, to evaluate
recommendation rates for antifracture therapy and calcium and vitamin D. METHODS:
This retrospective cohort analysis included women older than 65 years with a dual
energy X-ray absorptiometry (DXA) scan ordered by a family medicine physician.
High risk was defined as T-scores <=-2.5 at the lumbar spine, femoral neck, or
33% radius, or a FRAX 10-year fracture risk score >=20% for major osteoporosis
related or >=3% for hip fractures. RESULTS: There were 466 (311 high-risk)
pharmacist-physician and 549 (237 high-risk) physician-managed DXAs included. For
high-risk DXAs, collaborative management resulted in increased rates of receiving
antifracture therapy prescriptions over physician-only management (66% vs 34%, P
< 0.001), advisement for antifracture therapy (87% vs 32%, P < 0.001), and
calcium and vitamin D (97% vs 45%, P < 0.001). Collaborative management also
improved calcium and vitamin D advisement among all DXAs (96% vs 46%, P < 0.01).
There was no difference in adverse events documented in the pharmacist-physician
compared with physician-only management (7.2% vs 3.7%, P = 0.32). Conclusion and
Relevance: Pharmacist-physician collaboration is associated with higher treatment
rates of osteoporosis. This study supports the pharmacist-physician partnership
as one method of improving osteoporosis management.
PMID- 29642720
TI - Use of Potentially Inappropriate Medications in People With Dementia in Vietnam
and Its Associated Factors.
AB - This study examined the use of potentially inappropriate medicines that may
affect cognition (PIMcog) in people with dementia and its associated factors.
Medical records of all outpatients with dementia attending a tertiary hospital in
Vietnam between January 1, 2015, and December 31, 2016, were examined. Medicine
use was assessed against a list of PIMcog. Variables associated with having a
PIMcog were assessed using a multiple logistic regression. Of the 128 patients,
41% used a PIMcog, 39.1% used cholinesterase inhibitors (CEIs) concomitantly with
anticholinergics, and 18% used antipsychotics. The number of hospital visits
(adjusted odds ratio [OR]: 1.08; 95% confidence interval [CI]: 1.02-1.16) and
number of treating specialists (adjusted OR: 0.61; 95% CI: 0.45-0.83) were
associated with PIMcog use. This study highlights a high-level use of medicines
that can further impair cognition or reduce the effectiveness of CEIs in people
with dementia. Efforts to improve quality use of medicines for this population
are warranted.
PMID- 29642721
TI - Placing your faith on the betting floor: Religiosity predicts disordered gambling
via gambling fallacies.
AB - Background and aims We examined the potential role religious beliefs may play in
disordered gambling. Specifically, we tested the idea that religiosity primes
people to place their faith in good fortune or a higher power. In the context of
gambling, however, this may lead to gambling fallacies (e.g., erroneous beliefs
that one has control over a random outcome). People who are high in religiosity
may be more at risk of developing gambling fallacies, as they may believe that a
higher power can influence a game of chance. Thus, this research investigated the
relationship between religiosity and gambling problems and whether gambling
fallacies mediated this relationship. Methods In Study 1, we recruited an online
sample from Amazon's Mechanical Turk to complete measures that assessed the
central constructs (religiosity, disordered gambling, and gambling fallacies). In
Study 2, we conducted a secondary analysis of a large data set of representative
adults (N = 4,121) from a Canadian province, which contained measures that
assessed the constructs of interest. Results In Study 1, religiosity
significantly predicted gambling problem. Conversely, there was no direct
relationship between religiosity and gambling in Study 2. Importantly, a
significant indirect effect of religiosity on disordered gambling severity
through gambling fallacies was found in both studies, thus establishing
mediation. The results remained the same when controlling for age, gender,
ethnicity, and socioeconomic status for both studies. Discussion and conclusion
These findings suggest religiosity and its propensity to be associated with
gambling fallacies, which should be considered in the progression (and possibly
treatment) of gambling.
PMID- 29642722
TI - The personality, motivational, and need-based background of problematic Tinder
use.
AB - Background and aims Tinder is a geo-located online dating application, which is
present in almost 200 countries and has 10 million daily users. The aim of the
present research was to investigate the motivational, personality, and basic
psychological need-related background of problematic Tinder use. Methods After
qualitative pretest and item construction, in Study 1 (N = 414), confirmatory
factor analysis was conducted to corroborate the different motivational factors
behind Tinder use. In Study 2 (N = 346), the associations between Big Five
traits, Tinder motivations, and problematic Tinder use were examined with
structural equation modeling (SEM). In Study 3 (N = 298), the potential role of
general self-esteem, relatedness need satisfaction, and frustration in relation
to Tinder-use motivations and problematic Tinder use was examined with SEM.
Results In Study 1, a 16-item first-order factor structure was identified with
four motivational factors, such as sex, love, self-esteem enhancement, and
boredom. In Study 2, problematic Tinder use was mainly related to using Tinder
for self-esteem enhancement. The Big Five personality factors were only weakly
related to the four motivations and to problematic Tinder use.
Counterintuitively, Study 3 showed that instead of global self-esteem,
relatedness need frustration was the strongest predictor of self-esteem
enhancement Tinder-use motivation which, in turn, was the strongest predictor of
problematic Tinder use. Discussion Four motivational factors were identified as
predictors of problematic use with need frustration being a relevant background
variable instead of general personality traits.
PMID- 29642724
TI - Foley catheter with simultaneous oxytocin on labor induction: a meta-analysis of
randomized controlled trials.
AB - OBJECTIVE: It is unclear that whether Foley catheter with simultaneous oxytocin
could improve the efficacy of induction outcome. METHOD: To conduct a meta
analysis of randomized controlled trial (RCT) studies to evaluate the effect of
Foley catheter with simultaneous oxytocin on labor induction. PubMed, Embase, and
other databases were searched from their inception to July 2017. We included all
RCTs comparing Foley catheter with simultaneous oxytocin (i.e. intervention
group) with Foley catheter followed by oxytocin (i.e. control group) in the three
kinds of women (nulliparas and multiparas/only nulliparas/only multiparas). We
estimate summarized relative risk (RR) and 95% confidence intervals (CIs) for
dichotomous outcomes, standard mean difference for continuous outcomes. Fixed-
and random-effects models were used, depending on heterogeneity. RESULTS: After
application of our inclusion and exclusion criteria, six RCTs with a total of
1133 participants were identified. We found that only nulliparas had significant
RR of delivery within 24 h (RR = 1.32, 95% CI: 1.12, 1.55, I2 = 46.5%).
Meanwhile, there was no statistically significant difference between intervention
and control groups in vaginal delivery in 24 h, cesarean delivery, time to
delivery, and Apgar score at 5 min less than 7. Foley catheter with simultaneous
oxytocin did not increase the risk of side effects, included chorioamnionitis,
postpartum hemorrhage, uterine hyperstimulation, and neonatal intensive care unit
admission. CONCLUSION: The results seem to support the use of oxytocin to a Foley
catheter at the initiation of labor induction, as it might lead to increases the
rate of delivery within 24 h in nulliparas.
PMID- 29642723
TI - Impulsivity traits and addiction-related behaviors in youth.
AB - Background and aims Impulsivity is a risk factor for addictive behaviors. The
UPPS-P impulsivity model has been associated with substance addiction and
gambling disorder, but its role in other non-substance addiction-related
behaviors is less understood. We sought to examine associations between UPPS-P
impulsivity traits and indicators of multiple substance and non-substance
addiction-related behaviors in youth with varying involvement in these behaviors.
Methods Participants (N = 109, aged 16-26 years, 69% males) were selected from a
national survey based on their level of externalizing problems to achieve a broad
distribution of involvement in addiction-related behaviors. Participants
completed the UPPS-P Questionnaire and standardized questionnaires assessing
problematic use of substances (alcohol, cannabis, and other drugs) and non
substances (Internet gaming, pornography, and food). Regression analyses were
used to assess associations between impulsivity traits and indicators of
addiction-related behaviors. Results The UPPS-P model was positively associated
with indicators of all addiction-related behaviors except problematic Internet
gaming. In the fully adjusted models, sensation seeking and lack of perseverance
were associated with problematic use of alcohol, urgency was associated with
problematic use of cannabis, and lack of perseverance was associated with
problematic use of other drugs than cannabis. Furthermore, urgency and lack of
perseverance were associated with binge eating and lack of perseverance was
associated with problematic use of pornography. Discussion and conclusions We
emphasize the role of trait impulsivity across multiple addiction-related
behaviors. Our findings in at-risk youth highlight urgency and lack of
perseverance as potential predictors for the development of addictions and as
potential preventative therapeutic targets.
PMID- 29642725
TI - Comparison of methods to estimate haemodialysis urea clearance.
AB - INTRODUCTION: Dialysis adequacy is traditionally measured by monthly blood urea
sampling and calculating sessional Kt/Vurea. Modern dialysis machines can
estimate clearances each session, so we wished to compare online measurements
with standard Kt/Vurea. METHODS: Urea clearance was estimated by intermittent
changes in effective ionic dialysance and by continuous ultraviolet light
absorption spent during the mid-week dialysis session. Total body water was
calculated by the Watson equation and measured by multifrequency bioimpedance.
RESULTS: We compared Kt/Vurea measurements in 162 patients with online
assessments: 38 by ultraviolet absorption and 124 by effective ionic dialysance
(50 Fresenius 4008 and 74 Fresenius 5008). All online measurements overestimated
single-pool Kt/Vurea (ultraviolet absorption mean bias 0.25 +/- 0.24, effective
ionic dialysance 4008H 0.25 +/- 0.21 and 5008H 0.20 +/- 0.25; p < 0.001).
However, there was no difference between dual-pool Kt/V and ultraviolet
absorbance (1.28 +/- 0.26 vs 1.29 +/- 0.27) or by effective ionic dialysance with
the 4008 (1.40 +/- 0.26 vs 1.46 +/- 0.33), although the effective ionic
dialysance 5008 overestimated clearance (1.39 +/- 0.27 vs 1.31 +/- 0.22; p <
0.01). Similarly, with dual-pool Kt/Vurea, the mean bias for ultraviolet
absorption was 0.08 +/- 0.35, for effective ionic dialysance (EID) 4008 was 0.13
+/- 0.55 and for EID 5008 was -0.2 +/- 0.36. Hence, the mean bias was greater
with the EID 5008 compared to ultraviolet absorption (0.08 +/- 0.35 vs -0.2 +/-
0.36 vs p < 0.01). CONCLUSIONS: Online measurements allow dialysis adequacy to be
measured every session. We found that although online clearances overestimated
single-pool Kt/Vurea measurements, there were no significant differences between
the continuous ultraviolet light absorbance method and intermittent effective
ionic dialysance.
PMID- 29642726
TI - Donkey milk kefir induces apoptosis and suppresses proliferation of Ehrlich
ascites carcinoma by decreasing iNOS in mice.
AB - Donkey milk and donkey milk kefir exhibit antiproliferative, antimutagenic and
antibacterial effects. We investigated the effects of donkey milk and donkey milk
kefir on oxidative stress, apoptosis and proliferation in Ehrlich ascites
carcinoma (EAC) in mice. Thirty-four adult male Swiss albino mice were divided
into four groups as follows: group 1, administered 0.5 ml water; group 2,
administered 0.5 ml water + EAC cells; group 3, administered 0.5 ml donkey milk +
EAC cells; group 4, administered 0.5 ml donkey milk kefir + EAC cells. We
introduced 2.5 x 106 EAC cells into each animal by subcutaneous injection. Tap
water, donkey milk and donkey milk kefir were administered by gavage for 10 days.
Animals were sacrificed on day 11. After measuring the short and long diameters
of the tumors, tissues were processed for histology. To determine oxidative
stress, cell death and proliferation iNOS and eNOS, active caspase-3 and
proliferating cell nuclear antigen were assessed using immunohistochemistry. A
TUNEL assay also was used to detect apoptosis. Tumor volume decreased in the
donkey milk kefir group compared to the control and donkey milk groups. Tumor
volume increased in the donkey milk group compared to the control group.
Proliferating cell nuclear antigen levels were higher in the donkey milk kefir
group compared to the control and donkey milk groups. The number of apoptotic
cells was less in the donkey milk group, compared to the control, whereas it was
highest in the donkey milk kefir group. Donkey milk administration increased eNOS
levels and decreased iNOS levels, compared to the control group. In the donkey
milk kefir group, iNOS levels were significantly lower than those of the control
and donkey milk groups, while eNOS levels were similar to the control group.
Donkey milk kefir induced apoptosis, suppressed proliferation and decreased co
expression of iNOS and eNOS. Donkey milk promoted development of the tumors.
Therefore, donkey milk kefir appears to be more beneficial for treating breast
cancer than donkey milk.
PMID- 29642727
TI - LPS challenge increased intestinal permeability, disrupted mitochondrial function
and triggered mitophagy of piglets.
AB - Here we investigated the influence of LPS-induced gut injury on antioxidant
homeostasis, mitochondrial (mt) function and the level of mitophagy in piglets.
The results showed that LPS-induced intestinal injury decreased the
transepithelial electrical resistance, increased the paracellular permeability of
F1TC dextran 4 kDa, and decreased the expression of claudin-1, occludin and
zonula occludens-1 in the jejunum compared with the control group. LPS decreased
the activities of superoxide dismutase (SOD) and glutathione peroxidase (GSH-Px),
and increased the content of malondialdehyde in the jejunum. Meanwhile, the
expression of SOD-related genes ( Cu/Zn-SOD, Mn-SOD) and GSH-Px-related genes (
GPX-1, GPX-4) declined in LPS-challenged pigs compared with the control. LPS also
increased TNF-alpha, IL-6, IL-8 and IL-1beta mRNA expression. LPS induced mt
dysfunction, as demonstrated by increased reactive oxygen species production and
decreased membrane potential of intestinal mitochondria, intestinal content of mt
DNA and activities of the intestinal mt respiratory chain. Furthermore, LPS
induced an increase in expression of mitophagy related proteins, PTEN-induced
putative kinase (PINK1) and Parkin in the intestinal mitochondria, as well as an
enhancement of the ratio of light chain 3-II (LC3-II) to LC3-I content in the
jejunal mucosa. These results suggested that LPS-induced intestinal injury
accompanied by disrupted antioxidant homeostasis, caused mt dysfunction and
triggered mitophagy.
PMID- 29642728
TI - Tunnelling a midline catheter: When the traffic light shifts from yellow to
green.
AB - INTRODUCTION:: A safe, largely used practice for difficult venous access patients
is positioning a catheter in deeper veins under ultrasound guide. However, the
risk of complications is increased when there is a high catheter-to-vein ratio or
when the insertion site is in a zone with particular anatomical/physiological
characteristics. CASE DESCRIPTION:: A 60-year-old woman admitted to a post
operative intensive care unit after cardiac surgery had a complicated post
operative course. After the removal of a central venous catheter, it was
necessary to insert a midline catheter. A complete ultrasound evaluation showed
that only the axillary vein was suitable for direct cannulation. To avoid
creating an exit site in the axillary cavity, the decision was made to tunnel the
catheter to locate an exit site in a safer position. A guidewire was introduced
through a needle in the axillary vein. A tunnel was created using a subcutaneous
injection of lidocaine. A 14 G/13.3 cm peripheral venous catheter was inserted in
the subcutaneous tract. A 4 Fr/20 cm catheter was introduced through the
peripheral venous catheter and moved to the axillary vein through the previously
inserted sheath. No acute complications occurred. The catheter was accessed
several times a day during the period following its insertion to infuse drugs and
take blood samples. It was removed 50 days after its placement because it was no
longer needed. No symptomatic thrombosis or infections occurred. CONCLUSION:: The
placement of the tunnelled midline catheter is shown to be a safe and effective
way to ensure vascular access for almost 2 months.
PMID- 29642729
TI - Safety Evaluation of Soy Leghemoglobin Protein Preparation Derived From Pichia
pastoris, Intended for Use as a Flavor Catalyst in Plant-Based Meat.
AB - The leghemoglobin protein (LegH) from soy ( Glycine max) expressed in Pichia
pastoris (LegH preparation, LegH Prep) imparts a meat-like flavor profile onto
plant-based food products. The safety of LegH Prep was evaluated through a series
of in vitro and in vivo tests. The genotoxic potential of LegH Prep was assessed
using the bacterial reverse mutation assay (Ames test) and the in vitro
chromosome aberration test. LegH Prep was nonmutagenic and nonclastogenic in each
test, respectively. Systemic toxicity was assessed in a 28-day dietary study in
male and female Sprague Dawley rats. There were no mortalities associated with
the administration of LegH Prep. There were no clinical observations, body
weight, ophthalmological, clinical pathology, or histopathological changes
attributable to LegH Prep administration. There were no observed effects on male
reproduction in this study, but the suggestion of a potential estrous cycle
distribution effect in female rats prompted a second comprehensive 28-day dietary
study in female Sprague Dawley rats. This study demonstrated that female
reproductive parameters were comparable between rats treated with LegH Prep and
concurrent control rats. These studies establish a no observed adverse effect
level of 750 mg/kg/d LegH, which is over 100 times greater than the 90th
percentile estimated daily intake. Collectively, the results of the studies
presented raise no issues of toxicological concern with regard to LegH Prep under
the conditions tested.
PMID- 29642730
TI - Long-term outcomes of bovine pericardial patch angioplasty for recurrent stenosis
in vascular access: A UK single-centre experience.
AB - INTRODUCTION:: Stenosis of an arteriovenous fistula or arteriovenous graft for
dialysis is a common problem. Stenosis may lead to a number of problems including
failure of the fistula. Treatment of stenosis is commonly with percutaneous
angioplasty or surgical patch angioplasty with autologous vein or synthetic graft
or patch. Here we report the use of bovine pericardial patch angioplasty as an
alternative mode of patch angioplasty when percutaneous intervention has failed.
METHODS:: Patients with fistulae treated with bovine patch angioplasty between
2013 and 2016 were identified from a prospectively maintained renal access
database (Cyberren(r)). Patient records were reviewed, noting type and location
of access, patency, re-interventions and complications post bovine pericardial
patch. RESULTS:: A total of 40 patients were identified as having undergone
bovine pericardial patch angioplasty between January 2013 and April 2016. The
median time from fistula formation to bovine pericardial patch was 15 months
(interquartile range (IQR): 5-43). Median duration of follow-up was 14 months
(IQR: 5-18). Primary patency at 6, 12, 18 and 24 months was 91%, 66%, 61% and
54%, respectively. Secondary patency was 94%, 91%, 80% and 77% at the same time
points, respectively. In total, 15 patients required 23 re-interventions post
bovine pericardial patch. There has been no report of infection or aneurysm
formation. CONCLUSION:: Bovine pericardial patch angioplasty for recurrent
stenosis in arteriovenous fistulae for dialysis access provides a robust
alternative to other surgical patches with the added advantage of allowing early
needling and relative resistance to infection. Rates of restenosis and failure
post bovine pericardial patch are low.
PMID- 29642731
TI - The effect of hemodynamically significant patent ductus arteriosus on acute
kidney injury and systemic hypertension in extremely low gestational age
newborns.
AB - BACKGROUND: Acute kidney injury (AKI) in preterm neonates is becoming an
increasingly recognized morbidity in the neonatal intensive care unit neonatal
intensive care unit (NICU), yet its epidemiology, delineation and relation to
numerous toxic exposures and common morbidities such as systemic hypertension is
just evolving. With a frequency of the patent ductus arteriosus (PDA) as high as
70% in preterm infants born before 28-week gestation, the role of the
hemodynamically significant PDA (hs-PDA) remains unclear. OBJECTIVE: To determine
if AKI and systemic hypertension is more common in extremely low gestational age
newborns (ELGAN) with hs PDA compared to ELGAN with no or non-hs PDA using
modified AKIN and Neonatal Risk, Injury, Failure, Loss of Kidney Function, and
End-stage (N-RIFLE) scoring systems. METHODS: This was a retrospective cohort
study of infants <=28 weeks gestational age born between 2010 and 2016 who had
echocardiographic PDA evaluation completed for hemodynamical significance as well
as serial serum creatinine and urine output measurement documented, needed for
the two AKI scoring systems: modified AKIN (based on serial serum creatinine) and
N-RIFLE (using urine output data). Blood pressure measurements and therapy were
evaluated during the hospitalization and on the day of NICU discharge. Baseline
characteristics and outcome variables were compared between the hs-PDA and no or
non-hs PDA using unpaired t-tests for continuous variables and chi square tests
for categorical data. RESULTS: One hundred fifty-one infants were eligible of
which 110 had hs-PDA. Infants with hs-PDA were smaller (777 versus 867 g, p =
.026), less mature (25.8 versus 26.4 weeks, p = .023) and had greater exposure to
nephrotoxic drugs (14 versus 9.4 days, p = .001). Other clinical and demographic
variables were similar between the two groups. The overall incidence of AKI was
not different between the hs-PDA and no PDA or non-hs PDA groups when evaluated
by the acute kidney injury network (AKIN) or N-RIFLE staging; however, preterm
newborns with hs-PDA demonstrated a trend towards increased risk of AKI injury
(12.7 versus 0.02%, p = .06). The N-RIFLE and AKIN scoring systems demonstrated
very poor degree of agreement (kappa = 0.00853) in our study. There was no
difference in the rates of hypertension during the hospitalization as well as on
the day of NICU discharge. CONCLUSION: Preterm neonates with hs-PDA had similar
rates of AKI and hypertension as neonates with no or non-hs PDA.
PMID- 29642732
TI - Evaluation of Appropriate Sodium Polystyrene Sulfonate Use With a Hyperkalemia
Order Set: A Pilot Study.
AB - BACKGROUND: No consensus guidelines exist to assist practitioners in the
management of hyperkalemia. Sodium polystyrene sulfonate (SPS) is a common
treatment option for hyperkalemia. To aid health-care professionals, a
hyperkalemia order set was created at a 979-bed community teaching hospital.
OBJECTIVE: The objective of this study was to determine whether use of a
hyperkalemia order set is associated with appropriate use of SPS. METHODS: Orders
for SPS were evaluated for appropriateness in this retrospective cohort of adult
patients. Data were collected from December 1, 2015, to December 31, 2016. All
orders for SPS not from an order set were randomly matched to those from the
order set in a 2:1 fashion. Appropriate use was defined as potassium level >5.5
mEq/L and no contraindications to use. The primary outcome was the absolute
difference in the proportion of patients with appropriate SPS use in the order
set group compared to the nonorder set group. RESULTS: A total of 120 patients
were analyzed, 40 in the order set group and 80 in the nonorder set group.
Baseline characteristics were similar between the groups. Appropriate use
occurred in 97.5% of order set patients compared to 60% in the nonorder set group
( P < .001). Use of the order set was associated with a greater reduction in
serum potassium, quicker follow-up potassium level, and lower incidence of
gastrointestinal adverse effects. CONCLUSIONS: The use of a hyperkalemia order
set is associated with appropriate use of SPS. Requiring the use of the
hyperkalemia order set for administration of SPS may enhance patient care and
safety.
PMID- 29642733
TI - Warfarin Patients With Anemia Show Trend of Out-of-Range International Normalized
Ratio Frequency With Point-of-Care Testing in an Anticoagulation Clinic.
AB - INTRODUCTION: Many factors influence international normalized ratio (INR);
however, few studies have examined the impact of anemia in warfarin patients. The
primary objective of this study was to explore the relationship between in-clinic
anemia and the control of INR within an anticoagulation clinic. METHODS: A
retrospective chart review was performed on a random sample of patients seen in
an academic medical center pharmacy-managed anticoagulation clinic. Hemochron(r)
Signature Elite machine was utilized to monitor point-of-care (POC) INR. In
clinic anemia was defined as hematocrit <32%. Statistical analyses were conducted
using STATA MP a webbased platform ( https://www.stata.com/statamp/ ). RESULTS:
Of the 300 patients analyzed, 45 (15%) patients had in-clinic anemia. Patients
with in-clinic anemia were more likely to be younger ( P < .05), female ( P <
.05), and have a diagnosis of sickle cell disease or anemia ( P < .05). In the
unadjusted logistic regression model, patients with in-clinic anemia were less
likely to have an in-range INR ( OR: 0.52; 95% CI: 0.27-0.98). The adjusted
regression model did not show significance. CONCLUSION: Study results suggest
that in-clinic anemia may be more prevalent among younger, female patients
prescribed warfarin, and patients diagnosed with in-clinic anemia may be a risk
factor for out-of-range INR. Pharmacists practicing in anticoagulation clinics
can incorporate this information into patient care practice in efforts to
maintain optimal management.
PMID- 29642734
TI - Language development in children who stutter: A review of recent research.
AB - PURPOSE: This article reviews recent studies that examined the controversial
claim that children who stutter (CWS), as a group, have weaker language skills
than children who do not stutter (CWNS). The article was an effort to address the
conflicting conclusions of two previous reviews of the research in this area.
METHOD: Studies published in research journals during the past 7 years (2011
2018) were located through a systematic review of the literature. Each study was
analysed to determine how well it supported the claim that CWS, as a group, have
weaker language skills than CWNS. RESULT: The evidence was not convincing to
support this controversial claim. Rather, the review indicated that CWS performed
as well as or better than CWNS on formal language tasks. CONCLUSION: The claim
that language weaknesses are linked to children's stuttering is not well
supported by recently published studies. Alternative interpretations of the
literature are offered.
PMID- 29642735
TI - Commentary: Below-the-Knee Retrograde Access for Peripheral Interventions: A
Valuable Technique for the Limb Salvage Toolbox.
PMID- 29642736
TI - Commentary: Endovascular Sealing of Abdominal Aortic Aneurysms: Do Current Data
Justify Wider Use?
PMID- 29642737
TI - Sudden Unexpected Death in Neonates: A Clinico-pathological Study.
AB - Clinico-pathological studies that focus on sudden unexpected death (SUD) in the
neonatal period are rare. The objective of this study was to elucidate the
frequency and pathological spectrum of anatomical causes of death (CODs), found
in the setting of sudden unexpected death in neonates (SUD-N), and to correlate
the COD with premortem circumstantial information. We conducted a detailed review
of all autopsy reports on SUD-N cases at our institution from 1997 to 2015.
Analyzed clinical data included obstetrical history, postpartum/neonatal medical
course, and circumstances surrounding death. Evaluated autopsy data included
growth parameters, pathological findings, ancillary test results, and COD. Data
from decedents in which a COD was established (COD-E) were statistically compared
with that from decedents in which the COD was undetermined (COD-U). Of 104
neonates (M: 49; F: 55) who fulfilled our inclusion criteria, a COD was
established at autopsy in 46 cases (44%). Infections, congenital abnormalities,
and inborn errors of metabolism were the most common CODs. Single variables
statistically more likely to be found in COD-E neonates were clinical history of
prodromal illness, witnessed loss of vital signs, and evidence of physiological
stress in the thymus or the liver. A prodrome was statistically more common in
the COD-E group, but the absence of a prodrome does not reliably exclude COD-E
cases, since over 50% of these patients were asymptomatic prior to their demise.
In COD-U neonates, the statistically significant factors were death during sleep,
death during sleep while "bed"-sharing, "heavy" lungs, and petechial hemorrhages
on the epicardium or pleura. Given the frequency and wide spectrum of underlying
pathologies in COD-E neonates, referral of SUD-N cases to pathologists with
specialized pediatric autopsy expertise is recommended.
PMID- 29642738
TI - Rats and rabbits as pharmacokinetic screening tools for long acting intramuscular
depots: case study with paliperidone palmitate suspension.
AB - Development of prodrug of 9-hydroxyrisperidone (paliperidone) long-acting
intramuscular injection has enabled delivery over four-week time period with
improved compliance. The key aim of this work was to establish a reliable
preclinical model which may potentially serve as a screening tool for judging the
pharmacokinetics of paliperidone formulation(s) prior to human clinical work.
Sparse sampling composite study was used in rats, (Wistar/Sprague-Dawley (SD; n =
10)) and a serial blood sampling study design was used in rabbits (n = 4).
Animals received intramuscular injection of paliperidone palmitate in the thigh
muscle at dose of 16 (rats) and 4.5 mg/kg (rabbits). Samples were drawn in rats
(retro-orbital sinus) and rabbits (central ear artery) and were analysed for
paliperidone using liquid chromatography-mass spectrometry/ mass spectrometry (LC
MS/MS) assay. The plasma data was subjected to pharmacokinetic analysis.
Following intramuscular injection of depot formulation in Wistar/SD rats and
rabbits, absorption of paliperidone was slow and gradual with median value of
time to reach maximum concentration (Tmax) occurring on day 7. The exposures
(i.e. area under the curve (AUC; 0-28) days) were 18,597, 21,865 and 18,120
ng.h/mL, in Wistar, SD and rabbits, respectively. The clearance was slow and
supported long half-life (8-10 days). Either one of the two models can serve as a
research tool for establishing pharmacokinetics of paliperidone formulation(s).
PMID- 29642739
TI - Care, Compassion, Courage, Commitment, Communication and Competence: The 6 Cs.
AB - These are words we are all very familiar with and perhaps already some of you may
be thinking 'oh no not again'. In the document One Year On (NHS England/Nursing
Directorate 2013), comments from patients have been positive on the care they
have received, but can we with hand on heart say it happens on a national scale
at all times? This may be a reason for the continued requests for the inclusion
of the six Cs as a topic for educational days.
PMID- 29642740
TI - Maternal periodontal disease and adverse perinatal outcomes: is there an
association? A hospital-based case-control study.
AB - OBJECTIVES: The association between maternal periodontal disease and adverse
perinatal outcomes although extensively studied remains unclear. The aim of this
study was to evaluate, by a case-control study, conducted in three hospitals the
association between preterm birth and/or low birth weight (PTB/LBW) and clinical
parameters of maternal periodontal disease. MATERIAL AND METHODS: Postpartum
women who gave birth to a newborn PTB/LBW (case group), and postpartum women who
had babies at full term with normal weight (control group) were included, in 1:2
ratio. Data were collected through medical records, interview, and periodontal
clinical parameters. Bivariate analysis was performed to assess the PTB/LBW
proportion relative to independent variables and multiple logistic regression
analysis to assess the association between adverse perinatal outcomes and
independent variables. RESULTS: The sample consisted of 148 cases and 296
controls. By the 148 postpartum women with PTB/LBW in case group, 126 (87.5%) had
preterm birth, and 75 (50.7%) had PTB and LBW. The periodontal status and
generalized periodontitis presence were not associated with any adverse pregnancy
outcomes. Having made four or more prenatal visits was a protective factor for
all outcomes. The history of previous PTB/LBW was a risk factor for new cases of
PTB and PTB and/or LBW. The presence of systemic disease was associated with
preterm and low birth weight (PTLBW). Preeclampsia and cesarean delivery were
associated with all outcomes. CONCLUSIONS: The clinical parameters of maternal
periodontitis were not considered as a risk factor for the studied adverse
perinatal outcomes. CLINICAL RELEVANCE: The present study demonstrated no
association between maternal periodontitis and PTB/LBW.
PMID- 29642741
TI - Effects of transcranial direct current stimulation over the Broca's area on
tongue twister production.
AB - PURPOSE: The present study aimed to explore the short-term effect of anodal
transcranial direct current stimulation (tDCS) on tongue twister production.
METHOD: Thirty healthy native Cantonese adult speakers were randomly assigned to
the anodal tDCS group or the sham tDCS group. Anodal tDCS of 2 mA was applied
over the Broca's area of the brain. The stimulation lasted for 20 min for the
anodal tDCS group and 30 s for the sham tDCS group. The participants were
instructed to produce a list of tongue twisters before, immediately after and 4 h
after tDCS. RESULT: Speech rate and response accuracy measured immediately after
stimulation were significantly faster and higher, respectively, than before
stimulation. Although there was no change in speech rate measured at 4 h after
stimulation, response accuracy at that time point was significantly lower than
that measured immediately after stimulation. However, there were no significant
differences between the anodal tDCS and sham tDCS groups in either speech rate or
response accuracy. CONCLUSION: The findings revealed that a single session of
anodal tDCS over the Broca's area did not significantly improve speech production
during tongue twister production.
PMID- 29642742
TI - Career Opportunities for Theatre Practitioners.
AB - 'What's the point in doing that?' This is often the response given to those
saying they are undertaking education outside of work hours. Many do not see
their role in theatre as just a job, but now want a career which means extra
studying. Ideally this needs to be in advance so they are one step ahead for when
an opportunity arises. Career opportunities and education go hand in hand
together, and so it is difficult to discuss one without mentioning the other to
some degree. We need education to access career opportunities, but we also need
career routes to help drive education forward.
PMID- 29642743
TI - Initiative for Burnout of ICU Caregivers: Feasibility and Preliminary Results of
a Psychological Support.
AB - BACKGROUND: Intensive care unit (ICU) caregivers are at high risk of burnout and
the shortage of this highly specialized personal is a problem. The feasibility
and impact of a psychological intervention were never assessed in this special
context. METHODS: A randomized controlled single-blind study in an ICU. The first
intervention consisted in weekly problem-based sessions led by psychologists with
small groups of caregivers using a systemic approach over 3 months. The modified
intervention was lead for 9 months. The scores of Maslach Burnout Inventory and
Hospital Anxiety and Depression Scale were compared between the intervention and
control groups, before and after the intervention. RESULTS: One-hundred and sixty
six caregivers were randomized in intervention and control groups. The major
finding was the way the psychologists could modify the original methodology in
order to enable caregivers to attend the sessions. Burnout scores tended to
decrease across the whole ICU team after the intervention period, more in the
intervention group. Participation in the study was poor at 6 months after
intervention. CONCLUSIONS: This is the first study attempting to evaluate a
psychological intervention on the mental health of ICU caregivers. It shows a
modified method of a psychological support with a systemic approach in the
special environment of ICU. Notwithstanding the modest results related to the
short length of the process and the turnover of the personal, we demonstrated
that such an approach is feasible. Further studies on larger scale and of longer
duration are needed to investigate the effect of such interventions on the mental
health of ICU caregivers.
PMID- 29642744
TI - Evaluation of Continuous Infusion Vancomycin Administration in a Critically Ill
Trauma Population.
AB - Vancomycin is a first-line antibiotic for empiric treatment of gram-positive
infections in the trauma intensive care unit. When dosed intermittently,
difficulties arise from trough collection and drug monitoring. The objective of
this study was to evaluate time to goal vancomycin levels comparing a continuous
infusion protocol when compared to standard intermittent infusion dosing. This
was a retrospective cohort of patients admitted to the trauma intensive care unit
between July 2011 and July 2015 receiving vancomycin for at least 48 hours. In
this cohort of 150 patients, continuous infusion vancomycin had a decreased time
to goal vancomycin level (2.5 vs 3.8 days, P <= .05) with a higher incidence of
target attainment (60% vs 40%, P <= .05). This reflected in a decrease in average
number of blood samples per patient (1 vs 3, P <= .05) and shorter duration of
therapy (3.8 vs 6.8 days, P <= .05). Patients receiving continuous infusion
vancomycin also experienced less nephrotoxicity (21% vs 43%, P <= .05). Patients
in the intermittent infusion group had more missed levels and doses, with only 1
in every 3 patients receiving all intended doses on time. Vancomycin continuous
infusion resulted in a decrease in time to goal therapeutic vancomycin levels,
number of blood samples required, and therapy duration. Larger trials are needed
to validate these outcomes in broad patient groups and to validate the clinical
implication and potential cost savings of these results.
PMID- 29642745
TI - Sharing and Learning.
AB - The NHS Litigation Authority (NHS LA) is a not-for-profit NHS organisation that
manages negligence and other claims against the NHS in England on behalf of their
member organisations. Their overarching vision is to resolve claims in a timely
and fair manner while sharing the lessons of why things go wrong and improving
safety.
PMID- 29642747
TI - Elevated maternal serum-free beta-human chorionic gonadotropin (beta-hCG) and
reduced risk of spontaneous preterm delivery.
AB - OBJECTIVE: To evaluate the relationship between first and second trimester
maternal serum-free beta-hCG and the risk of spontaneous preterm delivery (PTD).
STUDY DESIGN: This was a case-control study of women evaluated and delivered at
our institution from 2011 to 2015. Spontaneous PTD was defined as delivery before
37 weeks due to spontaneous preterm labor or premature rupture of membranes.
Patient with multifetal gestation and those with medically indicated term or PTD
were excluded. RESULTS: Of 877 women meeting the inclusion criteria, 173
delivered preterm and 704 delivered at term, and 8.1% had high free beta-hCG in
one or both trimesters. High maternal first and/or second trimester free beta-hCG
(>=95th percentile) was associated with lower rates of PTD. Thirty-two women with
high free beta-hCG in both first and second trimesters delivered at term.
Gestational age at delivery and birth weights were lower in women who did not
have high free beta-hCG in any trimester. Low free beta-hCG (<=5th percentile) in
either trimester was not associated with an increased or decreased likelihood of
PTD. Logistic regression demonstrated an independent association of high free
beta-hCG (>=95th percentile) with a reduced likelihood of PTD. Stratified
analysis revealed a stronger impact of this association in women with no prior
history of PTD. CONCLUSIONS: High free beta-hCG, in the absence of risk factors
for medically indicated PTD, is associated with a reduced likelihood of
spontaneous PTD and may represent a marker indicating lower risk.
PMID- 29642746
TI - Biologically and chemically important hydrazino-containing imidazolines as
antioxidant agents.
AB - Biologically and chemically useful hydrazinoimidazolines were evaluated as
antioxidant and antihaemolytic agents. 1,1-Diphenyl-2-picrylhydrazyl radical
(DPPH*), galvinoxyl radical (GOR), nitric oxide (NO) and hydrogen peroxide (H2O2)
scavenging assays, ferric ions reducing power assay, and ex vivo model of rat
erythrocytes exposed to 2,2'-azobis(2-methylpropionamidine)dihydrochloride (AAPH)
or H2O2 were used. The most potent DPPH* scavengers proved to be
hydrazinoimidazolines 3, 2, and 4, revealing excellent antiradical effects -
superior or comparable to that of all antioxidant standards used. Moreover, these
molecules showed strong NO neutralising potencies - better to that of ascorbic
acid (AA) (3), 6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylic acid (Trolox) (3
and 2), butylated hydroxytoluene (BHT) (3 and 2), and butylated hydroxyanisole
(BHA) (3, 2, and 4). Compound 4 was also effective in GOR scavenging. The
excellent scavenger of GOR, NO, and H2O2 proved to be structure 5, with the
potency superior or comparable to the majority of antioxidant standards used. In
turn, compound 9 was effective in H2O2 and GOR neutralisation. All
hydrazinoimidazolines revealed the reducing power that is higher than BHT.
Moreover, the protective effects of most test compounds on oxidatively stressed
erythrocytes were observed. Some structure-activity relationships were disclosed.
A significance of the primary hydrazino group on antioxidant effects was
confirmed. The most likely DPPH* and GOR scavenging mechanisms for test compounds
were propound. Among all the investigated molecules, hydrazinoimidazolines 5, 3,
2, 4, and 9, due to their excellent or good antiradical activities, can represent
promising antioxidant candidates with prospective utility for prevention of
diseases related to reactive oxygen/nitrogen species.
PMID- 29642748
TI - Career Opportunities for Theatre Practitioners.
AB - Never have there been such a vast number of career opportunities for all levels
of staff within the perioperative environment including healthcare support
workers, operating department practitioners and nurses.
PMID- 29642750
TI - The optic pathway: the development of an eLearning animation.
AB - The optic pathway is responsible for sending visual information from the eyes to
the brain via electrical impulses. It is essential that a sound understanding of
this pathway is established in order to determine an accurate diagnosis
concerning visual field defects. Although easy for trained neurologists to
understand, it is an area which medical students repeatedly struggle to
visualise. It is proposed that audio-visual teaching resources can improve
students understanding of complex areas of importance. This article describes the
development and evaluation of a short animation created for use in the
undergraduate neurology curriculum at the University of Dundee School of
Medicine.
PMID- 29642751
TI - Bone regeneration strategy by different sized multichanneled biphasic calcium
phosphate granules: In vivo evaluation in rabbit model.
AB - A variety of synthetic materials are currently in use as bone substitutes, among
them a new calcium phosphate-based multichannel, cylindrical, granular bone
substitute that is showing satisfactory biocompatibility and osteoconductivity in
clinical applications. These cylindrical granules differ in their mechanical and
morphological characteristics such as size, diameter, surface area, pore size,
and porosity. The aim of this study is to investigate whether the sizes of these
synthetic granules and the resultant inter-granular spaces formed by their
filling critical-sized bone defects affect new bone formation characteristics and
to determine the best formulations from these individual types by combining the
granules in different proportions to optimize the bone tissue regeneration. We
evaluated two types of multichanneled cylindrical granules, 1 mm and 3 mm in
diameter, combined the granules in two different proportions (wt%), and compared
their different mechanical, morphological, and in vitro and in vivo
biocompatibility characteristics. We assessed in vitro biocompatibility and
cytotoxicity using MC3T3-E1 osteoblast-like cells using MTT (3-(4,5
Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide) assay and confocal
imaging. In vivo investigation in a rabbit model indicated that all four samples
formed significantly better bone than the control after four weeks and eight
weeks of implantation. Micro-computed tomography analysis showed more bone
formation by the 1 mm cylindrical granules with 160 +/- 10 um channeled pore and
50% porosity than the other three samples ( p<.05), which we confirmed by
histological analysis.
PMID- 29642749
TI - Chronic migraine: A process of dysmodulation and sensitization.
AB - Chronic migraine is a common chronic daily headache featured by frequent headache
attacks with at least 15 headache days per month, which brings great disease
burden to both the sufferers and the society. Transformed from episodic migraine,
the pathophysiology of chronic migraine is not fully understood, even though
several risk factors have been associated with migraine progression. Recent
studies have identified both structural and functional alterations in some brain
regions of chronic migraine patients indicating that maladaptation of the top
down pain modulation and subsequent sensitization of trigeminal system may be
important in the pathogenesis of chronic migraine. Moreover, biochemical analysis
has confirmed several molecules related to chronic migraine, which may serve as
biomarkers and potential therapeutic targets. Chronic migraine is undertreated
because of its poor treatment response and limited therapy options. In this
article, we reviewed the latest data to outline the clinical feature,
pathophysiological mechanism, and management of chronic migraine, in the
expectation to provide direction for future research and finally to take good
care of chronic migraine patients.
PMID- 29642752
TI - Lupus myocarditis: a single center experience and a comparative analysis of
observational cohort studies.
AB - Background Lupus myocarditis (LM) is reported in 3-9% of patients with systemic
lupus erythematosus (SLE) but limited evidence exists regarding optimal treatment
and prognosis. This study aims to describe LM in a defined lupus cohort as
compared with the existing literature. Patients and methods Patients with LM were
identified from the University of Toronto Lupus Clinic database. Diagnosis was
based on clinical manifestations and electrocardiographic, imaging, and
biochemical criteria. Demographic, clinical, diagnostic and therapeutic variables
and outcomes were collected in a standardized data retrieval form. A literature
review was performed to identify cohort studies reporting on LM treatment and
outcome. A comparative analysis was conducted between our patients and the
combined cohort of the existing studies. Results Thirty patients were diagnosed
with LM (prevalence 1.6%) and compared with a cumulative cohort of 117 patients
from five distinct studies. No significant differences were found regarding the
age at diagnosis (32.6 +/- 13.4 years) and SLE duration (2.5 years median).
Concomitant lupus activity from other organ systems was observed in 97% of the
patients. Chest pain was more frequently reported in our cohort whereas dyspnea
was more prominent in the other studies. Diagnostic criteria were similar across
studies. Therapeutic approach was comparable and consisted of
glucocorticosteroids (96.6%) and immunosuppressives (70%). Mortality was
approximately 20% whereas another 20% of the patients achieved partial and 60%
complete recovery. Conclusions LM usually occurs early in the disease course and
in the context of generalized lupus activity. Despite aggressive therapy,
approximately 40% of the patients died or had residual heart damage.
PMID- 29642753
TI - Determination of olaquindox, carbadox and cyadox in animal feeds by ultra
performance liquid chromatography tandem mass spectrometry.
AB - Olaquindox, carbadox, and cyadox are chemically synthesised antibacterial and
growth-promoting agents for animals. At high doses they may exert mutagenicity
and hepatic and adrenal toxicities in animals. Regrettably, these substances are
frequently abused or misused when added into animal feeds. Thus, developing a
sensitive and reliable method for simultaneous determination of olaquindox,
carbadox, and cyadox in different kinds of animal feeds is crucially important
for food safety monitoring. In this paper we optimised instrumental conditions,
extraction solvents, solid phase extraction cartridges, and pH of the loading
solvents on the Oasis HLB cartridge. Under the optimal conditions, mean
recoveries ranged from 74.1 to 111%, and intra-day and inter-day variations were
lower than 14.6% and 10.8%, respectively. The limits of quantification for
olaquindox, carbadox, and cyadox were 0.05 mg kg-1, 0.10 mg kg-1, and 0.025 mg kg
1, respectively. The proposed method uses ultra-performance liquid chromatography
tandem mass spectrometry and is sensitive and reliable for the simultaneous
determination of olaquindox, carbadox, and cyadox in three kinds of animal feeds
(specifically, mixed feed, concentrated feed, and additive premixed feed). This
method has good precision, high sensitivity, and good reproducibility, and thus
it can be used for convenient and accurate determination of olaquindox, carbadox,
and cyadox in different kinds of animal feeds.
PMID- 29642754
TI - Daily gentamicin using ideal body weight demonstrates lower risk of postpartum
endometritis and increased chance of successful outcome compared with traditional
8-hour dosing for the treatment of intrapartum chorioamnionitis.
AB - BACKGROUND: Clinical chorioamnionitis complicates approximately 1-4% of
pregnancies overall. Although universal agreement does not exist regarding the
antibiotic regimen of choice, most studies have evaluated intravenous ampicillin
dosed at 2 g every 6 hours plus gentamicin dosed every 8 hours. Only three
studies have examined daily gentamicin for the treatment of intrapartum
chorioamnionitis and thus is insufficiently investigated. OBJECTIVE: This study
seeks to determine whether daily dosing of gentamicin using ideal body weight for
the treatment of intrapartum chorioamnionitis is more or equivalently efficacious
when compared to traditional 8-hour dosing regimens. MATERIALS AND METHODS: We
conducted a retrospective cohort study and reviewed charts on all women receiving
treatment for intrapartum chorioamnionitis, which included intravenous gentamicin
daily dosing calculated using 5 mg/kg ideal body weight or receiving traditional
every 8 hours dosing of gentamicin at two large academic centers. Our primary
outcomes were resolution of infection following delivery without the development
of maternal endometritis and/or neonatal sepsis. Baseline characteristics were
compared between dosing groups using Welch two-sample t-tests for continuous
variables, uncorrected X2 test and exact binomial 95% confidence intervals. We
calculated the risk ratios of each outcome in the ideal versus traditional dosing
groups using modified Poisson regression, both crude and adjusted. Adjusted
models were controlled for variables determined to be potential confounders,
which included BMI, diabetes mellitus, gestational blood pressure >140/90, group
beta-Streptococcus status, race, advanced maternal age (>34 y), and parity.
RESULTS: The study included 500 patients with 255 patients receiving daily dosing
of gentamicin and 245 receiving traditional dosing of gentamicin. Of the patients
receiving daily gentamicin compared to traditional dosing, 95.7% (95% CI 94.9
96.6%) achieved the primary outcome versus 92% (95% CI 90.8 - 93.2%), 2.4% (95%
CI 1.8-3%) developed endometritis versus 5.6% (4.5-6.7%), 1.6% (95% CI 1.1-2.1%)
delivered neonates with sepsis versus 3.3% (CI 2.5-4.1%), and 36.9% required
cesarean delivery versus 41.4%. In crude analysis, compared to traditional
dosing, IDW daily dosing was associated with a lower risk of postpartum
endometritis (RR 0.42, 95% CI 0.16-1.10, p = .032). After adjusting for BMI,
diabetes mellitus, gestational blood pressure >140/90, group beta-Streptococcus
status, race, advanced maternal age (>34 y), and parity, the IDW daily dosing
group had a 5% greater chance of successful outcome (RR 1.05, 95% CI 1.00-1.10, p
= .046) and a 64% lower risk of endometritis (RR 0.35, 95% CI 0.15-0.83, p =
.017). CONCLUSION: Daily dosing of gentamicin using ideal body weight is
associated with a lower risk of postpartum endometritis and high chance of a
successful outcome in the treatment of intrapartum chorioamnionitis compared with
traditional 8-hour dosing in our ethnically diverse, urban population and thus
may be considered a superior option to every 8 hours dosing regimens.
PMID- 29642755
TI - Successful treatment of ventricular arrhythmic storm with percutaneous coronary
intervention and catheter ablation in a patient with left ventricular assist
device.
AB - INTRODUCTION: Ventricular arrhythmias are common in patients with advanced heart
failure, which may also persist after sufficient intensive therapy for heart
failure even with a left ventricular assist device. Although most ventricular
arrhythmias have no hemodynamic relevance during left ventricular assist device
support, some patients suffer from right ventricular decompensation due to
ventricular arrhythmias resulting in severe hemodynamic deterioration and poor
clinical outcomes. METHODS: We describe herein an left ventricular assist device
patient with refractory ventricular arrhythmic storm early after left ventricular
assist device implantation. RESULTS: The patient was admitted to our department
after stenting of left anterior descending artery with subsequent polymorphic
ventricular tachycardia and cardiogenic shock with ongoing multi-organ failure.
After 6 days of extracorporeal life-support, a permanent left ventricular assist
device was implanted. With postoperatively ongoing tachycardias, a subtotal right
coronary artery occlusion was recanalized utilizing a drug-eluting stent. On the
first post-intervention day, an additional catheter ablation was successfully
performed. No further ventricular tachycardias were detected during the entire
hospital stay and the further postoperative course was uneventful. The patient
was transferred to a physiotherapy unit to improve his daily physical activities.
He is currently at home and doing well 6 months after discharge. CONCLUSIONS: Our
case report demonstrates the feasibility of a successful therapeutic approach
with a combination of interventional therapies such as coronary stenting and
catheter ablation in a patient with persistent ventricular arrhythmias after
assist device implantation.
PMID- 29642756
TI - The effect of visual arrangement on visuospatial short-term memory: Insights from
children with 22q11.2 deletion syndrome.
AB - Recent models of visuospatial (VSSP) short-term memory postulate the existence of
two dissociable mechanisms depending on whether VSSP information is presented
simultaneously or sequentially. However, they do not specify to what extent VSSP
short-term memory is under the influence of general VSSP processing. This issue
was examined in people with 22q11.2 deletion syndrome, a genetic condition
involving a VSSP deficit. The configuration of VSSP information was manipulated
(structured vs. unstructured) to explore the impact of arrangement on VSSP short
term memory. Two presentation modes were used to see whether the VSSP arrangement
has the same impact on simultaneous and sequential short-term memory. Compared to
children matched on chronological age, children with 22q11.2 deletion syndrome
showed impaired performance only for structured arrangement, regardless of the
presentation mode, suggesting an influence of VSSP processing on VSSP short-term
memory abilities. A revised cognitive architecture for a model of VSSP short-term
memory is proposed.
PMID- 29642757
TI - Associations between chronotype, morbidity and mortality in the UK Biobank
cohort.
AB - Later chronotype (i.e. evening preference) and later timing of sleep have been
associated with greater morbidity, including higher rates of metabolic
dysfunction and cardiovascular disease (CVD). However, no one has examined
whether chronotype is associated with mortality risk to date. Our objective was
to test the hypothesis that being an evening type is associated with increased
mortality in a large cohort study, the UK Biobank. Our analysis included 433 268
adults aged 38-73 at the time of enrolment and an average 6.5-year follow-up. The
primary exposure was chronotype, as assessed through a single self-reported
question-defining participants as definite morning types, moderate morning types,
moderate evening types or definite evening types. The primary outcomes were all
cause mortality and mortality due to CVD. Prevalent disease was also compared
among the chronotype groups. Analyses were adjusted for age, sex, ethnicity,
smoking, body mass index, sleep duration, socioeconomic status and comorbidities.
Greater eveningness, particularly being a definite evening type, was
significantly associated with a higher prevalence of all comorbidities. Comparing
definite evening type to definite morning type, the associations were strongest
for psychological disorders (OR 1.94, 95% CI 1.86-2.02, p = < 0.001), followed by
diabetes (OR 1.30, 95% CI 1.24-1.36, p = < 0.001), neurological disorders (OR
1.25, 95% CI 1.20-1.30, p = < 0.001), gastrointestinal/abdominal disorders (OR
1.23, 95% CI 1.19-1.27, p = < 0.001) and respiratory disorders (OR 1.22, 95% CI
1.18-1.26, p = < 0.001). The total number of deaths was 10 534, out of which 2127
were due to CVD. Greater eveningness, based on chronotype as an ordinal variable,
was associated with a small increased risk of all-cause mortality (HR 1.02, 95%
CI 1.004-1.05, p = 0.017) and CVD mortality (HR 1.04, 95% CI 1.00-1.09, p =
0.06). Compared to definite morning types, definite evening types had
significantly increased risk of all-cause mortality (HR 1.10, 95% CI 1.02-1.18, p
= 0.012). This first report of increased mortality in evening types is consistent
with previous reports of increased levels of cardiometabolic risk factors in this
group. Mortality risk in evening types may be due to behavioural, psychological
and physiological risk factors, many of which may be attributable to chronic
misalignment between internal physiological timing and externally imposed timing
of work and social activities. These findings suggest the need for researching
possible interventions aimed at either modifying circadian rhythms in individuals
or at allowing evening types greater working hour flexibility.
PMID- 29642761
TI - The Lighthouse and the Observatory: Islam, Science, and Empire in Late Ottoman
Egypt.
PMID- 29642758
TI - Identification of Significant Gene Signatures and Prognostic Biomarkers for
Patients With Cervical Cancer by Integrated Bioinformatic Methods.
AB - Cervical cancer is the leading cause of death with gynecological malignancies. We
aimed to explore the molecular mechanism of carcinogenesis and biomarkers for
cervical cancer by integrated bioinformatic analysis. We employed RNA-sequencing
details of 254 cervical squamous cell carcinomas and 3 normal samples from The
Cancer Genome Atlas. To explore the distinct pathways, messenger RNA expression
was submitted to a Gene Set Enrichment Analysis. Kyoto Encyclopedia of Genes and
Genomes and protein-protein interaction network analysis of differentially
expressed genes were performed. Then, we conducted pathway enrichment analysis
for modules acquired in protein-protein interaction analysis and obtained a list
of pathways in every module. After intersecting the results from the 3
approaches, we evaluated the survival rates of both mutual pathways and genes in
the pathway, and 5 survival-related genes were obtained. Finally, Cox hazards
ratio analysis of these 5 genes was performed. DNA replication pathway ( P <
.001; 12 genes included) was suggested to have the strongest association with the
prognosis of cervical squamous cancer. In total, 5 of the 12 genes, namely,
minichromosome maintenance 2, minichromosome maintenance 4, minichromosome
maintenance 5, proliferating cell nuclear antigen, and ribonuclease H2 subunit A
were significantly correlated with survival. Minichromosome maintenance 5 was
shown as an independent prognostic biomarker for patients with cervical cancer.
This study identified a distinct pathway (DNA replication). Five genes which may
be prognostic biomarkers and minichromosome maintenance 5 were identified as
independent prognostic biomarkers for patients with cervical cancer.
PMID- 29642759
TI - Cannabis use and knowledge among medical students at the University of the Free
State, Bloemfontein, South Africa.
AB - OBJECTIVE: The study aimed to investigate the prevalence of cannabis use among
undergraduate medical students of the University of the Free State (UFS), and the
extent of their knowledge about the substance. METHOD: This cross-sectional study
included five year groups of undergraduate medical students. A self-administered,
anonymous questionnaire was used. RESULTS: Of the 643 medical students, 541
(84.1%) completed the questionnaire. In total, 161 (30.9%) students reported that
they had ever used cannabis. The percentage of males who had ever used cannabis
was twice that of females (relative risk 2.04; 95% CI 1.56; 2.67). Of the
cannabis users, less than 12% used it monthly or more often. A fifth (19.5%) of
all students stated that they did not know the side effects of cannabis use.
Nearly half (45.4%) indicated that they could not name any medicinal (medical)
uses. The median knowledge score of students who used cannabis tended to be
higher than that of students who did not use cannabis; this was statistically
significant only in the third-year group. CONCLUSIONS: Medical students generally
have a low level of knowledge about cannabis. The reported lifetime prevalence
and pattern of cannabis use are similar to those reported in other South African
studies published over the past 35 years.
PMID- 29642763
TI - Hot off the Press for Perioperative Nurses.
AB - I am delighted to bring you the Perioperative Care Collaborative (PCC) National
Core Curriculum for Perioperative Nursing 2017, whose purpose is 'influencing and
supporting clinical policies into perioperative practice'. This is a very
important document for nurses working within operating theatre settings. Since
the dissolution of the English National Board (ENB), perioperative nurses have
not had access to appropriate professional courses in line with what had been
previously available.
PMID- 29642764
TI - Distinct effects of resveratrol on seizures and hyperexcitability induced by NMDA
and 4-aminopyridine.
AB - OBJECTIVES: The antiepileptic activity of resveratrol has been revealed in
various experimental models of epilepsy. The present study evaluated the effects
of resveratrol on the seizures and hyperexcitable neuronal activity associated
with activation of N-methyl-d-aspartic acid (NMDA) receptor and inhibition of
voltage-gated potassium channels. METHODS: The effects of resveratrol on seizure
thresholds, excitatory field potentials (EFPs) and action potentials induced by
NMDA and 4-aminopyridine (4-AP) were monitored in mice, the mouse cortical slices
and rat cortical neurons, respectively. RESULTS: Resveratrol increased the NMDA
induced seizure thresholds and suppressed the frequency of NMDA/glycine-evoked
EFPs and action potentials. However, resveratrol lowered the 4-AP-induced
thresholds for myoclonic twitch and face and forelimb clonus, yet enhanced the
thresholds for running and bouncing clonus and tonic hindlimb extension at the
higher dose (50 mg/kg). A similar biphasic response of resveratrol was observed
in the frequency of EFPs and action potential firings evoked by 4-AP, with
enhancement at lower concentrations, but suppression at higher concentrations.
DISCUSSION: These findings suggest that resveratrol might be capable of
protecting against the seizure types related to neuronal excitability and
progression mediated by NMDA receptor activation, but not suitable for the
seizures caused by disturbance of the voltage-dependent potassium channels.
PMID- 29642765
TI - Come Clean for Surgery.
AB - When patients are brought to the operating theatre a series of checks are
performed to reduce harm or potential harm to our patients. One harm that can
cause significant morbidity and mortality to our patients is surgical site
infection (SSI). Reducing SSIs requires a multi-faceted approach prior to the
incision. Prophylactic antibiotics are given, if indicated, along with glucose
and temperature control as part of an SSI bundle. Meticulous attention is paid by
the team to ensure that the surgical field is prepared with antiseptic solutions
such as chlorhexidine or betadine. The airflow changes in theatres also help
minimise the risk of infection. The surgeon and scrub team are trained to
undertake strict asepsis when 'scrubbing up'. The rest of the team are careful to
ensure hand hygiene and appropriate glove use at all times.
PMID- 29642766
TI - Trauma-Related Sleep Problems and Associated Health Outcomes in Police Officers:
A Path Analysis.
AB - Police officers are frequently exposed to two different types of potentially
traumatic events: one dealing with physical threats to self and the other
involving the witnessing of harm to others. These different types of traumatic
experiences are thought to produce various posttraumatic reactions. Furthermore,
sleep problems are also reported as a hallmark of posttraumatic stress disorder.
There is evidence, however, that sleep problems may mediate the relationship
between posttraumatic stress disorder symptoms and health outcomes, especially
physical health and depression. Previous research has shown this to be the case
among officers from large urban agencies. The purpose of the present study was to
test a model involving a pathway from trauma type and posttraumatic stress
disorder symptoms to physical health and depression that is mediated by sleep
quality in officers ( N = 193) using data from small- to mid-size police
agencies. Results revealed that sleep problems served as a mediator between
posttraumatic stress disorder hyperarousal and avoidance symptoms and health
outcomes, that the trauma types are related to different posttraumatic stress
disorder symptoms, and that complicated relationships exist between the study
variables. In addition, the results indicated that approximately 25% of our
sample displayed probable partial posttraumatic stress disorder or probable full
posttraumatic stress disorder, causing substantial functional impairment.
Suggestions for improving officer health and performance in the field are
provided. Specifically, it appears that interventions designed to address
posttraumatic stress disorder hyperarousal symptoms related to personal life
threat and the posttraumatic stress disorder avoidance symptoms related to the
witnessing of human suffering may maximize officer sleep quality and ultimately
overall wellness. In particular, mindfulness-based interventions are well suited
for addressing these symptom clusters.
PMID- 29642767
TI - The Role of Social Support on Depression Among Vulnerable Caregivers Reporting
Bidirectional Physical Violence.
AB - Intimate partner violence (IPV) is a public health concern found across genders,
socioeconomic strata, cultures, and ethnicities. While IPV is traditionally
examined from either the victim or initiator role, it is also important to
consider relationships in which both partners experience and demonstrate
violence. The current study examined the relation between IPV chronicity and
depression among 403 female caregivers with young children. Specifically, the
current study examined the association between bidirectional IPV and depression.
Furthermore, the impact of social support on depression levels among those
caregivers was assessed. Results suggest that of those couples who experienced
violence, bidirectional IPV was reported significantly more frequently than
unidirectional IPV only. No significant differences in depression were found
between those reporting bidirectional versus unidirectional IPV. Among those
involved in bidirectional IPV, having greater social support was associated with
significantly lower depression levels. Findings suggest that both initiation and
experiences of IPV should be assessed among caregivers of vulnerable children.
The potential impact of social support was also identified in this study.
Clinicians may consider assessing family violence broadly, including
bidirectional IPV, particularly among parents of young children with other
adverse life conditions. Development and sustainment of healthy relationships
through social support may facilitate adjustment for the caregivers.
PMID- 29642768
TI - Experiences of Gender-Based Violence at a South African University: Prevalence
and Effect on Rape Myth Acceptance.
AB - Instances of gender-based violence (GBV) on university campuses are rarely
reported to the authorities. This makes it difficult to gauge the prevalence of
this problem, which in turn affects efforts for prevention. This article
describes a university-wide online survey aimed at assessing, first, the
prevalence of GBV experienced by the three sectors in the community-students,
academic and research staff, and professional/administrative staff. Many of the
findings concurred with research elsewhere-students were the predominant victims
of GBV; men were the main perpetrators; and instances of rape occurred mainly
when the victim/survivor was under the influence of alcohol or drugs. In contrast
to some of the more well-known U.S. surveys (e.g., Georgetown University), we
found relatively few instances of students being exploited by staff members.
Occurrences of contrapower harassment were also reported in our survey. The
second aim investigated whether rape myth acceptance was related to experiences
of GBV. We found that women who had these experiences were more rejecting of rape
myths than women who had not had such experiences. The opposite was found for
White men. White men who had experienced GBV were more accepting of the rape
myths than those who had not had such experiences. It was suggested that this
reflected a need for these male victims to establish their hegemonic masculine
identity. In general, the level of rape myth acceptance was relatively low. This
suggested that widespread victim-blame, and self-blame does not account for the
low levels of GBV reports to officials. A limitation of the study was the
relatively low response rate (1,350 respondents), which was likely caused by the
student protests over university fees that were ongoing at the time of the
survey. These protests caused considerable disturbance for all sectors of the
university community.
PMID- 29642769
TI - Themes of Healing and Posttraumatic Growth in Women Survivors' Narratives of
Intimate Partner Violence.
AB - Research on the effects of intimate partner violence (IPV) on women demonstrates
the significant physical, emotional, psychological, and spiritual consequences of
this form of interpersonal trauma. It is well documented that experiencing IPV
can have devastating consequences to women's physical and mental health, overall
well-being, and quality of life, as well as that of their children's. However, a
small, predominantly qualitative body of research exists on women's experience of
and capacity for healing from the effects of IPV, but more research is needed to
advance theory and practice in this important area. This study applied secondary
analysis to an existing data set to answer the question, "What are the themes of
healing and posttraumatic growth in ten diverse women's narratives of IPV?"
Lengthy, detailed interview transcripts were rigorously subjected to inductive
and deductive thematic analysis, which revealed three overarching themes, and six
subthemes, of healing and posttraumatic growth in women's narratives: Awareness
and Insight (subthemes: Discerning the Self and Understanding Relationships),
Renewal and Reconstruction (subthemes: [Re]building the Self and Redefining
Relationships), and Transformation and Meaning (subthemes: New Perspectives and
Finding Purpose Through Helping Others). Findings further revealed that women's
healing from the effects of IPV involves a multidimensional, personalized,
nonlinear, and often transformative process that operates within themselves and
through relationships. Practitioners working with women who have experienced IPV
should consider survivors' potential for healing and target appropriate
intervention strategies. Additional qualitative and longitudinal research with
diverse populations would deepen understanding of the dynamics, variables, and
circumstances that impact healing and posttraumatic growth for women exposed to
IPV.
PMID- 29642770
TI - Providing Coordinated, Immediate, Trauma-Focused, and Interdisciplinary Responses
to Children Exposed to Severe Intimate Partner Violence: Assessing Feasibility of
a Collaborative Model.
AB - Despite the known consequences associated with children's exposure to intimate
partner violence (IPV), numerous children exposed to IPV never access victim
services and/or mental health treatment. Informed by the Child Development
Community Policing Program (CD-CP), the Child Trauma Response Team (CTRT) is
designed to provide a coordinated, immediate, trauma-informed, and
interdisciplinary response to children, adolescents, and their impacted family
members who are exposed to severe IPV. The aim of this study was to explore the
feasibility of the CTRT from the perspective of the key stakeholders and to
identify what facilitated and/or hindered the collaborative model. Interviews
were conducted with all 12 CTRT stakeholders of whom three worked for law
enforcement, four worked for the district attorney's office, four worked for the
nonprofit victim service organization, and one worked for the city office funding
the pilot. Results indicated that the CTRT program evolved through a cyclical
process including (a) implementing and enhancing program services, (b) defining
and nurturing partner relationships, and (c) shifting practices among partnering
agencies. The results provide concrete tools and practices that were successful
in the CTRT pilot implementation. Despite the potential barriers to successful
multidisciplinary collaborations, it is critical that we invest in developing and
implementing collaborative intervention models in the IPV field. The CTRT model
is an innovative model that conducts multidisciplinary coordinated outreach to
families experiencing severe IPV to enhance family engagement in services and,
when appropriate, in the criminal justice process.
PMID- 29642771
TI - Restoration of Peripheral Intermediate and Classical Monocytes Expressing HLA-DR
in Patients With Lung Adenocarcinoma After Platinum-Based Chemotherapy.
AB - Lung adenocarcinoma represents one of the lung cancer subtypes with major
prevalence. Accumulating evidence indicates that the immune system plays an
important role in the evolution of the neoplastic process; additionally, several
reports suggest that chemotherapy has an immunomodulatory effect. In order to
identify the peripheral subpopulations of leukocytes that may change after
chemotherapy, we evaluated several peripheral immune subpopulations of monocytes
and lymphocytes by multicolor flow cytometry. In addition, we also measured
cytokines and growth factors on plasma in order to evaluate the pro-inflammatory
context in patients with lung adenocarcinoma after chemotherapy. We found that
HLA-DR+ classical and intermediate monocytes were decreased in patients before
chemotherapy, compared to controls. After chemotherapy, the relative percentage
of those subpopulations was restored. In addition, interleukin 1beta, interleukin
12, and interleukin 5 were increased after chemotherapy compared to
prechemotherapy levels, while MIP-1beta was decreased.
PMID- 29642772
TI - The Assessment of Clinical Usage and Prognostic Value of YKL-40 Serum Levels in
Patients With Rectal Cancer Without Distant Metastasis.
AB - BACKGROUND: Colorectal cancer is one of the most common and significant
malignancies in the world. YKL-40 (chitinase-3-like protein 1) is involved in
cell proliferation, migration, inflammation, and tissue remodeling; and serum
levels of YKL-40 are associated with patient outcome in various cancers. The aim
of this study was to assess the potential clinical usage of YKL-40 pretreatment
serum levels as a prognostic biomarker in rectal cancer. METHODS: Concentrations
of YKL-40 and standard tumor marker-Carcinoembryonic antigen (CEA)-were assessed
in serum of 83 patients with rectal cancer without distant metastasis, and
association with clinicopathological characteristics and disease-free and overall
survival was evaluated. RESULTS: Concentration of YKL-40 was significantly higher
in serum of patients with rectal cancer compared to healthy controls ( P =
.0001), and YKL-40 levels were able to predict rectal cancer (area under the
Receiver Operating Characteristic [ROC] curve = .769) with higher accuracy than
CEA (area under the ROC curve = .728) in patients with early stage disease.
Increased YKL-40 levels were significantly associated with age ( P = .001);
however, no association with other clinicopathological characteristics was
observed. Finally, in patients with recurrence, the percentage of cases with
increased concentration of YKL-40 was significantly higher than in patients
without recurrence ( P = .041), and Kaplan-Meier analysis demonstrated that
elevated YKL-40 concentration is a predictor of poor overall survival in patients
with rectal cancer. CONCLUSION: Pretreatment serum levels of YKL-40 may be a
novel prognostic factor of overall and disease-free survival in patients with
nonmetastatic colorectal cancer.
PMID- 29642774
TI - Understanding Sorority Women's Privacy Management About Condom Use.
AB - Sorority women are at risk for engaging in unsafe sexual behavior. Fortunately,
the positive influence of peer communication about condom use can mitigate the
risk these women face. To better understand this communication, this article
investigates sorority women's communication about condom use through focus
groups, using the lens of the theory of Communication Privacy Management. The
results revealed the criteria for privacy rules sorority women use to negotiate
privacy and engage in comfortable communication with other women in the sorority.
The analysis also revealed an external privacy boundary; the women sought to
maintain a good reputation for their social group, and followed explicit and
implicit privacy management rules to do so. The implications for this study
include better understanding of the personal and collective boundaries of privacy
management, and improvements in sorority and college student sexual health
programs.
PMID- 29642773
TI - DCE-MRI-Derived Volume Transfer Constant (Ktrans) and DWI Apparent Diffusion
Coefficient as Predictive Markers of Short- and Long-Term Efficacy of
Chemoradiotherapy in Patients With Esophageal Cancer.
AB - This study aimed to evaluate both the short- and long-term efficacies of
chemoradiotherapy in relation to the treatment of esophageal cancer . This was
achieved through the use of dynamic contrast-enhanced magnetic resonance imaging
derived volume transfer constant and diffusion weighted imaging-derived apparent
diffusion coefficient . Patients with esophageal cancer were assigned into the
sensitive and resistant groups based on respective efficacies in
chemoradiotherapy. Dynamic contrast-enhanced magnetic resonance imaging and
diffusion weighted imaging were used to measure volume transfer constant and
apparent diffusion coefficient, while computed tomography was used to calculate
tumor size reduction rate. Pearson correlation analyses were conducted to analyze
correlation between volume transfer constant, apparent diffusion coefficient, and
the tumor size reduction rate. Receiver operating characteristic curve was
constructed to analyze the short-term efficacy of volume transfer constant and
apparent diffusion coefficient, while Kaplan-Meier curve was employed for
survival rate analysis. Cox proportional hazard model was used for the risk
factors for prognosis of patients with esophageal cancer. Our results indicated
reduced levels of volume transfer constant, while increased levels were observed
in ADCmin, ADCmean, and ADCmax following chemoradiotherapy. A negative
correlation was determined between ADCmin, ADCmean, and ADCmax, as well as in the
tumor size reduction rate prior to chemoradiotherapy, whereas a positive
correlation was uncovered postchemoradiotherapy. Volume transfer constant was
positively correlated with tumor size reduction rate both before and after
chemoradiotherapy. The 5-year survival rate of patients with esophageal cancer
having high ADCmin, ADCmean, and ADCmax and volume transfer constant before
chemoradiotherapy was greater than those with respectively lower values.
According to the Cox proportional hazard model, ADCmean, clinical stage, degree
of differentiation, and tumor stage were all confirmed as being independent risk
factors in regard to the prognosis of patients with EC. The findings of this
study provide evidence suggesting that volume transfer constant and apparent
diffusion coefficient as being tools allowing for the evaluation of both the
short- and long-term efficacies of chemoradiotherapy esophageal cancer treatment.
PMID- 29642775
TI - (Dis-)solving the Weight Problem in Binge-Eating Disorder: Systemic Insights From
Three Treatment Contexts With Weight Stability, Weight Loss, and Weight
Acceptance.
AB - Binge-eating disorder (BED) is a severe eating disorder strongly associated with
obesity. Treatments struggle to provide safe and effective ways of addressing
weight in a BED context. This study explored a two-phased treatment for BED
developed at a major out-patient eating disorder service in Denmark. The study
used interviews and participant observations to gain insight into experiences and
processes related to weight and body issues in three treatment contexts that
addressed weight stability, weight acceptance, and weight loss. Using systems
theory, the study proposed a relational weight problem that embeds feelings of
non-acceptance due to weight, a merge of weight and identity, and an internalized
body- and weight-critical gaze of others. Contrary to critical claims that weight
acceptance discourages people with obesity from engaging in weight loss efforts,
this study suggests that acceptance and a disentanglement of weight and identity
are prerequisites for weight loss for this group.
PMID- 29642776
TI - The Pink Underside: The Commercialization of Medical Risk Assessment and Decision
Making Tools for Hereditary Breast Cancer Risk.
AB - The growth of the Internet since the millennium has opened up a myriad of
opportunities for education, particularly in medicine. Although those looking for
health care information used to have to turn to a face-to-face doctor's visit, an
immense library of medical advice is now available at their fingertips. The BRCA
genetic predispositions (mutations of the BRCA1 and BRCA2 breast cancer genes)
which expose men and women to greater risk of breast, ovarian, and other cancers
can be researched extensively online. Several nonprofit organizations now offer
online risk assessment and decision-making tools meant to supplement conversation
with medical professionals, which in actuality are quickly replacing it. We argue
here through a critical qualitative template analysis of several such tools that
the discursive frameworks utilized are prone to fearmongering, commercialization,
and questionable validity. Left unchecked, these assessment tools could do more
harm than good in driving young women especially to take unnecessary extreme
surgical action.
PMID- 29642777
TI - Parental Experiences of Child Participation in a Phase I Pediatric Oncology
Clinical Trial: "We Don't Have Time to Waste".
AB - Children with cancer are only eligible for phase I clinical trials (P1Ts) when no
known curative therapy remains. However, the primary aims of P1Ts are not focused
on directly benefiting participants. This raises ethical concerns that can be
best evaluated by exploring the experiences of participants. An empirical
phenomenology study, using an adapted Colaizzi method, was conducted of 11
parents' lived experiences of their child's participation in a pediatric oncology
P1T. Study findings were that parents' experiences reflected what it meant to
have a child fighting to survive high-risk cancer. Although elements specific to
P1T participation were identified, more pervasive was parents' sense of running
out of time to find an effective treatment and needing to use time they had with
their child well. Even though some problems were identified, overall parents did
not regret their child's P1T participation and would recommend P1Ts to other
parents of children with cancer.
PMID- 29642778
TI - Effects of psycho-education plus basic cognitive behavioural therapy strategies
on medication-treated adolescents with depressive disorder in Nigeria.
AB - OBJECTIVE: Limited data exists on psychological interventions for adolescent
depression in African countries such as Nigeria. This study therefore
investigates the effects of a psychological intervention that includes psycho
education and basic elements of cognitive behavioural therapy (CBT) on depressed
medication-treated adolescents in Nigeria. METHODOLOGY: This was a pre-post one
group intervention study of 18 adolescents aged 13-18 years with clinically
diagnosed depressive disorder, attending a specialist psychiatric hospital. They
had been on antidepressants for 3 months or longer. Depressive symptoms,
knowledge of depression, hope, and attitudes towards treatment adherence were
measured at baseline and repeated at 1 and 4 weeks post-intervention. The
adolescents received four sessions of a group-based manualised intervention
focused on psycho-education and basic CBT strategies. RESULTS: Statistically
significant reductions in depressive symptoms were recorded, as were improvements
in the adolescents' knowledge of depression, hope, and attitude towards treatment
adherence one week after the intervention (all p = 0.001). All differences were
sustained at 4 weeks post-intervention. Participants' satisfaction with the
intervention was high. CONCLUSION: This study suggests that adding psycho
education with elements of CBT to antidepressant treatment is feasible,
acceptable and can produce further benefits to depressed adolescents in this
region.
PMID- 29642779
TI - Analyzing the Commitment of College Students Using a Brief, Contextualized
Measure of Need Satisfaction From the Perspective of Self-Determination Theory.
AB - This study empirically confirmed the relationships between the degree to which
students satisfied three basic needs (competence, relatedness, and autonomy) and
the strength of their commitments to the university they attended and to
obtaining a baccalaureate degree. A questionnaire was administered online to 1257
students at two 4-year universities. Regression analysis yielded statistically
significant associations between the three needs and Institutional Commitment and
Degree Commitment, explaining more than 20% of the variance in the latter two
variables.
PMID- 29642780
TI - Validating Translation Test Items via the Many-Facet Rasch Model.
AB - This study applied the many-facet Rasch model to assess learners' translation
ability in an English as a foreign language context. Few attempts have been made
in extant research to detect and calibrate rater severity in the domain of
translation testing. To fill the research gap, this study documented the process
of validating a test of Chinese-to-English sentence translation and modeled
raters' scoring propensity defined by harshness or leniency, expert/novice
effects on severity, and concomitant effects on item difficulty. Two hundred
twenty-five, third-year senior high school Taiwanese students and six educators
from tertiary and secondary educational institutions served as participants. The
students' mean age was 17.80 years ( SD = 1.20, range 17-19). The exam consisted
of 10 translation items adapted from two entrance exam tests. The results showed
that this subjectively scored performance assessment exhibited robust
unidimensionality, thus reliably measuring translation ability free from
unmodeled disturbances. Furthermore, discrepancies in ratings between novice and
expert raters were also identified and modeled by the many-facet Rasch model. The
implications for applying the many-facet Rasch model in translation tests at the
tertiary level were discussed.
PMID- 29642781
TI - Differential coactivation in a redundant signals task with weak and strong go/no
go stimuli.
AB - When participants respond to stimuli of two sources, response times (RTs) are
often faster when both stimuli are presented together relative to the RTs
obtained when presented separately (redundant signals effect [RSE]). Race models
and coactivation models can explain the RSE. In race models, separate channels
process the two stimulus components, and the faster processing time determines
the overall RT. In audiovisual experiments, the RSE is often higher than
predicted by race models, and coactivation models have been proposed that assume
integrated processing of the two stimuli. Where does coactivation occur? We
implemented a go/no-go task with randomly intermixed weak and strong auditory,
visual, and audiovisual stimuli. In one experimental session, participants had to
respond to strong stimuli and withhold their response to weak stimuli. In the
other session, these roles were reversed. Interestingly, coactivation was only
observed in the experimental session in which participants had to respond to
strong stimuli. If weak stimuli served as targets, results were widely consistent
with the race model prediction. The pattern of results contradicts the inverse
effectiveness law. We present two models that explain the result in terms of
absolute and relative thresholds.
PMID- 29642782
TI - The role of retrieval practice in memory and analogical problem-solving.
AB - Retrieval practice (e.g., testing) has been shown to facilitate long-term
retention of information. In two experiments, we examine whether retrieval
practice also facilitates use of the practised information when it is needed to
solve analogous problems. When retrieval practice was not limited to the
information most relevant to the problems (Experiment 1), it improved memory for
the information a week later compared with copying or rereading the information,
although we found no evidence that it improved participants' ability to apply the
information to the problems. In contrast, when retrieval practice was limited to
only the information most relevant to the problems (Experiment 2), we found that
retrieval practice enhanced memory for the critical information, the ability to
identify the schematic similarities between the two sources of information, and
the ability to apply that information to solve an analogous problem after a hint
was given to do so. These results suggest that retrieval practice, through its
effect on memory, can facilitate application of information to solve novel
problems but has minimal effects on spontaneous realisation that the information
is relevant.
PMID- 29642783
TI - The interplay of crossmodal attentional preparation and modality compatibility in
cued task switching.
AB - Two experiments examined the influence of preparation on modality compatibility
effects in task switching. The term modality compatibility refers to the
similarity between the stimulus modality and the modality of response-related
sensory consequences. Previous research showed evidence for modality
compatibility benefits in task switching when participants switched either
between two modality compatible tasks (auditory-vocal and visual-manual) or
between two modality incompatible tasks (auditory-manual and visual-vocal). In
this study, we investigated the influence of active preparation on modality
compatibility effects in task switching. To this end, in Experiment 1, we
introduced unimodal modality cues, whereas in Experiment 2, bimodal abstract cues
were used. In both experiments, the cue-stimulus interval (CSI) was manipulated
while holding the response-stimulus interval (RSI) constant. In both experiments,
we found not only decreased switch costs with long CSI but also the elimination
of the residual switch costs. More importantly, this preparation effect did not
modulate the modality compatibility effect in task switching. To account for this
data pattern, we assume that cue-based preparation of switches by modality
mappings was highly effective and produced no residual reaction time (RT) costs
with long CSI.
PMID- 29642784
TI - The interaction of notional number and morphophonology in subject-verb agreement:
A role for working memory.
AB - Research shows that cross-linguistically, subject-verb agreement with complex
noun phrases (e.g., The label on the bottles) is influenced by notional number
and the presence of homophony in case, gender, or number morphology. Less well
understood is whether notional number and morphophonology interact during speech
production, and whether the relative impact of these two factors is influenced by
working memory capacity. Using an auditory sentence completion task, we
investigated the impact of notional number and morphophonology on agreement with
complex subject noun phrases in Dutch. Results revealed main effects of notional
number and morphophonology. Critically, there was also an interaction between
morphophonology and notional number because participants showed greater notional
effects when the determiners were homophonous and morphophonologically ambiguous.
Furthermore, participants with higher working memory scores made fewer agreement
errors when the subject noun phrase contained homophonous determiners, and this
effect was greater when the subject noun phrase was notionally singular. These
findings support the hypothesis that cue-based retrieval plays a role in
agreement production, and suggests that the ability to correctly assign subject
verb agreement-especially in the presence of homophonous determiners-is modulated
by working memory capacity.
PMID- 29642785
TI - Moral fatigue: The effects of cognitive fatigue on moral reasoning.
AB - We report two experiments that show a moral fatigue effect: participants who are
fatigued after they have carried out a tiring cognitive task make different moral
judgements compared to participants who are not fatigued. Fatigued participants
tend to judge that a moral violation is less permissible even though it would
have a beneficial effect, such as killing one person to save the lives of five
others. The moral fatigue effect occurs when people make a judgement that focuses
on the harmful action, killing one person, but not when they make a judgement
that focuses on the beneficial outcome, saving the lives of others, as shown in
Experiment 1 ( n = 196). It also occurs for judgements about morally good
actions, such as jumping onto railway tracks to save a person who has fallen
there, as shown in Experiment 2 ( n = 187). The results have implications for
alternative explanations of moral reasoning.
PMID- 29642786
TI - ?
PMID- 29642787
TI - ?
PMID- 29642788
TI - ?
PMID- 29642789
TI - ?
PMID- 29642790
TI - ?
PMID- 29642791
TI - [CME: Cavitary Pulmonary Disease - Differential Diagnosis and Diagnostic Work
Up].
PMID- 29642792
TI - ?
PMID- 29642793
TI - A Clinical Patient Reporting Tool: Giving ADPKD Patients Back Their Data.
PMID- 29642794
TI - ?
PMID- 29642797
TI - Recurrent Aortic Dissection in a Patient With an Actin Mutation Treated With a
Laser-Fenestrated Aortic Arch Stent-Graft.
AB - A 58-year-old African American female, who had previously undergone a valve
sparing aortic root replacement for a type A dissection, represented 2 months
later with a new focal dissection and aneurysm with intramural hematoma just
distal to the origin of the left subclavian artery. Since no landing zone distal
to the subclavian artery existed, the patient underwent thoracic endovascular
aortic repair with laser fenestration-aided stent-graft placement in the left
subclavian artery. The patient recovered without complication, and 52 months
later, she is doing well with normal renal function and ambulatory status.
PMID- 29642795
TI - Recommendations of Swiss Memory Clinics for the Diagnosis of Dementia
AB - The early diagnosis of subjectively perceived or externally anamnestically
observed cognitive impairments is essentialfor proving neurodegenerative diseases
or excluding treatable causes such as internal, neurological or
psychiatricdisorders. Only in this way is early treatment made possible. As part
of the project 3.1 of the National Dementia Strategy2014-2019 ("Development and
expansion of regional and networked centres of competence for diagnostics"), the
associationSwiss Memory Clinics (SMC) set itself the goal of developing quality
standards for dementia clarification and improvingthe community-based care in
this field. In these recommendations, general guidelines of diagnostics and
individualexamination possibilities are presented, and standards for the related
processes are suggested. Individual areas such asanamnesis, clinical examination,
laboratory examination, neuropsychological testing and neuroradiological
procedures arediscussed in detail as part of standard diagnostics, and
supplementary examination methods for differential diagnosisconsiderations are
portrayed. The most important goals of the SMC recommendations for the diagnosis
of dementia are togive all those affected access to high-quality diagnostics, if
possible, to improve early diagnosis of dementia and to offerthe basic service
providers and the employees of Memory Clinics a useful instrument for the
clarification.
PMID- 29642798
TI - A retrospective study of a new n-butyl-2-cyanoacrylate glue ablation catheter
incorporated with application guiding light for the treatment of venous
insufficiency: Twelve-month results.
AB - Objective This study aims to present the early results of a retrospective study
of the use of novel n-butyl-2-cyanoacrylate (VenaBlock)-based nontumescent
endovenous ablation with a guiding light for the treatment of patients with
varicose veins. Methods Patients with lower limb venous insufficiency were
treated with n-butyl-2-cyanoacrylate (VenaBlock Venous Closure System) between
April 2016 and July 2016. The study enrolled adults aged 21-70 years with
symptomatic moderate to severe varicosities (C2-C4b) and great saphenous vein
reflux lasting longer than 0.5 s with great saphenous vein diameter between 5.5
and 15 mm assessed in the standing position. No compression stockings were used
after the procedure. Duplex ultrasound imaging and clinical follow-up were
performed on the third day, first month, sixth month, and 12th month. Clinical,
etiological, anatomical, pathophysiological classification; venous clinical
severity score; and completed Aberdeen varicose vein questionnaire were recorded.
Results Five hundred thirty-eight patients with great saphenous vein incompetency
underwent n-butyl-2-cyanoacrylate ablation. The mean ablation length was 25.69 +/
4.8 cm, and the average amount of n-butyl-2-cyanoacrylate delivered was 0.87 +/-
0.15 ml. The mean procedure time was 11.7 +/- 4.9 min. Procedural success was
100%, and complete occlusion was observed after treatment and at the third-day
follow-up. We observed ecchymosis in five patients (1.00%) at the entry site at
the third-day follow-up. Phlebitis was encountered with six (1.20%) patients. No
skin pigmentation, hematoma, paresthesia, deep vein thrombosis, or pulmonary
embolism was observed. Kaplan-Meier analysis yielded an occlusion rate of 99.4%
at the 12-month follow-up. All patients had significant improvement in venous
clinical severity score and Aberdeen varicose vein questionnaire scores
postoperatively ( p <0.0001). Venous clinical severity score scores decreased
from 5.43 +/- 0.87 to 0.6 +/- 0.75. Aberdeen varicose vein questionnaire scores
decreased from 18.32 +/- 5.24 to 4.61 +/- 1.42. Conclusions The procedure appears
to be feasible, safe, and efficient in treating the great majority of incompetent
great saphenous veins with this technique.
PMID- 29642799
TI - Placental Defects: An Epigenetic Perspective.
AB - Placenta, the first organ to be formed during gestation, plays a crucial role in
intrauterine regulation of fetal growth and is involved in several functions
during fetal development such as exchange of nutrients, wastes, and gases;
protection against maternal immune rejection; and various metabolic and endocrine
functions. Several studies have shown the regulation of epigenetic factors and
the phenomenon of genomic imprinting in placentation and embryogenesis. Any gain
or loss of imprint marks in the placenta has been shown to associate with severe
placental defects which in turn affect both the mother and the growing fetus and
can have long-term effects during adulthood. Using candidate and genome-wide high
throughput approaches, several studies have shown association between aberrant
epigenetic factors in the form of DNA methylation, histone modifications, and non
coding RNAs and placental defects in both human and animal models as well as
using in vitro studies. In the current review, we discuss several placenta
related pathophysiologies and their association with various aberrant epigenetic
factors and gene expression patterns in both in vivo and in vitro systems. This
review will help the researchers gain insight into the recent evidences in the
area of placentation and epigenetics and to design novel strategies to study and
prevent the defects in this underestimated organ.
PMID- 29642800
TI - The Usefulness of CD34, PCNA Immunoreactivity, and Histopathological Findings for
Prediction of Pain Persistence After the Removal of Endometrioma.
AB - Endometriosis is an estrogen-dependent inflammatory disease that causes
infertility and chronic pelvic pain. Ovarian endometrioma is the most common form
of endometriosis, and conservative surgery is the main preferred therapeutic
approach for endometrioma-associated symptoms. The aim of this study was to
investigate the persistence of cyclic and noncyclic pelvic pain (NCPP) after
endometrioma excision and their relationship to clinical and histopathological
findings. In this prospective observational study, 41 symptomatic patients were
evaluated for the presence of pain symptoms 3 to 6 months after endometrioma
excision. Tissue specimens of endometrioma were collected during the operation
and embedded in paraffin. The persistence of pain was 41.4%. Surgical excision of
endometrioma significantly decreased NCPP and dysmenorrhea, but not dyspareunia (
P < .0001, P = .0001, and P = .25, respectively). Histopathological changes,
including depth of endometriosis penetration into the cyst wall, the presence of
macrophage infiltration, and vascularity of endometrioma cyst walls were
significantly higher in patients with pain persistence than in patients without
pain persistence ( P = .0034, P = .0042, and P = .0007, respectively). Moreover,
proliferating cell nuclear antigen (PCNA) and CD34 immunoreactivity in both
glandular and stromal cells and vascular endothelium were significantly higher in
patients with pain persistence ( P = .0079 and P = .0025, respectively).
Additionally, these histopathological changes and PCNA and CD34 immunoreactivity
were significantly correlated with the persistence of NCPP and dysmenorrhea. The
discovered differences in patients with endometrioma with or without pain
persistence may indicate a possible relationship between endometrioma-associated
pain and histopathological variability of endometrioma.
PMID- 29642801
TI - Regulation of Cell Cycle Regulatory Proteins by MicroRNAs in Uterine Leiomyoma.
AB - The objective of this study was to determine whether miR-93, miR-29c, and miR
200c, which we previously reported to be downregulated in leiomyomas, target cell
cycle regulatory proteins that influence cell proliferation. Based on TargetScan
algorithm 3 cell cycle regulatory proteins namely, E2F transcription factor 1
(E2F1), Cyclin D1 (CCND1) and CDK2 which were predicted to be targets of these
miRNAs were further analyzed. In 30 hysterectomy specimens, we found the
expression of E2F1 and CCND1 messenger RNA (mRNA) was increased in leiomyoma as
compared to matched myometrium, with no significant changes in CDK2 mRNA levels.
There was a significant increase in the abundance of all 3 proteins in leiomyoma
in comparison with matched myometrium. Using luciferase reporter assay, we
demonstrated E2F1 and CCND1 are targets of miR-93 and CDK2 is a target of miR-29c
and miR-200c. We confirmed these findings through transfection studies in which
transfection of primary leiomyoma cells with miR-93 resulted in a significant
decrease in the expression of E2F1 and CCND1 mRNA and protein levels, whereas
knockdown of miR-93 had the opposite effect. Similarly, overexpression of miR-29c
and miR-200c in leiomyoma cells inhibited the expression of CDK2 protein and
mRNA, whereas knockdown of this microRNAs (miRNA) had the opposite effect.
Transfection of miR-29c, miR-200c, and miR-93 in primary leiomyoma cells resulted
in a time-dependent inhibition of cell proliferation and cell motility. These
results collectively indicate that the 3 miRNAs known to be downregulated in
fibroid tumors are critical in regulation of cell proliferation because of their
effects on 3 key cell cycle regulatory proteins, which are overexpressed in
uterine leiomyomas.
PMID- 29642802
TI - Effect of High-Fat Diet on Immature Female Mice and Messenger and Noncoding RNA
Expression Profiling in Ovary and White Adipose Tissue.
AB - Obesity is a chronic multifactorial disease prevalent in many areas of the world
and is a major cause of morbidity and mortality. In women, obesity increases the
risks of both metabolic and reproductive diseases, such as diabetes and
infertility. The mechanisms underlying these effects, especially in young women,
are largely unknown. To explore these mechanisms, we established a high-fat diet
(HFD) model of obesity in immature female mice. Microarray analysis of gene
expression in ovaries and white adipose tissue identified a large number of
differentially expressed genes (>1.3-fold change) in both tissues. In ovaries of
the HFD group, there were 208 differentially expressed messenger RNAs (mRNAs),
including 98 upregulated and 110 downregulated, and 295 differentially expressed
lncRNAs (long non coding RNAs), including 63 upregulated and 232 downregulated.
In white adipose tissue, there were 625 differentially expressed mRNAs, including
220 upregulated and 605 downregulated in the HFD group, and 1595 differentially
expressed lncRNAs, including 1320 and 275 downregulated in the HFD group. Our
results reveal significant differences between the transcriptomes of the HFD and
control groups in both ovaries and white adipose tissue that provide clues to the
molecular mechanisms of diet-induced female reproductive dysfunction and
metabolic disorders, as well as biomarkers of risk for these disorders.
PMID- 29642803
TI - Expression of N-Acetylglucosaminyltransferase III Promotes Trophoblast Invasion
and Migration in Early Human Placenta.
AB - INTRODUCTION: Trophoblast migration and invasion at the maternal-fetal interface
are crucial events for normal placentation and successful pregnancy. This
progress is well controlled by many placenta-specific factors. Inadequate
trophoblast invasion results in poor placenta plantation or even complications
such as preeclampsia. It has been shown that N-acetylglucosaminyltransferase III
(GnT-III) participates in tumor invasion and metastasis as a suppressor; however,
the expression of GnT-III and its role in normal pregnancy is unclear. Our
objective was to characterize GnT-III expression and function during placental
development and identify the underlying mechanisms. METHODS: The expression of
GnT-III in human placental tissue from the first trimester was determined by
immunohistochemistry. The HTR8/SVneo cell line was used to investigate the
effects of GnT-III on proliferation, apoptosis, migration/invasion, matrix
metalloproteinase (MMP) 2/9 activity, and the expression of the tissue inhibitor
of metalloproteinase (TIMP) 1/2 using cell 3-[4,5-dimethylthiazol-2-yl]-2,5
diphenyltetrazolium bromide assays, flow cytometric analysis, transwell
migration/invasion assays, gelatin zymography, and Western blot, respectively.
Moreover, a placental villous explant model was employed to determine its
functions in placentation. RESULTS: In the first-trimester placental tissue, GnT
III was localized within the cytotrophoblast, the syncytiotrophoblast and the
trophoblast columns of human placental villi, decidual cells, and some
extravillous cells in the maternal decidua. GnT-III silencing significantly
inhibited HTR8/SVneo cell invasion and migration as well as extravillous explant
outgrowth. The application of GnT-III siRNA significantly attenuated MMP2/9
activity and increased TIMP1/2 expression. DISCUSSION AND CONCLUSION: GnT-III is
expressed in trophoblasts during normal human pregnancy and is involved in
regulating trophoblast function.
PMID- 29642804
TI - Angioedema in Australia: hospital admission rates and fatalities, 2000-2013.
PMID- 29642806
TI - Developing a sustainable health care system: the United Kingdom experience.
PMID- 29642807
TI - The Lancet Countdown down under: tracking progress on health and climate
change in Australia.
PMID- 29642809
TI - A severe case of Mycobacterium ulcerans (Buruli ulcer) osteomyelitis
requiring a below-knee amputation.
PMID- 29642808
TI - Tackling the worsening epidemic of Buruli ulcer in Australia in an information
void: time for an urgent scientific response.
PMID- 29642810
TI - Preparing medical graduates for the health effects of climate change: an
Australasian collaboration.
PMID- 29642811
TI - Invisible injuries: patient harms we hear about when we take the time to ask.
PMID- 29642812
TI - Striving for more socially just global health.
PMID- 29642813
TI - the five cardinal signs of inflammation.
PMID- 29642814
TI - Planetary health: what is it and what should doctors do?
PMID- 29642815
TI - Pollution, climate change, and childhood asthma in Australia.
PMID- 29642816
TI - Damp housing, gas stoves, and the burden of childhood asthma in Australia.
AB - OBJECTIVE: To determine the proportion of the national childhood asthma burden
associated with exposure to dampness and gas stoves in Australian homes. DESIGN:
Comparative risk assessment modelling study. Setting, participants: Australian
children aged 14 years or less, 2011. MAIN OUTCOME MEASURES: The population
attributable fractions (PAFs) and number of disability-adjusted life years
(DALYs) for childhood asthma associated with exposure to damp housing and gas
stoves. RESULTS: 26.1% of Australian homes have dampness problems and 38.2% have
natural gas as the main energy source for cooktop stoves. The PAF for childhood
asthma attributable to damp housing was 7.9% (95% CI, 3.2-12.6%), causing 1760
disability-adjusted life years (DALYs; 95% CI, 416-3104 DALYs), or 42 DALYs/100
000 children. The PAF associated with gas stoves was 12.3% (95% CI, 8.9-15.8%),
corresponding to 2756 DALYs (95% CI, 1271-4242), or 67 DALYs/100 000 children. If
all homes with gas stoves were fitted with high efficiency range hoods to vent
gas combustion products outdoors, the PAF and burden estimates were reduced to
3.4% (95% CI, 2.2-4.6%) and 761 DALYs (95% CI, 322-1199). CONCLUSIONS: Exposure
to damp housing and gas stoves is common in Australia, and is associated with a
considerable proportion of the childhood asthma burden. Strategies for reducing
exposure to indoor dampness and gas combustion products should be communicated to
parents of children with or at risk of asthma.
PMID- 29642817
TI - Rheumatic heart disease in Timor-Leste school students: an echocardiography-based
prevalence study.
AB - OBJECTIVES: To determine the prevalence of rheumatic heart disease (RHD) in
school-aged children and young people in Timor-Leste. DESIGN: Prospective cross
sectional survey. Echocardiography was performed by Australian cardiologists to
determine the presence of RHD. Demographic data were also collected. Patients in
whom RHD was detected were entered into a register to allow monitoring of
adherence to secondary prophylaxis; the first dose of benzathine penicillin G
(BPG) was administered on the day of screening. SETTING: Schools in urban (Dili)
and rural (Ermera) Timor-Leste. PARTICIPANTS: School students aged 5-20 years.
OUTCOME MEASURES: Definite and borderline RHD, as defined by World Heart
Federation echocardiographic criteria. RESULTS: 1365 participants were screened;
their median age was 11 years (IQR, 9-14 years), and 53% were girls. The
estimated prevalence of definite RHD was 18.3 cases per 1000 population (95% CI,
12.3-27.0 per 1000), and of definite or borderline RHD 35.2 per 1000 (95% CI,
26.5-46.4 per 1000). Definite (adjusted odds ratio [aOR], 3.5; 95% CI, 1.3-9.4)
and definite or borderline RHD (aOR, 2.7; 95% CI, 1.4-5.2) were more prevalent
among girls than boys. Eleven children (0.8%) had congenital heart disease. Of
the 25 children in whom definite RHD was identified, 21 (84%) received education
and a first dose of BPG on the day of screening; all 25 have since received
education about primary care for RHD and have commenced penicillin prophylaxis.
CONCLUSIONS: The rates of RHD in Timor-Leste are among the highest in the world,
and prevalence is higher among girls than boys. Community engagement is essential
for ensuring follow-up and the effective delivery of secondary prophylaxis.
PMID- 29642818
TI - Health burden associated with fire smoke in Sydney, 2001-2013.
PMID- 29642819
TI - Tick-induced allergies: mammalian meat allergy and tick anaphylaxis.
AB - Mammalian meat allergy after tick bites and tick anaphylaxis are the most serious
tick-induced allergies. They are often severe, should be largely avoidable and
offer fascinating insights into the development and prevention of allergies.
Australian clinicians reported the first cases of tick anaphylaxis and discovered
the association between tick bites and the development of mammalian meat allergy.
The subsequent finding of the allergen epitope within the meat responsible for
the allergic reaction, alpha-gal (galactose-alpha-1,3-galactose), stimulated
further interest in this emergent allergy. Reports of mammalian meat allergy
associated with bites from several tick species have now come from every
continent where humans are bitten by ticks. The number of diagnosed patients has
continued to rise. Clinically, mammalian meat allergy and tick anaphylaxis
present quite differently. The prominent role of cofactors in triggering episodes
of mammalian meat allergy can make its diagnosis difficult. Management of
mammalian meat allergy is complicated by the manifold potential therapeutic
implications due to the widespread distribution of the mammalian meat allergen,
alpha-gal. Exposures to alpha-gal-containing medications have proved lethal in a
minority of people, and fatal tick anaphylaxis has been reported in Australia.
Prevention of tick bites is prudent and practicable; killing the tick in situ is
crucial to both primary and secondary prevention of allergic reactions.
Mechanisms in the development of mammalian meat allergy constitute a paradigm for
how allergies might arise.
PMID- 29642820
TI - Extreme heat threatens the health of Australians.
PMID- 29642821
TI - Clinical quality registries for clinician-level reporting: strengths and
limitations.
PMID- 29642823
TI - Bringing relief to Rohingya refugees.
PMID- 29642822
TI - Clinical quality registries for clinician-level reporting: strengths and
limitations.
PMID- 29642824
TI - Around the universities and research institutes.
PMID- 29642825
TI - [Investigation of carbapenemase genes and molecular epidemiology of
Enterobacteriaceae strains isolated between 2010-2014 in a university hospitals].
AB - The worldwide spread of carbapenemase producing Enterobacteriaceae isolates has
become a major threat of public health. This worrisome situation leads the
development of new methods for carbapenemase screening, detection, prevention of
spread and epidemiological data collection as mandatory. In this study, it was
aimed to investigate existence and distribution of carbapenemase-encoding genes
(CEGs) among carbapenem-resistant Enterobacteriaceae isolated from various
clinical samples in Ankara University Faculty of Medicine, Ibni Sina Hospital,
Central Microbiology Laboratory between June 2010-May 2014 and detect their
clonal relationship. A total of 112 non-repetitive Enterobacteriaceae isolates
which were intermediate or resistant to ertapenem were identified by using
Phoenix (BD Diagnostic Systems, Sparks, USA) automated microbiology system. After
DNA extraction from the isolates, 11 carbapenemase-encoding genes (CEGs) (blaIMP,
blaVIM, blaSPM, blaKPC, blaNDM, blaOXA-48, blaGIM, blaSIM, blaAIM, blaDIM ve
blaBIC) were detected with PCR. The clonal relationship among the isolates was
determined by PFGE method following digestion with Xbal DNA macrorestriction
endonuclease. Among 112 isolates Klebsiella pneumoniae was the most frequent (n=
79, 70.5%) bacteria followed by Escherichia coli (n= 15, 13.4%), Enterobacter
cloacae (n= 10, 8.9%), Enterobacter aerogenes (n= 4, 3.6%) and Klebsiella oxytoca
(n= 4, 3.6%) respectively. blaOXA-48 was the most frequent gene detected. Among
83 (74.1%) isolates blaOXA-48 was detected alone and in 7 (6.3%) of the isolates
it was identified with blaVIM gene coexistence. blaVIM gene was identified as the
second most frequent CEG among the isolates. blaVIM gene was detected positive in
9 (8%) isolates. blaNDM gene was identified in 2 (1.8%) isolates. Ten of the
K.pneumoniae isolates with identical PFGE pattern were named as pulsotype B.
These isolates were found to be similar in terms of isolate location, isolation
dates, antibiotic resistance patterns and the carbapenemase genes they carry, and
are considered to be potential outbreak isolates originated from intensive care
units. On the other hand CEGs were found in the clinical samples obtained from
five out-patients suggesting that community-acquired infections may also arise
due to carbapenemase producing Enterobacteriaceae in our country where blaOXA-48
producers are endemic. According to this study, blaOXA-48 producing gram negative
bacteria were frequent in our hospital. The prevalance of blaVIM gene among
metallo-beta-lactamases and coexistence with blaOXA-48 gene was remarkable. The
frequency of blaNDM producing isolates in our hospital was not detected as high
yet. In this study, the identification of carbapenemase producing bacteria as
outbreak strains in our hospital indicated that cross-sectional surveillance for
carbapenemase-producing bacteria from each patient was valuable in terms of early
diagnosis of outbreaks.
PMID- 29642826
TI - [Investigation of high-risk ST131 clone in ESBL-producing Escherichia coli
isolates isolated from urine and non-urinary clinical specimens with MALDI-TOF MS
and real time PCR].
AB - In recent years, the ST131 clone was identified as a high risk pandemic clone
among Escherichia coli isolates by multilocus sequence typing (MLST) studies and
has been associated with extended spectrum beta-lactamase (ESBL) production
(often with CTX-M-15) and antibiotic resistance especially against
fluoroquinolones. The aim of this study was to determine the rate of high risk
ST131 clone in ESBL producing E.coli isolates in our region, to investigate the
sensitivity of MALDI-TOF MS in the detection of ST131 clone, and to compare the
frequency of antimicrobial resistance among ST131 and non-ST131 isolates. A total
of 251 urinary and 50 non-urinary E.coli isolates identified in our hospital
central laboratory between February 2016-February 2017 were included in the
study. Real-time PCR and MALDI-TOF MS methods were used for the detection of
E.coli ST131 clone. For the statistical evaluation of the rate of antibiotic
resistance among isolates of ST131 and non-ST131 clones, chi-square test was
used. p value under 0.05 was considered as significant. Of the 301 isolates, 110
(36.6%) and 92 (30.6%) isolates were identified as ST131 clone by real-time PCR
and MALDI-TOF MS, respectively. According to real-time PCR results, 91 (36.3%) of
251 urinary isolates and 19 (38%) of 50 non-urinary isolates were found as ST131
clone; there was no statistically significant difference between the groups.
Ciprofloxacin resistance was found to be significantly higher in ST131 isolates
than the non-ST131 isolates (78.2%, n= 86 vs. 53.4%, n= 102). No statistically
significant difference was determined for the other antibiotics tested. For the
detection of E.coli ST131 clone; sensitivity of MALDI-TOF MS was 84%, specificity
was 100% while positive predictive value was 100% and negative predictive value
was 92%. In conclusion, further investigation of the high risk E.coli ST131 clone
in our country, in which ESBL ratios and antibiotic resistance rates, especially
in fluoroquinolones, are high, is important for the development of new strategies
to control antibiotic resistance. MALDI-TOF MS method is particularly useful for
easy and fast detection of the high risk E.coli ST131 clone.
PMID- 29642827
TI - [Analysis of hepatitis B virus (HBV) preS1, preS2 and S gene regions from patient
groups infected with HBV genotype D].
AB - Mutations in preS and S gene regions of hepatitis B virus genome may cause immune
escape and diagnostic escape HBV mutants. The aim of this study was to determine
preS1, preS2 and S gene regions of HBV from HBV infected patient groups by
sequence analysis and contribute to the relevant literature. Nucleic acid
sequence analysis of preS and S genes of HBV PCR products from 56 archived plasma
samples sent to Ege University Faculty of Medicine Medical Microbiology
Department Molecular Virology laboratory, for HBV tests were determined by chain
termination reaction. Amino acid (aa) sequences were compared with the reference
sequences obtained from GenBank. Plasma samples belonged to four groups of
patients: A- Chronic HBV infected patients with typical HBV serological profiles
(22 samples), B- HBV infected patients with atypical HBV serological profiles (26
samples), C- HBV re-infected patients after liver transplantation (5 samples), D-
Seroconversion phase following acute HBV infection (3 samples). One of two
vaccine escape mutant samples was also diagnostic escape mutant; the other
diagnostic escape mutant was isolated from anti-HBc positive sample. All of the
sequences were determined as genotype D. HBsAg subtypes were determined as; two
ayw1, six ayw3, two mix, 46 ayw2. Among the 304 codons analysed between preS 33rd
and S 162nd amino acids; aa variants were determinedin 105 codons (34.5%).
Sequences can be found in GenBank with accession numbers FJ001941-FJ001996. At
least one aa variation was detected in 48 of 56 samples (85.7%). The amino acid
variants were as follows; PreS1: A33T, A39T, P41K, D44del, D50N, T51P, D54N,
L65P/M, F67L, W77T, A81S, Q82E, I84T, L85I/M, Q86H/T, L88S, A90T/V, N91K/del,
A95P, S96A, T97I/A, N98K, Q100K, S101T, S109T, P110S, N114D/E, PreS2: M1V, Q2R,
S5H, F8S, H9Q, Q13L, D14N, R16K, R18K, G19S/D, F22L/S, S28T, G30E, N33T, V39A,
P41H/L, I42T/L, I45T, F46Y, S47L, R48K, I49T, D51V/G, P52L, A53V, L54R/G, N55K; S
gene: E2D, I4F, F8L, G10A, V14A, F20S, L22del, R24K, P29L, Q30K, N40S, F41del,
G44E, T45L, T46P, V47A, L49R, Q54R, P56L, S64F, P70A, M75I, C76Y, R79H, I81T,
F83C, L88P, L94S, Y100F, Q101H/R, M103L, L104F, L109I/M, I110L, G112S/R, S113N/P,
S114A/del, T115I, T116N, T118A/K, P120A/T, T123A, in "a" determinant; T126I,
Q129H/R, T131N, M133T, Y134N, S136Y, S143L/M/T, D144E, G145A/R. Deletions were
also found in all three preS/S gene regions. The highest number of aa variations
were detectedin the isolated anti-HBc positive sample (in 24 codons), followed by
liver transplant group (8-13 codons). Point mutation was detected in the preS2/S
promoter CCAAT box. Major hydrophilic region (MHR) variants were determined in
41.1% of 56 samples. The highest number of MHR variants belonged to atypical HBV
serological profile group (group B; 61.5%) and liver transplantation group with
HBV re-infection (all C group). Among the diagnostic escape and immune escape
mutant (anti-HBs positive) samples, reported MHR and "a" determinant mutations
were detected. In conclusion, the study population carries HBV preS/S variants;
MHR and "a" determinant variant rates are high among diagnostic or immune escape
mutants. It is important to evaluate the mutant detection performance of HBsAg
tests.
PMID- 29642828
TI - [Comparison of Clinical Laboratory Standards Institute (CLSI) and European
Committee on Antimicrobial Susceptibility Testing (EUCAST) broth microdilution
methods for determining the susceptibilities of Candida isolates].
AB - Candida species are among the top 10 pathogens causing bloodstream infections
associated with high morbidity, mortality. In spite of the development of new
antifungal drugs, epidemiological studies have shown that resistance to
antifungal drugs among Candida isolates is becoming a serious problem. The aim of
this study was to compare the antifungal broth microdilution methods of the
Clinical and Laboratory Standards Institute (CLSI) and European Committee on
Antimicrobial Susceptibility Testing (EUCAST) for amphotericin B, fluconazole,
itraconazole, voriconazole, posaconazole and anidulafungin susceptibility of
Candida blood isolates. The study consisted of 74 Candida albicans, 67 Candida
parapsilosis, 30 Candida glabrata, and 18 Candida tropicalis isolates. The
minimum inhibitory concentrations were determined after 24 and 48 hour of
incubation with CLSI method and only 24 hour of incubation with EUCAST method
except anidulofungin. The MIC values obtained by both methods were considered to
be compatible within +/- 2 dilution limits. The categorical agreement between
methods for each antifungal agent was assessed using clinical break points and
epidemiological cut-off values. The agreement (+/- 2 dilution) between the
methods was found to be species, drug, and incubation time dependent. After 24
hour incubation, good agreement category (> 90%) was detected between
amphotericin B, itraconazole, posaconazole and anidulofungin, but was lower
category (< 85%) was determined with fluconazole and voriconazole especially for
relatively slow growing C.glabrata and C.parapsilosis isolates. Excellent
categorical agreement (100%) was observed for amfoterisin B/C.parapsilosis,
C.glabrata, C.tropicalis and anidulofungin/C.albicans, C.glabrata, C.tropicalis
but least category was determined for posaconazole and C.albicans (71.6% at 24
hour; 73% at 48 hour). In vitro resistance of therapeutically used fluconazole
and anidulafungin determined by both methods was rare among C.albicans (1.3%,
2.7% respectively), C.glabrata (0%, 3.3% respectively) and C.tropicalis (0%, 5.6%
respectively) isolates but, an increase of non-susceptible isolates were observed
among C.parapsilosis (11.9% at 24 hour of incubation; 17.9% at 48 hour of
incubation) for fluconazole. There was also a cross resistance between
fluconazole and voriconazole for three C.parapsilosis isolates and one multidrug
resistant (fluconazole, itraconazole, posaconazole and anidulofungin) C.albicans
isolate (fluconazole, itraconazole, posaconazole and anidulofungin). As a result
in this study, it was determined thatboth methods were similar and can be used
according to preference of laboratories. The CLSI antifungal susceptibility test
results can be assessed at the end of 24 hour incubation, but sometimes it is
important that the evaluation should be performed as a result of 48 hour
incubation in slow growing species such as C.glabrata.
PMID- 29642829
TI - [Infecting glial cells with antimony resistant Leishmania tropica: A new ex-vivo
model].
AB - Leishmaniasis is a vector-borne zoonotic disease that shows different clinical
features like cutaneous, mucocutaneous, visceral and viscerotropic forms. The
protocols used in the treatment of leishmaniasis are toxic and have many
limitations during administration. One of the limitations of treatment is the
resistance against the protocols in practice. There is also a need to define new
treatment options especially for resistant patients. Ex-vivo models using primary
cell cultures may be a good source for evaluating new drug options in patients
with antimony resistance, in addition to in-vitro and in-vivo studies. In this
study, it was aimed to define a new ex-vivo culture model to evaluate treatment
options in patients with cutaneous leishmaniasis who did not respond to
treatment. In our experimental model of ex-vivo infection, Leishmania tropica
promastigotes isolated from a case previously diagnosed with cutaneous
leishmaniasis were used. The primary astroglial cell culture used for the ex-vivo
model was prepared from 2-3 days old neonatal Sprague Dawley rat brains under
sterile conditions by the modification McCarthy's method. The astroglia cells,
which reached sufficient density, were infected with antimony resistant L.tropica
promastigotes. After 24 hours of incubation, the supernatant on the cells were
collected, the cell culture plate was dried at room temperature, then fixed with
methyl alcohol and stained with Giemsa to search for L.tropica amastigotes.
Amastigotes were intensely observed in glia cells in primary cell cultures
infected with L.tropica promastigotes. No promastigotes were seen on Giemsa
stained preparations of the precipitates prepared from the bottom sediment after
the centrifugation of the liquid medium removed from the infected plates. In this
study, promastigotes from a cutaneous leishmaniasis patient unable to respond to
pentavalent antimony therapy were shown to infect rat glia cells and converted to
amastigote form. This amastigote glial cell model, as far as we know, is the
first model in the literature produced by L.tropica. The occurrence of L.tropica
amastigote forms in glia cells may be indicative of the ability of Leishmania
species to infect the central nervous system. The central nervous system may be
an area for the Leishmania amastigotes to escape from the immune system in cases
of leishmaniasis without a treatment response. Our study is important because it
is the first study to show the infection of glia cells with L.tropica
amastigotes.
PMID- 29642830
TI - [A new strategy for enhancing acanthamoebicidal activity with synthesis of
nanoflower of Laurocerausus officinalis Roemer (cherry laurel) fruit extracts].
AB - Pathogenic Acanthamoeba species often cause infection known as Acanthamoeba
keratitis among people who use contact lenses. It is a type of infection that can
result in corneal ulceration, visual loss or even blindness, if not treated.
There are various therapeutic options available in the treatment of Acanthamoeba
infections but they are usually tough treatments with limited efficacy. For
instance, hydrogen peroxide (H2O2) is a commonly used contact lens disinfectant
which is effective against Acanthamoeba but it is toxic to the cornea. For these
reasons, new and more efficacious treatment options are required for Acanthamoeba
infections. In this context, plants are considered natural resources for the
discovery of new drugs. Laurocerasus officinalis Roem. (cherry laurel) (Rosaceae)
grows in Black Sea region; and it is known as "Taflan", "Laz kirazi" or
"Karayemis". Local people are using the seeds against diabetes, while the fruits
are consuming as food, and used fordiuretic and passing kidney stones. It has
also been reported that the seeds of the cherry laurel are used as an
antiparasitic agent in this area. The aim of the study was to confirm the
traditionally use of antiparasitic activity of this fruit and to increase the
potential effect by means of organic-inorganic hybrid synthesis. Total phenol
contents of methanol extracts prepared from endocarp, mesocarp and seeds of the
fruit were calculated. The effects of methanol extracts and nano flower (NFs)
plants synthesized from these extracts on the proliferation of Acanthamoeba
castellanii were investigated. Thus, for the first time, novel organic-inorganic
nanobio-antiparasitic agents called NFs were produced from cherry laurel and the
increase in the amoebicidal activity of the NFs was elucidated. The
characterization of NFs were determined with Scanning Electron Microscopy (SEM),
Fourier Transform Infrared Spectrometer (FT-IR) and Energy-Dispersive X-ray (EDX)
techniques. In addition, the catalytic activity of the fruit extracts and the NFs
were measured against guaiacol in the presence of H2O2. The viability testing of
A.castellanii cysts used for amoebicidal activity was performed using 4% trypan
blue. Methanol extracts and nano-flowers were prepared at concentrations of 32,
16, 8, 4, 2 and 1 mg/ml in 0.9% saline and distributed 200 MUl each in tubes and
incubated in the room temperature with the addition of 200 MUl of 98% viable
A.castellani parasites. The results were evaluated using the SPSS V.22.0 program
and it was determined that there was a significant increase in the amoebicidal
activity of NFs compared with the other extracts according to variance analysis
(p<= 0.05). In the study, it was determined that samples killed parasites or
reduced parasite proliferation at certain times. As a result, NFs synthesized
from fruit extracts were demonstrated about three times more effective than the
non hybrid extracts for amoebicidal activity. This situation can be explained as
high proliferative effect of a new nano-bio-antiparasitic agent known as
nanoflower against A.castellanii.
PMID- 29642831
TI - [Identification of anaerobic gram-negative bacilli isolated from various clinical
specimens and determination of antibiotic resistance profiles with E-test
methods].
AB - The aim of this study was to identify gram-negative anaerobic bacilli isolated
from various clinical specimens that were obtained from patients with suspected
anaerobic infections and to determine the antibiotic resistance profiles by using
the antibiotic concentration gradient method. The study was performed in Afyon
Kocatepe University Ahmet Necdet Sezer Research and Practice Hospital, Medical
Microbiology Laboratory between 1 November 2014 and 30 October 2015. Two hundred
and seventyeight clinical specimens accepted for anaerobic culture were enrolled
in the study. All the samples were cultivated anaerobically by using Schaedler
agar with 5% defibrinated sheep blood and Schaedler broth. The isolated anaerobic
gram-negative bacilli were identified by using both the conventional methods and
automated identification system (VITEK 2, bioMerieux, France). Antibiotic
susceptibility tests were performed with antibiotic concentration gradient method
(E-test, bioMerieux, France); against penicillin G, clindamycin, cefoxitin,
metronidazole, moxifloxacin, imipenem, meropenem, ertapenem and doripenem for
each isolate. Of the 28 isolated anaerobic gram-negative bacilli; 14 were
identified as Bacteroides fragilis group, 9 were Prevotella spp., and 5 were
Fusobacterium spp. The highest resistance rate was found against penicillin
(78.5%) and resistance rates against clindamycin and cefoxitin were found as
17.8% and 21.4%, respectively. No resistance was found against metronidazole,
moxifloxacin, imipenem, meropenem, ertapenem and doripenem. As a result,
isolation and identification of anaerobic bacteria are difficult, time-consuming
and more expensive when compared with the cost of aerobic culture. The rate of
anaerobic bacteria isolation may be increased by obtaining the appropriate
clinical specimen and appropriate transportation of these specimens. We believe
that the data obtained from the study in our center may offer benefits for the
follow up and treatment of infections caused by anaerobic bacteria and may
contribute to the current literature. Because of high resistance rate detected
against penicillin, this antibiotic should not be used as a first choice in
empirical treatment. Cefoxitin may be used in empirical antimicrobial treatment
of anaerobic gram-negatives; but the rate of antibiotic resistance should be
detected for more useful and proper treatment. The prior selection of the most
effective antibiotic, may contribute to decrease the rate of high resistance. In
our study, no resistance was observed against carbapenem group antibiotics and
metronidazole; so these antibiotics should be reserved as treatment options in
the future for infections caused by resistant gram-negative anaerobic bacteria.
PMID- 29642832
TI - [Determination of the role of Salmonella enterica plasmids in antibiotic
susceptibility and Caenorhabditis elegans pathogenicity].
AB - Poultry animals and poultry associated products are important risk sources for
Salmonellosis. S.Kentucky and S.Infantis are among the serovars frequently
isolated from retail chickens and were reported to be isolated in Turkey. In this
study, the role of plasmids carried by S.Kentucky and S.Infantis isolates in
antibiotic resistance profiles of the isolates and their pathogenicity on
Caenorhabditis elegans nematode model system were investigated. The isolates
used, 1 of Kentucky and 2 of Infantis serotypes, were selected among food-borne
Salmonella isolated from chicken carcass in Edirne. All three isolates were
previously shown to contain plasmids carrying multidrug resistance and were known
to be pathogenic on C.elegans nematode model system. S.Kentucky A10 isolate was
resistant to ampicillin, nalidixic acid, tetracycline, ciprofloxacin,
trimethoprim and sulphonamide and carried one plasmid with 31.6 kb size.
S.Infantis A15 isolate was resistant to ampicillin, streptomycine, nalidixic
acid, tetracycline, neomycin, sulphonamide and kanamycin and carried a plasmid
with 19.9 kb size while the other S.Infantis isolate (A16) was resistant to
streptomycin, nalidixic acid, tetracycline, trimethoprim, neomycin, sulphonamide
and kanamycin and carried 3 plasmids with 42.4, 1.5 and 1.2 kb sizes. Plasmid
curing experiments were performed to investigate the role of plasmids in
antibiotic resistance and pathogenicity in C.elegans. Ethidium bromide (EtBr) dye
was used as the plasmid curing agent. Plasmids were isolated from cultures grown
in LB broth with different concentrations of EtBr (50, 75, 100, 125 ug/ml)
according to the Kado-Liu method and the most effective EtBr concentration was
determined as 125 ug/ml. C.elegans pathogenicity assays were carried out using
plasmid cured isolates. The time 50% of the nematode die (TD50) values of the
nematode groups fed with plasmid cured isolates were compared with previously
obtained TD50 values of the nematode groups fed with wild type Salmonella
isolates. Studentds t-test (p< 0.05) was used to showthe level of significance
between TD50 values of the two groups. TD50 values of the positive control group
fed with S.Typhimurium ATCC 14028 and the negative control group fed with
Escherichia coli OP50 were found as 4.0 +/- 0.4 and 8.0 +/- 0.02 days,
respectively. The differences between TD50 values of nematode groups fed with
wild type and plasmid cured isolates were statistically significant both for
S.Kentucky (A10) (4.9 +/- 0.04-6.2 +/- 0.1) and S.Infantis (A16) (4.4 +/- 0.01
6.2 +/- 0.2) (p< 0.05) strains, but no significant difference was observed for
the groups fed with wild type and plasmid cured S.Infantis (A15) (5.7 +/- 0.39
5.8 +/- 0.16) strain. Broth microdilution method was used to determine whether
there was any change in minimal inhibitory concentrations (MIC) of the
antibiotics for which the isolates were resistant before plasmid elimination. No
significant difference was found between the MIC values of the resistant
antibiotics among Salmonella isolates carrying plasmids and with cured plasmid.
This study is important since the first in vivo results about the role of
Kentucky and Infantis serovar plasmids on C.elegans nematode model system were
presented.
PMID- 29642833
TI - [Management and treatment difficulties of multi-drug resistant pulmonary
tuberculosis in a pediatric case].
AB - Tuberculosis continues to be a major health problem worldwide. Multidrug
resistant tuberculosis (MDR-TB) infection that occurs in childhood is caused by
adult MDR-TB agents which are in circulation and resistant to primary drugs. In
this case report a 17-month-old child with MDR-TB who was cured after a 24-month
therapy regimen was presented. Physical examination of a 17-month-old girl
admitted to the hospital with the cause of recurrent pneumonia revealed a rubbery
lymphadenopathy less than 2 cm in the right upper cervical region. Crepitant
rales were detected in the right basal on auscultation of the lung. Interferon
gamma release assay (IGRA) and tuberculin skin (TST) tests were negative.
Computed tomography (CT) scan of the chest showed mediastinal conglomerate
pathologic lymphadenopathy and air bronchograms were detected near the lower lobe
of the left lung. Treatment of isoniazid, rifampicin, pyrazinamide with the
diagnosis of epituberculosis was started by taking a sample of gastric aspirate
culture sample. In the sixth month of the treatment patient was admitted to our
clinic with enlarged cervical rubbery lymphadenopathy. It was determined that
microbiological test of gastric aspirate culture specimen was positive for
M.tuberculosis complex resistant to isoniazid, rifampin, ethambutol,
streptomycin, ethionamide and rifabutin. Control CT showed residual peribronchial
infiltrations and hilar calcific lymph nodes. Hearing test, vision control and,
thyroid function tests were performed and treatment of moxifloxacin, amikacin,
para-amino salicylic acid, protionamide and pyrazinamide was started based on
minor drug susceptibility results of M.tuberculosis isolate which was still
growing in gastric aspirate culture. Gastric aspirate culture for M.tuberculosis
was still positive after 3 months of treatment and the current treatment was
continued. Amikacin was stopped after 6 months. Therapy regimen was stopped after
24-months. Over the course of a follow-up period of more than 3 years, the
clinical and radiological resultsof the patient has improved significantly. The
clinical presentation of TB in children is often nonspecific and differs from the
patterns seen in adults. MDR-TB cases can be seen in this age group since
tuberculosis in children is mainly caused by transmission of drug-resistant
strains from adults. This situation is particularly problematic due to the long
term treatment and the lack of specific drug formulations for children.
PMID- 29642834
TI - [Risk of travel associated tuberculosis].
AB - Tuberculosis has spread by human movements throughout history. There have been
reports indicating tuberculosis transmission on all travel vehicles, including
aircrafts, ground vehicles and vessels until today. However, due to the ever
increasing of air transportation and air travelling among countries with low and
high tuberculosis incidence, transmission risk especially in aircrafts has become
an important issue worldwide. But in many of the studies conducted in this
regard, transmission of tuberculosis in aircrafts was found very low. The case of
active tuberculosis has not yet been reported. This is due to the fact that in
modern aircrafts, there are ventilation systems that provide hepa filtered
laminar air flow and change the air 15-20 times per hour. The guidelines for the
prevention of tuberculosis infection in aircrafts published by the World Health
Organization "Tuberculosis and Air Travel, 2008" and European Centre for Disease
Prevention and Control "RAGIDA-TB, 2014" confirm each other. According to these
guidelines, air travelling of patients with active pulmonary tuberculosis should
be prohibited until smears of two consecutive sputum samples become negative for
drug susceptible cases, and cultures of two consecutive of sputum samples become
negative for multidrug or extensively drug resistant cases. When it is reported
that a tuberculosis patient has travelled by the aircraft, it is recommended that
the exposed passengers should be investigated for tuberculosis infection if the
flight duration equal to or exceeding eight hours including ground delays and the
time elapsed between flight and diagnosis of the case is no longer than three
months. Contact screening is only recommended for passengers sitting in the same
row, two rows ahead and two rows behind the index case. Tuberculin skin test or
interferon gamma release assay can be used for investigation. It is very
difficult to determine the risk of tuberculosis transmission in ground vehicles
like buses, subways and trains. The reason is that it is often not possible to
access the information of the passengers travelling in these vehicles. Because
the ventilation systems in ground vehicles are not as reliable as in aircrafts
and the crowded environment in the ground vehicles, the risk of tuberculosis
transmission is theoretically higher. In modelling studies, the transmission risk
in the buses was found to be higher than the trains. In the case of regular
travelling with an index case such as school bus riders, the risk increases
significantly. The increased human population travelling all over the world
nowadays has also raised concerns about travel-related tuberculosis risk.
However, because of the limited evidence, it may be more efficient to spend time
and resources for the other actions in order to prevent tuberculosis. In this
review article, the transmission risk of tuberculosis in vehicles has been
discussed.
PMID- 29642835
TI - [Evaluation of epidemiologic and clinical features of oropharyngeal tularemia
patients in the Eastern Anatolia Region of Turkey].
AB - Tularemia is a zoonotic infectious disease caused by Francisella tularensis.
Tularemia is endemic in the northern hemisphere and is usually seen in North
America, Europe and Asia. Although the ulceroglandular tularemia is the most
common form in these regions, the oropharyngeal form is more prevalent in Eastern
Europe, including Turkey. The disease has importance in Turkey due to its wide
geographic distribution and periodic outbreaks. The aim of this study was to
determine the demographic, clinical and epidemiological characteristics of
oropharyngeal tularemia patients. The demographic, clinical, epidemiological and
laboratory findings of 26 tularemia patients admitted to our hospital from
Erzurum and 5 neighbour provinces were analyzed retrospectively. Francisella
tularensis microagglutination test (MAT) was performed for all patients whose
clinical symptoms were consistent with tularemia and MAT titers >= 1/160 were
considered positive. Twenty-six oropharyngeal tularemia patients (13 males and 13
females) were included in the study. All of the patients had cervical
lymphadenopathy (LAP) at least one month period. Twenty (76.9%) of the patients
included in the study were living in rural areas, and 17 (65.4%) were dealing
with livestock. It was determined that 9 (34.6%) of the patients used water from
municipal water supply, 9 (34.6%) of the patients used water from natural water
supply and 8 (30.8%) of the patients used both of the water supplies. The most
common symptoms among the patients in the study were fever in 23 (88.5%)
patients, sore throat in 24 (92.3%) patients, and cervical LAP in all of the
patients. Thirteen (50%) of the patients were treated with streptomycin, 7
(26.9%) with doxycycline and 6 (23.1%) with gentamicin. This is the first study
showing that tularemia is present in Erzincan, Agri, Igdir as well as Erzurum
provinces, and it provides that the incidence has increased in this region.
Tularemia diagnosis is generally underestimated due to the lack of specific
symptoms. Therefore, tularemia should also be considered in patients who have
complaints of sore throat and cervical LAP in non-endemic regions.
PMID- 29642836
TI - Robust volcano plot: identification of differential metabolites in the presence
of outliers.
AB - BACKGROUND: The identification of differential metabolites in metabolomics is
still a big challenge and plays a prominent role in metabolomics data analyses.
Metabolomics datasets often contain outliers because of analytical, experimental,
and biological ambiguity, but the currently available differential metabolite
identification techniques are sensitive to outliers. RESULTS: We propose a kernel
weight based outlier-robust volcano plot for identifying differential metabolites
from noisy metabolomics datasets. Two numerical experiments are used to evaluate
the performance of the proposed technique against nine existing techniques,
including the t-test and the Kruskal-Wallis test. Artificially generated data
with outliers reveal that the proposed method results in a lower
misclassification error rate and a greater area under the receiver operating
characteristic curve compared with existing methods. An experimentally measured
breast cancer dataset to which outliers were artificially added reveals that our
proposed method produces only two non-overlapping differential metabolites
whereas the other nine methods produced between seven and 57 non-overlapping
differential metabolites. CONCLUSION: Our data analyses show that the performance
of the proposed differential metabolite identification technique is better than
that of existing methods. Thus, the proposed method can contribute to analysis of
metabolomics data with outliers. The R package and user manual of the proposed
method are available at https://github.com/nishithkumarpaul/Rvolcano .
PMID- 29642837
TI - Approximate inference of gene regulatory network models from RNA-Seq time series
data.
AB - BACKGROUND: Inference of gene regulatory network structures from RNA-Seq data is
challenging due to the nature of the data, as measurements take the form of
counts of reads mapped to a given gene. Here we present a model for RNA-Seq time
series data that applies a negative binomial distribution for the observations,
and uses sparse regression with a horseshoe prior to learn a dynamic Bayesian
network of interactions between genes. We use a variational inference scheme to
learn approximate posterior distributions for the model parameters. RESULTS: The
methodology is benchmarked on synthetic data designed to replicate the
distribution of real world RNA-Seq data. We compare our method to other sparse
regression approaches and find improved performance in learning directed
networks. We demonstrate an application of our method to a publicly available
human neuronal stem cell differentiation RNA-Seq time series data set to infer
the underlying network structure. CONCLUSIONS: Our method is able to improve
performance on synthetic data by explicitly modelling the statistical
distribution of the data when learning networks from RNA-Seq time series.
Applying approximate inference techniques we can learn network structures quickly
with only moderate computing resources.
PMID- 29642838
TI - Trends in genome-wide and region-specific genetic diversity in the Dutch-Flemish
Holstein-Friesian breeding program from 1986 to 2015.
AB - BACKGROUND: In recent decades, Holstein-Friesian (HF) selection schemes have
undergone profound changes, including the introduction of optimal contribution
selection (OCS; around 2000), a major shift in breeding goal composition (around
2000) and the implementation of genomic selection (GS; around 2010). These
changes are expected to have influenced genetic diversity trends. Our aim was to
evaluate genome-wide and region-specific diversity in HF artificial insemination
(AI) bulls in the Dutch-Flemish breeding program from 1986 to 2015. METHODS:
Pedigree and genotype data (~ 75.5 k) of 6280 AI-bulls were used to estimate
rates of genome-wide inbreeding and kinship and corresponding effective
population sizes. Region-specific inbreeding trends were evaluated using regions
of homozygosity (ROH). Changes in observed allele frequencies were compared to
those expected under pure drift to identify putative regions under selection. We
also investigated the direction of changes in allele frequency over time.
RESULTS: Effective population size estimates for the 1986-2015 period ranged from
69 to 102. Two major breakpoints were observed in genome-wide inbreeding and
kinship trends. Around 2000, inbreeding and kinship levels temporarily dropped.
From 2010 onwards, they steeply increased, with pedigree-based, ROH-based and
marker-based inbreeding rates as high as 1.8, 2.1 and 2.8% per generation,
respectively. Accumulation of inbreeding varied substantially across the genome.
A considerable fraction of markers showed changes in allele frequency that were
greater than expected under pure drift. Putative selected regions harboured many
quantitative trait loci (QTL) associated to a wide range of traits. In
consecutive 5-year periods, allele frequencies changed more often in the same
direction than in opposite directions, except when comparing the 1996-2000 and
2001-2005 periods. CONCLUSIONS: Genome-wide and region-specific diversity trends
reflect major changes in the Dutch-Flemish HF breeding program. Introduction of
OCS and the shift in breeding goal were followed by a drop in inbreeding and
kinship and a shift in the direction of changes in allele frequency. After
introduction of GS, rates of inbreeding and kinship increased substantially while
allele frequencies continued to change in the same direction as before GS. These
results provide insight in the effect of breeding practices on genomic diversity
and emphasize the need for efficient management of genetic diversity in GS
schemes.
PMID- 29642839
TI - TIA: algorithms for development of identity-linked SNP islands for analysis by
massively parallel DNA sequencing.
AB - BACKGROUND: Single nucleotide polymorphisms (SNPs) located within the human
genome have been shown to have utility as markers of identity in the
differentiation of DNA from individual contributors. Massively parallel DNA
sequencing (MPS) technologies and human genome SNP databases allow for the design
of suites of identity-linked target regions, amenable to sequencing in a
multiplexed and massively parallel manner. Therefore, tools are needed for
leveraging the genotypic information found within SNP databases for the discovery
of genomic targets that can be evaluated on MPS platforms. RESULTS: The SNP
island target identification algorithm (TIA) was developed as a user-tunable
system to leverage SNP information within databases. Using data within the 1000
Genomes Project SNP database, human genome regions were identified that contain
globally ubiquitous identity-linked SNPs and that were responsive to targeted
resequencing on MPS platforms. Algorithmic filters were used to exclude target
regions that did not conform to user-tunable SNP island target characteristics.
To validate the accuracy of TIA for discovering these identity-linked SNP islands
within the human genome, SNP island target regions were amplified from 70
contributor genomic DNA samples using the polymerase chain reaction. Multiplexed
amplicons were sequenced using the Illumina MiSeq platform, and the resulting
sequences were analyzed for SNP variations. 166 putative identity-linked SNPs
were targeted in the identified genomic regions. Of the 309 SNPs that provided
discerning power across individual SNP profiles, 74 previously undefined SNPs
were identified during evaluation of targets from individual genomes. Overall,
DNA samples of 70 individuals were uniquely identified using a subset of the
suite of identity-linked SNP islands. CONCLUSIONS: TIA offers a tunable genome
search tool for the discovery of targeted genomic regions that are scalable in
the population frequency and numbers of SNPs contained within the SNP island
regions. It also allows the definition of sequence length and sequence
variability of the target region as well as the less variable flanking regions
for tailoring to MPS platforms. As shown in this study, TIA can be used to
discover identity-linked SNP islands within the human genome, useful for
differentiating individuals by targeted resequencing on MPS technologies.
PMID- 29642840
TI - iSeg: an efficient algorithm for segmentation of genomic and epigenomic data.
AB - BACKGROUND: Identification of functional elements of a genome often requires
dividing a sequence of measurements along a genome into segments where adjacent
segments have different properties, such as different mean values. Despite dozens
of algorithms developed to address this problem in genomics research, methods
with improved accuracy and speed are still needed to effectively tackle both
existing and emerging genomic and epigenomic segmentation problems. RESULTS: We
designed an efficient algorithm, called iSeg, for segmentation of genomic and
epigenomic profiles. iSeg first utilizes dynamic programming to identify
candidate segments and test for significance. It then uses a novel data structure
based on two coupled balanced binary trees to detect overlapping significant
segments and update them simultaneously during searching and refinement stages.
Refinement and merging of significant segments are performed at the end to
generate the final set of segments. By using an objective function based on the p
values of the segments, the algorithm can serve as a general computational
framework to be combined with different assumptions on the distributions of the
data. As a general segmentation method, it can segment different types of genomic
and epigenomic data, such as DNA copy number variation, nucleosome occupancy,
nuclease sensitivity, and differential nuclease sensitivity data. Using simple t
tests to compute p-values across multiple datasets of different types, we
evaluate iSeg using both simulated and experimental datasets and show that it
performs satisfactorily when compared with some other popular methods, which
often employ more sophisticated statistical models. Implemented in C++, iSeg is
also very computationally efficient, well suited for large numbers of input
profiles and data with very long sequences. CONCLUSIONS: We have developed an
efficient general-purpose segmentation tool and showed that it had comparable or
more accurate results than many of the most popular segment-calling algorithms
used in contemporary genomic data analysis. iSeg is capable of analyzing datasets
that have both positive and negative values. Tunable parameters allow users to
readily adjust the statistical stringency to best match the biological nature of
individual datasets, including widely or sparsely mapped genomic datasets or
those with non-normal distributions.
PMID- 29642842
TI - GROOLS: reactive graph reasoning for genome annotation through biological
processes.
AB - BACKGROUND: High quality functional annotation is essential for understanding the
phenotypic consequences encoded in a genome. Despite improvements in
bioinformatics methods, millions of sequences in databanks are not assigned
reliable functions. The curation of protein functions in the context of
biological processes is a way to evaluate and improve their annotation. RESULTS:
We developed an expert system using paraconsistent logic, named GROOLS (Genomic
Rule Object-Oriented Logic System), that evaluates the completeness and the
consistency of predicted functions through biological processes like metabolic
pathways. Using a generic and hierarchical representation of knowledge,
biological processes are modeled in a graph from which observations (i.e.
predictions and expectations) are propagated by rules. At the end of the
reasoning, conclusions are assigned to biological process components and
highlight uncertainties and inconsistencies. Results on 14 microbial organisms
are presented. CONCLUSIONS: GROOLS software is designed to evaluate the overall
accuracy of functional unit and pathway predictions according to organism
experimental data like growth phenotypes. It assists biocurators in the
functional annotation of proteins by focusing on missing or contradictory
observations.
PMID- 29642841
TI - Encompassing new use cases - level 3.0 of the HUPO-PSI format for molecular
interactions.
AB - BACKGROUND: Systems biologists study interaction data to understand the behaviour
of whole cell systems, and their environment, at a molecular level. In order to
effectively achieve this goal, it is critical that researchers have high quality
interaction datasets available to them, in a standard data format, and also a
suite of tools with which to analyse such data and form experimentally testable
hypotheses from them. The PSI-MI XML standard interchange format was initially
published in 2004, and expanded in 2007 to enable the download and interchange of
molecular interaction data. PSI-XML2.5 was designed to describe experimental data
and to date has fulfilled this basic requirement. However, new use cases have
arisen that the format cannot properly accommodate. These include data abstracted
from more than one publication such as allosteric/cooperative interactions and
protein complexes, dynamic interactions and the need to link kinetic and affinity
data to specific mutational changes. RESULTS: The Molecular Interaction workgroup
of the HUPO-PSI has extended the existing, well-used XML interchange format for
molecular interaction data to meet new use cases and enable the capture of new
data types, following extensive community consultation. PSI-MI XML3.0 expands the
capabilities of the format beyond simple experimental data, with a concomitant
update of the tool suite which serves this format. The format has been
implemented by key data producers such as the International Molecular Exchange
(IMEx) Consortium of protein interaction databases and the Complex Portal.
CONCLUSIONS: PSI-MI XML3.0 has been developed by the data producers, data users,
tool developers and database providers who constitute the PSI-MI workgroup. This
group now actively supports PSI-MI XML2.5 as the main interchange format for
experimental data, PSI-MI XML3.0 which additionally handles more complex data
types, and the simpler, tab-delimited MITAB2.5, 2.6 and 2.7 for rapid parsing and
download.
PMID- 29642843
TI - IntEREst: intron-exon retention estimator.
AB - BACKGROUND: In-depth study of the intron retention levels of transcripts provide
insights on the mechanisms regulating pre-mRNA splicing efficiency. Additionally,
detailed analysis of retained introns can link these introns to post
transcriptional regulation or identify aberrant splicing events in human
diseases. RESULTS: We present IntEREst, Intron-Exon Retention Estimator, an R
package that supports rigorous analysis of non-annotated intron retention events
(in addition to the ones annotated by RefSeq or similar databases), and support
intra-sample in addition to inter-sample comparisons. It accepts binary sequence
alignment/map (.bam) files as input and determines genome-wide estimates of
intron retention or exon-exon junction levels. Moreover, it includes functions
for comparing subsets of user-defined introns (e.g. U12-type vs U2-type) and its
plotting functions allow visualization of the distribution of the retention
levels of the introns. Statistical methods are adapted from the DESeq2, edgeR and
DEXSeq R packages to extract the significantly more or less retained introns.
Analyses can be performed either sequentially (on single core) or in parallel (on
multiple cores). We used IntEREst to investigate the U12- and U2-type intron
retention in human and plant RNAseq dataset with defects in the U12-dependent
spliceosome due to mutations in the ZRSR2 component of this spliceosome.
Additionally, we compared the retained introns discovered by IntEREst with that
of other methods and studies. CONCLUSION: IntEREst is an R package for Intron
retention and exon-exon junction levels analysis of RNA-seq data. Both the human
and plant analyses show that the U12-type introns are retained at higher level
compared to the U2-type introns already in the control samples, but the retention
is exacerbated in patient or plant samples carrying a mutated ZRSR2 gene. Intron
retention events caused by ZRSR2 mutation that we discovered using IntEREst
(DESeq2 based function) show considerable overlap with the retained introns
discovered by other methods (e.g. IRFinder and edgeR based function of IntEREst).
Our results indicate that increase in both the number of biological replicates
and the depth of sequencing library promote the discovery of retained introns,
but the effect of library size gradually decreases with more than 35 million
reads mapped to the introns.
PMID- 29642844
TI - Contrasting life histories contribute to divergent patterns of genetic diversity
and population connectivity in freshwater sculpin fishes.
AB - BACKGROUND: Life history characteristics are considered important factors
influencing the evolutionary processes of natural populations, including the
patterns of population genetic structure of a species. The sister species Cottus
hangiongensis and C. koreanus are small bottom-dwelling freshwater sculpin fishes
from South Korea that display marked life history divergence but are
morphologically nearly indistinguishable. Cottus hangiongensis evolved an
'amphidromous' life history with a post-hatching pelagic larval phase. They spawn
many small eggs in the low reaches of rivers, and hatched larvae migrate to the
sea before returning to grow to maturity in the river mouth. In contrast, C.
koreanus evolved a 'fluvial' landlocked type with benthic larvae. They release a
smaller number of larger eggs, and the larvae undergo direct development,
remaining benthic in the upstream rivers throughout their entire lives. We tested
whether there were differences in patterns and levels of within-population
genetic diversities and spatial population structure between the two closely
related Korean sculpins using mitochondrial DNA control region sequences and
seven nuclear microsatellite loci. RESULTS: The combined analyses of both marker
sets revealed that C. hangiongensis harboured considerably higher levels of
within-population genetic diversities (e.g. haplotype/allelic richness,
heterozygosities) than C. koreanus. In contrast, the fluvial sculpin exhibited
noticeably more spatial population structure than did the amphidromous sculpin,
as suggested by pairwise FST statistics. The finding that C. hangiongensis
individuals comprised a single random mating population across the east-flowing
river basins in the Korean Peninsula, whereas C. koreanus individuals comprised
genetically discrete individual populations, was further supported by an
individual-based Bayesian population assignment and also factorial correspondence
analyses. CONCLUSIONS: The higher genetic diversity, but lower population
structure, of the amphidromous sculpin relative to the fluvial sculpin may have
resulted from its greater larval dispersal and also possibly, higher fecundity
accompanied by an amphidromous life history. Hence, we conclude that contrasting
early life histories - including the presence or absence of the pelagic larval
phase - may have led to divergent patterns of within-population genetic
diversities and spatial population structure between the sister Cottus species
following speciation from a common ancestor of marine sculpin.
PMID- 29642845
TI - Prognostic value of liver stiffness in HIV/HCV-Coinfected patients with
decompensated cirrhosis.
AB - BACKGROUND: Little is known about the utility of transient elastography (TE) for
assessing the prognosis of patients with decompensated cirrhosis (DC). METHODS:
We analyzed HIV/HCV-coinfected patients with DC who underwent TE as part of their
routine follow-up between 2006 and 2015. We also calculated the liver stiffness
spleen diameter-to-platelet score (LSPS), FIB-4 index, albumin, MELD score, and
Child-Pugh score. The primary outcome was death. RESULTS: The study population
comprised 65 patients. After a median follow-up of 32 months after the first TE,
17 patients had received anti-HCV therapy and 31 patients had died. The highest
area under the receiver operating characteristic curve (AUROC) value for
prediction of death was observed with albumin (0.695), followed by Child-Pugh
score (0.648), both with P values < .05. Lower AUROC values were observed with
MELD score (0.633), TE (0.618), LSPS score (0.595), and FIB-4 (0.569), all with P
values > .05. In the univariate Cox regression analysis, albumin, FIB-4, Child
Pugh score, and MELD score, but not TE, were associated with death. In the
multivariate analysis, albumin and Child-Pugh score were the only baseline
variables associated with death. CONCLUSIONS: Our results suggest that TE is not
useful for assessing the prognosis of HIV-infected patients with decompensated
HCV-related cirrhosis. Albumin concentration and Child-Pugh scores were the most
consistent predictors of death in this population group.
PMID- 29642846
TI - JAMI: a Java library for molecular interactions and data interoperability.
AB - BACKGROUND: A number of different molecular interactions data download formats
now exist, designed to allow access to these valuable data by diverse user
groups. These formats include the PSI-XML and MITAB standard interchange formats
developed by Molecular Interaction workgroup of the HUPO-PSI in addition to
other, use-specific downloads produced by other resources. The onus is currently
on the user to ensure that a piece of software is capable of read/writing all
necessary versions of each format. This problem may increase, as data providers
strive to meet ever more sophisticated user demands and data types. RESULTS: A
collaboration between EMBL-EBI and the University of Cambridge has produced JAMI,
a single library to unify standard molecular interaction data formats such as PSI
MI XML and PSI-MITAB. The JAMI free, open-source library enables the development
of molecular interaction computational tools and pipelines without the need to
produce different versions of software to read different versions of the data
formats. CONCLUSION: Software and tools developed on top of the JAMI framework
are able to integrate and support both PSI-MI XML and PSI-MITAB. The use of JAMI
avoids the requirement to chain conversions between formats in order to reach a
desired output format and prevents code and unit test duplication as the code
becomes more modular. JAMI's model interfaces are abstracted from the underlying
format, hiding the complexity and requirements of each data format from
developers using JAMI as a library.
PMID- 29642847
TI - The relationship and mechanism between education and functional health status
transition among older persons in China.
AB - BACKGROUND: Despite decades of study, debates exist surrounding the relationship
between education and functional health status transition among elderly
populations. This study aims to add evidence to the debates using China as a case
study. Specifically, this study analysed the association of education with
functional health status transition and then the mechanism behind that
association using the budget constraint relax hypothesis and the efficiency
improvement hypothesis among elderly population in China. METHODS: Based on data
from the Chinese Longitudinal Healthy Longevity Surveys from 2008 and 2011, this
study focussed on adults aged 65 years and above, with a final sample size of
12,112. A generalised structural equation model was used to analyse the
relationship between education and functional health status transition and the
mechanism behind that association. RESULTS: During the three examined years,
among elderly adults who were nondisabled at baseline, 53.1% stayed nondisabled,
14.6% became disabled, and 32.3% died; among those disabled in 2008, 8.1%
recovered, 21.6% stayed disabled, and 70.3% died. Compared with older adults
without any education, those who had attended primary schools had both lower
mortality and disability, whereas those who had attended high schools and above
only had a lower mortality rate. The budget constraint relax hypothesis and the
efficiency improvement hypothesis explained the majority of the relationship
between education and transition from non-disability to death, but hardly
explained the transition from non-disability to disability. Furthermore, once a
person was disabled, education had no significant relationship with functional
ability recovery or mortality. CONCLUSIONS: Attending primary school seems to
provide the highest benefit to functional health status transition among older
and nondisabled persons in China. Those who attended high schools and above are
expected to live a longer life with disability. The mechanism between education
and the onset of disability needs more discussion.
PMID- 29642848
TI - A two-tiered unsupervised clustering approach for drug repositioning through
heterogeneous data integration.
AB - BACKGROUND: Drug repositioning is the process of identifying new uses for
existing drugs. Computational drug repositioning methods can reduce the time,
costs and risks of drug development by automating the analysis of the
relationships in pharmacology networks. Pharmacology networks are large and
heterogeneous. Clustering drugs into small groups can simplify large pharmacology
networks, these subgroups can also be used as a starting point for repositioning
drugs. In this paper, we propose a two-tiered drug-centric unsupervised
clustering approach for drug repositioning, integrating heterogeneous drug data
profiles: drug-chemical, drug-disease, drug-gene, drug-protein and drug-side
effect relationships. RESULTS: The proposed drug repositioning approach is
threefold; (i) clustering drugs based on their homogeneous profiles using the
Growing Self Organizing Map (GSOM); (ii) clustering drugs based on drug-drug
relation matrices based on the previous step, considering three state-of-the-art
graph clustering methods; and (iii) inferring drug repositioning candidates and
assigning a confidence value for each identified candidate. In this paper, we
compare our two-tiered clustering approach against two existing heterogeneous
data integration approaches with reference to the Anatomical Therapeutic Chemical
(ATC) classification, using GSOM. Our approach yields Normalized Mutual
Information (NMI) and Standardized Mutual Information (SMI) of 0.66 and 36.11,
respectively, while the two existing methods yield NMI of 0.60 and 0.64 and SMI
of 22.26 and 33.59. Moreover, the two existing approaches failed to produce
useful cluster separations when using graph clustering algorithms while our
approach is able to identify useful clusters for drug repositioning. Furthermore,
we provide clinical evidence for four predicted results (Chlorthalidone,
Indomethacin, Metformin and Thioridazine) to support that our proposed approach
can be reliably used to infer ATC code and drug repositioning. CONCLUSION: The
proposed two-tiered unsupervised clustering approach is suitable for drug
clustering and enables heterogeneous data integration. It also enables
identifying reliable repositioning drug candidates with reference to ATC
therapeutic classification. The repositioning drug candidates identified
consistently by multiple clustering algorithms and with high confidence have a
higher possibility of being effective repositioning candidates.
PMID- 29642849
TI - Impact of brain arousal and time-on-task on autonomic nervous system activity in
the wake-sleep transition.
AB - BACKGROUND: Autonomic nervous system (ANS) activity has been shown to vary with
the state of brain arousal. In a previous study, this association of ANS activity
with distinct states of brain arousal was demonstrated using 15-min EEG data, but
without directly controlling for possible time-on-task effects. In the current
study we examine ANS-activity in fine-graded EEG-vigilance stages (indicating
states of brain arousal) during two conditions of a 2-h oddball task while
controlling for time-on-task. In addition, we analyze the effect of time-on-task
on ANS-activity while holding the level of brain arousal constant. METHODS: Heart
rate and skin conductance level of healthy participants were recorded during a 2
h EEG with eyes closed under simultaneous presentation of stimuli in an ignored
(N = 39) and attended (N = 39) oddball condition. EEG-vigilance stages were
classified using the Vigilance Algorithm Leipzig (VIGALL 2.1). The time-on-task
effect was tested by dividing the EEG into four 30-min consecutive time blocks.
ANS-activity was compared between EEG-vigilance stages across the entire 2 h and
within each time block. RESULTS: We found a coherent decline of ANS-activity with
declining brain arousal states, over the 2-h recording and in most cases within
each 30-min block in both conditions. Furthermore, we found a significant time-on
task effect on heart rate, even when arousal was kept constant. It was most
pronounced between the first and all subsequent blocks and could have been a
consequence of postural change at the beginning of the experiment. CONCLUSION:
Our findings contribute to the validation of VIGALL 2.1 using ANS parameters in 2
h EEG recording under oddball conditions.
PMID- 29642850
TI - Using observational facial descriptors to infer pain in persons with and without
dementia.
AB - BACKGROUND: For patients with advanced dementia, pain diagnosis and assessment
requires observations of pain-indicative behavior by others. One type of behavior
that has been shown to be a promising candidate is the facial response to pain.
To further test how pain-indicative facial responses are, we investigated the
predictive power of observational facial descriptors to (i) predict the self
report of pain and (ii) to differentiate between non-painful and painful
conditions. In addition, the expertise of the observers (nurses vs. healthy
controls) and the cognitive status of the observed (dementia vs. cognitively
healthy) were considered. METHODS: Overall 62 participants (32 nurses and 30
control subjects) watched 40 video-clips, showing facial expressions of older
individuals with and without dementia during non-painful and painful pressure
stimulation. After each clip, participants were asked to rate the videos using
commonly used facial descriptors of pain and also to provide global pain estimate
ratings of how much pain the observed individual might have experienced. RESULTS:
Out of the 12 facial descriptors used, only 7 were able to differentiate between
non-painful and painful conditions. Moreover, participants were better in
predicting the pain self-report of the observed individuals when using facial
descriptors than when using global pain estimates. Especially, the anatomically
orienting descriptors (e.g. opened mouth, narrowing eyes) showed greatest
predictive power. Results were not affected by pain-expertise of the observers
(nurses vs. control subjects) or diagnostic status of the observed (patients with
dementia vs. cognitively unimpaired subjects). CONCLUSIONS: The fine-grained and
specific observation of facial responses to acute pain appeared to provide valid
indication of pain that is not compromised when patients with dementia are
observed. The regular professional training does not put nurses at advantage to
detect pain via facial responses.
PMID- 29642852
TI - Parapatric genetic divergence among deep evolutionary lineages in the
Mediterranean green crab, Carcinus aestuarii (Brachyura, Portunoidea,
Carcinidae), accounts for a sharp phylogeographic break in the Eastern
Mediterranean.
AB - BACKGROUND: Recently, population genetic studies of Mediterranean marine species
highlighted patterns of genetic divergence and phylogeographic breaks, due to the
interplay between impacts of Pleistocene climate shifts and contemporary
hydrographical barriers. These factors markedly shaped the distribution of marine
organisms and their genetic makeup. The present study is part of an ongoing
effort to understand the phylogeography and evolutionary history of the highly
dispersive Mediterranean green crab, Carcinus aestuarii (Nardo, 1847), across the
Mediterranean Sea. Recently, marked divergence between two highly separated
haplogroups (genetic types I and II) of C. aestuarii was discerned across the
Siculo-Tunisian Strait, suggesting an Early Pleistocene vicariant event. In order
to better identify phylogeographic patterns in this species, a total of 263
individuals from 22 Mediterranean locations were analysed by comparing a 587
basepair region of the mitochondrial gene Cox1 (cytochrome oxidase subunit 1).
The examined dataset is composed of both newly generated sequences (76) and
previously investigated ones (187). RESULTS: Our results unveiled the occurrence
of a highly divergent haplogroup (genetic type III) in the most north-eastern
part of the Mediterranean Sea. Divergence between the most distinct type III and
the common ancestor of both types I and II corresponds to the Early Pleistocene
and coincides with the historical episode of separation between types I and II.
Our results also revealed strong genetic divergence among adjacent regions
(separating the Aegean and Marmara seas from the remaining distribution zone) and
confirmed a sharp phylogeographic break across the Eastern Mediterranean. The
recorded parapatric genetic divergence, with the potential existence of a contact
zone between both groups in the Ionian Sea and notable differences in the
demographic history, suggest the likely impact of paleoclimatic events, as well
as past and contemporary oceanographic processes, in shaping genetic variability
of this species. CONCLUSIONS: Our findings not only provide further evidence for
the complex evolutionary history of the green crab in the Mediterranean Sea, but
also stress the importance of investigating peripheral areas in the species'
distribution zone in order to fully understand the distribution of genetic
diversity and unravel hidden genetic units and local patterns of endemism.
PMID- 29642851
TI - Tracing the evolution of the heterotrimeric G protein alpha subunit in Metazoa.
AB - BACKGROUND: Heterotrimeric G proteins are fundamental signaling proteins composed
of three subunits, Galpha and a Gbetagamma dimer. The role of Galpha as a
molecular switch is critical for transmitting and amplifying intracellular
signaling cascades initiated by an activated G protein Coupled Receptor (GPCR).
Despite their biochemical and therapeutic importance, the study of G protein
evolution has been limited to the scope of a few model organisms. Furthermore, of
the five primary Galpha subfamilies, the underlying gene structure of only two
families has been thoroughly investigated outside of Mammalia evolution.
Therefore our understanding of Galpha emergence and evolution across phylogeny
remains incomplete. RESULTS: We have computationally identified the presence and
absence of every Galpha gene (GNA-) across all major branches of Deuterostomia
and evaluated the conservation of the underlying exon-intron structures across
these phylogenetic groups. We provide evidence of mutually exclusive exon
inclusion through alternative splicing in specific lineages. Variations of splice
site conservation and isoforms were found for several paralogs which coincide
with conserved, putative motifs of DNA-/RNA-binding proteins. In addition to our
curated gene annotations, within Primates, we identified 15 retrotranspositions,
many of which have undergone pseudogenization. Most importantly, we find numerous
deviations from previous findings regarding the presence and absence of
individual GNA- genes, nuanced differences in phyla-specific gene copy numbers,
novel paralog duplications and subsequent intron gain and loss events.
CONCLUSIONS: Our curated annotations allow us to draw more accurate inferences
regarding the emergence of all Galpha family members across Metazoa and to
present a new, updated theory of Galpha evolution. Leveraging this, our results
are critical for gaining new insights into the co-evolution of the Galpha subunit
and its many protein binding partners, especially therapeutically relevant G
protein - GPCR signaling pathways which radiated in Vertebrata evolution.
PMID- 29642853
TI - A comparative genomics study of carbohydrate/glucose metabolic genes: from fish
to mammals.
AB - BACKGROUND: Glucose plays a key role as an energy source in most mammals, but its
importance in fish appears to be limited that so far seemed to belong to diabetic
humans only. Several laboratories worldwide have made important efforts in order
to better understand this strange phenotype observed in fish. However, the
mechanism of carbohydrate/glucose metabolism is astonishingly complex. Why basal
glycaemia is different between fish and mammals and how carbohydrate metabolism
is different amongst organisms is largely uncharted territory. The utilization of
comparative systems biology with model vertebrates to explore fish metabolism has
become an essential approach to unravelling hidden in vivo mechanisms. RESULTS:
In this study, we first built a database containing 791, 593, 523, 666 and 698
carbohydrate/glucose metabolic genes from the genomes of Danio rerio, Xenopus
tropicalis, Gallus gallus, Mus musculus and Homo sapiens, respectively, and most
of these genes in our database are predicted to encode specific enzymes that play
roles in defined reactions; over 57% of these genes are related to human type 2
diabetes. Then, we systematically compared these genes and found that more than
70% of the carbohydrate/glucose metabolic genes are conserved in the five
species. Interestingly, there are 4 zebrafish-specific genes (si:ch211-167b20.8,
CABZ01043017.1, socs9 and eif4e1c) and 1 human-specific gene (CALML6) that may
alter glucose utilization in their corresponding species. Interestingly, these 5
genes are all carbohydrate regulation factors, but the enzymes themselves are
involved in insulin regulation pathways. Lastly, in order to facilitate the use
of our data sets, we constructed a glucose metabolism database platform (
http://101.200.43.1:10000/ ). CONCLUSIONS: This study provides the first
systematic genomic insights into carbohydrate/glucose metabolism. After
exhaustive analysis, we found that most metabolic genes are conserved in
vertebrates. This work may resolve some of the complexities of
carbohydrate/glucose metabolic heterogeneity amongst different vertebrates and
may provide a reference for the treatment of diabetes and for applications in the
aquaculture industry.
PMID- 29642855
TI - MiR-30a-5p confers cisplatin resistance by regulating IGF1R expression in
melanoma cells.
AB - BACKGROUND: Melanoma is notoriously resistant to all current modalities of cancer
therapies including chemotherapy. In recent years, microRNAs (miRNAs) have
emerged as molecular regulators in the development and progression of melanoma.
However, the relationship between microRNA and chemo-resistance of melanoma is
little known. In present study, we aimed to investigate the miRNAs related to
cisplatin-resistance in melanoma cells. METHODS: After cisplatin (DDP) resistant
melanoma cells (M8/DDP and SK-Mel-19/DDP) were established in-vitro, high
throughput screening of differentially expressed miRNAs between resistant cells
and parental cells were performed. RESULTS: It was found that a cancer-related
miRNA, miR-30a-5p, was highly over-expressed in resistant cells. Transfection of
miR-30a-5p mimic or inhibitor could alter the sensitivity of melanoma cells to
cisplatin. Next, we showed that Insulin Like Growth Factor 1 Receptor (IGF1R)
gene turned out to be a direct target of miR-30a-5p. Knockdown of IGF1R in
melanoma cells could not only reduce the sensitivity to cisplatin but also lead
to cell cycle arrest by regulating phosphorylation of Serine-Threonine Protein
Kinase (P-AKT (Ser473)) and Tumor Protein P53 (P53). CONCLUSION: Taken together,
our study demonstrated that miR-30a-5p could influence chemo-resistance by
targeting IGF1R gene in melanoma cells, which might provide a potential target
for the therapy of chemo-resistant melanoma cells.
PMID- 29642854
TI - Comparative transcriptome analysis of hypothalamus-regulated feed intake induced
by exogenous visfatin in chicks.
AB - BACKGROUND: The intracerebroventricular injection of visfatin increases feed
intake. However, little is known about the molecular mechanism in chicks. This
study was conducted to assess the effect of visfatin on the feeding behavior of
chicks and the associated molecular mechanism. RESULTS: In response to the
intraventricular injection of 40 ng and 400 ng visfatin, feed intake in chicks
was significantly increased, and the concentrations of glucose, insulin, TG, HDL
and LDL were significantly altered. Using RNA-seq, we identified DEGs in the
chick hypothalamus at 60 min after injection with various doses of visfatin. In
total, 325, 85 and 519 DEGs were identified in the treated chick hypothalamus in
the LT vs C, HT vs C and LT vs HT comparisons, respectively. The changes in the
expression profiles of DEGs, GO functional categories, KEGG pathways, and PPI
networks by visfatin-mediated regulation of feed intake were analyzed. The DEGs
were grouped into 8 clusters based on their expression patterns via K-mean
clustering; there were 14 appetite-related DEGs enriched in the hormone activity
GO term. The neuroactive ligand-receptor interaction pathway was the key pathway
affected by visfatin. The PPI analysis of DEGs showed that POMC was a hub gene
that interacted with the maximum number of nodes and ingestion-related pathways,
including POMC, CRH, AgRP, NPY, TRH, VIP, NPYL, CGA and TSHB. CONCLUSION: These
common DEGs were enriched in the hormone activity GO term and the neuroactive
ligand-receptor interaction pathway. Therefore, visfatin causes hyperphagia via
the POMC/CRH and NPY/AgRP signaling pathways. These results provide valuable
information about the molecular mechanisms of the regulation of food intake by
visfatin.
PMID- 29642856
TI - Operational utility of the reverse-transcription recombinase polymerase
amplification for detection of dengue virus.
AB - BACKGROUND: A method for rapid detection of dengue virus using the reverse
transcription recombinase polymerase amplification (RT-RPA) was recently
developed, evaluated and made ready for deployment. However, reliance solely on
the evaluation performed by experienced researchers in a well-structured and well
equipped reference laboratory may overlook the potential intrinsic problems that
may arise during deployment of the assay into new application sites, especially
for users unfamiliar with the test. Appropriate assessment of this newly
developed assay by users who are unfamiliar with the assay is, therefore, vital.
METHODS: An operational utility test to elucidate the efficiency and
effectiveness of the dengue RT-RPA assay was conducted among a group of
researchers new to the assay. Nineteen volunteer researchers with different
research experience were recruited. The participants performed the RT-RPA assay
and interpreted the test results according to the protocol provided. Deviation
from the protocol was identified and tabulated by trained facilitators. Post-test
questionnaires were conducted to determine the user satisfaction and
acceptability of the dengue RT-RPA assay. RESULTS: All the participants completed
the test and successfully interpreted the results according to the provided
instructions, regardless of their research experience. Of the 19 participants,
three (15.8%) performed the assay with no deviations and 16 (84.2%) performed the
assay with only 1 to 5 deviations. The number of deviations from protocol,
however, was not correlated with the user laboratory experience. The accuracy of
the results was also not affected by user laboratory experience. The concordance
of the assay results against that of the expected was at 89.3%. The user
satisfaction towards the RT-RPA protocol and interpretation of results was 90%
and 100%, respectively. CONCLUSIONS: The dengue RT-RPA assay can be successfully
performed by simply following the provided written instructions. Deviations from
the written protocols did not adversely affect the outcome of the assay. These
suggest that the RT-RPA assay is indeed a simple, robust and efficient laboratory
method for detection of dengue virus. Furthermore, high new user acceptance of
the RT-RPA assay suggests that this assay could be successfully deployed into new
laboratories where RT-RPA was not previously performed.
PMID- 29642857
TI - Structure and transcriptional regulation of the major intrinsic protein gene
family in grapevine.
AB - BACKGROUND: The major intrinsic protein (MIP) family is a family of proteins,
including aquaporins, which facilitate water and small molecule transport across
plasma membranes. In plants, MIPs function in a huge variety of processes
including water transport, growth, stress response, and fruit development. In
this study, we characterize the structure and transcriptional regulation of the
MIP family in grapevine, describing the putative genome duplication events
leading to the family structure and characterizing the family's tissue and
developmental specific expression patterns across numerous preexisting microarray
and RNAseq datasets. Gene co-expression network (GCN) analyses were carried out
across these datasets and the promoters of each family member were analyzed for
cis-regulatory element structure in order to provide insight into their
transcriptional regulation. RESULTS: A total of 29 Vitis vinifera MIP family
members (excluding putative pseudogenes) were identified of which all but two
were mapped onto Vitis vinifera chromosomes. In this study, segmental duplication
events were identified for five plasma membrane intrinsic protein (PIP) and four
tonoplast intrinsic protein (TIP) genes, contributing to the expansion of PIPs
and TIPs in grapevine. Grapevine MIP family members have distinct tissue and
developmental expression patterns and hierarchical clustering revealed two
primary groups regardless of the datasets analyzed. Composite microarray and RNA
seq gene co-expression networks (GCNs) highlighted the relationships between MIP
genes and functional categories involved in cell wall modification and transport,
as well as with other MIPs revealing a strong co-regulation within the family
itself. Some duplicated MIP family members have undergone sub-functionalization
and exhibit distinct expression patterns and GCNs. Cis-regulatory element (CRE)
analyses of the MIP promoters and their associated GCN members revealed
enrichment for numerous CREs including AP2/ERFs and NACs. CONCLUSIONS: Combining
phylogenetic analyses, gene expression profiling, gene co-expression network
analyses, and cis-regulatory element enrichment, this study provides a
comprehensive overview of the structure and transcriptional regulation of the
grapevine MIP family. The study highlights the duplication and sub
functionalization of the family, its strong coordinated expression with genes
involved in growth and transport, and the putative classes of TFs responsible for
its regulation.
PMID- 29642858
TI - Vaginal birth after caesarean versus elective repeat caesarean delivery after one
previous caesarean section: a cost-effectiveness analysis in four European
countries.
AB - BACKGROUND: The OptiBIRTH study incorporates a multicentre cluster randomised
trial in 15 hospital sites across three European countries. The trial was
designed to test a complex intervention aimed at improving vaginal birth after
caesarean section (VBAC) rates through increasing women's involvement in their
care. Prior to developing a robust standardised model to conduct the health
economic analysis, an analysis of a hypothetical cohort was performed to estimate
the costs and health effects of VBAC compared to elective repeat caesarean
delivery (ERCD) for low-risk women in four European countries. METHODS: A
decision-analytic model was developed to estimate the costs and the health
effects, measured using Quality Adjusted Life Years (QALYs), of VBAC compared
with ERCD. A cost-effectiveness analysis for the period from confirmation of
pregnancy to 6 weeks postpartum was performed for short-term consequences and
during lifetime for long-term consequences, based on a hypothetical cohort of
100,000 pregnant women in each of four different countries; Belgium, Germany,
Ireland and Italy. A societal perspective was adopted. Where possible, transition
probabilities, costs and health effects were adapted from national data obtained
from the respective countries. Country-specific thresholds were used to determine
the cost-effectiveness of VBAC compared to ERCD. Deterministic and probabilistic
sensitivity analyses were conducted to examine the uncertainty of model
assumptions. RESULTS: Within a 6-week time horizon, VBAC resulted in a reduction
in costs, ranging from ?3,334,052 (Germany) to ?66,162,379 (Ireland), and gains
in QALYs ranging from 6399 (Italy) to 7561 (Germany) per 100,000 women birthing
in each country. Compared to ERCD, VBAC is the dominant strategy in all four
countries. Applying a lifetime horizon, VBAC is dominant compared to ERCD in all
countries except for Germany (probabilistic analysis, ICER: ?8609/QALY). In
conclusion, compared to ERCD, VBAC remains cost-effective when using a lifetime
time. CONCLUSIONS: In all four countries, VBAC was cost-effective compared to
ERCD for low-risk women. This is important for health service managers,
economists and policy makers concerned with maximising health benefits within
limited and constrained resources.
PMID- 29642860
TI - Atrophic inferior vena cava is a marker of chronicity of intra-filter and
inferior vena cava thrombosis: based on CT findings.
AB - BACKGROUND: A permanently indwelling filter in the inferior vena cava (IVC) may
induce caval thrombosis, which could develop and evolve from an acute to a
chronic phase. The differential diagnosis of acute and chronic thromboses
determines the treatment strategy. The role of computed tomography (CT) in
diagnosing acute and chronic intra-filter and IVC thromboses has not been well
established. This retrospective study summarizes the CT signs that indicate acute
and chronic phases of intra-filter and IVC thromboses. METHODS: This study
included eight patients who developed a lower-extremity deep venous thrombosis
(DVT) and were treated with intracaval filter placement as an alternative to
anticoagulation and thrombolysis. During the follow-up, all patients developed an
intra-filter thrombosis in the IVC confirmed by CT and/or CT venography (CTV).
Demographic and CT data of all patients during the follow-up period were
collected for analysis. RESULTS: All patients had normal-appearing IVCs prior to
filter placement, as shown on trans-femoral venography. Eight filters (five
TrapEase, three OptEase) were placed in the eight IVCs, respectively.
Subsequently, IVC-CT or CTV revealed acute intra-filter or IVC thrombosis in all
eight patients, manifesting as an intracaval filling defect and thickened IVC
wall. Filter protrusion and secondary caval atrophy seen on CT indicated a
chronically occluded IVC. CONCLUSIONS: IVC thrombosis may result from filter
placement. The chronicity of caval thrombotic occlusion is likely to be
associated with filter protrusion and secondary IVC atrophy revealed on CT scans.
PMID- 29642859
TI - Identification of novel MITEs (miniature inverted-repeat transposable elements)
in Coxiella burnetii: implications for protein and small RNA evolution.
AB - BACKGROUND: Coxiella burnetii is a Gram-negative gammaproteobacterium and
zoonotic agent of Q fever. C. burnetii's genome contains an abundance of
pseudogenes and numerous selfish genetic elements. MITEs (miniature inverted
repeat transposable elements) are non-autonomous transposons that occur in all
domains of life and are thought to be insertion sequences (ISs) that have lost
their transposase function. Like most transposable elements (TEs), MITEs are
thought to play an active role in evolution by altering gene function and
expression through insertion and deletion activities. However, information
regarding bacterial MITEs is limited. RESULTS: We describe two MITE families
discovered during research on small non-coding RNAs (sRNAs) of C. burnetii. Two
sRNAs, Cbsr3 and Cbsr13, were found to originate from a novel MITE family, termed
QMITE1. Another sRNA, CbsR16, was found to originate from a separate and novel
MITE family, termed QMITE2. Members of each family occur ~ 50 times within the
strains evaluated. QMITE1 is a typical MITE of 300-400 bp with short (2-3 nt)
direct repeats (DRs) of variable sequence and is often found overlapping
annotated open reading frames (ORFs). Additionally, QMITE1 elements possess sigma
70 promoters and are transcriptionally active at several loci, potentially
influencing expression of nearby genes. QMITE2 is smaller (150-190 bps), but has
longer (7-11 nt) DRs of variable sequences and is mainly found in the 3'
untranslated region of annotated ORFs and intergenic regions. QMITE2 contains a
GTAG repetitive extragenic palindrome (REP) that serves as a target for IS1111 TE
insertion. Both QMITE1 and QMITE2 display inter-strain linkage and sequence
conservation, suggesting that they are adaptive and existed before divergence of
C. burnetii strains. CONCLUSIONS: We have discovered two novel MITE families of
C. burnetii. Our finding that MITEs serve as a source for sRNAs is novel. QMITE2
has a unique structure and occurs in large or small versions with unique DRs that
display linkage and sequence conservation between strains, allowing for tracking
of genomic rearrangements. QMITE1 and QMITE2 copies are hypothesized to influence
expression of neighboring genes involved in DNA repair and virulence through
transcriptional interference and ribonuclease processing.
PMID- 29642861
TI - Identification of methylation sites and signature genes with prognostic value for
luminal breast cancer.
AB - BACKGROUND: Robust and precise molecular prognostic predictors for luminal breast
cancer are required. This study aimed to identify key methylation sites in
luminal breast cancer, as well as precise molecular tools for predicting
prognosis. METHODS: We compared methylation levels of normal and luminal breast
cancer samples from The Cancer Genome Atlas dataset. The relationships among
differentially methylated sites, corresponding mRNA expression levels and
prognosis were further analysed. Differentially expressed genes in normal and
cancerous samples were analysed, followed by the identification of prognostic
signature genes. Samples were divided into low- and high-risk groups based on the
signature genes. Prognoses of low- and high-risk groups were compared. The Gene
Expression Omnibus dataset were used to validate signature genes for prognosis
prediction. Prognosis of low- and high-risk groups in Luminal A and Luminal B
samples from the TCGA and the Metabric cohort dataset were analyzed. We also
analysed the correlation between clinical features of low- and high- risk groups
as well as their differences in gene expression. RESULTS: Fourteen methylation
sites were considered to be related to luminal breast cancer prognosis because
their methylation levels, mRNA expression and prognoses were closely related to
each other. The methylation level of SOSTDC1 was used to divide samples into hypo
and hyper-methylation groups. We also identified an mRNA signature, comprising
eight transcripts, ESCO2, PACSIN1, CDCA2, PIGR, PTN, RGMA, KLK4 and CENPA, which
was used to divide samples into low- and high-risk groups. The low-risk group
showed significantly better prognosis than the high-risk group. A correlation
analysis revealed that the risk score was an independent prognostic factor. Low-
and high- risk groups significantly correlated with the survival ratio in Luminal
A samples, but not in Luminal B samples on the basis of the TCGA and the Metabric
cohort dataset. Further functional annotation demonstrated that the
differentially expressed genes were mainly involved in cell cycle and cancer
progression. CONCLUSIONS: We identified several key methylation sites and an mRNA
signature for predicting luminal breast cancer prognosis. The signature exhibited
effective and precise prediction of prognosis and may serve as a prognostic and
diagnostic marker for luminal breast cancer.
PMID- 29642862
TI - Effectiveness and safety of moderate-intensity aerobic water exercise during
pregnancy for reducing use of epidural analgesia during labor: protocol for a
randomized clinical trial.
AB - BACKGROUND: Epidural analgesia during labor can provide effective pain relief,
but can also lead to adverse effects. The practice of moderate exercise during
pregnancy is associated with an increased level of endorphins in the blood, and
this could also provide pain relief during labor. Aerobic water exercises, rather
than other forms of exercise, do not negatively impact articulations, reduce
edema, blood pressure, and back pain, and increase diuresis. We propose a
randomized controlled trial (RCT) to evaluate the effectiveness and safety of a
moderate water exercise program during pregnancy on the need for epidural
analgesia during labor. METHODS: A multi-center, parallel, randomized, evaluator
blinded, controlled trial in a primary care setting. We will randomised 320
pregnant women (14 to 20 weeks gestation) who have low risk of complications to a
moderate water exercise program or usual care. DISCUSSION: The findings of this
research will contribute toward understanding of the effects of a physical
exercise program on pain and the need for analgesia during labor. TRIAL
REGISTRATION: ISRCTN Registry identifier: 14097513 register on 04 September 2017.
Retrospectively registered.
PMID- 29642863
TI - Risk of seizures after immunization in children with epilepsy: a risk interval
analysis.
AB - BACKGROUND: In children with epilepsy, fever and infection can trigger seizures.
Immunization can also induce inflammation and fever, which could theoretically
trigger a seizure. The risk of seizure after immunization in children with pre
existing epilepsy is not known. The study objective was to determine the risk of
medically attended seizure after immunization in children with epilepsy < 7 years
of age. METHODS: We conducted a retrospective study of children < 7 years of age
with epilepsy in Nova Scotia, Canada from 2010 to 2014. Hospitalizations,
emergency visits, unscheduled clinic visits, and telephone calls for seizures
were extracted from medical records. Immunization records were obtained from
family physicians and Public Health with informed consent. We conducted a risk
interval analysis to estimate the relative risk (RR) of seizure during risk
periods 0-14, 0-2, and 5-14 days post-immunization versus a control period 21-83
days post-immunization. RESULTS: There were 302 children with epilepsy who were
eligible for the study. Immunization records were retrieved on 147 patients
(49%), of whom 80 (54%) had one or more immunizations between the epilepsy
diagnosis date and age 7 years. These 80 children had 161 immunization visits and
197 medically attended seizures. Children with immunizations had more seizures
than either those with no immunizations or those with no records (mean 2.5 versus
0.7 versus 0.9, p < 0.001). The risk of medically attended seizure was not
increased 0-14 days after any vaccine (RR = 1.1, 95% confidence interval (CI):
0.5-2.8) or 0-2 days after inactivated vaccines (RR = 0.9, 95% CI: 0.1-7.1)
versus 21-83 days post-immunization. No seizure events occurred 5-14 days after
live vaccines. CONCLUSIONS: Children with epilepsy do not appear to be at
increased risk of medically attended seizure following immunization. These
findings suggest that immunization is safe in children with epilepsy, with
benefits outweighing risks.
PMID- 29642864
TI - Development of a novel motivational interviewing (MI) informed peer-support
intervention to support mothers to breastfeed for longer.
AB - BACKGROUND: Many women in the UK stop breastfeeding before they would like to,
and earlier than is recommended by the World Health Organization (WHO). Given the
potential health benefits for mother and baby, new ways of supporting women to
breastfeed for longer are required. The purpose of this study was to develop and
characterise a novel Motivational Interviewing (MI) informed breastfeeding peer
support intervention. METHODS: Qualitative interviews with health professionals
and service providers (n = 14), and focus groups with mothers (n = 14), fathers
(n = 3), and breastfeeding peer-supporters (n = 15) were carried out to
understand experiences of breastfeeding peer-support and identify intervention
options. Data were audio-recorded, transcribed, and analysed thematically.
Consultation took place with a combined professional and lay Stakeholder Group (n
= 23). The Behaviour Change Wheel (BCW) guided intervention development process
used the findings of the qualitative research and stakeholder consultation,
alongside evidence from existing literature, to identify: the target behaviour to
be changed; sources of this behaviour based on the Capability, Opportunity and
Motivation (COM-B) model; intervention functions that could alter this behaviour;
and; mode of delivery for the intervention. Behaviour change techniques included
in the intervention were categorised using the Behaviour Change Technique
Taxonomy Version 1 (BCTTv1). RESULTS: Building knowledge, skills, confidence, and
providing social support were perceived to be key functions of breastfeeding peer
support interventions that aim to decrease early discontinuation of
breastfeeding. These features of breastfeeding peer-support mapped onto the BCW
education, training, modelling and environmental restructuring intervention
functions. Behaviour change techniques (BCTTv1) included social support, problem
solving, and goal setting. The intervention included important inter-personal
relational features (e.g. trust, honesty, kindness), and the BCTTv1 needed
adaptation to incorporate this. CONCLUSIONS: The MI-informed breastfeeding peer
support intervention developed using this systematic and user-informed approach
has a clear theoretical basis and well-described behaviour change techniques. The
process described could be useful in developing other complex interventions that
incorporate peer-support and/or MI.
PMID- 29642865
TI - Serum leucine-rich alpha-2-glycoprotein-1 with fucosylated triantennary N-glycan:
a novel colorectal cancer marker.
AB - BACKGROUND: Carcinoembryonic antigen (CEA) and carbohydrate antigen (CA)19-9 are
used in clinical practice as tumor markers to diagnose or monitor colorectal
cancer (CRC) patients, However, their specificities and sensitivities are not
ideal, and novel alternatives are needed. In this study, mass spectrometry was
used to search for screening markers, focusing on glycan alterations of
glycoproteins in the sera of CRC patients. METHODS: Glycopeptides were prepared
from serum glycoproteins separated from blood samples of 80 CRC patients and 50
healthy volunteers, and their levels were measured by liquid chromatography time
of flight mass spectrometry (LC-TOF-MS). RESULTS: Leucine-rich alpha-2
glycoprotein-1 with fucosylated triantennary N-glycan (LRG-FTG) was identified as
CRC marker after evaluating 30,000 candidate glycopeptide peaks. The average LRG
FTG level in CRC patients (1.25 +/- 0.973 U/mL) was much higher than that in
healthy volunteers (0.496 +/- 0.433 U/mL, P < 10- 10), and its sensitivity and
specificity exceeded those of CA19-9. The combination of CEA and LRG-FTG showed a
complementary effect and had better sensitivity (84%), specificity (90%), and AUC
(0.91 by ROC analysis) than each marker alone or any other previously reported
marker. LRG-FTG alone or combined with CEA also corresponded well with patient
response to treatment. CONCLUSIONS: We identified LRG-FTG as a new CRC marker,
with a sensitivity and specificity exceeding CA19-9. The combination of LRG-FTG
and CEA showed much higher sensitivity and specificity than each marker alone.
Further validation beyond this initial exploratory cohort is warranted.
PMID- 29642866
TI - Using photo-elicitation to understand reasons for repeated self-harm: a
qualitative study.
AB - BACKGROUND: Reasons for self-harm are not well understood. One of the reasons for
this is that first-hand accounts are usually elicited using traditional interview
and questionnaire methods. This study aims to explore the acceptability of using
an approach (photo-elicitation) that does not rely on solely verbal or written
techniques, and to make a preliminary assessment of whether people can usefully
employ images to support a discussion about the reasons why they self-harm.
METHOD: Interviews with eight participants using photo elicitation, a method in
which photographs produced by the participant are used as a stimulus and guide
within the interview. RESULTS: Participants responded positively to using images
to support a discussion about their self-harm and readily incorporated images in
the interview. Four main themes were identified representing negative and
positive or adaptive purposes of self-harm: self-harm as a response to distress,
self-harm to achieve mastery, self-harm as protective and self-harm as a language
or form of communication. CONCLUSIONS: Employing this novel approach was useful
in broadening our understanding of self-harm.
PMID- 29642867
TI - Antiproliferative activities and phenolic acid content of water and ethanolic
extracts of the powdered formula of Houttuynia cordata Thunb. fermented broth and
Phyllanthus emblica Linn. fruit.
AB - BACKGROUND: Houttuynia cordata Thunb. and Phyllanthus emblica Linn. are native
plants with medicinal and nutritive significance in Asia. The present study was
aimed at evaluating antiproliferative effects on human cancer cell lines and
identifying the phenolic acid composition of water and ethanolic extracts of the
powdered formula of H. cordata fermented broth and P. emblica fruit. METHODS:
Anticancer activity of the extracts was evaluated against HeLa, HT29, HCT116,
MCF7 and Jurkat cells using an MTT assay and flow cytometric analysis of
apoptosis induction and cell cycle arrest. Reverse phase HPLC was exploited for
identification and quantification of some phenolic acids. RESULTS: MTT assay
showed that both water and ethanolic extracts significantly decreased the
viability of cancer cells in a dose- and time-dependent fashion. Based on the
IC50 values, ethanolic extract (IC50 values = 0.12-0.65 mg/mL) was more cytotoxic
than water extract (IC50 values = 0.22-0.85 mg/mL) and Jurkat cells were the most
sensitive to both extracts (IC50 values = 0.12-0.69 mg/mL). The underlying
mechanism for antiproliferative activity was apoptosis induction, especially in
HT29, HCT116, MCF7 and Jurkat cells. HT29 cells were the most sensitive to
extract-induced apoptosis. Ethanolic extract was more effective at inducing
apoptosis than water extract. Moreover, cell cycle arrest was found to be another
mechanism behind growth inhibition in Jurkat and HCT116 cells. However, these
extracts were relatively less toxic to non-cancer Vero cells. HPLC analysis
demonstrated that the powder mix extracts contained seven identified phenolic
acids namely gallic, p-hydroxybenzoic, vanillic, syringic, p-coumaric, ferulic
and sinapinic acids, where p-coumaric acid was detected in the highest
concentration followed by ferulic acid. CONCLUSION: Overall, the results of this
study suggest the powdered formula of H. cordata fermented broth and P. emblica
fruit as an alternative medicine for cancer prevention and treatment.
PMID- 29642868
TI - Adaptation of an evidence-based postpartum depression intervention: feasibility
and acceptability of mothers and babies 1-on-1.
AB - BACKGROUND: Mothers and Babies (MB) is a cognitive-behavioral intervention with
demonstrated efficacy in reducing depressive symptoms and preventing depressive
episodes among perinatal women when delivered in a group format by mental health
professionals. Study aims were to describe the adaptation of MB into a 1-on-1
modality (MB 1-on-1) and provide data on the adapted intervention's acceptability
and feasibility. METHODS: Seventy-five home visitors trained on MB 1-on-1
delivered the 15-session intervention to 1-2 clients. Client acceptability data
assessed intervention enjoyment, comprehension, and usefulness. Home visitor
feasibility and acceptability data measured amount of intervention material
delivered, client comprehension, and client engagement. RESULTS: Home visitors
were all female with 8.8 years of experience on average. 117 clients completed
acceptability surveys. Average client age was 21.9 years and 41% were pregnant.
Home visitors completely covered 87.9% of sessions and reported clients totally
understood MB material 82.5% of the time across sessions, although variability
was found in comprehension across modules. 82.0% of clients found MB 1-on-1
enjoyable and 91.6% said they totally understood sessions, when averaged across
sessions. Clients enjoyed content on noticing one's mood and pleasant activities.
Implementation challenges were client engagement, facilitating completion of
personal projects, and difficulty shifting between didactic and interactive
activities. CONCLUSIONS: Clients found MB 1-on-1 to be enjoyable, easily
understood, and useful. Home visitors reported excellent implementation fidelity
and felt clients understood MB material. A refined 12-session version of MB 1-on
1 should be examined for its effectiveness in reducing depressive symptoms, given
encouraging feasibility and acceptability data.
PMID- 29642870
TI - Correction to: An Arabidopsis introgression zone studied at high spatio-temporal
resolution: interglacial and multiple genetic contact exemplified using whole
nuclear and plastid genomes.
AB - ?: Upon publication of the original article [1], the authors had flagged that
there was an error in Fig. 1c, as the key in this figure was displaying
incorrectly. The colours had not displayed in the key in the final published
article, and instead appear as plain white.
PMID- 29642869
TI - Characteristics of human encounters and social mixing patterns relevant to
infectious diseases spread by close contact: a survey in Southwest Uganda.
AB - BACKGROUND: Quantification of human interactions relevant to infectious disease
transmission through social contact is central to predict disease dynamics, yet
data from low-resource settings remain scarce. METHODS: We undertook a social
contact survey in rural Uganda, whereby participants were asked to recall details
about the frequency, type, and socio-demographic characteristics of any
conversational encounter that lasted for >=5 min (henceforth defined as
'contacts') during the previous day. An estimate of the number of 'casual
contacts' (i.e. < 5 min) was also obtained. RESULTS: In total, 566 individuals
were included in the study. On average participants reported having routine
contact with 7.2 individuals (range 1-25). Children aged 5-14 years had the
highest frequency of contacts and the elderly (>=65 years) the fewest (P <
0.001). A strong age-assortative pattern was seen, particularly outside the
household and increasingly so for contacts occurring further away from home.
Adults aged 25-64 years tended to travel more often and further than others, and
males travelled more frequently than females. CONCLUSION: Our study provides
detailed information on contact patterns and their spatial characteristics in an
African setting. It therefore fills an important knowledge gap that will help
more accurately predict transmission dynamics and the impact of control
strategies in such areas.
PMID- 29642871
TI - Quality of internet-based decision aids for shoulder arthritis: what are patients
reading?
AB - BACKGROUND: The objective of this study was to assess the source, quality,
accuracy, and completeness of Internet-based information for shoulder arthritis.
METHODS: A web search was performed using three common Internet search engines
and the top 50 sites from each search were analyzed. Information sources were
categorized into academic, commercial, non-profit, and physician sites.
Information quality was measured using the Health On the Net (HON) Foundation
principles, content accuracy by counting factual errors and completeness using a
custom template. RESULTS: After removal of duplicates and sites that did not
provide an overview of shoulder arthritis, 49 websites remained for analysis. The
majority of sites were from commercial (n = 16, 33%) and physician (n = 16, 33%)
sources. An additional 12 sites (24%) were from an academic institution and five
sites (10%) were from a non-profit organization. Commercial sites had the highest
number of errors, with a five-fold likelihood of containing an error compared to
an academic site. Non-profit sites had the highest HON scores, with an average of
9.6 points on a 16-point scale. The completeness score was highest for academic
sites, with an average score of 19.2 +/- 6.7 (maximum score of 49 points); other
information sources had lower scores (commercial, 15.2 +/- 2.9; non-profit, 18.7
+/- 6.8; physician, 16.6 +/- 6.3). CONCLUSIONS: Patient information on the
Internet regarding shoulder arthritis is of mixed accuracy, quality, and
completeness. Surgeons should actively direct patients to higher-quality Internet
sources.
PMID- 29642872
TI - Karyotype diversity and 2C DNA content in species of the Caesalpinia group.
AB - BACKGROUND: The Leguminosae family is the third-largest family of angiosperms,
and Caesalpinioideae is its second-largest subfamily. A great number of species
(approximately 205) are found in the Caesalpinia group within this subfamily;
together with these species' phenotypic plasticity and the similarities in their
morphological descriptors, make this a complex group for taxonomic and
phylogenetic studies. The objective of the present work was to evaluate the
karyotypic diversity and the 2C DNA content variation in 10 species of the
Caesalpinia group, representing six genera: Paubrasilia, Caesalpinia, Cenostigma,
Poincianella, Erythrostemon and Libidibia. The GC-rich heterochromatin and 45S
rDNA sites (which are used as chromosome markers) were located to evaluate the
karyotype diversity in the clade. The variation in the 2C DNA content was
determined through flow cytometry. RESULTS: The fluorochrome banding indicated
that the chromomycin A3+/4',6-diamidino-2-phenylindole- blocks were exclusively
in the terminal regions of the chromosomes, coinciding with 45S rDNA sites in all
analyzed species. Physical mapping of the species (through fluorescence in situ
hybridization) revealed variation in the size of the hybridization signals and in
the number and distribution of the 45S rDNA sites. All hybridization sites were
in the terminal regions of the chromosomes. In addition, all species had a
hybridization site in the fourth chromosome pair. The 2C DNA content ranged from
1.54 pg in Erythrostemon calycina to 2.82 pg in the Paubrasilia echinata large
leaf variant. The Pa. echinata small-leaf variant was isolated from the other
leaf variants through Scoot-Knott clustering. CONCLUSIONS: The chromosome
diversity and the variation in the 2C DNA content reinforce that the actual
taxonomy and clustering of the analyzed taxa requires more genera that were
previously proposed. This fact indicates that taxonomy, phylogeny and
cytoevolutionary inference related to the complex Caesalpinia group have to be
done through integrative evaluation.
PMID- 29642873
TI - Bexarotene inhibits the viability of non-small cell lung cancer cells via
slc10a2/PPARgamma/PTEN/mTOR signaling pathway.
AB - BACKGROUND: Thirty to 40 % of non-small cell lung cancer (NSCLC) patients
developed higher hypertriglyceridemia in the process of treatment with
bexarotene. And bioinformatics studies discovered that the expression of slc10a2
was increased in high-grade hypertriglyceridemia patients. So, we will explore
the mechanism which may involve in this process. METHODS: We constructed slc10a2
overexpressed A549 cells and H1299 cells as cell models, normal A549 cells and
H1299 cells as control. Then we explored the effects of slc10a2 on A549 cells and
H1299 cells behaviors, including proliferation, invasion and apoptosis. The
expression of apoptotic related genes and anti-cancer genes also been detected.
RESULTS: We found that the proliferation and migration were inhibited and the
apoptosis of NSCLC cells was accelerated by bexarotene. In addition,
overexpressed slc10a2 in NSCLC cells can further suppress the proliferation and
migration, and promote apoptosis under the treatment of bexarotene. On the
contrary, the opposite results were obtained after slc10a2 gene was silenced in
NSCLC cells treated with bexarotene. Moreover, the expression of caspase 3,
caspase 7, PTEN, P21, P53, LKB1, TSC2 were increased and the expression of Bcl-2,
cyclin D1, c-FLIP were declined in NSCLC cells and slc10a2 overexpressed NSCLC
cells with the treatment of bexarotene, and the opposite situations were seen
after slc10a2 gene was silenced in NSCLC cells. The further studies revealed the
increased expression of slc10a2 activated the expression of peroxisome
proliferator-activated receptor gamma (PPARgamma), then up-regulated PTEN
expression and down-regulated mTOR expression. CONCLUSION: These results suggest
that bexarotene inhibits the viability of lung cancer cells via
slc10a2/PPARgamma/PTEN/mTOR signaling pathway.
PMID- 29642874
TI - Pilot evaluation of a second-generation electronic pill box for adherence to
Bedaquiline and antiretroviral therapy in drug-resistant TB/HIV co-infected
patients in KwaZulu-Natal, South Africa.
AB - BACKGROUND: The introduction of Bedaquiline, the first new antimycobacterial drug
in over 40 years, has highlighted the critical importance of medication adherence
in drug-resistant tuberculosis (DR-TB) treatment to prevent amplified drug
resistance and derive sustained benefit. Real-time electronic dose monitoring
(EDM) accurately measures adherence and allows for titration of adherence support
for anti-retroviral therapy (ART). The goal of this study was to evaluate the
accuracy and acceptability of a next-generation electronic pillbox (Wisepill
RT2000) for Bedaquiline-containing TB regimens. METHODS: Eligible patients were
DR-TB/HIV co-infected adults hospitalized for the initiation of Bedaquiline
containing treatment regimens in KwaZulu-Natal, South Africa. A one-way crossover
design was used to evaluate levels of adherence and patient acceptance of EDM.
Each patient was given a Wisepill device which was filled with ART, Levofloxacin
or Bedaquiline over three consecutive weeks. Medication adherence was measured
using Wisepill counts, patient-reported seven-day recall, and weekly pill count.
An open-ended qualitative questionnaire at the end of the study evaluated
participant acceptability of the Wisepill device. RESULTS: We enrolled 21 DR
TB/HIV co-infected inpatients admitted for the initiation of Bedaquiline from
August through September 2016. In aggregate patients were similarly adherent to
Bedaquiline (100%) compared to Levofloxacin (100%) and ART (98.9%) by pill count.
Wisepill was more sensitive (100%) compared to seven-day recall (0%) in detecting
non-adherence events (p = 0.02). Patients reported positive experiences with
Wisepill and expressed willingness to use the device during a full course of DR
TB treatment. There were no concerns about stigma, confidentiality, or remote
monitoring. CONCLUSION: In this pilot study patients were highly adherent to
Bedaquiline by all adherence measures. However, there was lower adherence to ART
by pill count and Wisepill suggesting a possible challenge for adherence with
ART. The use of EDM identified significantly more missed doses than seven-day
recall. Wisepill was highly acceptable to DR-TB/HIV patients in South Africa, and
is a promising modality to support and monitor medication adherence in complex
treatment regimens.
PMID- 29642875
TI - Comparing the performance of circulating cathodic antigen and Kato-Katz
techniques in evaluating Schistosoma mansoni infection in areas with low
prevalence in selected counties of Kenya: a cross-sectional study.
AB - BACKGROUND: Kato-Katz technique has been the mainstay test in Schistosoma mansoni
diagnosis in endemic areas. However, recent studies have documented its poor
sensitivity in evaluating Schistosoma mansoni infection especially in areas with
lower rates of transmission. It's the primary diagnostic tool in monitoring
impact of the Kenya national school based deworming program on infection
transmission, but there is need to consider a more sensitive technique as the
prevalence reduces. Therefore, this study explored the relationship between
results of the stool-based Kato-Katz technique with urine-based point-of-care
circulating cathodic antigen (POC-CCA) test in view to inform decision-making by
the program in changing from Kato-Katz to POC-CCA test. METHODS: We used two
cross-sectional surveys conducted pre- and post- mass drug administration (MDA)
using praziquantel in a representative random sample of children from 18 schools
across 11 counties. A total of 1944 children were randomly sampled for the study.
Stool and urine samples were tested for S. mansoni infection using Kato-Katz and
POC-CCA methods, respectively. S. mansoni prevalence using each technique was
calculated and 95% confidence intervals obtained using binomial regression model.
Specificity (Sp) and sensitivity (Sn) were determined using 2 * 2 contingency
tables and compared using the McNemar's chi-square test. RESULTS: A total of 1899
and 1878 children were surveyed at pre- and post-treatment respectively. S.
mansoni infection prevalence was 26.5 and 21.4% during pre- and post-treatment
respectively using POC-CCA test, and 4.9 and 1.5% for pre- and post-treatment
respectively using Kato-Katz technique. Taking POC-CCA as the gold standard, Kato
Katz was found to have significantly lower sensitivity both at pre- and post
treatment, Sn = 12.5% and Sn = 5.2% respectively, McNemar test chi2m = 782.0, p <
0.001. In overall, the results showed a slight/poor agreement between the two
methods, kappa index (k) = 0.11, p < 0.001, inter-rater agreement = 77.1%.
CONCLUSIONS: Results showed POC-CCA technique as an effective, sensitive and
accurate screening tool for Schistosoma mansoni infection in areas of low
prevalence. It was up to 14-fold accurate than Kato-Katz which had extremely
inadequate sensitivity. We recommend usage of POC-CCA alongside Kato-Katz
examinations by Schistosomiasis control programs in low prevalence areas.
PMID- 29642876
TI - Smoking among immigrant groups in metropolitan France: prevalence levels, male-to
female ratios and educational gradients.
AB - BACKGROUND: Although the French population comprises large and diverse immigrant
groups, there is little research on smoking disparities by geographical origin.
The aim of this study is to investigate in this country smoking among immigrants
born in either north Africa, sub-Saharan Africa or French overseas departements.
METHODS: The data originate from the 2010 Health Barometer survey representative
of metropolitan France. The subsample of 20,211 individuals aged 18-70 years
(born either in metropolitan France or in the above-mentioned geographical
regions) was analysed using logistic regression. RESULTS: Both immigrants from
sub-Saharan Africa and immigrants from overseas departements were protected from
smoking compared to the reference population, and the former had a distinctive
strongly reversed educational gradient in both genders. Returned former settlers
from the French colonies in North Africa (repatriates) had the highest smoking
levels. Natives from the Maghreb (Maghrebins) showed considerable gender
discordance, with men having both a higher prevalence (borderline significance)
and a reversed gradient and women having lower prevalence than the reference
population. CONCLUSION: Immigrants from regions of the world in stage 1 of the
cigarette epidemic had relatively low smoking levels and those from regions in
stage 2 had relatively high smoking levels. Some groups had a profile
characteristic of late phases of the cigarette epidemic, and others, some of
which long-standing residents, seemed to be positioned at its early stages. The
situation for Maghrebins reflected the enduring influence of gendered norms post
migration. Based on their educational gradients, immigrants from overseas
departements (particularly men) and Maghrebin women may be at risk of losing
their particularly low prevalence. Immigrants from sub-Saharan Africa could
retain it. In-depth analysis of smoking profiles of immigrants' groups is
essential for a better targeting of smoking prevention and cessation programs.
PMID- 29642877
TI - Standardisation framework for the Maudsley staging method for treatment
resistance in depression.
AB - BACKGROUND: Treatment-resistant depression (TRD) is a serious and relatively
common clinical condition. Lack of consensus on defining and staging TRD remains
one of the main barriers to understanding TRD and approaches to intervention. The
Maudsley Staging Method (MSM) is the first multidimensional model developed to
define and stage treatment-resistance in "unipolar depression". The model is
being used increasingly in treatment and epidemiological studies of TRD and has
the potential to support consensus. Yet, standardised methods for rating the MSM
have not been described adequately. The aim of this report is to present
standardised approaches for rating or completing the MSM. METHOD: Based on the
initial development of the MSM and a narrative review of the literature, the
developers of the MSM provide explicit guidance on how the three dimensions of
the MSM--treatment failure, severity of depressive episode and duration of
depressive episode-- may be rated. RESULT: The core dimension of the MSM,
treatment failure, may be assessed using the Maudsley Treatment Inventory (MTI),
a new method developed for the purposes of completing the MSM. The MTI consists
of a relatively comprehensive list of medications with options for rating doses
and provisions treatment for multiple episodes. The second dimension, severity of
symptoms, may be assessed using simple instruments such as the Clinical Global
Impression, the Psychiatric Status Rating or checklist from a standard diagnostic
checklist. The standardisation also provides a simple rating scale for scoring
the third dimension, duration of depressive episode. CONCLUSION: The approaches
provided should have clinical and research utility in staging TRD. However, in
proposing this model, we are fully cognisant that until the pathophysiology of
depression is better understood, staging methods can only be tentative
approximations. Future developments should attempt to incorporate other
biological/ pathophysiological dimensions for staging.
PMID- 29642878
TI - The problem of suicide among Amerindians in Camopi-Trois Sauts, French Guiana
2008-2015.
AB - BACKGROUND: Suicide within the Amerindian community of Camopi (1741 inhabitants)
in French Guiana has been an increasing problem widely reported in the media
leading the French Government to mandate a parliamentary mission to investigate
the matter. The purpose of the study was to describe this phenomenon and identify
factors associated with suicide attempts. METHODS: A retrospective observational
study was conducted from the health centers' medical records. All suicide
attempts and suicides committed between 2008 and 2015 by Amerindians living in
Camopi and Trois Sauts were compiled. Contextual factors and suicide
representations were also analyzed. RESULTS: During the study period, the annual
attempted suicide rate and the suicide rate were higher in the last 3 years. The
overall annual rate was equal to 6.9/1741 or 396 per 100, 000 inhabitants for
attempted suicide and 172 per 100,000 inhabitants for suicide, which is more than
10 times higher than the suicide rate in mainland France. The mortality rate was
30.4% versus 8.2% in mainland France. The 10-20 year-old age group represented
70% of suicide deaths. There was no significant difference between genders. A
recent death and interpersonal conflict were the main stressful life events
reported by respondents (55 and 52%, respectively). Alcohol addiction (30% of the
respondents) was associated with suicide attempts under the influence of alcohol
(p = 0.03). Repetition of suicide attempts was associated with cannabis
consumption (p = 0.03). Depression was reported among 45% of the respondents. A
third of respondents reported having been abused during their childhood. Over
half of respondents reported that their suicide attempt was motivated by a spirit
(58%). CONCLUSIONS: Despite limitations due to the small population size and
limited time frame, this is the first study to describe the epidemiology of
suicide among Amerindians living in Camopi. In contrast with other French
territories, the suicide rate was very high, the sex ratio was balanced and
younger age groups were most affected.
PMID- 29642879
TI - Correlates of healthy life expectancy in low- and lower-middle-income countries.
AB - BACKGROUND: Healthy life expectancy (HALE) at birth is an important indicator of
health status and quality of life of a country's population. However, little is
known about the determinants of HALE as yet globally or even country-specific
level. Thus, we examined the factors that are associated with HALE at birth in
low- and lower-middle-income countries. METHODS: In accordance with the World
Bank (WB) classification seventy-nine low- and lower-middle-income countries were
selected for the study. Data on HALE, demographic, socioeconomic, social
structural, health, and environmental factors from several reliable sources, such
as the World Health Organization, the United Nations Development Program,
Population Reference Bureau, WB, Heritage Foundation, Transparency International,
Freedom House, and International Center for Prison Studies were obtained as
selected countries. Descriptive statistics, correlation analysis, and regression
analysis were performed to reach the research objectives. RESULTS: The lowest and
highest HALE were observed in Sierra Leone (44.40 years) and in Sri Lanka (67.00
years), respectively. The mean years of schooling, total fertility rate (TFR),
physician density, gross national income per capita, health expenditure, economic
freedom, carbon dioxide emission rate, freedom of the press, corruption
perceptions index, prison population rate, and achieving a level of health
related millennium development goals (MDGs) were revealed as the correlates of
HALE. Among all the correlates, the mean years of schooling, TFR, freedom of the
press, and achieving a level of health-related MDGs were found to be the most
influential factors. CONCLUSION: To increase the HALE in low- and lower-middle
income countries, we suggest that TFR is to be reduced as well as to increase the
mean years of schooling, freedom of the press, and the achievement of a level of
health-related MDGs.
PMID- 29642880
TI - Prevalence of latent tuberculosis infection among coal workers' pneumoconiosis
patients in China: a cross-sectional study.
AB - BACKGROUND: Little is known about the prevalence of latent tuberculosis infection
(LTBI) among coal workers' pneumoconiosis (CWP) patients. To estimate the
prevalence of LTBI and identify its associated risk factors among CWP patients.
METHODS: A cross-sectional study was conducted to assess the prevalence of LTBI.
Participants were screened for active TB or a history of TB by X-ray and those
that underwent QuantiFERON-TB Gold In-Tube (QFT) test. A standardized
questionnaire was completed and risk factors were assessed for acquiring TB. Log
binomial regression was used to estimate the LTBI prevalence ratio (PR) in
relation to risk factors. RESULTS: Of 244 individuals with CWP (median age 67
years; all male), 162 (66.4%) were QFT positive. In Multivariate analysis, poor
workplace ventilation (adjusted prevalence ratio [APR] = 1.26) and intake of
fruits regularly (>=4 days of every week) (APR = 0.81) (all p < 0.05) were
associated with a decreased risk of QFT. CONCLUSIONS: This study showed a high
prevalence of LTBI among individuals with CWP in China. Poor workplace
ventilation may be an important contributing factor for LTBI. Regular monitoring
and dust control measures need to be improved in workplaces to ensure the safety
of workers. Moreover, intake of fruits regularly may be a protective factor for
LTBI. However, the effect of fruits should be further studied.
PMID- 29642881
TI - Antiplasmodial and trypanocidal activity of violacein and deoxyviolacein produced
from synthetic operons.
AB - BACKGROUND: Violacein is a deep violet compound that is produced by a number of
bacterial species. It is synthesized from tryptophan by a pathway that involves
the sequential action of 5 different enzymes (encoded by genes vioA to vioE).
Violacein has antibacterial, antiparasitic, and antiviral activities, and also
has the potential of inducing apoptosis in certain cancer cells. RESULTS: Here,
we describe the construction of a series of plasmids harboring the complete or
partial violacein biosynthesis operon and their use to enable production of
violacein and deoxyviolacein in E.coli. We performed in vitro assays to determine
the biological activity of these compounds against Plasmodium, Trypanosoma, and
mammalian cells. We found that, while deoxyviolacein has a lower activity against
parasites than violacein, its toxicity to mammalian cells is insignificant
compared to that of violacein. CONCLUSIONS: We constructed E. coli strains
capable of producing biologically active violacein and related compounds, and
propose that deoxyviolacein might be a useful starting compound for the
development of antiparasite drugs.
PMID- 29642882
TI - Assessing the healthcare resource use associated with inappropriate prescribing
of inhaled corticosteroids for people with chronic obstructive pulmonary disease
(COPD) in GOLD groups A or B: an observational study using the Clinical Practice
Research Datalink (CPRD).
AB - BACKGROUND: Recent recommendations from the Global Initiative for Chronic
Obstructive Lung Disease (GOLD) position inhaled corticosteroids (ICS) for use in
chronic obstructive pulmonary disease (COPD) patients experiencing exacerbations
(>= 2 or >= 1 requiring hospitalisation); i.e. GOLD groups C and D. However, it
is known that ICS is frequently prescribed for patients with less severe COPD.
Potential drivers of inappropriate ICS use may be historical clinical guidance or
a belief among physicians that intervening early with ICS would improve outcomes
and reduce resource use. The objective of this study was to compare healthcare
resource use in the UK for COPD patients in GOLD groups A and B (0 or 1
exacerbation not resulting in hospitalisation) who have either been prescribed an
ICS-containing regimen or a non-ICS-containing regimen. METHODS: Linked data from
the Clinical Practice Research Datalink (CPRD) and Hospital Episode Statistics
(HES) database were used. For the study period (1 July 2005 to 30 June 2015) a
total 4009 patients met the inclusion criteria; 1745 receiving ICS-containing
therapy and 2264 receiving non-ICS therapy. Treatment groups were propensity
score-matched to account for potential confounders in the decision to prescribe
ICS, leaving 1739 patients in both treatment arms. Resource use was assessed in
terms of frequency of healthcare practitioner (HCP) interactions and rescue
therapy prescribing. Treatment acquisition costs were not assessed. RESULTS:
Results showed no benefit associated with the addition of ICS, with numerically
higher all-cause HCP interactions (72,802 versus 69,136; adjusted relative rate:
1.07 [p = 0.061]) and rescue therapy prescriptions (24,063 versus 21,163;
adjusted relative rate: 1.05 [p = 0.212]) for the ICS-containing group compared
to the non-ICS group. Rate ratios favoured the non-ICS group for eight of nine
outcomes assessed. Outcomes were similar for subgroup analyses surrounding
potential influential parameters, including patients with poorer lung function
(FEV1 < 50% predicted), one prior exacerbation or elevated blood eosinophils.
CONCLUSIONS: These data suggest that ICS use in GOLD A and B COPD patients is not
associated with a benefit in terms of healthcare resource use compared to non-ICS
bronchodilator-based therapy; using ICS according to GOLD recommendations may
offer an opportunity for improving patient care and reducing resource use.
PMID- 29642883
TI - Associations between ideational variables and bed net use in Madagascar, Mali,
and Nigeria.
AB - BACKGROUND: The use of insecticide-treated bed nets (ITNs) is crucial to the
prevention, control, and elimination of malaria. Using household surveys
conducted in 2014-2015 by the Health Communication Capacity Collaborative project
in Madagascar, Mali, and Nigeria, we compared a model of psychosocial influence,
called Ideation, to examine how malaria-related variables influence individual
and household bed net use in each of these countries. Evaluations of non-malaria
programs have confirmed the value of the ideational approach, but it is
infrequently used to guide malaria interventions. The study objective was to
examine how well this model could identify potentially effective malaria
prevention approaches in different contexts. METHODS: Sampling and survey designs
were similar across countries. A multi-stage random sampling process selected
female caregivers with at least one child under 5 years of age for interviews.
Additional data were collected from household heads about bed net use and other
characteristics of household members. The caregiver survey measured psychosocial
variables that were subjected to bivariate and multivariate analysis to identify
significant ideational variables related to bed net use. RESULTS: In all three
countries, children and adolescents over five were less likely to sleep under a
net compared to children under five (OR = 0.441 in Madagascar, 0.332 in Mali,
0.502 in Nigeria). Adults were less likely to sleep under a net compared to
children under five in Mali (OR = 0.374) and Nigeria (OR = 0.448), but not
Madagascar. In all countries, the odds of bed net use were lower in larger
compared to smaller households (OR = 0.452 in Madagascar and OR = 0.529 in
Nigeria for households with 5 or 6 members compared to those with less than 5;
and OR = 0.831 in Mali for larger compared to smaller households). Of 14 common
ideational variables examined in this study, six were significant predictors in
Madagascar (all positive), three in Mali (all positive), and two in Nigeria (both
negative). CONCLUSION: This research suggests that the systematic use of this
model to identify relevant ideational variables in a particular setting can guide
the development of communication strategies and messaging, thereby improving the
effectiveness of malaria prevention and control.
PMID- 29642884
TI - Performance and self-perceived competencies of enrolled nurse/midwives: a mixed
methods study from rural Tanzania.
AB - BACKGROUND: Tanzania is experiencing a severe shortage of human resources for
health, which poses a serious threat to the quality of health care services
particularly in rural areas. Task shifting has been considered a way to address
this problem. However, since a large percentage of health care providers in rural
setting is comprised of Enrolled Nurse/Midwives (ENMs), most of the health care
tasks are shifted to them. This article analyzes the performance and self
perceived competencies of ENMs at the dispensary level; the lowest health
facility in Tanzania. Performance refers to routine duties performed by ENMs, and
self-perceived competence means self-perceived proficiency in performing
nursing/midwifery and medical duties. METHODS: This was a mixed methods study
conducted in rural Tanzania. A purposeful sample of twelve (12) informants (six
ENMs; two Community Leaders [CLs] and four Dispensary In-charges [DIs]) was
recruited for semi-structured interviews. The interviews were supplemented with
quantitative data from 59 ENMs. Both thematic and descriptive analysis approaches
were used. RESULTS: Three themes emerged: (1) 'Approval of the performances of
ENMs in meeting community health needs' underscores important services the
community members got from ENMs at dispensaries. (2) 'Experienced difficulties of
meeting community health needs' indicate the problems ENMs encountered while
providing services to the community. In striving to serve a large number of
demanding clients without adequate medical equipment and supplies, sometimes the
ENMs ended up with prescription errors (3) 'Appreciating the performances and
competencies of ENMs' shows the acknowledgement of community members towards
ENMs' performance and competencies within and beyond their scope of practice. The
community members as well as ENMs and their supervisors knew that ENMs must
sometimes provide care that is outside their scope of training and competency.
Overall, the performance among ENMs above 38 years of age (P < 0.05) and
participants of professional development courses (P < 0.01) was high.
CONCLUSIONS: The results highlight performance and self-perceived competencies of
ENMs in struggling to meet community health needs. Additionally, these results
highlight the health care system shortfalls in supporting and developing an
adequate number of qualified health care professionals so that health care needs
of all citizens, including those in rural areas, are met.
PMID- 29642885
TI - Barriers to and motivators of handwashing behavior among mothers of neonates in
rural Bangladesh.
AB - BACKGROUND: To design a maternal handwashing intervention for the newborn period,
this qualitative study explored drivers of handwashing among mothers and other
caregivers of neonates and infants in two rural areas of Bangladesh. METHODS: We
conducted 40 semi-structured observation sessions to observe handwashing
behaviors of primiparous and multiparous mothers of neonates, and to understand
the contextual factors that facilitated or hampered those behaviors. We then
conducted 64 interviews with mothers of neonates and mothers of infants and 6
group discussions with mothers of infants, other female caregivers and fathers to
explore perceptions, beliefs, and practices related to handwashing in the
neonatal period. Based on a conceptual model and the Theory of Reasoned
Action/Theory of Planned Behavior, we developed a conceptual model a priori, we
performed thematic analysis to explain determinants of maternal handwashing
behaviors. RESULTS: We conducted 200 h of observation among mothers of neonates.
The age range of participating mothers varied between 17 and 25 years and their
maximum education was up to 10th grade of schooling. Mothers, other female
caregivers and fathers perceived a need to wash hands with or without soap before
eating or before feeding a child by hand to prevent diarrhea. Mothers expressed
the importance of washing their hands before holding a baby but were rarely
observed doing so. All respondents prioritized using soap for visible dirt or
feces; otherwise, water alone was considered sufficient. Lack of family support,
social norms of infrequent handwashing, perceptions of frequent contact with
water as a health threat and mothers' restricted movement during first 40 days of
neonate's life, and childcare and household responsibilities adversely impacted
handwashing behavior. CONCLUSIONS: Addressing emotive drivers of handwashing
within existing social norms by engaging family members, ensuring handwashing
facilities and clarifying neonatal health threats may improve maternal
handwashing behavior in the neonatal period.
PMID- 29642886
TI - A genetically and functionally diverse group of non-diazotrophic Bradyrhizobium
spp. colonizes the root endophytic compartment of Arabidopsis thaliana.
AB - BACKGROUND: Diazotrophic Bradyrhizobium spp. are well known for their ability to
trigger nodule formation on a variety of legume species. In nodules,
Bradyrhizobium utilizes plant-derived carbohydrates in exchange for fixed
nitrogen. The genes essential for the nodulation and nitrogen-fixation trait are
clustered in a genomic region, which is known as the 'symbiotic island'.
Recently, novel non-diazotrophic Bradyrhizobium spp. have been found to be highly
abundant in soils, suggesting that these species can also have a 'free-living'
life history. However, whether non-diazotrophic Bradyrhizobium spp. can live in
association with plants remains elusive. RESULTS: In this study, we show that
Bradyrhizobium spp. are common root endophytes of non-legume plant species -
including Arabidopsis thaliana (Arabidopsis) - grown in an ecological setting.
From a single Arabidopsis root, four Bradyrhizobium sp. strains (designated
MOS001 to MOS004) were isolated. Comparative genome analysis revealed that these
strains were genetically and functionally highly diverse, but did not harbour the
nodulation and the nitrogen fixation gene clusters. Comparative colonization
experiments, with MOS strains and nitrogen-fixing symbiotic strains, revealed
that all tested Bradyrhizobium spp. can colonize the root endophytic compartment
of Arabidopsis. CONCLUSION: This study provides evidence that both diazotrophic
and non-diazotrophic Bradyrhizobium spp. colonize the root endophytic compartment
of a wide variety of plant species, including the model species Arabidopsis. This
demonstrates that plant roots form a major ecological niche for Bradyrhizobium
spp., which might be ancestral to the evolution of the nodulation and nitrogen
fixation trait in this genus.
PMID- 29642887
TI - Association between level of suicide risk, characteristics of suicide attempts,
and mental disorders among suicide attempters.
AB - BACKGROUND: Past attempted suicide is a strong predictor of future suicide risk,
but the risk varies among suicide attempters. Hence, it is important to clarify
distinguishing features of lifetime attempters with a high level of current
suicide risk for efficient preventive management. METHODS: We compared
characteristics of suicide attempts and clinical characteristics among high-,
moderate-, and low-risk attempters. Among the total of 6022 participants in the
Korean Epidemiologic Catchment Area study, 193 reported a suicide attempt in
their lifetime, 36 of which had high, 126 moderate, and 30 low levels of current
suicide risk (1 incomplete response). RESULTS: High-risk suicide attempters had
more past attempts compared with moderate- and low-risk suicide attempters.
Suicide attempts were closely linked to a wide range of psychiatric comorbidities
regardless of degree of current level of suicide risk, but the relative risk for
having at least one mental disorder was the highest in high-risk attempters.
Specifically, the relative risks for depressive disorder, anxiety disorders
including obsessive-compulsive disorder and post-traumatic stress disorder, and
substance use disorders were higher in high-risk attempters, and relative risk
for somatoform disorder was higher in low-risk attempters than others.
CONCLUSIONS: Our findings indicated that special attention is required for
suicide attempters with a history of repeated attempts and current mental
disorders, particularly anxiety disorders.
PMID- 29642888
TI - Reconstruction of metabolic module with improved promoter strength increases the
productivity of 2-phenylethanol in Saccharomyces cerevisiae.
AB - BACKGROUND: 2-phenylethanol (2-PE) is an important aromatic compound with a
lovely rose-like scent. Saccharomyces cerevisiae is a desirable microbe for 2-PE
production but its natural yield is not high, and one or two crucial genes' over
expression in S. cerevisiae did not improve 2-PE greatly. RESULTS: A new
metabolic module was established here, in which, permease Gap1p for L
phenylalanine transportation, catalytic enzymes Aro8p, Aro10p and Adh2p in
Ehrlich pathway respectively responsible for transamination, decarboxylation and
reduction were assembled, besides, glutamate dehydrogenase Gdh2p was harbored for
re-supplying another substrate 2-oxoglutarate, relieving product glutamate
repression and regenerating cofactor NADH. Due to different promoter strengths,
GAP1, ARO8, ARO9, ARO10, ADH2 and GDH2 in the new modularized YS58(G1-A8-A10-A2)
GDH strain enhanced 11.6-, 15.4-, 3.6-, 17.7-, 12.4- and 7.5-folds respectively,
and crucial enzyme activities of aromatic aminotransferases and phenylpyruvate
decarboxylase were 4.8- and 7-folds respectively higher than that of the control.
CONCLUSIONS: Under the optimum medium and cell density, YS58(G1-A8-A10-A2)-GDH
presented efficient 2-PE synthesis ability with ~ 6.3 g L-1 of 2-PE titer in 5-L
fermenter reaching 95% of conversation ratio. Under fed-batch fermentation, 2-PE
productivity at 24 h increased 29% than that of single-batch fermentation.
Metabolic modularization with promoter strategy provides a new prospective for
efficient 2-PE production.
PMID- 29642889
TI - A methodology to extract outcomes from routine healthcare data for patients with
locally advanced non-small cell lung cancer.
AB - BACKGROUND: Outcomes for patients in UK with locally advanced non-small cell lung
cancer (LA NSCLC) are amongst the worst in Europe. Assessing outcomes is
important for analysing the effectiveness of current practice. However, data
quality is inconsistent and regular large scale analysis is challenging. This
project investigates the use of routine healthcare datasets to determine
progression free survival (PFS) and overall survival (OS) of patients treated
with primary radical radiotherapy for LA NSCLC. METHODS: All LA NSCLC patients
treated with primary radical radiotherapy in a 2 year period were identified and
paired manual and routine data generated for an initial pilot study. Manual data
was extracted information from hospital records and considered the gold standard.
Key time points were date of diagnosis, recurrence, death or last clinical
encounter. Routine data was collected from various data sources including,
Hospital Episode Statistics, Personal Demographic Service, chemotherapy data, and
radiotherapy datasets. Relevant event dates were defined by proxy time points and
refined using backdating and time interval optimization. Dataset correlations
were then tested on key clinical outcome indicators to establish if routine data
could be used as a reliable proxy measure for manual data. RESULTS: Forty-three
patients were identified for the pilot study. The manual data showed a median age
of 67 years (range 46- 89 years) and all patients had stage IIIA/B disease. Using
the manual data, the median PFS was 10.78 months (range 1.58-37.49 months) and
median OS was 16.36 months (range 2.69-37.49 months). Based on routine data,
using proxy measures, the estimated median PFS was 10.68 months (range 1.61-31.93
months) and estimated median OS was 15.38 months (range 2.14-33.71 months).
Overall, the routine data underestimated the PFS and OS of the manual data but
there was good correlation with a Pearson correlation coefficient of 0.94 for PFS
and 0.97 for OS. CONCLUSIONS: This is a novel approach to use routine datasets to
determine outcome indicators in patients with LA NSCLC that will be a surrogate
to analysing manual data. The ability to enable efficient and large scale
analysis of current lung cancer strategies has a huge potential impact on the
healthcare system.
PMID- 29642890
TI - Physicians' and nurses' thoughts and concerns about introducing neonatal male
circumcision in Thailand: a qualitative study.
AB - BACKGROUND: Neonatal male circumcision (NMC) is an alternative approach to adult
male circumcision for HIV prevention. Recent studies found that NMC was rarely
performed in Thailand and that most Thai health professionals did not recognize
that NMC could reduce the risk of HIV infection and would not want NMC services
in their hospitals. This study explored the thoughts and concerns of Thai
government health staff regarding the introduction of NMC in government health
facilities as a public health measure. METHODS: In-depth interviews with
physicians, nurses and physician administrators from four different levels of
government hospitals in four provinces representing 4 regions of Thailand were
conducted after provision of education regarding the benefits and risks of NMC.
Interviews were audio recorded and analyzed using Atlas.ti software to develop
themes. RESULTS: Six themes emerged from the data of 42 respondents:
understanding of the benefits of NMC; risks of NMC; need for a pilot project;
need for staff training and hospital readiness; need for parental/family
education; and need for public awareness educational campaign. Major concerns
included possible medical complications of NMC, infringement of child rights, and
lack of understanding from staff and parents. The respondents emphasized the need
for a clear policy, proper training of staff, financial and equipment support,
and piloting NMC rollout before this measure could be fully implemented.
CONCLUSIONS: Thai health professionals who took part in this study expressed
several concerns if NMC had to be performed in their health care facilities.
There is significant preparation that needs to be done before NMC can be
introduced in the country.
PMID- 29642891
TI - Physical activity and sedentary behavior legislation in Canadian childcare
facilities: an update.
AB - BACKGROUND: Within the childcare sector, physical activity and sedentary
behaviors are not legislated at a national level in Canada. Efforts have been
undertaken to identify factors within childcare facilities which support and
deter physical activity and sedentary behaviors. The purpose of this paper was to
provide an amended review of the legislative landscape, at the provincial and
territorial level, regarding physical activity and sedentary behaviors (via
screen-viewing) in Canadian childcare centers. METHODS: Individual childcare acts
and regulations for each province and territory were collected; documents were
reviewed with a focus on sections devoted to child health, physical activity,
screen time, play, and outdoor time. An extraction table was used to facilitate
systematic data retrieval and comparisons across provinces and territories.
RESULTS: Of the 13 provinces and territories, 8 (62%) have updated their
childcare regulations in the past 5 years. All provinces provide general
recommendations to afford gross motor movement; but the majority give no specific
requirements for how much or at what intensity. Only 3 provinces (Northwest
Territories, Nunavut, and Nova Scotia) explicitly mentioned daily physical
activity while all provinces' and territories' required daily outdoor play. Only
1 province (New Brunswick) made mention of screen-viewing. CONCLUSIONS: The
variability in childcare regulations results in different physical activity
requirements across the country. By providing high-level targets for physical
activity recommendations, by way of provincial/territorial legislation, staff
would have a baseline from which to begin supporting more active behaviors among
the children in their care. Future research is needed to support translating
physical activity policies into improved activity levels among young children in
childcare and the role of screen-viewing in these venues.
PMID- 29642892
TI - A systematic and prospectively validated approach for identifying synergistic
drug combinations against malaria.
AB - BACKGROUND: Nearly half of the world's population (3.2 billion people) were at
risk of malaria in 2015, and resistance to current therapies is a major concern.
While the standard of care includes drug combinations, there is a pressing need
to identify new combinations that can bypass current resistance mechanisms. In
the work presented here, a combined transcriptional drug repositioning/discovery
and machine learning approach is proposed. METHODS: The integrated approach
utilizes gene expression data from patient-derived samples, in combination with
large-scale anti-malarial combination screening data, to predict synergistic
compound combinations for three Plasmodium falciparum strains (3D7, DD2 and HB3).
Both single compounds and combinations predicted to be active were prospectively
tested in experiment. RESULTS: One of the predicted single agents, apicidin, was
active with the AC50 values of 74.9, 84.1 and 74.9 nM in 3D7, DD2 and HB3 P.
falciparum strains while its maximal safe plasma concentration in human is 547.6
+/- 136.6 nM. Apicidin at the safe dose of 500 nM kills on average 97% of the
parasite. The synergy prediction algorithm exhibited overall precision and recall
of 83.5 and 65.1% for mild-to-strong, 48.8 and 75.5% for moderate-to-strong and
12.0 and 62.7% for strong synergies. Some of the prospectively predicted
combinations, such as tacrolimus-hydroxyzine and raloxifene-thioridazine,
exhibited significant synergy across the three P. falciparum strains included in
the study. CONCLUSIONS: Systematic approaches can play an important role in
accelerating discovering novel combinational therapies for malaria as it enables
selecting novel synergistic compound pairs in a more informed and cost-effective
manner.
PMID- 29642893
TI - Q&A: How do gene regulatory networks control environmental responses in plants?
AB - A gene regulatory network (GRN) describes the hierarchical relationship between
transcription factors, associated proteins, and their target genes. Studying GRNs
allows us to understand how a plant's genotype and environment are integrated to
regulate downstream physiological responses. Current efforts in plants have
focused on defining the GRNs that regulate functions such as development and
stress response and have been performed primarily in genetically tractable model
plant species such as Arabidopsis thaliana. Future studies will likely focus on
how GRNs function in non-model plants and change over evolutionary time to allow
for adaptation to extreme environments. This broader understanding will inform
efforts to engineer GRNs to create tailored crop traits.
PMID- 29642894
TI - Activation of melanocortin receptor 4 with RO27-3225 attenuates neuroinflammation
through AMPK/JNK/p38 MAPK pathway after intracerebral hemorrhage in mice.
AB - BACKGROUND: Neuroinflammation plays an important role in the pathogenesis of
intracerebral hemorrhage (ICH)-induced secondary brain injury. Activation of
melanocortin receptor 4 (MC4R) has been shown to elicit anti-inflammatory effects
in many diseases. The objective of this study was to explore the role of MC4R
activation on neuroinflammation in a mouse ICH model and to investigate the
contribution of adenosine monophosphate-activated protein kinase (AMPK)/c-Jun N
terminal kinase (JNK)/p38 mitogen-activated protein kinase (p38 MAPK) pathway in
MC4R-mediated protection. METHODS: Adult male CD1 mice (n = 189) were subjected
to intrastriatal injection of bacterial collagenase or sham surgery. The
selective MC4R agonist RO27-3225 was administered by intraperitoneal injection at
1 h after collagenase injection. The specific MC4R antagonist HS024 and selective
AMPK inhibitor dorsomorphin were administered prior to RO27-3225 treatment to
elucidate potential mechanism. Short- and long-term neurobehavioral assessments,
brain water content, immunofluorescence staining, and western blot were
performed. RESULTS: The expression of MC4R and p-AMPK increased after ICH with a
peak at 24 h. MC4R was expressed by microglia, neurons, and astrocytes.
Activation of MC4R with RO27-3225 improved the neurobehavioral functions,
decreased brain edema, and suppressed microglia/macrophage activation and
neutrophil infiltration after ICH. RO27-3225 administration increased the
expression of MC4R and p-AMPK while decreasing p-JNK, p-p38 MAPK, TNF-alpha, and
IL-1beta expression, which was reversed with inhibition of MC4R and AMPK.
CONCLUSIONS: Our study demonstrated that activation of MC4R with RO27-3225
attenuated neuroinflammation through AMPK-dependent inhibition of JNK and p38
MAPK signaling pathway, thereby reducing brain edema and improving
neurobehavioral functions after experimental ICH in mice. Therefore, the
activation of MC4R with RO27-3225 may be a potential therapeutic approach for ICH
management.
PMID- 29642895
TI - Wnt3a ligand facilitates autophagy in hippocampal neurons by modulating a novel
GSK-3beta-AMPK axis.
AB - BACKGROUND: In the adult central nervous system (CNS), Wnt signaling regulates
dendritic structure and synaptic plasticity. The Wnt signaling pathway can be
divided into the canonical (beta-catenin-dependent) and non-canonical pathways.
In the canonical pathway, the binding of canonical ligands such as Wnt3a to the
Frizzled receptor induces inactivation of glycogen synthase kinase-3beta (GSK
3beta), which stabilizes beta-catenin and allows its translocation to the
nucleus. However, to date, few studies have focused on beta-catenin-independent
Wnt signaling or explained the underlying mechanisms connecting Wnt signaling to
cellular energy metabolism. A recent study demonstrated negative regulation of 5'
adenosine monophosphate-activated protein kinase (AMPK), a major target of GSK
3beta that regulates cellular metabolism under diverse conditions. Mainly based
on these observations, we evaluated whether Wnt3a ligand modulates autophagy by
regulating the GSK-3beta/AMPK axis. METHODS: Cultured primary hippocampal neurons
and slices of the CA1 region of rat hippocampus were used. GSK-3beta inhibition,
AMPK activation, PP2Ac expression, and LC3 processing were examined by western
blotting. Autophagic compartments were studied using the CYTO-ID(r) fluorescent
probe, and mature autophagosomes were observed via transmission electron
microscopy (TEM). RESULTS: Wnt3a ligand, acting through the Frizzled receptor,
promotes the rapid activation of AMPK by inactivating GSK-3beta. Biochemical
analysis of downstream targets indicated that Wnt3a ligand modulates autophagy in
hippocampal neurons. CONCLUSIONS: Our results revealed new aspects of Wnt
signaling in neuronal metabolism. First, AMPK is an additional target downstream
of the Wnt cascade, suggesting a molecular mechanism for the metabolic effects
previously observed for Wnt signaling. Second, this mechanism is independent of
beta-catenin, suggesting a relevant role for non-genomic activity of the Wnt
pathway in cellular metabolism. Finally, these results have new implications
regarding the role of Wnt signaling in the modulation of autophagy in neurons,
with a possible role in the removal of accumulated intracellular proteins.
PMID- 29642896
TI - L-Lactic acid production from glucose and xylose with engineered strains of
Saccharomyces cerevisiae: aeration and carbon source influence yields and
productivities.
AB - BACKGROUND: Saccharomyces cerevisiae, engineered for L-lactic acid production
from glucose and xylose, is a promising production host for lignocellulose-to
lactic acid processes. However, the two principal engineering strategies-pyruvate
to-lactic acid conversion with and without disruption of the competing pyruvate
to-ethanol pathway-have not yet resulted in strains that combine high lactic acid
yields (YLA) and productivities (QLA) on both sugar substrates. Limitations
seemingly arise from a dependency on the carbon source and the aeration
conditions, but the underlying effects are poorly understood. We have recently
presented two xylose-to-lactic acid converting strains, IBB14LA1 and IBB14LA1_5,
which have the L-lactic acid dehydrogenase from Plasmodium falciparum (pfLDH)
integrated at the pdc1 (pyruvate decarboxylase) locus. IBB14LA1_5 additionally
has its pdc5 gene knocked out. In this study, the influence of carbon source and
oxygen on YLA and QLA in IBB14LA1 and IBB14LA1_5 was investigated. RESULTS: In
anaerobic fermentation IBB14LA1 showed a higher YLA on xylose (0.27 g g Xyl-1 )
than on glucose (0.18 g g Glc-1 ). The ethanol yields (YEtOH, 0.15 g g Xyl-1 and
0.32 g g Glc-1 ) followed an opposite trend. In IBB14LA1_5, the effect of the
carbon source on YLA was less pronounced (~ 0.80 g g Xyl-1 , and 0.67 g g Glc-1
). Supply of oxygen accelerated glucose conversions significantly in IBB14LA1
(QLA from 0.38 to 0.81 g L-1 h-1) and IBB14LA1_5 (QLA from 0.05 to 1.77 g L-1 h
1) at constant YLA (IBB14LA1 ~ 0.18 g g Glc-1 ; IBB14LA1_5 ~ 0.68 g g Glc-1 ). In
aerobic xylose conversions, however, lactic acid production ceased completely in
IBB14LA1 and decreased drastically in IBB14LA1_5 (YLA aerobic <= 0.25 g g Xyl-1
and anaerobic ~ 0.80 g g Xyl-1 ) at similar QLA (~ 0.04 g L-1 h-1). Switching
from aerobic to microaerophilic conditions (pO2 ~ 2%) prevented lactic acid
metabolization, observed for fully aerobic conditions, and increased QLA and YLA
up to 0.11 g L-1 h-1 and 0.38 g g Xyl-1 , respectively. The pfLDH and PDC
activities in IBB14LA1 were measured and shown to change drastically dependent on
carbon source and oxygen. CONCLUSION: Evidence from conversion time courses
together with results of activity measurements for pfLDH and PDC show that in
IBB14LA1 the distribution of fluxes at the pyruvate branching point is carbon
source and oxygen dependent. Comparison of the performance of strain IBB14LA1 and
IBB14LA1_5 in conversions under different aeration conditions (aerobic,
anaerobic, and microaerophilic) further suggest that xylose, unlike glucose, does
not repress the respiratory response in both strains. This study proposes new
genetic engineering targets for rendering genetically engineering S. cerevisiae
better suited for lactic acid biorefineries.
PMID- 29642897
TI - Small contribution of gold mines to the ongoing tuberculosis epidemic in South
Africa: a modeling-based study.
AB - BACKGROUND: Gold mines represent a potential hotspot for Mycobacterium
tuberculosis (Mtb) transmission and may be exacerbating the tuberculosis (TB)
epidemic in South Africa. However, the presence of multiple factors complicates
estimation of the mining contribution to the TB burden in South Africa. METHODS:
We developed two models of TB in South Africa, a static risk model and an
individual-based model that accounts for longer-term trends. Both models account
for four populations - mine workers, peri-mining residents, labor-sending
residents, and other residents of South Africa - including the size and
prevalence of latent TB infection, active TB, and HIV of each population and
mixing between populations. We calibrated to mine- and country-level data and
used the static model to estimate force of infection (FOI) and new infections
attributable to local residents in each community compared to other residents.
Using the individual-based model, we simulated a counterfactual scenario to
estimate the fraction of overall TB incidence in South Africa attributable to
recent transmission in mines. RESULTS: We estimated that the majority of FOI in
each community is attributable to local residents: 93.9% (95% confidence interval
92.4-95.1%), 91.5% (91.4-91.5%), and 94.7% (94.7-94.7%) in gold mining, peri
mining, and labor-sending communities, respectively. Assuming a higher rate of
Mtb transmission in mines, 4.1% (2.6-5.8%), 5.0% (4.5-5.5%), and 9.0% (8.8-9.1%)
of new infections in South Africa are attributable to gold mine workers, peri
mining residents, and labor-sending residents, respectively. Therefore, mine
workers with TB disease, who constitute ~ 2.5% of the prevalent TB cases in South
Africa, contribute 1.62 (1.04-2.30) times as many new infections as TB cases in
South Africa on average. By modeling TB on a longer time scale, we estimate 63.0%
(58.5-67.7%) of incident TB disease in gold mining communities to be attributable
to recent transmission, of which 92.5% (92.1-92.9%) is attributable to local
transmission. CONCLUSIONS: Gold mine workers are estimated to contribute a
disproportionately large number of Mtb infections in South Africa on a per-capita
basis. However, mine workers contribute only a small fraction of overall Mtb
infections in South Africa. Our results suggest that curtailing transmission in
mines may have limited impact at the country level, despite potentially
significant impact at the mining level.
PMID- 29642898
TI - Enablers and barriers for women with gestational diabetes mellitus to achieve
optimal glycaemic control - a qualitative study using the theoretical domains
framework.
AB - BACKGROUND: Glycaemic target recommendations vary widely between international
professional organisations for women with gestational diabetes mellitus (GDM).
Some studies have reported women's experiences of having GDM, but little is known
how this relates to their glycaemic targets. The aim of this study was to
identify enablers and barriers for women with GDM to achieve optimal glycaemic
control. METHODS: Women with GDM were recruited from two large, geographically
different, hospitals in New Zealand to participate in a semi-structured interview
to explore their views and experiences focusing on enablers and barriers to
achieving optimal glycaemic control. Final thematic analysis was performed using
the Theoretical Domains Framework. RESULTS: Sixty women participated in the
study. Women reported a shift from their initial negative response to accepting
their diagnosis but disliked the constant focus on numbers. Enablers and barriers
were categorised into ten domains across the three study questions. Enablers
included: the ability to attend group teaching sessions with family and hear from
women who have had GDM; easy access to a diabetes dietitian with diet
recommendations tailored to a woman's context including ethnic food and financial
considerations; free capillary blood glucose (CBG) monitoring equipment, health
shuttles to take women to appointments; child care when attending clinic
appointments; and being taught CBG testing by a community pharmacist. Barriers
included: lack of health information, teaching sessions, consultations, and food
diaries in a woman's first language; long waiting times at clinic appointments;
seeing a different health professional every clinic visit; inconsistent advice;
no tailored physical activities assessments; not knowing where to access
appropriate information on the internet; unsupportive partners, families, and
workplaces; and unavailability of social media or support groups for women with
GDM. Perceived judgement by others led some women only to share their GDM
diagnosis with their partners. This created social isolation. CONCLUSION: Women
with GDM report multiple enablers and barriers to achieving optimal glycaemic
control. The findings of this study may assist health professionals and diabetes
in pregnancy services to improve their care for women with GDM and support them
to achieve optimal glycaemic control.
PMID- 29642899
TI - Determinants of malaria infection in Dembia district, Northwest Ethiopia: a case
control study.
AB - BACKGROUND: Despite the progress in reducing malaria infections and related
deaths, the disease remains a major global public health problem. The problem is
among the top five leading causes of outpatient visits in Dembia district of the
northwest Ethiopia. Therefore, this study aimed to assess the determinants of
malaria infections in the district. METHODS: An institution-based case-control
study was conducted in Dembia district from October to November 2016. Out of the
ten health centers in the district, four were randomly selected for the study in
which 370 participants (185 cases and 185 controls) were enrolled. Data were
collected using a pretested structured questionnaire. Factors associated with
malaria infections were determined using logistic regression analysis. Odds ratio
with 95% CI was used as a measure of association, and variables with a p-value of
<=0.05 were considered as statistically significant. RESULTS: The median age of
all participants was 26 years, while that of cases and controls was 22 and 30
with a range of 1 to 80 and 2 to 71, respectively. In the multivariable logistic
regression, over 15 years of age adjusted odds ratio(AOR) and confidence interval
(CI) of (AOR = 18; 95% CI: 2.1, 161.5), being male (AOR = 2.2; 95% CI: 1.2, 3.9),
outdoor activities at night (AOR = 5.7; 95% CI: 2.5, 12.7), bed net sharing (AOR
= 3.9; 95% CI: 2.0, 7.7), and proximity to stagnant water sources (AOR = 2.7; 95%
CI: 1.3, 5.4) were independent predictors. CONCLUSION: Being in over 15 years of
age group, male gender, night time activity, bed net sharing and proximity to
stagnant water sources were determinant factors of malaria infection in Dembia
district. Additional interventions and strategies which focus on men, outdoor
work at night, household net utilization, and nearby stagnant water sources are
essential to reduce malaria infections in the area.
PMID- 29642900
TI - Cisplatin based therapy: the role of the mitogen activated protein kinase
signaling pathway.
AB - Cisplatin is a widely used chemotherapeutic agent for treatment of various
cancers. However, treatment with cisplatin is associated with drug resistance and
several adverse side effects such as nephrotoxicity, reduced immunity towards
infections and hearing loss. A Combination of cisplatin with other drugs is an
approach to overcome drug resistance and reduce toxicity. The combination therapy
also results in increased sensitivity of cisplatin towards cancer cells. The
mitogen activated protein kinase (MAPK) pathway in the cell, consisting of
extracellular signal regulated kinase, c-Jun N-terminal kinase, p38 kinases, and
downstream mediator p90 ribosomal s6 kinase (RSK); is responsible for the
regulation of various cellular events including cell survival, cell
proliferation, cell cycle progression, cell migration and protein translation.
This review article demonstrates the role of MAPK pathway in cisplatin based
therapy, illustrates different combination therapy involving cisplatin and also
shows the importance of targeting MAPK family, particularly RSK, to achieve
increased anticancer effect and overcome drug resistance when combined with
cisplatin.
PMID- 29642901
TI - Profile of osteopathic practice in Spain: results from a standardized data
collection study.
AB - BACKGROUND: There is limited research regarding patients' profiles and consumer
attitudes and habits of osteopathy in Spain. The purpose of this study was to
profile patients who regularly receive osteopathic care in Spain using an
internationally developed standardized data collection tool. METHOD: During the
period between April 2014 and December 2015, a UK-developed standardized data
collection tool was distributed to Spanish osteopaths who voluntarily agreed to
participate in this cross-sectional study. RESULTS: Thirty-six osteopaths
participated in this study and returned a total of 314 completed datasets. Of 314
patients, 61% were women and 39% were men, with a mean age of 40 years (SD 17.02
years, range 0 to 83 years). Forty-four percent were full-time salaried workers,
and in 78% of cases, receiving osteopathic treatment was the patient's own
choice. Chronic spinal pain presentations were the most frequent reasons for
consultation. Seventy-five percent of patients presented with a coexisting
condition, mainly gastrointestinal disorders and headaches. The main treatment
approach consisted of mobilization techniques, followed by soft tissue, cranial
and high velocity thrust techniques. Improvement or resolution of the complaint
was experienced by 93% of patients after a small number of sessions. Adverse
events were minor and occurred in 7% of all cases. CONCLUSION: This is the first
study carried out in Spain analyzing the profile of patients who receive
osteopathic care. The typical patient who receives osteopathic care in Spain is
middle-aged, presents mainly with chronic spinal pain, and voluntarily seeks
osteopathic treatment. Osteopathic treatment produces a significant improvement
in the majority of cases with a low rate of minor adverse events reported.
PMID- 29642902
TI - The public health emergency management system in China: trends from 2002 to 2012.
AB - BACKGROUND: Public health emergencies have challenged the public health emergency
management systems (PHEMSs) of many countries critically and frequently since
this century. As the world's most populated country and the second biggest
economy in the world, China used to have a fragile PHEMS; however, the government
took forceful actions to build PHEMS after the 2003 SARS outbreak. After more
than one decade's efforts, we tried to assess the improvements and problems of
China's PHEMS between 2002 and 2012. METHODS: We conducted two rounds of national
surveys and collected the data of the year 2002 and 2012, including all 32
provincial, 139 municipal, and 489 county CDCs. The municipal and county CDCs
were selected by systematic random sampling. Twenty-one indicators of four stages
(preparation, readiness, response and recovery) from the National Assessment
Criteria for CDC Performance were chosen to assess the ten-year trends. RESULTS:
At the preparation stage, organization, mechanisms, workforce, and stockpile
across all levels and regions were significantly improved after one decade's
efforts. At the readiness stage, the capability for formulating an emergency plan
was also significantly improved during the same period. At the response stage,
internet-based direct reporting was 98.8%, and coping scores were nearly full
points of ten in 2012. At the recovery stage, the capabilities were generally
lower than expected. CONCLUSIONS: Due to forceful leadership, sounder
regulations, and intensive resources, China's PHEMS has been improved at the
preparation, readiness, and response stages; however, the recovery stage was
still weak and could not meet the requirements of crisis management and
preventive governance. In addition, CDCs in the Western region and counties
lagged behind in performance on most indicators. Future priorities should include
developing the recovery stage, establishing a closed feedback loop, and
strengthening the capabilities of CDCs in Western region and counties.
PMID- 29642904
TI - Relationships between aeroallergen levels and hospital admissions for asthma in
the Brussels-Capital Region: a daily time series analysis.
AB - BACKGROUND: Outdoor pollen grain and fungal spore concentrations have been
associated with severe asthma exacerbations at the population level. The specific
impact of each taxon and the concomitant effect of air pollution on these
symptoms have, however, still to be better characterized. This study aimed to
investigate the short-term associations between ambient concentrations of various
aeroallergens and hospitalizations related to asthma in the Brussels-Capital
Region (Belgium), an area recording especially high rates of admissions. METHODS:
Based on administrative records of asthma hospitalizations and regular monitoring
of 11 tree/herbaceous pollen taxa and 2 fungal spore taxa, daily time series
analyses covering the 2008-2013 period were performed. Effects up to 6 days after
exposure were captured by combining quasi-Poisson regression with distributed lag
models, adjusting for seasonal and long-term trends, day of the week, public
holidays, mean temperature and relative humidity. Effect modification by age and
air pollution (PM, NO2, O3) was tested. RESULTS: A significant increase in asthma
hospitalizations was observed for an interquartile range increase in grass (5.9%,
95% CI: 0.0, 12.0), birch (3.2%, 95% CI: 1.1, 5.3) and hornbeam (0.7%, 95% CI:
0.2, 1.3) pollen concentrations. For several taxa including grasses, an age
modification effect was notable, the hospitalization risk tending to be higher in
individuals younger than 60 years. Air pollutants impacted the relationships too:
the risk appeared to be stronger for grass and birch pollen concentrations in
case of high PM10 and O3 concentrations respectively. CONCLUSIONS: These findings
suggest that airborne grass, birch and hornbeam pollen are associated with severe
asthma exacerbations in the Brussels region. These compounds appear to act in
synergy with air pollution and to more specifically affect young and intermediate
age groups. Most of these life-threatening events could theoretically be
prevented with improved disease diagnosis/management and targeted communication
actions.
PMID- 29642903
TI - Functional domains of SP110 that modulate its transcriptional regulatory function
and cellular translocation.
AB - BACKGROUND: SP110, an interferon-induced nuclear protein, belongs to the
SP100/SP140 protein family. Very recently, we showed that SP110b, an SP110
isoform, controls host innate immunity to Mycobacterium tuberculosis infection by
regulating nuclear factor-kappaB (NF-kappaB) activity. However, it remains
unclear how the structure of SP110 relates to its cellular functions. In this
study, we provide experimental data illustrating the protein domains that are
responsible for its functions. METHODS: We examined the effects of SP110 isoforms
and a series of deletion mutants of SP110 on transcriptional regulation by
luciferase reporter assays. We also employed confocal microscopy to determine the
cellular distributions of enhanced green fluorescent protein-tagged SP110
isoforms and SP110 mutants. In addition, we performed immunoprecipitation and
Western blotting analyses to identify the regions of SP110 that are responsible
for protein interactions. RESULTS: Using reporter assays, we first demonstrated
that SP110 isoforms have different regulatory effects on NF-kappaB-mediated
transcription, supporting the notion that SP110 isoforms may have distinct
cellular functions. Analysis of deletion mutants of SP110 showed that the
interaction of the N-terminal fragment (amino acids 1-276) of SP110 with p50, a
subunit of NF-kappaB, in the cytoplasm plays a crucial role in the down
regulation of the p50-driven tumor necrosis factor-alpha (TNFalpha) promoter
activity in the nucleus, while the middle and C-terminal regions of SP110
localize it to various cellular compartments. Surprisingly, a nucleolar
localization signal (NoLS) that contains one monopartite nuclear localization
signal (NLS) and one bipartite NLS was identified in the middle region of SP110.
The identification of a cryptic NoLS in the SP110 suggests that although this
protein forms nuclear speckles in the nucleoplasm, it may be directed into the
nucleolus to carry out distinct functions under certain cellular conditions.
CONCLUSIONS: The findings from this study elucidating the multidomain structure
of the SP110 not only identify functional domains of SP110 that are required for
transcriptional regulation, cellular translocation, and protein interactions but
also implicate that SP110 has additional functions through its unexpected
activity in the nucleolus.
PMID- 29642905
TI - High use of private providers for first healthcare seeking by drug-resistant
tuberculosis patients: a cross-sectional study in Yangon, Myanmar.
AB - BACKGROUND: Drug resistance is a growing challenge to tuberculosis (TB) control
worldwide, but particularly salient to countries such as Myanmar, where the
health system is fragmented across the public and private sector. A recent
systematic review has identified a critical lack of evidence for local
policymaking, particularly in relation to drivers of drug-resistance that could
be the target of preventative efforts. To address this gap from a health systems
perspective, our study investigates the healthcare-seeking behavior and
preferences of recently diagnosed patients with drug-resistant tuberculosis (DR
TB), focusing on the use of private versus public healthcare providers. METHODS:
The study was conducted in ten townships across Yangon with high DR-TB burden.
Patients newly-diagnosed with DR-TB by GeneXpert were enrolled, and data on
healthcare-seeking behavior and socio-economic characteristics were collected
from patient records and interviews. A descriptive analysis of healthcare-seeking
behavior was followed by the investigation of relationships between socio
economic factors and type of provider visited upon first feeling unwell, through
univariate logistic regressions. RESULTS: Of 202 participants, only 8% reported
first seeking care at public facilities, while 88% reported seeking care at
private facilities upon first feeling unwell. Participants aged 25-34 (Odds Ratio
= 0.33 [0.12-0.95]) and males (Odds Ratio = 0.39 [0.20-0.75]) were less likely to
visit a private clinic or hospital than those aged 18-24 and females,
respectively. In contrast, participants with higher income were more likely to
utilize private providers. Prior to DR-TB diagnosis, 86% of participants took
medications from private providers. After DR-TB diagnosis, only 7% of
participants continued to take medications from private providers. CONCLUSION: In
urban Myanmar, most patients shifted to being managed exclusively in the public
sector after being formally diagnosed with DR-TB. However, since the vast
majority of DR-TB patients first visited private providers in the period leading
to diagnosis, related issues such as unregulated quality of care, potential
delays to diagnosis, and lack of care continuity may greatly influence the
emergence of drug-resistance. A greater understanding of the health system and
these healthcare-seeking behaviors may simultaneously strengthen TB control
programmes and reduce government and out-of-pocket expenditures on the management
of DR-TB.
PMID- 29642906
TI - Ethical learning on international medical electives: a case-based analysis of
medical student learning experiences.
AB - BACKGROUND: Students on international medical electives face complex ethical
issues when undertaking clinical work. The variety of elective destinations and
the culturally specific nature of clinical ethical issues suggest that pre
elective preparation could be supplemented by in-elective support. METHODS: An
online, asynchronous, case-based discussion was piloted to support ethical
learning on medical student electives. We developed six scenarios from elective
diaries to stimulate peer-facilitated discussions during electives. We evaluated
the transcripts to assess whether transformative, experiential learning took
place, assessing specifically for indications that 1) critical reflection, 2)
reflective action and 3) reflective learning were taking place. We also completed
a qualitative thematic content analysis of the discussions. RESULTS: Of forty-one
extended comments, nine responses showed evidence of transformative learning
(Mezirow stage three). The thematic analysis identified five themes: adopting a
position on ethical issues without overt analysis; presenting issues in terms of
their effects on students' ability to complete tasks; describing local contexts
and colleagues as "other"; difficulty navigating between individual and
structural issues, and overestimation of the impact of individual action on
structures and processes. CONCLUSION: Results suggest a need to: frame ethical
learning on elective so that it builds on earlier ethical programmes in the
curriculum, and encourages students to adopt structured approaches to complex
ethical issues including cross-cultural negotiation and to enhance global health
training within the curriculum.
PMID- 29642907
TI - Equity of access to maternal health interventions in Brazil and Colombia: a
retrospective study.
AB - BACKGROUND: Reducing maternal mortality is a top priority in Latin American
countries. Despite the progress in maternal mortality reduction, Brazil and
Colombia still lag behind countries at similar levels of development. METHODS:
Using data from the Demographic Health Survey, this study quantified and
compared, by means of concentration indices, the socioeconomic-related inequity
in access to four key maternal health interventions in Brazil and Colombia.
Decomposition analysis of the concentration index was used for two indicators -
skilled attendance at birth and postnatal care in Brazil. RESULTS: Coverage
levels of the four key maternal health interventions were similar in the two
countries. More specifically, we found that coverage of some of the interventions
(e.g. ante-natal care and skilled birth assistance) was higher than 90% in both
countries. Nevertheless, the concentration index analysis pointed to significant
pro-rich inequities in access in all four key interventions in both countries.
Interestingly, the analysis showed that Colombia fared slightly better than
Brazil in terms of equity in access of the interventions studied. Finally, the
decomposition analysis for the presence of a skilled attendant at birth and
postnatal care in Brazil underlined the significance of regional disparities,
wealth inequalities, inequalities in access to private hospitals, and
inequalities in access to private health insurance. CONCLUSIONS: There are
persistent pro-rich inequities in access to four maternal health interventions in
both Brazil and Colombia. The decomposition analysis conducted on Brazilian data
suggests the existence of disparities in system capacity and quality of care
between the private and the public health services, resulting in inequities of
access to maternal health services.
PMID- 29642908
TI - Putative human sperm Interactome: a networks study.
AB - BACKGROUND: For over sixty years, it has been known that mammalian spermatozoa
immediately after ejaculation are virtually infertile. They became able to
fertilize only after they reside for long time (hours to days) within female
genital tract where they complete their functional maturation, the capacitation.
This process is finely regulated by the interaction with the female environment
and involves, in spermatozoa, a myriad of molecules as messengers and target of
signals. Since, to date, a model able to represent the molecular interaction that
characterize sperm physiology does not exist, we realized the Human Sperm
Interactme Network3.0 (HSIN3.0) and its main component (HSNI3.0_MC), starting
from the pathway active in male germ cells. RESULTS: HSIN3.0 and HSIN3.0_MC are
scale free networks, adherent to the Barabasi-Albert model, and are characterised
by an ultra-small world topology. We found that they are resistant to random
attacks and that are designed to respond quickly and specifically to external
inputs. In addition, it has been possible to identify the most connected nodes
(the hubs) and the bottlenecks nodes. This result allowed us to explore the
control mechanisms active in driving sperm biochemical machinery and to verify
the different levels of controls: party vs. date hubs and hubs vs. bottlenecks,
thanks the availability of data from KO mice. Finally, we found that several key
nodes represent molecules specifically involved in function that are thought to
be not present or not active in sperm cells, such as control of cell cycle,
proteins synthesis, nuclear trafficking, and immune response, thus potentially
open new perspectives on the study of sperm biology. CONCLUSIONS: For the first
time we present a network representing putative human sperm interactome. This
result gives very intriguing biological information and could contribute to the
knowledge of spermatozoa, either in physiological or pathological conditions.
PMID- 29642909
TI - Clinical implementation of rapid CYP2C19 genotyping to guide antiplatelet therapy
after percutaneous coronary intervention.
AB - BACKGROUND: The CYP2C19 nonfunctional genotype reduces clopidogrel effectiveness
after percutaneous coronary intervention (PCI). Following clinical implementation
of CYP2C19 genotyping at University Florida (UF) Health Shands Hospital in 2012,
where genotype results are available approximately 3 days after PCI, testing was
expanded to UF Health Jacksonville in 2016 utilizing a rapid genotyping approach.
We describe metrics with this latter implementation. METHODS: Patients at UF
Health Jacksonville undergoing left heart catheterization with intent to undergo
PCI were targeted for genotyping using the Spartan RXTM system. Testing metrics
and provider acceptance of testing and response to genotype results were
examined, as was antiplatelet therapy over the 6 months following genotyping.
RESULTS: In the first year, 931 patients, including 392/505 (78%) total patients
undergoing PCI, were genotyped. The median genotype test turnaround time was 96
min. Genotype results were available for 388 (99%) PCI patients prior to
discharge. Of 336 genotyped PCI patients alive at discharge and not enrolled in
an antiplatelet therapy trial, 1/6 (17%) poor metabolizers (PMs, with two
nonfunctional alleles), 38/93 (41%) intermediate metabolizers (IMs, with one
nonfunctional allele), and 119/237 (50%) patients without a nonfunctional allele
were prescribed clopidogrel (p = 0.110). Clopidogrel use was higher among non-ACS
versus ACS patients (78.6% vs. 42.2%, p < 0.001). Six months later, among
patients with follow-up data, clopidogrel was prescribed in 0/4 (0%) PMs, 33/65
(51%) IMs, and 115/182 (63%) patients without a nonfunctional allele (p = 0.008
across groups; p = 0.020 for PMs versus those without a nonfunctional allele).
CONCLUSION: These data demonstrate that rapid genotyping is clinically feasible
at a high volume cardiac catheterization facility and allows informed chronic
antiplatelet prescribing, with lower clopidogrel use in PMs at 6 months. Trial
registration ClinicalTrials.gov Identifier: NCT02724319; registered March 31,
2016; https://www.clinicaltrials.gov/ct2/show/NCT02724319?term=angiolillo&rank=7.
PMID- 29642910
TI - Localization and phosphorylation of Plasmodium falciparum nicotinamide/nicotinate
mononucleotide adenylyltransferase (PfNMNAT) in intraerythrocytic stages.
AB - BACKGROUND: Nicotinamide adenine dinucleotide (NAD+) is an essential molecule in
the energy metabolism of living beings, and it has various cellular functions.
The main enzyme in the biosynthesis of this nucleotide is nicotinamide/nicotinate
mononucleotide adenylyltransferase (NMNAT, EC 2.7.7.1/18) because it is the
convergence point for all known biosynthetic pathways. NMNATs have divergences in
both the number of isoforms detected and their distribution, depending on the
organism. METHODS: In the laboratory of basic research in biochemistry (LIBBIQ:
acronym in Spanish) the NMNATs of protozoan parasites (Leishmania braziliensis,
Plasmodium falciparum, Trypanosoma cruzi, and Giardia duodenalis) have been
studied, analysing their catalytic properties through the use of proteins.
Recombinants and their cellular distribution essentially. In 2014, O'Hara et al.
determined the cytoplasmic localization of NMNAT of P. falciparum, using a
transgene coupled to GFP, however, the addition of labels to the study protein
can modify several of its characteristics, including its sub-cellular
localization. RESULTS: This study confirms the cytoplasmic localization of this
protein in the parasite through recognition of the endogenous protein in the
different stages of the asexual life cycle. Additionally, the study found that
PfNMNAT could be a phosphorylation target at serine, tyrosine and threonine
residues, and it shows variations during the asexual life cycle. CONCLUSIONS:
These experiments confirmed that the parasite is situated in the cytoplasm,
fulfilling the required functions of NAD+ in this compartment, the PfNMNAT is
regulated in post-transcription processes, and can be regulated by
phosphorylation in its residues.
PMID- 29642911
TI - GnRH dysregulation in polycystic ovarian syndrome (PCOS) is a manifestation of an
altered neurotransmitter profile.
AB - BACKGROUND: GnRH is the master molecule of reproduction that is influenced by
several intrinsic and extrinsic factors such as neurotransmitters and
neuropeptides. Any alteration in these regulatory loops may result in
reproductive-endocrine dysfunction such as the polycystic ovarian syndrome
(PCOS). Although low dopaminergic tone has been associated with PCOS, the role of
neurotransmitters in PCOS remains unknown. The present study was therefore aimed
at understanding the status of GnRH regulatory neurotransmitters to decipher the
neuroendocrine pathology in PCOS. METHODS: PCOS was induced in rats by oral
administration of letrozole (aromatase inhibitor). Following PCOS validation,
animals were assessed for gonadotropin levels and their mRNA expression.
Neurotrasnmitter status was evaluated by estimating their levels, their
metabolism and their receptor expression in hypothalamus, pituitary, hippocampus
and frontal cortex of PCOS rat model. RESULTS: We demonstrate that GnRH and LH
inhibitory neurotransmitters - serotonin, dopamine, GABA and acetylcholine - are
reduced while glutamate, a major stimulator of GnRH and LH release, is increased
in the PCOS condition. Concomitant changes were observed for neurotransmitter
metabolising enzymes and their receptors as well. CONCLUSION: Our results reveal
that increased GnRH and LH pulsatility in PCOS condition likely result from the
cumulative effect of altered GnRH stimulatory and inhibitory neurotransmitters in
hypothalamic-pituitary centre. This, we hypothesise, is responsible for the
depression and anxiety-like mood disorders commonly seen in PCOS women.
PMID- 29642912
TI - Preoperative metabolic tumor volume of intrahepatic cholangiocarcinoma measured
by 18F-FDG-PET is associated with the KRAS mutation status and prognosis.
AB - BACKGROUND: Surgical resection remains the mainstay of curative treatment for
intrahepatic cholangiocarcinoma (ICC). Prognosis after surgery is unsatisfactory
despite improvements in treatment and post-operative clinical management. Despite
developments in the molecular profiling of ICC, the preoperative prediction of
prognosis remains a challenge. This study aimed to identify clinical prognostic
indicators by investigating the molecular profiles of ICC and evaluating the
preoperative imaging data of 18F-fluorodeoxyglucose positron emission tomography
(18F-FDG-PET). METHODS: A retrospective analysis was performed on 50 consecutive
patients with ICC who underwent curative hepatectomy after 18F-FDG-PET
examination. To evaluate the molecular profiles of ICC, KRAS mutation status was
assessed in resected specimens. For the assessment of glucose uptake, we observed
the expression of glucose transporter-1 (GLUT-1) by immunohistochemistry. The
data of 18F-FDG-PET were re-evaluated as follows: maximum standardized uptake
value, metabolic tumor volume (MTV), and total lesion glycolysis (TLG). Cut-off
values were determined using receiver operating characteristic (ROC) curve
analysis. Cumulative overall survival (OS) was analyzed using the Kaplan-Meier
analysis. RESULTS: Overall, 16 (32.0%) patients had mutations in KRAS. Patients
with mutated KRAS exhibited shorter OS than those with wild-type KRAS (5-year OS,
0% vs. 35.1%, P < 0.001). GLUT-1 expression was significantly higher in tumors
with mutated KRAS than in tumors with wild-type KRAS (median, 4.0 vs. 1.0, P <
0.001). Survival was significantly different when stratified by expression of
GLUT-1 (5-year OS, 0% vs. 46.5%, P <0.001). Among the 18F-FDG-PET parameters, the
MTV and TLG were significantly higher in the mutated KRAS group than in the wild
type KRAS group (P = 0.013 and P = 0.026, respectively). ROC curve analysis
revealed a cut-off value of 38 for the MTV, with the highest accuracy (area under
the curve = 0.789; 95% confidence interval, 0.581-0.902) for predicting KRAS
mutation. This cut-off value permitted stratification of OS (high vs. low: 5-year
OS, 13.1% vs. 36.7%, P = 0.008). CONCLUSIONS: High MTV is associated with KRAS
mutation and poor postoperative outcomes in patients with ICC, suggesting that
the MTV of ICC measured by 18F-FDG-PET may provide useful information for tumor
molecular profiles and prognosis.
PMID- 29642913
TI - A narrative synthesis of research evidence for tinnitus-related complaints as
reported by patients and their significant others.
AB - BACKGROUND: There are a large number of assessment tools for tinnitus, with
little consensus on what it is important to measure and no preference for a
minimum reporting standard. The item content of tinnitus assessment tools should
seek to capture relevant impacts of tinnitus on everyday life, but no-one has yet
synthesised information about the range of tinnitus complaints. This review is
thus the first comprehensive and authoritative collection and synthesis of what
adults with tinnitus and their significant others report as problems in their
everyday lives caused by tinnitus. METHODS: Electronic searches were conducted in
PubMed, Embase, CINAHL, as well as grey literature sources to identify
publications from January 1980 to June 2015 in which participants were enrolled
because tinnitus was their primary complaint. A manual search of seven relevant
journals updated the search to December 2017. Of the 3699 titles identified
overall, 84 records (reporting 86 studies) met our inclusion criteria and were
taken through to data collection. Coders collated generic and tinnitus-specific
complaints reported by people with tinnitus. All relevant data items were then
analyzed using an iterative approach to narrative synthesis to form domain
groupings representing complaints of tinnitus, which were compared patients and
significant others. RESULTS: From the 86 studies analyzed using data collected
from 16,381 patients, 42 discrete complaints were identified spanning physical
and psychological health, quality of life and negative attributes of the tinnitus
sound. This diversity was not captured by any individual study alone. There was
good convergence between complaints collected using open- and closed-format
questions, with the exception of general moods and perceptual attributes of
tinnitus (location, loudness, pitch and unpleasantness); reported only using
closed questions. Just two studies addressed data from the perspective of
significant others (n = 79), but there was substantial correspondence with the
patient framework, especially regarding relationships and social life.
CONCLUSIONS: Our findings contribute fundamental new knowledge and a unique
resource that enables investigators to appreciate the broad impacts of tinnitus
on an individual. Our findings can also be used to guide questions during
diagnostic assessment, to evaluate existing tinnitus-specific HR-QoL
questionnaires and develop new ones, where necessary. TRIAL REGISTRATION:
PROSPERO registration number: CRD42015020629 . Protocol published in BMJ Open.
2016;6e009171.
PMID- 29642914
TI - Development of the Italian version of the High-Activity Arthroplasty Score (HAAS
I) following hip and knee total arthroplasty: cross-cultural adaptation,
reliability, validity and sensitivity to change.
AB - BACKGROUND: The number of physically active individuals who develop knee and hip
arthritis and who undergo arthroplasties of these joints ie ever increasing. It
has become necessary to develop evaluation scales which address the specific
issues raised by such individuals. The High Activity Arthroplasty Score is one
such scales, originally developed in English. METHODS: The HAAS-I was developed
by means of forward-backward translation, a final review by an expert committee
and a test of the pre-final version to establish its correspondence with the
original English version. The psychometric testing included reliability by means
of internal consistency (Cronbach's alpha) and test-retest reliability
(intraclass correlation coefficients) and construct validity by Pearson's
correlations with a pain intensity numerical rating scale (NRS), the Western
Ontario and McMaster University index (WOMAC, for THA subjects), the Knee injury
and Osteoarthritis Outcome Scale (KOOS; for TKA subjects) and the Short-Form 36
Health Survey (SF-36). RESULTS: The questionnaire was administered to 67 subjects
with THA and 61 with TKA and proved to be acceptable. The questionnaire showed
good internal consistency (0.85 for THA and 0.91 for TKA) and a high level of
test-retest reliability (ICC = 0.97 with 95% CI 0.95-0.98 for THA; ICC = 0.95
with 95% CI 0.92-0.98 for TKA). There was a moderate correlation between the HAAS
I and NRS (r = - 0.40), there was a high correlation between the HAAS-I and WOMAC
(r = - 0.68) and there were moderate to high correlations between the HAAS-I and
SF-36 subscales (r = 0.34 to 0.63) for THA. There was a moderate correlation
between the HAAS-I and NRS (r = - 0.77); there was a high correlation between the
HAAS-I and KOOS subscales (r = - 0.79 to r = - 0.91); and there were low
correlations between the HAAS-I and SF-36 subscales (r = 0.01 to 0.29) for TKA.
CONCLUSIONS: The HAAS-I was successfully translated into Italian and proved to
have good psychometric properties that replicated the results of existing
versions. Its use is recommended for clinical and research purposes.
PMID- 29642915
TI - Adipokine RBP4 drives ovarian cancer cell migration.
AB - BACKGROUND: Obesity has been linked to several types of cancers including ovarian
cancer. Retinol binding protein 4 (RBP4) is an adipokine that drives the
development of hyperinsulinemia and type II diabetes in obesity patients and
animals. Previously, we have identified RBP4 as a serum marker for ovarian
cancer. Here we further explored the consequence of RBP4 upregulation in ovarian
cancer cells and its molecular mechanism. RESULTS: Our results show that RBP4 is
overexpressed in ovarian cancer cells to the same extent as in adipose tissues.
The overexpression of RBP4 in ovarian cancer cells promotes cancer cell migration
and proliferation. At molecular level, cancer progression factors MMP2 and MMP9
are induced in response to RBP4 overexpression. We further investigated which
signaling pathways are utilized by RBP4 to activate ovarian cancer cell
migration. We found RhoA/Rock1 pathway is turned on and CyclinD1 is upregulated
in RBP4 overexpressed cells. Inhibition of RhoA/Rock1 pathway reduces the RBP4
induced MMP2 and MMP9 expression. The RBP4 action is depend on its associated
ligand vitamin A/retinol acid (RA) and possibly involves similar pathways as for
conferring insulin resistance. Moreover, we show that knockdown of RBP4
significantly reduce cancer cell migration and proliferation as well as
expressions of oncogenic factors. CONCLUSIONS: Our results indicated that RBP4
can drive ovarian cancer cell migration and proliferation via RhoA/Rock1 and ERK
pathway. It suggests that RBP4 act as a oncogene in ovarian cancer cells. Thus,
RBP4 could be a molecular bridge between obesity and cancers and a potential
target for treating obese cancer patients.
PMID- 29642916
TI - Longitudinal measurement invariance and explanatory IRT models for adolescents'
oral health-related quality of life.
AB - BACKGROUND: Longitudinal invariance is a perquisite for a valid comparison of
oral health-related quality of life (OHRQoL) scores over time. Item response
theory (IRT) models can assess measurement invariance and allow better estimation
of the associations between predictors and latent construct. By extending IRT
models, this study aimed to investigate the longitudinal invariance of the two 8
item short forms of the Child Perception Questionnaire (CPQ11-14) regression
short form (RSF:8) and item-impact short form (ISF:8) and identify factors
associated with adolescents' OHRQoL and its change. METHODS: All students from S1
and S2 (equivalent to US grades 6 and 7) who were born in April 1997 and May 1997
(at age 12) from 45 randomly selected secondary schools were invited to
participate in this study and followed up after 3 years. Data on the CPQ11-14
RSF:8 and CPQ11-14 ISF:8, demographics, oral health behavior and status were
collected. Explanatory graded response models were fitted to both short forms of
the CPQ11-14 data for assessing longitudinal invariance and factors associated
with OHRQoL. The Bayesian estimation method - Monte Carlo Markov Chain (MCMC)
with Gibbs sampling was adopted for parameter estimation and the credible
intervals were used for inference. RESULTS: Data from 649 children at age 12 at
baseline and 415 children at age 15 at follow up were analyzed. For the 12 years
old children, healthier oral health behavior, better gum status, families with
both parents employed and parents' education level were found to be associated
with better OHRQoL. Four items among the 2 short forms lacked longitudinal
invariance. With statistical adjustment of longitudinal invariance, OHRQoL were
found improved in general over the 3 years but no predictor was associated with
OHRQoL in follow-up. For those with decreased family income, their OHRQoL had
worsened over 3 years. CONCLUSIONS: IRT explanatory analysis enables a more valid
identification of the factors associated with OHRQoL and its changes over time.
It provides important information to oral healthcare researchers and
policymakers.
PMID- 29642917
TI - Gender-specific association of serum uric acid levels and cardio-ankle vascular
index in Chinese adults.
AB - BACKGROUND: Little is known about the relationship between serum uric acid (SUA)
and cardio-ankle vascular index (CAVI) in Chinese population. Therefore, we aimed
to investigate the gender difference in the association of SUA and CAVI in a
southwestern Chinese population. METHODS: Data were obtained from subjects via
routine physical examinations in the Public Health Center of our hospital between
2011 and 2014 in Chongqing. The data included completed anthropometry and blood
biochemical indicators. The CAVI were recorded using an automatically VaseraVS
1000 vascular screening system. RESULTS: We found females with hyperuricemia
(HUA) had significantly higher CAVI than women with normal SUA (8.45 +/- 1.40 vs
7.67 +/- 1.15, P<0.05). Then we defined high CAVI as CAVI>=9 m/s, and compared
the percentage of high CAVI, we found women with HUA had higher percentage of
high CAVI than women with normal SUA (26.83% vs 9.38%, P<0.05). Those differences
were not significant in males. Also, the logistic regression analysis found age
and hypertension were major independent risk factors associated with high CAVI in
both genders. HUA and hyperglycemia were independently associated with high CAVI
in females with an OR of 3.65, 95%CI (1.37-9.73) and 3.02, 95%CI (1.38-6.63)
respectively. However, these significant associations were not be found in males.
CONCLUSIONS: Our data showed positive associations between elevated SUA levels
and higher CAVI risk in the inland Chinese females, but not in males. The reason
for the gender differences were still unclear, sex hormones may play a role.
Further prospective studies including detailed personal information and
multicenter were required.
PMID- 29642918
TI - The impact of osteoarthritis on early exit from work: results from a population
based study.
AB - BACKGROUND: Osteoarthritis (OA) is a leading cause of pain and disability, which
may be a source of productivity losses. The objectives of this study were to
describe the impact of OA, namely through pain and physical disability, on early
exit from work and to calculate its economic burden. METHODS: We analysed data
from the national, cross-sectional, population-based EpiReumaPt study (Sep2011
Dec2013) in which 10,661 individuals were randomly surveyed in order to capture
all cases of rheumatic diseases. We used all participants aged 50-64, near the
official retirement age, who were clinically validated by experienced
rheumatologists (n = 1286), including OA cases. A national database was used to
calculate productivity values by gender, age and region, using the human capital
approach. The impact of OA on the likelihood of early exit from work and the
population attributable fractions used to calculate due economic burden (indirect
costs) were obtained at the individual level by logistic regression. All results
were based on weighted data. RESULTS: Almost one third of the Portuguese
population aged 50-64 had OA (29.7%; men: 16.2% and women: 43.5%) and more than
half were out of paid work (51.8%). Only knee OA is associated with early exit
from work (OR: 2.25; 95%CI: 1.42-3.59; p = 0.001), whereas other OA locations did
not reach any statistical difference. Furthermore, we observed an association
between self-reported longstanding musculoskeletal pain (OR: 1.55; 95%CI: 1.07
2.23; p = 0.02) and pain interference (OR: 1.35; 95%CI: 1.13-1.62; p = 0.001)
with early exit from work. We also detected a clear relationship between levels
of disability, measured by the Health Assessment Questionnaire (HAQ), and the
probability of work withdrawal. The estimated annual cost of early exit from work
attributable to OA was ?656 million (?384 per capita; ?1294 per OA patient and
?2095 per OA patient out-of-work). CONCLUSIONS: In this study, we observed an
association between OA and early exit from work, largely dependent on pain and
disability. This relationship translates into a meaningful economic burden
amounting to approximately 0.4% of the national Gross Domestic Product (GDP). The
high prevalence and the impact of this disabling chronic disease highlight the
need to prioritize policies targeting early exit from work in OA.
PMID- 29642919
TI - Simultaneous VENTANA IHC and RT-PCR testing of ALK status in Chinese non-small
cell lung cancer patients and response to crizotinib.
AB - BACKGROUND: ALK rearrangement-advanced NSCLC patients respond to crizotinib. ALK
rearrangement is currently determined with RT-PCR. VENTANA IHC is a standard
method to identify ALK protein overexpression in NSCLC; however, VENTANA IHC has
rarely been used to determine the response to crizotinib in Chinese patients with
NSCLC and ALK overexpression. To better clarify the clinical implication of
VENTANA IHC to detect ALK rearrangements, we conducted this study to analyze
VENTANA IHC and RT-PCR in a large cohort of Chinese patients with NSCLC
undergoing screening for ALK rearrangements. METHODS: A total of 1720 patients
with NSCLC who had ALK rearrangements detected by VENTANA IHC and/or RT-PCR were
included in this analysis. We compared the efficacy and survival of ALK-positive
patients detected by VENTANA IHC and RT-PCR. We used NGS to identify patients in
whom the two methods were inconsistent. RESULTS: Among 1720 patients, 187
(10.87%) were shown to be ALK-positive by VENTANA IHC and/or RT-PCR, and 66
received crizotinib treatment. We identified 10.27% (172/1674) of patients as ALK
positive by the VENTANA IHC method, and 12.73% (41/322) of patients had ALK
rearrangements by the RT-PCR method. Twenty-nine of 276 (10.51%) ALK-positive
patients were simultaneously analyzed using VENTANA IHC and RT-PCR. The overall
response rates were 65.90% (29/44) by VENTANA IHC and 55.88% (19/34) by RT-PCR.
The disease control rates were 86.36% (38/44) by VENTANA IHC and 76.47% (26/34)
by RT-PCR. In contrast, the median progression-free survival for VENTANA IHC and
RT-PCR was 8.5 and 9.2 months, respectively. The VENTANA IHC and RT-PCR results
obtained for 6 of 17 ALK-positive patients were inconsistent based on NGS;
specifically, 4 patients had EML4-ALK fusions, 2 patients had non EML4-ALK
fusions, 1 patient had a KCL1-ALK fusion, and one patient had a FBXO36-ALK
fusion. CONCLUSIONS: VENTANA IHC is a reliable and rapid screening tool used in
routine pathologic laboratories for the identification of suitable candidates for
ALK-targeted therapy. VENTANA IHC has moderate sensitivity and a slightly higher
association with response to therapy with ALK inhibitors, and some VENTANA IHC
positive, but RT-PCR-negative cases may benefit from crizotinib.
PMID- 29642920
TI - Reverse shock index multiplied by Glasgow Coma Scale score (rSIG) is a simple
measure with high discriminant ability for mortality risk in trauma patients: an
analysis of the Japan Trauma Data Bank.
AB - BACKGROUND: The shock index (SI), defined as heart rate (HR) divided by systolic
blood pressure (SBP), is reported to be a more sensitive marker of shock than
traditional vital signs alone. In previous literature, use of the reverse shock
index (rSI), taken as SBP divided by HR, is recommended instead of SI for
hospital triage. Among traumatized patients aged > 55 years, SI multiplied by age
(SIA) might provide better prediction of early post-injury mortality. Separately,
the Glasgow Coma Scale (GCS) score has been shown to be a very strong predictor.
When considering these points together, rSI multiplied by GCS score (rSIG) or
rSIG divided by age (rSIG/A) could provide even better prediction of in-hospital
mortality. METHODS: This retrospective, multicenter study used data from 168,517
patients registered in the Japan Trauma Data Bank for the period 2006-2015. We
calculated areas under receiver operating characteristic curves (AUROCs) to
measure the discriminant ability by comparing those of SI (or rSI), SIA, rSIG,
and rSIG/A for in-hospital mortality and for 24-h blood transfusion. RESULTS: The
highest ROC AUC (AUROC), 0.901(0.894-0.908) for in-hospital mortality in younger
patients (aged < 55 years), was seen for rSIG. In older patients (aged >= 55
years), the AUROC of rSIG/A, 0.845(0.840-0.850), was highest for in-hospital
mortality. However, the difference between rSIG and rSIG/A was slight and did not
seem to be clinically important. rSIG also had the highest AUROC of 0.745 (0.741
749) for 24-h blood transfusion. CONCLUSIONS: rSIG ((SBP/HR) * GCS score) is easy
to calculate without the need for additional information, charts or equipment,
and can be a more reliable triage tool for identifying risk levels in trauma
patients.
PMID- 29642921
TI - Feasibility of robot-based perturbed-balance training during treadmill walking in
a high-functioning chronic stroke subject: a case-control study.
AB - BACKGROUND: For stroke survivors, balance deficits that persist after the
completion of the rehabilitation process lead to a significant risk of falls. We
have recently developed a balance-assessment robot (BAR-TM) that enables
assessment of balancing abilities during walking. The purpose of this study was
to test feasibility of using the BAR-TM in an experimental perturbed-balance
training program with a selected high-functioning stroke survivor. METHODS: A
control and an individual with right-side chronic hemiparesis post-stroke were
studied. The individual post-stroke underwent thirty sessions of balance
perturbed training that involved walking on an instrumented treadmill while the
BAR-TM delivered random pushes to the participant's pelvis; these pushes were in
various directions, at various speeds, and had various perturbation amplitudes.
We assessed kinematics, kinetics, electromyography, and spatio-temporal responses
to outward-directed perturbations of amplitude 60 N (before training) and 60 N
and 90 N (after training) commencing on contact of either the nonparetic-left
foot (LL-NP/L perturbation) or the paretic-right foot (RR-P/R perturbation) while
the treadmill was running at a speed of 0.4 m/s. RESULTS: Before training, the
individual post-stroke primarily responded to LL-NP/L perturbations with an in
stance response on the non-paretic leg in a similar way to the control
participant. After training, the individual post-stroke added adequate stepping
by making a cross-step with the paretic leg that enabled successful rejection of
the perturbation at lower and higher amplitudes. Before training, the individual
post-stroke primarily responded to RR-P/R perturbations with fast cross-stepping
using the left, non-paretic leg while in-stance response was entirely missing.
After training, the stepping with the non-paretic leg was supplemented by
partially recovered ability to exercise in-stance responses on the paretic leg
and this enabled successful rejection of the perturbation at lower and higher
amplitudes. The assessed kinematics, kinetics, electromyography, and spatio
temporal responses provided insight into the relative share of each balancing
strategy that the selected individual post-stroke used to counteract LL-NP/L and
RR-P/R perturbations before and after the training. CONCLUSIONS: The main finding
of this case-control study is that robot-based perturbed-balance training may be
a feasible approach. It resulted in an improvement the selected post-stroke
participant's ability to counteract outward-directed perturbations. TRIAL
REGISTRATION: ClinicalTrials.gov Identifier: NCT03285919 - retrospectively
registered.
PMID- 29642922
TI - An anterolateral thigh chimeric flap for dynamic facial and esthetic
reconstruction after oncological surgery in the maxillofacial region: a case
report.
AB - BACKGROUND: The surgical management of malignant tumors in the head and neck
region often leads to functional and esthetic defects that impair the quality of
life of the patients. Reconstruction can be solved with prostheses in these
cases, but various types of microsurgical free flaps can provide a better
clinical outcome. CASE PRESENTATION: In this case report, the tumor and parts of
the involved facial muscles and nerve were excised surgically from a 42-year-old
patient after a third relapse of basal cell carcinoma in the left midface. The
tissue defect was reconstructed with an anterolateral thigh chimeric type I
fascio-myocutaneous flap, where the facial palsy was restored with a segmental
branch of the femoral nerve and the involved mouth corner elevator muscles for
the segmented vastus lateralis muscle. The 6-month follow-up revealed a good
esthetic outcome, the soft tissue defect reconstruction with good functional
activity of the reconstructed facial nerve and with acceptable mimic movements.
There has been no subsequent recurrence. CONCLUSIONS: It is concluded that the
chimeric type I anterolateral fascio-myocutaneous free flap can offer a good
option for the esthetic and functional reconstruction of an extensive tissue
defect in the maxillofacial region.
PMID- 29642924
TI - Cardiac output and CVP monitoring... to guide fluid removal.
PMID- 29642923
TI - Association of maternal serum lipids at late gestation with the risk of neonatal
macrosomia in women without diabetes mellitus.
AB - BACKGROUND: Macrosomia is a serious public health problem worldwide due to its
increasing prevalence and adverse influences on maternal and neonatal outcomes.
Maternal dyslipidemia exerts potential and adverse impacts on pregnant women and
newborns. However, the association between maternal serum lipids and the risk of
macrosomia has not yet been clearly elucidated. We explored the association
between the maternal lipids profile at late gestation and the risk of having
macrosomia among women without diabetes mellitus (DM). METHODS: The medical
records of 5407 pregnant women giving birth to single live babies at term were
retrospectively analyzed. Subjects with DM, hypertension, thyroid disorders and
fetal malformation were excluded. Maternal fasting serum lipids were measured
during late pregnancy. Logistic regression analysis was used to analyze the
variables associated with the risk of macrosomia. RESULTS: Maternal serum
triglyceride (TG) and high-density lipoprotein cholesterol (HDL-C) levels were
related to macrosomia; each 1 mmol/L increase in TG resulted in a 27% increase in
macrosomia risk, while each 1 mmol/L increase in HDL-C level resulted in a 37%
decrease in macrosomia risk, even after adjusting for potential confounders.
Notably, the risk of macrosomia increased progressively with increased maternal
serum TG levels and decreased HDL-C levels. Compared with women with serum TG
levels < 2.5 mmol/L, women with TG levels greater than 3.92 mmol/L had an
approximately 2.8-fold increased risk of macrosomia. Compared with women with
serum HDL-C levels above 2.23 mmol/L, women with HDL-C levels of less than 1.62
mmol/L had a 1.9-fold increased risk of giving birth to an infan with macrosomia.
In addition, a higher risk of macrosomia was observed in women with simultaneous
hypertriglyceridemia and low serum HDL-C levels (odds ratio [OR] 2.400, 95%
confidence interval [CI]: 1.760-3.274) compared to those with
hypertriglyceridemia or low serum HDL-C alone (OR 2.074, 95% CI: 1.609-2.673 and
OR 1.363, 95% CI: 1.028-1.809, respectively). CONCLUSIONS: Maternal serum TG
levels and HDL-C levels at late gestation are independent predictors of
macrosomia in women without DM.
PMID- 29642925
TI - Atypical traumatic anterior shoulder instability with excessive joint laxity:
recurrent shoulder subluxation without a history of dislocation.
AB - BACKGROUND: No previously published studies have examined recurrent traumatic
incomplete events in patients with excessive joint laxity. The purpose of this
study is to investigate outcomes after arthroscopic stabilization for recurrent
traumatic shoulder subluxation in patients with excessive joint laxity but no
history of dislocation. METHODS: This study included 23 patients with glenoid
bone defects less than 20% who underwent arthroscopic stabilization of recurrent
shoulder subluxation and were available for at least 2 years follow-up. Outcomes
were assessed with the subjective shoulder value (SSV), University of California
Los Angeles (UCLA) shoulder score, Rowe score, and sports/recreation activity
level. RESULTS: Postoperatively, overall functional scores improved significantly
(p < 0.001), compared to preoperative scores: SSV improved from 49.1 to 90.4;
Rowe score improved from 36.7 to 90.2; and UCLA shoulder score improved from 26.3
to 32.5. Patient satisfaction rate was 87% (20/23 patients). Sports/recreation
activity level (return to premorbid activity level; grade I = 100% to grade IV =
less than 70%) was grade I in 7 patients, grade II in 11, grade III in 3, grade
IV in 2. The incidence of any glenoid bone defect was 61% (14/23 patients), and
the mean glenoid bone defect size was 8%; among these 14 patients, 8 (35%)
exhibited 15-20% glenoid bone defects. Instability reoccurred in 2 patients (9%)
who had 15-20% glenoid bone defect. CONCLUSION: Despite excessive joint laxity,
overall functional outcomes after arthroscopic stabilization of recurrent
shoulder subluxation were satisfactory. However, arthroscopic Bankart repair may
not be reliable in patients with excessive joint laxity plus a glenoid bone
defect size of more than approximately 15%.
PMID- 29642926
TI - Zidovudine ameliorates pathology in the mouse model of Duchenne muscular
dystrophy via P2RX7 purinoceptor antagonism.
AB - Duchenne muscular dystrophy (DMD) is the most common inherited muscle disorder
that causes severe disability and death of young men. This disease is
characterized by progressive muscle degeneration aggravated by sterile
inflammation and is also associated with cognitive impairment and low bone
density. Given that no current treatment can improve the long-term outcome,
approaches with a strong translational potential are urgently needed. Duchenne
muscular dystrophy (DMD) alters P2RX7 signaling in both muscle and inflammatory
cells and inhibition of this receptor resulted in a significant attenuation of
muscle and non-muscle symptoms in DMDmdx mouse model. As P2RX7 is an attractive
target in a range of human diseases, specific antagonists have been developed.
Yet, these will require lengthy safety testing in the pediatric population of
Duchenne muscular dystrophy (DMD) patients. In contrast, Nucleoside Reverse
Transcriptase Inhibitors (NRTIs) can act as P2RX7 antagonists and are drugs with
an established safety record, including in children. We demonstrate here that AZT
(Zidovudine) inhibits P2RX7 functions acting via the same allosteric site as
other antagonists. Moreover, short-term AZT treatment at the peak of disease in
DMDmdx mice attenuated the phenotype without any detectable side effects.
Recovery was evident in the key parameters such as reduced sarcolemma
permeability confirmed by lower serum creatine kinase levels and IgG influx into
myofibres, decreased inflammatory cell numbers and inflammation markers in leg
and heart muscles of treated mice. Moreover, this short-term therapy had some
positive impact on muscle strength in vivo and no detrimental effect on
mitochondria, which is the main side-effect of Nucleoside Reverse Transcriptase
Inhibitors (NRTIs). Given these results, we postulate that AZT could be quickly
re-purposed for the treatment of this highly debilitating and lethal disease.
This approach is not constrained by causative DMD mutations and may be effective
in alleviating both muscle and non-muscle abnormalities.
PMID- 29642927
TI - Streptococcus bovis - unusual etiology of meningitis in a neonate with Down
syndrome: a case report.
AB - BACKGROUND: Common etiological agents of neonatal meningitis include group B
Streptococcus, Escherichia coli, and Staphylococcus aureus. Here we report a rare
pathogen - Streptococcus bovis - causing meningitis in a premature neonate with
Down syndrome. CASE PRESENTATION: A 26-day-old Asian male neonate with Down
syndrome presented with a history of high-grade fever, poor sucking, poor cry,
and reduced activity. On admission, he was febrile and had features of
circulatory collapse. A cerebrospinal fluid examination confirmed bacterial
meningitis and blood culture isolated the causative organism: group D
Streptococcus, which was verified as Streptococcus bovis biotype 2. An
echocardiogram did not show evidence of infective endocarditis. CONCLUSIONS: This
is probably the first report of neonatal meningitis due to Streptococcus bovis in
a child with Down syndrome. Although our patient did not show features of overt
immunodeficiency, subtle abnormalities in his immune system would have
predisposed him to infection with this unusual organism. This case highlights the
need for considering unusual pathogens when managing serious infections in
children with Down syndrome.
PMID- 29642928
TI - Diagnostic and management of life-threatening Adult-Onset Still Disease: a French
nationwide multicenter study and systematic literature review.
AB - BACKGROUND: Adult-onset Still disease (AOSD) is a rare systemic inflammatory
disorder. A few patients develop organ complications that can be life
threatening. Our objectives were to describe the disease course and phenotype of
life-threatening AOSD, including response to therapy and long-term outcome.
METHODS: A multicenter case series of intensive care medicine (ICU) patients with
life-threatening AOSD and a systematic literature review. RESULTS: Twenty
patients were included. ICU admission mostly occurred at disease onset (90%).
Disease manifestations included fever (100%), sore throat (65%), skin rash (65%),
and arthromyalgia (55%). Serum ferritin was markedly high (median: 29,110 ng/mL).
Acute respiratory failure, shock and multiple organ failure occurred in 15 (75%),
10 (50%), and 7 (35%) cases, respectively. Hemophagocytosis was demonstrated in
eight cases. Two patients died. Treatment delay was significant. All patients
received corticosteroids. Response rate was 50%. As second-line, intravenous
immunoglobulins were ineffective. Anakinra was highly effective. After ICU
discharge, most patients required additional treatment. Literature analysis
included 79 cases of AOSD with organ manifestations, which mainly included
reactive hemophagocytic syndrome (42%), acute respiratory failure (34%), and
cardiac complications (23%). Response rate to corticosteroids was 68%. Response
rates to IVIgs, cyclosporin, and anakinra were 50%, 80%, and 100%, respectively.
CONCLUSIONS: AOSD should be recognized as a rare cause of sepsis mimic in
patients with fever of unknown origin admitted to the ICU. The diagnosis relies
on a few simple clinical clues. Early intensive treatment may be discussed. IVIgs
should be abandoned. Long-term prognosis is favorable.
PMID- 29642929
TI - How well does the minimum data set measure healthcare use? a validation study.
AB - BACKGROUND: To improve care, planners require accurate information about nursing
home (NH) residents and their healthcare use. We evaluated how accurately
measures of resident user status and healthcare use were captured in the Minimum
Data Set (MDS) versus administrative data. METHODS: This retrospective
observational cohort study was conducted on all NH residents (N = 8832) from
Winnipeg, Manitoba, Canada, between April 1, 2011 and March 31, 2013. Six study
measures exist. NH user status (newly admitted NH residents, those who
transferred from one NH to another, and those who died) was measured using both
MDS and administrative data. Rates of in-patient hospitalizations, emergency
department (ED) visits without subsequent hospitalization, and physician
examinations were also measured in each data source. We calculated the
sensitivity, specificity, positive and negative predictive values (PPV, NPV), and
overall agreement (kappa, kappa) of each measure as captured by MDS using
administrative data as the reference source. Also for each measure, logistic
regression tested if the level of disagreement between data systems was
associated with resident age and sex plus NH owner-operator status. RESULTS: MDS
accurately identified newly admitted residents (kappa = 0.97), those who
transferred between NHs (kappa = 0.90), and those who died (kappa = 0.95).
Measures of healthcare use were captured less accurately by MDS, with high levels
of both under-reporting and false positives (e.g., for in-patient
hospitalizations sensitivity = 0.58, PPV = 0.45), and moderate overall agreement
levels (e.g., kappa = 0.39 for ED visits). Disagreement was sometimes greater for
younger males, and for residents living in for-profit NHs. CONCLUSIONS: MDS can
be used as a stand-alone tool to accurately capture basic measures of NH use
(admission, transfer, and death), and by proxy NH length of stay. As compared to
administrative data, MDS does not accurately capture NH resident healthcare use.
Research investigating these and other healthcare transitions by NH residents
requires a combination of the MDS and administrative data systems.
PMID- 29642930
TI - Neuropeptide regulation of adaptive immunity in the tibia fracture model of
complex regional pain syndrome.
AB - BACKGROUND: Both dysfunctional neuropeptide signaling and immune system
activation are characteristic of complex regional pain syndrome (CRPS). Unknown
is whether substance P (SP) or calcitonin gene-related peptide (CGRP) support
autoantibody production and, consequently, nociceptive sensitization. METHODS:
These experiments involved the use of a well-characterized tibia fracture model
of CRPS. Mice deficient in SP expression (Tac1-/-) and CGRP signaling (RAMP1-/-)
were used to probe the neuropeptide dependence of post-fracture sensitization and
antibody production. The deposition of IgM in the spinal cord, sciatic nerves,
and skin was followed using Western blotting, as was expression of the CRPS
related autoantigen cytokeratin 16 (Krt16). Passive serum transfer to B-cell
deficient muMT mice was used to assess the production of functional
autoantibodies in CRPS model mice. The use of immunohistochemistry allowed us to
assess neuropeptide-containing fiber distribution and Langerhans cell abundance
in mouse and human CRPS patient skin, while Langerhans cell-deficient mice were
used to assess the functional contributions of these cells. RESULTS: Functional
SP and CGRP signaling were required both for the full development of nociceptive
sensitization after fracture and the deposition of IgM in skin and neural
tissues. Furthermore, the passive transfer of serum from wildtype but not
neuropeptide-deficient mice to fractured muMT mice caused enhanced allodynia and
postural unweighting. Langerhans cells were increased in number in the skin of
fracture mice and CRPS patients, and those increases in mice were reduced in
neuropeptide signaling-deficient animals. Unexpectedly, Langerhans cell-deficient
mice showed normal nociceptive sensitization after fracture. However, the
increased expression of Krt16 after tibia fracture was not seen in neuropeptide
deficient mice. CONCLUSIONS: Collectively, these data support the hypothesis that
neuropeptide signaling in the fracture limb of mice is required for autoantigenic
IgM production and nociceptive sensitization. The mechanism may be related to
neuropeptide-supported autoantigen expression.
PMID- 29642931
TI - Bariatric surgery improves knee function and not knee pain in the early
postoperative period.
AB - BACKGROUND: Obesity remains the strongest predictor of knee osteoarthritis (OA).
Studies have reported improvement in knee pain and function post-bariatric
surgery secondary to weight loss and reduced mechanical loading, yet others found
increased rates of total knee arthroplasty (TKA) in that patient population. To
address this controversy, our study aimed to further assess the effect of
surgically induced, "rapid" weight loss on knee pain and function. METHODS: Obese
patients with chronic knee pain, who were undergoing bariatric surgery, were
enrolled and surveyed preoperatively and 3 months postoperatively. Our outcome
measures were knee pain and knee function, assessed by a knee injury and
osteoarthritis outcome score (KOOS). The paired t test was used to compare pre-
and postoperative KOOS scores. Pearson correlation coefficient was used to test
the correlation between change in body mass index (BMI) with knee function, pain,
and stiffness. RESULTS: A total of 30 patients was included in the study. The
mean age was 35 years, with a mean preoperative BMI of 42.8. The mean difference
in BMI at 3 months was 8.4 (SD3). There was a significant improvement in KOOS, -
23.2 (+/- 20) points, p < 0.01, most pronounced in knee function related to sport
activities, with a difference of - 22.6 points, p < 0.01. Knee pain scores
improved but did not reach statistical significance. CONCLUSION: Surgically
induced rapid weight loss significantly improved knee function, particularly
related to sports. However, there was no change in knee pain. This may be related
to increased high-impact knee exercises and reduced lean mass. Tailored exercise
programs for bariatric surgery patients postoperatively, may improve symptoms and
decrease the need for knee replacements in the long term.
PMID- 29642932
TI - Early life swimming pool exposure and asthma onset in children - a case-control
study.
AB - BACKGROUND: Trichloramine exposure in indoor swimming pools has been suggested to
cause asthma in children. We aimed to investigate the risk of asthma onset among
children in relation to individual trichloramine exposure. METHODS: A
longitudinal nested case-control study of 337 children with asthma (cases) and
633 controls aged 16-17 years was performed within a population-based cohort from
The Obstructive Lung Disease in Northern Sweden studies (OLIN). Year of asthma
onset and exposure time at different ages were obtained in telephone interviews.
Trichloramine concentrations in the pool buildings were measured. Skin prick test
results for inhalant allergens were available from previous examinations of the
cohort. The risk for asthma was analyzed in relation to the cumulative
trichloramine exposure before onset of asthma. RESULTS: The participation rate
was high in the original cohort (88 to 96%), and in the case-control study (80%).
Trichloramine concentrations ranged from 0.020 to 0.55 mg/m3 (mean 0.15 mg/m3).
Swimming pool exposure in early life was associated with a significantly higher
risk of pre-school asthma onset. A dose-response relationship between swimming
pool exposure and asthma was indicated in children with asthma onset at 1 year of
age. Children who were both sensitized and exposed had a particularly high risk.
CONCLUSIONS: Early life exposure to chlorinated swimming pool environments was
associated with pre-school asthma onset.
PMID- 29642933
TI - Impact of vitamin E and selenium on antioxidant capacity and lipid oxidation of
cheddar cheese in accelerated ripening.
AB - BACKGROUND: Ripening of cheddar cheese is a time taking process, duration of the
ripening may be as long as one year. Long ripening time is a big hindrance in the
popularity of cheese in developing countries. Further, energy resources in these
countries are either insufficient or very expensive. Therefore, those methods of
cheese ripening should be discovered which can significantly reduce the ripening
time without compromising the quality characteristics of cheddar cheese. In
accelerated ripening, cheese is usually ripened at higher temperature than
traditional ripening temperatures. Ripening of cheddar cheese at high temperature
with the addition of vitamin E and selenium is not previously studied. This
investigation aimed to study the antioxidant activity of selenium and vitamin E
in accelerated ripening using cheddar cheese as an oxidation substrate. METHODS:
The ripening of cheddar cheese was performed at 18 degrees C and to prevent
lipid oxidation, vitamin E and selenium were used alone and in combination. The
treatments were as: cheddar cheese without any addition of vitamin E and selenium
(T1), cheddar cheese added with 100 mg/kg vitamin E (T2), 200 mg/kg vitamin E
(T3), 800 MUg/kg selenium (T4), 1200 MUg/kg selenium (T5), vitamin E 100 mg/kg +
800 MUg/kg selenium (T6) and vitamin E 200 mg/kg + 1200 MUg/kg selenium (T7).
Traditional cheddar cheese ripne ripened at 4-6 degrees C for 9 months was used
as positive control. Cheese samples were ripened at 18 degrees C for a period of
12 weeks and analyzed for chemical and oxidative stability characteristics at 0,
6 and 12 weeks of storage. All these treatments were compared with a cheddar
cheese without vitamin E, selenium and ripened at 4 degrees C or 12 weeks.
Vacuum packaged cheddar cheese was ripened 18 degrees C for a period of 12 weeks
and analyzed for chemical and oxidative stability characteristics at 0, 4 and 8
weeks of storage period. RESULTS: Addition of Vitamin E and selenium did not have
any effect on moisture, fat and protein content of cheddar cheese. After 6 weeks
of ripening, total antioxidant capacity of T1, T2, T3, T4, T5, T6, T7 and
standard cheese were 29.61%, 44.7%, 53.6%, 42.5%, 41.4%, 64.1%, 85.1% and 25.4%.
After 6 weeks of ripening, reducing power of T1, T2, T3, T4, T5, T6, T7 and SC
cheese were 14.7%, 18.1%, 26.3%, 19.2%, 25.3%, 33.4%, 40.3% and 11.6%. After 6
weeks of ripening, 1, 1-diphenyl-2-picrylhydrazyl (DPPH) free radical scavenging
activity of T6 and T7 were 54.2% and 66.9%. While, DPPH free radical scavenging
activity of T1 and standard cheese after 6 weeks of ripening were, 19.1 and
18.5%, respectively. Free fatty acids of vitamin E and selenium supplemented, non
supplemented and standard cheese were not significantly influenced from each
other in 0, 6 and 12 weeks old cheddar cheese. Peroxide values of T1, T2, T3, T4,
T5, T6, T7 and standard cheese after 6 weeks of accelerated ripening were 1.19,
1.05, 0.88, 1.25, 0.29, 0.25, 0.24 and 0.28 (MeqO2/kg). After 6 weeks of
ripening, anisidine value of T6 and T7 were 6.55 and 6.14. Conjugated dienes of
T1, T2, T3, T4, T5, T6, T7 and standard cheese, after 6 weeks of accelerated
ripening were 0.61, 0.55, 0.42, 0.77, 0.65, 0.17, 0.15 and 0.19. After 6 weeks of
accelerated ripening, concentrations unsaturated fatty acids in T1, T2, T3, T4,
T5, T6, T7 and standard cheese decreased by18.19%, 17.45%, 16.82%, 16.19%,
12.71%, 8.48%, 6.92% and 14.71%. After 12 weeks of accelerated ripening,
concentration of unsaturated fatty acids in T1, T2, T3, T4, T5, T6 and T7 and
standard cheese decreased by 26.2%, 21.2%, 18.7%, 14.2%, 10.4%, 4.84%, 1.03% and
6.78%. Cheddar cheese samples added with vitamin E, selenium and their
combinations produced more organic acids during the ripening period of 12 weeks.
After 6 and 12 weeks of ripening, flavor score of T6 and T7 was better than
standard ripened cheddar cheese. CONCLUSIONS: After 6 weeks of accelerated
ripening, sensory characteristics of T6 and T7 were similar to cheddar cheese
that was ripened at 4 degrees C for 9 months. Ripening time of cheddar cheese
may be reduced to 6 weeks by elevated temperature (18 degrees C) using vitamin E
and selenium as antioxidants at T6 and T7 levels.
PMID- 29642934
TI - Correlation of gene expression and associated mutation profiles of APOBEC3A,
APOBEC3B, REV1, UNG, and FHIT with chemosensitivity of cancer cell lines to drug
treatment.
AB - BACKGROUND: The APOBEC gene family of cytidine deaminases plays important roles
in DNA repair and mRNA editing. In many cancers, APOBEC3B increases the mutation
load, generating clusters of closely spaced, single-strand-specific DNA
substitutions with a characteristic hypermutation signature. Some studies also
suggested a possible involvement of APOBEC3A, REV1, UNG, and FHIT in molecular
processes affecting APOBEC mutagenesis. It is important to understand how
mutagenic processes linked to the activity of these genes may affect sensitivity
of cancer cells to treatment. RESULTS: We used information from the Cancer Cell
Line Encyclopedia and the Genomics of Drug Sensitivity in Cancer resources to
examine associations of the prevalence of APOBEC-like motifs and mutational loads
with expression of APOBEC3A, APOBEC3B, REV1, UNG, and FHIT and with cell line
chemosensitivity to 255 antitumor drugs. Among the five genes, APOBEC3B
expression levels were bimodally distributed, whereas expression of APOBEC3A,
REV1, UNG, and FHIT was unimodally distributed. The majority of the cell lines
had low levels of APOBEC3A expression. The strongest correlations of gene
expression levels with mutational loads or with measures of prevalence of APOBEC
like motif counts and kataegis clusters were observed for REV1, UNG, and
APOBEC3A. Sensitivity or resistance of cell lines to JQ1, palbociclib,
bicalutamide, 17-AAG, TAE684, MEK inhibitors refametinib, PD-0325901, and
trametinib and a number of other agents was correlated with candidate gene
expression levels or with abundance of APOBEC-like motif clusters in specific
cancers or across cancer types. CONCLUSIONS: We observed correlations of
expression levels of the five candidate genes in cell line models with
sensitivity to cancer drug treatment. We also noted suggestive correlations
between measures of abundance of APOBEC-like sequence motifs with drug
sensitivity in small samples of cell lines from individual cancer categories,
which require further validation in larger datasets. Molecular mechanisms
underlying the links between the activities of the products of each of the five
genes, the resulting mutagenic processes, and sensitivity to each category of
antitumor agents require further investigation.
PMID- 29642935
TI - SP1-induced upregulation of lncRNA SPRY4-IT1 exerts oncogenic properties by
scaffolding EZH2/LSD1/DNMT1 and sponging miR-101-3p in cholangiocarcinoma.
AB - BACKGROUND: Accumulating evidence has indicated that long non-coding RNAs
(lncRNAs) behave as a novel class of transcription products during multiple
cancer processes. However, the mechanisms responsible for their alteration in
cholangiocarcinoma (CCA) are not fully understood. METHODS: The expression of
SPRY4-IT1 in CCA tissues and cell lines was determined by RT-qPCR, and the
association between SPRY4-IT1 transcription and clinicopathologic features was
analyzed. Luciferase reporter and chromatin immunoprecipitation (ChIP) assays
were performed to explore whether SP1 could bind to the promoter region of SPRY4
IT1 and activate its transcription. The biological function of SPRY4-IT1 in CCA
cells was evaluated both in vitro and in vivo. ChIP, RNA binding protein
immunoprecipitation (RIP) and luciferase reporter assays were performed to
determine the molecular mechanism of SPRY4-IT1 in cell proliferation, apoptosis
and invasion. RESULTS: SPRY4-IT1 was abnormally upregulated in CCA tissues and
cells, and this upregulation was correlated with tumor stage and tumor node
metastasis (TNM) stage in CCA patients. SPRY4-IT1 overexpression was also an
unfavorable prognostic factor for patients with CCA. Additionally, SP1 could bind
directly to the SPRY4-IT1 promoter region and activate its transcription.
Furthermore, SPRY4-IT1 silencing caused tumor suppressive effects via reducing
cell proliferation, migration and invasion; inducing cell apoptosis and reversing
the epithelial-to-mesenchymal transition (EMT) process in CCA cells.
Mechanistically, enhancer of zeste homolog 2 (EZH2) along with the lysine
specific demethylase 1 (LSD1) or DNA methyltransferase 1 (DNMT1) were recruited
by SPRY4-IT1, which functioned as a scaffold. Importantly, SPRY4-IT1 positively
regulated the expression of EZH2 through sponging miR-101-3p. CONCLUSIONS: Our
data illustrate how SPRY4-IT1 plays an oncogenic role in CCA and may offer a
potential therapeutic target for treating CCA.
PMID- 29642936
TI - Detection of titanium particles in human liver and spleen and possible health
implications.
AB - BACKGROUND: Titanium dioxide (TiO2) is produced at high volumes and applied in
many consumer and food products. Recent toxicokinetic modelling indicated the
potential of TiO2 to accumulate in human liver and spleen upon daily oral
exposure, which is not routinely investigated in chronic animal studies. A health
risk from nanosized TiO2 particle consumption could not be excluded then.
RESULTS: Here we show the first quantification of both total titanium (Ti) and
TiO2 particles in 15 post-mortem human livers and spleens. These low-level
analyses were enabled by the use of fully validated (single particle) inductively
coupled plasma high resolution mass spectrometry ((sp)ICP-HRMS) detection methods
for total Ti and TiO2 particles. The presence of TiO2 in the particles in tissues
was confirmed by Scanning Electron Microscopy with energy dispersive X-ray
spectrometry. CONCLUSIONS: These results prove that TiO2 particles are present in
human liver and spleen, with >=24% of nanosize (< 100 nm). The levels are below
the doses regarded as safe in animals, but half are above the dose that is deemed
safe for liver damage in humans when taking into account several commonly applied
uncertainty factors. With these new and unique human data, we remain with the
conclusion that health risks due to oral exposure to TiO2 cannot be excluded.
PMID- 29642937
TI - Evaluation of a simple polytetrafluoroethylene (PTFE)-based membrane for blood
feeding of malaria and dengue fever vectors in the laboratory.
AB - BACKGROUND: Controlled blood-feeding is essential for maintaining laboratory
colonies of disease-transmitting mosquitoes and investigating pathogen
transmission. We evaluated a low-cost artificial feeding (AF) method, as an
alternative to direct human feeding (DHF), commonly used in mosquito
laboratories. METHODS: We applied thinly-stretched pieces of
polytetrafluoroethylene (PTFE) membranes cut from locally available seal tape
(i.e. plumbers tape, commonly used for sealing pipe threads in gasworks or
waterworks). Approximately 4 ml of bovine blood was placed on the bottom surfaces
of inverted Styrofoam cups and then the PTFE membranes were thinly stretched over
the surfaces. The cups were filled with boiled water to keep the blood warm (~37
degrees C), and held over netting cages containing 3-4 day-old inseminated adults
of female Aedes aegypti, Anopheles gambiae (s.s.) or Anopheles arabiensis. Blood
feeding success, fecundity and survival of mosquitoes maintained by this system
were compared against DHF. RESULTS: Aedes aegypti achieved 100% feeding success
on both AF and DHF, and also similar fecundity rates (13.1 +/- 1.7 and 12.8 +/-
1.0 eggs/mosquito respectively; P > 0.05). An. arabiensis had slightly lower
feeding success on AF (85.83 +/- 16.28%) than DHF (98.83 +/- 2.29%) though these
were not statistically different (P > 0.05), and also comparable fecundity
between AF (8.82 +/- 7.02) and DHF (8.02 +/- 5.81). Similarly, for An. gambiae
(s.s.), we observed a marginal difference in feeding success between AF (86.00 +/
10.86%) and DHF (98.92 +/- 2.65%), but similar fecundity by either method.
Compared to DHF, mosquitoes fed using AF survived a similar number of days
[Hazard Ratios (HR) for Ae. aegypti = 0.99 (0.75-1.34), P > 0.05; An. arabiensis
= 0.96 (0.75-1.22), P > 0.05; and An. gambiae (s.s.) = 1.03 (0.79-1.35), P >
0.05]. CONCLUSIONS: Mosquitoes fed via this simple AF method had similar feeding
success, fecundity and longevity. The method could potentially be used for
laboratory colonization of mosquitoes, where DHF is unfeasible. If improved (e.g.
minimizing temperature fluctuations), the approach could possibly also support
studies where vectors are artificially infected with blood-borne pathogens.
PMID- 29642938
TI - Social network correlates of risky sexual behavior among adolescents in Bahir Dar
and Mecha Districts, North West Ethiopia: an institution-based study.
AB - BACKGROUND: Behaviors established during adolescence such as risky sexual
behaviors have negative effects on future health and well-being. Extant
literature indicated that individual attributes such as peer pressure and
substance use have impacts on healthy development of young peoples' sexual
behavior. The patterns of relationships (social network structure) and the social
network content (members' norm regarding sexual practice) established by
adolescents' network on adolescents' risky sexual behaviors are not well
investigated. METHODS: This cross-sectional study assessed the roles of social
networks on sexual behavior of high school adolescents in Bahir Dar and Mecha
district, North West Ethiopia. Data were collected from 806 high school
adolescents using a pretested anonymously self administered questionnaire.
Hierarchical logistic regression model was used for analysis. RESULTS: The
results indicated that more than 13% had risky sexual behavior. Taking social
networks into account improved the explanation of risky sexual behavior over
individual attributes. Adolescents embedded within increasing sexual practice
approving norm (AOR 1.61; 95%CI: 1.04 - 2.50), increasing network tie strength
(AOR 1.12; 95% CI: 1.06 - 1.19), and homogeneous networks (AOR 1.58; 95% CI: .98
2.55) were more likely to had risky sexual behavior. Engaging within increasing
number of sexuality discussion networks was found protective of risky sexual
behavior (AOR .84; 95% CI: .72 - .97). CONCLUSION: Social networks better predict
adolescent's risky sexual behavior than individual attributes. The findings
indicated the circumstances or contexts that social networks exert risks or
protective effects on adolescents' sexual behavior. Programs designed to reduce
school adolescents' sexual risk behavior should consider their patterns of social
relationships.
PMID- 29642939
TI - Elimination of onchocerciasis from Colombia: first proof of concept of river
blindness elimination in the world.
AB - BACKGROUND: Onchocerciasis is a chronic parasitic infection originally endemic in
13 discrete regional foci distributed among six countries of Latin America
(Brazil, Colombia, Ecuador, Guatemala, Mexico and Venezuela). In Colombia, this
disease was discovered in 1965 in the Pacific Coast of the country. The National
Onchocerciasis Elimination Program was established in 1993 with the aim of
eliminating disease morbidity and infection transmission. In 2013, the World
Health Organization (WHO) verified Colombia as free of onchocerciasis, becoming
the first country in the world to reach such a goal. This report provides the
empirical evidence of the elimination of Onchocerca volvulus transmission by
Simulium exiguum (s.l.) after 12 years of 6-monthly mass drug administration of
Mectizan(r) (ivermectin) to all the eligible residents living in this endemic
area. METHODS: From 1996 onwards, a biannual community-based mass ivermectin
administration programme was implemented, complemented by health education and
community participation. In-depth parasitological, serological and entomological
surveys were conducted periodically between 1998 and 2007 to evaluate the impact
of ivermectin treatment according to the 2001 WHO guidelines. When the
interruption of parasite transmission was demonstrated, the drug distribution
ceased and a three-year post-treatment surveillance (PTS) period (2008-2010) was
initiated. RESULTS: After 23 rounds of treatment, parasitological and
ophthalmological assessments showed absence of microfilariae in skin and anterior
chamber of the eyes. Serological tests proved lack of antibodies against O.
volvulus in children under 10 years-old. A total of 10,500 S. exiguum flies
tested by PCR had no L3 infection (infectivity rate = 0.0095%; 95% CI: 0.0029
0.049) during 2004, indicating interruption of parasite transmission. However,
biannual ivermectin treatments continued until 2007 followed by a 3-year PTS
period at the end of which 13,481 flies were analyzed and no infective flies were
found (infectivity rate = 0%; 95% CI: 0.0-0.014). CONCLUSIONS: These results
fulfilled the WHO criteria for onchocerciasis elimination. Consequently, in 2013
Colombia was verified as free of onchocerciasis, demonstrating that elimination
of this neglected tropical disease is an achievable goal and paving the way for
an elimination agenda to be followed by other endemic countries in Latin America
and Africa.
PMID- 29642940
TI - Multi-cohort analysis of colorectal cancer metagenome identified altered bacteria
across populations and universal bacterial markers.
AB - BACKGROUND: Alterations of gut microbiota are associated with colorectal cancer
(CRC) in different populations and several bacterial species were found to
contribute to the tumorigenesis. The potential use of gut microbes as markers for
early diagnosis has also been reported. However, cohort specific noises may
distort the structure of microbial dysbiosis in CRC and lead to inconsistent
results among studies. In this regard, our study targeted at exploring changes in
gut microbiota that are universal across populations at species level. RESULTS:
Based on the combined analysis of 526 metagenomic samples from Chinese, Austrian,
American, and German and French cohorts, seven CRC-enriched bacteria (Bacteroides
fragilis, Fusobacterium nucleatum, Porphyromonas asaccharolytica, Parvimonas
micra, Prevotella intermedia, Alistipes finegoldii, and Thermanaerovibrio
acidaminovorans) have been identified across populations. The seven enriched
bacterial markers classified cases from controls with an area under the receiver
operating characteristics curve (AUC) of 0.80 across the different populations.
Abundance correlation analysis demonstrated that CRC-enriched and CRC-depleted
bacteria respectively formed their own mutualistic networks, in which the latter
was disjointed in CRC. The CRC-enriched bacteria have been found to be correlated
with lipopolysaccharide and energy biosynthetic pathways. CONCLUSIONS: Our study
identified potential diagnostic bacterial markers that are robust across
populations, indicating their potential universal use for non-invasive CRC
diagnosis. We also elucidated the ecological networks and functional capacities
of CRC-associated microbiota.
PMID- 29642941
TI - Exosomal miRNAs in hepatocellular carcinoma development and clinical responses.
AB - Hepatocellular carcinoma remains the sixth most lethal malignancy in the world.
While HCC is often diagnosed via current biomarkers at a late stage, early
detection of HCC has proven to be very difficult. Recent studies have focused on
using exosomal miRNAs in clinical diagnostics and therapeutics, because they have
improved stability in exosomes than as free miRNAs themselves. Exosomal miRNAs
act through novel mechanisms for inducing cellular responses in a variety of
biological circumstances. Dysregulated expression of miRNAs in exosomes can also
accelerate HCC progression, including cell proliferation and metastasis, via
alteration of a network of genes. Growing evidence demonstrates that exosomal
miRNAs can affect many aspects of physiological and pathological conditions in
HCC and indicates that miRNAs in exosomes can not only serve as sensitive
biomarkers for cancer diagnostics and recurrence but can also potentially be used
as therapeutics to target HCC progression. In this review, we summarize the
latest findings between exosomal miRNAs and HCC, in order to better comprehend
the functions and applications in HCC. Moreover, we discuss critical issues to
consider when developing anti-tumor exosomal miRNAs as a novel therapeutic
strategy for treating HCC in the clinic.
PMID- 29642942
TI - Molecular identification of badger-associated Babesia sp. DNA in dogs: updated
phylogeny of piroplasms infecting Caniformia.
AB - BACKGROUND: Piroplasms are unicellular, tick-borne parasites. Among them, during
the past decade, an increasing diversity of Babesia spp. has been reported from
wild carnivores. On the other hand, despite the known contact of domestic and
wild carnivores (e.g. during hunting), and a number of ixodid tick species they
share, data on the infection of dogs with babesiae from other families of
carnivores are rare. METHODS: In this study blood samples were collected from 90
dogs and five road-killed badgers. Ticks were also removed from these animals.
The DNA was extracted from all blood samples, and from 33 ticks of badgers,
followed by molecular analysis for piroplasms with PCR and sequencing, as well as
by phylogenetic comparison of detected genotypes with piroplasms infecting
carnivores. RESULTS: Eleven of 90 blood DNA extracts from dogs, and all five
samples from badgers were PCR-positive for piroplasms. In addition to the
presence of B. canis DNA in five dogs, sequencing identified the DNA of badger
associated "Babesia sp. Meles-Hu1" in six dogs and in all five badgers. The DNA
of "Babesia sp. Meles-Hu1" occurred significantly more frequently in dogs often
taken to forests (i.e. the preferred habitat of badgers in Hungary), than in dogs
without this characteristic. Moreover, detection of DNA from this Babesia sp. was
significantly associated with hunting dogs in comparison with dogs not used for
hunting. Two PCR-positive dogs (in one of which the DNA of the badger-associated
Babesia sp. was identified, whereas in the other the DNA of B. canis was present)
showed clinical signs of babesiosis. Engorged specimens of both I. canisuga and
I. hexagonus were collected from badgers with parasitaemia, but only I. canisuga
contained the DNA of "Babesia sp. Meles-Hu1". This means a significant
association of the DNA from "Babesia sp. Meles-Hu1" with I. canisuga.
Phylogenetically, "Babesia sp. Meles-Hu1" belonged to the "B. microti" group.
CONCLUSIONS: This is the first detection of the DNA from a badger-associated
Babesia sp. in dogs, one of which also showed relevant clinical signs. Based on
the number of dogs with blood samples containing the DNA of "Babesia sp. Meles
Hu1" in this study (i.e. exceeding the number of B. canis-positives), these
findings should not be regarded as isolated cases. It is assumed that dogs, which
are used for hunting or frequently visit forests, are more likely to be exposed
to this piroplasm, probably as a consequence of infestation with I. canisuga from
badgers or from the burrows of badgers. The above results suggest that "Babesia
sp. Meles-Hu1" should be added to the range of piroplasms, which are naturally
capable of infecting hosts from different families of Caniformia.
PMID- 29642943
TI - Celiac axis stenosis due to median arcuate ligament compression in a patient who
underwent pancreatoduodenectomy; intraoperative assessment of hepatic arterial
flow using Doppler ultrasonography: a case report.
AB - BACKGROUND: Celiac axis stenosis due to compression by the median arcuate
ligament has been reported in patients undergoing pancreaticoduodenectomy; it
leads to the development of major collateral pathways that feed the hepatic
artery. Dividing these important collaterals during pancreaticoduodenectomy can
cause ischemic complications which may lead to a high mortality rate. To prevent
these complications, it is necessary to assess intrahepatic arterial flow. CASE
PRESENTATION: A 71-year-old Japanese man with anorexia was referred to us for the
treatment of alcoholic chronic pancreatitis. Computed tomography revealed a
pancreatic head tumor with a calculus, associated with the dilatation of the main
pancreatic duct and intrahepatic bile duct. Three-dimensional imaging
demonstrated focal narrowing in the proximal celiac axis due to median arcuate
ligament compression and a prominent gastroduodenal artery that fed the common
hepatic artery. The preoperative diagnosis was alcoholic chronic pancreatitis
with common bile duct obstruction and celiac axis stenosis due to median arcuate
ligament compression. Pancreaticoduodenectomy with median arcuate ligament
release was scheduled. Before the division of the median arcuate ligament, the
peak flow velocity and resistive index of his intrahepatic artery measured with
Doppler ultrasonography decreased from 37.7 cm/second and 0.510, respectively, to
20.6 cm/second and 0.508 respectively, when his gastroduodenal artery was
clamped. However, these values returned to baseline levels after the division of
the median arcuate ligament. These findings suggested that
pancreaticoduodenectomy could be performed safely. Our patient was discharged on
postoperative day 17 without significant complications. CONCLUSION: The
intraoperative quantitative evaluation of intrahepatic arterial blood flow using
Doppler ultrasonography was useful in a patient who underwent
pancreaticoduodenectomy, who had celiac axis stenosis due to compression by the
median arcuate ligament.
PMID- 29642944
TI - Concomitant visceral and localized cutaneous leishmaniasis in two Moroccan
infants.
AB - BACKGROUND: Leishmaniases are vector-borne diseases caused by the protozoa of the
Leishmania genus. The clinical spectrum of these diseases extends from benign
dermal lesions to visceral forms. In the Mediterranean region, zoonotic visceral
leishmaniasis (ZVL) is caused by L. infantum. If untreated within two years, the
disease usually leads to death. In Morocco, ZVL is endemic in the north, with a
hundred cases notified each year, mostly in children aged below five years. Here,
we report on two clinical observations in infants presenting unusual concomitant
VL and cutaneous leishmaniasis (CL) in Morocco. CASE PRESENTATION: In this case
study, we report on two infants aged nine and 12 months old. They both have a
history of febrile splenomegaly, anemia, and pallor of mucous membranes. Visceral
leishmaniasis was confirmed by parasitological diagnosis (positive bone marrow
smear and screening of anti-L. infantum antibodies). However, the clinical
examination also showed cutaneous lesions that suggested the presence of CL. This
was reinforced by the patients having a history of living or traveling to endemic
foci. Thus, direct examination, culture, and PCR-RFLP (ITS1-Hae 3) were carried
out on the patients' dermal exudates. In one of the infants, CL was associated
with L. infantum, while in the other it was associated with L. tropica. The
infants were treated as according to the recommendations of the Ministry of
Health. Both patients were cured in two months; defervescence, reduction of
splenomegaly, and healing of cutaneous lesions were all observed. CONCLUSIONS:
These singular patients illustrate the clinical polymorphism of CL and the
necessity of updating the differential diagnosis of leukemia-like syndromes,
including VL, in children living in or travelling to known endemic areas. These
observations suggest a change in the Mediterranean VL phenotype that may be
associated with CL.
PMID- 29642945
TI - RNA sequencing of murine mammary epithelial stem-like cells (HC11) undergoing
lactogenic differentiation and its comparison with embryonic stem cells.
AB - OBJECTIVES: Understanding of transcriptional networks specifying HC11 murine
mammary epithelial stem cell-like cells (MEC) in comparison with embryonic stem
cells (ESCs) and their rewiring, under the influence of glucocorticoids (GC) and
prolactin (PRL) hormones, is critical for elucidating the mechanism of
lactogenesis. In this data note, we provide RNA sequencing data from murine MECs
and ESCs, MECs treated with steroid hormone alone and in combination with PRL.
This data could help in understanding temporal dynamics of mRNA transcription
that impact the process of lactogenesis associated with mammary gland
development. Further integration of these data sets with existing datasets of
cells derived from various stages of mammary gland development and different
types of breast tumors, should pave the way for effective prognosis and to
develop therapies for breast cancer. DATA DESCRIPTION: We have generated RNA
sequencing data representing steady-state levels of mRNAs from murine ESCs,
normal MECs (N), MECs primed (P) with hydrocortisone (HC) alone and in
combination with PRL hormone by using Illumina sequencing platform. We have
generated ~ 58 million reads for ESCs with an average length of ~ 100 nt and an
average 115 million good quality mapped reads with an average length of ~ 150 nt
for different stages of MECs differentiation.
PMID- 29642946
TI - Correction to: identification of multiple cancer-associated myositis-specific
autoantibodies in idiopathic inflammatory myopathies: a large longitudinal cohort
study.
AB - After publication of the article [1], it has been brought to our attention that
the labels in Fig. 2b have been switched and are as a result incorrect. The label
for the red line should have the label "non-CAM" and the yellow line "CAM".
PMID- 29642947
TI - Absence of flexor carpi radialis identified during volar approach for fixation of
distal radius fracture: a case report.
AB - BACKGROUND: Volar locking plate fixation of distal radius fractures is commonly
performed because of its good clinical outcomes. The flexor carpi radialis (FCR)
approach is one of the most popular approaches to dissecting the volar side of
the distal radius because of its simplicity and safety. We describe an extremely
rare case of an absent FCR identified during a volar approach for fixation of a
distal radius fracture. CASE PRESENTATION: A 59-year-old woman with distal radius
fracture underwent surgery using the usual FCR approach and volar locking plate.
We could not identify the absence of the FCR tendon preoperatively because of
severe swelling of the distal forearm. At first, we wrongly identified the
palmaris longus tendon as the FCR because it was the tendinous structure at the
most radial location of the volar distal forearm. When we found the median nerve
just radial to the palmaris longus tendon, we were then able to identify the
anatomical abnormality in this case. To avoid iatrogenic neurovascular injuries,
we changed the approach to the classic Henry's approach. CONCLUSIONS: Although
the FCR approach is commonly used for fixation of distal radius fractures because
of its simplicity and safety, this is the first report of complete absence of the
FCR during the commonly performed volar approach for fixation of a distal radius
fracture, to our knowledge. Because the FCR is designated as a favorable landmark
because of its superficially palpable location, strong and thick structure, and
rare anatomical variations, there is the possibility of iatrogenic complications
in cases of the absence of the FCR. We suggest that surgeons should have a
detailed knowledge of the range of possible anomalies to complete the fixation of
a distal radius fracture safely.
PMID- 29642948
TI - Sex and interleukin-6 are prognostic factors for autoimmune toxicity following
treatment with anti-CTLA4 blockade.
AB - BACKGROUND: Ipilimumab is a licensed immunotherapy for metastatic melanoma
patients and, in the US, as adjuvant treatment for high risk melanoma radically
resected. The use of ipilimumab is associated with a typical but unpredictable
pattern of side effects. The purpose of this study was to identify clinical
features and blood biomarkers capable of predicting ipilimumab related toxicity.
METHODS: We performed a prospective study aimed at analyzing potential clinical
and biological markers associated with immune-related toxicity in patients
treated with ipilimumab (3 mg/kg, q3w). We enrolled 140 consecutive melanoma
patients treated with ipilimumab for metastatic disease. The following
prospectively collected data were utilized: patient characteristics, previous
therapies, level of circulating biomarkers associated with tumour burden or
immune-inflammation status (lactic dehydrogenase, C-reactive protein, beta2
microglobulin, vascular endothelial growth factor, interleukin-2, interleukin-6,
S-100, alkaline phosphatase, transaminases) and blood cells subsets (leukocyte
and lymphocyte subpopulations). Logistic regression was used for multivariate
analysis of data. RESULTS: Out of 140 patients, 36 (26%) experienced a severe
adverse event, 33 (24%) discontinued treatment for severe toxicity. Among the
immune-profile biomarkers analyzed, only interleukin-6 was associated with the
risk of toxicity. Female patients had a further increase of immune-related
adverse events. Low baseline interleukin-6 serum levels (OR = 2.84, 95% CI 1.34
6.03, P = 0.007) and sex female (OR = 1.5, 95% CI 1.06-2.16 P = 0.022) and were
significant and independent risk factors for immune related adverse events.
CONCLUSIONS: Baseline IL6 serum levels and female sex were significantly and
independently associated with higher risk of severe toxicity and could be
exploited in clinical practice to personalize toxicity surveillance in patients
treated with ipilimumab.
PMID- 29642949
TI - Estimated frailty prevalence among Israeli elderly - results from a cross
sectional national survey.
AB - BACKGROUND: Increasing longevity presents new social and medical challenges in
developed countries. The prevalence of frailty is of interest because of its
association with health prognosis and outcomes, but so far there is no single
best diagnostic tool for this entity. Therefore, estimated prevalence of frailty
in countries varies considerably and ranges between 5% and 58%. In Israel, the
nation-wide prevalence of frailty in the elderly population is presently unknown.
The objective of our study was to assess the rate of the frailty in elderly
Israelis. METHODS: A post-hoc analysis based on the results of a national Health
and Nutrition Survey in Israeli elderly (MABAT Zahav). A non-direct model to
estimate frailty was based on five components that were most similar to the
common frailty assessment suggested by Morley et al. The frailty state was then
reclassified according to different explanatory variables. RESULTS: Data
collected from 1619 subjects (F/M = 52.9/47.1%) with an average age of 74.6 years
were analyzed. Estimated frailty prevalence in the elderly population was 4.9%.
Frail people were more likely to have a lower income, be unemployed and have a
lower education level. Frailty rates were higher in women, in Jews and in
subjects more prone to low physical function. CONCLUSIONS: The estimated frailty
prevalence in the Israeli elderly population, while relatively low, is comparable
to some of the rates suggested in the literature. The factors associated with
frailty in the Israeli population are in accordance with the existing literature.
The suggested model may be helpful in identifying frailty in Israeli elderly.
PMID- 29642950
TI - Melliferous insects and the uses assigned to their products in the northern
Yungas of Salta, Argentina.
AB - BACKGROUND: The order Hymenoptera comprises melliferous insects (bees, wasps and
bumblebees); among them, stingless bees comprise a diverse group of eusocial
insects present in tropical and subtropical areas. Of a total of approximately
500 species, 400 are found in the Neotropics. On the continent of America, before
the introduction of Apis mellifera, these insects represented the main source of
honey and wax. In Argentina, ethnobiological investigations had been carried out
on this group of insects, principally in the Atlantic Forest and Chaco regions.
Out of a total of 33 species, only 14 were recorded for use or breeding. In the
Yungas, however, there are no ethnobiological studies analyzing this group of
species, although the use of their products is mentioned in different
ethnobotanical works. This paper studies the knowledge and uses of melliferous
insects by the inhabitants of the village of Baritu and surrounding. METHOD:
Information on location, management and duties assigned (e.g., preparation and
administration) to deal with bee products like honey, pollen, wax and propolis
was obtained through semi-structured interviews. Besides, reference material was
collected to identify melliferous insects known and used in the region. RESULTS:
Fifteen ethnospecies were identified and grouped locally according to their
defensive behavior. The culturally most important species is the stingless bee
Plebeia sp. nov.-mansita-, in terms of frequency of citations and diversity of
uses, and among those that sting, the honeybee Apis mellifera-extranjera-. Honey,
pollen, wax, and propolis of Plebeia sp. nov. had the highest current frequency
of use. Honey is used in food (incorporated at pure state, as a complement and in
drinks), as nutraceutical food and in medicinal preparations. In addition, it is
an important resource for marketing during the warm season, infusions being the
main mode of administration. Pollen is used as a supplement for food and
alcoholic drinks, wax mainly in candle making, and propolis. CONCLUSION: The data
obtained in this study complements the information available in ethnobotanical
studies carried out in the region. The present study is the first on melliferous
insects in the area. A new species of stingless bee the genus Plebeia was
registered, and it was observed that the known distribution of others has
increased.
PMID- 29642951
TI - Use of oxidized regenerated cellulose to achieve hemostasis during laparoscopic
cholecystectomy: a retrospective cohort analysis.
AB - OBJECTIVE: Laparoscopic cholecystectomy is the first-choice treatment for
symptomatic cholelithiasis. Though generally safe, this procedure is not without
complications, with bleeding the most frequent cause of conversion to open
cholecystectomy. Oxidized regenerated cellulose (ORC) added to conventional
hemostatic strategies, is widely used to control bleeding during surgery despite
limited evidence supporting its use. This retrospective study analyzed patients
undergoing laparoscopic cholecystectomy in an Italian center over a 16-month
period, between October 2014 and February 2016, who experienced uncontrollable
bleeding despite the use of conventional hemostatic strategies, requiring the
addition of ORC gauze (Emosist(r)). RESULTS: Of the 530 patients who underwent
laparoscopic cholecystectomy, 24 (4.5%) had uncontrollable bleeding from the
liver bed. Of these, 62.5% had acute cholecystitis and 33.3% chronic
cholecystitis; 1 patient was diagnosed with gallbladder carcinoma,
postoperatively. Most patients had comorbidities, 16.7% had liver cirrhosis, and
37.5% used oral anticoagulants. The application of ORC rapidly controlled
bleeding in all patients. Patients were discharged after a mean duration of 2.2
days. ORC was easy to use and well tolerated. Bleeding complications remain a
relevant issue in laparoscopic cholecystectomy. ORC was able to promptly stop
bleeding not adequately controlled by conventional methods and appears,
therefore, to be a useful hemostat.
PMID- 29642952
TI - Recombinase polymerase amplification assay combined with a lateral flow dipstick
for rapid detection of Tetracapsuloides bryosalmonae, the causative agent of
proliferative kidney disease in salmonids.
AB - BACKGROUND: The myxozoan Tetracapsuloides bryosalmonae, the causative agent of
proliferative kidney disease (PKD), is responsible for considerable losses in
farmed and wild fish populations in Europe and North America. Recently, T.
bryosalmonae was detected in many European countries, and strategy to control the
disease in the wild and farmed fish population is yet to be developed.
Recombinase polymerase amplification (RPA) is a novel isothermal nucleic acid
amplification technology that does not require any thermal cycling, and lateral
flow dipstick (LFD) is a rapid, cost-effective, and easy-to-handle assay that
enables stable detection. RESULTS: In this study, we developed and optimized a
rapid and sensitive RPA assay combined with an LFD for the detection of T.
bryosalmonae. The PKD-RPA assay was specific to T. bryosalmonae, as no cross
reaction or false positive signals were observed with any of the other tested
DNAs. The developed PKD-RPA assay was ten times more sensitive than an existing
diagnostic polymerase chain reaction (PCR) assay for this parasite. The estimated
time to perform PKD-RPA assay is 25 min compared to 4 h for PKD-PCR assay.
CONCLUSIONS: A novel PKD-RPA assay for the detection of T. bryosalmonae was
developed. The assay offers considerable advantages including speed, sensitivity,
specificity and visual detection. Applying the PKD-RPA assay combined with an LFD
enhances the surveillance and early detection of T. bryosalmonae in salmonids.
PMID- 29642954
TI - Haemaphysalis cretacea a nymph of a new species of hard tick in Burmese amber.
AB - The first fossil potentially assignable to the extant hard tick genus
Haemaphysalis CL Koch (1844) (Ixodida: Ixodidae) is described from the Late
Cretaceous (ca. 99 Ma) Burmese amber of Myanmar. Haemaphysalis (Alloceraea)
cretacea sp. nov. is the oldest and only fossil representative of this genus;
living members of which predominantly feed on mammals. Their typical hosts are
known since at least the Jurassic and the discovery of a mid-Cretaceous parasite,
which might have fed on mammals raises again the question of to what extent ticks
are coupled to their (modern) host groups. An inferred Triassic split of
Argasidae (soft ticks) into the bird-preferring Argasinae and mammal-preferring
Ornithodorinae dates to about the time when dinosaurs (later including birds) and
mammaliaforms as potential hosts were emerging. Ixodidae may have split into
Prostriata and Metastriata shortly after the end-Permian mass extinction, an
event which fundamentally altered the terrestrial vertebrate fauna. Prostriata
(the genus Ixodes) prefer birds and mammals today, and some may have used groups
like cynodonts in the Triassic. Basal metastriate ticks (e.g. Amblyomma) prefer
reptiles, but derived metastriates (including Haemaphysalis) again prefer
mammals. Here, we may be looking at a younger (Cretaceous?) shift associated with
more recent mammalian radiations.
PMID- 29642953
TI - Impact of bone marrow mesenchymal stem cell immunomodulation on the osteogenic
effects of laponite.
AB - BACKGROUND: With the development of osteoimmunology and bone tissue engineering
(BTE), it has been recognized that the immunomodulatory properties of bone
biomaterials have considerable impact in determining their fate after
implantation. In this regard, the polarization of macrophages secondary to
biomaterials is postulated to play a crucial role in modulating their
osteogenesis; thus, strategies that may facilitate this process engender
increasing levels of attention. Whereas a variety of reports highlight the
immunomodulation of bone marrow mesenchymal stem cells (BMSCs) in cell therapy or
their osteogenesis in BTE, few have focused on the effect of BMSCs in promoting
osteogenesis in BTE through regulating the phenotype of macrophages. Accordingly,
there is an urgent need to clarify the immunomodulatory properties of agents such
as laponite (Lap), which is comprised of bioactive silicate nanoplatelets with
excellent osteogenesis-inducing potential, to enhance their use in BTE. METHODS:
In the present study, we analyzed the osteoimmunomodulatory properties of Lap
alone, as well as following the introduction of BMSCs into Lap, to determine
whether BMSCs could modulate its immunomodulatory properties and promote
osteogenesis. RESULTS: It was found that the BMSCs reversed the polarization of
murine-derived macrophage RAW 264.7 cells from M1 as induced by pure Lap to M2
and promoted osteogenesis. In vivo study confirmed that BMSCs combined with Lap
initiated a less severe immune response and had an improved effect on bone
regeneration compared with Lap alone, which corresponded with the in vitro
evaluation. CONCLUSION: These results suggest that BMSCs could ameliorate the
inflammation induced by Lap and enhance its bone formation. The immunomodulatory
characteristics of BMSCs suggest that these might be tailored as a new strategy
to promote the osteogenic capacity of biomaterials.
PMID- 29642955
TI - SUCCESSFUL IMPLEMENTATION OF LEAN AS A MANAGERIAL PRINCIPLE IN HEALTH CARE: A
CONCEPTUAL ANALYSIS FROM SYSTEMATIC LITERATURE REVIEW.
AB - PURPOSE: The aim of this study was to identify and analyze the characteristics of
leadership and management associated with a successful Lean thinking adaptation
in healthcare. DESIGN: A systematic literature review was undertaken using
electronic databases: PubMed, PubMed Systematic Review, ABI/INFORM, Business
Source Complete, Emerald, JBI, and Cinahl. Inclusion criteria were: (i) a
description of Lean management or leadership in health care, (ii) a reference to
Lean thinking, (iii) a peer-reviewed original research article or a literature
review, and (iv) a full text article available in English. Among the 1,754 peer
reviewed articles identified, nine original articles and three systematic reviews
met the inclusion criteria. Data on informants, methods, and settings were
extracted and collated. Content analysis was used to conduct a review of the nine
original studies describing and analyzing the success factors of Lean adaptation.
The characteristics of leadership and management were analyzed by using the
concept of a managerial windshield that divides leadership and management into
four ontological dimensions: activities, style, focus, and purpose, each with
typical developmental stages of skills and capabilities. The current study has
some limitations: some papers from the journals not indexed in the searched
databases may have been overlooked and the literature searches were carried out
only for a 5-year period. FINDINGS: Considering the results using the windshield
concept emphasizes the philosophy, principles, and tools of Lean thinking. Lean
leadership and management factors in health care were mainly conceptualized as
skills and capabilities such as problem solving, making changes occur,
empowering, communicating, coaching, supporting, facilitating, being democratic,
organizational learning, and organizational success, all of which represented
middle-stage or advanced managerial skills and capabilities. PRACTICAL
IMPLICATIONS: A conceptual analysis of systematically reviewed studies of Lean
leadership and management point to certain traits as being typical when adapting
Lean thinking to health care. The concept of a managerial windshield is useful
when categorizing and analyzing essential managerial skills and capabilities for
Lean implementation. Findings are beneficial when learning and educating the
skills required for Lean transformation in healthcare organizations.
PMID- 29642956
TI - The reduced genome of Candidatus Kinetoplastibacterium sorsogonicusi, the
endosymbiont of Kentomonas sorsogonicus (Trypanosomatidae): loss of the haem
synthesis pathway.
AB - Trypanosomatids of the genera Angomonas and Strigomonas (subfamily
Strigomonadinae) have long been known to contain intracellular beta
proteobacteria, which provide them with many important nutrients such as haem,
essential amino acids and vitamins. Recently, Kentomonas sorsogonicus, a
divergent member of Strigomonadinae, has been described. Herein, we characterize
the genome of its endosymbiont, Candidatus Kinetoplastibacterium sorsogonicusi.
This genome is completely syntenic with those of other known Ca.
Kinetoplastibacterium spp., but more reduced in size (~742 kb, compared with 810
833 kb, respectively). Gene losses are not concentrated in any hot-spots but are
instead distributed throughout the genome. The most conspicuous loss is that of
the haem-synthesis pathway. For long, removing haemin from the culture medium has
been a standard procedure in cultivating trypanosomatids isolated from insects;
continued growth was considered as an evidence of endosymbiont presence. However,
we demonstrate that, despite bearing the endosymbiont, K. sorsogonicus cannot
grow in culture without haem. Thus, the traditional test cannot be taken as a
reliable criterion for the absence or presence of endosymbionts in trypanosomatid
flagellates. It remains unclear why the ability to synthesize such an essential
compound was lost in Ca. K. sorsogonicusi, whereas all other known bacterial
endosymbionts of trypanosomatids retain them.
PMID- 29642957
TI - Characterization of mitochondrion-targeted GTPases in Plasmodium falciparum.
AB - Ribosome assembly is critical for translation and regulating the response to
cellular events and requires a complex interplay of ribosomal RNA and proteins
with assembly factors. We investigated putative participants in the biogenesis of
the reduced organellar ribosomes of Plasmodium falciparum and identified
homologues of two assembly GTPases - EngA and Obg that were found in
mitochondria. Both are indispensable in bacteria and P. berghei EngA is among the
'essential' parasite blood stage proteins identified recently. PfEngA and PfObg1
interacted with parasite mitoribosomes in vivo. GTP stimulated PfEngA interaction
with the 50S subunit of Escherichia coli surrogate ribosomes. Although PfObg1
ribosome interaction was independent of nucleotide binding, GTP hydrolysis by
PfObg1 was enhanced upon ribosomal association. An additional function for PfObg1
in mitochondrial DNA transactions was suggested by its specific interaction with
the parasite mitochondrial genome in vivo. Deletion analysis revealed that the
positively-charged OBG (spoOB-associated GTP-binding protein) domain mediates DNA
binding. A role for PfEngA in mitochondrial genotoxic stress response was
indicated by its over-expression upon methyl methanesulfonate-induced DNA damage.
PfEngA had lower sensitivity to an E. coli EngA inhibitor suggesting differences
with bacterial counterparts. Our results show the involvement of two important
GTPases in P. falciparum mitochondrial function, with the first confirmed
localization of an EngA homologue in eukaryotic mitochondria.
PMID- 29642958
TI - Consumption of ultra-processed food and obesity: cross sectional results from the
Brazilian Longitudinal Study of Adult Health (ELSA-Brasil) cohort (2008-2010).
AB - OBJECTIVE: To verify if the intake of ultra-processed foods is associated with
higher BMI and waist circumference (WC) among participants of the Brazilian
Longitudinal Study of Adult Health (ELSA-Brasil) cohort. DESIGN: Cross-sectional
analysis of the ELSA-Brasil baseline (2008-2010). Dietary information obtained
through an FFQ was classified according to characteristics of food processing
(NOVA) and used to estimate the percentage energy contribution from ultra
processed foods (i.e. industrial formulations, elaborated from food processing,
synthetic constituents and food additives) to individuals' total energy intake.
BMI and WC and their respective cut-off points served as response variables.
Associations were estimated through linear and multinomial logistic regression
models, after adjusting for confounders and total energy intake. SETTING: Six
Brazilian capital cities, 2008-2010. SUBJECTS: Active and retired civil servants,
aged 35-64 years, from universities and research organizations (n 8977). RESULTS:
Ultra-processed foods accounted for 22.7 % of total energy intake. After
adjustments, individuals in the fourth quartile of percentage energy contribution
from ultra-processed foods presented (beta; 95 % CI) a higher BMI (0.80; CI 0.53,
1.07 kg/m2) and WC (1.71; 1.02, 2.40 cm), and higher chances (OR; 95 % CI) of
being overweight (1.31; 1.13, 1.51), obese (1.41; 1.18, 1.69) and having
significantly increased WC (1.41; 1.20, 1.66), compared with those in the first
quartile. All associations suggest a dose-response gradient. CONCLUSIONS: Results
indicate the existence of associations between greater energy contribution from
ultra-processed foods and higher BMI and WC, which are independent of total
energy intake. These findings corroborate public policies designed to reduce the
intake of this type of food.
PMID- 29642959
TI - Phylogenetic heritability of geographic range size in haematophagous
ectoparasites: time of divergence and variation among continents.
AB - To understand existence, patterns and mechanisms behind phylogenetic heritability
in the geographic range size (GRS) of parasites, we measured phylogenetic signal
(PS) in the sizes of both regional (within a region) and continental (within a
continent) geographic ranges of fleas in five regions. We asked whether (a) GRS
is phylogenetically heritable and (b) the manifestation of PS varies between
regions. We also asked whether geographic variation in PS reflects the effects of
the environment's spatiotemporal stability (e.g. glaciation disrupting geographic
ranges) or is associated with time since divergence (accumulation differences
among species over time). Support for the former hypothesis would be indicated by
stronger PS in southern than in northern regions, whereas support for the latter
hypothesis would be shown by stronger PS in regions with a large proportion of
species belonging to the derived lineages than in regions with a large proportion
of species belonging to the basal lineages. We detected significant PS in both
regional and continental GRSs of fleas from Canada and in continental GRS of
fleas from Mongolia. No PS was found in the GRS of fleas from Australia and
Southern Africa. Venezuelan fleas demonstrated significant PS in regional GRS
only. Local Indicators of Phylogenetic Association detected significant local
positive autocorrelations of GRS in some clades even in regions in which PS has
not been detected across the entire phylogeny. This was mainly characteristic of
younger taxa.
PMID- 29642961
TI - PREOPERATIVE MAGNETIC RESONANCE IMAGING DIAGNOSTIC FEATURES OF UTERINE
LEIOMYOSARCOMAS: A SYSTEMATIC REVIEW.
AB - OBJECTIVES: There are no current established pathognomonic diagnostic features
for uterine leiomyosarcomas in the pre- or perioperative setting. Recent
inadvertent upstaging of this rare malignancy during laparoscopic morcellation of
a presumed fibroid has prompted widespread debate among clinicians regarding the
safety of current surgical techniques for management of fibroids. This study aims
to conduct a systematic review investigating significant diagnostic features in
magnetic resonance imaging (MRI) of uterine leiomyosarcomas. METHODS: A
comprehensive database search was conducted guided by PRISMA recommendations for
peer-reviewed publications to November 2017. Parameters available in MRI were
compared for reliability and accuracy of diagnosis of leiomyosarcomas. A decision
tree algorithm classifier model was constructed to investigate whether T1 and T2
MRI signal intensities are useful indicators. RESULTS: Nine eligible studies were
identified for analysis. There appears to be a significant relationship between
histopathological type and T1 and T2 intensity signals (p < .05). A decision tree
model analyzing T1 and T2 signal intensity readings supports this trend, with a
diagnostic specificity of 77.78 percent for uterine leiomyosarcomas. The apparent
diffusion coefficient (ADC) values were not observed to have a significant
relationship with tumor pathology (p = .18). CONCLUSIONS: Various studies have
investigated pre- and perioperative techniques in differentiating uterine
leiomyosarcoma from benign fibroids. Given the rarity of the malignancy and lack
of pathognomonic diagnostic parameters, there is difficulty in establishing
definitive criteria. A decision tree model is proposed to aid diagnosis based on
MRI signal intensities.
PMID- 29642962
TI - Leishmaniasis: current challenges and prospects for elimination with special
focus on the South Asian region.
AB - Leishmania donovani, the most virulent species of Leishmania, is found in the
South Asian region that harbours the majority of visceral leishmaniasis (VL)
cases in the world. The traditionally accepted relationships between the
causative species of Leishmania and the resultant disease phenotype have been
challenged during recent years and have underscored the importance of revisiting
the previously established taxonomy with revisions to its classification. The
weak voice of the afflicted with decades of neglect by scientists and policy
makers have led to the miserably inadequate and slow advancements in product
development in the fields of diagnostics, chemotherapeutics and vector control
that continue to hinder the effective management and control of this infection.
Limitations notwithstanding, the regional drive for the elimination of VL
initiated over a decade ago that focused on India, Nepal and Bangladesh, the
three main afflicted countries in the Indian subcontinent is therefore,
commendable, with the subsequent status reviews and restructuring of strategies
possibly even more so. However, the renewed efforts would need to be combined
with plans to combat new challenges in the South-Asian region that includes the
emergence of atypical parasite variants, in order to realistically achieve the
set goal of regional elimination of VL.
PMID- 29642963
TI - Nanoencapsulation of benznidazole in calcium carbonate increases its selectivity
to Trypanosoma cruzi.
AB - Chagas disease is a public health problem, affecting about 7 million people
worldwide. Benznidazole (BZN) is the main treatment option, but it has limited
effectiveness and can cause severe adverse effects. Drug delivery through
nanoparticles has attracted the interest of the scientific community aiming to
improve therapeutic options. The aim of this study was to evaluate the
cytotoxicity of benznidazole-loaded calcium carbonate nanoparticles (BZN@CaCO3)
on Trypanosoma cruzi strain Y. It was observed that BZN@CaCO3 was able to reduce
the viability of epimastigote, trypomastigote and amastigote forms of T. cruzi
with greater potency when compared with BZN. The amount of BZN necessary to
obtain the same effect was up to 25 times smaller when loaded with CaCO3
nanoparticles. Also, it was observed that BZN@CaCO3 enhanced the selectivity
index. Furthermore, the cell-death mechanism induced by both BZN and BZN@CaCO3
was evaluated, indicating that both substances caused necrosis and changed
mitochondrial membrane potential.
PMID- 29642964
TI - Strengthening primary health care through primary care and public health
collaboration: the influence of intrapersonal and interpersonal factors.
AB - : AimThe aim of this paper is to examine Canadian key informants' perceptions of
intrapersonal (within an individual) and interpersonal (among individuals)
factors that influence successful primary care and public health collaboration.
BACKGROUND: Primary health care systems can be strengthened by building stronger
collaborations between primary care and public health. Although there is
literature that explores interpersonal factors that can influence successful
inter-organizational collaborations, a few of them have specifically explored
primary care and public health collaboration. Furthermore, no papers were found
that considered factors at the intrapersonal level. This paper aims to explore
these gaps in a Canadian context. METHODS: This interpretative descriptive study
involved key informants (service providers, managers, directors, and policy
makers) who participated in one h telephone interviews to explore their
perceptions of influences on successful primary care and public health
collaboration. Transcripts were analyzed using NVivo 9.FindingsA total of 74
participants [from the provinces of British Columbia (n=20); Ontario (n=19); Nova
Scotia (n=21), and representatives from other provinces or national organizations
(n=14)] participated. Five interpersonal factors were found that influenced
public health and primary care collaborations including: (1) trusting and
inclusive relationships; (2) shared values, beliefs and attitudes; (3) role
clarity; (4) effective communication; and (5) decision processes. There were two
influencing factors found at the intrapersonal level: (1) personal qualities,
skills and knowledge; and (2) personal values, beliefs, and attitudes. A few
differences were found across the three core provinces involved. There were
several complex interactions identified among all inter and intra personal
influencing factors: One key factor - effective communication - interacted with
all of them. Results support and extend our understanding of what influences
successful primary care and public health collaboration at these levels and are
important considerations in building and sustaining primary care and public
health collaborations.
PMID- 29642960
TI - CYP51 as drug targets for fungi and protozoan parasites: past, present and
future.
AB - The efficiency of treatment of human infections with the unicellular eukaryotic
pathogens such as fungi and protozoa remains deeply unsatisfactory. For example,
the mortality rates from nosocomial fungemia in critically ill, immunosuppressed
or post-cancer patients often exceed 50%. A set of six systemic clinical azoles
[sterol 14alpha-demethylase (CYP51) inhibitors] represents the first-line
antifungal treatment. All these drugs were discovered empirically, by monitoring
their effects on fungal cell growth, though it had been proven that they kill
fungal cells by blocking the biosynthesis of ergosterol in fungi at the stage of
14alpha-demethylation of the sterol nucleus. This review briefs the history of
antifungal azoles, outlines the situation with the current clinical azole-based
drugs, describes the attempts of their repurposing for treatment of human
infections with the protozoan parasites that, similar to fungi, also produce
endogenous sterols, and discusses the most recently acquired knowledge on the
CYP51 structure/function and inhibition. It is our belief that this information
should be helpful in shifting from the traditional phenotypic screening to the
actual target-driven drug discovery paradigm, which will rationalize and
substantially accelerate the development of new, more efficient and pathogen
oriented CYP51 inhibitors.
PMID- 29642965
TI - Host-symbiont-pathogen interactions in blood-feeding parasites: nutrition, immune
cross-talk and gene exchange.
AB - Animals are common hosts of mutualistic, commensal and pathogenic microorganisms.
Blood-feeding parasites feed on a diet that is nutritionally unbalanced and thus
often rely on symbionts to supplement essential nutrients. However, they are also
of medical importance as they can be infected by pathogens such as bacteria,
protists or viruses that take advantage of the blood-feeding nutritional strategy
for own transmission. Since blood-feeding evolved multiple times independently in
diverse animals, it showcases a gradient of host-microbe interactions. While some
parasitic lineages are possibly asymbiotic and manage to supplement their diet
from other food sources, other lineages are either loosely associated with
extracellular gut symbionts or harbour intracellular obligate symbionts that are
essential for the host development and reproduction. What is perhaps even more
diverse are the pathogenic lineages that infect blood-feeding parasites. This
microbial diversity not only puts the host into a complicated situation -
distinguishing between microorganisms that can greatly decrease or increase its
fitness - but also increases opportunity for horizontal gene transfer to occur in
this environment. In this review, I first introduce this diversity of mutualistic
and pathogenic microorganisms associated with blood-feeding animals and then
focus on patterns in their interactions, particularly nutrition, immune cross
talk and gene exchange.
PMID- 29642966
TI - Identifying sociodemographic, programmatic and dietary drivers of anaemia
reduction in pregnant Indian women over 10 years.
AB - OBJECTIVE: Anaemia is a major contributor to the global disease burden and half
of pregnant women in India were anaemic in 2016. The aetiology of anaemia is
complex, yet anaemia determinants are frequently examined in isolation. We sought
to explore how shifts in sociodemographic (wealth, age at pregnancy, education,
open defecation, cooking fuel type, household size), programmatic (iron-folic
acid tablet consumption, antenatal care visits) and dietary factors (intake of
Fe, folic acid, vitamin B12, phytate) predicted changes in anaemia prevalence.
DESIGN: Nutrient levels for eighty-eight food items were multiplied by household
consumption of these foods to estimate household-level nutrient supply. A
synthetic panel data set was created from two rounds of the District Level
Household and Facility Survey (2002-04 and 2012-13) and Household Consumer
Expenditures Survey (2004-05 and 2011-12). Ordinary least-squares multivariate
regression models were used. SETTING: Districts (n 446) spanning north, north
east, central and south India. SUBJECTS: Pregnant women aged 15-49 years (n 17
138). RESULTS: In the model accounting for both non-dietary and dietary factors,
increased age at pregnancy (P<0.001), reduced village-level open defecation
(P=0.001), consuming more Fe (P<0.001) and folic acid (P=0.018) and less phytate
(P=0.002), and urbanization (P=0.015) were associated with anaemia reductions. A
10 mg increase in daily household Fe supply from 2012 levels was associated with
a 10 % reduction in anaemia. CONCLUSIONS: Public health interventions to combat
anaemia in pregnant women should use a holistic approach, including promotion of
delayed marriage, construction and use of toilets, and measures that facilitate
adoption of nutrient-rich diets.
PMID- 29642967
TI - Heritability of Cold and Heat Patterns: A Twin Study.
AB - In traditional East Asian medicine, cold-heat patterns have been widely used in
the diagnosis and treatment of patients suffering from various diseases. The
present study aimed to estimate the heritability of cold-heat patterns. Trained
interviewers administered a cold-heat pattern questionnaire to 1,753 twins (mean
age = 19.1 +/- 3.1 years) recruited throughout South Korea. Correlations for the
cold pattern (CP) were 0.42 (95% CI [0.28, 0.54]) for monozygotic (MZ) males,
0.16 (95% CI [-0.08, 0.39]) for dizygotic (DZ) males, 0.40 (95% CI [0.30, 0.49])
for MZ females, 0.30 (95% CI [0.12, 0.45]) for DZ females, and 0.07 (95% CI [
0.11, 0.25]) for opposite-sex DZ twins. The corresponding twin correlations for
the heat pattern (HP) were 0.38 (95% CI [0.24, 0.51]), -0.22 (95% CI [-0.43,
0.02]), 0.34 (95% CI [0.24, 0.43]), 0.21 (95% CI [0.03, 0.37]), and 0.08 (95% CI
[-0.10, 0.26]), respectively. These patterns of twin correlations suggested
significant genetic effects on the HP and the CP. Model-fitting analysis revealed
that heritability estimates in both sexes were 40% (95% CI [38, 42]) for the CP
and 33% (95% CI [25, 42]) for the HP, with the remaining variances attributable
to unique environmental variances. These estimates did not vary significantly
with age during adolescence and young adulthood.
PMID- 29642968
TI - Review: Historical and futuristic developments in bovine semen technology.
AB - Up to the 18th century, the prevailing view of reproduction, or 'generation' as
it was referred to, was that organisms develop from miniatures of themselves,
termed preformation. The alternative theory, epigenesis, proposed that the
structure of an animal emerges gradually from a relatively formless egg. The
teachings of the Ancient Greeks who argued either that both sexes each
contributed 'semen' to form the embryo, or held a more male-centred view that the
female merely provided fertile ground for the male seed to grow, dominated
thinking until the 17th century, when the combined work of numerous scholars led
to the theory that all female organisms, including humans, produced eggs. The
sequence of events leading to the commercial use of artificial insemination (AI)
date back to the discovery of sperm in 1678, although it took almost 100 years to
demonstrate that sperm were the agents of fertilisation and a further 100 years
for the detailed events associated with fertilisation to be elucidated. The first
successful AI, carried out in the dog, dates back to 1780 while it was not until
the early to mid-1900s that practical methods for AI were described in Russia.
Inspired by the Russian success, the first AI cooperative was established in
Denmark in 1936 and later in the United States in 1938. The next major advances
involved development of semen extenders, addition of antibiotics to semen, and
the discovery in 1949 that glycerol protected sperm during cryopreservation.
Almost four decades later, the flow cytometric separation of X- and Y-bearing
sperm opened a new chapter in the application of AI for cattle breeding. As we
look forward today, developments in imaging sperm and breakthroughs in gene
editing and stem cell technology are opening up new possibilities to manipulate
reproduction in a way never thought possible by the pioneers of the past. This
review highlights some of the main milestones and individuals in the history of
sperm biology and the development of technologies associated with AI in cattle.
PMID- 29642969
TI - Gametogony of Eimeria macusaniensis Guerrero, Hernandez, Bazalar and Alva, 1971
in llama (Lama glama).
AB - Camelids (llama, alpaca, vicunas, guanacos) are important for the economy of
South America and Eimeria infections are an important cause of mortality in
camelids. Of the six species of Eimeria in camelids, Eimeria macusaniensis,
considered the most pathogenic, is distinctive; its oocysts are the largest among
all Eimeria species in animals, its prepatent period is more than 1 month, and
its oocysts have been found in mummies from prehistoric times. Although, E.
macusaniensis gametogonic stages are found associated with enteritis in naturally
infected camelids, the schizogonic stages are unknown and clinical disease has
been reported in some camelids with no oocysts in feces. Described herein are
morphological details of gametogonic development and oocyst formation of E.
macusaniensis in a naturally infected llama (Lama lama), solely infected with
this parasite. Microgamonts, macrogamonts and oocysts were located in large (up
to 300 um diameter) parasitophorous vacuoles of enterocytes in the ileum.
Schizonts were not found. Review of previous reports suggests that multinucleated
microgamonts have been mistaken for schizonts. Gametogonic development described
in the present study can serve as a guide for differential diagnosis of Eimeria
species in the histological sections of intestines.
PMID- 29642971
TI - Pragmatic implementation studies to improve nutrition practices and policies:
childcare during the first 1000 days as a contributor to long-term health.
PMID- 29642970
TI - Work aggravated asthma in Great Britain: a cross-sectional postal survey.
AB - OBJECTIVE: Work aggravated asthma (WAA), asthma made worse by but not caused by
workplace exposures, can have a negative impact on personal, social, financial
and societal costs. There is limited data on prevalence levels of WAA in Great
Britain (GB). The objective of this study was to estimate the prevalence of WAA
in GB, and to assess its potential causes.Materials and methodsA cross-sectional
postal questionnaire study was carried out. A total of 1620 questionnaires were
sent to three populations of adults with asthma. The questionnaire recorded;
demographic details, current job, self-reported health status, presence of asthma
and respiratory symptoms, duration and severity of symptoms and medication
requirements. Questions relating to work environment and employers' actions were
included, and each participant completed an assessment of health-related quality
of life using the EuroQol Research Foundation EQ-5D. RESULTS: There were 207
completed questionnaires; response rates were 6% primary care, 45% secondary care
and 71% Asthma UK. This represented a 13% overall response rate. Self-reported
prevalence of WAA was 33% (95% CI 24.4-41.6%). In all, 19% of workers had changed
their job because of breathing problems. Workers with WAA reported higher levels
of work-related stress. Quality of life using the EQ-5D utility index was lower
in those with WAA. CONCLUSION: WAA is a common problem in asthmatics in GB. This
result is in keeping with international prevalence rates. Further research could
assist the understanding of the most significant aggravants to asthma at work and
help define appropriate interventions by workplaces.
PMID- 29642972
TI - The Association of Intrapair Birth-Weight Differences With Internalizing and
Externalizing Behavior Problems.
AB - Problem behaviors are of increasing public health concern. Twin studies have
revealed substantial genetic and environmental influences on children's behavior,
and examining birth-weight difference could allow the identification of the
specific contribution of multiple non-shared prenatal environmental factors. The
Twins and Multiple Births Association Heritability Study, a UK, volunteer-based
study, recruited mothers of twins aged 18 months to 5 years; 960 twins (480
pairs) were included in the analysis. Twins' mothers answered questions relative
to their pregnancy and their twins' characteristics, and completed the Child
Behavior Checklist (CBCL) 11/2-5. The association between the absolute birth
weight difference and each CBCL scale's score difference was analyzed by means of
multiple linear regressions. Expected mean CBCL score differences were
calculated. In monozygotic (MZ) twins, statistically and clinically significant
associations were found between intrapair birth-weight difference and difference
in total problems, internalizing problems, and emotional reactiveness. No
significant results were observed neither in dizygotic (DZ) twins when analyzed
as a separate group nor in MZ and DZ twins combined. The results of the present
study suggest that with increasing the absolute birth-weight difference, the
intrapair difference in total problems, internalizing behaviors and emotionality
increases, with smaller twins being at major risk for later behavior problems.
Moreover, these results suggest a causal association between birth weight and
behavior development.
PMID- 29642975
TI - Management of a Complex Case of a Bronchopleural Fistula.
PMID- 29642973
TI - Enhancing health literacy through co-design: development of culturally
appropriate materials on genetic risk and customary consanguineous marriage.
AB - : AimTo develop a simple health literacy intervention aimed at supporting
informed reproductive choice among members of UK communities practising customary
consanguineous marriage. BACKGROUND: The contribution of 'health literacy' to
reducing health inequalities and improving primary health-care efficiency is
increasingly recognised. Enhancing genetic literacy has received particular
attention recently. Consanguineous marriage is customarily practised among some
UK minority ethnic communities and carries some increased risk of recessive
genetic disorders among offspring compared with unions among unrelated partners.
The need to enhance genetic literacy on this issue has been highlighted, but no
national response has ensued. Instead, a range of undocumented local responses
are emerging. Important knowledge gaps remain regarding how the development and
implementation of culturally appropriate, effective and sustainable responses can
be achieved. METHODS: Our co-design approach involved active participation by
local people. Initial insight generation employed six focus group discussions and
14 individual interviews to describe current understandings and information
needs. A total of 11 personas (heuristic narrative portraits of community
'segments') resulted; four participatory workshops provided further understanding
of: preferred information channels; feasible information conveyance; and
responses to existing materials. Prototype information resources were then
developed and feedback gathered via two workshops. Following further refinement,
final feedback from health-care professionals and community members ensured
accuracy and appropriateness.FindingsThe project demonstrated the utility of co
design for addressing an issue often considered complex and sensitive. With
careful planning and orchestration, active participation by diverse community
members was achieved. Key learning included: the importance of establishing
trusting and respectful relationships; responding to diversity within the
community; and engendering a creative and enjoyable experience. The resultant
materials were heavily shaped by local involvement. Evaluative work is now needed
to assess impacts on knowledge and service uptake. Longer term sustainability
will depend on whether innovative community-level work is accompanied by broader
strategy including investment in services and professional development.
PMID- 29642976
TI - Thoracic Impalement by a Steel Reinforcing Bar.
PMID- 29642977
TI - Curative Resection for Hilar Cholangiocarcinoma: Single-Center Experience with
Long-Term Follow-Up.
PMID- 29642978
TI - Preprocedural Fasting: Is it Time to Change Practice?
PMID- 29642980
TI - Suprapubic Midline Extraperitoneal Approach for Widespread Retroperitoneal
Abscess Originating from Anorectal Abscess.
PMID- 29642979
TI - Creatinine Kinase and Stimulant Abuse in Trauma.
PMID- 29642981
TI - Thoracic Endovascular Repair and Omental Flap Revision of Aortopulmonary Fistula
Involving Aortic Pseudoaneurysm.
PMID- 29642984
TI - The Effect of Regional Anesthesia on Oncologic Outcomes after Resection of
Colorectal Hepatic Metastases.
PMID- 29642985
TI - Retained Capsule Endoscopy in a Patient with a Meckel Diverticulum Previously
Treated by Endovascular Embolization.
PMID- 29642986
TI - Academic versus Clinical Productivity of (Elite) United States Transplant
Surgeons: Who Publishes More and Who Operates More.
PMID- 29642988
TI - Status of lymphatic filariasis with progression of age and gender & eradication
strategies: A survey among residents of Hardoi district of Uttar Pradesh, an
endemic region of North India.
AB - Lymphatic filariasis (LF) is a chronic and debilitating disease that affects
people in tropical and sub-tropical areas of Asia, Africa, and Western Pacific.
It is one of the leading community health problems in some of the endemic
districts in India including Hardoi district of Uttar Pradesh. The disease is
caused by the parasites Wuchereria bancrofti (W. bancrofti), Brugia malayi (B.
malayi) and Brugia timori (B. timori), transmitted by the vector Culex, Anopheles
and other mosquitoes. This cross-sectional survey study was carried out in rural
areas, where its inhabitants vary in socio-economic status, from low to middle
income class. 12 villages of Hardoi district, Uttar Pradesh, India were included.
The aim was to see the impact of age and gender on various clinical forms of LF
and in estimating its economic and social implications. 260 LF affected people in
different parts of Hardoi district were surveyed. The results revealed that the
Mass Drug Administration (MDA) coverage reached more than 90%. The overall
Microfilaria rate had been reduced, however the prevalence of elephantiasis
increased with the progression of age and was found to be highest among people of
>70 years of age, regardless of their gender.
PMID- 29642989
TI - Cardiogenic Causes of Fever.
AB - BACKGROUND: Persistent fever of unknown cause is only rarely of cardiac origin,
but heart disease must be considered in the differential diagnosis. Aside from
endocarditis, pericarditis and various other conditions may be responsible.
METHODS: This review is based on pertinent articles retrieved by a selective
search in PubMed and Google Scholar employing the term "fever" in combination
with "myocardial infarction," "pericarditis," "endocarditis," and "postcardiac
injury," with additional consideration of current cardiological guidelines.
RESULTS: Endocarditis is associated with fever in 90% of cases, but 25-50% of
patients also develop high body temperatures after acute myocardial infarction.
In pericarditis, a temperature above 38 degrees C indicates a poorer prognosis;
if accompanied by other warning signs, it is an indication for hospitalization
and pericardiocentesis. Fever can arise after cardiac surgical procedures as a
manifestation of post - cardiotomy syndrome, a special type of perimyocarditis.
There may be a latency period of up to 3 months. CONCLUSION: Fever can have both
infectious and non-infectious cardiac causes. Its interpretation depends on the
clinical context. The evidence base for treatment is sparse, and controlled
trials are needed.
PMID- 29642991
TI - No Panacea in Sight.
PMID- 29642992
TI - In Reply.
PMID- 29642990
TI - Oral Interventions for Obstructive Sleep Apnea.
AB - BACKGROUND: The effectiveness of intraoral appliances (IOA), maxillary expansion
(ME), and maxillomandibular advancement (MMA) in the treatment of children and
adults with obstructive sleep apnea (OSA) has not yet been adequately assessed.
METHODS: An umbrella review was performed based on established guidelines for
evidence-based medicine. Data synthesis was performed only from randomized
controlled trials with Paule-Mandel random-effects meta-analyses / meta
regressions using mean differences (MDs) and 95% confidence intervals (CIs) and
was followed by the qualitative evaluation of the meta-evidence. RESULTS: 29
systematic reviews were included, 7 of which provided quantitative data. IOA were
effective in improving apnea hypopnea index (AHI) compared to both, placebo
appliances (12 trials; 525 patients; MD = -11.70; 95% CI: [-15.38; -8.01];
p<0.001) and no treatment (1 trial; 24 patients; MD = -14.30; [-21.59; -7.01];
p<0.001). Only the former comparison was supported by robust meta-evidence.
Effectiveness of IOA as measured by the Epworth Sleepiness Scale, on the other
hand, was not supported by robust meta-evidence. No randomized or prospective
controlled trials were found on the effectiveness of ME (conventional or
surgically assisted) and MMA. CONCLUSION: Intraoral appliances are effective in
reducing AHI and their use is substantiated by robust evidence. There is no
evidence from high-quality research to support treatment with ME (conventional or
surgically assisted) or MMA in patients with OSA.
PMID- 29642993
TI - A 21-Year-Old Man With Coronary Artery Dissection After Blunt Trauma to the
Chest.
PMID- 29642994
TI - Questions upon Questions.
PMID- 29642995
TI - In Reply.
PMID- 29642996
TI - Retraction: A mathematical model explains saturating axon guidance responses to
molecular gradients.
PMID- 29642997
TI - Gender differences in symptom presentation of ST-elevation myocardial infarction
An observational multicenter survey study.
AB - BACKGROUND: Symptom presentation has been sparsely studied from a gender
perspective restricting the inclusion to ST elevation myocardial infarction
(STEMI) patients. Correct symptom recognition is vital in order to promptly seek
care in STEMI where fast reperfusion therapy is of utmost importance. Female
gender has been found associated with atypical presentation in studies on mixed
MI populations but it is unclear whether this is valid also in STEMI. OBJECTIVES:
We assessed whether there are gender differences in symptoms and interpretation
of these in STEMI, and if this is attributable to sociodemographic and clinical
factors. METHODS: SymTime was a multicenter observational study including a
validated questionnaire and data from medical records. Eligible STEMI patients (n
= 532) were enrolled within 24 h after admittance at five Swedish hospitals.
RESULTS: Women were older, more often single and had lower educational level.
Chest pain was less prevalent in women (74 vs 93%, p < 0.001), whereas shoulder
(33 vs 15%, p < 0.001), throat/neck (34 vs 18%, p < 0.001), back pain (29 versus
12%, p < 0.001) and nausea (49 vs 29%, p < 0.001) were more prevalent. Women less
often interpreted their symptoms as of cardiac origin (60 vs 69%, p = 0.04).
Female gender was the strongest independent predictor of non-chest pain
presentation, odds ratio 5.29, 95% confidence interval 2.85-9.80. CONCLUSIONS: A
striking gender difference in STEMI symptoms was found. As women significantly
misinterpreted their symptoms more often, it is vital when informing about MI to
the society or to high risk individuals, to highlight also other symptoms than
just chest pain.
PMID- 29642998
TI - Burden of arrhythmia in recreational marijuana users.
AB - Marijuana or Cannabis is extensively used as a recreational substance globally.
Case reports have reported cardiac arrhythmias immediately following recreational
marijuana use. However, the burden of arrhythmias in hospitalized marijuana users
have not been evaluated through prospective or cross-sectional studies.
Therefore, we planned to measure temporal trends of the frequency of arrhythmias
in hospitalized marijuana users using National Inpatient Sample (NIS) database in
the United States.
PMID- 29642999
TI - Treatment of stress urinary incontinence after prostatectomy with the adjustable
transobturator male system (ATOMS(r)) with preattached scrotal port.
AB - INTRODUCTION: Stress urinary incontinence (SUI) is a significant sequela of
prostate cancer surgery. In this article, we present the surgical technique and
safety and efficacy of the adjustable transobturator male system (ATOMS(r)) with
preattached scrotal port. MATERIAL AND METHOD: An open prospective study was
conducted at a university hospital with the main objective of changing the
baseline condition after adjustment in the daily pad count and their wet weight
(pad test). The secondary objectives were the quality-of-life assessment
(International Consultation on Incontinence Questionnaire-Short Form [ICIQ-SF]
and Incontinence Impact Questionnaire-7 [IIQ-7], baseline and after the
adjustment), patient-perceived results (Patient Global Index [PGI] and Global
Response Assessment [GRA] at 1 year) and assessment of complications according to
Clavien-Dindo. The numerical values are expressed in median +/- IQR. RESULTS: We
analysed 60 consecutive patients with a follow-up of 21+/-22 months. The baseline
pad-test was 465+/-450mL, and the pad-count was 5+3 pads/day. The baseline SUI
was mild (11.6% of patients), moderate (25%) and severe (63.3%). The operative
time was 60+/-25min, the hospital stay was 1+/-0 days, and the visual analogue
scale of pain on day 1 after surgery was 0+/-1. The total filling was 16.5+/-7mL,
and the number of refillings was 1+/-2. The pad-test and pad-count after the
adjustment were 0+/-20mL and 0+/-1, respectively (both p<.0001 compared with
baseline). SUI disappeared (81.7%) or remained mild (11.7%), moderate (5%) or
severe (1.6%). We observed a reduction in the ICIQ-SF (p<.0001) and IIQ-7 scores
(p=.0003). Both continence (p=.002) and satisfaction (p=.03) were lower in the
irradiated patients. Complications occurred in 11 cases (18.6%), 8 (13.5%) of
which were grade I and 3 (5.1%) of which were grade 3. The treatment satisfaction
rate was 91.7%, and the patient-perceived overall improvement at 1 year was
highly pronounced (PGI-I score, 1+/-1; GRA, 6+/-1). CONCLUSIONS: SUI treatment of
men using third-generation ATOMS(r) is safe and effective in the short-term, even
in patients with severe SUI. The rate of dry patients after the adjustment
exceeded 80%, and the satisfaction rates exceeded 90%. The patients assessed this
treatment highly positively.
PMID- 29643000
TI - The Therapeutic Challenge of Rare Diseases.
PMID- 29643001
TI - Immune-cell BDNF expression in treatment-naive relapsing-remitting multiple
sclerosis patients and following one year of immunomodulation therapy.
AB - Although neurons are the main source of neurotrophins in the healthy brain,
neurotrophins can also be expressed in the immune system. We have previously
shown that in relapsing-remitting multiple sclerosis (RRMS) lower immune-cell
neurotrophin levels are associated with brain atrophy and cognitive impairment.
The aim of the present study was to assess if immune-cell neurotrophin expression
is impaired in MS as compared with the healthy controls, and to describe if these
levels change in treatment-naive RRMS patients, following one year of
immunomodulation. Fifty treatment-naive RRMS patients were assessed at baseline
and after one year of immunomodulation (beta-interferons/glatiramer acetate). The
control group included 39 healthy subjects matched according to age and gender.
Peripheral blood mononuclear cells (PBMCs) were isolated from heparinized blood
using Ficoll-Histopaque gradient. The levels of brain-derived-neurotrophic-factor
(BDNF), beta-nerve-growth-factor (beta-NGF), neurotrophin-3 (NT-3) and
neurotrophin-4/5 (NT-4/5) were measured in PBMC lysates with ELISA. BDNF levels
were significantly lower in MS than in the healthy controls (median 613 vs.
1657pg/mg protein, p<0.001). After one year of immunomodulation, BDNF expression
did not change significantly (p=0.06) on the group level. In 70% of patients
there was no increase in BDNF level, and in 30% it increased. We observed no
differences between treatment groups. Other neurotrophins were detected in a
minority of MS samples (as opposed to the controls). To conclude, we have shown
that immune-cell production of neurotrophins is impaired in MS patients. In our
MS cohort standard immunomodulation failed to restore normal BDNF levels in PBMCs
within one year of therapy.
PMID- 29643002
TI - 3D printing of a lithium-calcium-silicate crystal bioscaffold with dual
bioactivities for osteochondral interface reconstruction.
AB - It is difficult to achieve self-healing outcoming for the osteochondral defects
caused by degenerative diseases. The simultaneous regeneration of both cartilage
and subchondral bone tissues is an effective therapeutic strategy for
osteochondral defects. However, it is challenging to design a single type of
bioscaffold with suitable ionic components and beneficial osteo/chondral
stimulation ability for regeneration of osteochondral defects. In this study, we
successfully synthesized a pure-phase lithium calcium silicate (Li2Ca4Si4O13,
L2C4S4) bioceramic by a sol-gel method, and further prepared L2C4S4 scaffolds by
using a 3D-printing method. The compressive strength of L2C4S4 scaffolds could be
well controlled in the range of 15-40 MPa when pore size varied from 170 to 400
MUm. L2C4S4 scaffolds have been demonstrated to possess controlled
biodegradability and good apatite-mineralization ability. At a certain
concentration range, the ionic products from L2C4S4 significantly stimulated the
proliferation and maturation of chondrocytes, as well as promoted the osteogenic
differentiation of rBMSCs. L2C4S4 scaffolds simultaneously promoted the
regeneration of both cartilage and subchondral bone as compared to pure beta-TCP
scaffolds in rabbit osteochondral defects. These findings suggest that 3D-printed
L2C4S4 scaffolds with such specific ionic combination, high mechanical strength
and good degradability as well as dual bioactivities, represent a promising
biomaterial for osteochondral interface reconstruction.
PMID- 29643003
TI - A review of the surface features and properties, surfactant adsorption and
floatability of four key minerals of diasporic bauxite resources.
AB - Diasporic bauxite represents one of the major aluminum resources. Its upgrading
for further processing involves a separation of diaspore (the valuable mineral)
from aluminosilicates (the gangue minerals) such as kaolinite, illite, and
pyrophyllite. Flotation is one of the most effective ways to realize the
upgrading. Since flotation is a physicochemical process based on the difference
in the surface hydrophobicity of different components, determining the adsorption
characteristics of various flotation surfactants on the mineral surfaces is
critical. The surfactant adsorption properties of the minerals, in turn, are
controlled by the surface chemistry of the minerals, while the latter is related
to the mineral crystal structures. In this paper, we first discuss the crystal
structures of the four key minerals of diaspore, kaolinite, illite, and
pyrophyllite as well as the broken bonds on their exposed surfaces after
grinding. Next, we summarize the surface chemistry properties such as surface
wettability and surface electrical properties of the four minerals, and the
differences in these properties are explained from the perspective of mineral
crystal structures. Then we review the adsorption mechanism and adsorption
characteristics of surfactants such as collectors (cationic, anionic, and mixed
surfactants), depressants (inorganic and organic), dispersants, and flocculants
on these mineral surfaces. The separation of diaspore and aluminosilicates by
direct flotation and reverse flotation are reviewed, and the collecting
properties of different types of collectors are compared. Furthermore, the
abnormal behavior of the cationic flotation of kaolinite is also explained in
this section. This review provides a strong theoretical support for the
optimization of the upgrading of diaspore bauxite ore by flotation and the early
industrialization of the reverse flotation process.
PMID- 29643004
TI - Association between Mediterranean diet and hand grip strength in older adult
women.
AB - BACKGROUND & AIMS: Mediterranean Diet (MD) is an eating pattern associated with
multiple healthy benefits, including the conservation of skeletal muscle. Frailty
is a major geriatric syndrome characterized by low muscle strength. The Hand Grip
Strength (HGS) is the most frequently used indicator of muscle functional
capacity for clinical purposes. The association between the adherence to the MD
and HGS in elderly has not yet fully investigated. The goal of this study was to
examine the association between the adherence to the MD and HGS in a not
hospitalized elderly who participated in the project PERsonalised ict Supported
Services for Independent Living and Active Ageing (PERSSILAA). METHODS: Eighty
four elderly women were consecutively enrolled (aged 60-85 years) in this cross
sectional observational study. Anthropometric measures were evaluated. A
validated 14-item questionnaire PREDIMED (PREvencion con DIeta MEDiterranea) was
used for the assessment of adherence to the MD. Dietary data were collected by a
7-day food records. Muscle strength was measured by HGS using a grip strength
dynamometer (KERN & SOHN GmbH). RESULTS: The majority of participants were
overweight (46.4%). An average adherence to the MD was found in 52.4% of
participants, while the minority of them showed a low adherence (21.4%). HGS >
cut-point of 20 kg were found in 43 subjects (51.2%). According to the adherence
to MD, 39% participants with HGS values higher than cut-point presented a high
adherence score compared with 14% of those with lower values of HGS (p = 0.018).
The participants with HGS > cut-point presented significantly higher PREDIMED
score than those with HGS < cut-point (p < 0.001). Based on ROC curves, the most
sensitive and specific cut-point for the PREDIMED score to predict HGS categories
was >=8. No evident correlations were observed between HGS and age, while HGS was
negatively correlated with hip circumference (r = -0.233, p = 0.033) and BMI (r =
-0.219, p = 0.045), and positively correlated with PREDIMED score (r = 0.598, p <
0.001). At binomial logistic regression analysis almost all 14-items of PREDIMED
questionnaire were significantly associated with HGS adjusted for BMI. At
multinomial logistic regression analysis to assess the association of the three
classes of adherence to the MD with the HGS, after adjusting for BMI the lowest
adherence to MD was associated with the lowest Odds Ratio of HGS (p < 0.001).
CONCLUSIONS: This study evidenced a positive association between the adherence to
the MD and muscle strength in a sample of active elderly women, stratified
according to the HGS > cut-point of 20 kg. Our study highlights the usefulness of
the developing health services to detect and prevent age-associated decline in
physical performance in elderly subjects by addressing nutritional and physical
intervention.
PMID- 29643005
TI - A case report of orbital Langerhans cell histiocytosis presenting as a orbital
cellulitis.
AB - CLINICAL CASE: A 10-year-old girl was seen with a 3-week history of right upper
lid swelling and with no other symptoms or fever. There was no recent history of
sinusitis, trauma, or previous infection involving the periorbital area, or
response to oral antibiotic treatment. Orbital computed tomography showed a
lesion involving the upper margin of the orbit, and bone destruction at the
orbital roof. Biopsy performed revealed the presence of Langerhans cell
Histiocytosis. The lesion was surgically debulked and corticosteroids were used
intra-operatively. The lesion responded to treatment. DISCUSSION: The orbital
involvement of Langerhans cell histiocytosis, despite its low incidence, should
be considered in the examination of acute peri-orbital swelling. It usually
presents as an osteolytic lesion, and it is confirmed with a histological
examination and immunohistochemical techniques for CD1a and S100. An
interdisciplinary approach is recommended to rule out multifocal or multisystemic
diseases, as well as to develop an appropriate treatment strategy.
PMID- 29643006
TI - Cerebellar degeneration and progressive ataxia associated with HIV-virus
infection.
AB - INTRODUCTION: The spectrum of neurologic disorders associated with HIV infection
is very broad, resulting from direct virus invasion, opportunistic infections,
malignancies and toxic effects of drugs. METHODS: Among a large cohort of ataxia
patients (N = 1050) evaluated between 2008 and 2017, we detected four patients
with HIV-infection who developed a pure progressive cerebellar ataxia syndrome
combined with cerebellar atrophy. RESULTS: Adverse drug effects, opportunistic
infections and malignancies as well as immune-reconstitution syndrome were ruled
out based on history and laboratory data. The exact pathophysiological mechanisms
of ataxia in HIV patients is not very clear, but seems to be immune-mediated or a
direct neurotoxic virus effect leading to apoptosis of Purkinje and granular
cells. CONCLUSION: HIV infection should be investigated in adult patients with
undetermined sporadic progressive pure ataxia with cerebellar atrophy.
PMID- 29643008
TI - Patterned cortical atrophy in early Parkinson's Disease: The potential for
unbiased, data driven neuroimaging to detect structural changes in de novo
patients.
PMID- 29643007
TI - The diagnostic accuracy of the hummingbird and morning glory sign in patients
with neurodegenerative parkinsonism.
AB - INTRODUCTION: The hummingbird sign and the morning glory flower sign, reflecting
midbrain pathology on MRI, have previously been shown to separate patients with
progressive supranuclear palsy (PSP) from those with Parkinson's disease (PD) and
multiple system atrophy (MSA). The aim of the present study was to determine the
diagnostic accuracy and reproducibility of visual assessment of midbrain atrophy
patterns in a large cohort of patients with neurodegenerative parkinsonism.
METHODS: Retrospective analysis of midbrain atrophy patterns on T1-weighted MRI
in a large cohort of patients with neurodegenerative parkinsonism and healthy
controls who underwent MR imaging during their diagnostic work-up. RESULTS: 481
patients with neurodegenerative parkinsonism and 79 healthy controls were
included in the present study. The presence of the hummingbird sign had a
specificity of 99.5% and a positive predictive value of 96.1% for a diagnosis of
PSP while sensitivity was suboptimal with 51.6%. Similarly, the presence of the
morning glory flower sign yielded a specificity of 97.7% for a diagnosis of PSP,
but sensitivity was only 36.8%. Sensitivity of both signs was 35.3% in early,
clinically unclassifiable parkinsonism. Visual assessment of these midbrain
alterations showed excellent inter-rater agreement. CONCLUSION: Midbrain atrophy
patterns are useful in the differential diagnosis of neurodegenerative
parkinsonism but both the hummingbird sign and more so the morning glory flower
sign suffer from low sensitivity, especially in early disease stages.
PMID- 29643009
TI - Development of a novel recycling system for waste cathode ray tube funnel glass
based on the integration of nanoscale Fe0 with ball milling.
AB - A novel and effective system was developed for recycling cathode ray tube (CRT)
funnel glass wastes. Initially, the combination of nanoscale Fe0 with ball
milling promoted lead transfer that was strongly encapsulated in the glass inner
structure to the surface of funnel glass and/or adhere to iron substance due to
the collapse of SiO bonds. This condition enhanced the dissolution of lead in the
acid solution. A high lead extraction rate of 97.8% from funnel glass was
achieved through nitric acid leaching by optimizing the operational parameters
(Fe0/funnel glass mass ratio, 0.5:1; ball milling time; 72 h). Subsequently, lead
sulfate, iron hydroxides, and sodium nitrate were gradually recovered from the
acid leachate by using three simple operations, namely, sulfation, alkali
neutralization, and salt evaporation. Meanwhile, the leaching results of short
term toxicity characteristic leaching (TCLP) and long-term multiple extraction
procedures (MEP) clearly demonstrated that the residual high silica products
(after acid leaching) had no impact on the environment and could be used to
synthesize high value-added zeolites as raw materials. With the addition of Al
sources, the complete conversion of high silica residues into high crystalline
zeolites with high cation exchange capacity value was realized by applying an
alkaline fusion method during the hydrothermal treatment. Furthermore, lead, NO
3, and SO2-4 concentrations of the resulting drainage were considerably lower
than the relevant standard for surface water quality. Therefore, the proposed
recycling system provided an eco-friendly and feasible technique for complete
reutilization of obsolete CRT funnel glass.
PMID- 29643010
TI - Development of the global schizophrenia research under epidemiological and socio
economic influences.
PMID- 29643011
TI - The effect of antiretroviral intensification with dolutegravir on residual virus
replication in HIV-infected individuals: a randomised, placebo-controlled, double
blind trial.
AB - BACKGROUND: Whether ongoing virus replication occurs in HIV-infected individuals
on antiretroviral therapy (ART) is unclear; therefore, whether residual virus
replication is a barrier to achieving a cure for HIV is also unknown. We aimed to
establish whether ART intensification with dolutegravir would reveal or affect
residual virus replication in HIV-infected individuals on suppressive treatment.
METHODS: In this randomised, placebo-controlled, double-blind trial, we enrolled
HIV-infected adults (aged 18 years and older) receiving combination ART (at least
three agents) for at least 3 years from the Alfred Hospital and Melbourne Sexual
Health Centre, Melbourne, VIC, Australia. Eligible participants had fewer than 50
copies per mL HIV-1 plasma RNA for more than 3 years and fewer than 20 copies per
mL at screening and two CD4 counts higher than 350 cells per MUL in the previous
24 months including screening. Participants were randomly assigned (1:1) to
receive 50 mg oral dolutegravir or placebo once a day for 56 days in addition to
background ART. Follow-up was done at days 1, 3, 7, 14, 28, 56, and 84. The
primary outcome was the change from baseline in frequency of 2-long terminal
repeat (2-LTR) circles in peripheral blood CD4 cells at day 7. This trial is
registered with ClinicalTrials.gov, number NCT02500446. FINDINGS: Between Sept
21, 2015, and Sept 19, 2016, 46 individuals were screened for inclusion. 40 were
eligible for inclusion and were randomly assigned to the dolutegravir (n=21) or
placebo group (n=19). All enrolled participants completed the study procedures
and no individuals were lost to follow up. All participants were on suppressive
ART with 12% receiving protease inhibitors and the others non-nucleoside reverse
transcriptase inhibitors. Median 2-LTR circles fold-change from baseline to day 7
was -0.17 (IQR -0.90 to 0.90) in the dolutegravir group and -0.26 (-1.00 to 1.17)
in the placebo group (p=0.17). The addition of dolutegravir to pre-existing ART
regimens was safe and there were no treatment discontinuations or treatment
related serious adverse events. INTERPRETATION: Our findings show that in HIV
infected individuals on modern suppressive ART regimens, residual replication is
rare, if at all present, and was not recorded in blood after dolutegravir
intensification. Because tissue biopsies were not done we cannot exclude the
possibility of residual virus replication in tissue. Strategies other than ART
alone are needed to eliminate HIV persistence on treatment. FUNDING: ViiV
Healthcare.
PMID- 29643012
TI - Dolutegravir intensification and HIV persistence: 3 + 1 = 3.
PMID- 29643014
TI - The Real World Dilemma.
PMID- 29643015
TI - About Image Manipulation of the CTA on Software to Simulate the Appropriate Intra
operative C-arm Position.
PMID- 29643013
TI - Circulating Micrornas Predict Survival of Patients with Tumors of Glial Origin.
AB - The World Health Organization has recently introduced molecular prognostic
diagnostic biomarkers in the classification of Central Nervous System (CNS)
tumors. In order to characterize subclasses of tumors that cannot find a precise
location in the current classification, and, or cannot be tested because of scant
material, it is important to find new molecular biomarkers in tissue and, or
biological fluid samples. In this study, we identified serum microRNAs that could
serve as biomarkers for the diagnosis and prognosis of patients with tumors of
glial origin. We retrospectively analyzed microRNA expression in the serum
extracellular vesicles of patients with tumors of glial origin. Extracellular
vesicles RNA was analyzed by Nanostring. qRT-PCR confirmed 6 overexpressed
microRNAs: hsa-miR-4443, hsa-miR-422a, hsa-miR-494-3p, hsa-miR-502-5p, hsa-miR
520f-3p, and hsa-miR-549a. Hsa-miR-4443 was the only microRNA that showed
significant differences in most comparisons. In situ hybridization (ISH),
confirmed that our signature was mostly expressed in cancer cells. Importantly,
hsa-miR-549a and hsa-miR-502-5p expression predicted prognosis in patients with
tumors of glial origin. Although more studies are needed, we demonstrated that
serum vesicles microRNA profiles are promising diagnostic and prognostic
molecular biomarkers that will find an actual application in the clinical
practice of CNS tumors.
PMID- 29643016
TI - Development and Validation of a Predictive Model to Aid in the Management of
Intact Abdominal Aortic Aneurysms.
AB - OBJECTIVE/BACKGROUND: Predicting outcomes prior to elective abdominal aortic
aneurysm repair (AAA) requires critical decision making, as the treatment offered
is a prophylactic procedure to prevent death from a ruptured AAA. The aim of this
work was to develop and validate a model that may predict outcomes for patients
with an AAA and hence aid in clinical decision making. METHODS: A discrete event
simulation model was built to simulate the natural history of a patient with an
AAA and to predict the 30 day and 2-5 year survival of patients undergoing
treatment and surveillance. The input parameters of AAA behavior and impact of
comorbidities on survival were derived from the published literature and the New
Zealand national life tables. The model was externally validated using a cohort
of patients that underwent AAA repair (n = 320) and a cohort of patients
undergoing small AAA surveillance (n = 376). All patients had completed at least
5 years of follow up. RESULTS: The model was run three times for each data set to
test. This produced a SD < 1%, indicating excellent reproducibility. The observed
30 day mortality for the patients undergoing AAA repair was 9/320 (2.8%) and the
expected (model predicted) mortality was 3.8% (c-statistic 0.87 [95 confidence
interval 0.75-1.0]). The c-statistic for the predicted 2-5 year survival ranged
from 0.68 to 0.71 for the repaired AAA cohort and 0.69 to 0.73 for patients with
a small AAA on surveillance. CONCLUSION: The AAA clinical decision tool has the
ability to accurately predict the 5 year survival of patients with an AAA. This
tool can be used during clinical decision making to better inform clinicians and
patients of long-term outcomes. Further validation studies in a wider AAA
population are required to test the broader clinical utility of this AAA clinical
decision tool.
PMID- 29643017
TI - The Importance of Expert Opinion-Based Data: Lessons from the European Paediatric
Association/Union of National European Paediatric Societies and Associations
(EPA/UNEPSA) Research on European Child Healthcare Services.
PMID- 29643020
TI - Information for Readers.
PMID- 29643021
TI - Keeping track of sound objects in space: The contribution of early-stage auditory
areas.
AB - The influential dual-stream model of auditory processing stipulates that
information pertaining to the meaning and to the position of a given sound object
is processed in parallel along two distinct pathways, the ventral and dorsal
auditory streams. Functional independence of the two processing pathways is well
documented by conscious experience of patients with focal hemispheric lesions. On
the other hand there is growing evidence that the meaning and the position of a
sound are combined early in the processing pathway, possibly already at the level
of early-stage auditory areas. Here, we investigated how early auditory areas
integrate sound object meaning and space (simulated by interaural time
differences) using a repetition suppression fMRI paradigm at 7 T. Subjects listen
passively to environmental sounds presented in blocks of repetitions of the same
sound object (same category) or different sounds objects (different categories),
perceived either in the left or right space (no change within block) or shifted
left-to-right or right-to-left halfway in the block (change within block).
Environmental sounds activated bilaterally the superior temporal gyrus, middle
temporal gyrus, inferior frontal gyrus, and right precentral cortex. Repetitions
suppression effects were measured within bilateral early-stage auditory areas in
the lateral portion of the Heschl's gyrus and posterior superior temporal plane.
Left lateral early-stages areas showed significant effects for position and
change, interactions Category x Initial Position and Category x Change in
Position, while right lateral areas showed main effect of category and
interaction Category x Change in Position. The combined evidence from our study
and from previous studies speaks in favour of a position-linked representation of
sound objects, which is independent from semantic encoding within the ventral
stream and from spatial encoding within the dorsal stream. We argue for a third
auditory stream, which has its origin in lateral belt areas and tracks sound
objects across space.
PMID- 29643022
TI - Involuntary and voluntary electroconvulsive therapy: A case-control study.
AB - BACKGROUND: It is not known whether results of clinical research in ECT can be
used to guide treatment decisions for those having involuntary ECT, who are not
represented in trial populations. OBJECTIVE: We aimed to compare courses of
involuntary ECT with matched voluntary ECT courses in terms of clinical and
demographic factors, treatment requirements, and outcomes. METHOD: We performed a
retrospective case-control study examining a five-year sample of involuntary ECT
courses and an age-, gender- and time-matched voluntary ECT control sample.
RESULTS: We examined 48 involuntary and 96 control voluntary ECT courses. While
groups differed at baseline in terms of diagnosis, illness severity and illness
characteristics, there were no differences in treatment outcomes after ECT or six
month readmission rates. CONCLUSION: Our findings suggest that research on
capacitous ECT patients is applicable to those having involuntary ECT.
PMID- 29643023
TI - Effective School Health Service: A Response to Adolescent Health Needs in Europe.
PMID- 29643025
TI - Information for Readers.
PMID- 29643027
TI - [Purtscher-like retinopathy associated with antibiotic anaphylaxis].
AB - OBJECTIVE: We report a case of an 18-year-old woman with systemic antibiotic
anaphylaxis who presented anasarca and bilateral visual loss two weeks after the
intravenous use of pazufloxacin. Ancillary fundus tests revealed bilateral cotton
wool spots, Purtscher flecken, edema, and retinal arteriolar occlusion around the
optic disc. After pulse corticosteroid, administration of anti-anaphylactic
agent, and general support therapy for one month, the patient showed a favorable
change, with the symptoms lessened or free systemically, except the continuous
aggravation of fundus ischemic change. After two intravitreal injections of
Ranibizumab, there were still a large area of capillary non-perfusion and
neovacularizations in the binocular retina, resulting in vitreous hemorrhage. The
patient's visual acuity had still light perception after right-eye vitrectomy and
presented no improvement during the postoperative follow-up of two years.
Literature review revealed that there were many causes associated with Purtscher
like retinopathy, and the consequent visual impairment varied significantly.
Prompt management of the underlying condition is crucial in giving the patient
the best chance to restore vision.
PMID- 29643028
TI - [Chrysin inhibits lipopolysaccharide-induced inflammatory responses of
macrophages via JAK-STATs signaling pathway].
AB - OBJECTIVE: To investigate the mechanism of chrysin in regulating
lipopolysaccharide (LPS)-induced inflammation in RAW264.7 cells. METHODS:
RAW264.7 cells were treated with different concentrations (0, 5, 10, 20, 40, 60,
80, 100, 150, and 200 ug/mL) of chrysin for 24 h, and the cell viability was
measured using CCK-8. RAW264.7 cells were pre-treated with 10, 30, or 60 ug/mL
chrysin for 2 h before stimulation with LPS for different times. The levels of
TNF-alpha, IL-6 and MCP-1 were detected by ELISA, and Western blotting was used
to detect the phosphorylation of JAK- 1, JAK-2, STAT-1 and STAT-3. The level of
reactive oxygen species in RAW264.7 cells was detected by CM-H2DCFDA fluorescence
probe. The effect of ROS on LPS-induced JAK-STATs signal and the inflammatory
response of RAW264.7 cells was detected by ROS scavenger NAC. The transcription
factors STAT-1 and STAT-3 nuclear translocation were observed by laser confocal
microscopy. RESULTS: Chrysin below 60 ug/mL did not significantly affect the
viability of RAW264.7 cells. At 10, 30, and 60 ug/mL, chrysin dose-dependently
inhibited the expression of iNOS induced by LPS. Chrysin treatment also inhibited
LPS-induced phosphorylation of JAK-STATs, nuclear translocation of STAT1 and
STAT3, release of TNF-alpha, IL-6 and MCP-1, and the production of ROS in
RAW264.7 cells; ROS acted as an upstream signal to mediate the activation of JAK
STATs signaling pathway. CONCLUSION: Chrysin blocks the activity of JAK-STATs
mediated by ROS to inhibit LPS-induced inflammatory response in RAW264.7 cells.
PMID- 29643029
TI - [A machine learning model based on initial gut microbiome data for predicting
changes of Bifidobacterium after prebiotics consumption].
AB - OBJECTIVE: To investigate the effects of prebiotics supplementation for 9 days on
gut microbiota structure and function and establish a machine learning model
based on the initial gut microbiota data for predicting the variation of
Bifidobacterium after prebiotic intake. METHODS: With a randomized double-blind
self-controlled design, 35 healthy volunteers were asked to consume fructo
oligosaccharides (FOS) or galacto-oligosaccharides (GOS) for 9 days (16 g per
day). 16S rRNA gene high-throughput sequencing was performed to investigate the
changes of gut microbiota after prebiotics intake. PICRUSt was used to infer the
differences between the functional modules of the bacterial communities. Random
forest model based on the initial gut microbiota data was used to identify the
changes in Bifidobacterium after 5 days of prebiotic intake and then to build a
continuous index to predict the changes of Bifidobacterium. The data of fecal
samples collected after 9 days of GOS intervention were used to validate the
model. RESULTS: Fecal samples analysis with QIIME revealed that FOS intervention
for 5 days reduced the intestinal flora alpha diversity, which rebounded on day
9; in GOS group, gut microbiota alpha diversity decreased progressively during
the intervention. Neither FOS nor GOS supplement caused significant changes in
beta diversity of gut microbiota. The area under the curve (AUC) of the
prediction model was 89.6%. The continuous index could successfully predict the
changes in Bifidobacterium (R=0.45, P=0.01), and the prediction accuracy was
verified by the validation model (R=0.62, P=0.01). CONCLUSION: Short-term
prebiotics intervention can significantly decrease alpha-diversity of the
intestinal flora. The machine learning model based on initial gut microbiota data
can accurately predict the changes in Bifidobacterium, which sheds light on
personalized nutrition intervention and precise modulation of the intestinal
flora.
PMID- 29643030
TI - [Screening and verification of key genes in T-cell acute lymphoblastic leukemia].
AB - OBJECTIVE: To explore the key genes in T-cell acute lymphoblastic leukemia (T
ALL) using bioinformatics method to better understand the pathogenic mechanisms
of T-ALL. METHODS: The gene expression profiles of GSE14317 were obtained from
Gene Expression Omnibus database. The differentially expressed genes (DEGs) in T
ALL were analyzed using R package Limma. The online analysis tool DAVID was used
to perform the functional and pathway enrichment analysis. The protein-protein
interaction network was constructed by STRING and visualized by Cytoscape. Based
on the JASPAR database, the transcription factors (TFs) of the hub genes were
obtained. RT-PCR was used to test the mRNA expression level of the key genes.
RESULTS: A total of 1443 DEGs were identified, including 800 up-regulated genes
and 643 down-regulated genes. These DEGs were significantly enriched in the cell
cycle, hematopoietic cell lineage, cytokine-cytokine receptor interaction and T
cell receptor signaling pathway. The top 10 hub genes identified from the PPI
networks included CDK1, PIK3R1, CCNB1, CCNA2, CDC20, JUN, GNG11, PLK1, PCNA and
CCNB2, which were enriched in chemokine signaling pathway, ubiquition mediated
proteolysis and cell cycle. In the TF-target gene network, 42 differentially
expressed TFs were identified, among which ELF5, HIC2 and MEISI had binding sites
with 9 of the candidate hub genes. RT-PCR showed that the mRNA expression level
of all the candidate hub genes except for GNG11 were consistent with the gene
expression profiles. CONCLUSION: The hub genes CDK1, PIK3R1, CCNB1, CCNA2, CDC20,
JUN, PLK1, PCNA, CCNB2, ELF5, HIC2 and MEISI participate in the occurrence of T
ALL. Our finding provides new insights into the pathogenesis of T-ALL.
PMID- 29643031
TI - [Role of p38MAPK signaling pathway in autophagy of Henle-407 cells induced by
spvB of Salmonella typhimurium].
AB - OBJECTIVE: To investigate the role of p38MAPK signaling pathway in autophagy of
intestinal epithelial cells induced by spvB of S.typhimurium. METHODS: Henle-407
cells in exponential growth were infected with wild-type S.typhimurium strain STM
211 (with spvB gene), spvB mutated strain STM-delata;spvB, or with delata;spvB
complemented strain STM-c-spvB after treatment of the cells with the p38MAPK
inhibitor SB203580. At different time points of co-culture, the cells were
collected and the intracellular bacteria were counted. Western blotting was
performed to detect the expressions of phosphorylated p38 and autophagy-related
proteins LC3 and p62; immunofluorescence staining was used to observe the
expression and distribution of LC3. RESULTS: At 1, 2 and 4 h after the infection,
the phosphorylation levels of p38 in STM-211 group and STM-c-spvB group were
significantly lower than that in STM-delata;spvB group (P<0.05). At 2 and 4 h of
co-culture, the intracellular bacterial counts were significantly greater in STM
211 and STM-c-spvB infection groups than in STM-delata;spvB group (P<0.05).
Pretreatment with p38 inhibitor SB203580 did no significantly affect the
expression levels of LC3 II or P62 in STM-211 and STM-c-spvB groups, but caused
significant reduction in their expressions in STM-delata;spvB group at 1 h
(P<0.05), and such changes were more obvious at 3 h (P<0.05). CONCLUSION: The
inhibitory effect of spvB gene on autophagy in intestinal epithelial cells is
related with the negative regulation of p38MAPK signaling pathway.
PMID- 29643032
TI - [Regulatory role of Shh signaling pathway in lung development in fetal mice].
AB - OBJECTIVE: To investigate the regulatory role of classical Shh signaling pathway
in the development of the epithelium and mesenchyme (bronchial cartilage and
smooth muscles) during lung development in fetal mice. METHODS:
Immunohistochemical technique was used to detect the expression of Shh signaling
pathway receptor Smo and Pdgfr-alpha in murine fetal lungs to explore the spatial
and temporal characteristics of their expression. Based on the interstitial
specificity of Pdgfr-alpha expression, we constructed a Pdgfr-alpha-cre to
establish a E12.5 - E16.5 transgenic mice with specific knockout of the key Shh
signaling molecule Smo in the pulmonary interstitium with tamoxifen induction.
Immunofluorescence technique was used to observe the epithelium and mesenchyme
(bronchial cartilage and smooth muscle) during fetal lung development in the
transgenic mice to assess the role of Shh signaling pathway in the epithelial-to
mesenchymal (EMT) transition during the lung development. RESULTS: Smo was highly
expressed in the epithelial and stromal lung tissues in the pseudoglandular stage
and was gradually lowered over time with its distribution mainly in the
interstitial tissues. Pdgfr-alpha was enriched in the distal lung epithelial and
mesenchy tissues in early embryonic lungs and gradually migrated to the proximal
stroma until becoming concentrated around the main bronchial proximal stroma. We
successfully specific established mouse models of specific mesenchymal Smo
knockout. Compared with the control group, the transgenic mice during E12.5-E16.5
showed significantly reduced lung the volume and bronchial branching with also
decreased expression of the proximal epithelial P63 (P<0.05). The transgenic mice
exhibited alterations in the expression of alpha-smooth muscle actin with delayed
bronchial cartilage development and decreased expression of mucoprotein.
CONCLUSION: The temporospatial specific expression of Shh signaling pathway plays
an important role in developmental regulation of mouse embryonic lung epithelium
and mesenchyme (bronchial cartilage and smooth muscle).
PMID- 29643033
TI - [Vaspin protects against lipopolysaccharide-induced acute respiratory distress
syndrome in mice by inhibiting inflammation and protecting vascular endothelium
via PI3K/Akt signal pathway].
AB - OBJECTIVE: To investigate the effects of Vaspin on lipopolysaccharide (LPS)
induced acute respiratory distress syndrome (ARDS) in mice and explore the
possible mechanism. METHODS: Forty male C57B/L6 mice were randomized equally into
control group, LPS group, Vaspin group and wortmannin group with corresponding
treatments. The pathological changes of the lung tissues were evaluated by HE
staining, and the severity of pulmonary edema was measured according to the
wet/dry ratio (W/D) of the lung tissue. The lung permeability was evaluated by
detecting total protein concentrations in the bronchoalveolar lavage fluid (BALF)
using bicinchoninic acid (BCA) assay. Myeloperoxidase (MPO) activity in the lung
tissue was detected using a MPO assay kit, and the levels of interleukin-1beta
(IL-1beta) and tumor necrosis factor-alpha (TNF-alpha) in the lungs were measured
using ELISA. Immunohistochemical staining was performed to detect the expression
of vascular cell adhesion molecule-1 (VCAM-1) and Western blotting was used to
detect the protein expressions of cleaved caspase-3 and p-Akt in the lung
tissues. RESULTS: Compared with the control group, the mice in LPS group
displayed typical ARDS pathological changes in the lungs with significantly
increased W/D, total protein concentrations in BALF, lung MPO activity, levels of
IL-1beta and TNF-alpha, and pulmonary expressions of VCAM-1 and cleaved caspase-3
(P<0.05) but decreased expression of p-Akt (P<0.05). These changes induced by LPS
were significantly alleviated by the administration of Vaspin (P<0.05). The
protective effects of Vaspin against ARDS were obviously attenuated by the PI3K
inhibitor wortmannin (P<0.05). CONCLUSION: Vaspin protects against LPS-induced
ARDS in mice possibly by inhibiting inflammation and protecting vascular
endothelium through upregulation of the PI3K/Akt signal pathway.
PMID- 29643034
TI - [Verification of a sporadic Alzheimer disease model in SORL1 gene knockout mice].
AB - OBJECTIVE: To compare the behavioral and pathological features of SORL1 gene
knockout mice with those of normal mice and APP/PSE1 mice to verify the
feasibility of using SORL1 knockout mice as a model of sporadic Alzheimer
disease. METHODS: SORL1 gene of fertilized mouse eggs were edited using
Crispr/Case9 technique. SORL1-/- mice were screened and identified by detecting
the DNA sequence, and Western blotting was used to detect the expression of
SORL1. SORL1-/- mice, control mice and APP/PSE1 mice all underwent Morris water
maze test to assess their learning and memory abilities with positioning
navigation and space exploration experiments. The expression of APP and Abeta in
the brain of the mice was detected using immunohistochemistry and Western
blotting, respectively. RESULTS: DNA sequencing showed CAAT deletion in SORL1
gene in two chromosomes of SORL1-/- mice, and the control mice had intact SORL1
gene without the deletion; Western blotting did not detect the expression of the
SORL1 in the brain of SORL1-/- mice. Morris water maze test showed that in
positioning navigation experiment, the average avoidance latency was similar
between SORL1-/- mice and APP/PSE1 mice (P>0.05) but increased significantly in
both mice as compared with the control group (P<0.05); similar results were
obtained in the space exploration experiment. Immunohistochemistry and Western
blotting revealed significantly increased APP and Abeta expression in the brain
tissue of both SORL1-/- mice and APP/PSE1 mice compared with the control mice
without significant differences between the two transgenic mice. CONCLUSION:
SORL1-/- mice exhibit similar behavioral and pathological changes with APP/PSE1
mice and can be used as a model of sporadic Alzheimer's disease.
PMID- 29643035
TI - [Correlation between expressions of VEGF and TRPC6 and their roles in podocyte
injury in rats with diabetic nephropathy].
AB - OBJECTIVE: To analyze the correlation between the expressions of vascular
endothelial growth factor (VEGF) and transient receptor potential canonical 6
(TRPC6) and their role in podocyte injury in rats with diabetic nephropathy.
METHODS: Forty SD rats with diabetic nephropathy induced by intraperitoneal
injection of 65 mg/kg streptozotocin were randomized equally into 5 groups,
including a diabetic nephropathy model group and 4 treatment groups, with 8
normal SD rats as the normal control group. In the 4 treatment groups, the rats
received intraperitoneal injections with SU5416 at 5 mg/kg or 10 mg/kg twice a
week or with LY294002 at 1 mg/kg or 2 mg/kg once daily for 8 weeks. Blood
glucose, serum creatinine, blood urea nitrogen, and 24-h urinary protein levels
of the rats were detected at different time points, and the pathologies in the
renal tissue were observed using HE staining, PAS staining and
immunohistochemistry. The expressions of VEGF, nephrin, and TRPC6 at mRNA and
protein levels were detected using RT-PCR and Western blotting. RESULTS: Compared
with normal control rats, the diabetic rats showed significantly increased
fasting blood glucose, serum creatinine, blood urea nitrogen and 24-h urinary
protein levels with decreased expressions of nephrin mRNA and protein (P<0.05)
and increased expressions of VEGF and TRPC6 (P<0.05). Compared with the untreated
diabetic rats, the rats with SU5416 treatment showed increased 24-h urinary
protein, urea nitrogen, and nephrin expression and decreased TRPC6 expression
without significant changes in fasting blood glucose, serum creatinine, or VEGF
expression. The rats treated with LY294002 showed decreased 24-h urinary protein
and TRPC6 expression without significant changes in fasting blood glucose, serum
creatinine, urea nitrogen, or expressions of nephrin and VEGF. CONCLUSION: The
regulatory effect of VEGF on TRPC6 can be blocked by inhibiting VEGFR-2 or
blocking PI3K/Akt signaling pathway.
PMID- 29643036
TI - [Quantitative magnetic resonance imaging of brain iron deposition: comparison
between quantitative susceptibility mapping and transverse relaxation rate (R2*)
mapping].
AB - OBJECTIVE: To evaluate the accuracy and sensitivity of quantitative
susceptibility mapping (QSM) and transverse relaxation rate (R2*) mapping in the
measurement of brain iron deposition. METHODS: Super paramagnetic iron oxide
(SPIO) phantoms and mouse models of Parkinson's disease (PD) related to iron
deposition in the substantia nigra (SN) underwent 7.0 T magnetic resonance (MR)
scans (Bruker, 70/16) with a multi-echo 3D gradient echo sequence, and the
acquired data were processed to obtain QSM and R2*. Linear regression analysis
was performed for susceptibility and R2* in the SPIO phantoms containing 5 SPIO
concentrations (30, 15, 7.5, 3.75 and 1.875 ug/mL) to evaluate the accuracy of
QSM and R2* in quantitative iron analysis. The sensitivities of QSM and R2*
mapping in quantitative detection of brain iron deposition were assessed using
mouse models of PD induced by 1-methyl-4-phenyl-1,2,3,6-tetrahy-dropyridine
(MPTP) in comparison with the control mice. RESULTS: In SPIO phantoms, QSM
provided a higher accuracy than R2* mapping and their goodness-of-fit
coefficients (R2) were 0.98 and 0.89, respectively. In the mouse models of PD and
control mice, the susceptibility of the SN was significantly higher in the PD
models (5.19?1.58 vs 2.98?0.88, n=5; P<0.05), while the R2* values were similar
between the two groups (20.22?0.94 vs 19.74?1.75; P=0.60). CONCLUSION: QSM allows
more accurate and sensitive detection of brain iron deposition than R2*, and the
susceptibility derived by QSM can be a potentially useful biomarker for studying
PD.
PMID- 29643037
TI - [Screening active ingredients of Shenkangwan that regulate endothelial
mesenchymal transition of endothelial cells in vitro].
AB - OBJECTIVE: To screen the effective components of Shenkangwan that regulate
endothelial-mesenchymal transition in endothelial cells for optimizing
prescription of Shenkangwan. METHODS: ALK5 was identified as one of the target
receptors that regulate endothelial-mesenchymal transition of endothelial cells
using molecular docking technique. Nine molecules were screened as the candidate
effective components in Shenkangwan, among which calycosin, ononin and
stigmasterol were selected for testing. Glomerular epithelial cells were exposed
to high glucose and treated with calycosin, ononin, or stigmasterol, and the
cellular expressions of alpha-smooth muscle actin (alpha-SMA) and vimentin mRNA
were detected with real-time fluorescence quantitative PCR. The phosphorylation
of SMAD2/3 in the cells was detected using Western blotting. RESULTS: Calycosin,
ononin and stigmasterol did not produce significant cytotoxicity in glomerular
epithelial cells (P>0.05). The cells exposed to high glucose and calycosin
treatment showed significantly decreased mRNA levels of alpha-SMA and vimentin
(P<0.05) and inhibited phosphorylation of SMAD2/3. Ononin and stigmasterol did
not produce such effects in the cells. CONCLUSION: In endothelial cells with high
glucose-induced injury, calycosin can inhibit the up-regulation of alpha-SMA and
vimentin and inhibit phosphorylation of SMAD2/3 to regulate endothelial
mesenchymal transition and improve diabetic nephropathy.
PMID- 29643038
TI - [Effects of minimally invasive versus open esophagectomy on circulating tumor
cells in patients with esophageal cancer].
AB - OBJECTIVE: To investigate the effects of minimally invasive esophagectomy (MIE)
and open esophagectomy (OE) on the level of circulating tumor cells (CTCs) in
patients with esophageal cancer (EC). METHODS: A total of 73 patients with EC
undergoing MIE (n=38) or OE (n=35) in our department between October, 2015 and
October, 2017 were enrolled, with 10 patients with benign esophagus disease and
10 healthy volunteers as controls. The levels of CTCs in the peripheral blood of
the participants were detected using CanPatrolTM technique and analyzed for their
association with the operation methods and perioperative complications. RESULTS:
CTCs were detected in 60.3% (44/73) of the EC patients but in none of the control
subjects. CTC level after the surgery was significantly higher than that during
the surgery, and CTC level during the surgery was significantly higher than that
before surgery (P<0.001). The preoperative and intra-operative CTC levels were
not significantly different between MIE and OE groups (P>0.05), but the
postoperative CTC level was significantly lower in MIE group than in OE group,
and postoperative increment of CTC level (from the preoperative level) was
significantly lower in MIE group than in OE group (P<0.001). The total incidence
of postoperative complications was significantly lower in MIE group than in OE
group (28.9% vs 54.3%, P=0.023), and in both groups, CTC levels in patients with
complications were significantly higher than those in patients without
complications (P=0.001 and P=0.005 in MIE and OE groups, respectively).
CONCLUSION: MIE may help to reduce the number of peripheral blood CTCs early
after the operation, and dynamic monitoring CTCs level assists in evaluation of
the prognosis of EC patients. CTC level may serve as an indicator for monitoring
the prognosis of EC.
PMID- 29643039
TI - [Expression of DKKL1 in spermatozoa of men with asthenospermia].
AB - OBJECTIVE: To compare the expression of DKKL1 in ejaculated spermatozoa of normal
fertile men and men with asthenospermia and investigate the role of DKKL1 in the
pathogenesis of asthenospermia. METHODS: The characteristics of semen samples
collected from normal fertile men and men with asthenospermia were analyzed using
computer-assisted sperm analysis according to WHO criteria. The ejaculated sperms
were isolated by Percoll discontinuous density gradients to detect the expression
of DKKL1 mRNA and protein using real-time PCR and Western blotting. RESULTS: The
expression of DKKL1 mRNA was significantly down-regulated by 11.1 times in
asthenospermic men as compared with that in normal fertile men (P<0.01). Western
blotting showed that the expression of DKKL1 protein was down-regulated by 2.4
times in asthenospermic men compared to normal fertile men. CONCLUSION: The
expression of DKKL1, which may play an important role in sperm motility,is
significantly decreased in ejaculated spermatozoa of men with asthenospermia.
PMID- 29643040
TI - [Value of CHCHD2 as a potential marker of non-small cell lung cancer: analysis of
60 cases].
AB - OBJECTIVE: To investigate the expression of CHCHD2, a potential tumor marker, in
tumor and adjacent tissues from patients with non-small cell lung cancer (NSCLC).
METHODS: Immunohistochemistry was used to detect the expression and location of
CHCHD2 in the tumor tissues from 60 patients with NSCLC and 35 adjacent tissues
to analyze the correlation of CHCHD2 expression with the clinicopathological
variables and overall survival of the patients. The expression profile of CHCHD2
mRNA in NSCLC was analyzed using Oncomine database. RESULTS: The positivity rate
of CHCHD2 was significantly higher in the tumor tissues than in adjacent tissues
in patients with NSCLC (75.0% vs 17.1%). CHCHD2 positivity in the tumor tissues
was associated with lymph node metastasis, pathological TNM stage, and tumor
grades but not with age, gender, or histological type of the tumors. Analysis
using Oncomine database showed that CHCHD2 mRNA was expressed at significantly
higher levels in NSCLC than in normal control group (P<0.05). Kaplan-Meier
survival analysis showed that NSCLC patients with a positive expression of CHCHD2
had a significantly shorter overall survival time than those negative for CHCHD2
(P<0.05). CONCLUSION: As a potential tumor marker, CHCHD2 over-expression plays a
role in the occurrence and progression of NSCLC and promotes tumor invasion and
metastasis, and can potentially serve as an indicator for early diagnosis and
prognostic evaluation of NSCLC.
PMID- 29643041
TI - [Effect of outer membrane vesicles derived from Escherichia coli on
proliferation, apoptosis and migration of human neuroblastoma SK-N-SH cells in
vitro].
AB - OBJECTIVE: To investigate the effect of outer membrane vesicles derived from
Escherichia coli on proliferation, apoptosis and migration of human neuroblastoma
SK-N-SH cells in vitro. METHODS: The outer membrane vesicles (OMVs) were obtained
from wild-type Escherichia coli with ultracentrifugation method, and the
morphology of the OMVs was observed by transmission electron microscopy and the
vesicle diameter was determined using MALVERN ZEN3690. Human neuroblastoma SK-N
SH cells were treated with the OMVs at low (100 ug/mL), moderate (500 ug/mL) and
high (1000 ug/mL) doses, and 24, 48 and 72 h later, the cell proliferation
activity was detected with MTT assay. The expressions of apoptosis-related marker
caspase-3 was detected using Western blotting, and TUNEL assay was performed to
detect the cell apoptosis. The migration capacity of SK-N-SH cells was evaluated
using Transwell migration assay. RESULTS: The isolated OMVs showed a circular or
elliptical hollow structure with double-layer membrane and a diameter range of 30
450 nm. Compared with the control cells, SK-N-SH cells treated with the OMVs
showed significantly lowered cell proliferation capacity with enhanced expression
of caspase-3. Treatment of the cells with the OMVs resulted in increased cell
apoptosis and significantly lowered migration capacity (P<0.05). CONCLUSION: The
OMVs derived from Escherichia coli can produce cytotoxicity against SK-N-SH cells
and might serve as a therapeutic agent for refractory neuroblastoma.
PMID- 29643042
TI - [Primary culture of human malignant meningioma cells and its intracranial
orthotopic transplantation in nude mice].
AB - OBJECTIVE: To obtain stable primary cultures of human malignant meningioma cells
and establish an intracranial in-situ tumor model in nude mice. METHODS: Ten
surgical specimens of highly suspected malignant meningioma were obtained with
postoperative pathological confirmation. Primary malignant meningioma cells were
cultured from the tissues using a modified method and passaged. After
identification with cell immunofluorescence, the cultured cells were inoculated
into the right parietal lobe of 6 nude mice using stereotaxic apparatus and also
transplanted subcutaneously in another 6 nude mice. The nude mice were executed
after 6 weeks, and HE staining and immunohistochmistry were used to detect tumor
growth and the invasion of the adjacent brain tissues. RESULTS: The primary
malignant meningioma cells were cultured successfully, and postoperative
pathology reported anaplastic malignant meningioma. Cell immunofluorescence
revealed positivity for vimentin and EMA in the cells, which showed a S-shaped
growth curve in culture. Flow cytometry revealed a cell percentage in the Q3 area
of (95.99?2.58)%. Six weeks after transplantation, tumor nodules occurred in the
subcutaneous tumor group, and the nude mice bearing the in situ tumor showed
obvious body weight loss. The xenografts in both groups contained a mean of
(36?5.35)% cells expressing Ki-67, and the intracranial in situ tumor showed
obvious invasion of the adjacent peripheral brain tissues. CONCLUSION: We
obtained stable primary cultures of malignant meningioma cells and successfully
established a nude mouse model bearing in situ human malignant meningioma.
PMID- 29643043
TI - [Shaoyangzhugu Formula regulates p19Arf-p53-p21Cip1 signaling pathway to
ameliorate cartilage degeneration in aged cynomolgus monkeys with knee
osteoarthritis].
AB - OBJECTIVE: To study the effect of Shaoyangzhugu (SYZG) Formula (a formula
consisting of 9 traditional Chinese drugs) in delaying the degeneration of
articular cartilage and the role p19Arf-p53-p21Cip1 signaling pathway in
mediating this effect. METHOD: Thirteen aged cynomolgus monkeys with degenerative
knee joints were selected based on X-ray findings, and one of them was randomly
selected for pathological observation. The other monkeys were randomized equally
into SYZG Formula group (treated with SYZG decoction), ammonia moxime group and
saline group. All the monkeys were sacrificed after 8 weeks of treatment with
intragastric administration of the drugs or saline. The pathology in the knee
joint articular cartilage was observed and the mRNA and protein expressions of
p19Arf, p53, and p21Cip1 in the articular cartilage were detected using RT-qPCR
and Western blotting. RESULTS: The pathological findings of the articular
cartilage in old cynomolgus monkeys were consistent with the characteristics of
knee osteoarthritis (KOA). Mankin scores of the cynomolgus monkeys were 7.38?0.52
in SYZG Formula group, 7.88?0.83 in ammonia moxime group, and 8.38?0.74 in saline
group, showing a significant difference between SYZG Formula group and saline
group (P<0.05). The expressions of p19Arf, p53, and p21Cip1 were the lowest in
SYZG Formula group and the highest in saline group with significant differences
among the 3 groups (P<0.05). CONCLUSION: SYZG Formula can delay chondrocyte
senescence by regulating p19Arf-p53-p21Cip1 signaling pathway to delay articular
cartilage degeneration in aged cynomolgus monkeys.
PMID- 29643044
TI - [Clinical value of genome-wide chromosome microarray technique in diagnosis of
fetal cerebral ventriculomegaly].
AB - OBJECTIVE: To investigate the clinical value of gnome-wide chromosome microarray
(CMA) technique in genetic etiological diagnosis of fetal cerebral
ventriculomegaly. METHODS: A retrospective analysis was conducted in 109 women
with singleton pregnancy, who were admitted in Nanfang Hospital with the
diagnosis of cerebral ventriculomegaly in the fetuses by ultrasound between
January, 2014 and December, 2016. Routine karyotype analysis and chromosome
microarray analysis were performed to identify the chromosomal abnormalities in
the fetuses. RESULTS: Karyotype analysis detected chromosomal abnormalities at a
rate of 12.84% in these fetuses, significantly lower than the rate of 26.60% with
CMA technique (P=0.004); the combined detection rate of the two techniques was
28.44%. In 17 cases, karyotype analysis yielded normal results while CMA
microarray showed abnormalities with an extra abnormal detection rate of 15.60%.
Among the 17 fetuses with chromosomal abnormalities, 6 had micro-deletion, 9 had
micro-duplication, 1 had both micro-deletion and micro-duplication, and 1 had
heterozygous loss of single parent diploid. CONCLUSION: CMA technique can be used
to detect abnormal chromosomal copy numbers in fetuses with cerebral
ventriculomegaly to increase the detection rate of chromosomal abnormalities and
facilitate prenatal consultation and prognostic evaluation.
PMID- 29643045
TI - [Effect of 0.9-ms 1064-nm Nd:YAG laser combined with itraconazole for treatment
of toenail onychomycosis].
AB - OBJECTIVE: To evaluate the efficacy and safety of 0.9-ms 1064-nm Nd:YAG laser
alone or combined with itraconazole for treatment of toenail onychomycosis.
METHODS: A total of 37 patients with onychomycosis (178 toenails) were randomly
assigned to groups A and B, and each group was further divided into different
subgroups according to the Scoring Clinical Index of Onychomycosis (SCIO) and
Onychomycosis Severity Index (OSI) scoring. All the patients were treated with
0.9-ms Nd:YAG laser once a week for 8 times. The patients in group A were treated
with laser alone, and those in group B were treated with laser combined with
itraconazole. The clinical effect, clinical scores, appearance of the toenails
and adverse reactions in the two groups were analyzed, and the patients'
satisfaction rate was also investigated. RESULTS: At the 12th months of follow
up, the clinical response rate and mycological cure rate in group A were 31.33%
and 30.00%, respectively, similar to the rates in group B (35.79% and 41.18%,
respectively) (P>0.05). After the treatments, the SCIO and OSI scores showed no
significant changes in group A (P>0.05) but both increased significantly in group
B (P<0.05). The response rates did not differ significantly among the subgroups
with SCIO<12 or with OSI<16 (P>0.05), but showed significant differences among
the subgroups with SCIO>=12 or with OSI>=16 (P<0.05). Of the total of 178
toenails, 33.71%, 74.72% and 70.79% toenails showed improvements in terms of
clear nail growth, shape and color, respectively. The overall patients'
satisfaction rate was 62.16%, and no adverse reactions related with the therapy
were recorded in these patients. CONCLUSION: For treatment of toenail
onychomycosis, 0.9-ms 1064-nm Nd:YAG laser can effectively improve the aesthetic
appearance of the toenails, and a combined treatment with Nd:YAG laser and
itraconazole can be better option in severe cases of onychomycosis.
PMID- 29643046
TI - [Efficacy of dermal scaffold for promoting repair of acute full-thickness skin
defects in pigs].
AB - OBJECTIVE: To investigate the efficacy of Lando(r) dermal scaffold for promoting
repair of acute full-thickness skin defects in pigs and explore the possible
mechanism. METHODS: Three 5 cm*5 cm full-thickness skin defects were created on
the left dorsal skin (control group) and another 3 on the right dorsal skin
(treatment group) of each of 6 Tibetan pigs. The wounds in the treatment group
were covered with a bilayer artificial skin (Lando) and the control wounds with
vaseline gauze. In both groups, autogenous split-thickness skin were grafted to
the wounds 2 weeks later (with the silicone rubber membrane removed before
grafting in the treatment group). At 3 days and 2 and 10 weeks after the injury,
the wounds were assessed for general condition and contraction, and tissue
samples were collected from the wounds to examine the expressions of alpha-smooth
muscle actin (alpha-SMA) and transforming growth factor-beta1 (TGF-beta1) using
immunohistochemistry and the expressions of MMP-1 and TIMP-1 mRNA using RT-PCR.
RESULTS: At 3 days after the injury, the wounds in the 2 groups showed no
significant differences in the results of any examinations. At 2 weeks after the
injury, the wounds in the treatment group showed rich and more smooth granulation
tissues with more regular wound edges compared with the control wounds. At 2 and
10 weeks after the injury, the wound contraction rates in the treatment group
were (30.5?3.4)% and (39.2?2.8)%, respectively, significantly lower than the
rates of (51.8?2.6)% (t=-29.840, P=0.000) and (60.7?2.2)% (t=-50.213, P=0.000) in
the control group. At 2 weeks, the wound tissues in the treatment group expressed
significantly higher levels of alpha-SMA (t=15.921, P=0.000) and TGF-beta1
(t=29.995, P=0.000) than the control wounds, but at 10 weeks, the expressions of
alpha-SMA (t=-41.823, P=0.000) and TGF-beta1 (t=-99.777, P=0.000) in the
treatment group were significantly lower than those in the control group.
Compared with those in the control group, the expression of MMP-1 mRNA in the
treatment group was significantly lower at 2 weeks (t=-45.412, P=0.000) but
significantly higher at 10 weeks (t=78.769, P=0.000), and the expression of TIMP
1 mRNA in the treatment group was significantly lower both at 2 weeks (t=-27.064,
P=0.000) and at 10 weeks (t=-40.535, P=0.000). CONCLUSIONS: Lando(r) dermal
scaffold can promote granulation tissue growth possibly in relation with
increased TGF-beta1 and decreased MMP-1 expression in the wounds. This scaffold
material also reduces wound contraction and lessens scar hyperplasia and
contracture after wound healing, probably as a result of decreased alpha-SMA, TGF
beta1, and TIMP-1 and increased MMP-1 expressions.
PMID- 29643047
TI - [A case of circulatory collapse during laparoscopic hepatectomy].
AB - OBJECTIVE: We report a case of intractable circulatory failure event in a
hypertensive patient during laparoscopy hepatectomy and analyze the diagnosis and
treatment by multidisciplinary physicians. This case suggests that both surgeons
and anesthesiologists should give attention to oral angiotensin II receptor
antagonist during the preoperative period to avoid refractory hypotension. In
addition, the use of EV1000 hemodynamic monitoring system in intensive care
provides more convenience for clinical liquid management.
PMID- 29643048
TI - Exploring the Role of In-Person Components for Online Health Behavior Change
Interventions: Can a Digital Person-to-Person Component Suffice?
AB - The growth of the digital environment provides tremendous opportunities to
revolutionize health behavior change efforts. This paper explores the use of Web
based, mobile, and social media health behavior change interventions and
determines whether there is a need for a face-to-face or an in-person component.
It is further argued that that although in-person components can be beneficial
for online interventions, a digital person-to-person component can foster similar
results while dealing with challenges faced by traditional intervention
approaches. Using a digital person-to-person component is rooted in social and
behavioral theories such as the theory of reasoned action, and the social
cognitive theory, and further justified by the human support constructs of the
model of supportive accountability. Overall, face-to-face and online behavior
change interventions have their respective advantages and disadvantages and
functions, yet both serve important roles. It appears that it is in fact human
support that is the most important component in the effectiveness and adherence
of both face-to-face and online behavior change interventions, and thoughtfully
introducing a digital person-to-person component, to replace face-to-face
interactions, can provide the needed human support while diminishing the barriers
of in-person meetings. The digital person-to-person component must create
accountability, generate opportunities for tailored feedback, and create social
support to successfully create health behavior change. As the popularity of the
online world grows, and the interest in using the digital environment for health
behavior change interventions continues to be embraced, further research into not
only the use of online interventions, but the use of a digital person-to-person
component, must be explored.
PMID- 29643049
TI - Evaluation of Technology-Enhanced Learning Programs for Health Care
Professionals: Systematic Review.
AB - BACKGROUND: Technology-enhanced learning (TEL) programs are increasingly seen as
the way in which education for health care professionals can be transformed,
giving access to effective ongoing learning and training even where time or
geographical barriers exist. Given the increasing emphasis on this mode of
educational support for health care practitioners, it is vital that we can
effectively evaluate and measure impact to ensure that TEL programs are effective
and fit for purpose. This paper examines the current evidence base for the first
time, in relation to the evaluation of TEL programs for health care
professionals. OBJECTIVE: We conducted a systematic review of the current
literature relating to the evaluation of TEL programs for health care
professionals and critically appraised the quality of the studies. METHODS: This
review employed specific search criteria to identify research studies that
included evaluation of TEL for health care professionals. The databases searched
included Medline Ovid, Cumulative Index of Nursing and Allied Health Literature
Plus Advanced, Applied Social Sciences Index and Abstracts, ZETOC, Institute of
Electrical and Electronics Engineers Explore Digital Library, Allied and
Complementary Medicine, and Education Resources Information Center between
January 2006 and January 2017. An additional hand search for relevant articles
from reference lists was undertaken. Each of the studies identified was
critically appraised for quality using the Crowe Critical Appraisal Tool. This
approach produced a percentage total score for each study across specified
categories. A proportion of the studies were independently assessed by an
additional two reviewers. RESULTS: The review identified 21 studies that met the
inclusion criteria. The studies included scored totals across eight categories
within a range of 37%-95% and an average score of 68%. Studies that measured TEL
using learner satisfaction surveys, or combined pretest and posttest knowledge
score testing with learner satisfaction surveys, were found to be the most common
types of TEL evaluations evident in the literature. The studies reviewed had low
scores across reporting on ethical matters, design, and data collection
categories. CONCLUSIONS: There continues to be a need to develop effective and
standard TEL evaluation tools, and good quality studies that describe effective
evaluation of TEL education for health care professionals. Studies often fail to
provide sufficient detail to support transferability or direct future TEL health
care education programs.
PMID- 29643050
TI - Jordan Field Epidemiology Training Program: Critical Role in National and
Regional Capacity Building.
AB - Field Epidemiology Training Programs (FETPs) are 2-year training programs in
applied epidemiology, established with the purpose of increasing a country's
capacity within the public health workforce to detect and respond to health
threats and develop internal expertise in field epidemiology. The Jordan Ministry
of Health, in partnership with the US Centers for Disease Control and Prevention,
started the Jordan FETP (J-FETP) in 1998. Since then, it has achieved a high
standard of success and has been established as a model for FETPs in the Eastern
Mediterranean Region. Here we describe the J-FETP, its role in building the
epidemiologic capacity of Jordan's public health workforce, and its activities
and achievements, which have grown the program to be self-sustaining within the
Jordan Ministry of Health. Since its inception, the program's residents and
graduates have assisted the country to improve its surveillance systems,
including revising the mortality surveillance policy, implementing the use of
electronic data reporting, investigating outbreaks at national and regional
levels, contributing to noncommunicable disease research and surveillance, and
responding to regional emergencies and disasters. J-FETP's structure and systems
of support from the Jordan Ministry of Health and local, regional, and
international partners have contributed to the success and sustainability of the
J-FETP. The J-FETP has contributed significantly to improvements in surveillance
systems, control of infectious diseases, outbreak investigations, and
availability of reliable morbidity and mortality data in Jordan. Moreover, the
program has supported public health and epidemiology in the Eastern Mediterranean
Region. Best practices of the J-FETP can be applied to FETPs throughout the
world.
PMID- 29643051
TI - How Mobile App Design Impacts User Responses to Mixed Self-Tracking Outcomes:
Randomized Online Experiment to Explore the Role of Spatial Distance for Hedonic
Editing.
AB - BACKGROUND: Goal setting is among the most common behavioral change techniques
employed in contemporary self-tracking apps. For these techniques to be
effective, it is relevant to understand how the visual presentation of goal
related outcomes employed in the app design affects users' responses to their
self-tracking outcomes. OBJECTIVE: This study examined whether a spatially close
(vs distant) presentation of mixed positive and negative self-tracking outcomes
from multiple domains (ie, activity, diet) on a digital device's screen can
provide users the opportunity to hedonically edit their self-tracking outcome
profile (ie, to view their mixed self-tracking outcomes in the most positive
light). Further, this study examined how the opportunity to hedonically edit
one's self-tracking outcome profile relates to users' future health behavior
intentions. METHODS: To assess users' responses to a spatially close (vs distant)
presentation of a mixed-gain (vs mixed-loss) self-tracking outcome profile, a
randomized 2*2 between-subjects online experiment with a final sample of 397
participants (mean age 27.4, SD 7.2 years; 71.5%, 284/397 female) was conducted
in Germany. The experiment started with a cover story about a fictitious self
tracking app. Thereafter, participants saw one of four manipulated self-tracking
outcome profiles. Variables of interest measured were health behavior intentions,
compensatory health beliefs, health motivation, and recall of the outcome
profile. We analyzed data using chi-square tests (SPSS version 23) and moderated
mediation analyses with the PROCESS macro 2.16.1. RESULTS: Spatial distance
facilitated hedonic editing, which was indicated by systematic memory biases in
users' recall of positive and negative self-tracking outcomes. In the case of a
mixed-gain outcome profile, a spatially close (vs distant) presentation tended to
increase the underestimation of the negative outcome (P=.06). In the case of a
mixed-loss outcome profile, a spatially distant (vs close) presentation
facilitated the exact recognition of the positive outcome (P=.04). When the
presentation of self-tracking outcomes provided the opportunity for hedonic
editing, users with a low (vs high) health motivation produced compensatory
health beliefs, which led to lower health behavior intentions (index of moderated
mediation=0.0352, 95% CI 0.0011-0.0923). CONCLUSIONS: When spatial distance
between the presentations of mixed self-tracking outcomes provided the
opportunity to hedonically edit one's self-tracking outcome profile, users
recalled their self-tracking outcomes in a more positive light. Especially for
users with lower health motivation, the opportunity to hedonically edit one's
mixed self-tracking outcome profile led to reduced health behavior intentions. To
prevent the occurrence of hedonic editing in users' responses to visually
presented self-tracking outcome profiles, further research is necessary to
determine the ideal distance that should be employed in the app design for the
presentation of mixed self-tracking outcomes on a digital device's screen.
PMID- 29643052
TI - The Effectiveness of Health Care Information Technologies: Evaluation of Trust,
Security Beliefs, and Privacy as Determinants of Health Care Outcomes.
AB - BACKGROUND: The diffusion of health information technologies (HITs) within the
health care sector continues to grow. However, there is no theory explaining how
success of HITs influences patient care outcomes. With the increase in data
breaches, HITs' success now hinges on the effectiveness of data protection
solutions. Still, empirical research has only addressed privacy concerns, with
little regard for other factors of information assurance. OBJECTIVE: The
objective of this study was to study the effectiveness of HITs using the DeLone
and McLean Information Systems Success Model (DMISSM). We examined the role of
information assurance constructs (ie, the role of information security beliefs,
privacy concerns, and trust in health information) as measures of HIT
effectiveness. We also investigated the relationships between information
assurance and three aspects of system success: attitude toward health information
exchange (HIE), patient access to health records, and perceived patient care
quality. METHODS: Using structural equation modeling, we analyzed the data from a
sample of 3677 cancer patients from a public dataset. We used R software (R
Project for Statistical Computing) and the Lavaan package to test the
hypothesized relationships. RESULTS: Our extension of the DMISSM to health care
was supported. We found that increased privacy concerns reduce the frequency of
patient access to health records use, positive attitudes toward HIE, and
perceptions of patient care quality. Also, belief in the effectiveness of
information security increases the frequency of patient access to health records
and positive attitude toward HIE. Trust in health information had a positive
association with attitudes toward HIE and perceived patient care quality. Trust
in health information had no direct effect on patient access to health records;
however, it had an indirect relationship through privacy concerns. CONCLUSIONS:
Trust in health information and belief in the effectiveness of information
security safeguards increases perceptions of patient care quality. Privacy
concerns reduce patients' frequency of accessing health records, patients'
positive attitudes toward HIE exchange, and overall perceived patient care
quality. Health care organizations are encouraged to implement security
safeguards to increase trust, the frequency of health record use, and reduce
privacy concerns, consequently increasing patient care quality.
PMID- 29643053
TI - A Novel Mobile App and Population Management System to Manage Rheumatoid
Arthritis Flares: Protocol for a Randomized Controlled Trial.
AB - BACKGROUND: Rheumatoid arthritis flares have a profound effect on patients,
causing pain and disability. However, flares often occur between regularly
scheduled health care provider visits and are, therefore, difficult to monitor
and manage. We sought to develop a mobile phone app combined with a population
management system to help track RA flares between visits. OBJECTIVE: The
objective of this study is to implement the mobile app plus the population
management system to monitor rheumatoid arthritis disease activity between
scheduled health care provider visits over a period of 6 months. METHODS: This is
a randomized controlled trial that lasts for 6 months for each participant. We
aim to recruit 190 patients, randomized 50:50 to the intervention group versus
the control group. The intervention group will be assigned the mobile app and be
prompted to answer daily questionnaires sent to their mobile devices. Both groups
will be assigned a population manager, who will communicate with the participants
via telephone at 6 weeks and 18 weeks. The population manager will also
communicate with the participants in the intervention group if their responses
indicate a sustained increase in rheumatoid arthritis disease activity. To assess
patient satisfaction, the primary outcomes will be scores on the Treatment
Satisfaction Questionnaire for Medication as well as the Perceived Efficacy in
Patient-Physician Interactions questionnaire at 6 months. To determine the effect
of the mobile app on rheumatoid arthritis disease activity, the primary outcome
will be the Clinical Disease Activity Index at 6 months. RESULTS: The trial
started in November 2016, and an estimated 2.5 years will be necessary to
complete the study. Study results are expected to be published by the end of
2019. CONCLUSIONS: The completion of this study will provide important data
regarding the following: (1) the assessment of validated outcome measures to
assess rheumatoid arthritis disease activity with a mobile app between routinely
scheduled health care provider visits, (2) patient engagement in monitoring their
condition, and (3) communication between patients and health care providers
through the population management system. TRIAL REGISTRATION: ClinicalTrials.gov
NCT02822521, http://clinicaltrials.gov/ct2/show/NCT02822521 (Archived by WebCite
at http://www.webcitation.org/6xed3kGPd).
PMID- 29643054
TI - Wearable Activity Tracker Use Among Australian Adolescents: Usability and
Acceptability Study.
AB - BACKGROUND: Wearable activity trackers have the potential to be integrated into
physical activity interventions, yet little is known about how adolescents use
these devices or perceive their acceptability. OBJECTIVE: The aim of this study
was to examine the usability and acceptability of a wearable activity tracker
among adolescents. A secondary aim was to determine adolescents' awareness and
use of the different functions and features in the wearable activity tracker and
accompanying app. METHODS: Sixty adolescents (aged 13-14 years) in year 8 from 3
secondary schools in Melbourne, Australia, were provided with a wrist-worn Fitbit
Flex and accompanying app, and were asked to use it for 6 weeks. Demographic data
(age, sex) were collected via a Web-based survey completed during week 1 of the
study. At the conclusion of the 6-week period, all adolescents participated in
focus groups that explored their perceptions of the usability and acceptability
of the Fitbit Flex, accompanying app, and Web-based Fitbit profile. Qualitative
data were analyzed using pen profiles, which were constructed from verbatim
transcripts. RESULTS: Adolescents typically found the Fitbit Flex easy to use for
activity tracking, though greater difficulties were reported for monitoring
sleep. The Fitbit Flex was perceived to be useful for tracking daily activities,
and adolescents used a range of features and functions available through the
device and the app. Barriers to use included the comfort and design of the Fitbit
Flex, a lack of specific feedback about activity levels, and the inability to
wear the wearable activity tracker for water-based sports. CONCLUSIONS:
Adolescents reported that the Fitbit Flex was easy to use and that it was a
useful tool for tracking daily activities. A number of functions and features
were used, including the device's visual display to track and self-monitor
activity, goal-setting in the accompanying app, and undertaking challenges
against friends. However, several barriers to use were identified, which may
impact on sustained use over time. Overall, wearable activity trackers have the
potential to be integrated into physical activity interventions targeted at
adolescents, but both the functionality and wearability of the monitor should be
considered.
PMID- 29643055
TI - Findings of the Chronic Obstructive Pulmonary Disease-Sitting and Exacerbations
Trial (COPD-SEAT) in Reducing Sedentary Time Using Wearable and Mobile
Technologies With Educational Support: Randomized Controlled Feasibility Trial.
AB - BACKGROUND: Targeting sedentary time post exacerbation may be more relevant than
targeting structured exercise for individuals with chronic obstructive pulmonary
disease. Focusing interventions on sitting less and moving more after an
exacerbation may act as a stepping stone to increase uptake to pulmonary
rehabilitation. OBJECTIVE: The aim of this paper was to conduct a randomized
trial examining trial feasibility and the acceptability of an education and self
monitoring intervention using wearable technology to reduce sedentary behavior
for individuals with chronic obstructive pulmonary disease admitted to hospital
for an acute exacerbation. METHODS: Participants were recruited and randomized in
hospital into 3 groups, with the intervention lasting 2 weeks post discharge. The
Education group received verbal and written information about reducing their time
in sedentary behavior, sitting face-to-face with a study researcher. The
Education+Feedback group received the same education component along with real
time feedback on their sitting time, stand-ups, and steps at home through a waist
worn inclinometer linked to an app. Patients were shown how to use the technology
by the same study researcher. The inclinometer also provided vibration prompts to
encourage movement at patient-defined intervals of time. Patients and health care
professionals involved in chronic obstructive pulmonary disease exacerbation care
were interviewed to investigate trial feasibility and acceptability of trial
design and methods. Main quantitative outcomes of trial feasibility were
eligibility, uptake, and retention, and for acceptability, were behavioral
responses to the vibration prompts. RESULTS: In total, 111 patients were
approached with 33 patients recruited (11 Control, 10 Education, and 12
Education+Feedback). Retention at 2-week follow-up was 52% (17/33; n=6 for
Control, n=3 for Education, and n=8 for Education+Feedback). No study-related
adverse events occurred. Collectively, patients responded to 106 out of 325
vibration prompts from the waist-worn inclinometer (32.62%). Within 5 min of the
prompt, 41% of responses occurred, with patients standing for a mean 1.4 (SD 0.8)
min and walking for 0.4 (SD 0.3) min (21, SD 11, steps). Interviews indicated
that being unwell and overwhelmed after an exacerbation was the main reason for
not engaging with the intervention. Health care staff considered reducing
sedentary behavior potentially attractive for patients but suggested starting the
intervention as an inpatient. CONCLUSIONS: Although the data support that it was
feasible to conduct the trial, modifications are needed to improve participant
retention. The intervention was acceptable to most patients and health care
professionals. TRIAL REGISTRATION: International Standard Randomized Controlled
Trial Number (ISRCTN) 13790881; http://www.isrctn.com/ISRCTN13790881 (Archived by
WebCite at http://www.webcitation.org/6xmnRGjFf).
PMID- 29643056
TI - Mobile Breast Cancer e-Support Program for Chinese Women With Breast Cancer
Undergoing Chemotherapy (Part 1): Qualitative Study of Women's Perceptions.
AB - BACKGROUND: Women with breast cancer undergoing chemotherapy experience
difficulty in accessing adequate cancer care in China. Mobile apps have the
potential to provide easily accessible support for these women. However, there
remains a paucity of randomized controlled trials to evaluate the effectiveness
of app-based programs targeting specifically women with breast cancer undergoing
chemotherapy. Moreover, women's perceptions and experiences related to using and
interacting within the app-based program have rarely been reported. Therefore, an
app-based Breast Cancer e-Support program was developed and evaluated using a
randomized controlled trial. Based on the incorporation of Bandura's self
efficacy and social exchange theory, Breast Cancer e-Support program lasted for
12 weeks covering 4 cycles of chemotherapy and had 4 components: (1) a Learning
forum, (2) a Discussion forum, (3) an Ask-the-Expert forum, and (4) a Personal
Stories forum. OBJECTIVE: As a part of the randomized controlled trial, the aim
of this study was to explore the participants' perception of Breast Cancer e
Support program, its strengths and weaknesses, and suggestions to improve the
program. METHODS: A descriptive qualitative study was employed. Thirteen women
with breast cancer from 2 university-affiliated hospitals in China, who were
randomly allocated to the Breast Cancer e-Support program in the randomized
controlled trial, were interviewed from November 2016 to February 2017. Purposive
sampling was used based on women's scores of self-efficacy after the completion
of the intervention. Inductive content analysis was used to analyze the
transcripts, allowing the categories and subcategories to flow from the data.
RESULTS: The qualitative interviews revealed that participants perceived the
Breast Cancer e-Support program to be helpful in enhancing knowledge, improving
confidence level, and promoting emotional well-being. Women also identified
access to tailored advice from experts and convenience as the benefits of this
program. Physical or psychological health status, stigma related with breast
cancer, and app instability were mentioned as the challenges to engagement.
Suggestions for improvement included adding message reminders to prompt instant
communication and search engine to locate information quickly, supplementing more
interesting and practical knowledge, updating the information more often, and
quickening the responses to women's questions. The participants recommended the
Breast Cancer e-Support program to be incorporated as routine care to support
women during chemotherapy. CONCLUSIONS: This study demonstrates the potential of
the Breast Cancer e-Support program to support women during chemotherapy. Future
app-based programs should apply a family-centered approach and provide more
support on stigma associated with the disease to encourage engagement with the
app. Suggestions of improvement regarding the design, content, and operation of
the app-based intervention should be addressed in future studies. It is promising
to incorporate the Breast Cancer e-Support program into routine care to
generalize the benefits. TRIAL REGISTRATION: Australian New Zealand Clinical
Trials Registry ACTRN12616000639426; http://www.ANZCTR.org.au/
ACTRN12616000639426.aspx (Archived by WebCite at
http://www.webcitation.org/6v1n9hGZq).
PMID- 29643058
TI - Conceptual Framework for Addressing Residual Atherosclerotic Cardiovascular
Disease Risk in the Era of Precision Medicine.
PMID- 29643057
TI - Defective Base Excision Repair of Oxidative DNA Damage in Vascular Smooth Muscle
Cells Promotes Atherosclerosis.
AB - BACKGROUND: Atherosclerotic plaques demonstrate extensive accumulation of
oxidative DNA damage, predominantly as 8-oxoguanine (8oxoG) lesions. 8oxoG is
repaired by base excision repair enzymes; however, the mechanisms regulating
8oxoG accumulation in vascular smooth muscle cells (VSMCs) and its effects on
their function and in atherosclerosis are unknown. METHODS: We studied levels of
8oxoG and its regulatory enzymes in human atherosclerosis, the mechanisms
regulating 8oxoG repair and the base excision repair enzyme 8oxoG DNA glycosylase
I (OGG1) in VSMCs in vitro, and the effects of reducing 8oxoG in VSMCs in
atherosclerosis in ApoE-/- mice. RESULTS: Human plaque VSMCs showed defective
nuclear 8oxoG repair, associated with reduced acetylation of OGG1. OGG1 was a key
regulatory enzyme of 8oxoG repair in VSMCs, and its acetylation was crucial to
its repair function through regulation of protein stability and expression. p300
and sirtuin 1 were identified as the OGG1 acetyltransferase and deacetylase
regulators, respectively, and both proteins interacted with OGG1 and regulated
OGG1 acetylation at endogenous levels. However, p300 levels were decreased in
human plaque VSMCs and in response to oxidative stress, suggesting that reactive
oxygen species-induced regulation of OGG1 acetylation could be caused by reactive
oxygen species-induced decrease in p300 expression. We generated mice that
express VSMC-restricted OGG1 or an acetylation defective version (SM22alpha-OGG1
and SM22alpha-OGG1K-R mice) and crossed them with ApoE-/- mice. We also studied
ApoE-/- mice deficient in OGG1 (OGG1-/-). OGG1-/- mice showed increased 8oxoG in
vivo and increased atherosclerosis, whereas mice expressing VSMC-specific OGG1
but not the acetylation mutant OGG1K-R showed markedly reduced intracellular
8oxoG and reduced atherosclerosis. VSMC OGG1 reduced telomere 8oxoG accumulation,
DNA strand breaks, cell death and senescence after oxidant stress, and activation
of proinflammatory pathways. CONCLUSIONS: We identify defective 8oxoG base
excision repair in human atherosclerotic plaque VSMCs, OGG1 as a major 8oxoG
repair enzyme in VSMCs, and p300/sirtuin 1 as major regulators of OGG1 through
acetylation/deacetylation. Reducing oxidative damage by rescuing OGG1 activity
reduces plaque development, indicating the detrimental effects of 8oxoG on VSMC
function.
PMID- 29643059
TI - Higher Collagen VI Formation Is Associated With All-Cause Mortality in Patients
With Type 2 Diabetes and Microalbuminuria.
AB - OBJECTIVE: Type 2 diabetes is a common risk factor for the development of chronic
kidney disease (CKD). Enhanced de novo collagen type VI (COL VI) formation has
been associated with renal fibrosis and CKD. We investigated the hypothesis that
PRO-C6, a product specifically generated during COL VI formation, is prognostic
for adverse outcomes in patients with type 2 diabetes and microalbuminuria.
RESEARCH DESIGN AND METHODS: In a prospective, observational study, we measured
PRO-C6 in the serum (S-PRO-C6) and urine (U-PRO-C6) of 198 patients with type 2
diabetes and microalbuminuria without symptoms of coronary artery disease.
Patients were followed for a median of 6.5 years, and end points were a composite
of cardiovascular events (n = 38), all-cause mortality (n = 26), and reduction of
estimated glomerular filtration rate (eGFR) of >30% (disease progression [n =
42]). Cox models were unadjusted and adjusted for the conventional risk factors
of sex, age, BMI, systolic blood pressure, LDL cholesterol, smoking, HbA1c,
plasma creatinine, and urinary albumin excretion rate. RESULTS: Doubling of S-PRO
C6 increased hazards for cardiovascular events (hazard ratio 3.06 [95% CI 1.31
7.14]), all-cause mortality (6.91 [2.96-16.11]), and disease progression (4.81
[1.92-12.01]). Addition of S-PRO-C6 to a model containing conventional risk
factors improved relative integrated discrimination by 22.5% for cardiovascular
events (P = 0.02), 76.8% for all-cause mortality (P = 0.002), and 53.3% for
disease progression (P = 0.004). U-PRO-C6 was not significantly associated with
any of the outcomes. CONCLUSIONS: S-PRO-C6 generated during COL VI formation
predicts cardiovascular events, all-cause mortality, and disease progression in
patients with type 2 diabetes and microalbuminuria.
PMID- 29643060
TI - Use of Complementary and Alternative Medicine in Older Adults With Diabetes.
PMID- 29643061
TI - Supplemental Oxygen Improves In Vivo Mitochondrial Oxidative Phosphorylation Flux
in Sedentary Obese Adults With Type 2 Diabetes.
AB - Type 2 diabetes is associated with impaired exercise capacity. Alterations in
both muscle perfusion and mitochondrial function can contribute to exercise
impairment. We hypothesized that impaired muscle mitochondrial function in type 2
diabetes is mediated, in part, by decreased tissue oxygen delivery and would
improve with oxygen supplementation. Ex vivo muscle mitochondrial content and
respiration assessed from biopsy samples demonstrated expected differences in
obese individuals with (n = 18) and without (n = 17) diabetes. Similarly, in vivo
mitochondrial oxidative phosphorylation capacity measured in the gastrocnemius
muscle via 31P-MRS indicated an impairment in the rate of ADP depletion with rest
(27 +/- 6 s [diabetes], 21 +/- 7 s [control subjects]; P = 0.008) and oxidative
phosphorylation (P = 0.046) in type 2 diabetes after isometric calf exercise
compared with control subjects. Importantly, the in vivo impairment in oxidative
capacity resolved with oxygen supplementation in adults with diabetes (ADP
depletion rate 5.0 s faster, P = 0.012; oxidative phosphorylation 0.046 +/- 0.079
mmol/L/s faster, P = 0.027). Multiple in vivo mitochondrial measures related to
HbA1c These data suggest that oxygen availability is rate limiting for in vivo
mitochondrial oxidative exercise recovery measured with 31P-MRS in individuals
with uncomplicated diabetes. Targeting muscle oxygenation could improve exercise
function in type 2 diabetes.
PMID- 29643062
TI - A Survey on Data Reproducibility and the Effect of Publication Process on the
Ethical Reporting of Laboratory Research.
AB - Purpose: The successful translation of laboratory research into effective
therapies is dependent upon the validity of peer-reviewed publications. However,
several publications in recent years suggested that published scientific findings
could be reproduced only 11% to 45% of the time. Multiple surveys attempted to
elucidate the fundamental causes of data irreproducibility and underscored
potential solutions, more robust experimental designs, better statistics, and
better mentorship. However, no prior survey has addressed the role of the review
and publication process on honest reporting.Experimental Design: We developed an
anonymous online survey intended for trainees involved in bench research. The
survey included questions related to mentoring/career development, research
practice, integrity, and transparency, and how the pressure to publish and the
publication process itself influence their reporting practices.Results: Responses
to questions related to mentoring and training practices were largely positive,
although an average of approximately 25% did not seem to receive optimal
mentoring. A total of 39.2% revealed having been pressured by a principle
investigator or collaborator to produce "positive" data. About 62.8% admitted
that the pressure to publish influences the way they report data. The majority of
respondents did not believe that extensive revisions significantly improved the
manuscript while adding to the cost and time invested.Conclusions: This survey
indicates that trainees believe that the pressure to publish affects honest
reporting, mostly emanating from our system of rewards and advancement. The
publication process itself affects faculty and trainees and appears to influence
a shift in their ethics from honest reporting ("negative data") to selective
reporting, data falsification, or even fabrication. Clin Cancer Res; 24(14); 3447
55. (c)2018 AACR.
PMID- 29643063
TI - Evaluation of Prexasertib, a Checkpoint Kinase 1 Inhibitor, in a Phase Ib Study
of Patients with Squamous Cell Carcinoma.
AB - Purpose: Prexasertib, a checkpoint kinase 1 inhibitor, demonstrated single-agent
activity in patients with advanced squamous cell carcinoma (SCC) in the dose
escalation portion of a phase I study (NCT01115790). Monotherapy prexasertib was
further evaluated in patients with advanced SCC.Patients and Methods: Patients
were given prexasertib 105 mg/m2 as a 1-hour infusion on day 1 of a 14-day cycle.
Expansion cohorts were defined by tumor and treatment line. Safety, tolerability,
efficacy, and exploratory biomarkers were analyzed.Results: Prexasertib was given
to 101 patients, including 26 with SCC of the anus, 57 with SCC of the head and
neck (SCCHN), and 16 with squamous cell non-small cell lung cancer (sqNSCLC).
Patients were heavily pretreated (49% >=3 prior regimens). The most common
treatment-related adverse event was grade 4 neutropenia (71%); 12% of patients
had febrile neutropenia. Median progression-free survival was 2.8 months [90%
confidence interval (CI), 1.9-4.2] for SCC of the anus, 1.6 months (90% CI, 1.4
2.8) for SCCHN, and 3.0 months (90% CI, 1.4-3.9) for sqNSCLC. The clinical
benefit rate at 3 months (complete response + partial response + stable disease)
across tumors was 29% (23% SCC of the anus, 28% SCCHN, 44% sqNSCLC). Four
patients with SCC of the anus had partial or complete response [overall response
rate (ORR) = 15%], and three patients with SCCHN had partial response (ORR = 5%).
Biomarker analyses focused on genes that altered DNA damage response or increased
replication stress.Conclusions: Prexasertib demonstrated an acceptable safety
profile and single-agent activity in patients with advanced SCC. The prexasertib
maximum-tolerated dose of 105 mg/m2 was confirmed as the recommended phase II
dose. Clin Cancer Res; 24(14); 3263-72. (c)2018 AACR.
PMID- 29643064
TI - Racial Heterogeneity in Treatment Effects in Peripheral Artery Disease: Insights
From the CLEVER Trial (Claudication: Exercise Versus Endoluminal
Revascularization).
PMID- 29643066
TI - Early Ambulation Among Hospitalized Heart Failure Patients Is Associated With
Reduced Length of Stay and 30-Day Readmissions.
AB - BACKGROUND: Early ambulation (EA) is associated with improved outcomes for
mechanically ventilated and stroke patients. Whether the same association exists
for patients hospitalized with acute heart failure is unknown. We sought to
determine whether EA among patients hospitalized with heart failure is associated
with length of stay, discharge disposition, 30-day post discharge readmissions,
and mortality. METHODS AND RESULTS: The study population included 369 hospitals
and 285 653 patients with heart failure enrolled in the Get With The Guidelines
Heart Failure registry. We used multivariate logistic regression with generalized
estimating equations at the hospital level to identify predictors of EA and
determine the association between EA and outcomes. Sixty-five percent of patients
ambulated by day 2 of the hospital admission. Patient-level predictors of EA
included younger age, male sex, and hospitalization outside of the Northeast
(P<0.01 for all). Hospital size and academic status were not predictive. Hospital
level analysis revealed that those hospitals with EA rates in the top 25% were
less likely to have a long length of stay (defined as >4 days) compared with
those in the bottom 25% (odds ratio, 0.83; confidence interval, 0.73-0.94;
P=0.004). Among a subgroup of fee-for-service Medicare beneficiaries, we found
that hospitals in the highest quartile of rates of EA demonstrated a
statistically significant 24% lower 30-day readmission rates (P<0.0001). Both end
points demonstrated a dose-response association and statistically significant P
for trend test. CONCLUSIONS: Multivariable-adjusted hospital-level analysis
suggests an association between EA and both shorter length of stay and lower 30
day readmissions. Further prospective studies are needed to validate these
findings.
PMID- 29643065
TI - Pulmonary Effective Arterial Elastance as a Measure of Right Ventricular
Afterload and Its Prognostic Value in Pulmonary Hypertension Due to Left Heart
Disease.
AB - BACKGROUND: Patients with combined post- and precapillary pulmonary hypertension
due to left heart disease have a worse prognosis compared with isolated
postcapillary. However, it remains unclear whether increased mortality in
combined post- and precapillary pulmonary hypertension is simply a result of
higher total right ventricular load. Pulmonary effective arterial elastance (Ea)
is a measure of total right ventricular afterload, reflecting both resistive and
pulsatile components. We aimed to test whether pulmonary Ea discriminates
survivors from nonsurvivors in patients with pulmonary hypertension due to left
heart disease and if it does so better than other hemodynamic parameters
associated with combined post- and precapillary pulmonary hypertension. METHODS
AND RESULTS: We combined 3 large heart failure patient cohorts (n=1036) from
academic hospitals, including patients with pulmonary hypertension due to heart
failure with preserved ejection fraction (n=232), reduced ejection fraction
(n=335), and a mixed population (n=469). In unadjusted and 2 adjusted models,
pulmonary Ea more robustly predicted mortality than pulmonary vascular resistance
and the transpulmonary gradient. Along with pulmonary arterial compliance,
pulmonary Ea remained predictive of survival in patients with normal pulmonary
vascular resistance. The diastolic pulmonary gradient did not predict mortality.
In addition, in a subset of patients with echocardiographic data, Ea and
pulmonary arterial compliance were better discriminators of right ventricular
dysfunction than the other parameters. CONCLUSIONS: Pulmonary Ea and pulmonary
arterial compliance more consistently predicted mortality than pulmonary vascular
resistance or transpulmonary gradient across a spectrum of left heart disease
with pulmonary hypertension, including patients with heart failure with preserved
ejection fraction, heart failure with reduced ejection fraction, and pulmonary
hypertension with a normal pulmonary vascular resistance.
PMID- 29643067
TI - Incidence, Predictors, and Outcomes Associated With Hypotensive Episodes Among
Heart Failure Patients Receiving Sacubitril/Valsartan or Enalapril: The PARADIGM
HF Trial (Prospective Comparison of Angiotensin Receptor Neprilysin Inhibitor
With Angiotensin-Converting Enzyme Inhibitor to Determine Impact on Global
Mortality and Morbidity in Heart Failure).
AB - BACKGROUND: In PARADIGM-HF (Prospective Comparison of Angiotensin Receptor
Neprilysin Inhibitor With Angiotensin-Converting Enzyme Inhibitor to Determine
Impact on Global Mortality and Morbidity in Heart Failure), heart failure
treatment with sacubitril/valsartan reduced the primary composite outcome of
cardiovascular death or heart failure hospitalization compared with enalapril but
resulted in more symptomatic hypotension. Concern on hypotension may be limiting
use of sacubitril/valsartan in appropriate patients. METHODS AND RESULTS: We
characterized patients in PARADIGM-HF by whether they reported hypotension during
study run-in periods (enalapril, followed by sacubitril/valsartan) and after
randomization and assessed whether hypotension modified the efficacy of
sacubitril/valsartan. Of the 10 513 patients entering the enalapril run-in, 136
(1.3%) experienced hypotension and 93 (68%) were unable to continue to the next
phase; of 9419 patients entering the sacubitril/valsartan run-in period, 228
(2.4%) patients experienced hypotension and 51% were unable to successfully
complete the run-in. After randomization, 388 (9.2%) participants had 501
hypotensive events with enalapril, and 588 (14.0%) participants had 803
hypotensive events with sacubitril/valsartan (P<0.001). There was no difference
between randomized treatment groups in the number of participants who
discontinued therapy because of hypotension. Individuals with a hypotensive event
in either group were older, had lower blood pressure at randomization, and were
more likely to have an implantable cardioverter defibrillator. Participants with
hypotensive events during run-in who were ultimately randomized derived similar
efficacy from sacubitril/valsartan compared with enalapril as those without
hypotensive events (P interaction>0.90). CONCLUSIONS: Hypotension was more common
with sacubitril/valsartan relative to enalapril in PARADIGM-HF but did not
differentially affect permanent discontinuations. Patients with hypotension
during run-in derived similar benefit from sacubitril/valsartan compared with
enalapril as those who did not experience hypotension.
PMID- 29643068
TI - Hydrogen peroxide is a neuronal alarmin that triggers specific RNAs, local
translation of Annexin A2, and cytoskeletal remodeling in Schwann cells.
AB - Schwann cells are key players in neuro-regeneration: They sense "alarm" signals
released by degenerating nerve terminals and differentiate toward a
proregenerative phenotype, with phagocytosis of nerve debris and nerve guidance.
At the murine neuromuscular junction, hydrogen peroxide (H2O2) is a key signal of
Schwann cells' activation in response to a variety of nerve injuries. Here we
report that Schwann cells exposed to low doses of H2O2 rewire the expression of
several RNAs at both transcriptional and translational levels. Among the genes
positively regulated at both levels, we identified an enriched cluster involved
in cytoskeleton remodeling and cell migration, with the Annexin (Anxa) proteins
being the most represented family. We show that both Annexin A2 (Anxa2)
transcript and protein accumulate at the tips of long pseudopods that Schwann
cells extend upon H2O2 exposure. Interestingly, Schwann cells reply to this
signal and to nerve injury by locally translating Anxa2 in pseudopods, and
undergo an extensive cytoskeleton remodeling. Our results show that, similarly to
neurons, Schwann cells take advantage of local protein synthesis to change shape
and move toward damaged axonal terminals to facilitate axonal regeneration.
PMID- 29643070
TI - Neurobehavioral Outcomes 11 Years After Neonatal Caffeine Therapy for Apnea of
Prematurity.
AB - BACKGROUND AND OBJECTIVES: Caffeine is effective in the treatment of apnea of
prematurity. Although caffeine therapy has a benefit on gross motor skills in
school-aged children, effects on neurobehavioral outcomes are not fully
understood. We aimed to investigate effects of neonatal caffeine therapy in very
low birth weight (500-1250 g) infants on neurobehavioral outcomes in 11-year-old
participants of the Caffeine for Apnea of Prematurity trial. METHODS: Thirteen
academic hospitals in Canada, Australia, Great Britain, and Sweden participated
in this part of the 11-year follow-up of the double-blind, randomized, placebo
controlled trial. Measures of general intelligence, attention, executive
function, visuomotor integration and perception, and behavior were obtained in up
to 870 children. The effects of caffeine therapy were assessed by using
regression models. RESULTS: Neurobehavioral outcomes were generally similar for
both the caffeine and placebo group. The caffeine group performed better than the
placebo group in fine motor coordination (mean difference [MD] = 2.9; 95%
confidence interval [CI]: 0.7 to 5.1; P = .01), visuomotor integration (MD = 1.8;
95% CI: 0.0 to 3.7; P < .05), visual perception (MD = 2.0; 95% CI: 0.3 to 3.8; P
= .02), and visuospatial organization (MD = 1.2; 95% CI: 0.4 to 2.0; P = .003).
CONCLUSIONS: Neonatal caffeine therapy for apnea of prematurity improved
visuomotor, visuoperceptual, and visuospatial abilities at age 11 years. General
intelligence, attention, and behavior were not adversely affected by caffeine,
which highlights the long-term safety of caffeine therapy for apnea of
prematurity in very low birth weight neonates.
PMID- 29643069
TI - An m6A-YTH Module Controls Developmental Timing and Morphogenesis in Arabidopsis.
AB - Methylation of N6-adenosine (m6A) in mRNA is an important posttranscriptional
gene regulatory mechanism in eukaryotes. m6A provides a binding site for effector
proteins ("readers") that influence pre-mRNA splicing, mRNA degradation, or
translational efficiency. YT521-B homology (YTH) domain proteins are important
m6A readers with established functions in animals. Plants contain more YTH domain
proteins than other eukaryotes, but their biological importance remains unknown.
Here, we show that the cytoplasmic Arabidopsis thaliana YTH domain proteins
EVOLUTIONARILY CONSERVED C-TERMINAL REGION2/3 (ECT2/3) are required for the
correct timing of leaf formation and for normal leaf morphology. These functions
depend fully on intact m6A binding sites of ECT2 and ECT3, indicating that they
function as m6A readers. Mutation of the close ECT2 homolog, ECT4, enhances the
delayed leaf emergence and leaf morphology defects of ect2/ect3 mutants, and all
three ECT proteins are expressed at leaf formation sites in the shoot apex of
young seedlings and in the division zone of developing leaves. ECT2 and ECT3 are
also highly expressed at early stages of trichome development and are required
for trichome morphology, as previously reported for m6A itself. Overall, our
study establishes the relevance of a cytoplasmic m6A-YTH regulatory module in the
timing and execution of plant organogenesis.
PMID- 29643071
TI - Facilitating the Timely Discharge of Well Newborns by Using Quality Improvement
Methods.
AB - BACKGROUND AND OBJECTIVES: Discharges are a key driver of hospital throughput.
Our pediatric hospitalist team sought to improve newborn nursery throughput by
increasing the percentage of newborns on our service with a discharge order by 11
am. We hypothesized that implementing a discharge checklist would result in
earlier discharge times for newborns who met discharge criteria. METHODS: We
identified barriers to timely discharge through focus groups with key
stakeholders, chart reviews, and brainstorming sessions. We subsequently created
and implemented a discharge checklist to identify and address barriers before
daily rounds. We tracked mean monthly discharge order times. Finally, we
performed chart reviews to determine causes for significantly delayed discharge
orders and used this information to modify rounding practices during a second
plan-do-study-act cycle. RESULTS: During the 2-year period before the
intervention, 24% of 3224 newborns had a discharge order entered by 11 am. In the
20 months after the intervention, 39% of 2739 newborns had a discharge order by
11 am, a 63% increase compared with the baseline. Observation for group B
Streptococcus exposure was the most frequent reason for a late discharge order.
CONCLUSIONS: There are many factors that affect the timely discharge of well
newborns. The development and implementation of a discharge checklist improved
our ability to discharge newborns on our pediatric hospitalist service by 11 am.
Future studies to identify nonphysician barriers to timely newborn discharges may
lead to further improvements in throughput between the labor and delivery and
maternity suites units.
PMID- 29643072
TI - Life history variation is maintained by fitness trade-offs and negative frequency
dependent selection.
AB - The maintenance of diverse life history strategies within and among species
remains a fundamental question in ecology and evolutionary biology. By using a
near-complete 16-year pedigree of 12,579 winter-run steelhead (Oncorhynchus
mykiss) from the Hood River, Oregon, we examined the continued maintenance of two
life history traits: the number of lifetime spawning events (semelparous vs.
iteroparous) and age at first spawning (2-5 years). We found that repeat-spawning
fish had more than 2.5 times the lifetime reproductive success of single-spawning
fish. However, first-time repeat-spawning fish had significantly lower
reproductive success than single-spawning fish of the same age, suggesting that
repeat-spawning fish forego early reproduction to devote additional energy to
continued survival. For single-spawning fish, we also found evidence for a
fitness trade-off for age at spawning: older, larger males had higher
reproductive success than younger, smaller males. For females, in contrast, we
found that 3-year-old fish had the highest mean lifetime reproductive success
despite the observation that 4- and 5-year-old fish were both longer and heavier.
This phenomenon was explained by negative frequency-dependent selection: as 4-
and 5-year-old fish decreased in frequency on the spawning grounds, their
lifetime reproductive success became greater than that of the 3-year-old fish.
Using a combination of mathematical and individual-based models parameterized
with our empirical estimates, we demonstrate that both fitness trade-offs and
negative frequency-dependent selection observed in the empirical data can
theoretically maintain the diverse life history strategies found in this
population.
PMID- 29643073
TI - The plant hormone ethylene restricts Arabidopsis growth via the epidermis.
AB - The gaseous hormone ethylene plays a key role in plant growth and development,
and it is a major regulator of stress responses. It inhibits vegetative growth by
restricting cell elongation, mainly through cross-talk with auxins. However, it
remains unknown whether ethylene controls growth throughout all plant tissues or
whether its signaling is confined to specific cell types. We employed a targeted
expression approach to map the tissue site(s) of ethylene growth regulation. The
ubiquitin E3 ligase complex containing Skp1, Cullin1, and the F-box protein EBF1
or EBF2 (SCFEBF1/2) target the degradation of EIN3, the master transcription
factor in ethylene signaling. We coupled EBF1 and EBF2 to a number of cell type
specific promoters. Using phenotypic assays for ethylene response and mutant
complementation, we revealed that the epidermis is the main site of ethylene
action controlling plant growth in both roots and shoots. Suppression of ethylene
signaling in the epidermis of the constitutive ethylene signaling mutant ctr1-1
was sufficient to rescue the mutant phenotype, pointing to the epidermis as a key
cell type required for ethylene-mediated growth inhibition.
PMID- 29643074
TI - Nucleus-specific expression in the multinuclear mushroom-forming fungus Agaricus
bisporus reveals different nuclear regulatory programs.
AB - Many fungi are polykaryotic, containing multiple nuclei per cell. In the case of
heterokaryons, there are different nuclear types within a single cell. It is
unknown what the different nuclear types contribute in terms of mRNA expression
levels in fungal heterokaryons. Each cell of the mushroom Agaricus bisporus
contains two to 25 nuclei of two nuclear types originating from two parental
strains. Using RNA-sequencing data, we assess the differential mRNA contribution
of individual nuclear types and its functional impact. We studied differential
expression between genes of the two nuclear types, P1 and P2, throughout mushroom
development in various tissue types. P1 and P2 produced specific mRNA profiles
that changed through mushroom development. Differential regulation occurred at
the gene level, rather than at the locus, chromosomal, or nuclear level. P1
dominated mRNA production throughout development, and P2 showed more
differentially up-regulated genes in important functional groups. In the
vegetative mycelium, P2 up-regulated almost threefold more metabolism genes and
carbohydrate active enzymes (cazymes) than P1, suggesting phenotypic differences
in growth. We identified widespread transcriptomic variation between the nuclear
types of A. bisporus Our method enables studying nucleus-specific expression,
which likely influences the phenotype of a fungus in a polykaryotic stage. Our
findings have a wider impact to better understand gene regulation in fungi in a
heterokaryotic state. This work provides insight into the transcriptomic
variation introduced by genomic nuclear separation.
PMID- 29643075
TI - Widespread changes in transcriptome profile of human mesenchymal stem cells
induced by two-dimensional nanosilicates.
AB - Two-dimensional nanomaterials, an ultrathin class of materials such as graphene,
nanoclays, transition metal dichalcogenides (TMDs), and transition metal oxides
(TMOs), have emerged as a new generation of materials due to their unique
properties relative to macroscale counterparts. However, little is known about
the transcriptome dynamics following exposure to these nanomaterials. Here, we
investigate the interactions of 2D nanosilicates, a layered clay, with human
mesenchymal stem cells (hMSCs) at the whole-transcriptome level by high
throughput sequencing (RNA-seq). Analysis of cell-nanosilicate interactions by
monitoring changes in transcriptome profile uncovered key biophysical and
biochemical cellular pathways triggered by nanosilicates. A widespread alteration
of genes was observed due to nanosilicate exposure as more than 4,000 genes were
differentially expressed. The change in mRNA expression levels revealed clathrin
mediated endocytosis of nanosilicates. Nanosilicate attachment to the cell
membrane and subsequent cellular internalization activated stress-responsive
pathways such as mitogen-activated protein kinase (MAPK), which subsequently
directed hMSC differentiation toward osteogenic and chondrogenic lineages. This
study provides transcriptomic insight on the role of surface-mediated cellular
signaling triggered by nanomaterials and enables development of nanomaterials
based therapeutics for regenerative medicine. This approach in understanding
nanomaterial-cell interactions illustrates how change in transcriptomic profile
can predict downstream effects following nanomaterial treatment.
PMID- 29643076
TI - High Salt Cross-Protects Escherichia coli from Antibiotic Treatment through
Increasing Efflux Pump Expression.
AB - Environmental stresses often co-occur when bacteria encounter antibiotic
treatment inside the human body. The cellular response to environmental stressors
can alter the global gene expression pattern of bacteria. However, the
relationship between the cellular stress response and antibiotic susceptibility
remains poorly understood. Here we studied the effect of high salt, an important
environmental stress condition inside the human body, on bacterial susceptibility
to antibiotics. We found that high salt reduces the susceptibility of Escherichia
coli to tetracycline and chloramphenicol, leading to a cross-protection effect.
The cross-protection effect originates from the increased AcrAB-TolC efflux pump
expression level under high-salt conditions. Our study demonstrates that stress
induced gene expression alterations can cross-protect bacteria from antibiotic
treatment and should thus be considered when investigating antibiotic
susceptibility and applying antimicrobial treatment.IMPORTANCE Environmental
stresses often co-occur when bacteria confront antibiotic treatment. We provide a
clear example that a natural stress condition (high salt) can cross-protect
bacteria from antibiotic treatment by triggering the bacterial stress response
program (elevated AcrAB-TolC efflux pump expression). Our study highlights the
importance of taking the co-occurrence of bacterial environmental stresses into
consideration when investigating antibiotic susceptibility and applying
antimicrobial treatment.
PMID- 29643077
TI - Single-Cell Analysis Reveals Distinct Gene Expression and Heterogeneity in Male
and Female Plasmodium falciparum Gametocytes.
AB - Sexual reproduction is an obligate step in the Plasmodium falciparum life cycle,
with mature gametocytes being the only form of the parasite capable of human-to
mosquito transmission. Development of male and female gametocytes takes 9 to 12
days, and although more than 300 genes are thought to be specific to gametocytes,
only a few have been postulated to be male or female specific. Because these
genes are often expressed during late gametocyte stages and for some, male- or
female-specific transcript expression is debated, the separation of male and
female populations is technically challenging. To overcome these challenges, we
have developed an unbiased single-cell approach to determine which transcripts
are expressed in male versus female gametocytes. Using microfluidic technology,
we isolated single mid- to late-stage gametocytes to compare the expression of 91
genes, including 87 gametocyte-specific genes, in 90 cells. Such analysis
identified distinct gene clusters whose expression was associated with male,
female, or all gametocytes. In addition, a small number of male gametocytes
clustered separately from female gametocytes based on sex-specific expression
independent of stage. Many female-enriched genes also exhibited stage-specific
expression. RNA fluorescent in situ hybridization of male and female markers
validated the mutually exclusive expression pattern of male and female
transcripts in gametocytes. These analyses uncovered novel male and female
markers that are expressed as early as stage III gametocytogenesis, providing
further insight into Plasmodium sex-specific differentiation previously masked in
population analyses. Our single-cell approach reveals the most robust markers for
sex-specific differentiation in Plasmodium gametocytes. Such single-cell
expression assays can be generalized to all eukaryotic pathogens.IMPORTANCE Most
human deaths that result from malaria are caused by the eukaryotic parasite
Plasmodium falciparum The only form of this parasite that is transmitted to the
mosquito is the sexual form, called the gametocyte. The production of mature
gametocytes can take up to 2 weeks and results in phenotypically distinct males
and females, although what causes this gender-specific differentiation remains
largely unknown. Here, we demonstrate the first use of microfluidic technology to
capture single gametocytes and determine their temporal sex-specific gene
expression in an unbiased manner. We were able to determine male or female
identity of single cells based on the upregulation of gender-specific genes as
early as mid-stage gametocytes. This analysis has revealed strong markers for
male and female gametocyte differentiation that were previously concealed in
population analyses. Similar single-cell analyses in eukaryotic pathogens using
this method may uncover rare cell types and heterogeneity previously masked in
population studies.
PMID- 29643078
TI - Ehrlichia chaffeensis TRP75 Interacts with Host Cell Targets Involved in
Homeostasis, Cytoskeleton Organization, and Apoptosis Regulation To Promote
Infection.
AB - Ehrlichia chaffeensis is an obligately intracellular bacterium that exhibits
tropism for mononuclear phagocytes. The mechanisms involved in E. chaffeensis
infection of the host cell and evasion of host defenses are not fully defined,
but a subset of type 1 secreted tandem repeat protein (TRP) effectors play
important roles. Recently, we determined molecular interactions of TRP120, TRP47,
and TRP32 with the eukaryotic host cell. In this investigation, we used yeast two
hybrid analysis to reveal that another E. chaffeensis tandem repeat protein,
TRP75, interacts with a diverse group of human proteins associated with
organismal and tissue homeostasis, multiple metabolic processes and regulation,
response to reactive oxygen species, signal transduction, and protein
modifications. Thirteen identified host target proteins associated with actin
cytoskeleton reorganization or apoptosis were examined in detail and confirmed to
interact with TRP75 at different levels as determined by coimmunoprecipitation
assays. These protein interactions were visualized by immunofluorescence confocal
microscopy during infection and colocalized with Ehrlichia morulae with different
intensities. Moreover, small interfering RNAs (siRNAs) (n = 86) were used to
knock down identified TRP75-interacting host proteins separately, and their
influence on ehrlichial infection was investigated by real-time quantitative PCR
(qPCR). Knockdown of 74/86 (86%) TRP75 target proteins had a significant negative
effect on ehrlichial infection. The results of this study further support the
idea of a role of Ehrlichia TRPs as effectors that interact with a complex array
of host proteins to promote ehrlichial infection.IMPORTANCE Human monocytic
ehrlichiosis (HME) is caused by an obligatory intracellular bacterium, E.
chaffeensis, and is one of the most prevalent, life-threatening emerging
infectious zoonoses in the United States. The mechanisms through which E.
chaffeensis invades and establishes an intracellular niche are not well
understood but are dependent on secreted ehrlichial effector proteins. The
significance of this study is in addressing how intracellular pathogens,
particularly those with small genomes such as Ehrlichia, exploit a limited number
of secreted effector proteins such as tandem repeat proteins (TRPs) to manipulate
complex eukaryotes and to regulate host cell processes through molecular pathogen
host interplay. The results of our studies highlight the broader role of
ehrlichial TRPs in promoting infection and help define the mechanisms through
which obligately intracellular bacteria modulate host cell function for survival.
PMID- 29643079
TI - Age at menopause and lifetime cognition: Findings from a British birth cohort
study.
AB - OBJECTIVE: We investigated whether cognitive performance between ages 43 and 69
years was associated with timing of menopause, controlling for hormone
replacement therapy, childhood cognitive ability, and sociobehavioral factors.
METHODS: We used data from 1,315 women participating in the Medical Research
Council National Survey of Health and Development (a British birth cohort study)
with known age at period cessation and up to 4 assessments of verbal memory (word
learning task) and processing speed (letter-cancellation task) at ages 43, 53, 60
64, and 69. We fitted multilevel models with linear and quadratic age terms,
stratified by natural or surgical menopause, and adjusted for hormone replacement
therapy, body mass index, smoking, occupational class, education, and childhood
cognitive ability. RESULTS: Verbal memory increased with later age at natural
menopause (0.17 words per year, 95% confidence interval [CI]: 0.07-0.27, p =
0.001); an association remained, albeit attenuated, after full adjustment (0.09,
95% CI: 0.02-0.17, p = 0.013). Verbal memory also increased with later age at
surgical menopause (0.16, 95% CI: 0.06-0.27, p = 0.002), but this association was
fully attenuated after adjustment. Search speed was not associated with age at
menopause. CONCLUSION: Our findings suggest lifelong hormonal processes, not just
short-term fluctuations during the menopause transition, may be associated with
verbal memory, consistent with evidence from a variety of neurobiological
studies; mechanisms are likely to involve estrogen receptor beta function.
Further follow-up is required to assess fully the clinical significance of these
associations.
PMID- 29643082
TI - Updating Neurology(r) authorship criteria: Ensuring inclusion of those making
valuable intellectual contributions.
PMID- 29643080
TI - Periodic limb movements during sleep in stroke/TIA: Prevalence, course, and
cardiovascular burden.
AB - OBJECTIVE: To define the prevalence, time course, and associated factors of
periodic limb movements during sleep (PLMS) in patients with ischemic stroke or
TIA. METHODS: Patients enrolled in the prospective Sleep-Disordered Breathing in
Transient Ischemia Attack (TIA)/Ischemic Stroke and Continuous Positive Airway
Pressure (CPAP) Treatment Efficacy (SAS-CARE) study underwent a double
polysomnographic investigation in the acute and chronic phases after stroke/TIA,
together with a MRI brain scan and a 24-hour blood pressure evaluation. The
prevalence of PLMS in patients was compared with that in a matched sample of
randomly selected healthy controls from the HypnoLaus cohort. One hundred sixty
nine recordings were performed in the acute phase and 191 after 3 months (210
recordings were obtained from the same 105 patients in both phases) and were
compared to those of 162 controls. RESULTS: The mean number of PLMS per hour and
the percentage of participants with a PLMS index >10 and >15 per hour were
similar between patients and controls. PLMS remained stable from the acute to the
chronic phase after stroke. Factors positively associated with PLMS were age,
body mass index, and history of hypertension. Blood pressure over 24 hours and
the burden of cerebrovascular damage were similar between the groups with PLMS
and without PLMS. CONCLUSIONS: PLMS are equally frequent in patients with
stroke/TIA and the general population. The absence of higher blood pressure
values and of a greater vascular brain damage found in patients with PLMS
compared to those without PLMS might be due to a greater use of antihypertensive
medication among patients with PLMS, which corresponds to a higher prevalence of
previous diagnosis of hypertension in these patients.
PMID- 29643081
TI - Integration of risk factors for Parkinson disease in 2 large longitudinal
cohorts.
AB - OBJECTIVE: To prospectively examine how selected lifestyle factors and family
history of Parkinson disease (PD) combine to determine overall PD risk. METHODS:
We derived risk scores among 69,968 women in the Nurses' Health Study (NHS) (1984
2012) and 45,830 men in the Health Professionals Follow-up Study (HPFS) (1986
2012). Risk scores were computed for each individual based on the following
factors previously associated with PD risk: total caffeine intake, smoking,
physical activity, and family history of PD for the NHS, and additionally total
flavonoid intake and dietary urate index for the HPFS. Hazard ratios were
estimated using Cox proportional hazards models. In addition, we performed tests
of interactions on both the multiplicative and additive scale between pairs of
risk factors. RESULTS: We documented 1,117 incident PD cases during follow-up.
The adjusted hazard ratios comparing individuals in the highest category of the
reduced risk score to those in the lowest category were 0.33 (95% confidence
interval: 0.21, 0.49; ptrend < 0.0001) in the NHS and 0.18 (95% confidence
interval: 0.10, 0.32; ptrend < 0.0001) in the HPFS. Results were similar when
applying the risk scores computed by summing the predictors weighted by the log
of their individual effect sizes on PD risk in these cohorts. Additive
interaction was present between no family history of PD and caffeine in men and
between caffeine and physical activity in women. CONCLUSIONS: Our results suggest
that known protective factors for PD tend to have additive or superadditive
effects, so that PD risk is very low in individuals with multiple protective risk
factors.
PMID- 29643083
TI - HeadPoST: Rightly positioned, or flat out wrong?
AB - OBJECTIVE: To critique the Head Positioning in Stroke Trial (HeadPoST) study
methods in relation to preceding research findings in an aim to clarify the
potential efficacy of positioning interventions and direction for future
research. METHODS: Head positioning research prior to the conduct of HeadPoST was
reviewed by a team of international stroke experts, and methods and findings were
compared to HeadPoST. RESULTS: Methods used to select HeadPoST patients differ
substantially from those used in original head positioning studies, in particular
enrollment of all types of stroke. HeadPoST enrolled primarily minor strokes
(median NIH Stroke Scale 4, interquartile range [IQR] 2-8) without vascular
imaging confirmation of subtype; elapsed time from stroke symptom onset to the
initiation of intervention was late (median 14 hours, IQR 5-35), and time from
hospital admission to enrollment was delayed (median 7 hours, IQR 2-26).
Intervention integrity was not reported, including ability to achieve/maintain 30
degrees head elevation in beds lacking head elevation capabilities.
Deterioration or improvement associated with the intervention is unknown as
serial assessments were not completed, and the trial's 3-month outcome was
powered using unrelated study data. CONCLUSIONS: The design of HeadPoST was
suboptimal to measure differences produced by the intervention. Future head
positioning trials in discrete patient cohorts (in particular, large vessel
occlusion) with endpoints supported by pilot work are required to understand the
efficacy of this simple yet potentially important intervention.
PMID- 29643085
TI - Hundreds more doctors from overseas are applying to work in the UK.
PMID- 29643086
TI - Better patient flow in cardiac and thoracic surgery could save L45m a year in
England.
PMID- 29643088
TI - The "greener grass" of a consultant post looks like a tired lawn.
PMID- 29643084
TI - Factors associated with treatment delays in pediatric refractory convulsive
status epilepticus.
AB - OBJECTIVE: To identify factors associated with treatment delays in pediatric
patients with convulsive refractory status epilepticus (rSE). METHODS: This
prospective, observational study was performed from June 2011 to March 2017 on
pediatric patients (1 month to 21 years of age) with rSE. We evaluated potential
factors associated with increased treatment delays in a Cox proportional hazards
model. RESULTS: We studied 219 patients (53% males) with a median (25th-75th
percentiles [p25-p75]) age of 3.9 (1.2-9.5) years in whom rSE started out of
hospital (141 [64.4%]) or in hospital (78 [35.6%]). The median (p25-p75) time
from seizure onset to treatment was 16 (5-45) minutes to first benzodiazepine
(BZD), 63 (33-146) minutes to first non-BZD antiepileptic drug (AED), and 170
(107-539) minutes to first continuous infusion. Factors associated with more
delays to administration of the first BZD were intermittent rSE (hazard ratio
[HR] 1.54, 95% confidence interval [CI] 1.14-2.09; p = 0.0467) and out-of
hospital rSE onset (HR 1.5, 95% CI 1.11-2.04; p = 0.0467). Factors associated
with more delays to administration of the first non-BZD AED were intermittent rSE
(HR 1.78, 95% CI 1.32-2.4; p = 0.001) and out-of-hospital rSE onset (HR 2.25, 95%
CI 1.67-3.02; p < 0.0001). None of the studied factors were associated with a
delayed administration of continuous infusion. CONCLUSION: Intermittent rSE and
out-of-hospital rSE onset are independently associated with longer delays to
administration of the first BZD and the first non-BZD AED in pediatric rSE. These
factors identify potential targets for intervention to reduce time to treatment.
PMID- 29643087
TI - Selection of morphological features of pollen grains for chosen tree taxa.
AB - The basis of aerobiological studies is to monitor airborne pollen concentrations
and pollen season timing. This task is performed by appropriately trained staff
and is difficult and time consuming. The goal of this research is to select
morphological characteristics of grains that are the most discriminative for
distinguishing between birch, hazel and alder taxa and are easy to determine
automatically from microscope images. This selection is based on the split
attributes of the J4.8 classification trees built for different subsets of
features. Determining the discriminative features by this method, we provide
specific rules for distinguishing between individual taxa, at the same time
obtaining a high percentage of correct classification. The most discriminative
among the 13 morphological characteristics studied are the following: number of
pores, maximum axis, minimum axis, axes difference, maximum oncus width, and
number of lateral pores. The classification result of the tree based on this
subset is better than the one built on the whole feature set and it is almost
94%. Therefore, selection of attributes before tree building is recommended. The
classification results for the features easiest to obtain from the image, i.e.
maximum axis, minimum axis, axes difference, and number of lateral pores, are
only 2.09 pp lower than those obtained for the complete set, but 3.23 pp lower
than the results obtained for the selected most discriminating attributes only.
PMID- 29643090
TI - A question of 'fairness': Why ethics should factor in the Court of Arbitration
for Sport's decision on the IAAF Hyperandrogenism Regulations.
PMID- 29643089
TI - Physicians' political preferences and the delivery of end of life care in the
United States: retrospective observational study.
AB - OBJECTIVES: To compare the delivery of end of life care given to US Medicare
beneficiaries in hospital by internal medicine physicians with Republican versus
Democrat political affiliations. DESIGN: Retrospective observational study.
SETTING: US Medicare. PARTICIPANTS: Random sample of Medicare beneficiaries, who
were admitted to hospital in 2008-12 with a general medical condition, and died
in hospital or shortly thereafter. MAIN OUTCOME MEASURES: Total inpatient
spending, intensive care unit use, and intensive end of life treatments (eg,
mechanical ventilation and gastrostomy tube insertion) among patients dying in
hospital, and hospice referral among patients discharged but at high predicted
risk of 30 day mortality after discharge. Physicians were categorized as
Democrat, Republican, or non-donors, using federal political contribution data.
RESULTS: Among 1 480 808 patients, 93 976 (6.3%) were treated by 1523 Democratic
physicians, 58 876 (4.0%) by 768 Republican physicians, and 1 327 956 (89.6%) by
23 627 non-donor physicians. Patient demographics and clinical characteristics
were similar between groups. Democrat physicians were younger, more likely to be
female, and more likely to have graduated from a top 20 US medical school than
Republican physicians. Mean end of life spending, after adjustment for patient
covariates and hospital specific fixed effects, was US$17 938 (L12 872; ?14 612)
among Democrat physicians (95% confidence interval $17 176 to $18 700) and $18
409 among Republican physicians ($17 362 to $19 456; adjusted Republican v
Democrat difference, $472 (-$803 to $1747), P=0.47). Intensive end of life
treatments for patients who died in hospital did not vary by physician political
affiliation. The proportion of patients discharged from hospital to hospice did
not vary with physician political affiliation. Among patients in the top 5% of
predicted risk of death 30 days after hospital discharge, adjusted proportions of
patients discharged to hospice were 15.8%, 15.0%, and 15.2% among Democrat,
Republican, and non-donor physicians, respectively (adjusted difference in
proportion between Republicans v Democrats, -0.8% (-2.7% to 0.9%), P=0.43).
CONCLUSIONS: This study provided no evidence that physician political affiliation
is associated with the intensity of end of life care received by patients in
hospital. Other treatments for politically polarised healthcare issues should be
investigated.
PMID- 29643091
TI - Do not throw the baby out with the bathwater; screening can identify meaningful
risk factors for sports injuries.
PMID- 29643092
TI - Advertising paid and unpaid job roles in sport: an updated position statement
from the UK Strength and Conditioning Association.
PMID- 29643094
TI - Private sector hospitals must improve safety, says CQC.
PMID- 29643097
TI - Drug misuse at heart of strategy to reduce violent crime.
PMID- 29643096
TI - The health, poverty, and financial consequences of a cigarette price increase
among 500 million male smokers in 13 middle income countries: compartmental model
study.
AB - OBJECTIVE: To examine the impact of a 50% increase in market prices of cigarettes
on health, poverty, and financial protection. DESIGN: Compartmental model study.
SETTING: 13 middle income countries, totalling two billion men. PARTICIPANTS: 500
million male smokers. MAIN OUTCOME MEASURES: Life years gained, averted treatment
costs, number of men avoiding catastrophic healthcare expenditures and poverty,
and additional tax revenue by income group. RESULTS: A 50% increase in cigarette
prices would lead to about 450 million years of life gained across the 13
countries from smoking cessation, with half of these in China. Across all
countries, men in the bottom income group (poorest 20% of the population) would
gain 6.7 times more life years than men in the top income group (richest 20% of
the population; 155 v 23 million). The average life years gained from cessation
for each smoker in the bottom income group was 5.1 times that of the top group
(1.46 v 0.23 years). Of the $157bn (L113bn; ?127bn) in averted treatment costs,
the bottom income group would avert 4.6 times more costs than the top income
group ($46bn v $10bn). About 15.5 million men would avoid catastrophic health
expenditures in a subset of seven countries without universal health coverage. As
result, 8.8 million men, half of them in the bottom income group, would avoid
falling below the World Bank definition of extreme poverty. These 8.8 million men
constitute 2.4% of people living in extreme poverty in these countries. In
contrast, the top income group would pay twice as much as the bottom income group
of the $122bn additional tax collected. Overall, the bottom income group would
get 31% of the life years saved and 29% each of the averted disease costs and
averted catastrophic health expenditures, while paying only 10% of the additional
taxes. CONCLUSIONS: Higher prices of cigarettes provide more health and financial
gains to the poorest 20% than to the richest 20% of the population. Higher excise
taxes support the targets of the sustainable development goals on non
communicable diseases and poverty, and provides financial protection against
illness.
PMID- 29643098
TI - Low cigarette consumption and risk of coronary heart disease and stroke: meta
analysis of 141 cohort studies in 55 study reports.
PMID- 29643099
TI - Increasing inhaled steroid dose in asthma exacerbations.
PMID- 29643100
TI - Overdiagnosis and child health.
PMID- 29643101
TI - Fracture of the clavicle or skeletal disorder?
PMID- 29643103
TI - Alveolar echinococcosis in the liver of an adolescent boy.
PMID- 29643102
TI - Research priority setting in childhood chronic disease: a systematic review.
AB - OBJECTIVE: To evaluate research priority setting approaches in childhood chronic
diseases and to describe the priorities of stakeholders including patients,
caregivers/families and health professionals. DESIGN: We conducted a systematic
review of MEDLINE, Embase, PsycINFO and CINAHL from inception to 16 October 2016.
Studies that elicited stakeholder priorities for paediatric chronic disease
research were eligible for inclusion. Data on the prioritisation process were
extracted using an appraisal checklist. Generated priorities were collated into
common topic areas. RESULTS: We identified 83 studies (n=15 722). Twenty (24%)
studies involved parents/caregivers and four (5%) children. The top three health
areas were cancer (11%), neurology (8%) and endocrine/metabolism (8%). Priority
topic areas were treatment (78%), disease trajectory (48%), quality of
life/psychosocial impact (48%), disease onset/prevention (43%), knowledge/self
management (33%), prevalence (30%), diagnostic methods (28%), access to
healthcare (25%) and transition to adulthood (12%). The methods included
workshops, Delphi techniques, surveys and focus groups/interviews. Specific
methods for collecting and prioritising research topics were described in only
60% of studies. Most reviewed studies were conducted in high-income nations.
CONCLUSIONS: Research priority setting activities in paediatric chronic disease
cover many discipline areas and have elicited a broad range of topics. However,
child/caregiver involvement is uncommon, and the methods often lack clarity. A
systematic and explicit process that involves patients and families in
partnership may help to inform a more patient and family-relevant research agenda
in paediatric chronic disease.
PMID- 29643104
TI - Actigraphy assessment of sleep quality among patients with acute myeloid
leukaemia during induction chemotherapy.
AB - OBJECTIVES: Patients receiving induction chemotherapy for acute myeloid leukaemia
(AML) anecdotally describe poor sleep, but sleep disturbances have not been well
characterised in this population. We aimed to test the feasibility of measuring
sleep quality in AML inpatients using a wearable actigraphy device. METHODS:
Using the Actigraph GT3X 'watch', we assessed the total sleep time, sleep onset
latency, wake after sleep onset, number of awakenings after sleep onset and sleep
efficiency for inpatients with AML receiving induction chemotherapy. We assessed
patient self-reported sleep quality using the Pittsburgh Sleep Quality Index
(PSQI). RESULTS: Of the 12 patients enrolled, 11 completed all actigraphy and
PSQI assessments, demonstrating feasibility. Patients wore the Actigraph device
for a mean (SD) of 15.92 (8.3) days, and actigraphy measures suggested poor
sleep. Patients had a median average awakening length of 6.92 min, a median
number of awakenings after sleep onset of 4 and a median sleep onset latency of
10.8 min. Actual median sleep efficiency (0.91) was high, suggesting that
patients' poor sleep was not due to insomnia but perhaps due to interruptions,
such as administration of medications, lab draws and vital sign measurements.
CONCLUSIONS: Collection of sleep quality data among inpatients with AML via a
wearable actigraphy device is feasible. AML inpatients appear to have poor sleep
quality and quantity, suggesting that sleep issues represent an area of unmet
supportive care needs in AML. Further research in this areas is needed to inform
the development of interventions to improve sleep duration and quality in
hospitalised patients with AML.
PMID- 29643106
TI - The benefits of taxing cigarettes in middle income countries.
PMID- 29643105
TI - A next-generation sequencing-based assay for minimal residual disease assessment
in AML patients with FLT3-ITD mutations.
AB - Internal tandem duplications in fms-like tyrosine kinase 3 (FLT3-ITDs) are common
in acute myeloid leukemia (AML) and confer a poor prognosis. A sensitive and
specific assay for the detection of minimal residual disease (MRD) in FLT3-ITD
mutated AML could guide therapy decisions. Existing assays for MRD in FLT3-ITD
AML have not been particularly useful because of limited sensitivity. We
developed a sensitive and specific MRD assay for FLT3-ITD mutations using next
generation sequencing. The initial validation of this assay was performed by
spiking fixed amounts of mutant DNA into wild-type DNA to establish a sensitivity
of detection equivalent to >=1 FLT3-ITD-containing cell in 10 000, with a minimum
input of 100 000 cell equivalents of DNA. We subsequently validated the assay in
bone marrow samples from patients with FLT3-ITD AML in remission. Finally, we
analyzed bone marrow samples from 80 patients with FLT3-ITD relapsed/refractory
AML participating in a trial of a novel FLT3 inhibitor, gilteritinib, and
demonstrated a relationship between the mutation burden, as detected by the
assay, and overall survival. This novel MRD assay is specific and 2 orders of
magnitude more sensitive than currently available polymerase chain reaction- or
next-generation sequencing-based FLT3-ITD assays. The assay is being
prospectively validated in ongoing randomized clinical trials.
PMID- 29643107
TI - Time to personalize the treatment of anti-MDA-5 associated lung disease.
PMID- 29643109
TI - Response to: 'Postpartum breastfeeding status' by Betzold.
PMID- 29643108
TI - Treating juvenile idiopathic arthritis to target: recommendations of an
international task force.
AB - Recent therapeutic advances in juvenile idiopathic arthritis (JIA) have made
remission an achievable goal for most patients. Reaching this target leads to
improved outcomes. The objective was to develop recommendations for treating JIA
to target. A Steering Committee formulated a set of recommendations based on
evidence derived from a systematic literature review. These were subsequently
discussed, amended and voted on by an international Task Force of 30 paediatric
rheumatologists in a consensus-based, Delphi-like procedure. Although the
literature review did not reveal trials that compared a treat-to-target approach
with another or no strategy, it provided indirect evidence regarding an optimised
approach to therapy that facilitated development of recommendations. The group
agreed on six overarching principles and eight recommendations. The main
treatment target, which should be based on a shared decision with
parents/patients, was defined as remission, with the alternative target of low
disease activity. The frequency and timeline of follow-up evaluations to ensure
achievement and maintenance of the target depend on JIA category and level of
disease activity. Additional recommendations emphasise the importance of ensuring
adequate growth and development and avoiding long-term systemic glucocorticoid
administration to maintain the target. All items were agreed on by more than 80%
of the members of the Task Force. A research agenda was formulated. The Task
Force developed recommendations for treating JIA to target, being aware that the
evidence is not strong and needs to be expanded by future research. These
recommendations can inform various stakeholders about strategies to reach optimal
outcomes for JIA.
PMID- 29643110
TI - Postpartum breastfeeding status.
PMID- 29643112
TI - What they say and what they do: comparing physical activity across the USA,
England and the Netherlands.
AB - BACKGROUND: Physical activity (PA) is important for maintaining health, but there
are fundamental unanswered questions on how best it should be measured. METHODS:
We measured PA in the Netherlands (n=748), the USA (n=540) and England (n=254),
both by a 7 day wrist-worn accelerometer and by self-reports. The self-reports
included a global self-report on PA and a report on the frequency of vigorous,
moderate and mild activity. RESULTS: The self-reported data showed only minor
differences across countries and across groups within countries (such as
different age groups or working vs non-working respondents). The accelerometer
data, however, showed large differences; the Dutch and English appeared to be
much more physically active than Americans h (For instance, among respondents
aged 50 years or older 38% of Americans are in the lowest activity quintile of
the Dutch distribution). In addition, accelerometer data showed a sharp decline
of PA with age, while no such pattern was observed in self-reports. The
differences between objective measures and self-reports occurred for both types
of self-reports. CONCLUSION: It is clear that self-reports and objective measures
tell vastly different stories, suggesting that across countries people use
different response scales when answering questions about how physically active
they are.
PMID- 29643111
TI - Cardiovascular and Cerebrovascular Emergency Department Visits Associated With
Wildfire Smoke Exposure in California in 2015.
AB - BACKGROUND: Wildfire smoke is known to exacerbate respiratory conditions;
however, evidence for cardiovascular and cerebrovascular events has been
inconsistent, despite biological plausibility. METHODS AND RESULTS: A population
based epidemiologic analysis was conducted for daily cardiovascular and
cerebrovascular emergency department (ED) visits and wildfire smoke exposure in
2015 among adults in 8 California air basins. A quasi-Poisson regression model
was used for zip code-level counts of ED visits, adjusting for heat index, day of
week, seasonality, and population. Satellite-imaged smoke plumes were classified
as light, medium, or dense based on model-estimated concentrations of fine
particulate matter. Relative risk was determined for smoky days for lag days 0 to
4. Rates of ED visits by age- and sex-stratified groups were also examined. Rates
of all-cause cardiovascular ED visits were elevated across all lags, with the
greatest increase on dense smoke days and among those aged >=65 years at lag 0
(relative risk 1.15, 95% confidence interval [1.09, 1.22]). All-cause
cerebrovascular visits were associated with smoke, especially among those 65
years and older, (1.22 [1.00, 1.49], dense smoke, lag 1). Respiratory conditions
were also increased, as anticipated (1.18 [1.08, 1.28], adults >65 years, dense
smoke, lag 1). No association was found for the control condition, acute
appendicitis. Elevated risks for individual diagnoses included myocardial
infarction, ischemic heart disease, heart failure, dysrhythmia, pulmonary
embolism, ischemic stroke, and transient ischemic attack. CONCLUSIONS: Analysis
of an extensive wildfire season found smoke exposure to be associated with
cardiovascular and cerebrovascular ED visits for all adults, particularly for
those over aged 65 years.
PMID- 29643113
TI - Pasireotide in the treatment of neuroendocrine tumors: a review of the
literature.
AB - Somatostatin analogs have an important role in the medical therapy of
neuroendocrine tumors (NETs). Octreotide and lanreotide, both somatostatin
analogs binding with high affinity for the somatostatin receptor (SSTR)2, can
control symptoms in functional NETs. In addition, these compounds, because of
their antiproliferative effects, can stabilize growth of well-differentiated
NETs. Pasireotide is a novel multireceptor-targeted somatostatin analog with high
affinity for SSTR1, 2, 3, and 5. This review provides an overview of the state of
the art of pasireotide in the treatment of NETs, with the aim of addressing
clinical relevance and future perspectives for this molecule in the management of
NETs.
PMID- 29643114
TI - Using Large Datasets to Understand CKD.
PMID- 29643116
TI - Dynamic visits of cortical structures probe for cell size.
AB - All cells show size homeostasis owing to coordination of division with growth. In
this issue, Allard et al. (2018. J. Cell Biol.
https://doi.org/10.1083/jcb.201709171) establish that transient inhibitory visits
of a negative regulator of Cdk1 to cortical oligomeric platforms increase in
number and duration with cell growth, suggesting how Cdk1 activation is coupled
to cell size.
PMID- 29643115
TI - Chronic AT1 blockade improves glucose homeostasis in obese OLETF rats.
AB - Obesity is associated with the inappropriate activation of the renin-angiotensin
system (RAS), which increases arterial pressure, impairs insulin secretion and
decreases peripheral tissue insulin sensitivity. RAS blockade reverses these
detriments; however, it is not clear whether the disease state of the organism
and treatment duration determine the beneficial effects of RAS inhibition on
insulin secretion and insulin sensitivity. Therefore, the objective of this study
was to compare the benefits of acute vs chronic angiotensin receptor type 1 (AT1)
blockade started after the onset of obesity, hyperglycemia and hypertension on
pancreatic function and peripheral insulin resistance. We assessed adipocyte
morphology, glucose intolerance, pancreatic redox balance and insulin secretion
after 2 and 11 weeks of AT1 blockade in the following groups of rats: (1)
untreated Long-Evans Tokushima Otsuka (lean control; n = 10), (2) untreated
Otsuka Long-Evans Tokushima Fatty (OLETF; n = 12) and (3) OLETF + ARB (ARB; 10 mg
olmesartan/kg/day by oral gavage; n = 12). Regardless of treatment duration, AT1
blockade decreased systolic blood pressure and fasting plasma triglycerides,
whereas chronic AT1 blockade decreased fasting plasma glucose, glucose
intolerance and the relative abundance of large adipocytes by 22, 36 and 70%,
respectively. AT1 blockade, however, did not improve pancreatic oxidative stress
or reverse impaired insulin secretion. Collectively, these data show that AT1
blockade after the onset of obesity, hyperglycemia and hypertension improves
peripheral tissue insulin sensitivity, but cannot completely reverse the
metabolic derangement characterized by impaired insulin secretion once it has
been compromised.
PMID- 29643117
TI - SFT-4/Surf4 control ER export of soluble cargo proteins and participate in ER
exit site organization.
AB - Lipoproteins regulate the overall lipid homeostasis in animals. However, the
molecular mechanisms underlying lipoprotein trafficking remain poorly understood.
Here, we show that SFT-4, a Caenorhabditis elegans homologue of the yeast Erv29p,
is essential for the endoplasmic reticulum (ER) export of the yolk protein VIT-2,
which is synthesized as a lipoprotein complex. SFT-4 loss strongly inhibits the
ER exit of yolk proteins and certain soluble cargo proteins in intestinal cells.
SFT-4 predominantly localizes at ER exit sites (ERES) and physically interacts
with VIT-2 in vivo, which suggests that SFT-4 promotes the ER export of soluble
proteins as a cargo receptor. Notably, Surf4, a mammalian SFT-4 homologue,
physically interacts with apolipoprotein B, a very-low-density lipoprotein core
protein, and its loss causes ER accumulation of apolipoprotein B in human hepatic
HepG2 cells. Interestingly, loss of SFT-4 and Surf4 reduced the number of COPII
positive ERES. Thus, SFT-4 and Surf4 regulate the export of soluble proteins,
including lipoproteins, from the ER and participate in ERES organization in
animals.
PMID- 29643118
TI - TFIIH localization is highly dynamic during zygotic genome activation in
Drosophila, and its depletion causes catastrophic mitosis.
AB - In Drosophila, zygotic genome activation occurs in pre-blastoderm embryos during
rapid mitotic divisions. How the transcription machinery is coordinated to
achieve this goal in a very brief time span is still poorly understood.
Transcription factor II H (TFIIH) is fundamental for transcription initiation by
RNA polymerase II (RNAPII). Herein, we show the in vivo dynamics of TFIIH at the
onset of transcription in Drosophila embryos. TFIIH shows an oscillatory
behaviour between the nucleus and cytoplasm. TFIIH foci are observed from
interphase to metaphase, and colocalize with those for RNAPII phosphorylated at
serine 5 (RNAPIIS5P) at prophase, suggesting that transcription occurs during the
first mitotic phases. Furthermore, embryos with defects in subunits of either the
CAK or the core subcomplexes of TFIIH show catastrophic mitosis. Although,
transcriptome analyses show altered expression of several maternal genes that
participate in mitosis, the global level of RNAPIIS5P in TFIIH mutant embryos is
similar to that in the wild type, therefore, a direct role for TFIIH in mitosis
cannot be ruled out. These results provide important insights regarding the role
of a basal transcription machinery component when the zygotic genome is
activated.
PMID- 29643119
TI - Regulator of calcineurin-2 is a centriolar protein with a role in cilia length
control.
AB - Almost every cell in the human body extends a primary cilium. Defective cilia
function leads to a set of disorders known as ciliopathies, which are
characterised by debilitating developmental defects that affect many tissues.
Here, we report a new role for regulator of calcineurin 2 (RCAN2) in primary
cilia function. It localises to centrioles and the basal body and is required to
maintain normal cilia length. RCAN2 was identified as the most strongly
upregulated gene from a comparative RNAseq analysis of cells in which expression
of the Golgi matrix protein giantin had been abolished by gene editing. In
contrast to previous work where we showed that depletion of giantin by RNAi
results in defects in ciliogenesis and in cilia length control, giantin knockout
cells generate normal cilia after serum withdrawal. Furthermore, giantin knockout
zebrafish show increased expression of RCAN2. Importantly, suppression of RCAN2
expression in giantin knockout cells results in the same defects in the control
of cilia length that are seen upon RNAi of giantin itself. Together, these data
define RCAN2 as a regulator of cilia function that can compensate for the loss of
giantin function.
PMID- 29643121
TI - FAST in the deployed military setting.
AB - INTRODUCTION: Focused assessment with sonography in trauma (FAST) is historically
an effective method of assessing the patient in the trauma bay in order to aid
decision-making and optimise patient outcomes. However, in the UK civilian
practice, the use of FAST may decline given a recent change in National Institute
for Health and Care Excellence guidance as a result of improvement in CT
availability and resuscitation techniques. METHOD: In the Role 3 Medical
Treatment Facility, Camp Bastion, 187 patients with trauma who received FAST in
the trauma bay in 2014 were reviewed to determine the accuracy of FAST in the
deployed environment. RESULTS: The data demonstrates the sensitivity and
specificity of FAST to be 75% and 99.3%, respectively. CONCLUSIONS: This study
demonstrates that FAST is accurate on operations. FAST is provided by the
integrated radiologist as part of damage control radiology, which gives the team
leader rapid diagnostic information to improve decision-making and ultimately
patient outcomes. CT is heavily utilised in civilian practice; however, the
military operates in a different environment often with multiple casualties and
limited access to CT, as a result, portable ultrasound will continue to be a
valuable tool on operations if used properly. The next challenge is to develop
and maintain this high diagnostic accuracy in future deployments where the
memories of our prior success may fade.
PMID- 29643120
TI - EphrinB2/EphB4 signaling regulates non-sprouting angiogenesis by VEGF.
AB - Vascular endothelial growth factor (VEGF) is the master regulator of
angiogenesis, whose best-understood mechanism is sprouting. However, therapeutic
VEGF delivery to ischemic muscle induces angiogenesis by the alternative process
of intussusception, or vascular splitting, whose molecular regulation is
essentially unknown. Here, we identify ephrinB2/EphB4 signaling as a key
regulator of intussusceptive angiogenesis and its outcome under therapeutically
relevant conditions. EphB4 signaling fine-tunes the degree of endothelial
proliferation induced by specific VEGF doses during the initial stage of
circumferential enlargement of vessels, thereby limiting their size and
subsequently enabling successful splitting into normal capillary networks.
Mechanistically, EphB4 neither inhibits VEGF-R2 activation by VEGF nor its
internalization, but it modulates VEGF-R2 downstream signaling through phospho
ERK1/2. In vivo inhibitor experiments show that ERK1/2 activity is required for
EphB4 regulation of VEGF-induced intussusceptive angiogenesis. Lastly, after
clinically relevant VEGF gene delivery with adenoviral vectors, pharmacological
stimulation of EphB4 normalizes dysfunctional vascular growth in both normoxic
and ischemic muscle. These results identify EphB4 as a druggable target to
modulate the outcome of VEGF gene delivery and support further investigation of
its therapeutic potential.
PMID- 29643122
TI - Guidelines for using animal models in blast injury research.
AB - Blast injury is a very complex phenomenon and frequently results in multiple
injuries. One method to investigate the consequences of blast injuries is with
the use of living systems (animal models). The use of animals allows the
examination and evaluation of injury mechanisms in a more controlled manner,
allowing variables such as primary or secondary blast injury for example, to be
isolated and manipulated as required. To ensure a degree of standardisation
across the blast research community a set of guidelines which helps researchers
navigate challenges of modelling blast injuries in animals is required. This
paper describes the guidelines for Using Animal Models in Blast Injury Research
developed by the NATO Health Factors and Medicine (HFM) Research Task Group 234.
PMID- 29643123
TI - Intragenic transcriptional interference regulates the human immune ligand MICA.
AB - Many human genes have tandem promoters driving overlapping transcription, but the
value of this distributed promoter configuration is generally unclear. Here we
show that MICA, a gene encoding a ligand for the activating immune receptor
NKG2D, contains a conserved upstream promoter that expresses a noncoding
transcript. Transcription from the upstream promoter represses the downstream
standard promoter activity in cis through transcriptional interference. The
effect of transcriptional interference depends on the strength of transcription
from the upstream promoter and can be described quantitatively by a simple
reciprocal repressor function. Transcriptional interference coincides with
recruitment at the standard downstream promoter of the FACT histone chaperone
complex, which is involved in nucleosomal remodelling during transcription. The
mechanism is invoked in the regulation of MICA expression by the physiological
inputs interferon-gamma and interleukin-4 that act on the upstream promoter.
Genome-wide analysis indicates that transcriptional interference between tandem
intragenic promoters may constitute a general mechanism with widespread
importance in human transcriptional regulation.
PMID- 29643124
TI - Myocardial ischaemia as a result of external coronary compression from infective
aortic root aneurysm: atypical presentation of prosthetic valve endocarditis.
AB - : SummaryThis case describes an unusual presentation of prosthetic valve
endocarditis (PVE): an acute coronary syndrome. A 67-year-old male presented with
cardiac sounding chest pain on a background of a short history of night sweats,
weight loss and general malaise. Four months previously, he had undergone bio
prosthetic aortic valve replacement for severe aortic stenosis and single vessel
bypass grafting of the obtuse marginal. Whilst having chest pain, his ECG showed
infero-lateral ST depression. Early coronary angiography revealed a new right
coronary artery (RCA) lesion that was not present prior to his cardiac surgery.
Using multi-modality cardiac imaging, the diagnosis of PVE was made. An aortic
root abscess was demonstrated that was causing external compression of the RCA.
LEARNING POINTS: PVE accounts for up to 20% of all cases of infective
endocarditis.High clinical suspicion and early blood cultures before empirical
antibiotics are key as the presentation of PVE can often be atypical.PVE rarely
presents as an acute coronary syndrome. Potential mechanisms by which PVE may
result in an ACS include coronary embolization, obstruction of coronary ostia by
a large mobile vegetation and external coronary artery compression from an
infective aneurysms/abscess.Repeat cardiac surgery is often required for high
risk PVE such as those caused by staphylococcal infection or severe prosthetic
dysfunction.
PMID- 29643125
TI - What is the minimum change in left ventricular ejection fraction, which can be
measured with contrast echocardiography?
AB - BACKGROUND: There are limited data on what is the minimum change that can be
detected in cancer patients undergoing treatment with cardiotoxic drugs and are
referred for monitoring left ventricular (LV) function. OBJECTIVE: To assess the
variability in the measurement of LV volumes and ejection fraction (EF) in
contrast echocardiography and to determine the minimum detectable difference
(MDD) between two EF measurements that can be deemed significant. METHODS: A
total of 150 patients were divided into three groups according to EF (EF <53, 53
60, and >60%). Each group consisted of 50 randomly selected cancer patients who
underwent contrast echocardiography between July 2010 and May 2014. Repeated
measurements of LV volumes and EF were performed offline by a sonographer and a
cardiologist. Inter-observer variability was assessed by analysis of variance.
Measurement error was estimated by standard error of measurement and MDD.
RESULTS: The 95% confidence interval with a single measurement of EF was 2
percentage points in the groups of patients with EF <53% and EF >60%, and 2.5
percentage points for patients with EF 53-60%. The MDD for EF, end-diastolic
volume and end-systolic volume that could be recognized with 95% confidence
interval were 4 percentage points, 7 mL and 4 mL, respectively. CONCLUSION:
Contrast echocardiography is a reliable tool for serial measurements of EF to
monitor cardiotoxicity due to chemotherapy. In a high-volume echocardiography
laboratory with experienced staff, the MDD for EF of 4 percentage points on a
good-quality recording demonstrates the high reproducibility of the Simpson's
method using contrast echocardiography.
PMID- 29643126
TI - Case of membranous oesophageal atresia.
PMID- 29643127
TI - Breastfeeding rates at discharge: don't blame the fortifier! A national survey of
practice and comparison with NNAP data.
PMID- 29643128
TI - Effects of Impella on Coronary Perfusion in Patients With Critical Coronary
Artery Stenosis.
AB - BACKGROUND: Mechanical circulatory support devices are used to maintain
hemodynamic stability during high-risk percutaneous coronary interventions.
Little is known on the effects of such devices on coronary hemodynamics in
patients with significant coronary stenosis. We sought to investigate whether
mechanical circulatory support in the form of Impella (Abiomed Inc, Danvers, MA)
can improve coronary hemodynamics in the presence of a critical coronary
stenosis. METHODS AND RESULTS: We examined coronary perfusion pressures and
coronary pressure distal to a critical stenosis using a coronary pressure wire in
11 patients (12 coronary lesions) undergoing high-risk percutaneous coronary
interventions with the use of mechanical circulatory support. Systemic,
ventricular, and coronary hemodynamics were obtained at both minimum and maximum
support levels before high-risk percutaneous coronary interventions. All patients
had obstructive lesions with angiographically estimated diameter stenosis between
70% and 99% and distal coronary artery pressure to aortic pressure ratios between
0.44 and 0.88. When compared with minimum support, maximum support resulted in a
decrease in the left ventricular end-diastolic pressure (27.3+/-8.6 versus 21.5+/
5.2 mm Hg; P=0.002) and increases in the mean systemic blood pressure (77.6+/
13.5 versus 88.2+/-12.2 mm Hg; P<0.001) and mean distal coronary pressure (51.8+/
20.2 versus 60.8+/-18.1 mm Hg; P<0.001). Effective coronary perfusion pressure
(mean aortic pressure-left ventricular end-diastolic pressure) significantly
increased with maximum support (49.8+/-15.7 versus 67.2+/-13.6 mm Hg; P<0.001).
Diastolic perfusion pressure (diastolic blood pressure-left ventricular end
diastolic pressure) also significantly increased with maximum support (32.9+/
13.4 versus 52.0+/-11.6 mm Hg; P<0.001). CONCLUSIONS: Mechanical circulatory
support with Impella can improve distal coronary pressure and coronary perfusion
pressures in the presence of critical coronary stenosis.
PMID- 29643129
TI - Vessel Stretching Is a Cause of Lumen Enlargement Immediately After Balloon
Pulmonary Angioplasty: Intravascular Ultrasound Analysis in Patients With Chronic
Thromboembolic Pulmonary Hypertension.
AB - BACKGROUND: Balloon pulmonary angioplasty (BPA) has become an alternative therapy
for patients with chronic thromboembolic pulmonary hypertension who are
ineligible for pulmonary endarterectomy. We retrospectively investigated
intravascular ultrasound measurements to elucidate how the lumen enlarges
immediately after BPA. METHODS AND RESULTS: A total of 326 lesions in 71 patients
with chronic thromboembolic pulmonary hypertension were analyzed. The cross
sectional areas (CSAs) of the external elastic membrane and lumen measured by
intravascular ultrasound before and after BPA were assessed for each lesion type
(type A, ring-like stenosis lesions; type B, web lesions; type C, subtotal
occlusion lesions). Comparing the lesion types, the proportion of the area
occupied by fibrous tissue was smallest in the type A lesions and largest in the
type C lesions. The mean lesion lumen CSA was increased after BPA because of an
increase in external elastic membrane CSA (from 23.2+/-12.9 to 27.2+/-14.3 mm2;
P<0.001) with a slight decrease in fibrous tissue plus media CSA (from 17.7+/
11.7 to 17.3+/-11.1 mm2; P<0.001). The change in lumen CSA correlated strongly
with the change in external elastic membrane CSA (r2=0.762; P<0.001).
CONCLUSIONS: Lumen enlargement immediately after BPA was obtained by the overall
vessel expansion induced by a stretch of the arterial wall. In addition, the
amount of fibrous tissue depended on each lesion type. Thus, it might be
beneficial to change the balloon size to correspond with the lesion type.
PMID- 29643131
TI - Rumpel-Leede Phenomenon After Radial Artery Catheterization.
PMID- 29643130
TI - Transcatheter Versus Surgical Aortic Valve Replacement in Patients With Prior
Coronary Artery Bypass Grafting: Trends in Utilization and Propensity-Matched
Analysis of In-Hospital Outcomes.
AB - BACKGROUND: A significant proportion of patients requiring aortic valve
replacement (AVR) have undergone prior coronary artery bypass grafting (CABG).
Reoperative heart surgery is associated with increased risk. Data on relative
utilization and comparative outcomes of transcatheter (TAVR) versus surgical AVR
(SAVR) in patients with prior CABG are limited. METHODS AND RESULTS: We queried
the 2012 to 2014 National Inpatient Sample databases to identify isolated AVR
hospitalizations in adults with prior CABG. In-hospital outcomes of TAVR versus
SAVR were compared using propensity-matched analysis. Of 147 395 AVRs, 15 055
(10.2%) were in patients with prior CABG. The number of TAVRs in patients with
prior CABG increased from 1615 in 2012 to 4400 in 2014, whereas the number of
SAVRs decreased from 2285 to 1895 (Ptrend<0.001). There were 3880 records in each
group in the matched cohort. Compared with SAVR, TAVR was associated with similar
in-hospital mortality (2.3% versus 2.4%; P=0.71) but lower incidence of
myocardial infarction (1.5% versus 3.4%; P<0.001), stroke (1.4% versus 2.7%;
P<0.001), bleeding complications (10.6% versus 24.6%; P<0.001), and acute kidney
injury (16.2% versus 19.3%; P<0.001). Requirement for prior permanent pacemaker
was higher in the TAVR cohort, whereas the incidence of vascular complications
and acute kidney injury requiring dialysis was similar in the 2 groups. Average
length of stay was shorter in patients undergoing TAVR. CONCLUSIONS: TAVR is
being increasingly used as the preferred modality of AVR in patients with prior
CABG. Compared with SAVR, TAVR is associated with similar in-hospital mortality
but lower rates of in-hospital complications in this important subset of
patients.
PMID- 29643132
TI - Surgical Versus Transcatheter Aortic Valve Replacement in Patients With Prior
Coronary Bypass Surgery: Tie Goes to the Runner.
PMID- 29643133
TI - Treatment-refractory ALK-positive inflammatory myofibroblastic tumour of the oral
cavity.
AB - We present a challenging case of a previously healthy 23-year-old man who
developed an inflammatory myofibroblastic tumour of the hard palate, harbouring a
rearrangement of the anaplastic lymphoma kinase (ALK) locus. Despite surgical
intervention, radiotherapy and ALK-inhibition therapy, the tumour recurred
locally and metastasised to regional lymph nodes, and the patient passed away
roughly 9 months after diagnosis from local progression. The rapid progression of
this patient's disease and its resistance to treatment demonstrate the
potentially aggressive clinical course of inflammatory myofibroblastic tumours.
ALK-inhibition therapy was unsuccessful in this ALK-positive tumour, highlighting
the need for further investigation of markers predictive of disease progression
and treatment response.
PMID- 29643134
TI - Food-dependent exercise-induced anaphylaxis.
AB - Food-dependent exercise-induced anaphylaxis (FDEIAn), first reported in 1979, is
a condition defined by the consumption of a trigger food with temporally related
exercise that results in an immediate hypersensitivity (type 1) reaction in the
setting of the trigger food being tolerated independent of exercise and exercise
being tolerated in the absence of trigger food consumption. The most common
trigger food in the west is wheat and shellfish in Asia. The exact mechanism of
FDEIAn is unknown, though several hypotheses exist. Cofactors such as non
steroidal anti-inflammatory drug use, alcohol consumption and others have been
associated with reported cases.
PMID- 29643135
TI - Arthroscopic reduction and fixation of a lateral inverted osteochondral fracture
of the talus ('LIFT').
AB - Some ankle sprains hide important lesions beyond the classic lateral ligament
complex injuries. The lateral inverted osteochondral fracture of the talus (LIFT)
represents a rare osteochondral lesion, whose diagnosis relies on a high clinical
suspicion followed by correct image study interpretation. We present a successful
arthroscopic fixation of a LIFT lesion in a 45-year-old active man. At 8 months
follow-up, the patient was pain free and able to return to his daily activities
without limitation. The imagiological study showed osteochondral fragment
consolidation with no signs of hardware failure. This midterm results reassemble
the need for early diagnosis and correct treatment to achieve a good outcome in
these complex and rare osteochondral lesions.
PMID- 29643136
TI - Orbital metastasis from an occult breast carcinoma (T0, N1, M1).
AB - The authors report a case of an orbital metastasis from an occult breast
carcinoma. A 66-year-old woman presented with a growing left orbital tumour.
Orbital CT scan was consistent with lymphoma. However, ocular pathology revealed
small neoplastic cells showing an 'indian file pattern' suggestive of metastatic
carcinoma and immunohistochemistry was positive for CK7, CK CAM5.2 and oestrogen
receptor. A systemic evaluation was then performed with mammogram, breast
ultrasound and MRI considered normal. An exhaustive systemic evaluation revealed
multiple bone lesions, a right axillary lymph node lesion, which presented the
same pattern on pathology and immunohistochemistry, with no evidence of a primary
tumour. A diagnosis of a metastatic lobular carcinoma of the breast (T0, N1, M1)
was made and the patient was started on chemotherapy and adjuvant hormonal
therapy.
PMID- 29643137
TI - Sphingomonas paucimobilis empyema caused by remote foreign body aspiration.
AB - Empyema secondary to foreign body aspiration is rare in adults. We present a case
of empyema in a 77-year-old male patient related to a remote aspiration event
during a dental procedure. A CT of the chest and bronchoscopy confirmed that a
metallic foreign body was located within the right lower lobe bronchus. His
pleural fluid culture revealed Sphingomonas paucimobilis which is a low-virulent
opportunistic gram-negative bacilli and rarely causes infection. The patient
received meropenem followed by levofloxacin and recovered uneventfully. The
attempt of foreign body removal was failed due to chronic inflammation, and the
patient refused further surgical management.
PMID- 29643138
TI - Improvement of thoracic myelopathy following bariatric surgery in an obese
patient.
AB - Revision spine surgery is extremely challenging in super-super obese patients
(body mass index (BMI) >=60 kg/m2). This is the first report describing how
bariatric surgery was useful for a super-super obese patient with progressing
myelopathy. A 44-year-old man with a BMI of 62.9 kg/m2 presented with an
ambulatory disorder caused by thoracic ossification of the posterior longitudinal
ligament (T7-8). Before this paraparesis, he had undergone four spinal
operations, and was not considered a good candidate for a fifth spine surgery. At
the time of the fourth operation, he had reached a maximum weight of 205 kg (BMI
69.3 kg/m2). Instead, he underwent a laparoscopic sleeve gastrectomy. Sixteen
months later, his body weight had decreased to BMI 35.2 kg/m2, and he could walk
without a walker. In addition to reducing our patient's load, a 'non-operative'
form of dekyphosis due to altered thoracic spinal alignment secondary to weight
loss may explain the improvement in his myelopathy.
PMID- 29643139
TI - Pseudoaneurysm of the gastroduodenal artery: an unusual cause for
hyperamylasaemia.
AB - A 79-year-old man was admitted electively for investigation of weight loss. While
he was an inpatient, he developed severe epigastric pain and an initial blood
test revealed an acutely raised amylase (>2000) and deranged liver function
tests. A contrast CT angiography showed a large haematoma adjacent to the
duodenum, spreading in the retroperitoneal space, arising from a 2 cm bleeding
pseudoaneurysm in the region of the gastroduodenal artery. Due to his underlying
comorbidities, he was deemed unfit for surgical repair and he had coil
embolisation with successful haemostasis. The gastroduodenal artery aneurysms are
rare and constitute 1.5% of all visceral artery aneurysms. They can be an
incidental finding or they can present with haemorrhagic shock, abdominal pain
and rarely with obstructive jaundice or hyperamylasaemia. The diagnosis is
usually made with an angiography. Variable treatment options are available
depending on the patient's fitness and haemodynamic stability.
PMID- 29643140
TI - Odynophagia and neck pain after exercise.
PMID- 29643141
TI - Peripherally inserted central catheter (PICC) placement: beware of the bends.
PMID- 29643142
TI - Rare and unexpected complication after a malpositioned nasogastric tube in a
neonate.
PMID- 29643143
TI - Spontaneous rectus sheath haematoma due to cough on apixaban.
PMID- 29643144
TI - Inadvertent inflation of Foley catheter balloon with contrast: an error that
caused unnecessary apprehensions.
PMID- 29643146
TI - FDA Expands Indication for Nilotinib.
AB - The FDA expanded the indication for nilotinib for the treatment of children with
newly diagnosed Philadelphia chromosome-positive chronic myeloid leukemia in the
chronic phase who are at least a year old, as well as those who are resistant to
or cannot tolerate imatinib. The drug is the third tyrosine kinase inhibitor
approved for children with this rare cancer.
PMID- 29643145
TI - Single coronary artery with bicuspid aortic valve.
PMID- 29643147
TI - Ex vivo gut culture for studying differentiation and migration of small
intestinal epithelial cells.
AB - Epithelial cultures are commonly used for studying gut health. However, due to
the absence of mesenchymal cells and gut structure, epithelial culture systems
including recently developed three-dimensional organoid culture cannot accurately
represent in vivo gut development, which requires intense cross-regulation of the
epithelial layer with the underlying mesenchymal tissue. In addition, organoid
culture is costly. To overcome this, a new culture system was developed using
mouse embryonic small intestine. Cultured intestine showed spontaneous
peristalsis, indicating the maintenance of the normal gut physiological
structure. During 10 days of ex vivo culture, epithelial cells moved along the
gut surface and differentiated into different epithelial cell types, including
enterocytes, Paneth cells, goblet cells and enteroendocrine cells. We further
used the established ex vivo system to examine the role of AMP-activated protein
kinase (AMPK) on gut epithelial health. Tamoxifen-induced AMPKalpha1 knockout
vastly impaired epithelial migration and differentiation of the developing ex
vivo gut, showing the crucial regulatory function of AMPK alpha1 in intestinal
health.
PMID- 29643149
TI - Involvement of Prokineticin 2-expressing Neutrophil Infiltration in 5
Fluorouracil-induced Aggravation of Breast Cancer Metastasis to Lung.
AB - Adjuvant chemotherapy is used for human breast cancer patients, even after
curative surgery of primary tumor, to prevent tumor recurrence primarily as a
form of metastasis. However, anticancer drugs can accelerate metastasis in
several mouse metastasis models. Hence, we examined the effects of postsurgical
administration with 5-fluorouracil (5-FU), doxorubicin, and cyclophosphamide, on
lung metastasis process, which developed after the resection of the primary tumor
arising from the orthotopic injection of a mouse triple-negative breast cancer
cell line, 4T1. Only 5-FU markedly increased the numbers and sizes of lung
metastasis foci, with enhanced tumor cell proliferation and angiogenesis as
evidenced by increases in Ki67-positive cell numbers and CD31-positive areas,
respectively. 5-FU-mediated augmented lung metastasis was associated with
increases in intrapulmonary neutrophil numbers and expression of neutrophilic
chemokines, Cxcl1 and Cxcl2 in tumor cells, with few effects on intrapulmonary T
cell or macrophage numbers. 5-FU enhanced Cxcl1 and Cxcl2 expression in 4T1 cells
in a NFkappaB-dependent manner. Moreover, the administration of a neutrophil
depleting antibody or a Cxcr2 antagonist, SB225002, significantly attenuated 5-FU
mediated enhanced lung metastasis with depressed neutrophil infiltration.
Furthermore, infiltrating neutrophils and 4T1 cells abundantly expressed
prokineticin-2 (Prok2) and its receptor, Prokr1, respectively. Finally, the
administration of 5-FU after the resection of the primary tumor failed to augment
lung metastasis in the mice receiving Prokr1-deleted 4T1 cells. Collectively, 5
FU can enhance lung metastasis by inducing tumor cells to produce Cxcl1 and
Cxcl2, which induced the migration of neutrophils expressing Prok2 with a
capacity to enhance 4T1 cell proliferation. Mol Cancer Ther; 17(7); 1515-25.
(c)2018 AACR.
PMID- 29643148
TI - Lysosome-dependent cell death and deregulated autophagy induced by amine-modified
polystyrene nanoparticles.
AB - Nanoparticles (NPs) typically accumulate in lysosomes. However, their impact on
lysosomal function, as well as autophagy, a lysosomal degradative pathway, is
still not well known. We have previously reported in the 1321N1 cell line that
amine-modified polystyrene (NH2-PS) NPs induce apoptosis through damage initiated
in the lysosomes leading ultimately to release of lysosomal content in the
cytosol, followed by apoptosis. Here, by using a combination of biochemical and
cell biological approaches, we have characterized in a mouse embryonic fibroblast
cell line that the lysosomal alterations induced by NH2-PS NPs is progressive,
initiating from mild lysosomal membrane permeabilization (LMP), to expansion of
lysosomal volume and intensive LMP before the summit of cell death. Though the
cells initially seem to induce autophagy as a surviving mechanism, the damage of
NH2-PS NPs to lysosomes probably results in lysosomal dysfunctions, leading to
blockage of autophagic flux at the level of lysosomes and the eventual cell
death.
PMID- 29643150
TI - Rate of adherence to urate-lowering therapy among patients with gout: a
systematic review and meta-analysis.
AB - INTRODUCTION: Reported adherence to urate-lowering therapy (ULT) in gout varies
widely (17%-83.5%). Variability may partly be due to different adherence
measurement methods. This review aimed to quantify ULT adherence in adult
patients with gout. METHODS: This analysis examined studies in PubMed, Web of
Science, CNKI Scholar and WanFang databases from inception to January 2017.
Papers were selected by inclusion and exclusion criteria in the context. Random
effect meta-analysis estimated adherence. RESULTS: 22 studies were found by the
inclusion criteria, which involved 1 37 699 patients with gout. Four ways to
define adherence were reported. Meta-analysis revealed that the overall adherence
rate was 47% (95% CI 42% to 52%, I2=99.7%). Adherence rate to ULT was 42% (95% CI
37% to 47%, I2=99.8%) for prescription claims, 71% (95% CI 63% to 79%) for pill
count, 66% (95% CI 50% to 81%, I2=86.3%) for self-report and 63% (95% CI 42% to
83%, I2=82.9%) for interview, respectively. The influential factor on adherence
rate was country of origin. CONCLUSIONS: Among adult patients with gout, overall
adherence rate to ULT was as low as 47%, which suggested that clinicians should
pay more attention to medication adherence in patients with gout to effectively
improve adherence to ULT.
PMID- 29643151
TI - Fruit and vegetable intake and body adiposity among populations in Eastern
Canada: the Atlantic Partnership for Tomorrow's Health Study.
AB - OBJECTIVES: The prevalence of obesity among populations in the Atlantic provinces
is the highest in Canada. Some studies suggest that adequate fruit and vegetable
consumption may help body weight management. We assessed the associations between
fruit and vegetable intake with body adiposity among individuals who participated
in the baseline survey of the Atlantic Partnership for Tomorrow's Health
(Atlantic PATH) cohort study. METHODS: We carried out a cross-sectional analysis
among 26 340 individuals (7979 men and 18 361 women) aged 35-69 years who were
recruited in the baseline survey of the Atlantic PATH study. Data on fruit and
vegetable intake, sociodemographic and behavioural factors, chronic disease,
anthropometric measurements and body composition were included in the analysis.
RESULTS: In the multivariable regression analyses, 1 SD increment of total fruit
and vegetable intake was inversely associated with body mass index (-0.12 kg/m2;
95% CI -0.19 to -0.05), waist circumference (-0.40 cm; 95% CI -0.58 to -0.23),
percentage fat mass (-0.30%; 95% CI -0.44 to -0.17) and fat mass index (-0.14
kg/m2; 95% CI -0.19 to -0.08). Fruit intake, but not vegetable intake, was
consistently inversely associated with anthropometric indices, fat mass, obesity
and abdominal obesity. CONCLUSIONS: Fruit and vegetable consumption was inversely
associated with body adiposity among the participant population in Atlantic
Canada. This association was primarily attributable to fruit intake. Longitudinal
studies and randomised trials are warranted to confirm these observations and
investigate the underlying mechanisms.
PMID- 29643152
TI - Refugee maternal and perinatal health in Ontario, Canada: a retrospective
population-based study.
AB - OBJECTIVES: Immigrants are thought to be healthier than their native-born
counterparts, but less is known about the health of refugees or forced migrants.
Previous studies often equate refugee status with immigration status or country
of birth (COB) and none have compared refugee to non-refugee immigrants from the
same COB. Herein, we examined whether: (1) a refugee mother experiences greater
odds of adverse maternal and perinatal health outcomes compared with a similar
non-refugee mother from the same COB and (2) refugee and non-refugee immigrants
differ from Canadian-born mothers for maternal and perinatal outcomes. DESIGN:
This is a retrospective population-based database study. We implemented two
cohort designs: (1) 1:1 matching of refugees to non-refugee immigrants on COB,
year and age at arrival (+/-5 years) and (2) an unmatched design using all data.
SETTING AND PARTICIPANTS: Refugee immigrant mothers (n=34 233), non-refugee
immigrant mothers (n=243 439) and Canadian-born mothers (n=615 394) eligible for
universal healthcare insurance who had a hospital birth in Ontario, Canada,
between 2002 and 2014. PRIMARY OUTCOMES: Numerous adverse maternal and perinatal
health outcomes. RESULTS: Refugees differed from non-refugee immigrants most
notably for HIV, with respective rates of 0.39% and 0.20% and an adjusted OR
(AOR) of 1.82 (95% CI 1.19 to 2.79). Other elevated outcomes included caesarean
section (AOR 1.04, 95% CI 1.00 to 1.08) and moderate preterm birth (AOR 1.08, 95%
CI 0.99 to 1.17). For the majority of outcomes, refugee and non-refugee
immigrants experienced similar AORs when compared with Canadian-born mothers.
CONCLUSIONS: Refugee status was associated with a few adverse maternal and
perinatal health outcomes, but the associations were not strong except for HIV.
The definition of refugee status used herein may not sensitively identify
refugees at highest risk. Future research would benefit from further refining
refugee status based on migration experiences.
PMID- 29643153
TI - Incidence rate of community-acquired pneumonia in adults: a population-based
prospective active surveillance study in three cities in South America.
AB - OBJECTIVE: To determine the incidence rate and mortality of community-acquired
pneumonia (CAP) in adults in three cities in Latin America during a 3-year
period. DESIGN: Prospective population-based surveillance study. SETTING:
Healthcare facilities (outpatient centres and hospitals) in the cities of General
Roca (Argentina), Rivera (Uruguay) and Concepcion (Paraguay). PARTICIPANTS: 2302
adults aged 18 years and older with CAP were prospectively enrolled between
January 2012 and March 2015. MAIN OUTCOME MEASURES: Incidence rates of CAP in
adults, predisposing conditions for disease, mortality at 14 days and at 1 year
were estimated. Incidence rate of CAP, within each age group, was calculated by
dividing the number of cases by the person-years of disease-free exposure time
based on the last census; incidence rates were expressed per 1000 person-years.
RESULTS: Median age of participants was 66 years, 46.44% were men, 68% were
hospitalised. Annual incidence rate was 7.03 (95% CI 6.64 to 7.44) per 1000
person-years in General Roca, 6.33 (95% CI 5.92 to 6.78) per 1000 person-years in
Rivera and 1.76 (95% CI 1.55 to 2.00) per 1000 person-years in Concepcion.
Incidence rates were highest in participants aged over 65 years. 82.4% had at
least one predisposing condition and 48% had two or more (multimorbidity).
Chronic heart disease (43.6%) and smoking (37.3%) were the most common risk
factors. 14-day mortality rate was 12.1% and 1-year mortality was 24.9%.
Multimorbidity was associated with an increased risk of death at 14 days (OR
2.91; 95% CI 2.23 to 3.80) and at 1 year (OR 3.00; 95% CI 2.44 to 3.70).
CONCLUSIONS: We found a high incidence rate of CAP in adults, ranging from 1.76
to 7.03 per 1000 person-years, in three cities in South America, disclosing the
high burden of disease in the region. Efforts to improve prevention strategies
are needed.
PMID- 29643154
TI - Associations between preoperative Oxford hip and knee scores and costs and
quality of life of patients undergoing primary total joint replacement in the NHS
England: an observational study.
AB - OBJECTIVES: To assess how costs and quality of life (measured by EuroQoL-5
Dimensions (EQ-5D)) before and after total hip replacement (THR) and total knee
replacement (TKR) vary with age, gender and preoperative Oxford hip score (OHS)
and Oxford knee score (OKS). DESIGN: Regression analyses using prospectively
collected data from clinical trials, cohort studies and administrative data
bases. SETTING: UK secondary care. PARTICIPANTS: Men and women undergoing primary
THR or TKR. The Hospital Episode Statistics data linked to patient-reported
outcome measures included 602 176 patients undergoing hip or knee replacement who
were followed up for up to 6 years. The Knee Arthroplasty Trial included 2217
patients undergoing TKR who were followed up for 12 years. The Clinical Outcomes
in Arthroplasty Study cohort included 806 patients undergoing THR and 484
patients undergoing TKR who were observed for 1 year. OUTCOME MEASURES: EQ-5D-3L
quality of life before and after surgery, costs of primary arthroplasty, costs of
revision arthroplasty and the costs of hospital readmissions and ambulatory costs
in the year before and up to 12 years after joint replacement. RESULTS: Average
postoperative utility for patients at the 5th percentile of the OHS/OKS
distribution was 0.61/0.5 for THR/TKR and 0.89/0.85 for patients at the 95th
percentile. The difference between postoperative and preoperative EQ-5D utility
was highest for patients with preoperative OHS/OKS lower than 10. However,
postoperative EQ-5D utility was higher than preoperative utility for all patients
with OHS<=46 and those with OKS<=44. In contrast, costs were generally higher for
patients with low preoperative OHS/OKS than those with high OHS/OKS. For example,
costs of hospital readmissions within 12 months after primary THR/TKR were
L740/L888 for patients at the 5th percentile compared with L314/L404 at the 95th
percentile of the OHS/OKS distribution. CONCLUSIONS: Our findings suggest that
costs and quality of life associated with total joint replacement vary
systematically with preoperative symptoms measured by OHS/OKS.
PMID- 29643155
TI - Femoral Nerve Block Intervention in Neck of Femur Fracture (FINOF): a randomised
controlled trial.
AB - OBJECTIVE: Fractured neck of femur is a severely painful condition with
significant mortality and morbidity. We investigated whether early and continuous
use of femoral nerve block can improve pain on movement and mobility after
surgery in older participants with fragility neck of femur fracture. DESIGN:
Prospective single-centre, randomised controlled pragmatic trial. SETTING:
Secondary care, acute National Health Service Trust, UK. PARTICIPANTS:
Participants admitted with a history and examination suggesting fractured neck of
femur. INTERVENTION: Immediate continuous femoral nerve block via catheter or
standard analgesia. OUTCOME MEASURES: Primary outcome measures were Cumulative
Dynamic Pain score and Cumulated Ambulation Score from surgery until day 3
postoperatively. Secondary outcome measures included pain scores at rest,
cumulative side effects (nausea and constipation), quality of life (measured by
EuroQOL 5 D instrument (EQ-5D) score) at day 3 and day 30, and rehabilitation
outcome (measured by mobility score). RESULTS: 141 participants were recruited,
with 23 excluded. No significant difference was detected between Cumulative
Dynamic Pain Score (standard care (n=56) vs intervention (n=55) 20 (IQR 15-24) vs
20 (15-23), p=0.51) or Cumulated Ambulation Score (standard care vs intervention
6 (5-9) vs 7 (5-10), p=0.76). There were no statistically different differences
in secondary outcomes except cumulative pain at rest: 5 (0.5-6.5) in the standard
care group and 2 (0-5) in the intervention group (p=0.043). CONCLUSIONS: Early
application of continuous femoral nerve block compared with standard systemic
analgesia did not result in improved dynamic pain score or superior postoperative
ambulation. This technique may provide superior pain relief at rest. Continuous
femoral nerve block did not delay initial control of pain or mobilisation after
surgery. TRIAL REGISTRATION NUMBER: ISRCTN92946117; Pre-results.
PMID- 29643157
TI - Minimum pupil in pupillary response to light and myopia affect disk halo size: a
cross-sectional study.
AB - OBJECTIVES: To evaluate the relationship between pupillary response to light,
myopia and disk halo size. DESIGN: Cross-sectional study. SETTING: Single
refractive surgery centre. PARTICIPANTS: In this study, 197 right eyes of 197
patients between 20 and 35 years of age were evaluated for disk halo size and
pupillary response to light with a vision monitor. PRIMARY AND SECONDARY OUTCOME
MEASURES: Halo radius, age, spherical equivalent (SE), axial length (AL), initial
diameter, amplitude of contraction, latency of contraction, duration of
contraction, velocity of contraction, latency of dilation, duration of dilation,
velocity of dilation, maximum pupil, minimum pupil, average pupil and dark pupil.
RESULTS: Significant associations were found between disk halo size and SE (r=
0.219, P=0.002), AL (r=0.152, P=0.033) and minimum pupil (r=0.149, P=0.037).
There were no associations between disk halo size and initial diameter, amplitude
of contraction, latency of contraction, duration of contraction, velocity of
contraction, latency of dilation, duration of dilation, velocity of dilation,
maximum pupil, average pupil, dark pupil and age (P>0.05). A significant
difference in disk halo size was detected between the low-moderate and high
myopia (SE< -6 D) groups (P=0.002) and between the small and large (minimum
pupil>=4 mm) minimum pupil size groups (P=0.014). CONCLUSIONS: Patients with a
high SE and large minimum pupil size (minimum pupil >=4 mm) suffered more
disability glare than those with a low SE and small minimum pupil size.
PMID- 29643156
TI - IndEcho study: cohort study investigating birth size, childhood growth and young
adult cardiovascular risk factors as predictors of midlife myocardial structure
and function in South Asians.
AB - INTRODUCTION: South Asians have high rates of cardiovascular disease (CVD) and
its risk factors (hypertension, diabetes, dyslipidaemia and central obesity).
Left ventricular (LV) hypertrophy and dysfunction are features of these disorders
and important predictors of CVD mortality. Lower birth and infant weight and
greater childhood weight gain are associated with increased adult CVD mortality,
but there are few data on their relationship to LV function. The IndEcho study
will examine associations of birth size, growth during infancy, childhood and
adolescence and CVD risk factors in young adulthood with midlife cardiac
structure and function in South Asian Indians. METHODS AND ANALYSIS: We propose
to study approximately 3000 men and women aged 43-50 years from two birth cohorts
established in 1969-1973: the New Delhi Birth Cohort (n=1508) and Vellore Birth
Cohort (n=2156). They had serial measurements of weight and height from birth to
early adulthood. CVD risk markers (body composition, blood pressure, glucose
tolerance and lipids) and lifestyle characteristics (tobacco and alcohol
consumption, physical activity, socioeconomic status) were assessed at age ~30
years. Clinical measurements in IndEcho will include anthropometry, blood
pressure, biochemistry (glucose, fasting insulin and lipids, urinary
albumin/creatinine ratio) and body composition by dual energy X-ray
absorptiometry and bioelectrical impedance. Outcomes are LV mass and indices of
LV systolic and diastolic function assessed by two-dimensional and Doppler
echocardiography, carotid intimal-media thickness and ECG indicators of
ischaemia. Regression and conditional growth models, adjusted for potential
confounders, will be used to study associations of childhood and young adult
exposures with these cardiovascular outcomes. ETHICS AND DISSEMINATION: The study
has been approved by the Health Ministry Steering Committee, Government of India
and institutional ethics committees of participating centres in India and the
University of Southampton, UK. Results will be disseminated through scientific
meetings and peer-reviewed journals. TRIAL REGISTRATION NUMBER: ISRCTN13432279;
Pre-results.
PMID- 29643158
TI - Is an enhanced behaviour change intervention cost-effective compared with
physiotherapy for patients with chronic low back pain? Results from a multicentre
trial in Israel.
AB - OBJECTIVE: To assess the cost-effectiveness of an enhanced transtheoretical model
of behaviour change in conjunction with physiotherapy compared with standard care
(physiotherapy) in patients with chronic lower back pain (CLBP). DESIGN: Cost
utility and cost-effectiveness analyses alongside a multicentre controlled trial
from a healthcare perspective with a 1-year time horizon. SETTING: The trial was
conducted in eight centres within the Sharon district in Israel. PARTICIPANTS:
220 participants aged between 25 and 55 years who suffered from CLBP for a
minimum of 3 months were recruited. INTERVENTIONS: The intervention used a model
of behaviour change that sought to increase the adherence and implementation of
physical activity in conjunction with physiotherapy. The control arm received
standard care in the form of physiotherapy. PRIMARY AND SECONDARY MEASURES: The
primary outcome was the incremental cost per quality-adjusted life year (QALY) of
the intervention arm compared with standard care. The secondary outcome was the
incremental cost per Roland-Morris Disability Questionnaire point. RESULTS: The
cost per QALY point estimate was 10 645 New Israeli shekels (NIS) (L1737.11).
There was an 88% chance the intervention was cost-effective at NIS50 000 per QALY
threshold. Excluding training costs, the intervention dominated the control arm,
resulting in fewer physiotherapy and physician visits while improving outcomes.
CONCLUSIONS: The enhanced transtheoretical model intervention appears to be a
very cost-effective intervention leading to improved outcomes for low cost. Given
limitations within this study, there is justification for examining the
intervention within a larger, long-term randomised controlled trial. TRIAL
REGISTRATION NUMBER: NCT01631344; Pre-results.
PMID- 29643159
TI - Role of iodine-containing multivitamins during pregnancy for children's brain
function: protocol of an ongoing randomised controlled trial: the SWIDDICH study.
AB - INTRODUCTION: Iodine is essential for normal brain development. Moderate and
severe fetal iodine deficiency results in substantial to serious developmental
delay in children. Mild iodine deficiency in pregnancy is associated with
neurodevelopmental deficits in the offspring, but evidence from randomised trials
is lacking. The aim of the Swedish Iodine in Pregnancy and Development in
Children study is to determine the effect of daily supplementation with 150 ug
iodine during pregnancy on the offspring's neuropsychological development up to
14 years of age. METHODS AND ANALYSIS: Thyroid healthy pregnant women (n=1275:
age range 18-40 years) at <=12 weeks gestation will be randomly assigned to
receive multivitamin supplements containing 150 ug iodine or non-iodine
containing multivitamin daily throughout pregnancy. As a primary outcome, IQ will
be measured in the offspring at 7 years (Wechsler Intelligence Scale for Children
V). As secondary outcomes, IQ will be measured at 3.5 and 14 years, psychomotor
development at 18 months and 7 years, and behaviour at 3.5, 7 and 14 years.
Iodine status (urinary iodine concentration) will be measured during pregnancy
and in the offspring at 3.5, 7 and 14 years. Thyroid function (thyroid hormones,
thyroglobulin), and deiodinase type 2 polymorphisms will be measured during
pregnancy and in the offspring at 7 and 14 years. Structural MRI or other
relevant structural or functional brain imaging procedures will be performed in a
subgroup of children at 7 and 14 years. Background and socioeconomic information
will be collected at all follow-up times. ETHICS AND DISSEMINATION: This study is
approved by the Ethics Committee in Goteborg, Sweden (Diary numbers: 431-12
approved 18 June 2012 (pregnancy part) and 1089-16 approved 8 February 2017
(children follow-up)). According to Swedish regulations, dietary supplements are
governed by the National Food Agency and not by the Medical Product Agency.
Therefore, there is no requirement for a monitoring committee and the National
Food Agency does not perform any audits of trial conduct. The trial will be
conducted in accordance with the Declaration of Helsinki. The participating sites
will be contacted regarding important protocol changes, both orally and in
writing, and the trial registry database will be updated accordingly. Study
results will be presented at relevant conferences, and submitted to peer-reviewed
journals with open access in the fields of endocrinology, paediatrics and
nutrition. After the appropriate embargo period, the results will be communicated
to participants, healthcare professionals at the maternal healthcare centres, the
public and other relevant groups, such as the national guideline group for
thyroid and pregnancy and the National Food Agency. TRIAL REGISTRATION NUMBER:
NCT02378246; Pre-results.
PMID- 29643160
TI - Using machine learning techniques to develop forecasting algorithms for
postoperative complications: protocol for a retrospective study.
AB - INTRODUCTION: Mortality and morbidity following surgery are pressing public
health concerns in the USA. Traditional prediction models for postoperative
adverse outcomes demonstrate good discrimination at the population level, but the
ability to forecast an individual patient's trajectory in real time remains poor.
We propose to apply machine learning techniques to perioperative time-series data
to develop algorithms for predicting adverse perioperative outcomes. METHODS AND
ANALYSIS: This study will include all adult patients who had surgery at our
tertiary care hospital over a 4-year period. Patient history, laboratory values,
minute-by-minute intraoperative vital signs and medications administered will be
extracted from the electronic medical record. Outcomes will include in-hospital
mortality, postoperative acute kidney injury and postoperative respiratory
failure. Forecasting algorithms for each of these outcomes will be constructed
using density-based logistic regression after employing a Nadaraya-Watson kernel
density estimator. Time-series variables will be analysed using first and second
order feature extraction, shapelet methods and convolutional neural networks. The
algorithms will be validated through measurement of precision and recall. ETHICS
AND DISSEMINATION: This study has been approved by the Human Research Protection
Office at Washington University in St Louis. The successful development of these
forecasting algorithms will allow perioperative healthcare clinicians to predict
more accurately an individual patient's risk for specific adverse perioperative
outcomes in real time. Knowledge of a patient's dynamic risk profile may allow
clinicians to make targeted changes in the care plan that will alter the
patient's outcome trajectory. This hypothesis will be tested in a future
randomised controlled trial.
PMID- 29643161
TI - The Collaborative IPD of Sleep and Stillbirth (Cribss): is maternal going-to
sleep position a risk factor for late stillbirth and does maternal sleep position
interact with fetal vulnerability? An individual participant data meta-analysis
study protocol.
AB - INTRODUCTION: Accumulating evidence has shown an association between maternal
supine going-to-sleep position and stillbirth in late pregnancy. Advising women
not to go-to-sleep on their back can potentially reduce late stillbirth rate by
9%. However, the association between maternal right-sided going-to-sleep position
and stillbirth is inconsistent across studies. Furthermore, individual studies
are underpowered to investigate interactions between maternal going-to-sleep
position and fetal vulnerability, which is potentially important for producing
clear and tailored public health messages on safe going-to-sleep position. We
will use individual participant data (IPD) from existing studies to assess
whether right-side and supine going-to-sleep positions are independent risk
factors for late stillbirth and to test the interaction between going-to-sleep
position and fetal vulnerability. METHODS AND ANALYSIS: An IPD meta-analysis
approach will be used using the Cochrane Collaboration-endorsed methodology. We
will identify case-control and prospective cohort studies and randomised trials
which collected maternal going-to-sleep position data and pregnancy outcome data
that included stillbirth. The primary outcome is stillbirth. A one stage
procedure meta-analysis, stratified by study with adjustment of a priori
confounders will be carried out. ETHICS AND DISSEMINATION: The IPD meta-analysis
has obtained central ethics approval from the New Zealand Health and Disability
Ethics Committee, ref: NTX/06/05/054/AM06. Individual studies should also have
ethical approval from relevant local ethics committees. Interpretation of the
results will be discussed with consumer representatives. Results of the study
will be published in peer-reviewed journals and presented at international
conferences. PROSPERO REGISTRATION NUMBER: CRD42017047703.
PMID- 29643162
TI - What attributions do Australian high-performing general practices make for their
success? Applying the clinical microsystems framework: a qualitative study.
AB - OBJECTIVES: To identify the success attributions of high-performing Australian
general practices and the enablers and barriers they envisage for practices
wishing to emulate them. DESIGN: Qualitative study using semi-structured
interviews and content analysis of the data. Responses were recorded, transcribed
verbatim and coded according to success characteristics of high-performing
clinical microsystems. SETTING: Primary healthcare with the participating general
practices representing all Australian states and territories, and representing
metropolitan and rural locations. PARTICIPANTS: Twenty-two general practices
identified as high performing via a number of success criteria. The 52
participants were 19 general practitioners, 18 practice managers and 15 practice
nurses. RESULTS: Participants most frequently attributed success to the
interdependence of the team members, patient-focused care and leadership of the
practice. They most often signalled practice leadership, team interdependence and
staff focus as enablers that other organisations would need to emulate their
success. They most frequently identified barriers that might be encountered in
the form of potential deficits or limitations in practice leadership, staff focus
and mesosystem support. CONCLUSIONS: Practice leaders need to empower their teams
to take action through providing inclusive leadership that facilitates team
interdependence. Mesosystem support for quality improvement in general practice
should focus on enabling this leadership and team building, thereby ensuring
improvement efforts are converted into effective healthcare provision.
PMID- 29643163
TI - Effect of intratracheal dexmedetomidine administration on recovery from general
anaesthesia after gynaecological laparoscopic surgery: a randomised double
blinded study.
AB - OBJECTIVES: To examine the efficacy of intratracheal dexmedetomidine (Dex)
injection for the prevention of the laryngeal response on emergence from general
anaesthesia following gynaecological laparoscopic surgery. DESIGN: Prospective,
randomised, double-blinded, controlled trial. SETTING: A general hospital,
Guangdong Province, China. PARTICIPANTS: All patients who underwent elective
laparoscopic gynaecological surgery, aged 18-60 years old, 40-80 kg in weight,
American Society of Anesthesiologists class I-II were eligible. Patients were
excluded if they had respiratory disease, heart disorders which might represent
risk factors of potential complications of Dex such as bradycardia, heart block,
coronary heart disease, uncontrolled hypertension or the long-term use of
sedative drugs. INTERVENTION: Patients were randomly allocated to either receive
intratracheal Dex (DT), intravenous Dex (DV) or intravenous saline (CON, n=30,
respectively). In the DT and DV groups, Dex (0.5 ug/kg) was diluted and mixed in
1 or 20 mL of saline, respectively, and injected via the intratracheal or
intravenous route 30 min before the completion of the surgery. OUTCOME MEASURES:
The primary outcome was the coughing extent among the three groups. Secondary
outcomes included awareness time, extubation time, postoperative visual analogue
scale and Steward recovery score. RESULTS: Compared with the CON group, the
extent of coughing was significantly reduced in both the DV group and the DT
group. Furthermore, the mean time to awareness (13.4 (4.3) vs 8.8 (2.9), p<0.001)
and the extubation time (14.3 (4.3) vs 8.4 (3.6), p<0.001) were reduced in the DT
group. Patients in the DT group also experienced better early recovery quality
and less pain than those in the CON group. Furthermore, intratracheal Dex
administration contributed to improved stability in haemodynamics with no
significant side effects. CONCLUSIONS: Intratracheal Dex administration may avoid
untoward laryngeal responses for patients emerging from general anaesthesia after
gynaecological laparoscopy. TRIAL REGISTRATION NUMBER: ChiCTR-IOR-15007611.
PMID- 29643164
TI - Complications in breast augmentation with textured versus smooth breast implants:
a systematic review protocol.
AB - INTRODUCTION: Breast augmentation is one of the most popular aesthetic plastic
surgeries worldwide. There are various types of breast implants, and these can be
categorised into different broad groups based on their content, shape or surface,
to name a few. When looking at the surface of the shell, they can be categorised
into two main kinds: textured and smooth implants. To our knowledge, a literature
review and meta-analysis of the complications of these two types of implants when
used for aesthetic breast augmentation has yet to be written. METHODS AND
ANALYSIS: The PubMed, EMBASE and Cochrane electronic databases will be searched
from their inception to 1 October 2017. Only cohort studies, case series, case
control studies and randomised controlled trials will be included. Identification
of the articles for inclusion will be carried out by two independent researchers,
and data will be extracted from these studies for analysis. This protocol defines
the inclusion and exclusion criteria, as well as the primary and secondary
outcomes. Statistical data analysis will be conducted in Review Manager V.5.3
from Cochrane Collaboration. The methodological quality of the included studies
will also be assessed. ETHICS AND DISSEMINATION: This review will analyse
secondary data collected from studies which are not linked to any specific
individual. Once completed, the conclusions of the review could prove to be a
valuable resource for plastic surgeons to conduct aesthetic implant procedures.
The review will be submitted for publication in a peer-reviewed journal and
presented at various national and international conferences. PROSPERO
REGISTRATION NUMBER: CRD42017078727.
PMID- 29643165
TI - Reoperation after breast-conserving surgery for cancer in Australia: statewide
cohort study of linked hospital data.
AB - OBJECTIVES: To investigate between-hospital variation in the probability of
reoperation within 90 days of initial breast-conserving surgery (BCS), and the
contribution of health system-level and other factors. DESIGN: Population-based,
retrospective cohort study. SETTING: New South Wales (NSW), Australia.
PARTICIPANTS: Linked administrative hospitalisation data were used to define a
cohort of adult women undergoing initial BCS for breast cancer in NSW between 1
July 2002 and 31 December 2013. PRIMARY OUTCOME MEASURES: Multilevel, cross
classified models with patients clustered within hospitals and residential areas
were used to examine factors associated with any reoperation, and either re
excision or mastectomy, within 90 days. RESULTS: Of 34 458 women undergoing BCS,
29.1% underwent reoperation within 90 days, half of which were mastectomies.
Overall, the probability of reoperation decreased slightly over time. However,
there were divergent patterns by reoperation type; the probability of re-excision
increased alongside a concomitant decrease in the probability of mastectomy.
Significant between-hospital variation was observed. Non-metropolitan location
and surgery at low-volume hospitals were associated with a higher overall
probability of reoperation, and of mastectomy specifically, after accounting for
patient-level factors, calendar year and area-level socioeconomic status. The
magnitude of association with geographical location and surgical volume decreased
over time. CONCLUSIONS: Reoperation rates within 90 days of BCS varied
significantly between hospitals. For women undergoing mastectomy after BCS, this
represents a dramatic change in clinical course. Multilevel modelling suggests
unwarranted clinical variation may be an issue, likely due to disparities in
access to multidisciplinary breast cancer care and preoperative diagnostic
procedures. However, the observed reduction in disparities over time is
encouraging and indicates that guidelines and policy initiatives have the
potential to improve regional breast cancer care.
PMID- 29643166
TI - Metabolic syndrome and its components with neuron-specific enolase: a cross
sectional study in large health check-up population in China.
AB - OBJECTIVE: This study was aimed at investigating the relationship between neuron
specific enolase (NSE) and components of metabolic syndrome (MS). DESIGN: Cross
sectional study. SETTING: Chinese health check-up population. PARTICIPANTS: 40
684 health check-up people were enrolled in this study from year 2014 to 2016.
MAIN OUTCOME MEASURES: OR and coefficient for MS. RESULTS: The percentage of
abnormal NSE and MS was 26.85% and 8.85%, respectively. There were significant
differences in sex, body mass index, drinking habit, triglycerides (TGs), high
density lipoprotein cholesterol (HDL-C), blood pressure and MS between low-NSE
and high-NSE groups. In logistic regression analysis, elevated NSE was present in
MS, higher body mass index, hypertriglyceridaemia, hypertension and low-HDL
groups. Stepwise linear analysis showed a negative correlation between NSE and
fasting blood glucose (FBG) (<6.0 mmol/L), and a positive correlation between NSE
and TGs (<20 mmol/L), systolic blood pressure (75-200 mm Hg), HDL-C (0.75-2.50
mmol/L), diastolic blood pressure (<70 mm Hg) and FBG (6.00-20.00 mmol/L).
Furthermore, MS was positively correlated with NSE within the range of 2.00-7.50
ng/mL, but had a negative correlation with NSE within the range of 7.50-23.00
ng/mL. CONCLUSION: There are associations between NSE with MS and its components.
The result suggests that NSE may be a potential predictor of MS. Further research
could be conducted in discussing the potential mechanism involved.
PMID- 29643167
TI - Behaviours preceding suicides at railway and underground locations: a
multimethodological qualitative approach.
AB - OBJECTIVES: Suicides by train have devastating consequences for families, the
rail industry, staff dealing with the aftermath of such incidents and potential
witnesses. To reduce suicides and suicide attempts by rail, it is important to
learn how safe interventions can be made. However, very little is known about how
to identify someone who may be about to make a suicide attempt at a railway
location (including underground/subways). The current research employed a novel
way of understanding what behaviours might immediately precede a suicide or
suicide attempt at these locations. DESIGN AND METHODS: A qualitative thematic
approach was used for three parallel studies. Data were gathered from several
sources, including interviews with individuals who survived a rail suicide
attempt (n=9), CCTV footage of individuals who died by rail suicide (n=16) and
qualitative survey data providing views from rail staff (n=79). RESULTS: Our
research suggests that there are several behaviours that people may carry out
before a suicide or suicide attempt at a rail location, including station hopping
and platform switching, limiting contact with others, positioning themselves at
the end of the track where the train/tube approaches, allowing trains to pass by
and carrying out repetitive behaviours. CONCLUSIONS: There are several behaviours
that may be identifiable in the moments leading up to a suicide or suicide
attempt on the railways which may present opportunities for intervention. These
findings have implications for several stakeholders, including rail providers,
transport police and other organisations focused on suicide prevention.
PMID- 29643168
TI - Fatigue in patients with chronic obstructive pulmonary disease: protocol of the
Dutch multicentre, longitudinal, observational FAntasTIGUE study.
AB - INTRODUCTION: Fatigue is the second most common symptom in patients with chronic
obstructive pulmonary disease (COPD). Despite its high prevalence, fatigue is
often ignored in daily practice. For this reason, little is known about the
underlying determinants of fatigue in patients with COPD. The primary objectives
of this study are to chart the course of fatigue in patients with COPD, to
identify the physical, systemic, psychological and behavioural factors that
precipitate and perpetuate fatigue in patients with COPD, to evaluate the impact
of exacerbation-related hospitalisations on fatigue and to better understand the
association between fatigue and 2-year all-cause hospitalisation and mortality in
patients with COPD. The secondary aim is to identify diurnal differences in
fatigue by using ecological momentary assessment (EMA). This manuscript describes
the protocol of the FAntasTIGUE study and gives an overview of the possible
strengths, weaknesses and clinical implications. METHODS AND ANALYSIS: A 2-year
longitudinal, observational study, enrolling 400 patients with clinically stable
COPD has been designed. Fatigue, the primary outcome, will be measured by the
subjective fatigue subscale of the Checklist Individual Strength (CIS-Fatigue).
The secondary outcome is the day-to-day/diurnal fatigue, registered in a
subsample (n=60) by EMA. CIS-Fatigue and EMA will be evaluated at baseline, and
at 4, 8 and 12 months. The precipitating and perpetuating factors of fatigue
(physical, psychological, behavioural and systemic) will be assessed at baseline
and at 12 months. Additional assessments will be conducted following
hospitalisation due to an exacerbation of COPD that occurs between baseline and
12 months. Finally, at 18 and 24 months the participants will be followed up on
their fatigue, number of exacerbations, exacerbation-related hospitalisation and
survival. ETHICS AND DISSEMINATION: This protocol was approved by the Medical
research Ethics Committees United, Nieuwegein, the Netherlands (NL60484.100.17).
TRIAL REGISTRATION NUMBER: NTR6933; Pre-results.
PMID- 29643169
TI - Safety and feasibility evaluation of tourniquets for total knee replacement (SAFE
TKR): study protocol.
AB - INTRODUCTION: This study is designed to determine whether a full randomised
controlled trial (RCT) examining the clinical effectiveness and safety of total
knee replacement surgery with or without a tourniquet is warranted and feasible.
METHOD AND ANALYSIS: Single centre, patient-blinded and assessor-blinded RCT. A
computer-generated randomisation service will allocate 50 participants into one
of two trial treatments, surgery with or without a tourniquet. The primary
objective is to estimate recruitment, crossovers and follow-up of patients. All
patients will have an MRI scan of their brain preoperatively and day 1 or 2
postoperatively to identify ischaemic cerebral emboli (primary clinical outcome).
Oxford Cognitive Screen, Montreal Cognitive Assessment and Mini-Mental State
Examination will be evaluated as outcome tools for measuring cognitive impairment
at days 1, 2 and 7 postoperatively. Thigh pain, blood transfusion requirements,
venous thromboembolism, revision surgery, surgical complications, mortality and
Oxford knee and five-level EuroQol-5D scores will be collected over 12 months.
Integrated qualitative research study: 30 trial patients and 20 knee surgeons
will take part in semistructured interviews. Interviews will capture views
regarding the pilot trial and explore barriers and potential solutions to a full
trial. Multicentre cohort study: UK National Joint Registry data will be linked
to Hospital Episode Statistics to estimate the relationship between tourniquet
use and venous thromboembolic event, length of hospital stay, risk of revision
surgery and death. The study will conclude with a multidisciplinary workshop to
reach a consensus on whether a full trial is warranted and feasible. ETHICS AND
DISSEMINATION: National Research Ethics Committee (West Midlands-Edgbaston)
approved this study on 27 January 2016 (15/WM/0455). The study is sponsored by
University of Warwick and University Hospitals Coventry and Warwickshire. The
results will be disseminated via high-impact peer-reviewed publication. TRIAL
REGISTRATION NUMBER: ISRCTN20873088; Pre-results.
PMID- 29643170
TI - Treatment options in idiopathic subglottic stenosis: protocol for a prospective
international multicentre pragmatic trial.
AB - INTRODUCTION: Idiopathic subglottic stenosis (iSGS) is an unexplained progressive
obstruction of the upper airway that occurs almost exclusively in adult,
Caucasian women. The disease is characterised by mucosal inflammation and
localised fibrosis resulting in life-threatening blockage of the upper airway.
Because of high recurrence rates, patients with iSGS will frequently require
multiple procedures following their initial diagnosis. Both the disease and its
therapies profoundly affect patients' ability to breathe, communicate and
swallow. A variety of treatments have been advanced to manage this condition.
However, comparative data on effectiveness and side effects of the unique
approaches have never been systematically evaluated. This study will create an
international, multi-institutional prospective cohort of patients with iSGS. It
will compare three surgical approaches to determine how well the most commonly
used treatments in iSGS 'work' and what quality of life (QOL) trade-offs are
associated with each approach. METHODS AND ANALYSIS: A prospective pragmatic
trial comparing the 'Standard of Care' for iSGS at multiple international
institutions. Patients with a diagnosis of iSGS without clinical or laboratory
evidence of vasculitis or a history of endotracheal intubation 2 years prior to
symptom onset will be included in the study. Prospective evaluation of disease
recurrence requiring operative intervention, validated patient-reported outcome
(PRO) measures as well as patient-generated health data (mobile peak flow
recordings and daily steps taken) will be longitudinally tracked for 36 months.
The primary endpoint is treatment effectiveness defined as time to recurrent
operative procedure. Secondary endpoints relate to treatment side effects and
include PRO measures in voice, swallowing, breathing and global QOL as well as
patient-generated health data. ETHICS AND DISSEMINATION: This protocol was
approved by the local IRB Committee of the Vanderbilt University Medical Center
in July 2015. The findings of the trial will be disseminated through peer
reviewed journals, national and international conference presentations and
directly to patient with iSGS via social media-based support groups. TRIAL
REGISTRATION NUMBER: NCT02481817.
PMID- 29643173
TI - --Mitochondrial ATP synthase dimers spontaneously associate due to a long-range
membrane-induced force.
AB - Adenosine triphosphate (ATP) synthases populate the inner membranes of
mitochondria, where they produce the majority of the ATP required by the cell.
From yeast to vertebrates, cryoelectron tomograms of these membranes have
consistently revealed a very precise organization of these enzymes. Rather than
being scattered throughout the membrane, the ATP synthases form dimers, and these
dimers are organized into rows that extend for hundreds of nanometers. The rows
are only observed in the membrane invaginations known as cristae, specifically
along their sharply curved edges. Although the presence of these macromolecular
structures has been irrefutably linked to the proper development of cristae
morphology, it has been unclear what drives the formation of the rows and why
they are specifically localized in the cristae. In this study, we present a
quantitative molecular-simulation analysis that strongly suggests that the dimers
of ATP synthases organize into rows spontaneously, driven by a long-range
attractive force that arises from the relief of the overall elastic strain of the
membrane. The strain is caused by the V-like shape of the dimers, unique among
membrane protein complexes, which induces a strong deformation in the surrounding
membrane. The process of row formation is therefore not a result of direct
protein-protein interactions or a specific lipid composition of the membrane. We
further hypothesize that, once assembled, the ATP synthase dimer rows prime the
inner mitochondrial membrane to develop folds and invaginations by causing
macroscopic membrane ridges that ultimately become the edges of cristae. In this
way, mitochondrial ATP synthases would contribute to the generation of a
morphology that maximizes the surface area of the inner membrane, and thus ATP
production. Finally, we outline key experiments that would be required to verify
or refute this hypothesis.
PMID- 29643172
TI - The syndromic deafness mutation G12R impairs fast and slow gating in Cx26
hemichannels.
AB - Mutations in connexin 26 (Cx26) hemichannels can lead to syndromic deafness that
affects the cochlea and skin. These mutations lead to gain-of-function
hemichannel phenotypes by unknown molecular mechanisms. In this study, we
investigate the biophysical properties of the syndromic mutant Cx26G12R (G12R).
Unlike wild-type Cx26, G12R macroscopic hemichannel currents do not saturate upon
depolarization, and deactivation is faster during hyperpolarization, suggesting
that these channels have impaired fast and slow gating. Single G12R hemichannels
show a large increase in open probability, and transitions to the subconductance
state are rare and short-lived, demonstrating an inoperative fast gating
mechanism. Molecular dynamics simulations indicate that G12R causes a
displacement of the N terminus toward the cytoplasm, favoring an interaction
between R12 in the N terminus and R99 in the intracellular loop. Disruption of
this interaction recovers the fast and slow voltage-dependent gating mechanisms.
These results suggest that the mechanisms of fast and slow gating in connexin
hemichannels are coupled and provide a molecular mechanism for the gain-of
function phenotype displayed by the syndromic G12R mutation.
PMID- 29643174
TI - Patterns of mitochondrial membrane remodeling parallel functional adaptations to
thermal stress.
AB - The effect of temperature on mitochondrial performance is thought to be partly
due to its effect on mitochondrial membranes. Numerous studies have shown that
thermal acclimation and adaptation can alter the amount of inner-mitochondrial
membrane (IMM), but little is known about the capacity of organisms to modulate
mitochondrial membrane composition. Using northern and southern subspecies of
Atlantic killifish (Fundulus heteroclitus) that are locally adapted to different
environmental temperatures, we assessed whether thermal acclimation altered liver
mitochondrial respiratory capacity or the composition and amount of IMM. We
measured changes in phospholipid headgroups and headgroup-specific fatty acid
(FA) remodeling, and used respirometry to assess mitochondrial respiratory
capacity. Acclimation to 5 degrees C and 33 degrees C altered mitochondrial
respiratory capacity in both subspecies. Northern F. heteroclitus exhibited
greater mitochondrial respiratory capacity across acclimation temperatures,
consistent with previously observed subspecies differences in whole-organism
aerobic metabolism. Mitochondrial phospholipids were altered following thermal
acclimation, and the direction of these changes was largely consistent between
subspecies. These effects were primarily driven by remodeling of specific
phospholipid classes and were associated with shifts in metabolic phenotypes.
There were also differences in membrane composition between subspecies that were
driven largely by differences in phospholipid classes. Changes in respiratory
capacity between subspecies and with acclimation were largely but not completely
accounted for by alterations in the amount of IMM. Taken together, these results
support a role for changes in liver mitochondrial function in the ectothermic
response to thermal stress during both acclimation and adaptation, and implicate
lipid remodeling as a mechanism contributing to these changes.
PMID- 29643175
TI - Impaired associative learning after chronic exposure to pesticides in young adult
honey bees.
AB - Neonicotinoids are the most widespread insecticides in agriculture, preferred for
their low toxicity to mammals and their systemic nature. Nevertheless, there have
been increasing concerns regarding their impact on non-target organisms.
Glyphosate is also widely used in crops and, therefore, traces of this pesticide
are likely to be found together with neonicotinoids. Although glyphosate is
considered a herbicide, adverse effects have been found on animal species,
including honey bees. Apis mellifera is one of the most important pollinators in
agroecosystems and is exposed to both these pesticides. Traces can be found in
nectar and pollen of flowers that honey bees visit, but also in honey stores
inside the hive. Young workers, which perform in-hive tasks that are crucial for
colony maintenance, are potentially exposed to both these contaminated resources.
These workers present high plasticity and are susceptible to stimuli that can
modulate their behaviour and impact on colony state. Therefore, by performing
standardised assays to study sublethal effects of these pesticides, these bees
can be used as bioindicators. We studied the effect of chronic joint exposure to
field-realistic concentrations of the neonicotinoid imidacloprid and glyphosate
on gustatory perception and olfactory learning. Both pesticides reduced sucrose
responsiveness and had a negative effect on olfactory learning. Glyphosate also
reduced food uptake during rearing. The results indicate differential
susceptibility according to honey bee age. The two agrochemicals had adverse
effects on different aspects of honey bee appetitive behaviour, which could have
repercussions for food distribution, propagation of olfactory information and
task coordination within the nest.
PMID- 29643177
TI - Should Hypertension Be Treated in Late Life to Preserve Cognitive Function? Pro
Side of the Argument.
PMID- 29643179
TI - Correction to: Cellular FLICE-Inhibitory Protein Protects Against Cardiac
Remodeling Induced by Angiotensin II in Mice.
PMID- 29643178
TI - Should Hypertension Be Treated in Late Life to Preserve Cognitive Function? Con
Side of the Argument.
PMID- 29643180
TI - Structural control of caspase-generated glutamyl-tRNA synthetase by appended
noncatalytic WHEP domains.
AB - Aminoacyl-tRNA synthetases are ubiquitous, evolutionarily conserved enzymes
catalyzing the conjugation of amino acids onto cognate tRNAs. During eukaryotic
evolution, tRNA synthetases have been the targets of persistent structural
modifications. These modifications can be additive, as in the evolutionary
acquisition of noncatalytic domains, or subtractive, as in the generation of
truncated variants through regulated mechanisms such as proteolytic processing,
alternative splicing, or coding region polyadenylation. A unique variant is the
human glutamyl-prolyl-tRNA synthetase (EPRS) consisting of two fused synthetases
joined by a linker containing three copies of the WHEP domain (termed by its
presence in tryptophanyl-, histidyl-, and glutamyl-prolyl-tRNA synthetases).
Here, we identify site-selective proteolysis as a mechanism that severs the
linkage between the EPRS synthetases in vitro and in vivo Caspase action targeted
Asp-929 in the third WHEP domain, thereby separating the two synthetases. Using a
neoepitope antibody directed against the newly exposed C terminus, we demonstrate
EPRS cleavage at Asp-929 in vitro and in vivo Biochemical and biophysical
characterizations of the N-terminally generated EPRS proteoform containing the
glutamyl-tRNA synthetase and most of the linker, including two WHEP domains,
combined with structural analysis by small-angle neutron scattering, revealed a
role for the WHEP domains in modulating conformations of the catalytic core and
GSH-S-transferase-C-terminal-like (GST-C) domain. WHEP-driven conformational
rearrangement altered GST-C domain interactions and conferred distinct oligomeric
states in solution. Collectively, our results reveal long-range conformational
changes imposed by the WHEP domains and illustrate how noncatalytic domains can
modulate the global structure of tRNA synthetases in complex eukaryotic systems.
PMID- 29643182
TI - My winding trail while fulfilling my love for science and family.
AB - My winding path toward a career in science was awkward, like an adolescent
finding an identity. It did not follow a classic course; it had many
interruptions, complications, and challenges. It also involved a bit of luck and
extremely supportive colleagues, mentors, and family, including my husband,
children, and in-laws. I was inspired to tell my story here because I met a young
woman interviewing in 2018 for graduate school who is growing up with the same
complicated family expectations, social challenges, love for science, and desire
to be a scientist as I had four decades ago. Her future is uncertain, because her
chosen academic path is not encouraged by those around her. We, as a society,
must find ways to encourage, promote, enable, and give strength to those who want
to follow their dreams, despite facing many challenges in their lives. Here are
some things I learned on my career path that I hope might be helpful for others.
PMID- 29643181
TI - Smooth muscle cells differentiated from mesenchymal stem cells are regulated by
microRNAs and suitable for vascular tissue grafts.
AB - Tissue-engineered vascular grafts with long-term patency are greatly needed in
the clinical settings, and smooth muscle cells (SMCs) are a critical graft
component. Human mesenchymal stem cells (MSCs) are used for generating SMCs, and
understanding the underlying regulatory mechanisms of the MSC-to-SMC
differentiation process could improve SMC generation in the clinic. Here, we
found that in response to stimulation of transforming growth factor-beta1
(TGFbeta1), human umbilical cord-derived MSCs abundantly express the SMC markers
alpha-smooth muscle actin (alphaSMA), smooth muscle protein 22 (SM22), calponin,
and smooth muscle myosin heavy chain (SMMHC) at both gene and protein levels.
Functionally, MSC-derived SMCs displayed contracting capacity in vitro and
supported vascular structure formation in the Matrigel plug assay in vivo More
importantly, SMCs differentiated from human MSCs could migrate into
decellularized mouse aorta and give rise to the smooth muscle layer of vascular
grafts, indicating the potential of utilizing human MSC-derived SMCs to generate
vascular grafts. Of note, microRNA (miR) array analysis and TaqMan microRNA
assays identified miR-503 and miR-222-5p as potential regulators of MSC
differentiation into SMCs at early time points. Mechanistically, miR-503 promoted
SMC differentiation by directly targeting SMAD7, a suppressor of SMAD-related,
TGFbeta1-mediated signaling pathways. Moreover, miR-503 expression was SMAD4
dependent. SMAD4 was enriched at the miR-503 promoter. Furthermore, miR-222-5p
inhibited SMC differentiation by targeting and down-regulating ROCK2 and
alphaSMA. In conclusion, MSC differentiation into SMCs is regulated by miR-503
and miR-222-5p and yields functional SMCs for use in vascular grafts.
PMID- 29643171
TI - Fungal Morphogenesis, from the Polarized Growth of Hyphae to Complex Reproduction
and Infection Structures.
AB - Filamentous fungi constitute a large group of eukaryotic microorganisms that grow
by forming simple tube-like hyphae that are capable of differentiating into more
complex morphological structures and distinct cell types. Hyphae form filamentous
networks by extending at their tips while branching in subapical regions. Rapid
tip elongation requires massive membrane insertion and extension of the rigid
chitin-containing cell wall. This process is sustained by a continuous flow of
secretory vesicles that depends on the coordinated action of the microtubule and
actin cytoskeletons and the corresponding motors and associated proteins.
Vesicles transport cell wall-synthesizing enzymes and accumulate in a special
structure, the Spitzenkorper, before traveling further and fusing with the tip
membrane. The place of vesicle fusion and growth direction are enabled and
defined by the position of the Spitzenkorper, the so-called cell end markers, and
other proteins involved in the exocytic process. Also important for tip extension
is membrane recycling by endocytosis via early endosomes, which function as
multipurpose transport vehicles for mRNA, septins, ribosomes, and peroxisomes.
Cell integrity, hyphal branching, and morphogenesis are all processes that are
largely dependent on vesicle and cytoskeleton dynamics. When hyphae differentiate
structures for asexual or sexual reproduction or to mediate interspecies
interactions, the hyphal basic cellular machinery may be reprogrammed through the
synthesis of new proteins and/or the modification of protein activity. Although
some transcriptional networks involved in such reprogramming of hyphae are well
studied in several model filamentous fungi, clear connections between these
networks and known determinants of hyphal morphogenesis are yet to be
established.
PMID- 29643183
TI - The HIRAN domain of helicase-like transcription factor positions the DNA
translocase motor to drive efficient DNA fork regression.
AB - Helicase-like transcription factor (HLTF) is a central mediator of the DNA damage
response and maintains genome stability by regressing stalled replication forks.
The N-terminal HIRAN domain binds specifically to the 3'-end of single-stranded
DNA (ssDNA), and disrupting this function interferes with fork regression in
vitro as well as replication fork progression in cells under replication stress.
Here, we investigated the mechanism by which the HIRAN-ssDNA interaction
facilitates fork remodeling. Our results indicated that HIRAN capture of a
denatured nascent leading 3'-end directs specific binding of HLTF to forks. DNase
footprinting revealed that HLTF binds to the parental duplex ahead of the fork
and at the leading edge behind the fork. Moreover, we found that the HIRAN domain
is important for initiating regression of forks when both nascent strands are at
the junction, but is dispensable when forks contain ssDNA regions on either
template strand. We also found that HLTF catalyzes fork restoration from a
partially regressed structure in a HIRAN-dependent manner. Thus, HIRAN serves as
a substrate-recognition domain to properly orient the ATPase motor domain at
stalled and regressed forks and initiates fork remodeling by guiding formation of
a four-way junction. We discuss how these activities compare with those of two
related fork remodelers, SWI/SNF-related, matrix-associated, actin-dependent
regulator of chromatin, subfamily A-like 1 (SMARCAL1) and zinc finger RANBP2 type
containing 3 (ZRANB3) to provide insight into their nonredundant roles in DNA
damage tolerance.
PMID- 29643184
TI - Tracking the Cartoon mouse phenotype: Hemopexin domain-dependent regulation of
MT1-MMP pericellular collagenolytic activity.
AB - Following ENU mutagenesis, a phenodeviant line was generated, termed the "Cartoon
mouse," that exhibits profound defects in growth and development. Cartoon mice
harbor a single S466P point mutation in the MT1-MMP hemopexin domain, a 200-amino
acid segment that is thought to play a critical role in regulating MT1-MMP
collagenolytic activity. Herein, we demonstrate that the MT1-MMPS466P mutation
replicates the phenotypic status of Mt1-mmp-null animals as well as the
functional characteristics of MT1-MMP-/- cells. However, rather than a loss-of
function mutation acquired as a consequence of defects in MT1-MMP proteolytic
activity, the S466P substitution generates a misfolded, temperature-sensitive
mutant that is abnormally retained in the endoplasmic reticulum (ER). By
contrast, the WT hemopexin domain does not play a required role in regulating MT1
MMP trafficking, as a hemopexin domain-deletion mutant is successfully mobilized
to the cell surface and displays nearly normal collagenolytic activity.
Alternatively, when MT1-MMPS466P-expressing cells are cultured at a permissive
temperature of 25 degrees C that depresses misfolding, the mutant successfully
traffics from the ER to the trans-Golgi network (ER -> trans-Golgi network),
where it undergoes processing to its mature form, mobilizes to the cell surface,
and expresses type I collagenolytic activity. Together, these analyses define the
Cartoon mouse as an unexpected gain-of-abnormal function mutation, wherein the
temperature-sensitive mutant phenocopies MT1-MMP-/- mice as a consequence of
eliciting a specific ER -> trans-Golgi network trafficking defect.
PMID- 29643187
TI - Alpha-Band Oscillation Mediates the Temporal Organization of Serially Presented
Flashes.
PMID- 29643185
TI - Expression of mutant Asxl1 perturbs hematopoiesis and promotes susceptibility to
leukemic transformation.
AB - Additional sex combs like 1 (ASXL1) is frequently mutated in myeloid malignancies
and clonal hematopoiesis of indeterminate potential (CHIP). Although loss of
ASXL1 promotes hematopoietic transformation, there is growing evidence that ASXL1
mutations might confer an alteration of function. In this study, we identify that
physiological expression of a C-terminal truncated Asxl1 mutant in vivo using
conditional knock-in (KI) results in myeloid skewing, age-dependent anemia,
thrombocytosis, and morphological dysplasia. Although expression of mutant Asxl1
altered the functions of hematopoietic stem cells (HSCs), it maintained their
survival in competitive transplantation assays and increased susceptibility to
leukemic transformation by co-occurring RUNX1 mutation or viral insertional
mutagenesis. KI mice displayed substantial reductions in H3K4me3 and H2AK119Ub
without significant reductions in H3K27me3, distinct from the effects of Asxl1
loss. Chromatin immunoprecipitation followed by next-generation sequencing
analysis demonstrated opposing effects of wild-type and mutant Asxl1 on H3K4me3.
These findings reveal that ASXL1 mutations confer HSCs with an altered epigenome
and increase susceptibility for leukemic transformation, presenting a novel model
for CHIP.
PMID- 29643188
TI - Onset Matters: How Collicular Activity Relates to Saccade Initiation during
Cortical Cooling.
PMID- 29643186
TI - Peripherally derived macrophages can engraft the brain independent of irradiation
and maintain an identity distinct from microglia.
AB - Peripherally derived macrophages infiltrate the brain after bone marrow
transplantation and during central nervous system (CNS) inflammation. It was
initially suggested that these engrafting cells were newly derived microglia and
that irradiation was essential for engraftment to occur. However, it remains
unclear whether brain-engrafting macrophages (beMphis) acquire a unique phenotype
in the brain, whether long-term engraftment may occur without irradiation, and
whether brain function is affected by the engrafted cells. In this study, we
demonstrate that chronic, partial microglia depletion is sufficient for beMphis
to populate the niche and that the presence of beMphis does not alter behavior.
Furthermore, beMphis maintain a unique functional and transcriptional identity as
compared with microglia. Overall, this study establishes beMphis as a unique CNS
cell type and demonstrates that therapeutic engraftment of beMphis may be
possible with irradiation-free conditioning regimens.
PMID- 29643189
TI - Elevated Hepatic CD1d Levels Coincide with Invariant NKT Cell Defects in Chronic
Hepatitis B Virus Infection.
AB - Activation of invariant NKT (iNKT) cells manifests antiviral immune responses in
vivo. However, clinical trials have failed to show consistent hepatitis B virus
(HBV) DNA reduction postadministration of iNKT cell-specific agonist alpha
galactosylceramide (alpha-GalCer). In this study, we aimed to investigate HBV
infection-related iNKT cell defects and explore iNKT cell-based therapeutic
potential for chronic hepatitis B (CHB). Liver specimens from 30 HBV-infected
hepatocellular carcinoma patients were collected for CD1d/hepatitis B surface Ag
(HBsAg) staining and/or intrahepatic iNKT cell assay. Two hundred and six chronic
HBV-infected patients (including 130 CHB patients) were enrolled in the study of
circulating iNKT cell frequency and function. We found that liver and hepatoma
tissue that positively stained for HBsAg had higher CD1d expression as compared
with HBsAg negatively stained counterparts. The elevated CD1d expression in
infected tissue is supposed to facilitate the iNKT cell-based antiviral effects
locally. However, iNKT cell defects that related with disease progression
suggested iNKT cells attenuated their effects during chronic HBV infection. The
residual iNKT cells in CHB patients showed aberrant activation and
hyporesponsiveness to alpha-GalCer. Exogenous IL-2 fully rescued alpha-GalCer
induced expansion of iNKT cells from CHB patients, and synergistic effects of IL
2 and IL-15 helped to recover the CD1d-dependent IFN-gamma production. In
conclusion, our results highlight the increased CD1d expression in HBV-infected
liver and differential iNKT cell defects associated with disease progression
during chronic HBV infection. The reversibility of iNKT cell defects suggests
protective immune responses could be partially recovered in CHB.
PMID- 29643191
TI - CD83 Antibody Inhibits Human B Cell Responses to Antigen as well as Dendritic
Cell-Mediated CD4 T Cell Responses.
AB - Anti-CD83 Ab capable of Ab-dependent cellular cytotoxicity can deplete activated
CD83+ human dendritic cells, thereby inhibiting CD4 T cell-mediated acute graft
versus-host disease. As CD83 is also expressed on the surface of activated B
lymphocytes, we hypothesized that anti-CD83 would also inhibit B cell responses
to stimulation. We found that anti-CD83 inhibited total IgM and IgG production in
vitro by allostimulated human PBMC. Also, Ag-specific Ab responses to
immunization of SCID mice xenografted with human PBMC were inhibited by anti-CD83
treatment. This inhibition occurred without depletion of all human B cells
because anti-CD83 lysed activated CD83+ B cells by Ab-dependent cellular
cytotoxicity and spared resting (CD83-) B cells. In cultured human PBMC, anti
CD83 inhibited tetanus toxoid-stimulated B cell proliferation and concomitant
dendritic cell-mediated CD4 T cell proliferation and expression of IFN-gamma and
IL-17A, with minimal losses of B cells (<20%). In contrast, the anti-CD20 mAb
rituximab depleted >80% of B cells but had no effect on CD4 T cell proliferation
and cytokine expression. By virtue of the ability of anti-CD83 to selectively
deplete activated, but not resting, B cells and dendritic cells, with the latter
reducing CD4 T cell responses, anti-CD83 may be clinically useful in autoimmunity
and transplantation. Advantages might include inhibited expansion of autoantigen-
or alloantigen-specific B cells and CD4 T cells, thus preventing further
production of pathogenic Abs and inflammatory cytokines while preserving
protective memory and regulatory cells.
PMID- 29643190
TI - Peptide Vaccine Formulation Controls the Duration of Antigen Presentation and
Magnitude of Tumor-Specific CD8+ T Cell Response.
AB - Despite remarkable progresses in vaccinology, therapeutic cancer vaccines have
not achieved their full potential. We previously showed that an excessively long
duration of Ag presentation critically reduced the quantity and quality of
vaccination-induced T cell responses and subsequent antitumor efficacy. In this
study, using a murine model and tumor cell lines, we studied l-tyrosine amino
acid-based microparticles as a peptide vaccine adjuvant with a short-term Ag
depot function for the induction of tumor-specific T cells. l-Tyrosine
microparticles did not induce dendritic cell maturation, and their adjuvant
activity was not mediated by inflammasome activation. Instead, prolonged Ag
presentation in vivo translated into increased numbers and antitumor activity of
vaccination-induced CD8+ T cells. Indeed, prolonging Ag presentation by repeated
injection of peptide in saline resulted in an increase in T cell numbers similar
to that observed after vaccination with peptide/l-tyrosine microparticles. Our
results show that the duration of Ag presentation is critical for optimal
induction of antitumor T cells, and can be manipulated through vaccine
formulation.
PMID- 29643192
TI - Characterizing the Mechanisms of Nonopsonic Uptake of Cryptococci by Macrophages.
AB - The pathogenic fungus Cryptococcus enters the human host via inhalation into the
lung and is able to reside in a niche environment that is serum- (opsonin)
limiting. Little is known about the mechanism by which nonopsonic phagocytosis
occurs via phagocytes in such situations. Using a combination of soluble
inhibitors of phagocytic receptors and macrophages derived from knockout mice and
human volunteers, we show that uptake of nonopsonized Cryptococcus neoformans and
C. gattii via the mannose receptor is dependent on macrophage activation by
cytokines. However, although uptake of C. neoformans is via both dectin-1 and
dectin-2, C. gattii uptake occurs largely via dectin-1. Interestingly, dectin
inhibitors also blocked phagocytosis of unopsonized Cryptococci in wax moth
(Galleria mellonella) larvae and partially protected the larvae from infection by
both fungi, supporting a key role for host phagocytes in augmenting early disease
establishment. Finally, we demonstrated that internalization of nonopsonized
Cryptococci is not accompanied by the nuclear translocation of NF-kappaB or its
concomitant production of proinflammatory cytokines such as TNF-alpha. Thus,
nonopsonized Cryptococci are recognized by mammalian phagocytes in a manner that
minimizes proinflammatory cytokine production and potentially facilitates fungal
pathogenesis.
PMID- 29643193
TI - Cutting Edge: Protection by Antiviral Memory CD8 T Cells Requires Rapidly
Produced Antigen in Large Amounts.
AB - Numerous attempts to produce antiviral vaccines by harnessing memory CD8 T cells
have failed. A barrier to progress is that we do not know what makes an Ag a
viable target of protective CD8 T cell memory. We found that in mice susceptible
to lethal mousepox (the mouse homolog of human smallpox), a dendritic cell
vaccine that induced memory CD8 T cells fully protected mice when the infecting
virus produced Ag in large quantities and with rapid kinetics. Protection did not
occur when the Ag was produced in low amounts, even with rapid kinetics, and
protection was only partial when the Ag was produced in large quantities but with
slow kinetics. Hence, the amount and timing of Ag expression appear to be key
determinants of memory CD8 T cell antiviral protective immunity. These findings
may have important implications for vaccine design.
PMID- 29643194
TI - Quantitative Thresholds Enable Accurate Identification of Clostridium difficile
Infection by the Luminex xTAG Gastrointestinal Pathogen Panel.
AB - Clostridium difficile colonizes the gastrointestinal (GI) tract, resulting in
either asymptomatic carriage or a spectrum of diarrheal illness. If clinical
suspicion for C. difficile is low, stool samples are often submitted for analysis
by multiplex molecular assays capable of detecting multiple GI pathogens, and
some institutions do not report this organism due to concerns for high false
positive rates. Since clinical disease correlates with organism burden and
molecular assays yield quantitative data, we hypothesized that numerical cutoffs
could be utilized to improve the specificity of the Luminex xTAG GI pathogen
panel (GPP) for C. difficile infection. Analysis of cotested liquid stool samples
(n = 1,105) identified a GPP median fluorescence intensity (MFI) value cutoff of
>=1,200 to be predictive of two-step algorithm (2-SA; 96.4% concordance) and
toxin enzyme immunoassay (EIA) positivity. Application of this cutoff to a second
cotested data set (n = 1,428) yielded 96.5% concordance. To determine test
performance characteristics, concordant results were deemed positive or negative,
and discordant results were adjudicated via chart review. Test performance
characteristics for the MFI cutoff of >=150 (standard), MFI cutoff of >=1,200,
and 2-SA were as follows (respectively): concordance, 95, 96, and 97%;
sensitivity, 93, 78, and 90%; specificity, 95, 98, and 98%; positive predictive
value, 67, 82, and 81%;, and negative predictive value, 99, 98, and 99%. To
capture the high sensitivity for organism detection (MFI of >=150) and high
specificity for active infection (MFI of >=1,200), we developed and applied a
reporting algorithm to interpret GPP data from patients (n = 563) with clinician
orders only for syndromic panel testing, thus enabling accurate reporting of C.
difficile for 95% of samples (514 negative and 5 true positives) irrespective of
initial clinical suspicion and without the need for additional testing.
PMID- 29643195
TI - Diagnostic Performance of a Molecular Test versus Clinician Assessment of
Vaginitis.
AB - Vaginitis is a common complaint, diagnosed either empirically or using Amsel's
criteria and wet mount microscopy. This study sought to determine characteristics
of an investigational test (a molecular test for vaginitis), compared to
reference, for detection of bacterial vaginosis, Candida spp., and Trichomonas
vaginalis Vaginal specimens from a cross-sectional study were obtained from 1,740
women (>=18 years old), with vaginitis symptoms, during routine clinic visits
(across 10 sites in the United States). Specimens were analyzed using a
commercial PCR/fluorogenic probe-based investigational test that detects
bacterial vaginosis, Candida spp., and Trichomonas vaginalis Clinician diagnosis
and in-clinic testing (Amsel's test, potassium hydroxide preparation, and wet
mount) were also employed to detect the three vaginitis causes. All testing
methods were compared to the respective reference methods (Nugent Gram stain for
bacterial vaginosis, detection of the Candida gene its2, and Trichomonas
vaginalis culture). The investigational test, clinician diagnosis, and in-clinic
testing were compared to reference methods for bacterial vaginosis, Candida spp.,
and Trichomonas vaginalis The investigational test resulted in significantly
higher sensitivity and negative predictive value than clinician diagnosis or in
clinic testing. In addition, the investigational test showed a statistically
higher overall percent agreement with each of the three reference methods than
did clinician diagnosis or in-clinic testing. The investigational test showed
significantly higher sensitivity for detecting vaginitis, involving more than one
cause, than did clinician diagnosis. Taken together, these results suggest that a
molecular investigational test can facilitate accurate detection of vaginitis.
PMID- 29643196
TI - Winners of the American Society for Microbiology Awards in Clinical Microbiology.
PMID- 29643197
TI - Whole-Genome Sequencing of Recent Listeria monocytogenes Isolates from Germany
Reveals Population Structure and Disease Clusters.
AB - Listeria monocytogenes causes foodborne outbreaks with high mortality. For
improvement of outbreak cluster detection, the German consiliary laboratory for
listeriosis implemented whole-genome sequencing (WGS) in 2015. A total of 424
human L. monocytogenes isolates collected in 2007 to 2017 were subjected to WGS
and core-genome multilocus sequence typing (cgMLST). cgMLST grouped the isolates
into 38 complexes, reflecting 4 known and 34 unknown disease clusters. Most of
these complexes were confirmed by single nucleotide polymorphism (SNP) calling,
but some were further differentiated. Interestingly, several cgMLST cluster types
were further subtyped by pulsed-field gel electrophoresis, partly due to phage
insertions in the accessory genome. Our results highlight the usefulness of
cgMLST for routine cluster detection but also show that cgMLST complexes require
validation by methods providing higher typing resolution. Twelve cgMLST clusters
included recent cases, suggesting activity of the source. Therefore, the cgMLST
nomenclature data presented here may support future public health actions.
PMID- 29643198
TI - Development of a Real-Time Reverse Transcription-PCR Assay for Global
Differentiation of Yellow Fever Virus Vaccine-Related Adverse Events from Natural
Infections.
AB - Yellow fever (YF) is a reemerging public health threat, with frequent outbreaks
prompting large vaccination campaigns in regions of endemicity in Africa and
South America. Specific detection of vaccine-related adverse events is resource
intensive, time-consuming, and difficult to achieve during an outbreak. To
address this, we have developed a highly transferable rapid yellow fever virus
(YFV) vaccine-specific real-time reverse transcription-PCR (RT-PCR) assay that
distinguishes vaccine from wild-type lineages. The assay utilizes a specific
hydrolysis probe that includes locked nucleic acids to enhance specific
discrimination of the YFV17D vaccine strain genome. Promisingly, sensitivity and
specificity analyses reveal this assay to be highly specific to vaccine strain(s)
when tested on clinical samples and YFV cell culture isolates of global origin.
Taken together, our data suggest the utility of this assay for use in
laboratories of varied capacity for the identification and differentiation of
vaccine-related adverse events from wild-type infections of both African and
South American origin.
PMID- 29643199
TI - Usefulness of Kaposi's Sarcoma-Associated Herpesvirus (KSHV) DNA Viral Load in
Whole Blood for Diagnosis and Monitoring of KSHV-Associated Diseases.
PMID- 29643200
TI - Use of Automated Urine Microscopy Analysis in Clinical Diagnosis of Urinary Tract
Infection: Defining an Optimal Diagnostic Score in an Academic Medical Center
Population.
AB - A retrospective case record study was conducted that established a scoring tool
based on clinical and iQ200 parameters, able to predict or rule out the clinical
diagnosis of UTI in the majority of adult patients in an academic hospital.
Automated standardized quantitative urine analysis, such as iQ200 analysis, is on
the rise because of its high accuracy and efficiency compared to those of
traditional urine analysis. Previous research on automated urinalysis focused
mainly on predicting culture results but not on the clinical diagnosis of urinary
tract infection (UTI). A retrospective analysis was conducted of consecutive
urine samples sent in for culture because of suspected UTI. UTI was defined by
expert opinion, based on reported symptoms, conventional urine sediment analysis,
and urine cultures. Parameters of iQ200 analysis and clinical symptoms and signs
were compared between cases and controls. Optimal cutoff values were determined
for iQ200 parameters, and multivariate logistic regression analysis was used to
identify the set of variables that best predicts the clinical diagnosis of UTI
for development of a scoring tool. A total of 382 patients were included. Optimal
cutoff values of iQ200 analysis were 74 white blood cells (WBC)/MUl, 6,250 "all
small particles" (ASP)/MUl, and a bacterial score of 2 on an ordinal scale of 0
to 5. The scoring tool attributed 1 point for frequent micturition or increased
urge, 2 points for dysuria, 1 point for a bacterial score of >=2, 2 points for
WBC/MUl of >=50, and an additional point for WBC/MUl of >=150. This score had a
sensitivity of 86% and a specificity of 92% when using a threshold of <4 points.
The combination of iQ200 analysis and a simple survey could predict or rule out
UTIs in a majority of patients in an academic medical center.
PMID- 29643201
TI - Nipah Virus Infection.
AB - Nipah virus, a paramyxovirus related to Hendra virus, first emerged in Malaysia
in 1998. Clinical presentation ranges from asymptomatic infection to fatal
encephalitis. Malaysia has had no more cases since 1999, but outbreaks continue
to occur in Bangladesh and India. In the Malaysia-Singapore outbreak,
transmission occurred primarily through contact with pigs, whereas in Bangladesh
and India, it is associated with ingestion of contaminated date palm sap and
human-to-human transmission. Bats are the main reservoir for this virus, which
can cause disease in humans and animals. There are currently no effective
therapeutics, and supportive care and prevention are the mainstays of management.
PMID- 29643202
TI - Comparison of Diagnostic Accuracy of Periprosthetic Tissue Culture in Blood
Culture Bottles to That of Prosthesis Sonication Fluid Culture for Diagnosis of
Prosthetic Joint Infection (PJI) by Use of Bayesian Latent Class Modeling and
IDSA PJI Criteria for Classification.
AB - We have previously demonstrated that culturing periprosthetic tissue in blood
culture bottles (BCBs) improves sensitivity compared to conventional agar and
broth culture methods for diagnosis of prosthetic joint infection (PJI). We have
also shown that prosthesis sonication culture improves sensitivity compared to
periprosthetic tissue culture using conventional agar and broth methods. The
purpose of this study was to compare the diagnostic accuracy of tissue culture in
BCBs (subsequently referred to as tissue culture) to prosthesis sonication
culture (subsequently referred to as sonicate fluid culture). We studied 229
subjects who underwent arthroplasty revision or resection surgery between March
2016 and October 2017 at Mayo Clinic in Rochester, Minnesota. Using the
Infectious Diseases Society of America (IDSA) PJI diagnostic criteria (omitting
culture criteria) as the gold standard, the sensitivity of tissue culture was
similar to that of the sonicate fluid culture (66.4% versus 73.1%, P = 0.07) but
was significantly lower than that of the two tests combined (66.4% versus 76.9%,
P < 0.001). Using Bayesian latent class modeling, which assumes no gold standard
for PJI diagnosis, the sensitivity of tissue culture was slightly lower than that
of sonicate fluid culture (86.3% versus 88.7%) and much lower than that of the
two tests combined (86.3% versus 99.1%). In conclusion, tissue culture in BCBs
reached sensitivity similar to that of prosthesis sonicate fluid culture for
diagnosis of PJI, but the two tests combined had the highest sensitivity without
compromising specificity. The combination of tissue culture in BCBs and sonicate
fluid culture is recommended to achieve the highest level of microbiological
diagnosis of PJI.
PMID- 29643203
TI - Evaluation of the Vitek MS v3.0 Matrix-Assisted Laser Desorption Ionization-Time
of Flight Mass Spectrometry System for Identification of Mycobacterium and
Nocardia Species.
AB - This multicenter study was designed to assess the accuracy and reproducibility of
the Vitek MS v3.0 matrix-assisted laser desorption ionization-time of flight
(MALDI-TOF) mass spectrometry system for identification of Mycobacterium and
Nocardia species compared to DNA sequencing. A total of 963 clinical isolates
representing 51 taxa were evaluated. In all, 663 isolates were correctly
identified to the species level (69%), with another 231 (24%) correctly
identified to the complex or group level. Fifty-five isolates (6%) could not be
identified despite repeat testing. All of the tuberculous mycobacteria (45/45;
100%) and most of the nontuberculous mycobacteria (569/606; 94%) were correctly
identified at least to the group or complex level. However, not all species or
subspecies within the M. tuberculosis, M. abscessus, and M. avium complexes and
within the M. fortuitum and M. mucogenicum groups could be differentiated. Among
the 312 Nocardia isolates tested, 236 (76%) were correctly identified to the
species level, with an additional 44 (14%) correctly identified to the complex
level. Species within the N. nova and N. transvalensis complexes could not always
be differentiated. Eleven percent of the isolates (103/963) underwent repeat
testing in order to get a final result. Identification of a representative set of
Mycobacterium and Nocardia species was highly reproducible, with 297 of 300 (99%)
replicates correctly identified using multiple kit lots, instruments, analysts,
and sites. These findings demonstrate that the system is robust and has utility
for the routine identification of mycobacteria and Nocardia in clinical practice.
PMID- 29643206
TI - Land uplift creates important meadow habitat and a potential original niche for
grassland species.
AB - Semi-natural grasslands have been severely affected by agricultural land-use
change. However, the isostatic land adjustment following deglaciation in the
Northern Hemisphere means that new land is continually being created in coastal
areas. We modelled isostatic adjustment during the last 4000 years in a region of
the Baltic coast to estimate the emergence of potential grassland habitat. We
also compared the alpha and beta diversity of existing managed and abandoned
coastal meadows, and assessed their contribution to biodiversity at landscape
scales. We estimated that half the 7866 km2 of emerging land had the potential to
become coastal meadow habitat, which is an order of magnitude larger than the
total area of all valuable semi-natural grassland in the study region today. The
small area of managed coastal habitat remaining was found to have a
disproportionate influence on the richness of threatened species at landscape
scales, but our results also show that continued management is essential for the
maintenance of grassland biodiversity. Our combination of approaches identifies
uplifted coastal meadows as an additional original niche for grassland plant
species, while highlighting that low-intensity disturbance through grassland
management is essential for the maintenance of diversity at multiple scales.
PMID- 29643205
TI - In vitro iCLIP-based modeling uncovers how the splicing factor U2AF2 relies on
regulation by cofactors.
AB - Alternative splicing generates distinct mRNA isoforms and is crucial for proteome
diversity in eukaryotes. The RNA-binding protein (RBP) U2AF2 is central to
splicing decisions, as it recognizes 3' splice sites and recruits the
spliceosome. We establish "in vitro iCLIP" experiments, in which recombinant RBPs
are incubated with long transcripts, to study how U2AF2 recognizes RNA sequences
and how this is modulated by trans-acting RBPs. We measure U2AF2 affinities at
hundreds of binding sites and compare in vitro and in vivo binding landscapes by
mathematical modeling. We find that trans-acting RBPs extensively regulate U2AF2
binding in vivo, including enhanced recruitment to 3' splice sites and clearance
of introns. Using machine learning, we identify and experimentally validate novel
trans-acting RBPs (including FUBP1, CELF6, and PCBP1) that modulate U2AF2 binding
and affect splicing outcomes. Our study offers a blueprint for the high
throughput characterization of in vitro mRNP assembly and in vivo splicing
regulation.
PMID- 29643204
TI - L1 retrotransposition is a common feature of mammalian hepatocarcinogenesis.
AB - The retrotransposon Long Interspersed Element 1 (LINE-1 or L1) is a continuing
source of germline and somatic mutagenesis in mammals. Deregulated L1 activity is
a hallmark of cancer, and L1 mutagenesis has been described in numerous human
malignancies. We previously employed retrotransposon capture sequencing (RC-seq)
to analyze hepatocellular carcinoma (HCC) samples from patients infected with
hepatitis B or hepatitis C virus and identified L1 variants responsible for
activating oncogenic pathways. Here, we have applied RC-seq and whole-genome
sequencing (WGS) to an Abcb4 (Mdr2)-/- mouse model of hepatic carcinogenesis and
demonstrated for the first time that L1 mobilization occurs in murine tumors. In
12 HCC nodules obtained from 10 animals, we validated four somatic L1 insertions
by PCR and capillary sequencing, including TF subfamily elements, and one GF
subfamily example. One of the TF insertions carried a 3' transduction, allowing
us to identify its donor L1 and to demonstrate that this full-length TF element
retained retrotransposition capacity in cultured cancer cells. Using RC-seq, we
also identified eight tumor-specific L1 insertions from 25 HCC patients with a
history of alcohol abuse. Finally, we used RC-seq and WGS to identify three tumor
specific L1 insertions among 10 intra-hepatic cholangiocarcinoma (ICC) patients,
including one insertion traced to a donor L1 on Chromosome 22 known to be highly
active in other cancers. This study reveals L1 mobilization as a common feature
of hepatocarcinogenesis in mammals, demonstrating that the phenomenon is not
restricted to human viral HCC etiologies and is encountered in murine liver
tumors.
PMID- 29643207
TI - Synchronous diversification of Sulawesi's iconic artiodactyls driven by recent
geological events.
AB - The high degree of endemism on Sulawesi has previously been suggested to have
vicariant origins, dating back to 40 Ma. Recent studies, however, suggest that
much of Sulawesi's fauna assembled over the last 15 Myr. Here, we test the
hypothesis that more recent uplift of previously submerged portions of land on
Sulawesi promoted diversification and that much of its faunal assemblage is much
younger than the island itself. To do so, we combined palaeogeographical
reconstructions with genetic and morphometric datasets derived from Sulawesi's
three largest mammals: the babirusa, anoa and Sulawesi warty pig. Our results
indicate that although these species most likely colonized the area that is now
Sulawesi at different times (14 Ma to 2-3 Ma), they experienced an almost
synchronous expansion from the central part of the island. Geological
reconstructions indicate that this area was above sea level for most of the last
4 Myr, unlike most parts of the island. We conclude that emergence of land on
Sulawesi (approx. 1-2 Myr) may have allowed species to expand synchronously.
Altogether, our results indicate that the establishment of the highly endemic
faunal assemblage on Sulawesi was driven by geological events over the last few
million years.
PMID- 29643208
TI - Network integration and limits to social inheritance in vervet monkeys.
AB - Social networks can be adaptive for members and a recent model (Ilany and Akcay
2016 Nat. Comm.7, 12084 (doi:10.1038/ncomms12084)) has demonstrated that network
structure can be maintained by a simple process of social inheritance. Here, we
ask how juvenile vervet monkeys integrate into their adult grooming networks,
using the model to test whether observed grooming patterns replicate network
structure. Female juveniles, who are philopatric, increased their grooming effort
towards adults more than males, although this was not reciprocated by the adults
themselves. While more consistent maternal grooming networks, together with
maternal network strength, predicted increasing similarity in the patterning of
mother-daughter grooming allocations, daughters' grooming networks generally did
not match closely those of their mothers. However, maternal networks themselves
were not very consistent across time, thus presenting youngsters with a moving
target that may be difficult to match. Observed patterns of juvenile female
grooming did not replicate the adult network, for which increased association
with adults not groomed by their mothers would be necessary. These results
suggest that network flexibility, not stability, characterizes our groups and
that juveniles are exposed to, and must learn to cope with, temporal shifts in
network structure. We hypothesize that this may lead to individual variation in
behavioural flexibility, which in turn may help explain why and how variation in
sociability influences fitness.
PMID- 29643209
TI - Impacts of ocean acidification on sea urchin growth across the juvenile to mature
adult life-stage transition is mitigated by warming.
AB - Understanding how growth trajectories of calcifying invertebrates are affected by
changing climate requires acclimation experiments that follow development across
life-history transitions. In a long-term acclimation study, the effects of
increased acidification and temperature on survival and growth of the tropical
sea urchin Tripneustes gratilla from the early juvenile (5 mm test diameter-TD)
through the developmental transition to the mature adult (60 mm TD) were
investigated. Juveniles were reared in a combination of three temperature and
three pH/pCO2 treatments, including treatments commensurate with global change
projections. Elevated temperature and pCO2/pH both affected growth, but there was
no interaction between these factors. The urchins grew more slowly at pH 7.6, but
not at pH 7.8. Slow growth may be influenced by the inability to compensate
coelomic fluid acid-base balance at pH 7.6. Growth was faster at +3 and +6
degrees C compared to that in ambient temperature. Acidification and warming had
strong and interactive effects on reproductive potential. Warming increased the
gonad index, but acidification decreased it. At pH 7.6 there were virtually no
gonads in any urchins regardless of temperature. The T. gratilla were larger at
maturity under combined near-future warming and acidification scenarios (+3
degrees C/pH 7.8). Although the juveniles grew and survived in near-future
warming and acidification conditions, chronic exposure to these stressors from an
early stage altered allocation to somatic and gonad growth. In the absence of
phenotypic adjustment, the interactive effects of warming and acidification on
the benthic life phases of sea urchins may compromise reproductive fitness and
population maintenance as global climatic change unfolds.
PMID- 29643210
TI - Heritable spouse effects increase evolutionary potential of human reproductive
timing.
AB - Sexual reproduction is inherently interactive, especially in animal species such
as humans that exhibit extended pair bonding. Yet we have little knowledge of the
role of male characteristics and their evolutionary impact on reproductive
behavioural phenotypes, to the extent that biologists typically consider
component traits (e.g. reproductive timing) as female-specific. Based on
extensive genealogical data detailing the life histories of 6435 human mothers
born across four centuries of modern history, we use an animal modelling approach
to estimate the indirect genetic effect of men on the reproductive phenotype of
their partners. These analyses show that a woman's reproductive timing (age at
first birth) is influenced by her partner's genotype. This indirect genetic
effect is positively correlated with the direct genetic effect expressed in
women, such that total heritable variance in this trait is doubled when heritable
partner effects are considered. Our study thus suggests that much of the
heritable variation in women's reproductive timing is mediated via partner
effects, and that the evolutionary potential of this trait is far greater than
previously appreciated.
PMID- 29643211
TI - Microbial decay analysis challenges interpretation of putative organ systems in
Cambrian fuxianhuiids.
AB - The Chengjiang fossil Lagerstatte (Cambrian Stage 3) from Yunnan, southern China
is renowned for its soft-tissue preservation. Accordingly structures in
fuxianhuiids, radiodontans and great appendage arthropods have been interpreted
as the nervous and cardiovascular systems, including brains, hearts and blood
vessels. That such delicate organ systems survive the fossilization process seems
remarkable; given that this mode of preservation involves major taphonomic
changes, such as flattening, microbial degradation, chemical alteration and
replacement. Here, we document a range of taphonomic preservation states in
numerous articulated individuals of Fuxianhuia protensa We suggest that organic
(partly iron mineral-replaced) bulbous structures in the head region, previously
interpreted as brain tissue, along with sagittally located organic strands
interpreted as part of the cardiovascular system or as nerve cords, may be better
explained as microbial biofilms that developed following decomposition of the
intestine, muscle and other connective tissues, forming halos surrounding the
original organic remains.
PMID- 29643212
TI - Stable social relationships between unrelated females increase individual fitness
in a cooperative bird.
AB - Social animals often form long-lasting relationships with fellow group members,
usually with close kin. In primates, strong social bonds have been associated
with increased longevity, offspring survival and reproductive success. However,
little is known about the fitness effects of social bonds between non-kin,
especially outside of mammals. In this study, we use long-term field research on
a cooperatively breeding bird, the greater ani (Crotophaga major), to ask whether
adult females benefit by remaining in long-term associations with unrelated, co
breeding females. We find that females that have previously nested together
synchronize their reproduction more rapidly than those nesting with unfamiliar
partners, which leads to lower competition and higher fledging success.
Importantly, although previous experience with a co-breeding female influenced
reproductive synchrony, the degree of reproductive synchrony did not influence
whether co-breeding females remained together in subsequent years, ruling out the
alternate hypothesis that highly synchronized females are simply more likely to
remain together. These results indicate that switching groups is costly to
females, and that social familiarity improves reproductive coordination. Stable
social relationships therefore have significant fitness consequences for
cooperatively nesting female birds, suggesting that direct benefits alone may
favour the evolution of associations between non-relatives and contribute to long
term group stability.
PMID- 29643213
TI - Calcium isotopes offer clues on resource partitioning among Cretaceous predatory
dinosaurs.
AB - Large predators are overabundant in mid-Cretaceous continental dinosaur
assemblages of North Africa. Such unbalanced ecosystem structure involves, among
predatory dinosaurs, typical abelisaurid or carcharodontosaurid theropods co
occurring with long-snouted spinosaurids of debated ecology. Here, we report
calcium (Ca) isotope values from tooth enamel (expressed as delta44/42Ca) to
investigate resource partitioning in mid-Cretaceous assemblages from Niger
(Gadoufaoua) and Morocco (Kem Kem Beds). In both assemblages, spinosaurids
display a distinct isotopic signature, the most negative in our dataset. This
distinct taxonomic clustering in Ca isotope values observed between spinosaurids
and other predators provides unambiguous evidence for niche partitioning at the
top of the trophic chains: spinosaurids foraged on aquatic environments while
abelisaurid and carcharodontosaurid theropods relied almost exclusively on
terrestrial resources.
PMID- 29643214
TI - Anemone bleaching increases the metabolic demands of symbiont anemonefish.
AB - Increased ocean temperatures are causing mass bleaching of anemones and corals in
the tropics worldwide. While such heat-induced loss of algal symbionts
(zooxanthellae) directly affects anemones and corals physiologically, this damage
may also cascade on to other animal symbionts. Metabolic rate is an integrative
physiological trait shown to relate to various aspects of organismal performance,
behaviour and locomotor capacity, and also shows plasticity during exposure to
acute and chronic stressors. As climate warming is expected to affect the
physiology, behaviour and life history of animals, including ectotherms such as
fish, we measured if residing in bleached versus unbleached sea anemones
(Heteractis magnifica) affected the standard (i.e. baseline) metabolic rate and
behaviour (activity) of juvenile orange-fin anemonefish (Amphiprion
chrysopterus). Metabolic rate was estimated from rates of oxygen uptake [Formula:
see text], and the standard metabolic rate [Formula: see text] of anemonefish
from bleached anemones was significantly higher by 8.2% compared with that of
fish residing in unbleached anemones, possibly due to increased stress levels.
Activity levels did not differ between fish from bleached and unbleached
anemones. As [Formula: see text] reflects the minimum cost of living, the
increased metabolic demands may contribute to the negative impacts of bleaching
on important anemonefish life history and fitness traits observed previously
(e.g. reduced spawning frequency and lower fecundity).
PMID- 29643215
TI - Do priority effects outweigh environmental filtering in a guild of dominant
freshwater macroinvertebrates?
AB - Abiotic conditions have long been considered essential in structuring freshwater
macroinvertebrate communities. Ecological drift, dispersal and biotic
interactions also structure communities, and although these mechanisms are more
difficult to detect, they may be of equal importance in natural communities.
Here, we hypothesized that in 10 naturally replicated headwater streams in
eastern Switzerland, locally dominant amphipod species would be associated with
differences in environmental conditions. We conducted repeated surveys of
amphipods and used a hierarchical joint species distribution model to assess the
influence of different drivers on species co-occurrences. The species had unique
environmental requirements, but a distinct spatial structure in their
distributions was unrelated to habitat. Species co-occurred much less frequently
than predicted by the model, which was surprising because laboratory and field
evidence suggests they are capable of coexisting in equal densities. We suggest
that niche preemption may limit their distribution and that a blocking effect
related to the specific linear configuration of streams determines which species
colonizes and dominates a given stream catchment, thus suggesting a new solution
a long-standing conundrum in freshwater ecology.
PMID- 29643216
TI - Feedback between environment and traits under selection in a seasonal
environment: consequences for experimental evolution.
AB - Batch cultures are frequently used in experimental evolution to study the
dynamics of adaptation. Although they are generally considered to simply drive a
growth rate increase, other fitness components can also be selected for. Indeed,
recurrent batches form a seasonal environment where different phases repeat
periodically and different traits can be under selection in the different
seasons. Moreover, the system being closed, organisms may have a strong impact on
the environment. Thus, the study of adaptation should take into account the
environment and eco-evolutionary feedbacks. Using data from an experimental
evolution on yeast Saccharomyces cerevisiae, we developed a mathematical model to
understand which traits are under selection, and what is the impact of the
environment for selection in a batch culture. We showed that two kinds of traits
are under selection in seasonal environments: life-history traits, related to
growth and mortality, but also transition traits, related to the ability to react
to environmental changes. The impact of environmental conditions can be
summarized by the length of the different seasons which weight selection on each
trait: the longer a season is, the higher the selection on associated traits.
Since phenotypes drive season length, eco-evolutionary feedbacks emerge. Our
results show how evolution in successive batches can affect season lengths and
strength of selection on different traits.
PMID- 29643218
TI - Maternal effects impact decision-making in a viviparous lizard.
AB - Stressful conditions experienced during early development can have deleterious
effects on offspring morphology, physiology and behaviour. However, few studies
have examined how developmental stress influences an individual's cognitive
phenotype. Using a viviparous lizard, we show that the availability of food
resources to a mother during gestation influences a key component of her
offspring's cognitive phenotype: their decision-making. Offspring from females
who experienced low resource availability during gestation did better in an anti
predatory task that relied on spatial associations to guide their decisions,
whereas offspring from females who experienced high resource availability during
gestation did better in a foraging task that relied on colour associations to
inform their decisions. This shows that the prenatal environment can influence
decision-making in animals, a cognitive trait with functional implications later
in life.
PMID- 29643217
TI - Human, animal and environmental contributors to antibiotic resistance in low
resource settings: integrating behavioural, epidemiological and One Health
approaches.
AB - Antibiotic resistance (ABR) is recognized as a One Health challenge because of
the rapid emergence and dissemination of resistant bacteria and genes among
humans, animals and the environment on a global scale. However, there is a
paucity of research assessing ABR contemporaneously in humans, animals and the
environment in low-resource settings. This critical review seeks to identify the
extent of One Health research on ABR in low- and middle-income countries (LMICs).
Existing research has highlighted hotspots for environmental contamination; food
animal production systems that are likely to harbour reservoirs or promote
transmission of ABR as well as high and increasing human rates of colonization
with ABR commensal bacteria such as Escherichia coli However, very few studies
have integrated all three components of the One Health spectrum to understand the
dynamics of transmission and the prevalence of community-acquired resistance in
humans and animals. Microbiological, epidemiological and social science research
is needed at community and population levels across the One Health spectrum in
order to fill the large gaps in knowledge of ABR in low-resource settings.
PMID- 29643219
TI - Shyer and larger bird species show more reduced fear of humans when living in
urban environments.
AB - As the natural habitats of many species are degraded or disappear, there is scope
for these species to be established in urban habitats. To ease the establishment
and maintenance of urban populations of more species we need to better understand
what degree of phenotypical change to expect as different species transition into
urban environments. During the first stages of urban colonization, behavioural
changes such as an increase in boldness are particularly important. A consistent
response in urban populations is to decrease the distance at which individuals
flee from an approaching human (flight initiation distance, or FID). Performing a
phylogenetic generalized least-squares (PGLS) analysis on 130 avian species, I
found that the largest changes in FID between rural and urban populations occur
in species that are larger-bodied and naturally shy (higher rural FID), two
phenotypic traits that are not normally associated with urban colonizers. More
unlikely species may thus be able to colonize urban environments, especially if
we design cities in ways that promote such urban colonizations.
PMID- 29643220
TI - Mesotocin influences pinyon jay prosociality.
AB - Many species exhibit prosocial behaviour, in which one individual's actions
benefit another individual, often without an immediate benefit to itself. The
neuropeptide oxytocin is an important hormonal mechanism influencing prosociality
in mammals, but it is unclear whether the avian homologue mesotocin plays a
similar functional role in birds. Here, we experimentally tested prosociality in
pinyon jays (Gymnorhinus cyanocephalus), a highly social corvid species that
spontaneously shares food with others. First, we measured prosocial preferences
in a prosocial choice task with two different pay-off distributions: Prosocial
trials delivered food to both the subject and either an empty cage or a partner
bird, whereas Altruism trials delivered food only to an empty cage or a partner
bird (none to subject). In a second experiment, we examined whether administering
mesotocin influenced prosocial preferences. Compared to choices in a control
condition, we show that subjects voluntarily delivered food rewards to partners,
but only when also receiving food for themselves (Prosocial trials), and
administration of high levels of mesotocin increased these behaviours. Thus, in
birds, mesotocin seems to play a similar functional role in facilitating
prosocial behaviours as oxytocin does in mammals, suggesting an evolutionarily
conserved hormonal mechanism for prosociality.
PMID- 29643221
TI - A variational approach to niche construction.
AB - In evolutionary biology, niche construction is sometimes described as a genuine
evolutionary process whereby organisms, through their activities and regulatory
mechanisms, modify their environment such as to steer their own evolutionary
trajectory, and that of other species. There is ongoing debate, however, on the
extent to which niche construction ought to be considered a bona fide
evolutionary force, on a par with natural selection. Recent formulations of the
variational free-energy principle as applied to the life sciences describe the
properties of living systems, and their selection in evolution, in terms of
variational inference. We argue that niche construction can be described using a
variational approach. We propose new arguments to support the niche construction
perspective, and to extend the variational approach to niche construction to
current perspectives in various scientific fields.
PMID- 29643222
TI - In vivo characterization of bivalve larval shells: a confocal Raman microscopy
study.
AB - In vivo confocal Raman microscopy (CRM), polarized light microscopy and Fourier
transform infrared spectroscopy (FTIR) were used to determine if a significant
amount of amorphous calcium carbonate (ACC) exists within larval shells of Baltic
mytilid mussels (Mytilus edulis-like) and whether the amount of ACC varies during
larval development. No evidence for ACC was found from the onset of shell
deposition at 21 h post-fertilization (hpf) until 48 hpf. Larval Mytilus shells
were crystalline from 21 hpf onwards and exhibited CRM and FTIR peaks
characteristic of aragonite. Prior to shell deposition at 21 hpf, no evidence for
carbonates was observed through in vivo CRM. We further analysed the composition
of larval shells in three other bivalve species, Mercenaria mercenaria,
Crassostrea gigas and Crassostrea virginica and observed no evidence for ACC,
which is in contrast to previous work on the same species. Our findings indicate
that larval bivalve shells are composed of crystalline aragonite and we
demonstrate that conflicting results are related to sub-optimal measurements and
misinterpretation of CRM spectra. Our results demonstrate that the common
perception that ACC generally occurs as a stable and abundant precursor during
larval bivalve calcification needs to be critically reviewed.
PMID- 29643223
TI - Nerve regeneration in the cephalopod mollusc Octopus vulgaris: label-free
multiphoton microscopy as a tool for investigation.
AB - Octopus and cephalopods are able to regenerate injured tissues. Recent
advancements in the study of regeneration in cephalopods appear promising
encompassing different approaches helping to decipher cellular and molecular
machinery involved in the process. However, lack of specific markers to
investigate degenerative/regenerative phenomena and inflammatory events occurring
after damage is limiting these studies. Label-free multiphoton microscopy is
applied for the first time to the transected pallial nerve of Octopus vulgaris
Various optical contrast methods including coherent anti-Stokes Raman scattering
(CARS), endogenous two-photon excited fluorescence (TPEF) and second harmonic
generation (SHG) have been used. We detected cells and structures often not
revealed with classical staining methods. CARS highlighted the involvement of
haemocytes in building up scar tissue; CARS and TPEF facilitated the
identification of degenerating fibres; SHG allowed visualization of fibrillary
collagen, revealing the formation of a connective tissue bridge between the nerve
stumps, likely involved in axon guidance. Using label-free multiphoton
microscopy, we studied the regenerative events in octopus without using any other
labelling techniques. These imaging methods provided extremely helpful morpho
chemical information to describe regeneration events. The techniques applied here
are species-specific independent and should facilitate the comparison among
various animal species.
PMID- 29643225
TI - Understanding/unravelling carotenoid excited singlet states.
AB - Carotenoids are essential light-harvesting pigments in natural photosynthesis.
They absorb in the blue-green region of the solar spectrum and transfer the
absorbed energy to (bacterio-)chlorophylls, and thus expand the wavelength range
of light that is able to drive photosynthesis. This process is an example of
singlet-singlet excitation energy transfer, and carotenoids serve to enhance the
overall efficiency of photosynthetic light reactions. The photochemistry and
photophysics of carotenoids have often been interpreted by referring to those of
simple polyene molecules that do not possess any functional groups. However, this
may not always be wise because carotenoids usually have a number of functional
groups that induce the variety of photochemical behaviours in them. These
differences can also make the interpretation of the singlet excited states of
carotenoids very complicated. In this article, we review the properties of the
singlet excited states of carotenoids with the aim of producing as coherent a
picture as possible of what is currently known and what needs to be learned.
PMID- 29643224
TI - Long-range proton-coupled electron transfer in biological energy conversion:
towards mechanistic understanding of respiratory complex I.
AB - Biological energy conversion is driven by efficient enzymes that capture, store
and transfer protons and electrons across large distances. Recent advances in
structural biology have provided atomic-scale blueprints of these types of
remarkable molecular machinery, which together with biochemical, biophysical and
computational experiments allow us to derive detailed energy transduction
mechanisms for the first time. Here, I present one of the most intricate and
least understood types of biological energy conversion machinery, the respiratory
complex I, and how its redox-driven proton-pump catalyses charge transfer across
approximately 300 A distances. After discussing the functional elements of
complex I, a putative mechanistic model for its action-at-a-distance effect is
presented, and functional parallels are drawn to other redox- and light-driven
ion pumps.
PMID- 29643226
TI - Identification of a bet-hedging network motif generating noise in hormone
concentrations and germination propensity in Arabidopsis.
AB - Plants have evolved to exploit stochasticity to hedge bets and ensure robustness
to varying environments between generations. In agriculture, environments are
more controlled, and this evolved variability decreases potential yields, posing
agronomic and food security challenges. Understanding how plant cells generate
and harness noise thus presents options for engineering more uniform crop
performance. Here, we use stochastic chemical kinetic modelling to analyse a
hormone feedback signalling motif in Arabidopsis thaliana seeds that can generate
tunable levels of noise in the hormone ABA, governing germination propensity. The
key feature of the motif is simultaneous positive feedback regulation of both ABA
production and degradation pathways, allowing tunable noise while retaining a
constant mean level. We uncover surprisingly rich behaviour underlying the
control of levels of, and noise in, ABA abundance. We obtain approximate analytic
solutions for steady-state hormone level means and variances under general
conditions, showing that antagonistic self-promoting and self-repressing
interactions can together be tuned to induce noise while preserving mean hormone
levels. We compare different potential architectures for this 'random output
generator' with the motif found in Arabidopsis, and report the requirements for
tunable control of noise in each case. We identify interventions that may
facilitate large decreases in variability in germination propensity, in
particular, the turnover of signalling intermediates and the sensitivity of
synthesis and degradation machinery, as potentially valuable crop engineering
targets.
PMID- 29643227
TI - Voltage and pH sensing by the voltage-gated proton channel, HV1.
AB - Voltage-gated proton channels are unique ion channels, membrane proteins that
allow protons but no other ions to cross cell membranes. They are found in
diverse species, from unicellular marine life to humans. In all cells, their
function requires that they open and conduct current only under certain
conditions, typically when the electrochemical gradient for protons is outwards.
Consequently, these proteins behave like rectifiers, conducting protons out of
cells. Their activity has electrical consequences and also changes the pH on both
sides of the membrane. Here we summarize what is known about the way these
proteins sense the membrane potential and the pH inside and outside the cell.
Currently, it is hypothesized that membrane potential is sensed by permanently
charged arginines (with very high pKa) within the protein, which results in parts
of the protein moving to produce a conduction pathway. The mechanism of pH
sensing appears to involve titratable side chains of particular amino acids. For
this purpose their pKa needs to be within the operational pH range. We propose a
'counter-charge' model for pH sensing in which electrostatic interactions within
the protein are selectively disrupted by protonation of internally or externally
accessible groups.
PMID- 29643230
TI - The systemic response to surgery triggers the outgrowth of distant immune
controlled tumors in mouse models of dormancy.
AB - Patients undergoing surgical resection of primary breast tumors confront a risk
for metastatic recurrence that peaks sharply 12 to 18 months after surgery. The
cause of early metastatic relapse in breast cancer has long been debated, with
many ascribing these relapses to the natural progression of the disease. Others
have proposed that some aspect of surgical tumor resection triggers the outgrowth
of otherwise-dormant metastases, leading to the synchronous pattern of relapse.
Clinical data cannot distinguish between these hypotheses, and previous
experimental approaches have not provided clear answers. Such uncertainty hinders
the development and application of therapeutic approaches that could potentially
reduce early metastatic relapse. We describe an experimental model system that
definitively links surgery and the subsequent wound-healing response to the
outgrowth of tumor cells at distant anatomical sites. Specifically, we find that
the systemic inflammatory response induced after surgery promotes the emergence
of tumors whose growth was otherwise restricted by a tumor-specific T cell
response. Furthermore, we demonstrate that perioperative anti-inflammatory
treatment markedly reduces tumor outgrowth in this model, suggesting that similar
approaches might substantially reduce early metastatic recurrence in breast
cancer patients.
PMID- 29643228
TI - Dual inhibition of MDMX and MDM2 as a therapeutic strategy in leukemia.
AB - The tumor suppressor p53 is often inactivated via its interaction with endogenous
inhibitors mouse double minute 4 homolog (MDM4 or MDMX) or mouse double minute 2
homolog (MDM2), which are frequently overexpressed in patients with acute myeloid
leukemia (AML) and other cancers. Pharmacological disruption of both of these
interactions has long been sought after as an attractive strategy to fully
restore p53-dependent tumor suppressor activity in cancers with wild-type p53.
Selective targeting of this pathway has thus far been limited to MDM2-only small
molecule inhibitors, which lack affinity for MDMX. We demonstrate that dual
MDMX/MDM2 inhibition with a stapled alpha-helical peptide (ALRN-6924), which has
recently entered phase I clinical testing, produces marked antileukemic effects.
ALRN-6924 robustly activates p53-dependent transcription at the single-cell and
single-molecule levels and exhibits biochemical and molecular biological on
target activity in leukemia cells in vitro and in vivo. Dual MDMX/MDM2 inhibition
by ALRN-6924 inhibits cellular proliferation by inducing cell cycle arrest and
apoptosis in cell lines and primary AML patient cells, including leukemic stem
cell-enriched populations, and disrupts functional clonogenic and serial
replating capacity. Furthermore, ALRN-6924 markedly improves survival in AML
xenograft models. Our study provides mechanistic insight to support further
testing of ALRN-6924 as a therapeutic approach in AML and other cancers with wild
type p53.
PMID- 29643229
TI - T cell-induced CSF1 promotes melanoma resistance to PD1 blockade.
AB - Colony-stimulating factor 1 (CSF1) is a key regulator of monocyte/macrophage
differentiation that sustains the protumorigenic functions of tumor-associated
macrophages (TAMs). We show that CSF1 is expressed in human melanoma, and
patients with metastatic melanoma have increased CSF1 in blood compared to
healthy subjects. In tumors, CSF1 expression correlated with the abundance of
CD8+ T cells and CD163+ TAMs. Human melanoma cell lines consistently produced
CSF1 after exposure to melanoma-specific CD8+ T cells or T cell-derived cytokines
in vitro, reflecting a broadly conserved mechanism of CSF1 induction by activated
CD8+ T cells. Mining of publicly available transcriptomic data sets suggested co
enrichment of CD8+ T cells with CSF1 or various TAM-specific markers in human
melanoma, which was associated with nonresponsiveness to programmed cell death
protein 1 (PD1) checkpoint blockade in a smaller patient cohort. Combination of
anti-PD1 and anti-CSF1 receptor (CSF1R) antibodies induced the regression of
BRAFV600E -driven, transplant mouse melanomas, a result that was dependent on the
effective elimination of TAMs. Collectively, these data implicate CSF1 induction
as a CD8+ T cell-dependent adaptive resistance mechanism and show that
simultaneous CSF1R targeting may be beneficial in melanomas refractory to immune
checkpoint blockade and, possibly, other T cell-based therapies.
PMID- 29643231
TI - Personalized cancer vaccine effectively mobilizes antitumor T cell immunity in
ovarian cancer.
AB - We conducted a pilot clinical trial testing a personalized vaccine generated by
autologous dendritic cells (DCs) pulsed with oxidized autologous whole-tumor cell
lysate (OCDC), which was injected intranodally in platinum-treated, immunotherapy
naive, recurrent ovarian cancer patients. OCDC was administered alone (cohort 1,
n = 5), in combination with bevacizumab (cohort 2, n = 10), or bevacizumab plus
low-dose intravenous cyclophosphamide (cohort 3, n = 10) until disease
progression or vaccine exhaustion. A total of 392 vaccine doses were administered
without serious adverse events. Vaccination induced T cell responses to
autologous tumor antigen, which were associated with significantly prolonged
survival. Vaccination also amplified T cell responses against mutated neoepitopes
derived from nonsynonymous somatic tumor mutations, and this included priming of
T cells against previously unrecognized neoepitopes, as well as novel T cell
clones of markedly higher avidity against previously recognized neoepitopes. We
conclude that the use of oxidized whole-tumor lysate DC vaccine is safe and
effective in eliciting a broad antitumor immunity, including private neoantigens,
and warrants further clinical testing.
PMID- 29643233
TI - Hematopoietic stem cell transplantation in its 60s: A platform for cellular
therapies.
AB - Over the last 60 years, more than a million patients received hematopoietic cell
transplantation. Having incorporated multiple changes in clinical practices, it
remains a complex procedure facing a dual challenge: cure of the underlying
disease and prevention of relapse while controlling potentially severe
complications. Improved understanding of underlying biological processes resulted
in the design of innovative therapies engineered from defined cell populations
and testing of these therapies as addition or substitution at virtually every
step of the procedure. This review provides an overview of these developments,
many of them now applied outside the historical field of hematopoietic cell
transplantation.
PMID- 29643232
TI - Increased neutrophil extracellular trap formation promotes thrombosis in
myeloproliferative neoplasms.
AB - Thrombosis is a major cause of morbidity and mortality in Philadelphia chromosome
negative myeloproliferative neoplasms (MPNs), clonal disorders of hematopoiesis
characterized by activated Janus kinase (JAK)-signal transducer and activator of
transcription (STAT) signaling. Neutrophil extracellular trap (NET) formation, a
component of innate immunity, has been linked to thrombosis. We demonstrate that
neutrophils from patients with MPNs are primed for NET formation, an effect
blunted by pharmacological inhibition of JAK signaling. Mice with conditional
knock-in of Jak2V617F, the most common molecular driver of MPN, have an increased
propensity for NET formation and thrombosis. Inhibition of JAK-STAT signaling
with the clinically available JAK2 inhibitor ruxolitinib abrogated NET formation
and reduced thrombosis in a deep vein stenosis murine model. We further show that
expression of PAD4, a protein required for NET formation, is increased in
JAK2V617F-expressing neutrophils and that PAD4 is required for Jak2V617F-driven
NET formation and thrombosis in vivo. Finally, in a population study of more than
10,000 individuals without a known myeloid disorder, JAK2V617F-positive clonal
hematopoiesis was associated with an increased incidence of thrombosis. In
aggregate, our results link JAK2V617F expression to NET formation and thrombosis
and suggest that JAK2 inhibition may reduce thrombosis in MPNs through cell
intrinsic effects on neutrophil function.
PMID- 29643234
TI - Visualization of Arenavirus RNA Species in Individual Cells by Single-Molecule
Fluorescence In Situ Hybridization Suggests a Model of Cyclical Infection and
Clearance during Persistence.
AB - Lymphocytic choriomeningitis mammarenavirus (LCMV) is an enveloped, negative
strand RNA virus that causes serious disease in humans but establishes an
asymptomatic, lifelong infection in reservoir rodents. Different models have been
proposed to describe how arenaviruses regulate the replication and transcription
of their bisegmented, single-stranded RNA genomes, particularly during persistent
infection. However, these models were based largely on viral RNA profiling data
derived from entire populations of cells. To better understand LCMV replication
and transcription at the single-cell level, we established a high-throughput,
single-molecule fluorescence in situ hybridization (smFISH) image acquisition and
analysis pipeline and examined viral RNA species at discrete time points from
virus entry through the late stages of persistent infection in vitro We observed
the transcription of viral nucleoprotein and polymerase mRNAs from the incoming S
and L segment genomic RNAs, respectively, within 1 h of infection, whereas the
transcription of glycoprotein mRNA from the S segment antigenome required ~4 to 6
h. This confirms the temporal separation of viral gene expression expected due to
the ambisense coding strategy of arenaviruses and also suggests that antigenomic
RNA contained in virions is not transcriptionally active upon entry. Viral
replication and transcription peaked at 36 h postinfection, followed by a
progressive loss of viral RNAs over the next several days. During persistence,
the majority of cells showed repeating cyclical waves of viral transcription and
replication followed by the clearance of viral RNA. Thus, our data support a
model of LCMV persistence whereby infected cells can spontaneously clear
infection and become reinfected by viral reservoir cells that remain in the
population.IMPORTANCE Arenaviruses are human pathogens that can establish
asymptomatic, lifelong infections in their rodent reservoirs. Several models have
been proposed to explain how arenavirus spread is restricted within host rodents,
including the periodic accumulation and loss of replication-competent, but
transcriptionally incompetent, viral genomes. A limitation of previous studies
was the inability to enumerate viral RNA species at the single-cell level. We
developed a high-throughput, smFISH assay and used it to quantitate lymphocytic
choriomeningitis mammarenavirus (LCMV) replicative and transcriptional RNA
species in individual cells at distinct time points following infection. Our
findings support a model whereby productively infected cells can clear infection,
including viral RNAs and antigen, and later be reinfected. This information
improves our understanding of the timing and possible regulation of LCMV genome
replication and transcription during infection. Importantly, the smFISH assay and
data analysis pipeline developed here is easily adaptable to other RNA viruses.
PMID- 29643235
TI - Developing and Evaluating Inhibitors against the RNase H Active Site of HIV-1
Reverse Transcriptase.
AB - We tested three compounds for their ability to inhibit the RNase H (RH) and
polymerase activities of HIV-1 reverse transcriptase (RT). A high-resolution
crystal structure (2.2 A) of one of the compounds showed that it chelates the two
magnesium ions at the RH active site; this prevents the RH active site from
interacting with, and cleaving, the RNA strand of an RNA-DNA heteroduplex. The
compounds were tested using a variety of substrates: all three compounds
inhibited the polymerase-independent RH activity of HIV-1 RT. Time-of-addition
experiments showed that the compounds were more potent if they were bound to RT
before the nucleic acid substrate was added. The compounds significantly
inhibited the site-specific cleavage required to generate the polypurine tract
(PPT) RNA primer that initiates the second strand of viral DNA synthesis. The
compounds also reduced the polymerase activity of RT; this ability was a result
of the compounds binding to the RH active site. These compounds appear to be
relatively specific; they do not inhibit either Escherichia coli RNase HI or
human RNase H2. The compounds inhibit the replication of an HIV-1-based vector in
a one-round assay, and their potencies were only modestly decreased by mutations
that confer resistance to integrase strand transfer inhibitors (INSTIs),
nucleoside analogs, or nonnucleoside RT inhibitors (NNRTIs), suggesting that
their ability to block HIV replication is related to their ability to block RH
cleavage. These compounds appear to be useful leads that can be used to develop
more potent and specific compounds.IMPORTANCE Despite advances in HIV-1
treatment, drug resistance is still a problem. Of the four enzymatic activities
found in HIV-1 proteins (protease, RT polymerase, RT RNase H, and integrase),
only RNase H has no approved therapeutics directed against it. This new target
could be used to design and develop new classes of inhibitors that would suppress
the replication of the drug-resistant variants that have been selected by the
current therapeutics.
PMID- 29643236
TI - Introduction of Viral Hemorrhagic Septicemia Virus into Freshwater Cultured
Rainbow Trout Is Followed by Bursts of Adaptive Evolution.
AB - Viral hemorrhagic septicemia virus (VHSV), a rhabdovirus infecting teleost fish,
has repeatedly crossed the boundary from marine fish species to freshwater
cultured rainbow trout. These naturally replicated cross-species transmission
events permit the study of general and repeatable evolutionary events occurring
in connection with viral emergence in a novel host species. The purpose of the
present study was to investigate the adaptive molecular evolution of the VHSV
glycoprotein, one of the key virus proteins involved in viral emergence,
following emergence from marine species into freshwater cultured rainbow trout. A
comprehensive phylogenetic reconstruction of the complete coding region of the
VHSV glycoprotein was conducted, and adaptive molecular evolution was
investigated using a maximum likelihood approach to compare different codon
substitution models allowing for heterogeneous substitution rate ratios among
amino acid sites. Evidence of positive selection was detected at six amino acid
sites of the VHSV glycoprotein, within the signal peptide, the confirmation
dependent major neutralizing epitope, and the intracellular tail. Evidence of
positive selection was found exclusively in rainbow trout-adapted virus isolates,
and amino acid combinations found at the six sites under positive selection
pressure differentiated rainbow trout- from non-rainbow trout-adapted isolates.
Furthermore, four adaptive sites revealed signs of recurring identical changes
across phylogenetic groups of rainbow trout-adapted isolates, suggesting that
repeated VHSV emergence in freshwater cultured rainbow trout was established
through convergent routes of evolution that are associated with immune
escape.IMPORTANCE This study is the first to demonstrate that VHSV emergence from
marine species into freshwater cultured rainbow trout has been accompanied by
bursts of adaptive evolution in the VHSV glycoprotein. Furthermore, repeated
detection of the same adaptive amino acid sites across phylogenetic groups of
rainbow trout-adapted isolates indicates that adaptation to rainbow trout was
established through parallel evolution. In addition, signals of convergent
evolution toward the maintenance of genetic variation were detected in the
conformation-dependent neutralizing epitope or in close proximity to disulfide
bonds involved in the structural conformation of the neutralizing epitope,
indicating adaptation to immune response-related genetic variation across
freshwater cultured rainbow trout.
PMID- 29643238
TI - JC Polyomavirus: Let's Please Respect Privacy.
AB - JC polyomavirus was discovered in 1971, and its name was derived from the
initials of the individual from whose brain tissue it was isolated. While most
scientists refer to the virus properly, i.e., calling it JCV or JCPyV, there is a
small but palpable number of scientists who refer to the virus by the full name
of the patient from whom it was isolated. This practice should stop.
PMID- 29643237
TI - The Functional Oligomeric State of Tegument Protein GP41 Is Essential for
Baculovirus Budded Virion and Occlusion-Derived Virion Assembly.
AB - gp41, one of the baculovirus core genes, encodes the only recognized tegument (O
glycosylated) protein of the occlusion-derived virion (ODV) phenotype so far. A
previous study using a temperature-sensitive Autographa californica multicapsid
nucleopolyhedrovirus (AcMNPV) mutant showed that GP41 plays a crucial role in
budded virion (BV) formation. However, the precise function of GP41 in the
baculovirus replication cycle remains unclear. In this study, AcMNPV GP41 was
found to accumulate around the ring zone (RZ) region within the infected nucleus
and finally assembled into both BVs and ODVs. Deletion of gp41 from the AcMNPV
genome showed that BVs were no longer formed and ODVs were no longer assembled,
suggesting the essential role of this gene in baculovirus virion morphogenesis.
In infected cells, besides the 42-kDa monomers, dimers and trimers were detected
under nonreducing conditions, whereas only trimeric GP41 forms were selectively
incorporated into BVs or ODVs. Mutations of all five cysteines in GP41
individually had minor effects on GP41 oligomer formation, albeit certain
mutations impaired infectious BV production, suggesting flexibility in the
intermolecular disulfide bonding. Single mutations of key leucines within two
predicted leucine zipper-like motifs did not interfere with GP41 oligomerization
or BV and ODV formation, but double leucine mutations completely blocked
oligomerization of GP41 and progeny BV production. In the latter case, the usual
subcellular localization, especially RZ accumulation, of GP41 was abolished. The
above findings clearly point out a close correlation between GP41 oligomerization
and function and therefore highlight the oligomeric state as the functional form
of GP41 in the baculovirus replication cycle.IMPORTANCE The tegument, which is
sandwiched between the nucleocapsid and the virion envelope, is an important
substructure of many enveloped viruses. It is composed of one or more proteins
that have important functions during virus entry, replication, assembly, and
egress. Unlike another large DNA virus (herpesvirus) that encodes an extensive
set of tegument components, baculoviruses very likely exploit the major tegument
protein, GP41, to execute functions in baculovirus virion morphogenesis and
assembly. However, the function of this O-glycosylated baculovirus tegument
protein remains largely unknown. In this study, we identified trimers as the
functional structure of GP41 in baculovirus virion morphogenesis and showed that
both disulfide bridging and protein-protein interactions via the two leucine
zipper-like domains are involved in the formation of different oligomeric states.
This study advances our understanding of the unique viral tegument protein GP41
participating in the life cycle of baculoviruses.
PMID- 29643239
TI - Kaposi's Sarcoma-Associated Herpesvirus mRNA Accumulation in Nuclear Foci Is
Influenced by Viral DNA Replication and Viral Noncoding Polyadenylated Nuclear
RNA.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV), like other herpesviruses,
replicates within the nuclei of its human cell host and hijacks host machinery
for expression of its genes. The activities that culminate in viral DNA synthesis
and assembly of viral proteins into capsids physically concentrate in nuclear
areas termed viral replication compartments. We sought to better understand the
spatiotemporal regulation of viral RNAs during the KSHV lytic phase by examining
and quantifying the subcellular localization of select viral transcripts. We
found that viral mRNAs, as expected, localized to the cytoplasm throughout the
lytic phase. However, dependent on active viral DNA replication, viral
transcripts also accumulated in the nucleus, often in foci in and around
replication compartments, independent of the host shutoff effect. Our data point
to involvement of the viral long noncoding polyadenylated nuclear (PAN) RNA in
the localization of an early, intronless viral mRNA encoding ORF59-58 to nuclear
foci that are associated with replication compartments.IMPORTANCE Late in the
lytic phase, mRNAs from Kaposi's sarcoma-associated herpesvirus accumulate in the
host cell nucleus near viral replication compartments, centers of viral DNA
synthesis and virion production. This work contributes spatiotemporal data on
herpesviral mRNAs within the lytic host cell and suggests a mechanism for viral
RNA accumulation. Our findings indicate that the mechanism is independent of the
host shutoff effect and splicing but dependent on active viral DNA synthesis and
in part on the viral noncoding RNA, PAN RNA. PAN RNA is essential for the viral
life cycle, and its contribution to the nuclear accumulation of viral messages
may facilitate propagation of the virus.
PMID- 29643240
TI - HIV Protease-Generated Casp8p41, When Bound and Inactivated by Bcl2, Is Degraded
by the Proteasome.
AB - HIV protease is known to cause cell death, which is dependent upon cleavage of
procaspase 8. HIV protease cleavage of procaspase 8 generates Casp8p41, which
directly binds Bak with nanomolar affinity, causing Bak activation and consequent
cell death. Casp8p41 can also bind Bcl2 with nanomolar affinity, in which case
cell death is averted. Central memory CD4 T cells express high levels of Bcl2,
possibly explaining why those cells do not die when they reactivate HIV. Here, we
determine that the Casp8p41-Bcl2 complex is polyubiquitinated and degraded by the
proteasome. Ixazomib, a proteasome inhibitor in clinical use, blocks this
pathway, increasing the abundance of Casp8p41 and causing more cells to die in a
Casp8p41-dependent manner.IMPORTANCE The Casp8p41 pathway of cell death is unique
to HIV-infected cells yet is blocked by Bcl2. Once bound by Bcl2, Casp8p41 is
polyubiquitinated and degraded by the proteasome. Proteasome inhibition blocks
degradation of Casp8p41, increasing Casp8p41 levels and causing more HIV-infected
cells to die.
PMID- 29643241
TI - Truncated CPSF6 Forms Higher-Order Complexes That Bind and Disrupt HIV-1 Capsid.
AB - Cleavage and polyadenylation specificity factor 6 (CPSF6) is a human protein that
binds HIV-1 capsid and mediates nuclear transport and integration targeting of
HIV-1 preintegration complexes. Truncation of the protein at its C-terminal
nuclear-targeting arginine/serine-rich (RS) domain produces a protein, CPSF6-358,
that potently inhibits HIV-1 infection by targeting the capsid and inhibiting
nuclear entry. To understand the molecular mechanism behind this restriction, the
interaction between CPSF6-358 and HIV-1 capsid was characterized using in vitro
and in vivo assays. Purified CPSF6-358 protein formed oligomers and bound in
vitro-assembled wild-type (WT) capsid protein (CA) tubes, but not CA tubes
containing a mutation in the putative binding site of CPSF6. Intriguingly,
binding of CPSF6-358 oligomers to WT CA tubes physically disrupted the tubular
assemblies into small fragments. Furthermore, fixed- and live-cell imaging showed
that stably expressed CPSF6-358 forms cytoplasmic puncta upon WT HIV-1 infection
and leads to capsid permeabilization. These events did not occur when the HIV-1
capsid contained a mutation known to prevent CPSF6 binding, nor did they occur in
the presence of a small-molecule inhibitor of capsid binding to CPSF6-358.
Together, our in vitro biochemical and transmission electron microscopy data and
in vivo intracellular imaging results provide the first direct evidence for an
oligomeric nature of CPSF6-358 and suggest a plausible mechanism for restriction
of HIV-1 infection by CPSF6-358.IMPORTANCE After entry into cells, the HIV-1
capsid, which contains the viral genome, interacts with numerous host cell
factors to facilitate crucial events required for replication, including
uncoating. One such host cell factor, called CPSF6, is predominantly located in
the cell nucleus and interacts with HIV-1 capsid. The interaction between CA and
CPSF6 is critical during HIV-1 replication in vivo Truncation of CPSF6 leads to
its localization to the cell cytoplasm and inhibition of HIV-1 infection. Here,
we determined that truncated CPSF6 protein forms large higher-order complexes
that bind directly to HIV-1 capsid, leading to its disruption. Truncated CPSF6
expression in cells leads to premature capsid uncoating that is detrimental to
HIV-1 infection. Our study provides the first direct evidence for an oligomeric
nature of truncated CPSF6 and insights into the highly regulated process of HIV-1
capsid uncoating.
PMID- 29643242
TI - RIG-I-Like Receptor and Toll-Like Receptor Signaling Pathways Cause Aberrant
Production of Inflammatory Cytokines/Chemokines in a Severe Fever with
Thrombocytopenia Syndrome Virus Infection Mouse Model.
AB - Severe fever with thrombocytopenia syndrome (SFTS) is an emerging infectious
disease caused by a tick-borne phlebovirus of the family Bunyaviridae, SFTS virus
(SFTSV). Wild-type and type I interferon (IFN-I) receptor 1-deficient (IFNAR1-/-)
mice have been established as nonlethal and lethal models of SFTSV infection,
respectively. However, the mechanisms of IFN-I production in vivo and the factors
causing the lethal disease are not well understood. Using bone marrow-chimeric
mice, we found that IFN-I signaling in hematopoietic cells was essential for
survival of lethal SFTSV infection. The disruption of IFN-I signaling in
hematopoietic cells allowed an increase in viral loads in serum and produced an
excess of multiple inflammatory cytokines and chemokines. The production of IFN-I
and inflammatory cytokines was abolished by deletion of the signaling molecules
IPS-1 and MyD88, essential for retinoic acid-inducible gene I (RIG-I)-like
receptor (RLR) and Toll-like receptor (TLR) signaling, respectively. However, IPS
1-/- MyD88-/- mice exhibited resistance to lethal SFTS with a moderate viral load
in serum. Taken together, these results indicate that adequate activation of RLR
and TLR signaling pathways under low to moderate levels of viremia contributed to
survival through the IFN-I-dependent antiviral response during SFTSV infection,
whereas overactivation of these signaling pathways under high levels of viremia
resulted in abnormal induction of multiple inflammatory cytokines and chemokines,
causing the lethal disease.IMPORTANCE SFTSV causes a severe infectious disease in
humans, with a high fatality rate of 12 to 30%. To know the pathogenesis of the
virus, we need to clarify the innate immune response as a front line of defense
against viral infection. Here, we report that a lethal animal model showed
abnormal induction of multiple inflammatory cytokines and chemokines by an
uncontrolled innate immune response, which triggered the lethal SFTS. Our
findings suggest a new strategy to target inflammatory humoral factors to treat
patients with severe SFTS. Furthermore, this study may help the investigation of
other tick-borne viruses.
PMID- 29643243
TI - Inefficient HIV-1 trans Infection of CD4+ T Cells by Macrophages from HIV-1
Nonprogressors Is Associated with Altered Membrane Cholesterol and DC-SIGN.
AB - Professional antigen-presenting cells (APC; myeloid dendritic cells [DC] and
macrophages [MPhi]; B lymphocytes) mediate highly efficient HIV-1 infection of
CD4+ T cells, termed trans infection, that could contribute to HIV-1
pathogenesis. We have previously shown that lower cholesterol content in DC and B
lymphocytes is associated with a lack of HIV-1 trans infection in HIV-1-infected
nonprogressors (NP). Here, we assessed whether HIV-1 trans infection mediated by
another major APC, MPhi, is deficient in NP due to altered cholesterol
metabolism. When comparing healthy HIV-1 seronegatives (SN), rapid progressors
(PR), and NP, we found that monocyte-derived MPhi from NP did not mediate HIV-1
trans infection of autologous CD4+ T cells, in contrast to efficient trans
infection mediated by SN and PR MPhi. MPhi trans infection efficiency was
directly associated with the number of DC-specific intercellular adhesion
molecule-3-grabbing nonintegrin (DC-SIGN)-expressing MPhi. Significantly fewer NP
MPhi expressed DC-SIGN. Unesterified (free) cholesterol in MPhi cell membranes
and lipid rafting was significantly lower in NP than PR, as was virus
internalization in early endosomes. Furthermore, simvastatin (SIMV) decreased the
subpopulation of DC-SIGN+ MPhi as well as cis and trans infection. Notably, SIMV
decreased cell membrane cholesterol and led to lipid raft dissociation,
effectively mimicking the incompetent APC trans infection environment
characteristic of NP. Our data support that DC-SIGN and membrane cholesterol are
central to MPhi trans infection, and a lack of these limits HIV-1 disease
progression. Targeting the ability of MPhi to drive HIV-1 dissemination in trans
could enhance HIV-1 therapeutic strategies.IMPORTANCE Despite the success of
combination antiretroviral therapy, neither a vaccine nor a cure for HIV
infection has been developed, demonstrating a need for novel prophylactic and
therapeutic strategies. Here, we show that efficiency of MPhi-mediated HIV trans
infection of CD4+ T cells is a unique characteristic associated with control of
disease progression, and it is impaired in HIV-infected NP. In vitro treatment of
MPhi from healthy donors with SIMV lowers their cholesterol content, which
results in a strongly reduced trans infection ability, similar to the levels of
MPhi from NP. Taken together, our data support the hypothesis that MPhi-mediated
HIV-1 trans infection plays a role in HIV infection and disease progression and
demonstrate that the use of SIMV to decrease this mechanism of virus transfer
should be considered for future HIV therapeutic development.
PMID- 29643244
TI - SUN1 Regulates HIV-1 Nuclear Import in a Manner Dependent on the Interaction
between the Viral Capsid and Cellular Cyclophilin A.
AB - Human immunodeficiency virus type 1 (HIV-1) can infect nondividing cells via
passing through the nuclear pore complex. The nuclear membrane-imbedded protein
SUN2 was recently reported to be involved in the nuclear import of HIV-1. Whether
SUN1, which shares many functional similarities with SUN2, is involved in this
process remained to be explored. Here we report that overexpression of SUN1
specifically inhibited infection by HIV-1 but not that by simian immunodeficiency
virus (SIV) or murine leukemia virus (MLV). Overexpression of SUN1 did not affect
reverse transcription but led to reduced accumulation of the 2-long-terminal
repeat (2-LTR) circular DNA and integrated viral DNA, suggesting a block in the
process of nuclear import. HIV-1 CA was mapped as a determinant for viral
sensitivity to SUN1. Treatment of SUN1-expressing cells with cyclosporine (CsA)
significantly reduced the sensitivity of the virus to SUN1, and an HIV-1 mutant
containing CA-G89A, which does not interact with cyclophilin A (CypA), was
resistant to SUN1 overexpression. Downregulation of endogenous SUN1 inhibited the
nuclear entry of the wild-type virus but not that of the G89A mutant. These
results indicate that SUN1 participates in the HIV-1 nuclear entry process in a
manner dependent on the interaction of CA with CypA.IMPORTANCE HIV-1 infects both
dividing and nondividing cells. The viral preintegration complex (PIC) can enter
the nucleus through the nuclear pore complex. It has been well known that the
viral protein CA plays an important role in determining the pathways by which the
PIC enters the nucleus. In addition, the interaction between CA and the cellular
protein CypA has been reported to be important in the selection of nuclear entry
pathways, though the underlying mechanisms are not very clear. Here we show that
both SUN1 overexpression and downregulation inhibited HIV-1 nuclear entry. CA
played an important role in determining the sensitivity of the virus to SUN1: the
regulatory activity of SUN1 toward HIV-1 relied on the interaction between CA and
CypA. These results help to explain how SUN1 is involved in the HIV-1 nuclear
entry process.
PMID- 29643245
TI - Recombinant Porcine Reproductive and Respiratory Syndrome Virus Expressing
Membrane-Bound Interleukin-15 as an Immunomodulatory Adjuvant Enhances NK and
gammadelta T Cell Responses and Confers Heterologous Protection.
AB - Cytokines are often used as adjuvants to improve vaccine immunogenicity, since
they are important in initiating and shaping the immune response. The available
commercial modified live-attenuated vaccines (MLVs) against porcine reproductive
and respiratory syndrome virus (PRRSV) are unable to mount sufficient
heterologous protection, as they typically induce weak innate and inadequate T
cell responses. In this study, we investigated the immunogenicity and vaccine
efficacy of recombinant PRRSV MLVs incorporated with the porcine cytokine
interleukin-15 (IL-15) or IL-18 gene fused to a glycosylphosphatidylinositol
(GPI) modification signal that can anchor the cytokines to the cell membrane. We
demonstrated that both cytokines were successfully expressed on the cell membrane
of porcine alveolar macrophages after infection with recombinant MLVs. Pigs
vaccinated with recombinant MLVs or the parental Suvaxyn MLV had significantly
reduced lung lesions and viral RNA loads in the lungs after heterologous
challenge with the PRRSV NADC20 strain. The recombinant MLVs SUV-IL-15 and SUV-IL
18 recovered the inhibition of the NK cell response seen with Suvaxyn MLV. The
recombinant MLV SUV-IL-15 significantly increased the numbers of gamma interferon
(IFN-gamma)-producing cells in circulation at 49 days postvaccination (dpv),
especially for IFN-gamma-producing CD4- CD8+ T cells and gammadelta T cells,
compared to the Suvaxyn MLV and SUV-IL-18. Additionally, MLV SUV-IL-15-vaccinated
pigs also had elevated levels of gammadelta T cell responses observed at 7 dpv,
49 dpv, and 7 days postchallenge. These data demonstrate that the recombinant MLV
expressing membrane-bound IL-15 enhances NK and T cell immune responses after
vaccination and confers improved heterologous protection, although this was not
statistically significant compared to the parental MLV.IMPORTANCE Porcine
reproductive and respiratory syndrome (PRRS) has arguably been the most
economically important global swine disease, causing immense economic losses
worldwide. The available commercial modified live-attenuated vaccines (MLVs)
against PRRS virus (PRRSV) are generally effective against only homologous or
closely related virus strains but are ineffective against heterologous strains,
partially due to the insufficient immune response induced by the vaccine virus.
To improve the immunogenicity of MLVs, in this study, we present a novel approach
of using porcine IL-15 or IL-18 as an adjuvant by directly incorporating its
encoding gene into a PRRSV MLV and expressing it as an adjuvant. Importantly, we
directed the expression of the incorporated cytokines to the cell membrane
surface by fusing the genes with a membrane-targeting signal from CD59. The
recombinant MLV virus expressing the membrane-bound IL-15 cytokine greatly
enhanced NK cell and gammadelta T cell responses and also conferred improved
protection against heterologous challenge with the PRRSV NADC20 strain.
PMID- 29643246
TI - Dynamics of Simian Immunodeficiency Virus Two-Long-Terminal-Repeat Circles in the
Presence and Absence of CD8+ Cells.
AB - CD8+ cells play a key role in human immunodeficiency virus (HIV)/simian
immunodeficiency virus (SIV) infection, but their specific mechanism(s) of action
in controlling the virus is unclear. Two-long-terminal-repeat (2-LTR) circles are
extrachromosomal products generated upon failed integration of HIV/SIV. To
understand the specific effects of CD8+ cells on infected cells, we analyzed the
dynamics of 2-LTR circles in SIVmac251-infected rhesus macaques (RMs) treated
with an integrase inhibitor (INT). Twenty RMs underwent CD8+ cell depletion and
received raltegravir (RAL) monotherapy or a combination of both. Blood, lymph
nodes (LNs), and gut biopsy specimens were routinely sampled. Plasma viral loads
(pVLs) and 2-LTR circles from peripheral blood mononuclear cells (PBMCs) and LN
lymphocytes were measured with quantitative reverse transcription-PCR (qRT-PCR).
In the CD8 depletion group, an ~1-log increase in pVLs and a slow increase in
PBMC 2-LTRs occurred following depletion. In the INT group, a strong decline in
pVLs upon treatment initiation and no change in 2-LTR levels were observed. In
the INT and CD8+ cell depletion group, an increase in pVLs following CD8
depletion similar to that in the CD8 depletion group was observed, with a modest
decline following INT initiation, and 2-LTR circles significantly increased in
PBMCs and LNs. Analyzing the 2-LTR data across all treatment groups with a
mathematical model indicates that the data best support an effect of CD8+ cells
in killing cells prior to viral integration. Sensitivity analyses of these
results confirm that effect but also allow for additional effects, which the data
do not discriminate well. Overall, we show that INT does not significantly
increase the levels of 2-LTR circles. However, CD8+ cell depletion increases the
2-LTR levels, which are enhanced in the presence of an INT.IMPORTANCE CD8+ T
cells play an essential role in controlling HIV and SIV infection, but the
specific mechanisms involved remain poorly understood. Due to failed viral
infection, HIV and SIV can form 2-LTR extrachromosomal circles that can be
quantified. We present novel data on the dynamics of these 2-LTR forms in a SIV
infected macaque model under three different treatment conditions: depletion of
CD8+ cells, administration of the integrase inhibitor in a monotherapy, which
favors the formation of 2-LTR circles, and a combination of the two treatments.
We used a new mathematical model to help interpret the data, and the results
suggest that CD8+ cells exert a killing effect on infected cells prior to virus
integration. These results provide new insights into the mechanisms of action of
CD8+ cells in SIV infection. Confirmation of our results would be an important
step in understanding immune control of HIV.
PMID- 29643247
TI - The Pathway To Establishing HIV Latency Is Critical to How Latency Is Maintained
and Reversed.
AB - HIV infection requires lifelong antiretroviral therapy because of the persistence
of latently infected CD4+ T cells. The induction of virus expression from
latently infected cells occurs following T cell receptor (TCR) activation, but
not all latently infected cells respond to TCR stimulation. We compared two
models of latently infected cells using an enhanced green fluorescent protein
(EGFP) reporter virus to infect CCL19-treated resting CD4+ (rCD4+) T cells
(preactivation latency) or activated CD4+ T cells that returned to a resting
state (postactivation latency). We isolated latently infected cells by sorting
for EGFP-negative (EGFP-) cells after infection. These cells were cultured with
antivirals and stimulated with anti-CD3/anti-CD28, mitogens, and latency
reversing agents (LRAs) and cocultured with monocytes and anti-CD3. Spontaneous
EGFP expression was more frequent in postactivation than in preactivation
latency. Stimulation of latently infected cells with monocytes/anti-CD3 resulted
in an increase in EGFP expression compared to that for unstimulated controls
using the preactivation latency model but led to a reduction in EGFP expression
in the postactivation latency model. The reduced EGFP expression was not
associated with reductions in the levels of viral DNA or T cell proliferation but
depended on direct contact between monocytes and T cells. Monocytes added to the
postactivation latency model during the establishment of latency reduced
spontaneous virus expression, suggesting that monocyte-T cell interactions at an
early time point postinfection can maintain HIV latency. This direct comparison
of pre- and postactivation latency suggests that effective strategies needed to
reverse latency will depend on how latency is established.IMPORTANCE One strategy
being evaluated to eliminate latently infected cells that persist in HIV-infected
individuals on antiretroviral therapy (ART) is to activate HIV expression or
production with the goal of inducing virus-mediated cytolysis or immune-mediated
clearance of infected cells. The gold standard for the activation of latent virus
is T cell receptor stimulation with anti-CD3/anti-CD28. However, this stimulus
activates only a small proportion of latently infected cells. We show clear
differences in the responses of latently infected cells to activating stimuli
based on how latent infection is established, an observation that may potentially
explain the persistence of noninduced intact proviruses in HIV-infected
individuals on ART.
PMID- 29643249
TI - Neutralizing Antibody Responses following Long-Term Vaccination with HIV-1 Env
gp140 in Guinea Pigs.
AB - A vaccination regimen capable of eliciting potent and broadly neutralizing
antibodies (bNAbs) remains an unachieved goal of the HIV-1 vaccine field. Here we
report the immunogenicity of longitudinal prime/boost vaccination regimens over a
period of 200 weeks in guinea pigs with a panel of HIV-1 envelope (Env) gp140
protein immunogens. We assessed vaccine regimens that included a monovalent clade
C gp140 regimen (C97), a tetravalent regimen consisting of four clade C gp140s
(4C), and a tetravalent regimen consisting of a clade A, B, C, and mosaic gp140
(ABCM). We found that the 4C and ABCM prime/boost regimens were capable of
eliciting a greater magnitude and breadth of binding antibodies targeting
variable loop 2 (V2) over time, compared to the monovalent C97 only regimen. The
longitudinal boosting regimen conducted over more than two years increased the
magnitude of certain tier 1 NAbs, but did not increase the magnitude or breadth
of heterologous tier 2 NAbs. These data suggest that additional immunogen design
strategies are needed to induce broad, high titer tier 2 NAbs.IMPORTANCE The
elicitation of potent, broadly neutralizing antibodies (bNAbs) remains an elusive
goal for the HIV-1 vaccine field. In this study we explored the use of a long
term vaccination regimen with differing immunogens to determine if we could
elicit bNAbs in guinea pigs. We found that longitudinal boosting over more than
two years increased tier 1 NAbs but did not increase the magnitude and breadth of
tier 2 NAbs. These data suggest that additional immunogen designs and vaccination
strategies will be necessary to induce broad tier 2 NAb responses.
PMID- 29643250
TI - Neuronal subtype determines HSV-1 Latency-Associated-Transcript (LAT) promoter
activity during latency.
AB - Herpes simplex virus (HSV) latency in neurons remains poorly understood, and the
heterogeneity of the sensory nervous system complicates mechanistic studies. In
this study, we used primary culture of adult trigeminal ganglion (TG) mouse
neurons in microfluidic devices, and an in vivo model, to examine the subtypes of
sensory neurons involved in HSV latency. HSV-infected neurofilament heavy
positive neurons (NefH+) were more likely to express Latency-Associated
Transcripts (LATs) relative to infected neurofilament heavy-negative neurons
(NefH-). This differential expression of the LAT promoter correlated with
differences in HSV-1 early infection that manifested as differences in the
efficiency with which HSV particles reached the cell body following infection at
the distal axon. In vivo, we further identified a specific subset of NefH+
neurons which co-expressed Calcitonin Gene Related Peptide alpha (NefH+ CGRP+) as
the sensory neuron subpopulation with the highest LAT promoter activity following
HSV-1 infection. Finally, an early-phase reactivation assay showed HSV-1
reactivating in NefH+ CGRP+ neurons, although other sensory neuron subpopulations
were also involved. Together, these results show that sensory neurons expressing
neurofilaments exhibit enhanced LAT promoter activity. We hypothesize that
reduced efficiency of HSV-1 invasion at an early phase of infection may promote
efficient establishment of latency in NefH+ neurons due to initiation of the
antiviral state preceding arrival of the virus at the neuronal cell body. While
the outcome of HSV-1 infection of neurons is determined by a broad variety of
factors in vivo, neuronal subtypes are likely to play differential roles in
modulating the establishment of latent infection.Importance: Two pivotal
properties of HSV-1 make it a successful pathogen. Firstly, it infects neurons
which are immune-privileged. Secondly, it establishes latency in these neurons.
Together, these properties allow HSV to persist for the lifetime of its host.
Neurons are diverse and highly organized cells, with specific anatomical,
physiological and molecular characteristics. Previous work has shown that
establishment of latency by HSV-1 does not occur equally in all types of neurons.
Our results show that the kinetics of HSV infection and levels of latency-related
gene expression differ in certain types of neurons. The neuronal subtype infected
by HSV is therefore a critical determinant of the outcome of infection and
latency.
PMID- 29643248
TI - A Single Amino Acid in the Polymerase Acidic Protein Determines the Pathogenicity
of Influenza B Viruses.
AB - Influenza B virus (IBV) is one of the human respiratory viruses and one of the
targets of seasonal vaccination. However, the bifurcation of two antigenically
distinct lineages of IBVs makes it difficult to arrange proper medical
countermeasures. Moreover, compared with pathogenicity-related molecular markers
known for influenza A virus, little has been known for IBVs. To understand
pathogenicity caused by IBVs, we investigated the molecular determinants of IBV
pathogenicity in animal models. After serial lung-to-lung passages of Victoria
lineage B/Brisbane/60/2008 (Vc_BR60) and Yamagata lineage B/Wisconsin/01/2010
(Ym_WI01) viruses in BALB/c mice, we identified the mouse-adapted Vc_BR60
(maVc_BR60) and Ym_WI01 (maYm_WI01) viruses, respectively. To find a molecular
clue(s) to the increased pathogenicity of maVc_BR60 and maYm_WI01, we determined
their genetic sequences. Several amino acid mutations were identified in the PB2,
PB1, PA, BM2, and/or NS1 protein-coding regions, and one concurrent lysine (K)-to
arginine (R) mutation in PA residue 338 (PA K338R) was found in both maVc_BR60
and maYm_WI01 viruses. When analyzed using viruses rescued through reverse
genetics, it was shown that PA K338R alone could increase the pathogenicity of
both IBVs in mice and viral replication in the respiratory tracts of ferrets. In
a subsequent minireplicon assay, the effect of PA K338R was highlighted by the
enhancement of viral polymerase complex activity of both Vc_BR60 and Ym_WI01
viruses. These results suggest that the PA K338R mutation may be a molecular
determinant of IBV pathogenicity via modulating the viral polymerase function of
IBVs.IMPORTANCE To investigate molecular pathogenic determinants of IBVs, which
are one of the targets of seasonal influenza vaccines, we adapted both Victoria
and Yamagata lineage IBVs independently in mice. The recovered mouse-adapted
viruses exhibited increased virulence, and of the various mutations identified
from both mouse-adapted viruses, a concurrent amino acid mutation was found in
the PA protein-coding region. When analyzed using viruses rescued through reverse
genetics, the PA mutation alone appeared to contribute to viral pathogenicity in
mice within the compatible genetic constellation between the IBV lineages and to
the replication of IBVs in ferrets. Regarding the potential mechanism of
increased viral pathogenicity, it was shown that the PA mutation could upregulate
the viral polymerase complex activity of both IBV lineages. These results
indicate that the PA mutation could be a newly defined molecular pathogenic
determinant of IBVs that substantiates our understanding of the viral
pathogenicity and public health risks of IBVs.
PMID- 29643251
TI - Pharmacological Characterization of IW-1973, a Novel Soluble Guanylate Cyclase
Stimulator with Extensive Tissue Distribution, Antihypertensive, Anti
Inflammatory, and Antifibrotic Effects in Preclinical Models of Disease.
AB - Soluble guanylate cyclase (sGC), a key signal-transduction enzyme, increases the
conversion of guanosine-5'-triphosphate to cGMP upon binding of nitric oxide
(NO). Endothelial dysfunction and/or reduced NO signaling have been implicated in
cardiovascular disease pathogenesis and complications of diabetes and have been
associated with other disease states and aging. Soluble guanylate cyclase (sGC)
stimulators are small-molecule drugs that bind sGC and enhance NO-mediated cGMP
signaling. The pharmacological characterization of IW-1973 [1,1,1,3,3,3
hexafluoro-2-(((5-fluoro-2-(1-(2-fluorobenzyl)-5-(isoxazol-3-yl)-1H-pyrazol-3-yl)
pyrimidin-4-yl)amino)methyl)propan-2-ol], a novel clinical-stage sGC stimulator
under clinical investigation for treatment of heart failure with preserved
ejection fraction and diabetic nephropathy, is described. In the presence of NO,
IW-1973 stimulated sGC in a human purified enzyme assay and a HEK-293 whole cell
assay. sGC stimulation by IW-1973 in cells was associated with increased
phosphorylation of vasodilator-stimulated phosphoprotein. IW-1973, at doses of 1
10 mg/kg, significantly lowered blood pressure in normotensive and spontaneously
hypertensive rats. In a Dahl salt-sensitive hypertension model, IW-1973
significantly reduced blood pressure, inflammatory cytokine levels, and renal
disease markers, including proteinuria and renal fibrotic gene expression. The
results were affirmed in mouse lipopolysaccharide-induced inflammation and rat
unilateral ureteral obstruction renal fibrosis models. A quantitative whole-body
autoradiography study of IW-1973 revealed extensive tissue distribution and
pharmacokinetic studies showed a large volume of distribution and a profile
consistent with predicted once-a-day dosing in humans. In summary, IW-1973 is a
potent, orally available sGC stimulator that exhibits renoprotective, anti
inflammatory, and antifibrotic effects in nonclinical models.
PMID- 29643253
TI - In Vitro-In Vivo Extrapolation of OATP1B-Mediated Drug-Drug Interactions in
Cynomolgus Monkey.
AB - Hepatic organic anion-transporting polypeptides (OATP) 1B1 and 1B3 are clinically
relevant transporters associated with significant drug-drug interactions (DDIs)
and safety concerns. Given that OATP1Bs in cynomolgus monkey share >90% degree of
gene and amino acid sequence homology with human orthologs, we evaluated the in
vitro-in vivo translation of OATP1B-mediated DDI risk using this preclinical
model. In vitro studies using plated cynomolgus monkey hepatocytes showed active
uptake Km values of 2.0 and 3.9 uM for OATP1B probe substrates, pitavastatin and
rosuvastatin, respectively. Rifampicin inhibited pitavastatin and rosuvastatin
active uptake in monkey hepatocytes with IC50 values of 3.0 and 0.54 uM,
respectively, following preincubation with the inhibitor. Intravenous
pharmacokinetics of 2H4-pitavastatin and 2H6-rosuvastatin (0.2 mg/kg) and the
oral pharmacokinetics of cold probes (2 mg/kg) were studied in cynomolgus monkeys
(n = 4) without or with coadministration of single oral ascending doses of
rifampicin (1, 3, 10, and 30 mg/kg). A rifampicin dose-dependent reduction in
i.v. clearance of statins was observed. Additionally, oral pitavastatin and
rosuvastatin plasma exposure increased up to 19- and 15-fold at the highest dose
of rifampicin, respectively. Use of in vitro IC50 obtained following 1 hour
preincubation with rifampicin (0.54 uM) predicted correctly the change in mean
i.v. clearance and oral exposure of statins as a function of mean unbound maximum
plasma concentration of rifampicin. This study demonstrates quantitative
translation of in vitro OATP1B IC50 to predict DDIs using cynomolgus monkey as a
preclinical model and provides further confidence in application of in vitro
hepatocyte data for the prediction of clinical OATP1B-mediated DDIs.
PMID- 29643254
TI - New Targets for Old Drugs: Cardiac Glycosides Inhibit Atrial-Specific K2P3.1
(TASK-1) Channels.
AB - Cardiac glycosides have been used in the treatment of arrhythmias for more than
200 years. Two-pore-domain (K2P) potassium channels regulate cardiac action
potential repolarization. Recently, K2P3.1 [tandem of P domains in a weak inward
rectifying K+ channel (TWIK)-related acid-sensitive K+ channel (TASK)-1] has been
implicated in atrial fibrillation pathophysiology and was suggested as an atrial
selective antiarrhythmic drug target. We hypothesized that blockade of cardiac
K2P channels contributes to the mechanism of action of digitoxin and digoxin. All
functional human K2P channels were screened for interactions with cardiac
glycosides. Human K2P channel subunits were expressed in Xenopus laevis oocytes,
and voltage clamp electrophysiology was used to record K+ currents. Digitoxin
significantly inhibited K2P3.1 and K2P16.1 channels. By contrast, digoxin
displayed isolated inhibitory effects on K2P3.1. K2P3.1 outward currents were
reduced by 80% (digitoxin, 1 Hz) and 78% (digoxin, 1 Hz). Digitoxin inhibited
K2P3.1 currents with an IC50 value of 7.4 uM. Outward rectification properties of
the channel were not affected. Mutagenesis studies revealed that amino acid
residues located at the cytoplasmic site of the K2P3.1 channel pore form parts of
a molecular binding site for cardiac glycosides. In conclusion, cardiac
glycosides target human K2P channels. The antiarrhythmic significance of
repolarizing atrial K2P3.1 current block by digoxin and digitoxin requires
validation in translational and clinical studies.
PMID- 29643252
TI - In Vitro Pharmacological Characterization and In Vivo Validation of LSN3172176 a
Novel M1 Selective Muscarinic Receptor Agonist Tracer Molecule for Positron
Emission Tomography.
AB - In the search for improved symptomatic treatment options for neurodegenerative
and neuropsychiatric diseases, muscarinic acetylcholine M1 receptors (M1 mAChRs)
have received significant attention. Drug development efforts have identified a
number of novel ligands, some of which have advanced to the clinic. However, a
significant issue for progressing these therapeutics is the lack of robust,
translatable, and validated biomarkers. One valuable approach to assessing target
engagement is to use positron emission tomography (PET) tracers. In this study we
describe the pharmacological characterization of a selective M1 agonist amenable
for in vivo tracer studies. We used a novel direct binding assay to identify
nonradiolabeled ligands, including LSN3172176, with the favorable characteristics
required for a PET tracer. In vitro functional and radioligand binding
experiments revealed that LSN3172176 was a potent partial agonist (EC50 2.4-7.0
nM, Emax 43%-73%), displaying binding selectivity for M1 mAChRs (Kd = 1.5 nM)
that was conserved across species (native tissue Kd = 1.02, 2.66, 8, and 1.03 at
mouse, rat, monkey, and human, respectively). Overall selectivity of LSN3172176
appeared to be a product of potency and stabilization of the high-affinity state
of the M1 receptor, relative to other mAChR subtypes (M1 > M2, M4, M5 > M3). In
vivo, use of wild-type and mAChR knockout mice further supported the M1
preferring selectivity profile of LSN3172176 for the M1 receptor (78% reduction
in cortical occupancy in M1 KO mice). These findings support the development of
LSN3172176 as a potential PET tracer for assessment of M1 mAChR target engagement
in the clinic and to further elucidate the function of M1 mAChRs in health and
disease.
PMID- 29643255
TI - Letter by Mojadidi et al Regarding Article, "Closure of Patent Foramen Ovale
Versus Medical Therapy in Patients With Cryptogenic Stroke or Transient Ischemic
Attack: Updated Systematic Review and Meta-Analysis".
PMID- 29643257
TI - Pseudo-Occlusion of the Internal Carotid Artery Predicts Poor Outcome After
Reperfusion Therapy.
AB - BACKGROUND AND PURPOSE: This study aimed to evaluate the occurrence rate of the
internal carotid artery pseudo-occlusion (ICA-PO) on 4-dimensional-computed
tomography angiography and to investigate its relationship with clinical outcome
after reperfusion therapy. METHODS: In this case-control study, we
retrospectively reviewed our prospectively collected database for consecutive
acute ischemic stroke patients who received reperfusion therapy between June 2009
and February 2017. ICA-PO was defined when the arterial segment was not opacified
on peak arterial phase yet was subsequently patent after artery peak phase on 4
dimensional-computed tomography angiography. Poor outcome was defined as 3-month
modified Rankin Scale of 4 to 6. Binary logistic regression was used to
investigate the relationship of ICA-PO with poor outcome and the rate of
reperfusion, respectively. RESULTS: A total of 143 patients with isolated middle
cerebral artery occlusion were included and 30 (21.0%) had ICA-PO. Patients with
ICA-PO were more likely to have poor outcome (80.0% versus 37.2%; P<0.001) and a
lower rate of reperfusion (45.8% versus 69.0%; P=0.033) than those without.
Binary logistic regression revealed that ICA-PO was independently associated with
poor outcome (odds ratio, 7.957; 95% confidence interval, 1.655-34.869; P=0.009)
and reperfusion at 24 hours (odds ratio, 0.150; 95% confidence interval, 0.045
0.500; P=0.002) after adjustment. Among patients with no reperfusion, all ICA-PO
patients obtained poor outcome, whereas only 45.2% non-PO patients underwent poor
outcome (P=0.001). CONCLUSIONS: Four dimensional-computed tomography angiography
is a useful noninvasive technique to identify ICA-PO. Patients with ICA-PO are
prone to undergo poor outcome from reperfusion therapy, especially when
reperfusion is not achieved.
PMID- 29643256
TI - Critical Role of Flavin and Glutathione in Complex I-Mediated Bioenergetic
Failure in Brain Ischemia/Reperfusion Injury.
AB - BACKGROUND AND PURPOSE: Ischemic brain injury is characterized by 2 temporally
distinct but interrelated phases: ischemia (primary energy failure) and
reperfusion (secondary energy failure). Loss of cerebral blood flow leads to
decreased oxygen levels and energy crisis in the ischemic area, initiating a
sequence of pathophysiological events that after reoxygenation lead to
ischemia/reperfusion (I/R) brain damage. Mitochondrial impairment and oxidative
stress are known to be early events in I/R injury. However, the biochemical
mechanisms of mitochondria damage in I/R are not completely understood. METHODS:
We used a mouse model of transient focal cerebral ischemia to investigate acute
I/R-induced changes of mitochondrial function, focusing on mechanisms of primary
and secondary energy failure. RESULTS: Ischemia induced a reversible loss of
flavin mononucleotide from mitochondrial complex I leading to a transient
decrease in its enzymatic activity, which is rapidly reversed on reoxygenation.
Reestablishing blood flow led to a reversible oxidative modification of
mitochondrial complex I thiol residues and inhibition of the enzyme.
Administration of glutathione-ethyl ester at the onset of reperfusion prevented
the decline of complex I activity and was associated with smaller infarct size
and improved neurological outcome, suggesting that decreased oxidation of complex
I thiols during I/R-induced oxidative stress may contribute to the
neuroprotective effect of glutathione ester. CONCLUSIONS: Our results unveil a
key role of mitochondrial complex I in the development of I/R brain injury and
provide the mechanistic basis for the well-established mitochondrial dysfunction
caused by I/R. Targeting the functional integrity of complex I in the early phase
of reperfusion may provide a novel therapeutic strategy to prevent tissue injury
after stroke.
PMID- 29643258
TI - Prevalence of Poststroke Neurocognitive Disorders Using National Institute of
Neurological Disorders and Stroke-Canadian Stroke Network, VASCOG Criteria
(Vascular Behavioral and Cognitive Disorders), and Optimized Criteria of
Cognitive Deficit.
AB - BACKGROUND AND PURPOSE: The prevalence of poststroke neurocognitive disorder
(NCD) has yet to be accurately determined. The primary objective of the present
study was to optimize operationalization of the criterion for NCD by using an
external validity criterion. METHODS: The GRECOG-VASC cohort (Groupe de Reflexion
pour l'Evaluation Cognitive Vasculaire) of 404 stroke patients with cerebral
infarct (91.3%) or hemorrhage (18.7%) was assessed 6 months poststroke and 1003
healthy controls, with the National Institute of Neurological Disorders and
Stroke-Canadian Stroke Network standardized battery. Three dimensions of the
criterion for cognitive impairment were systematically examined by using the
false-positive rate as an external validity criterion. Diagnosis of mild and
major NCD was based on the VASCOG criteria (Vascular Behavioral and Cognitive
Disorders). The mechanisms of functional decline were systematically assessed.
RESULTS: The optimal criterion for cognitive impairment was the shortened summary
score (ie, averaged performance for action speed, executive functions, and
language) because it was associated with the highest (P=0.0001) corrected true
positive rate (43.5%) and a false-positive rate <=5%. Using this criterion, the
mean (95% confidence interval) prevalence of poststroke NCD was 49.5% (44.6
54.4), most of which corresponded to mild NCD (39.1%; 95% confidence interval,
34.4-43.9) rather than dementia (10.4%; 95% confidence interval, 7.4-13.4).
CONCLUSIONS: This study is the first to have optimized the operationalization of
the criterion for poststroke cognitive impairment. It documented the prevalence
of poststroke NCD in the GRECOG-VASC cohort and showed that mild cognitive
impairment accounts for 80% of the affected patients. Finally, the method
developed in the present study offers a means of harmonizing the diagnosis of
NCD. CLINICAL TRIAL REGISTRATION: URL: https://www.clinicaltrials.gov. Unique
identifier: NCT01339195.
PMID- 29643259
TI - Cerebrovascular Accidents During Mechanical Circulatory Support: New Predictors
of Ischemic and Hemorrhagic Strokes and Outcome.
AB - BACKGROUND AND PURPOSE: Left ventricular assist devices (LVADs) have emerged as
an effective treatment for patients with advanced heart failure refractory to
medical therapy. Post-LVAD strokes are an important cause of morbidity and
reduced quality of life. Data on risks that distinguish between ischemic and
hemorrhagic post-LVAD strokes are limited. The aim of this study was to determine
the incidence of post-LVAD ischemic and hemorrhagic strokes, their association
with stroke risk factors, and their effect on mortality. METHODS: Data are
collected prospectively on all patients with LVADs implanted at Brigham and
Women's Hospital. We added retrospectively collected clinical data for these
analyses. RESULTS: From 2007 to 2016, 183 patients (median age, 57; 80% male)
underwent implantation of HeartMate II LVAD as a bridge to transplant (52%),
destination therapy (39%), or bridge to transplant candidacy (8%). A total of 48
strokes occurred in 39 patients (21%): 28 acute ischemic strokes in 24 patients
(13%) and 20 intracerebral hemorrhages in 19 patients (10.3%). First events
occurred at a median of 238 days from implantation (interquartile range, 93-515)
among those who developed post-LVAD stroke. All but 9 patients (4.9%) were on
warfarin (goal international normalized ratio, 2-3.5) and all received aspirin
(81-325 mg). Patients with chronic obstructive pulmonary disease were more likely
to have an ischemic stroke (odds ratio, 2.96; 95% confidence interval, 1.14
7.70). Dialysis-dependent patients showed a trend toward a higher risk of
hemorrhagic stroke (odds ratio, 6.31; 95% confidence interval, 0.99-40.47).
Hemorrhagic stroke was associated with higher mortality (odds ratio, 3.92; 95%
confidence interval, 1.34-11.45) than ischemic stroke (odds ratio, 3.17; 95%
confidence interval, 1.13-8.85). CONCLUSIONS: Stroke is a major cause of
morbidity and mortality in patients on LVAD support. Chronic obstructive
pulmonary disease increases the risk of ischemic stroke, whereas dialysis may
increase the risk of hemorrhagic stroke. Although any stroke increases mortality,
post-LVAD hemorrhagic stroke was associated with higher mortality compared with
ischemic stroke.
PMID- 29643260
TI - Letter by Doshi et al Regarding Article, "Closure of Patent Foramen Ovale Versus
Medical Therapy in Patients With Cryptogenic Stroke or Transient Ischemic Attack:
Updated Systematic Review and Meta-Analysis".
PMID- 29643262
TI - Response by Ntaios et al to Letter Regarding Article, "Closure of Patent Foramen
Ovale Versus Medical Therapy in Patients With Cryptogenic Stroke or Transient
Ischemic Attack: Updated Systematic Review and Meta-Analysis".
PMID- 29643261
TI - Primary Results of the Multicenter ARISE II Study (Analysis of Revascularization
in Ischemic Stroke With EmboTrap).
AB - BACKGROUND AND PURPOSE: EmboTrap is a novel stent retriever designed to achieve
rapid and substantial flow restoration in acute ischemic stroke secondary to
large-vessel occlusions. Here, we evaluated EmboTrap's safety and efficacy
compared with established stent retrievers. METHODS: ARISE II (Analysis of
Revascularization in Ischemic Stroke With EmboTrap) was a single-arm,
prospective, multicenter study, comparing the EmboTrap device to a composite
performance goal criterion derived using a Bayesian meta-analysis from the
pivotal SWIFT (Solitaire device) and TREVO 2 (Trevo device) trials. Patients at
11 US and 8 European sites were eligible for inclusion if they had large-vessel
occlusions and moderate-to-severe neurological deficits within 8 hours of symptom
onset. The primary efficacy end point was achievement of modified Thrombolysis in
Cerebral Ischemia (mTICI) reperfusion scores of >=2b within 3 EmboTrap passes as
adjudicated by the core laboratory. The primary safety end point was a composite
of symptomatic intracerebral hemorrhage and serious adverse device effects.
Secondary end points included functional independence (modified Rankin Scale, 0
2) and all-cause mortality at 90 days. RESULTS: Between October 2015 and February
2017, 227 patients were enrolled and treated with the EmboTrap device. The
primary efficacy end point (mTICI >=2b within 3 passes) was achieved in 80.2%
(95% confidence interval, 74%-85% versus 56% performance goal criterion; P value,
<0.0001), and mTICI 2c/3 was 65%. After all interventions, mTICI 2c/3 was
achieved in 76%, and mTICI >=2b was 92.5%. The rate of first pass (mTICI >=2b
following a single pass) was 51.5%. The primary safety end point composite rate
of symptomatic intracerebral hemorrhage or serious adverse device effects was
5.3%. Functional independence and all-cause mortality at 90 days were 67% and 9%,
respectively. CONCLUSIONS: The EmboTrap stent-retriever mechanical thrombectomy
device demonstrated high rates of substantial reperfusion and functional
independence in patients with acute ischemic stroke secondary to large-vessel
occlusions. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov.
Unique identifier: NCT02488915.
PMID- 29643263
TI - Health State Utilities for Patient's Current Health from Bipolar Type I Disorder.
AB - BACKGROUND: Bipolar Type I Disorder (BID) is a disabling mental disorder among
young adults that places enormous psychological, social, and economic burdens on
patients, their families, and health care systems and decreases quality of life
(QOL). Few studies have investigated the quality-adjusted life-years (QALY),
health state preferences, and utilities in patients with BID. AIM OF STUDY: The
aim of this study was to elicit health state utilities for current health among a
sample of individuals with BID irrespective of their clinical conditions at the
time of evaluation. METHODS: One hundred individuals with BID were consecutively
enrolled in this cross-sectional study. Preferences were elicited from patients
with visual analogous scale (VAS) and time trade-off (TTO). To assess quality of
life, the Farsi version of the World Health Organization's QOL Instrument-Short
Version (WHOQOL-BREF) was used. In addition, health state was assessed with the
Short Form-36 (SF-36) health survey, and then a specially- derived reduced
version of the SF-36 (the 'SF-6D') was calculated as an alternative to existing
preference-based measures of health for conducting economic evaluation of various
interventions. Moreover, several clinical measures were administered to
participants. RESULTS: The mean (S.D.) VAS, TTO, and SF-6D utility scores were
0.59 (0.21), 0.44 (0.33), and 0.61 (0.11), respectively. There were significant
associations of most selected clinical characteristics with VAS and TTO scores.
Additionally, there were strong correlations between all domains of WHOQOL-BREF
and VAS scores as well as moderate to strong correlations with TTO scores.
Furthermore, there were strong correlations between all scales of SF-36 scores
and VAS scores as well as moderate to strong correlations between the scales of
SF-36 scores and TTO scores. DISCUSSION: The current study showed that even
unstable patients could evaluate their own health states. Furthermore, the
present study showed substantial decrements in health-related life preferences
among persons with BID. Additionally, the patients with most recent depressive or
mixed episodes reported lower VAS scores than those with most recent manic
episodes. LIMITATIONS: This study was performed on a group of patients with BID
in a referral psychiatric center. This sample can potentially make a selection
bias. Furthermore, this study was cross-sectional. IMPLICATIONS FOR HEALTH CARE
PROVISION AND USE: Generally, clinical features could explain more than half of
the variances in VAS utility scores. Among all clinical features, severity of
symptoms and duration of disease were among the main factors significantly
associated with the utility decreases. IMPLICATION FOR HEALTH POLICIES: The
present study data provide health state preferences useful for cost-utility and
outcome-modeling studies as well as health policy and decision-making. Also, the
evaluations were partially affected by severity of symptoms. Therefore, utilities
obtained in this study can be utilized to develop QALY and provide utility values
that can be used in economic models for cost-utility studies. IMPLICATIONS FOR
FURTHER RESEARCH: The comparison of the utility in a group of patients in
different mood episodes and in their controlled periods and calculating the
proportion of each episode to total duration of disease and to the patient's life
span in future investigations may add crucial information to the present
knowledge. The evaluation of biological and non-biological therapies by measuring
utility and health value as health output indicators is strongly suggested.
PMID- 29643264
TI - How does Economic Recession Affect Substance Use? A Reality Check with Clients of
Drug Treatment Centres.
AB - INTRODUCTION: The relationship between economic downturns and substance use has
been studied in numerous economic crises occurring worldwide, but the precise
relationship between the two remains unclear. AIMS: The aim of the present study
was to undertake a survey on behaviour and perspectives related to the latest
European economic crisis among illegal drug users attending substance treatment
services. DESIGN AND METHODS: We conducted a questionnaire-based survey in drug
dependence treatment settings, in three geographically different jurisdictions
(England, Catalonia and Poland), including 180 drug users. RESULTS: Most of the
participants of the survey (58.3%) reported an increase in drug use during the
crisis, compared with only 25.6% of the sample who reported a decrease in drug
use. The main reason given for increasing drug use was greater amount of free
time available. Other important reasons were greater substance availability
during this period, more stress at work and seeking comfort in response to the
loss of a stable source of income, social status and/or family. Those who
reported cutting down on the amount of drug use during the economic recession,
reported economic difficulties as the main reason. Other important factors were
family and friends' economic problems and the fear of losing their job. Illegal
drug use reduction was compensated by increased smoking in 46.3% of the patients,
and increased alcohol use in 39.4%. DISCUSSION AND CONCLUSIONS: While this result
has potential interest for those developing policies and interventions to reduce
drug-related harm, longitudinal studies and future research involving a broader
population of drug-users (including those not in treatment) could shed further
light on these behavioural mechanisms linking changes in drug use with economic
recessions.
PMID- 29643265
TI - Thirty-day Readmission Rates and Associated Factors: A Multilevel Analysis of
Practice Variations in French Public Psychiatry.
AB - BACKGROUND: Inpatient psychiatric readmissions are often used as an indicator of
the quality of care and their reduction is in line with international
recommendations for mental health care. Research on variations in inpatient
readmission rates among mental health care providers is therefore of key
importance as these variations can impact equity, quality and efficiency of care
when they do not result from differences in patients' needs. AIMS OF THE STUDY:
Our objectives were first to describe variations in inpatient readmission rates
between public mental health care providers in France on a nationwide scale, and
second, to identify their association with patient, health care providers and
environment characteristics. METHODS: We carried out a study for the year 2012
using data from ten administrative national databases. 30-day readmissions in
inpatient care were identified in the French national psychiatric discharge
database. Variations were described numerically and graphically between French
psychiatric sectors and factors associated with these variations were identified
by carrying out a multi-level logistic regression accounting for the hierarchical
structure of the data. RESULTS: Significant practice variations in 30-day
inpatient readmission rates were observed with a coefficient of variation above
50%. While a majority of those variations was related to differences within
sectors, individual patient characteristics explained a lower part of the
variations resulting from differences between sectors than the characteristics of
sectors and of their environment. In particular, an increase in the mortality
rate and in the acute admission rate for somatic disorders in sectors' catchment
area was associated with a decrease in the probability of 30-day readmission.
Similarly, an increase in the number of psychiatric inpatient beds in private for
profit hospitals per 1,000 inhabitants in sectors' catchment area was associated
with a decrease in this probability, which also varied with overall sectors' case
mix characteristics and with the level of urbanisation of the area. DISCUSSION:
The extent of the variations and the factors associated with it question the
adequacy of care and suggest that some of them may be unwarranted. Our findings
should however be interpreted in consideration of several limits inherent to data
quality and availability as we relied on information from administrative
databases. While we considered a wide range of factors potentially associated
with variations in 30-day readmissions, our model indeed only explained a limited
part of the variations resulting from differences between sectors. IMPLICATIONS
FOR HEALTH POLICIES: Our findings underscored that practice variations in
psychiatry are a reality that merits the full attention of decision makers as
they can impact the quality, equity and efficiency of care. A specific data
system should be established to monitor practice variations in routine to promote
transparency and accountability. IMPLICATIONS FOR FURTHER RESEARCH: Few
associations were found between variations in 30-day inpatient readmissions and
the supply of care. The routine collection of detailed organizational
characteristics of health care providers at a national level should be supported
to facilitate additional research work, both in France and in other contexts.
PMID- 29643266
TI - Changes in the Utilization of Mental Health Care Services and Mental Health at
the Onset of Medicare.
AB - BACKGROUND: The onset of Medicare eligibility at age 65 in the U.S. is
accompanied by significant changes in health insurance coverage rates. This
presents a unique opportunity to study the interaction among health insurance,
health care utilization, and health outcomes. AIMS: This study examines if
changes in mental health outcomes accompany the changes in health insurance
coverage rates at age 65. METHODS: 2006-2013 data from the Sample Adult and
Person File components of the National Health Insurance Survey are used to
explore the link between the onset of Medicare and the utilization of mental
health care services and mental health. A regression discontinuity design is
employed to test for changes in perceived financial barriers to mental health
care, visits with mental health professionals, and self-reported mental health.
In addition to identifying the overall effect, analysis is also conducted on
samples that are stratified by level of education to test for heterogeneous
treatment effects across socioeconomic groups. RESULTS: The coverage changes that
occur at age 65 are associated with a substantial decline in self-reported
financial barriers to receiving mental health care. This effect is greatest among
individuals from lower socioeconomic backgrounds. Despite the decline in the
percentage of adults claiming they did not obtain mental health care services
because of prohibitive costs, no significant changes in mental health visits or
self-reported mental health are identified. The implementation of lower cost
sharing requirements for outpatient mental health care through the Medicare
Patients and Providers Act of 2008 (MIPPA) has had no statistically significant
effect on mental health visits at the age 65 cutoff for Medicare eligibility.
DISCUSSION: There is no estimated change in mental health visits, yet prohibitive
costs of mental health care decline, especially among individuals from lower
socioeconomic groups. These findings may be the result of newly eligible Medicare
enrollees either increasing their utilization of mental health visits on the
intensive margin, obtaining alternative sources of treatment for mental illness,
or facing other barriers to care that are unrelated to costs. Additionally,
estimates pertaining to mental health visits are imprecise, and large changes
relative to age 64 means cannot be ruled out. IMPLICATIONS FOR HEALTH CARE
PROVISION AND USE: There is no evidence that gaining health insurance coverage at
age 65 results in increased visits with mental health professionals on the
extensive margin. IMPLICATIONS FOR HEALTH POLICY: For the previously uninsured
and under-insured, the onset of Medicare coverage at age 65 results in a
reduction in cost-sharing requirements for mental health care. These reductions
have no clear effect on overall mental health visit rates. Although the 2010
implementation of MIPPA has gradually lowered cost-sharing requirements for
outpatient mental health care, these changes have not affected mental health
visits at age 65. IMPLICATIONS FOR FURTHER RESEARCH: Future research that
evaluates whether additional factors, such as residing in a mental health
shortage area, can explain the imprecise estimate on mental health visits would
be useful. Additionally, future studies that examine the interaction between
private insurance and Medicare coverage would better explain the dynamic changes
that occur at age 65, and how shifting coverage patterns interact with mental
health care utilization rates.
PMID- 29643267
TI - Measurement of breath acetone in patients referred for an oral glucose tolerance
test.
AB - Breath acetone concentrations were measured in 141 subjects (aged 19-91 years,
mean = 59.11 years, standard deviation = 12.99 years), male and female,
undergoing an oral glucose tolerance test (OGTT), having been referred to clinic
on suspicion of type 2 diabetes. Breath samples were measured using an ion
molecule-reaction mass spectrometer, at the commencement of the OGTT, and after 1
and 2 h. Subjects were asked to observe the normal routine before and during the
OGTT, which includes an overnight fast and ingestion of 75 g glucose at the
beginning of the routine. Several groups of diagnosis were identified: type 2
diabetes mellitus positive (T2DM), n = 22; impaired glucose intolerance (IGT), n
= 33; impaired fasting glucose, n = 14; and reactive hypoglycaemia, n = 5. The
subjects with no diagnosis (i.e. normoglycaemia) were used as a control group, n
= 67. Distributions of breath acetone are presented for the different groups.
There was no evidence of a direct relationship between blood glucose (BG) and
acetone measurements at any time during the study (0 h: p = 0.4482; 1 h: p =
0.6854; and 2 h: p = 0.1858). Nor were there significant differences between the
measurements of breath acetone for the control group and the T2DM group (0 h: p =
0.1759; 1 h: p = 0.4521; and 2 h: p = 0.7343). However, the ratio of breath
acetone at 1 h to the initial breath acetone was found to be significantly
different for the T2DM group compared to both the control and IGT groups (p =
0.0189 and 0.011, respectively). The T2DM group was also found to be different in
terms of ratio of breath acetone after 1 h to that at 2 h during the OGTT. And
was distinctive in that it showed a significant dependence upon the level of BG
at 2 h (p = 0.0146). We conclude that single measurements of the concentrations
of breath acetone cannot be used as a potential screening diagnostic for T2DM
diabetes in this cohort, but monitoring the evolution of breath acetone could
open a non-invasive window to aid in the diagnosis of metabolic conditions.
PMID- 29643268
TI - Proposed cut-off value of CA19-9 for detecting pancreatic cancer in patients with
diabetes: a case-control study.
AB - Pancreatic cancer is a highly lethal malignancy. CA19-9 is a well-known marker
for diagnosis of pancreatic cancer, but the serum CA19-9 level is reported to be
elevated in patients with poorly controlled diabetes. This study evaluated the
sensitivity, specificity, and cut-off value of serum CA19-9 for detection of
pancreatic cancer in patients with diabetes. A case-control study of 236 patients
was performed. The case group was selected from diabetic patients with pancreatic
cancer, while one control was selected for each case from among diabetic patients
without pancreatic cancer during the same period. The case group (n = 118) and
the control group (n = 118) were matched for age, sex, and pancreatic cancer risk
factors. Receiver operating characteristic (ROC) curves were plotted to determine
the serum CA19-9 level that predicted pancreatic cancer. Then the sensitivity and
specificity of CA19-9 were calculated for the threshold value. There were no
significant differences of age, sex, BMI, smoking, alcohol intake, and HbA1c
between the case and control groups. According to ROC analysis, a serum CA19-9
level of 75 U/mL had the maximum sensitivity and specificity for separating
diabetic patients with or without pancreatic cancer. Using this cut-off value,
the sensitivity and specificity of CA19-9 for pancreatic cancer was 69.5% and
98.2%, respectively, while the area under the ROC curve was 0.875 [95%CI: 0.826
0.924]. We propose that a serum CA19-9 level of 75 U/mL should be used as the cut
off value when screening patients with diabetes for pancreatic cancer.
PMID- 29643269
TI - Signature of positive selection in mitochondrial DNA in Cetartiodactyla.
AB - Acceleration of the amino acid substitution rate is a good indicator of positive
selection in adaptive evolutionary changes of functional genes. Genomic
information about mammals has become readily available in recent years, as many
researchers have attempted to clarify the adaptive evolution of mammals by
examining evolutionary rate change based on multiple loci. The order
Cetartiodactyla (Artiodactyla and Cetacea) is one of the most diverse orders of
mammals. Species in this order are found throughout all continents and seas,
except Antarctica, and they exhibit wide variation in morphology and habitat.
Here, we focused on the metabolism-related genes of mitochondrial DNA (mtDNA) in
species of the order Cetartiodactyla using 191 mtDNA sequences available in
databases. Based on comparisons of the dN/dS ratio (omega) in 12 protein-coding
genes, ATP8 was shown to have a higher omega value (omega = 0.247) throughout
Cetartiodactyla than the other 11 genes (omega < 0.05). In a branch-site analysis
of ATP8 sequences, a markedly higher omega value of 0.801 was observed in the
ancestral lineage of the clade of Cetacea, which is indicative of adaptive
evolution. Through efforts to detect positively selected amino acids, codon
positions 52 and 54 of ATP8 were shown to have experienced positive selective
pressure during the course of evolution; multiple substitutions have occurred at
these sites throughout the cetacean lineage. At position 52, glutamic acid was
replaced with asparagine, and, at position 54, lysine was replaced with non
charged amino acids. These sites are conserved in most Artiodactyla. These
results imply that the ancestor of cetaceans underwent accelerated amino acid
changes in ATP8 and replacements at codons 52 and 54, which adjusted metabolism
to adapt to the marine environment.
PMID- 29643271
TI - Japan Diet Intake Changes Serum Phospholipid Fatty Acid Compositions in Middle
Aged Men: A Pilot Study.
AB - AIM: The Japan Diet nutritional education program effects on serum fatty acid
compositions for prevention of atherosclerotic cardiovascular disease in middle
aged men brought up in the westernized dietary environment of modern Japan were
examined. METHODS: Thirty-three men, 30-49 years of age, attended a nutrition
education class and were recommended to consume Japan Diet volumes (more fish,
soybeans and soy products, vegetables, seaweed, konjak, mushrooms, and unrefined
cereals and less animal fat, meat and poultry with fat, sweets, desserts and
snacks, and alcoholic drinks) for 6 weeks. Three-day weighted dietary records
were kept, and fatty acid intakes were calculated. Serum phospholipid fatty acid
compositions were examined. RESULTS: During the 6 weeks, fish, soy, and seaweed
and/or mushrooms and/or konjak were consumed 1.0, 1.1, and 1.0 times daily on
average, whereas daily fatty meat and poultry and sweet consumptions were 0.3 and
0.3, respectively. These changes were attributed to increased intake of n-3
polyunsaturated fatty acids (PUFAs) such as C20:5(n-3), C22:6(n-3), C18:4(n-3),
and C20:4(n-3) and decreased intakes of all saturated fatty acids and unsaturated
fatty acids such as C18:1 and C18:2(n-6). As to the phospholipid fatty acid
composition, C18:0 decreased, whereas C15:0, C17:0, and C20:0 increased. Marked
increases in C20:5(n-3) and C22:6(n-3) raised total n-3 PUFA from 10.30% to
13.20% along with n-6 PUFA decreasing from 33.92% to 31.16%. Despite decreases in
C20:4(n-6) and C20:3(n-6), the C20:4(n-6)/C20:3(n-6) ratio used as an estimate of
delta-5 desaturase activities increased and correlated positively with fish
intake at completion of the intervention. CONCLUSIONS: The Japan Diet is
effective for changing the fatty acids to an anti-atherosclerotic profile.The
clinical trial registration number: UMIN000020639.
PMID- 29643270
TI - Comparison of personal air benzene and urine t,t-muconic acid as a benzene
exposure surrogate during turnaround maintenance in petrochemical plants.
AB - Previous studies have shown that biomarkers of chemicals with long half-lives may
be better surrogates of exposure for epidemiological analyses, leading to less
attenuation of the exposure-disease association, than personal air samples.
However, chemicals with short half-lives have shown inconsistent results. In the
present study, we compared pairs of personal air benzene and its short-half-life
urinary metabolite trans,trans-muconic acid (t,t-MA), and predicted attenuation
bias of theoretical exposure-disease association. Total 669 pairs of personal air
benzene and urine t,t-MA samples were taken from 474 male workers during
turnaround maintenance operations held in seven petrochemical plants. Maintenance
jobs were classified into 13 groups. Variance components were calculated for
personal air benzene and urine t,t-MA separately to estimate the attenuation of
the theoretical exposure-disease association. Personal air benzene and urine t,t
MA showed similar attenuation of the theoretical exposure-disease association.
Analyses for repeated measurements showed similar results, while in analyses for
values above the limits of detection (LODs), urine t,t-MA showed less attenuation
of the theoretical exposure-disease association than personal air benzene. Our
findings suggest that there may be no significant difference in attenuation bias
when personal air benzene or urine t,t-MA is used as a surrogate for benzene
exposure.
PMID- 29643272
TI - Development of completely dispersed cellulose nanofibers.
AB - Plant cellulose fibers of width and length ~0.03 mm and ~3 mm, respectively, can
be completely converted to individual cellulose nanofibers of width and length ~3
nm and ~1 um, respectively, by 2,2,6,6-tetramethylpiperidine-1-oxyl radical
(TEMPO)-mediated oxidation under aqueous conditions and subsequent gentle
mechanical disintegration of the oxidized cellulose in water. The obtained TEMPO
oxidized cellulose nanofibers (TOCNs) are new bio-based, crystalline
nanomaterials with applications in the high-tech and commodity product
industries. Sodium carboxylate groups, which are densely, regularly, and position
selectively present on the crystalline TOCN surfaces, can be efficiently ion
exchanged with other metal and alkylammonium carboxylate groups in water to
control the biodegradable/stable and hydrophilic/hydrophobic properties of the
TOCNs. TOCNs are therefore promising nanomaterials that can be prepared from the
abundant wood biomass resources present in Japan. Increased production and use of
TOCNs would stimulate a new material stream from forestry to industries, helping
to establish a sustainable society based on wood biomass resources.
PMID- 29643274
TI - Hidden Peptides Encoded by Putative Noncoding RNAs.
AB - Although the definition of a noncoding RNA (ncRNA) is an RNA molecule that does
not encode a protein, recent evidence has revealed that some ncRNAs are indeed
translated to give rise to small polypeptides (usually containing fewer than 100
amino acids). Despite their small size, however, these peptides are often
biologically relevant in that they are required for a variety of cellular
processes. In this review, we summarize the production and functions of peptides
that have been recently identified as translation products of putative ncRNAs.Key
words: long noncoding RNA (lncRNA), circular RNA (circRNA), primary miRNA (pri
miRNA), translation, peptide.
PMID- 29643275
TI - Hypomethylation of the Interferon gamma Gene as a Potential Risk Factor for
Essential Hypertension: A Case-Control Study.
AB - Essential hypertension (EH) is a multifactorial disease. Interferon-gamma (IFN
gamma) plays an important role in the onset of EH through cytokine-mediated
systemic inflammatory responses. We aimed to determine whether the methylation
status of the IFN-gamma gene (IFNG) promoter is involved in the pathogenesis of
EH. Six copies of CpG dinucleotides are distributed between 3,203 bp and 3,121 bp
upstream from the transcription initiation site of IFNG, termed CpG1 to CpG6 in
the 5'-to-3' direction. We recruited 96 patients with EH and 96 sex- and age
matched healthy subjects as controls. Using bisulfate pyrosequencing datasets, we
analyzed the methylation status of the six CpG sites and thus found that CpG5 was
consistently methylated in all of the 96 EH patients and 96 control subjects.
Among the remaining five CpG sites, there was no significant difference in the
methylation levels of CpG4 and CpG6 between the two groups. By contrast, CpG1 (P
= 0.003) and CpG3 (P = 5.87 * 10-7) were highly methylated among the EH subjects
compared with the controls, whereas CpG2 (P = 1.24 * 10-12) was significantly
less methylated in among EH subjects. The methylation levels of CpG2 were still
lower after adjustment with logistic regression (adjusted P = 0.032). The CpG2
methylation level was an effective marker of EH (area under curve = 0.384; P =
1.40 * 10-15). The present study shows that hypomethylation of the IFNG promoter
is significantly related to the risk of EH, providing new insights into the
pathogenesis of EH.
PMID- 29643273
TI - MPF-based meiotic cell cycle control: Half a century of lessons from starfish
oocytes.
AB - In metazoans that undergo sexual reproduction, genomic inheritance is ensured by
two distinct types of cell cycle, mitosis and meiosis. Mitosis maintains the
genomic ploidy in somatic cells reproducing within a generation, whereas meiosis
reduces by half the ploidy in germ cells to prepare for successive generations.
The meiotic cell cycle is believed to be a derived form of the mitotic cell
cycle; however, the molecular mechanisms underlying both of these processes
remain elusive. My laboratory has long studied the meiotic cell cycle in starfish
oocytes, particularly the control of meiotic M-phase by maturation- or M phase
promoting factor (MPF) and the kinase cyclin B-associated Cdk1 (cyclin B-Cdk1).
Using this system, we have unraveled the molecular principles conserved in
metazoans that modify M-phase progression from the mitotic type to the meiotic
type needed to produce a haploid genome. Furthermore, we have solved a long
standing enigma concerning the molecular identity of MPF, a universal inducer of
M-phase both in mitosis and meiosis of eukaryotic cells.
PMID- 29643276
TI - Expression of Placenta Growth Factor Is Associated with Unfavorable Prognosis of
Advanced-Stage Serous Ovarian Cancer.
AB - Ovarian cancer is the fourth leading cause of cancer death in women and the most
fatal gynecologic malignancy. Placenta growth factor (PGF), a member of the
vascular endothelial growth factor, plays an important role in angiogenesis. The
overexpression of PGF was observed in several types of cancers, but the clinical
significance of PGF in epithelial ovarian cancer (EOC) is still unknown. To
explore the prognostic value of PGF among patients with serous EOC, we analyzed
the expression of PGF in 89 EOC specimens by immunohistochemistry. The scoring
system of immunohistochemistry was based on the staining intensity and the
percentage of PGF-positive cells in each EOC tissue. According to the
immunohistochemical score, 34 patients with score >= 6 were defined as high PGF
expression, and other 55 patients were the group with low PGF expression. The
prognostic significance of PGF expression was analyzed. EOC patients with higher
IHC scores of PGF expression are significantly associated with positive lymphatic
invasion and poorer response to chemotherapy. Patients with higher IHC scores of
PGF expression had poorer response to chemotherapy and lower overall survival
rate. Additionally, the positive lymph node metastasis, advanced TNM stage, and
poorer response to chemotherapy were all remarkably correlated to poorer
prognosis. In conclusion, patients with higher PGF in EOC tissues were more
predisposed to positive lymphatic invasion, poorer response to chemotherapy and
unfavorable prognosis of patients with serous EOC. We propose that PGF expression
may be predictive of chemoresistance and poor prognosis of serous EOC.
PMID- 29643277
TI - The Characteristics of Coaches that Verbally or Physically Abuse Young Athletes.
AB - Verbal or physical abuse from coaches has a negative impact on young athletes. To
prevent abuse against young athletes, it is important to know the characteristics
of abusive coaches. This study aimed to elucidate the characteristics of coaches
who commit verbal or physical abuse in youth sports teams. A cross-sectional
study was conducted with coaches of youth sport teams in Miyagi prefecture, Japan
(n = 1,283), using a self-reported questionnaire (response rate was 24.0%).
Multivariate logistic regression models were used for analyses. The prevalence of
verbal and physical abuse towards young athletes was 64.7% (n = 830) and 6.2% (n
= 79), respectively. Verbal abuse was significantly associated with lower
educational attainment (odds ratio (OR): 1.32, 95% confidence interval (95% CI):
1.03-1.69), experiences of verbal abuse by own coaches (OR: 1.85, 95% CI: 1.37
2.50), acceptability for verbal or physical abuse (OR: 2.53, 95% CI: 1.82-3.52),
and dissatisfaction with athletes' attitude (OR: 1.62, 95% CI: 1.26-2.07).
Physical abuse was significantly associated with experiences of physical abuse by
respondents' coaches (OR: 2.71, 95% CI: 1.50-4.92), acceptability for verbal or
physical abuse (OR: 3.89, 95% CI: 2.39-6.33), and longer experience of coaching
in years (OR: 2.45, 95% CI: 1.20-4.98). The results of this study show that
coaches who commit verbal or physical abuse had typically experienced abuse from
their former coaches, and adopted a similar style. Breaking the negative cycle of
verbal and physical abuse is necessary to eliminate the abuse of young athletes.
PMID- 29643278
TI - Absolute Myocardial Blood Flow After Elective Percutaneous Coronary Intervention
Evaluated on Phase-Contrast Cine Cardiovascular Magnetic Resonance Imaging.
AB - BACKGROUND: Few studies have documented changes in myocardial blood flow (MBF)
after percutaneous coronary intervention (PCI). Phase-contrast cine
cardiovascular MRI (PC-CCMR) of the coronary sinus (CS) is a promising approach
to quantify MBF. The aim of this study was to quantify CS flow (CSF) on PC-CCMR
as a measure of volumetric MBF before and after elective PCI.Methods and
Results:We prospectively studied 34 patients with stable angina undergoing
elective PCI for a single de novo lesion. Breath-hold PC-CCMR of CS was acquired
to assess CSF and coronary flow reserve (CFR) at rest and during maximum
hyperemia both before and after PCI (median, 3 days before PCI and 10 days after
PCI, respectively). In total, hyperemic CSF increased significantly after PCI
(before PCI, median, 2.3 mL/min/g [IQR, 1.5-3.2 mL/min/g] after PCI, 3.0 [1.8
3.7] mL/min/g), although 13 patients (38.2%) had a decrease despite successful
PCI and fractional flow reserve (FFR) improvement. Global CFR also significantly
increased from a median of 2.5 (IQR, 1.5-3.5) to 3.4 (IQR, 2.1-4.2), whereas 12
patients had decreased CFR after PCI. Pre-PCI hyperemic CSF was the only
independent factor of change in CSF following PCI. CONCLUSIONS: Serial PC-CCMR of
CS as a measure of change in absolute MBF is feasible. Uncomplicated PCI does not
necessarily increase hyperemic global MBF, despite regional FFR improvement.
PMID- 29643279
TI - Comparison of Clinical Outcomes Between Ticagrelor and Prasugrel in Patients With
ST-Segment Elevation Myocardial Infarction - Results From the Korea Acute
Myocardial Infarction Registry-National Institutes of Health.
AB - BACKGROUND: There is little information regarding comparison of ticagrelor and
prasugrel in patients with ST-segment elevation myocardial infarction (STEMI). We
sought to compare clinical outcomes between ticagrelor and prasugrel in
STEMI.Methods and Results:A total of 1,440 patients with STEMI who underwent
successful primary percutaneous coronary intervention were analyzed; the data
were obtained from the Korea Acute Myocardial Infarction Registry-National
Institutes of Health. Of the patients, 963 received ticagrelor, and 477 received
prasugrel. The primary study endpoint was 12-month major adverse cardiac events
(MACE), including cardiac death, myocardial infarction (MI), and target vessel
revascularization (TVR). MACE occurred in 91 patients (6.3%) over the 1-year
follow-up, and there were no differences in the incidence of MACE (hazard ratio
[HR] 1.20, 95% confidence interval [CI] 0.76-1.91, P=0.438) between the 2 groups.
Analysis by propensity score matching (429 pairs) did not significantly affect
the results. The incidence of in-hospital major bleeding events was still
comparable between the 2 groups (2.4% vs. 2.5%, odds ratio 0.75, 95% CI 0.30
1.86, P=0.532), and there was no significant difference in the incidence of MACE
(5.4% vs. 5.8%, HR 0.98, 95% CI 0.56-1.74, P=0.951) after matching. CONCLUSIONS:
Ticagrelor and prasugrel showed similar efficacy and safety profiles for treating
STEMI in this Korean multicenter registry.
PMID- 29643280
TI - Molecular diversity of the faecal microbiota of Toy Poodles in Japan.
AB - The intestinal microbiota was revealed with the recent advances in molecular
techniques, such as high-throughput sequencing analysis. As a result, the
microbial changes are thought to influence the health of humans and animals and
such changes are affected by several factors including diet, genetics, age, sex,
and diseases. Similar studies are being conducted in dogs, and the knowledge of
intestinal microbiota in dogs is expanding. Nonetheless, basic information on
intestinal microbiota in dogs is less than that of humans. Our aim was to study
toy poodles (n=21), a popular companion dog, in terms of basic characteristics of
the faecal microbiota by 16S rRNA gene barcoding analysis. In the faecal
microbiota, Firmicutes, Bacteroidetes, Proteobacteria, and Fusobacteria were the
dominant phyla (over 93.4% of faecal microbiota) regardless of the attributes of
the dogs. In family level, Enterobacteriaceae, Bacteroidaceae, and
Lachnospiraceae were most prevalent. In case of a dog with protein-losing
enteropathy, the diversity of faecal microbiota was different between before and
after treatment. This study provides basic information for studying on faecal
microbiota in toy poodles.
PMID- 29643281
TI - Absence of histopathological changes in the retina of zebrafish treated with
sodium iodate.
AB - In ophthalmological research, the use of zebrafish to investigate visual
behaviors has been increasing, but can produce misleading, false-positive results
if compounds adversely affect their motor functions or central nervous system.
Therefore, histological analysis to identify a target organ is important in
zebrafish toxicity assay. We investigated the retinal degeneration in zebrafish,
using typical retinal toxicants, mainly sodium iodate and N-methyl-N-nitrosourea
(MNU). No histopathological changes were found after sodium iodate exposure at
1.0 mM for 5 or 7 days in the retina of larval, juvenile, and adult zebrafish.
There were also no obvious histopathological changes in the retina of adult
zebrafish at 0.1 mM, even after 30 days treatment with sodium iodate. In
addition, many proliferating cell nuclear antigen-positive cells were found not
only in the ciliary marginal zone, but also in the outer nuclear layer,
especially in larval and juvenile zebrafish with or without sodium iodate
exposure. However, the concentrations of iodine in the blood and the eyeballs of
adult zebrafish increased remarkably after the treatment. General retinal damage
emerged after MNU exposure at 150 mg/l for 60 min in adult zebrafish, but first
pyknotic cells appeared in the inner nuclear layer and the ganglion cell layer.
Our findings indicate that zebrafish retina have a different reactivity pattern
from mammalian animals against some retinal toxicants, and in them it is
difficult to detect histopathological changes.
PMID- 29643282
TI - Safety and Efficacy of Subcutaneous Cardioverter Defibrillator in Patients at
High Risk of Sudden Cardiac Death - Primary Japanese Experience.
AB - BACKGROUND: The entirely subcutaneous implantable cardioverter defibrillator (S
ICD) was introduced as a new alternative to conventional transvenous ICD (TV-ICD)
in Japan in February 2016, but its safety and efficacy are unclear.Methods and
Results:A total of 60 patients (48 men, median age, 60 years; IQR, 44-67 years;
primary prevention, n=24) underwent S-ICD implantation between February 2016 and
August 2017. The device pocket was formed in the intermuscular space between the
serratus anterior muscle and the latissimus dorsi muscle, and the parasternal S
ICD lead was placed according to pre-implant screening. Defibrillation test was
performed in 56 patients (93%). Ventricular fibrillation (VF) was induced in 55
patients and terminated by a single 65-J shock in all patients. The median time
to shock therapy was 13.4 s (IQR, 12.1-14.9 s) and the median post-shock
impedance of the S-ICD lead was 64 Omega (IQR, 58-77 Omega). There were no
operation-related complications or subsequent infectious complications. During
follow-up (median, 275 days; IQR, 107-421 days), 1 patient (1.7%) had appropriate
shock for VF with successful termination, whereas 5 patients (8.3%) had
inappropriate shock due to oversensing of myopotential (n=3) or T-wave (n=1), and
detection of supraventricular tachycardia (n=1). CONCLUSIONS: S-ICD is a safe and
effective alternative to conventional TV-ICD. The long-term safety and efficacy
of the S-ICD need further investigation.
PMID- 29643284
TI - [Detection of early pancreatic cancer:past, present and future initiatives].
PMID- 29643283
TI - Analysis of microbial abundance and community composition in esophagus and
intestinal tract of wild veined rapa whelk (Rapana venosa) by 16S rRNA gene
sequencing.
AB - The rapa whelk Rapana venosa is a commercially important gastropod in China, but
a major invader worldwide. There is little information on the bacterial community
composition in the digestive system of this species, despite the microflora has
important roles in digestion, nutrition, disease resistance, and immune response.
The present study investigated the bacterial flora community in the esophagus and
intestinal tract of wild R. venosa by 16S rRNA gene sequencing. The esophagus and
intestine had 1175 and 526 unique operational taxonomic units, respectively, with
616 common to both tissues. The 10 most highly represented microbial genera in
the esophagus were Mycoplasma, Bifidobacterium, Escherichia, Shewanella, Vibrio,
Lactobacillus, Octadecabacter, Enterococcus, Streptococcus, and Sphingomonas,
which accounted for 41.35% of microbes. In the intestine, the most abundant
genera were Mycoplasma, Bifidobacterium, Escherichia, Shewanella, Vibrio,
Psychrilyobacter, Peptoniphilus, Sporobacterium, Octadecabacter, and Mobiluncusin
(representing 62.74% of total microbes). A linear discriminant analysis, coupled
with effect size, revealed that 31 taxa were differentially represented in
esophagus and intestine bacterial communities. These results demonstrate the
diversity of microbiota in the esophagus and intestinal tract of R. venosa and
provide a basis for investigations into the physiological and immunological roles
of these microorganisms in whelk.
PMID- 29643285
TI - [Social programs for early diagnosis of pancreatic cancer -establishment of
network between special doctors and practicing doctors].
PMID- 29643286
TI - [Selection of the high risk population for early detection of pancreas cancer].
PMID- 29643287
TI - [A screening strategy for detecting early pancreatic cancer].
PMID- 29643288
TI - [Histopathological features of early pancreatic cancer].
PMID- 29643289
TI - ?
PMID- 29643290
TI - [Screening for colorectal cancer using immunological fecal occult blood test in
inpatients].
AB - We investigated the usefulness of screening for colorectal cancer (CRC) using
immunological fecal occult blood test (FOBT) in 472 scheduled inpatients (median
age, 68.6 years) who underwent screening for CRC via FOBT (single stool sample)
at our hospital. The recall rate for further examination was 26.6% (126/472), and
the rate of patients who underwent further examination was only 34.9% (44/126).
The overall colorectal neoplasm detection rate, overall CRC detection rate, and
positive predictive value for CRC in inpatients were 5.5% (26/472), 1.4% (7/472),
and 5.5% (7/126), respectively, which were higher than those of population-based
screening for CRC. Screening for CRC using FOBT in inpatients is a non-invasive
and efficient method to detect latent CRC.
PMID- 29643291
TI - [Effectiveness of indocyanine green fluorescence in endoscopic marking in gastric
cancer surgery:a feasibility study for replacing India ink].
AB - When injected, indocyanine green (ICG) immediately combines with lipoproteins to
fluoresce. Here, we studied whether ICG fluorescence is effective for endoscopic
marking in gastric cancer surgery using a photodynamic eye (PDE) camera and
fluorescent endoscope. An ICG solution was endoscopically injected into the
submucosal layer of the gastric tumor 3 days before surgery. We observed the
lesions using both a PDE camera and a fluorescent endoscope during laparotomy and
laparoscopy, respectively;we also observed the fluorescent luminance and
fluorescent size of the resected lesions. We could intraoperatively detect the
size of the resected lesions in eight patients with early gastric cancer and six
patients with advanced gastric cancer. We believe that the use of ICG
fluorescence in endoscopic marking requires additional information, such as the
volume of the ICG solution and the timing of the ICG injection.
PMID- 29643292
TI - [A case of postoperative recurrent gastric cancer resembling esophageal achalasia
diagnosed by staging laparoscopy].
AB - A 65-year-old man underwent subtotal gastrectomy for advanced gastric cancer. The
histological type of the cancer was signet-ring cell carcinoma, and the clinical
stage was stage IB (T2N0M0). Three years after surgery, the patient had the
following symptoms:dysphagia, odynophagia, and weight loss. Esophageal endoscopy
and esophagography revealed a circular stenosis covered with the normal mucosa
between the middle esophagus and the esophagogastric junction. Histologically,
the samples obtained by staging laparoscopy revealed signet-ring cell carcinoma.
Tucker's criteria are an important tool for differentiating secondary achalasia
from primary achalasia with clinical value. Therefore, we suggest that staging
laparoscopy is useful for the histological diagnosis of recurrent gastric cancer.
PMID- 29643293
TI - [A case of non-cardiac chest pain caused by esophageal motility disorder observed
on esophageal high-resolution manometry].
AB - A 20-year-old man was referred to our hospital with dysphagia and chest pain.
Heart disease was denied. No abnormality was observed in upper
esophagogastroduodenoscopy and fluoroscopy;furthermore, no gastric acid-related
symptoms were observed on combined esophageal multichannel intraluminal impedance
and pH monitoring. Esophageal high-resolution manometry (HRM) performed by liquid
swallow revealed normal peristalsis;however, HRM performed while the patient was
taking solid meals showed abnormal contraction, and the patient simultaneously
complained of chest pain. Therefore, we diagnosed this case as non-cardiac chest
pain due to esophageal motility disorder.
PMID- 29643294
TI - [A case of recurrent alpha-fetoprotein-producing gastric cancer with long-term
survival treated with chemotherapy].
PMID- 29643295
TI - Prevalence and etiology of mastitis in dairy cattle in El Oro Province, Ecuador.
AB - This study described the occurrence of clinical and subclinical forms of mastitis
in 250 cattle from 5 dairy farms around the cities of Santa Rosa and Machala, El
Oro Province, Ecuador. Clinical mastitis (CM) was determined based on obvious
changes in milk (mild), signs of inflammation in the udder (moderate), and/or
generalized clinical symptoms (severe). Subclinical mastitis (SCM) was assessed
using the California mastitis test. CM and SCM were detected in 30 (12.0%) and
150 (60%) of the 250 tested cattle, respectively. Prevalence at the udder quarter
level was 57.7% (577/1,000), which was higher among forequarters (369/577; 63.9%)
than hindquarters. Of the 577 mastitic milk samples subjected to microbiological
analysis, 35 were excluded due to contamination and 20 tested negative.
Identification of bacterial isolates revealed that 33.3% of the 93 CM samples
contained coliforms, 25.8% coagulase-positive staphylococci, 20.4% coagulase
negative staphylococci (CNS), 9.7% streptococci, 7.5% Bacillus spp., and 3.2%
Klebsiella spp. Bacterial profiling of the 429 SCM milk samples showed that 55.4%
contained CNS, 22.1% Bacillus spp., 9.3% streptococci, and 6.1% coagulase
positive staphylococci. In vitro antibiotic susceptibility testing of the
obtained isolates indicated that all were susceptible to amoxicillin, ampicillin,
cefotaxime, enrofloxacin, sulfamethoxazole-trimethoprim, gentamicin, and
neomycin. No multidrug-resistant strains were observed.
PMID- 29643296
TI - Phylogenetic relationship of Ornithobacterium rhinotracheale strains.
AB - The bacterium Ornithobacterium rhinotracheale is associated with respiratory
disease in wild birds and poultry. In this study, the phylogenetic analysis of
nine reference strains of O. rhinotracheale belonging to serovars A to I, and
eight Mexican isolates belonging to serovar A, was performed. The analysis was
extended to include sequences from another 23 strains available in the public
domain. The analysis showed that the 40 sequences formed six clusters, I to VI.
All eight Mexican field isolates were placed in cluster I. One of the reference
strains appears to present genetic diversity not previously recognized and was
placed in a new genetic cluster. In conclusion, the phylogenetic analysis of O.
rhinotracheale strains, based on the 16S rRNA gene, is a suitable tool for
epidemiologic studies.
PMID- 29643300
TI - Copper Ion Sensing.
PMID- 29643297
TI - Investigation of pharmacological responses to anti-diabetic drugs in female
Spontaneously Diabetic Torii (SDT) fatty rats, a new nonalcoholic steatohepatitis
(NASH) model.
AB - Nonalcoholic steatohepatitis (NASH) is a progressive liver disease, and some
patients develop hepatic cirrhosis/carcinoma. Animal models play key roles in the
development of new therapies for NASH. In this study, the pharmacological effects
of metformin and pioglitazone were investigated in female Spontaneously Diabetic
Torii (SDT) fatty rats to verify the utility of this model. The anti-diabetic
drugs were administered to SDT fatty rats fed a cholesterol-enriched diet from 4
to 25 weeks, and changes in food intake, body weight, and blood chemistry
parameters were evaluated every 4 weeks. The hepatic lipid content, mRNA
expression in relation to lipid synthesis, inflammation, and fibrosis, and
histopathological analyses were performed at 25 weeks. Pioglitazone improved
hyperglycemia, hyperlipidemia, and abnormalities in hepatic parameters. The
insulin levels were lower than those in the control rats before 16 weeks. Plasma
glucose levels in the metformin-treated rats were lower than those in the control
rats, and plasma alanine aminotransferase levels temporarily decreased. The lipid
content and some mRNA expression in relation to fibrosis in the liver decreased
with pioglitazone treatment, and the mRNA expression of microsomal triglyceride
transfer protein increased. Hepatic fibrosis observed in the SDT fatty rats
improved with pioglitazone treatment; however, the effect with metformin
treatment was partial. These results in both drugs are in line with results in
the human study, suggesting that the SDT fatty rat is useful for developing new
anti-NASH drugs that show potential to regulate glucose/lipid metabolism.
PMID- 29643301
TI - Current Mass Spectrometric Tools for the Bioanalyses of Therapeutic Monoclonal
Antibodies and Antibody-Drug Conjugates.
AB - The increase in the use of therapeutic monoclonal antibodies (mAbs) and antibody
drug conjugates (ADCs) has made the detailed bioanalysis of these drugs essential
not only for planning optimal therapeutic programs for clinical practice, but
also for evaluating the biological equivalencies in the development of other
biosimilars. The ligand binding assays that are widely in use now are being
replaced rapidly by the highly accurate, sensitive, and selective analytical
method using a mass spectrometer. This review will discuss the progress in and
challenges observed during the development of a mass spectrometry-based
bioanalytical method for therapeutic mAbs and ADCs.
PMID- 29643302
TI - Rapid Determination of Two Triterpenoid Acids in Chaenomelis Fructus Using
Supercritical Fluid Extraction On-line Coupled with Supercritical Fluid
Chromatography.
AB - In this study, an on-line supercritical fluid extraction (SFE) and supercritical
fluid chromatography (SFC) method was developed for the rapid determination of
oleanoic acid and ursolic acid in Chaenomelis Fructus. After optimization of the
conditions, the two triterpenoid acids was obtained by SFE using 20% methanol as
a modifier at 35 degrees C in 8 min. They were resolved on a Shim-pack UC-X Diol
column (4.6 * 150 mm, 3 MUm) in 14 min (0 - 10 min, 5 - 10%; 10 - 14 min, 10%
methanol in CO2) with a backpressure of 15 MPa at 40 degrees C. The on-line SFE
SFC method could be completed within 40 min (10.79 mg/g dry plant, Rs = 2.36),
while the ultrasound-assisted extraction and HPLC method required at least 90 min
(3.55 mg/g dry plant, Rs = 1.92). This on-line SFE-SFC method is powerful to
simplify the pre-processing and quantitative analysis of natural products.
PMID- 29643303
TI - Fast Detection of Bismerthiazol in Cabbage Based on Fluorescence Quenching of
Protein-Capping Gold Nanoclusters.
AB - In this work, bismerthiazol was firstly assayed by a fast and portable method
employing protein-capping gold nanoculsters as probes. The luminescent intensity
of the nanoclusters showed a correlative response towards bismerthiazol from 5 to
4000 MUg/mL with a linear relation in the range of 5 - 100 MUg/mL. As little as 5
MUg/mL of bismerthiazol could be quantified. The high affinity of bismerthiazol
to interact with the soybean protein-capped gold nanoclusters contributed to the
excellent selectivity of this method over other common pesticides. The recoveries
in several cabbage samples were 101 - 135%, indicating good performance in
practical applications. By comparison to previous reported approaches, this
method bears advantages including simple operation, fast response, visual readout
and good selectivity.
PMID- 29643304
TI - Determination of Anthracene in Water Samples by ELISA Using AhR Binding.
AB - The degradation of polycyclic aromatic hydrocarbons (PAHs) can generate AhR
binding compounds, exhibiting genotoxicity and carcinogenicity. In this
investigation, aryl hydrocarbon receptor (AhR) from carp and anthracene (Ant)
were coupled as antigen to establish an indirect competition ELISA (ic-ELISA)
with an AhR-Ant antibody. A standard curve was determined for the ic-ELISA
concerning detection range and limit. Also, the specificity, stability and the
recovery of the ic-ELISA were checked. Results indicate that the ratio of
antibody to antigen titer is 1:64000. The resulting standard curve is Y = 21.326
* X + 1.8213. The detection range lies within 10 - 1000 ng mL-1 and the limiting
concentration is 2.43 ng mL-1. The cross reaction ratio (CR) between Ant and
naphthalene (Nap), Ant and phenanthrene (Phe) or Ant and fluoranthene (Flu) were
5.7, 19.1 and less than 0.1%, respectively. The range of the coefficient of
variance (C.V) amounts was from 4.2 up to 9.5% and the recovery range was from 90
to 115%. These results show that the AhR-Ant ic-ELISA is sensitive, and can be
used as a technical support to quantify Ant in the environment.
PMID- 29643305
TI - An Ascorbic Acid Oxidase-based Sensing Platform for Stereoselective Interaction
with Ascorbic Acid and Isoascorbic Acid.
AB - A simple enzyme-based nanohybrid material was fabricated via immobilizing
ascorbic acid oxidase (AO) on the surface of flower-like electrodeposited gold
nanoparticles (dpAu) and reduced graphene oxide (rGO) modified glassy carbon
electrodes (GCEs). The composite material was used for stereoselective
interaction with ascorbic acid (AA) and isoascorbic acid (IAA). Herein, AO was
applied as a stereoselective selector, and the dpAu/rGO nanohybrid not only acted
as a supporter for high loading of AO, but also served as the nanomaterial for
signal amplification. The results showed obvious peak current differences between
AA and IAA, indicating that this strategy could be employed to recognize AA and
IAA. Under the optimum conditions, the sensor exhibited a good linear response to
AA and IAA in a linear range of 1.0 * 10-4 - 5.0 * 10-3 M. This approach with the
merits of simplicity and rapid response provided a promising perspective for
identification of AA and IAA.
PMID- 29643306
TI - Hyperbranched Polyglycerol Functionalized Silica Stationary Phase for Hydrophilic
Interaction Liquid Chromatography.
AB - Surface-initiated anionic-ring-opening multibranching polymerization was employed
to prepare a hyperbranched polyglycerol (HPG) functionalized silica stationary
phase for hydrophilic interaction liquid chromatography (HILIC). The obtained
stationary phase was characterized by Fourier-transform infrared spectrometry (FT
IR) and thermogravimetric analysis (TGA). The chromatographic properties of the
prepared stationary phase were systematically investigated. The abundance and
multitude distribution of hydroxyl groups in HPG endowed the stationary phase
with improved hydrophilicity and enhanced separation performance compared with
the stationary phase functionalized with monolayer of hydroxyl groups. The
stationary phase showed excellent retention of various polar compounds, such as
nucleosides, necleobases, phenols and sulfanilamides, indicating great potential
in the separation of complex biosamples.
PMID- 29643307
TI - Quantification of Trans-resveratrol in Red Wines Using QuEChERS Extraction
Combined with Liquid Chromatography-Tandem Mass Spectrometry.
AB - Resveratrol is one of representative ingredient in red wine, but its
quantification is a challenge because of a complex and abundant matrix. In this
study, two sample pretreatments, direct dilution and QuEChERS extraction,
coupling LCMS analysis were examined for resveratrol quantification. Similar
recoveries of 106.4 to 93.7% were obtained for direct dilution and QuEChERS,
respectively. With the aid of condition optimization, QuEChERS extraction could
concentrate the resveratrol from red wines to improve the detection sensitivity
with a LOD value of 2.5 ng/mL, which is four-times greater than the direct
dilution approach. As a result, the QuEChERS method can provide a high linearity
within the concentration range of 5 - 500 ng/mL, in which direct dilution
produced the linear calibration curve within the concentrations of 25 - 500
ng/mL. A high consistency was obtained for both approaches in which intra-day
precisions were within 0.5 to 7.2% (n = 3), and the inter-day precisions were
within 7.8 to 16.0% (n = 9). Overall, the sample pretreatment of QuEChERS can
effectively reduce the matrix effect, which leads LCMS to quantify the low
resveratrol abundance of 8.0 ppb in each red wine sample, which is not achieved
with the direct dilution approach.
PMID- 29643308
TI - Porous Organic Cage Embedded C18 Amide Silica Stationary Phase for High
Performance Liquid Chromatography.
AB - Reduced imine cage (RCC3) was first adopted for the preparation of porous organic
cage embedded C18 amide silica stationary phase for high performance liquid
chromatography. The prepared stationary phase was characterized by scanning
electron microscope (SEM) and Fourier transformation infrared spectrum (FT-IR).
Its chromatographic performance under reversed-phase mode was investigated in
detail and compared with that of an ODS column. Multiple interactions, including
hydrophobic interaction, pi-pi interactions, electrostatic interactions and
hydrogen bonding, were involved due to the synergism of the C18 chain and RCC3.
The column showed typical methylene selectivity and enhanced aromatic selectivity
for nonpolar analytes while demonstrating high selectivity for polar analytes. In
addition, the stationary phase showed the capability of separation of polar and
hydrophilic compounds under per aqueous liquid chromatography mode (PALC),
providing a green and economical way for the separation of polar and hydrophilic
compounds. These results indicated the great application potential of the
prepared stationary phase in the analysis of complex samples.
PMID- 29643309
TI - A Colorimetric and Fluorescent Probe for the Detection of Cu2+ in a Complete
Aqueous Solution.
AB - The fluorescent probe has become an important method for the detection of heavy
metal ions. In the present work, a new and simple fluorescent probe, Cu-P, for
detecting copper ion (Cu2+) was designed and synthesized. The probe has shown
high sensitivity and selectivity toward Cu2+. The detection limit was 13 nM
(based on the 3sigma/slope). A significant color change from yellow to pink was
observed; thus, the probe Cu-P could serve as a "naked-eye" indicator for Cu2+.
Furthermore, the proposed probe was used to detect Cu2+ in real water and soil
extract samples, with the result being satisfactory. Therefore, our proposed
probe would provide a promising method for the detection of Cu2+ in the
environment.
PMID- 29643310
TI - Nanomolar Determination of Hydrogen Peroxide in Coastal Seawater Based on the
Fenton Reaction with Terephthalate.
AB - A non-enzymatic fluorescence method for the determination of hydrogen peroxide
(H2O2) was investigated. This method is based on the hydroxylation reaction of
terephthalate (TP) by hydroxyl radical formed from reaction between H2O2 and
Fe(II), resulting in the formation of a strongly fluorescent 2
hydroxyterephthalate (HTP). Under optimized conditions, a 3 nM detection limit
and 1.0% precision at 200 nM were obtained. This was sensitive enough to
determine the concentrations of H2O2 in coastal marine environments. The slopes
of the calibration curve in seawater were nearly the same as those in Milli-Q
water, suggesting that the fluorescent intensity was not affected by coexisting
sea salts. However, the presence of nitrite at more than 10 MUM interfered with
the formation of HTP. The developed method was successfully applied to determine
the concentrations of H2O2 in Osaka Bay on the research vessel. The results
obtained in Osaka Bay demonstrated that H2O2 was photochemically produced via the
photolysis of dissolved organic matter supplied from the river with fresh water
at the surface layer.
PMID- 29643311
TI - Changes in the Structural Features of Organic Matter Extracted from Compost by
the Seawater during a 90-day Period under Anaerobic Conditions, and Its Effect on
Oogenesis of Brown Macroalga.
AB - Seawater-extractable organic matter (SWEOM) has a potential to serve as an Fe
complexing agent in an Fe-fertilizer intended to restore and preserve macroalgal
forests. To better understand the restoration technique, structural alterations
in SWEOM that occurred during a 90-day period of incubation in seawater were
determined. Up to 82% of the total eluted SWEOM occurred during the initial 30
days; a small amount of SWEOM then continued to elute during the next 60 days.
Spectroscopic analyses showed that the high-molecular-weight SWEOM fraction (HMW)
altered in aliphatic-rich materials, while the low-molecular-weight fraction
(LMW) became significantly enriched in aromatic structures. The structural
alterations in the HMW and LMW could be caused by the action of anaerobic
microorganisms. In addition, approximately 30 and 60% of the gametophytes were
converted to eggs in the presence of HMW and LMW with Fe, respectively.
PMID- 29643312
TI - Determination of Dissolved Silica in Seawater by Ion-exclusion Chromatography
with Post-column Derivatization/Silicomolybdenum Yellow Detection.
AB - The determination of dissolved silica in seawater was investigated by an ion
exclusion chromatography with a post-column derivatization/silicomolybdenum
yellow method. To determine dissolved silica in seawater accurately, the
experimental conditions, such as the volume of a reaction coil, the eluent
concentration and the sample dilution factor, were optimized. This is the first
report that has examined the details of the experimental condition for the
measurement of dissolved silica in seawater by ion-exclusion chromatography with
silicomolybdenum-yellow detection. The developed method was compared with the
widely used continuous flow analysis and an ion-exclusion chromatography isotope
dilution inductively coupled plasma mass spectrometry, which is a primary method
of measurement. The analytical results by the three methods were in good
agreement, considering the expanded uncertainty with a coverage factor, 2. The
validity of the three methods was confirmed with each other. The developed method
can give a quantitative value with less than 1% of the expanded uncertainty, and
can be used for the determination of dissolved silica in candidate reference
materials having a seawater matrix.
PMID- 29643313
TI - Development of an Analytical System Based on a Magneto-pneumatic Oxygen Analyzer
for Atmospheric Oxygen Determination.
AB - A high-precision analytical system to observe the variations in the amount
fractions of atmospheric oxygen with a very small uncertainty was developed. The
system comprises a magneto-pneumatic oxygen analyzer and three automatic pressure
controllers. The drift of the analyzer's signal intensity can be reduced when the
amount fractions of oxygen in the sample and reference gases are similar because
the temperature coefficient of the analyzer linearly depends on the difference
between these amount fractions. The repeatability of oxygen determination and the
long-term stability of the system were tested to assess the applicability of the
analyzer to field-based measurements for continuous atmospheric observations. The
standard deviation of the average for 10-min measurements in the 5-day long-term
stability test was 0.7 MUmol mol-1 after a temperature correction. This indicates
that the system can continuously measure the amount fractions of oxygen in the
atmosphere for a few days without interruption for any calibration and/or
compensation for the signal drift.
PMID- 29643314
TI - Ultra-sensitive Trace-Water Optical Sensor with In situ- synthesized Metal
Organic Framework in Glass Paper.
AB - Monitoring of trace water in industrial gases is strongly recommended because
contaminants cause serious problems during use, especially in the semiconductor
industry. An ultra-sensitive trace-water sensor was developed with an in situ
synthesized metal-organic framework as the sensing material. The sample gas is
passed through the sensing membrane and efficiently and rapidly collected by the
sensing material in the newly designed gas collection/detection cell. The sensing
membrane, glass paper impregnated with copper 1,3,5-benzenetricarboxylate (Cu
BTC), is also newly developed. The amount and density of the sensing material in
the sensing membrane must be well balanced to achieve rapid and sensitive
responses. In the present study, Cu-BTC was synthesized in situ in glass paper.
The developed system gave high sensing performances with a limit of detection
(signal/noise ratio = 3) of 9 parts per billion by volume (ppbv) H2O and a 90%
response time of 86 s for 200 ppbv H2O. The reproducibility of the responses
within and between lots had relative standard deviations for 500 ppbv H2O of 0.8%
(n = 10) and 1.5% (n = 3), respectively. The long-term (2 weeks) stability was
7.3% for 400 ppbv H2O and one-year continuous monitoring test showed the
sensitivity change of <~3% before and after the study. Furthermore, the system
response was in good agreement with the response achieved in cavity ring-down
spectroscopy. These performances are sufficient for monitoring trace water in
industrial gases. The integrated system with light and gas transparent structure
for gas collection/absorbance detection can also be used for other target gases,
using specific metal-organic frameworks.
PMID- 29643315
TI - A Dual Alkylated Peptide-ligand Enhances Affinity to Human Serum Albumin.
AB - Therapeutic peptides and diagnostic agents with their molecular size below the
renal clearance threshold suffer from short blood circulation time. Here, we
report a novel design of peptide-based ligand with a strong binding affinity to
human serum albumin (HSA), which can be used as a tag to extend the blood
circulation of small-size molecules. We designed ligands with dual alkyl groups
connected with a negatively charged spacer. The ligands showed both higher
binding affinity to HSA and a higher retention in mice blood than that of a
single alkylated peptide.
PMID- 29643316
TI - Determination of Blood Plasma Aminothiols Using Derivatization-enhanced Capillary
Transient Isotachophoresis.
AB - A sensitive capillary electrophoresis method was developed for the determination
of aminothiol (cysteine, homocysteine, and glutathione) total levels in human
blood plasma. Analytes were derivatized with Ellman's reagent (5,5'-dithiobis(2
nitrobenzoic acid)) after reduction with dithiothreitol. Liquid-liquid extraction
was applied to purify the samples and concentrate the analytes. Total analysis
time was 7.5 min using a silica capillary (50 MUm i.d.; effective separation
length 23.5 cm). Electrophoretic separation was performed using 50 mM citric acid
with 20 mM triethanolamine (pH 3) containing 2% Ficoll 400. Detection limit was
0.8 MUM for glutathione and 0.3 MUM for both cysteine and homocysteine. Accuracy
was 94 - 107%, repeatability and reproducibility were ca. 2.7 - 3.5 and 2.5 -
6.5%, respectively.
PMID- 29643318
TI - Plasma Xanthine Oxidoreductase Activity as a Novel Biomarker of Metabolic
Disorders in a General Population.
AB - BACKGROUND: Xanthine oxidoreductase (XOR) is an enzyme that catalyzes the
formation of uric acid from hypoxanthine and xanthine, leading to an increase in
superoxide and reactive oxygen species. Activation of XOR promotes oxidative
stress-related tissue injury. We investigated the associations between metabolic
parameters and plasma XOR activity measured by a sensitive and accurate assay
using a combination of liquid chromatography and triple quadrupole mass
spectrometry to detect [13C2,15N2]-uric acid using [13C2,15N2]-xanthine as a
substrate.Methods and Results:A total of 627 Japanese subjects (M/F, 292/335)
from the Tanno-Sobetsu Study, a population-based cohort, were recruited. Plasma
XOR activity was significantly higher in males than in females, and habitual
smoking was associated with elevation of activity. Plasma XOR activity was
positively correlated with body mass index (BMI; r=0.323, P<0.001), waist
circumference, blood pressure, and levels of liver enzymes including alanine
transaminase (r=0.694, P<0.001), uric acid (r=0.249, P<0.001), triglycerides
(r=0.312, P<0.001), hemoglobin A1c, fasting glucose, insulin and HOMA-R (r=0.238,
P<0.001) as a marker of insulin resistance and was negatively correlated with
high-density lipoprotein cholesterol level. On stepwise and multivariate
regression analyses, BMI, smoking and levels of alanine transaminase, uric acid,
triglycerides and HOMA-R were independent predictors of plasma XOR activity after
adjustment for age and gender. CONCLUSIONS: Plasma XOR activity is a novel
biomarker of metabolic disorders in a general population.
PMID- 29643319
TI - Should Measures of High-Density Lipoprotein Function Be Added to the Low-Density
Lipoprotein Cholesterol Target Level-Based Guidelines for Prevention of
Atherosclerotic Disease?
PMID- 29643320
TI - Announcement of the 2018 JCS Meeting Activities (2).
PMID- 29643321
TI - Clinical and molecular characterization of 5alpha-reductase type 2 deficiency due
to mutations (p.Q6X, p.R246Q) in SRD5A2 gene.
AB - Early diagnosis and optimal management for steroid 5alpha-reductase type 2
deficiency (5alpha-RD2) patients are major challenges for clinicians and mutation
analysis for the 5alpha-reductase type 2 (SRD5A2) gene is the golden standard for
the diagnosis of the disease. In silico analysis of this enzyme has not been
reported due to the lack of appropriate model. Moreover, the histological and
pathological changes of the gonads are largely unknown. In the present study, a
5alpha-RD2 patient born with abnormal external genitalia was studied and mutation
analysis for SRD5A2 gene was conducted. Moreover, we constructed the homology
modeling of 5alpha-reductase using SWISS-MODEL, followed by the molecular docking
study. Furthermore, immunohistochemical staining of Ki67 for the testes tissue
was conducted to investigate the potential pathological characteristics. The
patient had male (46, XY) chromosomes but presented female characteristics, and
the mutation analysis identified a heterozygotes mutation (p.Q6X, p.R246Q) in
SRD5A2 gene. In silico analysis elucidated the potential effect of the mutation
on enzyme activity. Immunohistochemical staining for the excised testes showed
that 30%-50% of the germ cells were Ki67 positive, which indicated the early
neoplastic potential. In conclusion, we analyzed the genotype-phenotype
correlations of 5alpha-RD2 caused by a heterozygotes mutation (p.Q6X, p.R246Q).
Importantly, we conducted the homology modeling and molecular docking for the
first time, which provided a homology model for further investigations.
Immunohistochemical results suggested gonadectomy or testis descent should be
performed early for 5alpha-RD2 patient, as delayed treatment would have
maintained the testes in a tumorigenic condition.
PMID- 29643322
TI - Metabolically healthy obesity and risk of leukoaraiosis; a population based cross
sectional study.
AB - Metabolically healthy obese (MHO) individual is known to be defended from the
metabolic complications of obesity. Leukoaraiosis, which is commonly detected on
brain magnetic resonance imaging (MRI), is now recognized as a risk of stroke,
dementia and death. However, the association between MHO and the prevalence of
leukoaraiosis is unclear. In this cross-sectional study of 796 participants who
received a medical examination program, we investigated the association between
MHO and the prevalence of leukoaraiosis. We used common clinical markers for
definition of metabolic healthy status: blood pressure, fasting plasma glucose,
triglycerides and high-density lipoprotein cholesterol concentrations. Obesity
was defined by body mass index >=25.0 kg/m2. We diagnosed leukoaraiosis by fluid
attenuated inversion recovery without hypointensity on T1-weighted images or the
presence of a hyperintensity on T2-weighted images. The crude prevalence
proportion of leukoaraiosis was 44.5% (case/n = 171/384) in metabolically healthy
nonobese (MHNO) individual, 46.3% (44/95) in MHO individual, 62.3% (114/183) in
metabolically unhealthy nonobese (MUNO) individual or 56.6% (77/136) in MUO
individual. The odds ratios of prevalence of leukoaraiosis were 1.19 (95% CI 0.74
1.90, p = 0.471) for MHO, 1.79 (1.22-2.62, p = 0.003) for MUNO and 1.56 (1.03
2.37, p = 0.037) for MUO individuals after adjusting for sex, age, smoking
statues, habit of exercise and alcohol, compared with MHNO individual. We
revealed that MHO individuals were not related with the higher risk of
leukoaraiosis, whereas MUNO and MUO individuals were.
PMID- 29643323
TI - Effects of linagliptin versus voglibose on treatment-related quality of life in
patients with type 2 diabetes: sub-analysis of the L-STEP study.
AB - Treatment-related quality of life (QOL) is an important aspect of diabetes
management. However, no studies have compared the influence of dipeptidyl
peptidase-4 inhibitors versus alpha-glucosidase inhibitors on treatment-related
QOL. This prespecified sub-analysis of the Linagliptin Study of Effects on
Postprandial blood glucose (L-STEP) compared the effects of linagliptin (5 mg
once daily) and voglibose (0.2 mg/meal thrice daily) on treatment-related QOL in
Japanese patients with type 2 diabetes (T2DM) inadequately controlled with diet
and exercise therapy. Among 366 subjects in the original study, 182 in the
linagliptin group and 173 in the voglibose group were included in this analysis.
The outcome of this study was change in QOL as assessed by the Diabetes Therapy
Related Quality of Life 17 (DTR-QOL17) questionnaire from baseline to week 12.
Compared with baseline data, total DTR-QOL17 scores were significantly higher
after 12 weeks of linagliptin and voglibose treatment. The change in the total
DTR-QOL17 score and the score of one domain, burden on social activities and
daily activities, was significantly greater in the linagliptin group than in the
voglibose group. In addition, only linagliptin treatment was identified as a
factor associated with an increased total DTR-QOL17 score. Linagliptin is
superior to voglibose in terms of improving treatment-related QOL in Japanese
patients with T2DM.
PMID- 29643324
TI - Conversion of Curcumin into Heterocyclic Compounds as Potent Anti-diabetic and
Anti-histamine Agents.
AB - Potential biologically active derivatives of the curcumin were prepared by the
cyclocondensation reaction cyclohexanone 2, imino pyrimidine 3, pyrmidinones 4,
thiopyrimidine 6 and pyranone 5, 7 when treated with acetylacetone, guanidine,
ureaethylcyanoacetate, thiourea and ethylacetoacetate, respectively. The
structures of compounds (2-7) were elucidated by means of microanalysis as well
as spectral measurements such as IR, 1H-NMR, MS. The anti-diabetic potential of
curcumin derivatives were evaluated by assessing amylase inhibition assay, also
inhibition of histamine release activity of curcumin derivatives were assessed by
U937 human monocytes. The results for amylase inhibition activity revels that the
curcumin inhibits alpha-amylase in a concentration dependent manner. Compounds 4
and 5 exhibited significant inhibitory activity against amylase enzyme and was
comparable with that of acrabose. Also, compounds 5, 6 and 7 exhibited
significant inhibitory activity against histamine. Our results concluded that
curcumin pyrmidinones and pyranone derivatives have highly effects as anti
diabetic and anti-histamine activities.
PMID- 29643325
TI - A new species of the genus Leptolalax (Anura: Megophryidae) from southern
Vietnam.
AB - We describe a new species of megophryid frog from Phu Yen Province in southern
Vietnam. Leptolalax macrops sp. nov. is distinguished from its congeners by a
combination of the following morphological attributes: (1) body size medium (SVL
28.0-29.3 mm in three adult males, 30.3 mm in single adult female); (2) supra
axillary glands present, creamy white; ventrolateral glands indistinct; (3)
tympanum externally distinct; (4) dorsal skin roughly granular with larger
tubercles, dermal ridges on dorsum absent; (5) rudimentary webbing present
between fingers I-II and II-III; rudimentary webbing between all toes; fingers
and toes without dermal fringes; (6) in life ventral surface greyish-violet with
white speckling; (7) supratympanic fold distinct, dark brown in life; (8) iris
bicolored, typically golden in upper half, fading to golden green in lower half;
(9) tibia short (TbL/SVL 0.44-0.45 in males); and (10) eyes large and protuberant
(ED/SVL 0.15-0.16 in males). From all congeners for which comparable sequences
are available, the new species differs markedly in the 16S rRNA mitochondrial
gene sequence (P-distance>5.7%). The new species is currently known only from
montane evergreen tropical forests of Song Hinh District, Phu Yen Province, and
M'Drak District of Dak Lak Province at elevations of 470-630 m a.s.l. We suggest
the new species should be considered as Data Deficient following the IUCN's Red
List categories. We also report a previously unknown Leptolalax mtDNA lineage
from an evergreen tropical forest in the Hoa Thinh District of Phu Yen Province,
which may also represent an undescribed species.
PMID- 29643326
TI - Identification and characterization of short tandem repeats in the Tibetan
macaque genome based on resequencing data.
AB - The Tibetan macaque, which is endemic to China, is currently listed as a Near
Endangered primate species by the International Union for Conservation of Nature
(IUCN). Short tandem repeats (STRs) refer to repetitive elements of genome
sequence that range in length from 1-6 bp. They are found in many organisms and
are widely applied in population genetic studies. To clarify the distribution
characteristics of genome-wide STRs and understand their variation among Tibetan
macaques, we conducted a genome-wide survey of STRs with next-generation
sequencing of five macaque samples. A total of 1 077 790 perfect STRs were mined
from our assembly, with an N50 of 4 966 bp. Mono-nucleotide repeats were the most
abundant, followed by tetra- and di-nucleotide repeats. Analysis of GC content
and repeats showed consistent results with other macaques. Furthermore, using STR
analysis software (lobSTR), we found that the proportion of base pair deletions
in the STRs was greater than that of insertions in the five Tibetan macaque
individuals (P<0.05, t-test). We also found a greater number of homozygous STRs
than heterozygous STRs (P<0.05, t-test), with the Emei and Jianyang Tibetan
macaques showing more heterozygous loci than Huangshan Tibetan macaques. The
proportion of insertions and mean variation of alleles in the Emei and Jianyang
individuals were slightly higher than those in the Huangshan individuals, thus
revealing differences in STR allele size between the two populations. The
polymorphic STR loci identified based on the reference genome showed good
amplification efficiency and could be used to study population genetics in
Tibetan macaques. The neighbor-joining tree classified the five macaques into two
different branches according to their geographical origin, indicating high
genetic differentiation between the Huangshan and Sichuan populations. We
elucidated the distribution characteristics of STRs in the Tibetan macaque genome
and provided an effective method for screening polymorphic STRs. Our results also
lay a foundation for future genetic variation studies of macaques.
PMID- 29643327
TI - Comparison of Effects of Vessel-Sealing Devices and Conventional Hemorrhoidectomy
on Postoperative Pain and Quality of Life.
AB - BACKGROUND The aim of this study was to investigate the early and late results of
use of LigaSure, Harmonic Scalpel, and conventional hemorrhoidectomy in
hemorrhoidectomy, to determine the least painful method, and to investigate the
relationship between pain perception and personal differences in hemorrhoid
bundles. MATERIAL AND METHODS Ninety patients undergoing hemorrhoidectomy between
2014 and 2017 were retrospectively evaluated. We investigated the duration of
hospitalization and the presence of bleeding, incontinence, perianal wetness,
urinary retention, stenosis, and recurrence during follow-up after surgery.
Analgesic requirement was determined by Patient-Controlled Analgesia, as well as
pain score by use of the Visual Analog Score and patient satisfaction by Short
Form-36. We also assessed the relationship between pain and lateral thermal
damage, the number of peripheral nerves, number of excised bundles, and the
number of thrombosed vessels, as determined by histopathological examination.
RESULTS No differences were found among the 3 methods in the duration of
hospitalization, the presence of bleeding, fecal incontinence, perianal wetness,
urinal retention, anal stenosis, recurrence rate, VAS, analgesic consumption, or
results of the SF-36. There was no difference in the number of bundles,
thrombosed vessels, or number of nerve fibers in a bundle, but the LigaSure
Hemorrhoidectomy had more lateral thermal damage (p<0.001). While there was a
difference between VAS of day 1 and 7 according to the hemorrhoid bundles, there
was no difference in the other parameters. CONCLUSIONS There was no difference
among the 3 methods in terms of complications, postoperative pain, or patient
satisfaction, and pain intensity was positively correlated with the number of
excised bundles.
PMID- 29643328
TI - Superior Mesenteric Artery Syndrome in Patients with Crohn's Disease: A
Description of 2 Cases Studied with a Novel Magnetic Resonance Enterography (MRE)
Procedure.
AB - BACKGROUND Superior mesenteric artery syndrome is caused by vascular compression
of the third portion of the duodenum between the aorta and the superior
mesenteric artery. It may occur with acute or chronic symptomatology, such as
vomiting or postprandial abdominal pain, and it is usually caused by a lack of
mesenteric fat pad under conditions of severe weight loss. Crohn's disease can be
one of them. CASE REPORT We report 2 cases of Crohn's disease patients with
clinical suspicion of jejunal stricture who underwent MR-enterography with a
novel approach. In fact, the examinations were performed including prone position
of the patients inside the scanner, drinking of contrast medium during the
examination, and prompt acquisition of fluoroscopic sequences. Both the exams
showed an abrupt termination of the duodenum on its third portion and a decreased
aortomesenteric distance, allowing the diagnosis of superior mesenteric artery
syndrome. CONCLUSIONS A correlation between Crohn's disease and superior
mesenteric artery syndrome has never before been reported in the literature. The
present study provides some practical steps that may be useful in order to
improve MRE standard protocol in recognizing this condition while evaluating
Crohn's disease bowel lesions.
PMID- 29643329
TI - Shared effects of DISC1 disruption and elevated WNT signaling in human cerebral
organoids.
AB - The development of three-dimensional culture methods has allowed for the study of
developing cortical morphology in human cells. This provides a new tool to study
the neurodevelopmental consequences of disease-associated mutations. Here, we
study the effects of isogenic DISC1 mutation in cerebral organoids. DISC1 has
been implicated in psychiatric disease based on genetic studies, including its
interruption by a balanced translocation that increases the risk of major mental
illness. Isogenic wild-type and DISC1-disrupted human-induced pluripotent stem
cells were used to generate cerebral organoids, which were then examined for
morphology and gene expression. We show that DISC1-mutant cerebral organoids
display disorganized structural morphology and impaired proliferation, which is
phenocopied by WNT agonism and rescued by WNT antagonism. Furthermore, there are
many shared changes in gene expression with DISC1 disruption and WNT agonism,
including in neural progenitor and cell fate markers, regulators of neuronal
migration, and interneuron markers. These shared gene expression changes suggest
mechanisms for the observed morphologic dysregulation with DISC1 disruption and
points to new avenues for future studies. The shared changes in three-dimensional
cerebral organoid morphology and gene expression with DISC1 interruption and WNT
agonism further strengthens the link between DISC1 mutation, abnormalities in WNT
signaling, and neuropsychiatric disease.
PMID- 29643330
TI - Publisher Correction: Unravelling the immune signature of Plasmodium falciparum
transmission-reducing immunity.
AB - The original version of this Article contained errors in Fig. 3. In panel a, bars
from a chart depicting the percentage of antibody-positive individuals in non
infectious and infectious groups were inadvertently included in place of bars
depicting the percentage of infectious individuals, as described in the Article
and figure legend. However, the p values reported in the Figure and the resulting
conclusions were based on the correct dataset. The corrected Fig. 3a now shows
the percentage of infectious individuals in antibody-negative and -positive
groups, in both the PDF and HTML versions of the Article. The incorrect and
correct versions of Figure 3a are also presented for comparison in the
accompanying Publisher Correction as Figure 1.The HTML version of the Article
also omitted a link to Supplementary Data 6. The error has now been fixed and
Supplementary Data 6 is available to download.
PMID- 29643331
TI - Electroencephalographic delta/alpha frequency activity differentiates psychotic
disorders: a study of schizophrenia, bipolar disorder and methamphetamine-induced
psychotic disorder.
AB - Electroencephalography (EEG) has been proposed as a neurophysiological biomarker
to delineate psychotic disorders. It is known that increased delta and decreased
alpha, which are apparent in psychosis, are indicative of inappropriate arousal
state, which leads to reduced ability to attend to relevant information. On this
premise, we investigated delta/alpha frequency activity, as this ratio of
frequency activity may serve as an effective neurophysiological biomarker. The
current study investigated differences in delta/alpha frequency activity, in
schizophrenia (SCZ), bipolar I disorder with psychotic features and
methamphetamine-induced psychosis. One hundred and nine participants, including
individuals with SCZ (n = 28), bipolar I disorder with psychotic features (n =
28), methamphetamine-induced psychotic disorder (MPD) (n = 24) and healthy
controls (CON, n = 29). Diagnosis was ascertained with the Structured Clinical
Interview for Diagnostic and Statistical Manual of Mental Disorders, 4th Edition
disorders and current medication was recorded. EEG was undertaken in three
testing conditions: resting eyes open, resting eyes closed and during completion
of a simple cognitive task (visual continuous performance task). EEG delta/alpha
frequency activity was investigated across these conditions. First, delta/alpha
frequency activity during resting eyes closed was higher in SCZ and MPD globally,
when compared to CON, then lower for bipolar disorder (BPD) than MPD for right
hemisphere. Second, delta/alpha frequency activity during resting eyes open was
higher in SCZ, BPD and MPD for all electrodes, except left frontal, when compared
to CON. Third, delta/alpha frequency activity during the cognitive task was
higher in BPD and MPD for all electrodes, except left frontal, when compared to
CON. Assessment of EEG delta/alpha frequency activity supports the delineation of
underlying neurophysiological mechanisms present in psychotic disorders, which
are likely related to dysfunctional thalamo-cortical connectivity. Delta/alpha
frequency activity may provide a useful neurophysiological biomarker to delineate
psychotic disorders.
PMID- 29643332
TI - Macrophage-derived IL-1beta/NF-kappaB signaling mediates parenteral nutrition
associated cholestasis.
AB - In infants intolerant of enteral feeding because of intestinal disease,
parenteral nutrition may be associated with cholestasis, which can progress to
end-stage liver disease. Here we show the function of hepatic macrophages and
phytosterols in parenteral nutrition-associated cholestasis (PNAC) pathogenesis
using a mouse model that recapitulates the human pathophysiology and combines
intestinal injury with parenteral nutrition. We combine genetic, molecular, and
pharmacological approaches to identify an essential function of hepatic
macrophages and IL-1beta in PNAC. Pharmacological antagonism of IL-1 signaling
or genetic deficiency in CCR2, caspase-1 and caspase-11, or IL-1 receptor (which
binds both IL-1alpha and IL-1beta) prevents PNAC in mice. IL-1beta increases
hepatocyte NF-kappaB signaling, which interferes with farnesoid X receptor and
liver X receptor bonding to respective promoters of canalicular bile and sterol
transporter genes (Abcc2, Abcb11, and Abcg5/8), resulting in transcriptional
suppression and subsequent cholestasis. Thus, hepatic macrophages, IL-1beta, or
NF-kappaB may be targets for restoring bile and sterol transport to treat PNAC.
PMID- 29643334
TI - Performance of the Trioplex real-time RT-PCR assay for detection of Zika, dengue,
and chikungunya viruses.
AB - The emergence and spread of Zika virus (ZIKV) presented a challenge to the
diagnosis of ZIKV infections in areas with transmission of dengue (DENV) and
chikungunya (CHIKV) viruses. To facilitate detection of ZIKV infections, and
differentiate these infections from DENV and CHIKV, we developed the Trioplex
real-time RT-PCR assay (Trioplex assay). Here, we describe the optimization of
multiplex and singleplex formats of the assay for a variety of chemistries and
instruments to facilitate global standardization and implementation. We evaluated
the analytical performance of all Trioplex modalities for detection of these
three pathogens in serum and whole blood, and for ZIKV in urine. The limit of
detection for the three viruses and in different RNA-extraction modalities is
near 103 genome copy equivalents per milliliter (GCE/mL). Simultaneous testing of
more than one specimen type from each patient provides a 6.4% additional
diagnostic sensitivity. Overall, the high sensitivity of the Trioplex assay
demonstrates the utility of this assay ascertaining Zika cases.
PMID- 29643333
TI - Srf destabilizes cellular identity by suppressing cell-type-specific gene
expression programs.
AB - Multicellular organisms consist of multiple cell types. The identity of these
cells is primarily maintained by cell-type-specific gene expression programs;
however, mechanisms that suppress these programs are poorly defined. Here we show
that serum response factor (Srf), a transcription factor that is activated by
various extracellular stimuli, can repress cell-type-specific genes and promote
cellular reprogramming to pluripotency. Manipulations that decrease beta-actin
monomer quantity result in the nuclear accumulation of Mkl1 and the activation of
Srf, which downregulate cell-type-specific genes and alter the epigenetics of
regulatory regions and chromatin organization. Mice overexpressing Srf exhibit
various pathologies including an ulcerative colitis-like symptom and a metaplasia
like phenotype in the pancreas. Our results demonstrate an unexpected function of
Srf via a mechanism by which extracellular stimuli actively destabilize cell
identity and suggest Srf involvement in a wide range of diseases.
PMID- 29643335
TI - Quantitative characterization of all single amino acid variants of a viral capsid
based drug delivery vehicle.
AB - Self-assembling proteins are critical to biological systems and industrial
technologies, but predicting how mutations affect self-assembly remains a
significant challenge. Here, we report a technique, termed SyMAPS (Systematic
Mutation and Assembled Particle Selection), that can be used to characterize the
assembly competency of all single amino acid variants of a self-assembling viral
structural protein. SyMAPS studies on the MS2 bacteriophage coat protein revealed
a high-resolution fitness landscape that challenges some conventional assumptions
of protein engineering. An additional round of selection identified a previously
unknown variant (CP[T71H]) that is stable at neutral pH but less tolerant to
acidic conditions than the wild-type coat protein. The capsids formed by this
variant could be more amenable to disassembly in late endosomes or early
lysosomes-a feature that is advantageous for delivery applications. In addition
to providing a mutability blueprint for virus-like particles, SyMAPS can be
readily applied to other self-assembling proteins.
PMID- 29643336
TI - Identification of Morus notabilis MADS-box genes and elucidation of the roles of
MnMADS33 during endodormancy.
AB - The MADS-box genes encode transcriptional regulators with various functions
especially during floral development. A total of 54 putative Morus notabilis MADS
box genes (MnMADSs) were identified and phylogenetically classified as either
type I (17 genes) or type II (37 genes). The detected genes included three
FLOWERING LOCUS C-like (MnFLC-like) genes, MnMADS33, MnMADS50, and MnMADS7. MnFLC
like proteins could directly or indirectly repress promoter activity of the
mulberry FLOWERING LOCUS T-like (MnFT) gene. Transgenic Arabidopsis thaliana
overexpressing MnFLC-like genes exhibited delayed flowering and down-regulated
expression of FT and SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 (SOC1). The gene
expression analyses in floral bud indicated that MnMADS33 expression increased,
while MnFT expression decreased during the induction of dormancy in response to
cold conditions. Dormancy release resulted in the down-regulation of MnMADS33
expression and the up-regulation of MnFT expression. Furthermore, abscisic acid
promoted the transcription of MnMADS33 and MnFT, although the expression level of
MnFT gradually decreased. These results are consistent with the hypothesis that
MnMADS33 negatively regulated the expression of MnFT to repress dormancy release
and flowering in mulberry. This study may be relevant for future investigations
regarding the effects of MnMADS genes on mulberry flowering development.
PMID- 29643337
TI - Association between hedonic hunger and body-mass index versus obesity status.
AB - Obesity-associated differences in hedonic hunger, while consistently reported,
have not been adequately quantified, with most studies failing to demonstrate
strong correlations between Body Mass Index (BMI) and hedonic hunger indicators.
Here, we quantified and assessed the nature of the relationship between hedonic
hunger and BMI, in a cross-sectional study using the Portuguese version of the
PFS (P-PFS) to measure hedonic hunger. Data were collected from 1266 participants
belonging to non-clinical, clinical (candidates for weight-loss surgery) and
population samples. Across samples, significant but weak positive associations
were found between P-PFS scores and BMI, in adjusted linear regression models.
However, in logistic regression models of data from the clinical and non-clinical
samples, the P-PFS Food Available domain score was significantly and robustly
associated with belonging to the clinical sample (OR = 1.8, 95%CI: 1.2-2.8; p =
0.008), while in the population sample it was associated to being obese (OR =
2.1, 95%CI: 1.6-2.7; p < 0.001). Thus, hedonic hunger levels are associated with
obesity status with the odds of being obese approximately doubling for each unit
increase in the P-PFS Food Available score.
PMID- 29643338
TI - Causal Pathways from Blood Pressure to Larger Qrs Amplitudes a Mendelian
Randomization Study.
AB - Abnormal QRS duration and amplitudes on the electrocardiogram are indicative of
cardiac pathology and are associated with adverse outcomes. The causal nature of
these associations remains uncertain and could be due to QRS abnormalities being
a symptom of cardiac damage rather than a factor on the causal pathway. By
performing Mendelian randomization (MR) analyses using summary statistics of
genome wide association study consortia with sample sizes between 20,687 and
339,224 individuals, we aimed to determine which cardiovascular risk factors
causally lead to changes in QRS duration and amplitude (Sokolow-Lyon, Cornell and
12-leadsum products). Additionally, we aimed to determine whether QRS traits have
a causal relationship with mortality and longevity. We performed inverse-variance
weighted MR as main analyses and MR-Egger regression and weighted median
estimation as sensitivity analyses. We found evidence for a causal relationship
between higher blood pressure and larger QRS amplitudes (systolic blood pressure
on Cornell: 55SNPs, causal effect estimate per 1 mmHg = 9.77
millimeters.milliseconds (SE = 1.38,P = 1.20 * 10-12) and diastolic blood
pressure on Cornell: 57SNPs, causal effect estimate per 1 mmHg = 14.89
millimeters.milliseconds (SE = 1.82,P = 3.08 * 10-16), but not QRS duration.
Genetically predicted QRS traits were not associated with longevity, suggesting a
more prominent role of acquired factors in explaining the well-known link between
QRS abnormalities and outcome.
PMID- 29643339
TI - Desynchronization of diurnal rhythms in bipolar disorder and borderline
personality disorder.
AB - It has long been proposed that diurnal rhythms are disturbed in bipolar disorder
(BD). Such changes are obvious in episodes of mania or depression. However,
detailed study of patients between episodes has been rare and comparison with
other psychiatric disorders rarer still. Our hypothesis was that evidence for
desynchronization of diurnal rhythms would be evident in BD and that we could
test the specificity of any effect by studying borderline personality disorder
(BPD). Individuals with BD (n = 36), BPD (n = 22) and healthy volunteers (HC, n =
25) wore a portable heart rate and actigraphy device and used a smart-phone to
record self-assessed mood scores 10 times per day for 1 week. Average diurnal
patterns of heart rate (HR), activity and sleep were compared within and across
groups. Desynchronization in the phase of diurnal rhythms of HR compared with
activity were found in BPD (+3 h) and BD (+1 h), but not in HC. A clear diurnal
pattern for positive mood was found in all subject groups. The coherence between
negative and irritable mood and HR showed a four-cycle per day component in BD
and BPD, which was not present in HC. The findings highlight marked de
synchronisation of measured diurnal function in both BD but particularly BPD and
suggest an increased association with negative and irritable mood at ultradian
frequencies. These findings enhance our understanding of the underlying
physiological changes associated with BPD and BD, and suggest objective markers
for monitoring and potential treatment targets. Improved mood stabilisation is a
translational objective for management of both patient groups.
PMID- 29643340
TI - Potential formula of the nonregular m * n fan network and its application.
AB - Potential formula of an arbitrary resistor network has been an unsolved problem
for hundreds of years, which is an interdisciplinary problem that involves many
areas of natural science. A new progress has been made in this paper, which
discovered the potential formula of a nonregular m * n fan network with two
arbitrary boundaries by the Recursion-Transform method with potential parameters
(simply call RT-V). The nonregular m * n fan network is a multipurpose network
contains several different types of network model such as the interesting snail
network and hart network. In the meantime, we discussed the semi-infinite fan
network and a series of novel and special conclusions are produced, the effective
resistance is educed naturally. The discovery of potential formulae of resistor
network provides new theoretical tools and techniques for related scientific
research.
PMID- 29643341
TI - High power surface emitting terahertz laser with hybrid second- and fourth-order
Bragg gratings.
AB - A surface-emitting distributed feedback (DFB) laser with second-order gratings
typically excites an antisymmetric mode that has low radiative efficiency and a
double-lobed far-field beam. The radiative efficiency could be increased by using
curved and chirped gratings for infrared diode lasers, plasmon-assisted mode
selection for mid-infrared quantum cascade lasers (QCLs), and graded photonic
structures for terahertz QCLs. Here, we demonstrate a new hybrid grating scheme
that uses a superposition of second and fourth-order Bragg gratings that excite a
symmetric mode with much greater radiative efficiency. The scheme is implemented
for terahertz QCLs with metallic waveguides. Peak power output of 170 mW with a
slope-efficiency of 993 mW A-1 is detected with robust single-mode single-lobed
emission for a 3.4 THz QCL operating at 62 K. The hybrid grating scheme is
arguably simpler to implement than aforementioned DFB schemes and could be used
to increase power output for surface-emitting DFB lasers at any wavelength.
PMID- 29643342
TI - The relationship between outflow resistance and trabecular meshwork stiffness in
mice.
AB - It has been suggested that common mechanisms may underlie the pathogenesis of
primary open-angle glaucoma (POAG) and steroid-induced glaucoma (SIG). The
biomechanical properties (stiffness) of the trabecular meshwork (TM) have been
shown to differ between POAG patients and unaffected individuals. While features
such as ocular hypertension and increased outflow resistance in POAG and SIG have
been replicated in mouse models, whether changes of TM stiffness contributes to
altered IOP homeostasis remains unknown. We found that outer TM was stiffer than
the inner TM and, there was a significant positive correlation between outflow
resistance and TM stiffness in mice where conditions are well controlled. This
suggests that TM stiffness is intimately involved in establishing outflow
resistance, motivating further studies to investigate factors underlying TM
biomechanical property regulation. Such factors may play a role in the
pathophysiology of ocular hypertension. Additionally, this finding may imply that
manipulating TM may be a promising approach to restore normal outflow dynamics in
glaucoma. Further, novel technologies are being developed to measure ocular
tissue stiffness in situ. Thus, the changes of TM stiffness might be a surrogate
marker to help in diagnosing altered conventional outflow pathway function if
those technologies could be adapted to TM.
PMID- 29643343
TI - Author Correction: CryoEM structure of Saccharomyces cerevisiae U1 snRNP offers
insight into alternative splicing.
AB - The originally published version of this Article contained several errors in
Figure 2, panel a: the basepair register in SL3-4 of yeast U1 snRNA was depicted
incorrectly; the basepair for A287-U295 in yeast U1 snRNA was erroneously
present; basepairs for U84-G119, G309-U532, A288-U295 and U289-A294 in yeast U1
snRNA were missing; the bulging nucleotide in SL3 of human U1 snRNA was depicted
as G instead of C; and the dashed boxes defining the 5' ss binding site and Sm
site in both human and yeast snRNAs were not drawn accurately. These have now
been corrected in both the PDF and HTML versions of the Article.
PMID- 29643344
TI - Bumblebee olfactory learning affected by task allocation but not by a trypanosome
parasite.
AB - Parasites can induce behavioural changes in their host organisms. Several
parasite species are known to infect bumblebees, an important group of
pollinators. Task allocation within bumblebee colonies can also cause differences
in behaviour. Thus, task allocation may lead to context-dependent impacts of
parasites on host behaviour. This study uses Bombus terrestris and its gut
trypanosome Crithidia bombi, to investigate the effects of parasitism, task
allocation (foraging or nest-work) and their interactions, on olfactory learning.
Prior to undergoing the olfactory learning task, bees were orally infected with a
field-realistic dose of C. bombi, and observed to determine task allocation.
Parasitism did not significantly affect olfactory learning, but task allocation
did, with foragers being significantly more likely to learn than nest bees. There
was no significant interaction between parasitism and task. These results suggest
that C. bombi is unlikely to affect pollination services via changes in olfactory
learning of its host if bees are under no environmental or nutritional stress.
However, wild and commercial colonies are likely to face such stressors. Future
studies in the field are needed to extrapolate our results to real world effects.
PMID- 29643345
TI - Fluorinated reduced graphene oxide as a protective layer on the metallic lithium
for application in the high energy batteries.
AB - Metallic lithium is considered to be one of the most promising anode materials
since it offers high volumetric and gravimetric energy densities when combined
with high-voltage or high-capacity cathodes. However, the main impediment to the
practical applications of metallic lithium is its unstable solid electrolyte
interface (SEI), which results in constant lithium consumption for the formation
of fresh SEI, together with lithium dendritic growth during electrochemical
cycling. Here we present the electrochemical performance of a fluorinated reduced
graphene oxide interlayer (FGI) on the metallic lithium surface, tested in
lithium symmetrical cells and in combination with two different cathode
materials. The FGI on the metallic lithium exhibit two roles, firstly it acts as
a Li-ion conductive layer and electronic insulator and secondly, it effectively
suppresses the formation of high surface area lithium (HSAL). An enhanced
electrochemical performance of the full cell battery system with two different
types of cathodes was shown in the carbonate or in the ether based electrolytes.
The presented results indicate a potential application in future secondary Li
metal batteries.
PMID- 29643346
TI - Ultrastable metallic glasses formed on cold substrates.
AB - Vitrification from physical vapor deposition is known to be an efficient way for
tuning the kinetic and thermodynamic stability of glasses and significantly
improve their properties. There is a general consensus that preparing stable
glasses requires the use of high substrate temperatures close to the glass
transition one, Tg. Here, we challenge this empirical rule by showing the
formation of Zr-based ultrastable metallic glasses (MGs) at room temperature,
i.e., with a substrate temperature of only 0.43Tg. By carefully controlling the
deposition rate, we can improve the stability of the obtained glasses to higher
values. In contrast to conventional quenched glasses, the ultrastable MGs exhibit
a large increase of Tg of ~60 K, stronger resistance against crystallization, and
more homogeneous structure with less order at longer distances. Our study
circumvents the limitation of substrate temperature for developing ultrastable
glasses, and provides deeper insight into glasses stability and their surface
dynamics.
PMID- 29643347
TI - Supported black phosphorus nanosheets as hydrogen-evolving photocatalyst
achieving 5.4% energy conversion efficiency at 353 K.
AB - Solar-driven water splitting using powdered catalysts is considered as the most
economical means for hydrogen generation. However, four-electron-driven oxidation
half-reaction showing slow kinetics, accompanying with insufficient light
absorption and rapid carrier combination in photocatalysts leads to low solar-to
hydrogen energy conversion efficiency. Here, we report amorphous cobalt phosphide
(Co-P)-supported black phosphorus nanosheets employed as photocatalysts can
simultaneously address these issues. The nanosheets exhibit robust hydrogen
evolution from pure water (pH = 6.8) without bias and hole scavengers, achieving
an apparent quantum efficiency of 42.55% at 430 nm and energy conversion
efficiency of over 5.4% at 353 K. This photocatalytic activity is attributed to
extremely efficient utilization of solar energy (~75% of solar energy) by black
phosphorus nanosheets and high-carrier separation efficiency by amorphous Co-P.
The hybrid material design realizes efficient solar-to-chemical energy conversion
in suspension, demonstrating the potential of black phosphorus-based materials as
catalysts for solar hydrogen production.
PMID- 29643348
TI - Publisher Correction: New noninvasive index for predicting liver fibrosis in
Asian patients with chronic viral hepatitis.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29643349
TI - Chirality-controlled crystallization via screw dislocations.
AB - Chirality plays an important role in science from enantiomeric separation in
chemistry to chiral plasmonics in nanotechnology. However, the understanding of
chirality amplification from chiral building blocks to ordered helical
superstructures remains a challenge. Here, we demonstrate that topological
defects, such as screw dislocations, can drive the chirality transfer from
particle to supramolecular structure level during the crystallization process. By
using a model system of chiral particles, which enables direct imaging of single
particle incorporation into growing crystals, we show that the crystallization
kinetic pathway is the key parameter for monitoring, via the defects, the
chirality amplification of the crystalline structures from racemic to
predominantly homohelical. We provide an explanation based on the interplay
between geometrical frustration, racemization induced by thermal fluctuations,
and particle chirality. Our results demonstrate that screw dislocations not only
promote the growth, but also control the chiral morphology and therefore the
functionality of crystalline states.
PMID- 29643350
TI - Towards a new approach to reveal dynamical organization of the brain using
topological data analysis.
AB - Little is known about how our brains dynamically adapt for efficient functioning.
Most previous work has focused on analyzing changes in co-fluctuations between a
set of brain regions over several temporal segments of the data. We argue that by
collapsing data in space or time, we stand to lose useful information about the
brain's dynamical organization. Here we use Topological Data Analysis to reveal
the overall organization of whole-brain activity maps at a single-participant
level-as an interactive representation-without arbitrarily collapsing data in
space or time. Using existing multitask fMRI datasets, with the known ground
truth about the timing of transitions from one task-block to next, our approach
tracks both within- and between-task transitions at a much faster time scale (~4
9 s) than before. The individual differences in the revealed dynamical
organization predict task performance. In summary, our approach distills complex
brain dynamics into interactive and behaviorally relevant representations.
PMID- 29643352
TI - Phylogeny, biogeography and methodology: a meta-analytic perspective on
heterogeneity in adult marine turtle survival rates.
AB - Comparative syntheses of key demographic parameters are critical not only for
identifying data gaps, but also for evaluating sources of heterogeneity among
estimates. Because demographic studies frequently exhibit heterogeneity,
evaluating sources of heterogeneity among estimates can inform biological
patterns and conservation actions more broadly. To better understand adult
survival in marine turtles and avoid drawing inaccurate conclusions from current
estimates, we conducted a comprehensive meta-analysis to test how heterogeneity
among estimates was partitioned among phylogenetic, biogeographic and
methodological factors. Fifty-nine studies from five marine turtle species met
the minimum selection criteria for inclusion in our meta-analysis. Heterogeneity
among survival estimates was first partitioned between differences in ocean basin
(Indo-Pacific versus Atlantic), then by differences among family/tribe within the
Indo-Pacific (Chelonini versus Carettini and Dermochelidae). However, apparent
differences attributed to biogeography (ocean basin effect) and phylogeny
(family/tribe effect) were highly correlated with methodological differences in
tag type, model type, habitat type and study duration, thereby confounding
biological interpretations and complicating efforts to use many current survival
estimates in population assessments. Our results highlight the importance of
evaluating sources of heterogeneity when interpreting patterns among similar
demographic studies and directly inform efforts to identify research priorities
for marine turtles globally.
PMID- 29643351
TI - Synaptically silent sensory hair cells in zebrafish are recruited after damage.
AB - Analysis of mechanotransduction among ensembles of sensory hair cells in vivo is
challenging in many species. To overcome this challenge, we used optical
indicators to investigate mechanotransduction among collections of hair cells in
intact zebrafish. Our imaging reveals a previously undiscovered disconnect
between hair-cell mechanosensation and synaptic transmission. We show that
saturating mechanical stimuli able to open mechanically gated channels are
unexpectedly insufficient to evoke vesicle fusion in the majority of hair cells.
Although synaptically silent, latent hair cells can be rapidly recruited after
damage, demonstrating that they are synaptically competent. Therefore
synaptically silent hair cells may be an important reserve that acts to maintain
sensory function. Our results demonstrate a previously unidentified level of
complexity in sculpting sensory transmission from the periphery.
PMID- 29643353
TI - Publisher Correction: Rotating robots move collectively and self-organize.
AB - The original version of this Article contained an error in Fig. 5. In Fig. 5e,
the scale on the y-axis originally incorrectly went from '0' to '1.10-4'. The
correct scale goes from '0' to '0.4'. In Fig 5f, the x-axis labels were
incorrectly shifted to the right. This has been corrected in both the PDF and
HTML versions of the Article.
PMID- 29643354
TI - Age-Period-Cohort Analysis of Trends in Mortality from Drowning in China: Data
from the Global Burden of Disease Study 2015.
AB - The studies on drowning mortality are very scarce in China, and the aim of this
study is to identify the long-term patterns of drowning mortality in China
between 1990 and 2015 to provide evidence for further prevention and control on
drowning. The mortality data were derived from the Global Burden of Disease Study
2015 and were analyzed with the age-period-cohort framework. This study
demonstrated that the age-standardized mortality rates for drowning in both sexes
displayed general declining trends with a decrease in the drowning mortality rate
for every age group. In the same birth cohort, both sexes witnessed a substantial
decline followed by a slight increase in the risk of death from drowning with age
after controlling for period deviations. The estimated period and cohort relative
risks were found in similar monotonic downward patterns for both sexes, with more
reduction for females than for males during the whole study period.
PMID- 29643355
TI - Reverse translated and gold standard continuous performance tests predict global
cognitive performance in schizophrenia.
AB - Attentional dysfunction contributes to functional impairments in schizophrenia
(SZ). Sustained attention is typically assessed via continuous performance tasks
(CPTs), though many CPTs have limited cross-species translational validity and
place demands on additional cognitive domains. A reverse-translated 5-Choice
Continuous Performance Task (5C-CPT) for human testing-originally developed for
use in rodents-was designed to minimize demands on perceptual, visual learning,
processing speed, or working memory functions. To-date, no studies have validated
the 5C-CPT against gold standard attentional measures nor evaluated how 5C-CPT
scores relate to cognition in SZ. Here we examined the relationship between the
5C-CPT and the CPT-Identical Pairs (CPT-IP), an established and psychometrically
robust measure of vigilance from the MATRICS Consensus Cognitive Battery (MCCB)
in a sample of SZ patients (n = 35). Relationships to global and individual
subdomains of cognition were also assessed. 5C-CPT and CPT-IP measures of
performance (d-prime) were strongly correlated (r = 0.60). In a regression model,
the 5C-CPT and CPT-IP collectively accounted for 54% of the total variance in
MCCB total scores, and 27.6% of overall cognitive variance was shared between the
5C-CPT and CPT-IP. These results indicate that the reverse translated 5C-CPT and
the gold standard CPT-IP index a common attentional construct that also
significantly overlaps with variance in general cognitive performance. The use of
simple, cross-species validated behavioral indices of attentional/cognitive
functioning such as the 5C-CPT could accelerate the development of novel
generalized pro-cognitive therapeutics for SZ and related neuropsychiatric
disorders.
PMID- 29643356
TI - DISC1 regulates lactate metabolism in astrocytes: implications for psychiatric
disorders.
AB - Our knowledge of how genetic risk variants contribute to psychiatric disease is
mainly limited to neurons. However, the mechanisms whereby the same genetic risk
factors could affect the physiology of glial cells remain poorly understood. We
studied the role of a psychiatric genetic risk factor, Disrupted-In-Schizophrenia
1 (DISC1), in metabolic functions of astrocytes. We evaluated the effects of
knockdown of mouse endogenous DISC1 (DISC1-KD) and expression of a dominant
negative, C-terminus truncated human DISC1 (DN-DISC1) on the markers of energy
metabolism, including glucose uptake and lactate production, in primary
astrocytes and in mice with selective expression of DN-DISC1 in astrocytes. We
also assessed the effects of lactate treatment on altered affective behaviors and
impaired spatial memory in DN-DISC1 mice. Both DISC1-KD and DN-DISC1 comparably
decreased mRNA and protein levels of glucose transporter 4 and glucose uptake by
primary astrocytes. Decreased glucose uptake was associated with reduced
oxidative phosphorylation and glycolysis as well as diminished lactate production
in vitro and in vivo. No significant effects of DISC1 manipulations in astrocytes
were observed on expression of the subunits of the electron transport chain
complexes or mitofilin, a neuronal DISC1 partner. Lactate treatment rescued the
abnormal behaviors in DN-DISC1 male and female mice. Our results suggest that
DISC1 may be involved in the regulation of lactate production in astrocytes to
support neuronal activity and associated behaviors. Abnormal expression of DISC1
in astrocytes and resulting abnormalities in energy supply may be responsible for
aspects of mood and cognitive disorders observed in patients with major
psychiatric illnesses.
PMID- 29643357
TI - Release of Staphylococcus aureus extracellular vesicles and their application as
a vaccine platform.
AB - Secretion of extracellular vesicles (EVs), a process common to eukaryotes,
archae, and bacteria, represents a secretory pathway that allows cell-free
intercellular communication. Microbial EVs package diverse proteins and influence
the host-pathogen interaction, but the mechanisms underlying EV production in
Gram-positive bacteria are poorly understood. Here we show that EVs purified from
community-associated methicillin-resistant Staphylococcus aureus package
cytosolic, surface, and secreted proteins, including cytolysins. Staphylococcal
alpha-type phenol-soluble modulins promote EV biogenesis by disrupting the
cytoplasmic membrane; whereas, peptidoglycan cross-linking and autolysin activity
modulate EV production by altering the permeability of the cell wall. We
demonstrate that EVs purified from a S. aureus mutant that is genetically
engineered to express detoxified cytolysins are immunogenic in mice, elicit
cytolysin-neutralizing antibodies, and protect the animals in a lethal sepsis
model. Our study reveals mechanisms underlying S. aureus EV production and
highlights the usefulness of EVs as a S. aureus vaccine platform.
PMID- 29643358
TI - Polygenic risk for schizophrenia and measured domains of cognition in individuals
with psychosis and controls.
AB - Psychotic disorders including schizophrenia are commonly accompanied by cognitive
deficits. Recent studies have reported negative genetic correlations between
schizophrenia and indicators of cognitive ability such as general intelligence
and processing speed. Here we compare the effect of polygenetic risk for
schizophrenia (PRSSCZ) on measures that differ in their relationships with
psychosis onset: a measure of current cognitive abilities (the Brief Assessment
of Cognition in Schizophrenia, BACS) that is greatly reduced in psychotic
disorder patients, a measure of premorbid intelligence that is minimally affected
by psychosis onset (the Wide-Range Achievement Test, WRAT); and educational
attainment (EY), which covaries with both BACS and WRAT. Using genome-wide single
nucleotide polymorphism (SNP) data from 314 psychotic and 423 healthy research
participants in the Bipolar-Schizophrenia Network for Intermediate Phenotypes (B
SNIP) Consortium, we investigated the association of PRSSCZ with BACS, WRAT, and
EY. Among apparently healthy individuals, greater genetic risk for schizophrenia
(PRSSCZ) was significantly associated with lower BACS scores (r = -0.17, p = 6.6
* 10-4 at PT = 1 * 10-4), but not with WRAT or EY. Among individuals with
psychosis, PRSSCZ did not associate with variations in any of these three
phenotypes. We further investigated the association between PRSSCZ and WRAT in
more than 4500 healthy subjects from the Philadelphia Neurodevelopmental Cohort.
The association was again null (p > 0.3, N = 4511), suggesting that different
cognitive phenotypes vary in their etiologic relationship with schizophrenia.
PMID- 29643359
TI - IL23 and TGF-beta diminish macrophage associated metastasis in pancreatic
carcinoma.
AB - The precise role of tumor associated macrophages remains unclear in pancreatic
ductal adenocarcinoma (PDAC) while TGF-beta has an unclear role in metastases
formation. In order to understand the role of IL23, an interleukin associated
with macrophage polarization, we investigated IL23 in the context of TGF-beta
expression in PDAC. We hypothesized that IL23 expression is associated with
metastatic development and survival in PDAC. We investigated IL23 and TGF-beta
protein expression on resected PDAC patient tumor sections who were divided into
short-term (<12 months) survivors and long-term (>30 months) survivors. Panc-1
cells treated with IL23, TGF-beta, macrophages, or combinations thereof, were
orthotopically implanted into NSG mice. Patients in the long-term survivor group
had higher IL23 protein expression (P = 0.01). IL23 expression was linearly
correlated with TGF-beta expression in patients in the short-term survivor group
(P = 0.038). Macrophages induce a higher rate of PDAC metastasis in the mouse
model (P = 0.02), which is abrogated by IL23 and TGF-beta treatment (P < 0.001).
Macrophages serve a critical role in PDAC tumor growth and metastasis. TGF-beta
contributes to a less tumorigenic TME through regulation of macrophages.
Macrophages increases PDAC primary tumor growth and metastases formation while
combined IL23 and TGF-beta pre-treatment diminishes these processes.
PMID- 29643360
TI - Ghrelin is a persistent biomarker for chronic stress exposure in adolescent rats
and humans.
AB - Prolonged stressor exposure in adolescence enhances the risk of developing stress
sensitive mental illnesses, including posttraumatic stress disorder (PTSD), for
many years following exposure cessation, but the biological underpinnings of this
long-term vulnerability are unknown. We show that severe stressor exposure
increased circulating levels of the hormone acyl-ghrelin in adolescent rats for
at least 130 days and in adolescent humans for at least 4.5 years. Using a rodent
model of longitudinal PTSD vulnerability in which rodents with a history of
stressor exposure during adolescence display enhanced fear in response to fear
conditioning administered weeks after stressor exposure ends, we show that
systemic delivery of a ghrelin receptor antagonist for 4 weeks surrounding
stressor exposure (2 weeks during and 2 weeks following) prevented stress
enhanced fear memory. These data suggest that protracted exposure to elevated
acyl-ghrelin levels mediates a persistent vulnerability to stress-enhanced fear
after stressor exposure ends.
PMID- 29643361
TI - Lithium diffusion in Li5FeO4.
AB - The anti-fluorite type Li5FeO4 has attracted significant interest as a potential
cathode material for Li ion batteries due to its high Li content and
electrochemical performance. Atomic scale simulation techniques have been
employed to study the defects and Li ion migration in Li5FeO4. The calculations
suggest that the most favorable intrinsic defect type is calculated to be the
cation anti-site defect, in which Li+ and Fe3+ ions exchange positions. Li
Frenkel is also found to be lower in this material (0.85 eV/defect). Long range
lithium diffusion paths were constructed in Li5FeO4 and it is confirmed that the
lower migration paths are three dimensional with the lowest activation energy of
migration at 0.45 eV. Here we show that doping by Si on the Fe site is
energetically favourable and an efficient way to introduce a high concentration
of lithium vacancies. The introduction of Si increases the migration energy
barrier of Li in the vicinity of the dopant to 0.59 eV. Nevertheless, the
introduction of Si is positive for the diffusivity as the migration energy
barrier increase is lower less than that of the lithium Frenkel process,
therefore the activation energy of Li diffusion.
PMID- 29643362
TI - Eigenmode orthogonality breaking and anomalous dynamics in multimode nano
optomechanical systems under non-reciprocal coupling.
AB - Thermal motion of nanomechanical probes directly impacts their sensitivities to
external forces. Its proper understanding is therefore critical for ultimate
force sensing. Here, we investigate a vectorial force field sensor: a singly
clamped nanowire oscillating along two quasi-frequency-degenerate transverse
directions. Its insertion in a rotational optical force field couples its
eigenmodes non-symmetrically, causing dramatic modifications of its mechanical
properties. In particular, the eigenmodes lose their intrinsic orthogonality. We
show that this circumstance is at the origin of an anomalous excess of noise and
of a violation of the fluctuation dissipation relation. Our model, which
quantitatively accounts for all observations, provides a novel modified version
of the fluctuation dissipation theorem that remains valid in non-conservative
rotational force fields, and that reveals the prominent role of non-axial
mechanical susceptibilities. These findings help understand the intriguing
properties of thermal fluctuations in non-reciprocally-coupled multimode systems.
PMID- 29643363
TI - Publisher Correction: Triparental plants provide direct evidence for polyspermy
induced polyploidy.
AB - This Article contained errors in Fig. 3 that were brought to our attention by the
authors during the production process but, inadvertently, were not corrected
before publication. The tick marks on the y-axis in panels b, f, and k, and the
median line in the box-and-whisker plot for biparental diploid plants (BP) in
panel i were shifted downwards by up to 2 mm. This has now been corrected in both
the PDF and HTML versions of the Article.
PMID- 29643364
TI - PREDICTD PaRallel Epigenomics Data Imputation with Cloud-based Tensor
Decomposition.
AB - The Encyclopedia of DNA Elements (ENCODE) and the Roadmap Epigenomics Project
seek to characterize the epigenome in diverse cell types using assays that
identify, for example, genomic regions with modified histones or accessible
chromatin. These efforts have produced thousands of datasets but cannot possibly
measure each epigenomic factor in all cell types. To address this, we present a
method, PaRallel Epigenomics Data Imputation with Cloud-based Tensor
Decomposition (PREDICTD), to computationally impute missing experiments. PREDICTD
leverages an elegant model called "tensor decomposition" to impute many
experiments simultaneously. Compared with the current state-of-the-art method,
ChromImpute, PREDICTD produces lower overall mean squared error, and combining
the two methods yields further improvement. We show that PREDICTD data captures
enhancer activity at noncoding human accelerated regions. PREDICTD provides
reference imputed data and open-source software for investigating new cell types,
and demonstrates the utility of tensor decomposition and cloud computing, both
promising technologies for bioinformatics.
PMID- 29643365
TI - Probing the strongly driven spin-boson model in a superconducting quantum
circuit.
AB - Quantum two-level systems interacting with the surroundings are ubiquitous in
nature. The interaction suppresses quantum coherence and forces the system
towards a steady state. Such dissipative processes are captured by the
paradigmatic spin-boson model, describing a two-state particle, the "spin",
interacting with an environment formed by harmonic oscillators. A fundamental
question to date is to what extent intense coherent driving impacts a strongly
dissipative system. Here we investigate experimentally and theoretically a
superconducting qubit strongly coupled to an electromagnetic environment and
subjected to a coherent drive. This setup realizes the driven Ohmic spin-boson
model. We show that the drive reinforces environmental suppression of quantum
coherence, and that a coherent-to-incoherent transition can be achieved by tuning
the drive amplitude. An out-of-equilibrium detailed balance relation is
demonstrated. These results advance fundamental understanding of open quantum
systems and bear potential for the design of entangled light-matter states.
PMID- 29643366
TI - Constant strain accumulation rate between major earthquakes on the North
Anatolian Fault.
AB - Earthquakes are caused by the release of tectonic strain accumulated between
events. Recent advances in satellite geodesy mean we can now measure this
interseismic strain accumulation with a high degree of accuracy. But it remains
unclear how to interpret short-term geodetic observations, measured over decades,
when estimating the seismic hazard of faults accumulating strain over centuries.
Here, we show that strain accumulation rates calculated from geodetic
measurements around a major transform fault are constant for its entire 250-year
interseismic period, except in the ~10 years following an earthquake. The shear
strain rate history requires a weak fault zone embedded within a strong lower
crust with viscosity greater than ~1020 Pa s. The results support the notion that
short-term geodetic observations can directly contribute to long-term seismic
hazard assessment and suggest that lower-crustal viscosities derived from
postseismic studies are not representative of the lower crust at all spatial and
temporal scales.
PMID- 29643367
TI - Author Correction: Culex pipiens crossing type diversity is governed by an
amplified and polymorphic operon of Wolbachia.
AB - In the originally published HTML and PDF versions of this Article, gel images in
Figures 7c and 8c were not prepared as per the Nature journal policy. These
figure panels have now been corrected in both the PDF and HTML versions of the
Article.In Fig. 7c, the lane labelled 'Ha' was inappropriately duplicated to
represent the lane labelled 'Ich13'. The corrected version of Fig. 7c includes
PCR-RFLP on DNA from the Ichkeul 13 line, which had been run on a separate gel.
The original unprocessed gel images are provided in Supplementary Figure 1
associated with this correction, with the relevant corresponding bands denoted. A
repeat experiment of the PCR-RFLP test is also presented as Supplementary Figure
2.In Fig. 8c, the image was assembled from two separate gels without clear
demarcation. The corrected Fig. 8c clearly separates lanes from the two gels, and
the original unprocessed gel images are provided in the Supplementary Information
associated with this correction.These corrections do not alter the original
meaning of the experiments, their results, their interpretation, or the
conclusions of the paper. We apologize for any confusion this may have caused to
the readers of Nature Communications.
PMID- 29643368
TI - Controlling symmetry and localization with an artificial gauge field in a
disordered quantum system.
AB - Anderson localization, the absence of diffusion in disordered media, draws its
origins from the destructive interference between multiple scattering paths. The
localization properties of disordered systems are expected to be dramatically
sensitive to their symmetries. So far, this question has been little explored
experimentally. Here we investigate the realization of an artificial gauge field
in a synthetic (temporal) dimension of a disordered, periodically driven quantum
system. Tuning the strength of this gauge field allows us to control the parity
time symmetry properties of the system, which we probe through the experimental
observation of three symmetry-sensitive signatures of localization. The first two
are the coherent backscattering, marker of weak localization, and the recently
predicted coherent forward scattering, genuine interferential signature of
Anderson localization. The third is the direct measurement of the beta(g) scaling
function in two different symmetry classes, allowing to demonstrate its
universality and the one-parameter scaling hypothesis.
PMID- 29643369
TI - Allosteric regulation alters carrier domain translocation in pyruvate
carboxylase.
AB - Pyruvate carboxylase (PC) catalyzes the ATP-dependent carboxylation of pyruvate
to oxaloacetate. The reaction occurs in two separate catalytic domains, coupled
by the long-range translocation of a biotinylated carrier domain (BCCP). Here, we
use a series of hybrid PC enzymes to examine multiple BCCP translocation pathways
in PC. These studies reveal that the BCCP domain of PC adopts a wide range of
translocation pathways during catalysis. Furthermore, the allosteric activator,
acetyl CoA, promotes one specific intermolecular carrier domain translocation
pathway. These results provide a basis for the ordered thermodynamic state and
the enhanced carboxyl group transfer efficiency in the presence of acetyl CoA,
and reveal that the allosteric effector regulates enzyme activity by altering
carrier domain movement. Given the similarities with enzymes involved in the
modular synthesis of natural products, the allosteric regulation of carrier
domain movements in PC is likely to be broadly applicable to multiple important
enzyme systems.
PMID- 29643372
TI - Good and Bad: Love and Intimacy From Plato to Melanie Klein.
AB - Melanie Klein's theories on love outline a complex system of relations-an
oscillating dynamic of psychical and emotional tendencies following from both
actual experience and fantasies produced by the mind. Her insights are often
discussed and applied in psychoanalytical contexts, but the philosophical
implications of her theory-especially in relation to Platonic thought-have rarely
been discussed. In this article, I will attempt to address this gap by setting
out some preliminary yet core considerations shared by both Plato and Klein.
First, I will describe some structural parallels between Kleinian and Platonic
thought, especially in dialectical terms. Second, I will outline Plato's covert
influence on Freud as passing through the teachings of philosopher Franz
Brentano. And last, I will discuss intimacy as a struggle between the forces of
good and bad, creativity and destruction, and love and hate-suggesting that
Klein's conception of love emerges as a moral exigency.
PMID- 29643373
TI - READING WILLY WONKA IN THE ERA OF ANTI-THINKING.
AB - Whether encountered as a movie or novel, Roald Dahl's Charlie and the Chocolate
Factory is a childhood staple of postwar Anglophone culture. Originally published
in 1964, Dahl's story of "Willie Wonka" is a morality tale for our times
addressed by the present essay in relation to the precariousness, violence,
intergenerational faith, and materialist fantasies reflective of contemporary
life in the early twenty-first century. Compensating for the precarity of
contemporary life's impoverishment as assumptions of societal stability are
overthrown, this chronicle of the Bucket family details: envious desire validated
by large group chosen trauma; authoritarian enslavement of inferior, colonized
peoples with murderous, industrial-level human experimentation; toward
gratification of the greedy fantasy of unlimited sweetness under the sway of
lethal identification with the aggressor.
PMID- 29643370
TI - How single mutations affect viral escape from broad and narrow antibodies to H1
influenza hemagglutinin.
AB - Influenza virus can escape most antibodies with single mutations. However, rare
antibodies broadly neutralize many viral strains. It is unclear how easily
influenza virus might escape such antibodies if there was strong pressure to do
so. Here, we map all single amino-acid mutations that increase resistance to
broad antibodies to H1 hemagglutinin. Our approach not only identifies antigenic
mutations but also quantifies their effect sizes. All antibodies select
mutations, but the effect sizes vary widely. The virus can escape a broad
antibody to hemagglutinin's receptor-binding site the same way it escapes narrow
strain-specific antibodies: via single mutations with huge effects. In contrast,
broad antibodies to hemagglutinin's stalk only select mutations with small
effects. Therefore, among the antibodies we examine, breadth is an imperfect
indicator of the potential for viral escape via single mutations. Antibodies
targeting the H1 hemagglutinin stalk are quantifiably harder to escape than the
other antibodies tested here.
PMID- 29643374
TI - BPA exposure is associated with non-monotonic alteration in ESR1 promoter
methylation in peripheral blood of men and shorter relative telomere length in
peripheral blood of women.
AB - The aim of this study was to evaluate the potential association of urinary
Bisphenol A (BPA) levels with estrogen receptor alpha (ESR1) promoter %
methylation and relative telomere length in a sample of 482 participants. Urinary
BPA concentration was measured using organic phase extraction followed by high
performance liquid chromatography mass spectroscopy. Peripheral blood ESR1
promoter % methylation and relative telomere length were measured using direct
bisulfite sequencing and real-time polymerase chain reaction, respectively. The
mean +/- SD urinary BPA concentration adjusted for urinary creatinine was 2.90 +/
4.81 (MUg/g creatinine) with a median of 1.86 MUg/g creatinine (min-max: 13.84 * 109/L) were significantly associated with adverse
outcome. The respective increased risks were 5.2- (OR5.24, 95% CI 1.67-16.50, p =
0.005), 6.2-(OR 6.24, 95% CI 3.55-10.99, p < 0.001) and 10.9-fold (OR 9.35, 95%
CI 5.98-19.97, p < 0.001). The study revealed that Hunt-Hess grade, DIND and
preoperative leukocyte count (>13.84 * 109/L) were independent risk factors for
poor outcome of ruptured CA at 3 months. Higher leukocyte count is a convenient
and useful marker to predict 3-month poor outcome for ruptured CA.
PMID- 29643436
TI - Satellite DNA in Vicia faba is characterized by remarkable diversity in its
sequence composition, association with centromeres, and replication timing.
AB - Satellite DNA, a class of repetitive sequences forming long arrays of tandemly
repeated units, represents substantial portions of many plant genomes yet remains
poorly characterized due to various methodological obstacles. Here we show that
the genome of the field bean (Vicia faba, 2n = 12), a long-established model for
cytogenetic studies in plants, contains a diverse set of satellite repeats, most
of which remained concealed until their present investigation. Using next
generation sequencing combined with novel bioinformatics tools, we reconstructed
consensus sequences of 23 novel satellite repeats representing 0.008-2.700% of
the genome and mapped their distribution on chromosomes. We found that in
addition to typical satellites with monomers hundreds of nucleotides long, V.
faba contains a large number of satellite repeats with unusually long monomers
(687-2033 bp), which are predominantly localized in pericentromeric regions.
Using chromatin immunoprecipitation with CenH3 antibody, we revealed an
extraordinary diversity of centromeric satellites, consisting of seven repeats
with chromosome-specific distribution. We also found that in spite of their
different nucleotide sequences, all centromeric repeats are replicated during mid
S phase, while most other satellites are replicated in the first part of late S
phase, followed by a single family of FokI repeats representing the latest
replicating chromatin.
PMID- 29643437
TI - Crystal structure of Bacillus cereus flagellin and structure-guided fusion
protein designs.
AB - Flagellin is a major component of the flagellar filament. Flagellin also
functions as a specific ligand that stimulates innate immunity through direct
interaction with Toll-like receptor 5 (TLR5) in the host. Because flagellin
activates the immune response, it has been of interest to develop as a vaccine
adjuvant in subunit vaccines or antigen fusion vaccines. Despite the widespread
application of flagellin fusion in preventing infectious diseases, flagellin
antigen fusion designs have never been biophysically and structurally
characterized. Moreover, flagellin from Salmonella species has been used
extensively despite containing hypervariable regions not required for TLR5 that
can cause an unexpected immune response. In this study, flagellin from Bacillus
cereus (BcFlg) was identified as the smallest flagellin molecule containing only
the conserved TLR5-activating D0 and D1 domains. The crystal structure of BcFlg
was determined to provide a scheme for fusion designs. Through homology-based
modeling and comparative structural analyses, diverse fusion strategies were
proposed. Moreover, cellular and biophysical analysis of an array of fusion
constructs indicated that insertion fusion at BcFlg residues 178-180 does not
interfere with the protein stability or TLR5-stimulating capacity of flagellin,
suggesting its usefulness in the development and optimization of flagellin fusion
vaccines.
PMID- 29643438
TI - Trends in the Timing and Magnitude of Ice-Jam Floods in Canada.
AB - Ice-jam floods (IJFs) are important hydrological and hydraulic events in the
northern hemisphere that are of major concern for citizens, authorities,
insurance companies and government agencies. In recent years, there have been
advances in assessing and quantifying climate change impacts on river ice
processes, however, an understanding of climate change and regulation impacts on
the timing and magnitude of IJFs remains limited. This study presents a global
overview of IJF case studies and discusses IJF risks in North America, one of the
most IJF prone regions according to literature. Then an assessment of shifts in
the timing and magnitude of IJFs in Canada is presented analyzing flow data from
1107 hydrometric stations across Canada for the period from 1903 to 2015. The
analyses show clear signals of climate change and regulation impacts in the
timing and magnitude of IJFs, particularly in small basins.
PMID- 29643439
TI - Author Correction: Salinity stratification controlled productivity variation over
300 ky in the Bay of Bengal.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643440
TI - Bacterial Pore-Forming Toxins Promote the Activation of Caspases in Parallel to
Necroptosis to Enhance Alarmin Release and Inflammation During Pneumonia.
AB - Pore-forming toxins are the most common virulence factor in pathogenic bacteria.
They lead to membrane permeabilization and cell death. Herein, we show that
respiratory epithelial cells (REC) undergoing bacterial pore-forming toxin (PFT)
induced necroptosis simultaneously experienced caspase activation independently
of RIPK3. MLKL deficient REC treated with a pan-caspase inhibitor were protected
in an additive manner against PFT-induced death. Subsequently, cleaved versions
of caspases-2, -4 and -10 were detected within REC undergoing necroptosis by
immunoblots and monoclonal antibody staining. Caspase activation was observed in
lung samples from mice and non-human primates experiencing Gram-negative and Gram
positive bacterial pneumonia, respectively. During apoptosis, caspase activation
normally leads to cell shrinkage, nuclear condensation, and immunoquiescent
death. In contrast, caspase activity during PFT-induced necroptosis increased the
release of alarmins to the extracellular milieu. Caspase-mediated alarmin release
was found sufficient to activate resting macrophages, leading to Interleukin-6
production. In a mouse model of Gram-negative pneumonia, deletion of caspases -2
and -11, the mouse orthologue of caspase-4, reduced pulmonary inflammation,
immune cell infiltration and lung damage. Thus, our study describes a previously
unrecognized role for caspase activation in parallel to necroptosis, and
indicates that their activity plays a critical pro-inflammatory role during
bacterial pneumonia.
PMID- 29643442
TI - Author Correction: EEG Transients in the Sigma Range During non-REM Sleep Predict
Learning in Dogs.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643441
TI - The sequence of cortical activity inferred by response latency variability in the
human ventral pathway of face processing.
AB - Variability in neuronal response latency has been typically considered caused by
random noise. Previous studies of single cells and large neuronal populations
have shown that the temporal variability tends to increase along the visual
pathway. Inspired by these previous studies, we hypothesized that functional
areas at later stages in the visual pathway of face processing would have larger
variability in the response latency. To test this hypothesis, we used
magnetoencephalographic data collected when subjects were presented with images
of human faces. Faces are known to elicit a sequence of activity from the primary
visual cortex to the fusiform gyrus. Our results revealed that the fusiform gyrus
showed larger variability in the response latency compared to the calcarine
fissure. Dynamic and spectral analyses of the latency variability indicated that
the response latency in the fusiform gyrus was more variable than in the
calcarine fissure between 70 ms and 200 ms after the stimulus onset and between 4
Hz and 40 Hz, respectively. The sequential processing of face information from
the calcarine sulcus to the fusiform sulcus was more reliably detected based on
sizes of the response variability than instants of the maximal response peaks.
With two areas in the ventral visual pathway, we show that the variability in
response latency across brain areas can be used to infer the sequence of cortical
activity.
PMID- 29643443
TI - DNA methylation-based biomarkers and the epigenetic clock theory of ageing.
AB - Identifying and validating molecular targets of interventions that extend the
human health span and lifespan has been difficult, as most clinical biomarkers
are not sufficiently representative of the fundamental mechanisms of ageing to
serve as their indicators. In a recent breakthrough, biomarkers of ageing based
on DNA methylation data have enabled accurate age estimates for any tissue across
the entire life course. These 'epigenetic clocks' link developmental and
maintenance processes to biological ageing, giving rise to a unified theory of
life course. Epigenetic biomarkers may help to address long-standing questions in
many fields, including the central question: why do we age?
PMID- 29643444
TI - A Bowman-Birk type chymotrypsin inhibitor peptide from the amphibian, Hylarana
erythraea.
AB - The first amphibian skin secretion-derived Bowman-Birk type chymotrypsin
inhibitor is described here from the Asian green frog, Hylarana erythraea, and
was identified by use of molecular cloning and tandem mass spectrometric amino
acid sequencing. It was named Hylarana erythraea chymotrypsin inhibitor (HECI)
and in addition to inhibition of chymotrypsin (Ki = 3.92 +/- 0.35 MUM), the
peptide also inhibited the 20 S proteasome (Ki = 8.55 +/- 1.84 MUM).
Additionally, an analogue of HECI, named K9-HECI, in which Phe9 was substituted
by Lys9 at the P1 position, was functional as a trypsin inhibitor. Both peptides
exhibited anti-proliferation activity against the human cancer cell lines, H157,
PC-3 and MCF-7, up to a concentration of 1 mM and possessed a low degree of
cytotoxicity on normal cells, HMEC-1. However, HECI exhibited higher anti
proliferative potency against H157. The results indicate that HECI, inhibiting
chymotryptic-like activity of proteasome, could provide new insights in treatment
of lung cancer.
PMID- 29643445
TI - Author Correction: The Incipient Motion Features of Sediment from Yangtze
Estuary: Annular Flume Experiments.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643446
TI - Author Correction: A novel quantitative PCR mediated by high-fidelity DNA
polymerase.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643447
TI - Mimicking Electromagnetic Wave Coupling in Tokamak Plasma with Fishnet
Metamaterials.
AB - This paper reports a fishnet hyperbolic metamaterial that mimics the
electromagnetic properties of magnetically confined plasma. These electromagnetic
properties are strongly anisotropic and different from any conventional material,
therefore cannot be mimicked by bulk materials. The structure is made of a stack
of thin copper grids spaced by Rohacell foam. We numerically and experimentally
show that this kind of structuration matches well the properties of a homogeneous
plasma. This solution breaks a long-lasting bottleneck and will accelerate the
development of high-frequency heating systems to be used in nuclear fusion.
PMID- 29643449
TI - An Automatic Diagnosis Method of Facial Acne Vulgaris Based on Convolutional
Neural Network.
AB - In this paper, we present a new automatic diagnosis method for facial acne
vulgaris which is based on convolutional neural networks (CNNs). To overcome the
shortcomings of previous methods which were the inability to classify enough
types of acne vulgaris. The core of our method is to extract features of images
based on CNNs and achieve classification by classifier. A binary-classifier of
skin-and-non-skin is used to detect skin area and a seven-classifier is used to
achieve the classification task of facial acne vulgaris and healthy skin. In the
experiments, we compare the effectiveness of our CNN and the VGG16 neural network
which is pre-trained on the ImageNet data set. We use a ROC curve to evaluate the
performance of binary-classifier and use a normalized confusion matrix to
evaluate the performance of seven-classifier. The results of our experiments show
that the pre-trained VGG16 neural network is effective in extracting features
from facial acne vulgaris images. And the features are very useful for the follow
up classifiers. Finally, we try applying the classifiers both based on the pre
trained VGG16 neural network to assist doctors in facial acne vulgaris diagnosis.
PMID- 29643448
TI - Shorter sleep duration and better sleep quality are associated with greater
tissue density in the brain.
AB - Poor sleep quality is associated with unfavorable psychological measurements,
whereas sleep duration has complex relationships with such measurements. The aim
of this study was to identify the associations between microstructural properties
of the brain and sleep duration/sleep quality in a young adult. The associations
between mean diffusivity (MD), a measure of diffusion tensor imaging (DTI), and
sleep duration/sleep quality were investigated in a study cohort of 1201 normal
young adults. Positive correlations between sleep duration and MD of widespread
areas of the brain, including the prefrontal cortex (PFC) and the dopaminergic
systems, were identified. Negative correlations between sleep quality and MD of
the widespread areas of the brain, including the PFC and the right hippocampus,
were also detected. Lower MD has been previously associated with more neural
tissues in the brain. Further, shorter sleep duration was associated with greater
persistence and executive functioning (lower Stroop interference), whereas good
sleep quality was associated with states and traits relevant to positive affects.
These results suggest that bad sleep quality and longer sleep duration were
associated with aberrant neurocognitive measurements in the brain in healthy
young adults.
PMID- 29643450
TI - Author Correction: Methods for Scarless, Selection-Free Generation of Human Cells
and Allele-Specific Functional Analysis of Disease-Associated SNPs and Variants
of Uncertain Significance.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643451
TI - Author Correction: Optimised metrics for CRISPR-KO screens with second-generation
gRNA libraries.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643452
TI - Author Correction: Contributions of cuticle permeability and enzyme
detoxification to pyrethroid resistance in the major malaria vector Anopheles
gambiae.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29643453
TI - Alternative SNP detection platforms, HRM and biosensors, for varietal
identification in Vitis vinifera L. using F3H and LDOX genes.
AB - The wine sector requires quick and reliable methods for Vitis vinifera L.
varietal identification. The number of V. vinifera varieties is estimated in
about 5,000 worldwide. Single Nucleotide Polymorphisms (SNPs) represent the most
basic and abundant form of genetic sequence variation, being adequate for
varietal discrimination. The aim of this work was to develop DNA-based assays
suitable to detect SNP variation in V. vinifera, allowing varietal
discrimination. Genotyping by sequencing allowed the detection of eleven SNPs on
two genes of the anthocyanin pathway, the flavanone 3-hydroxylase (F3H, EC:
1.14.11.9), and the leucoanthocyanidin dioxygenase (LDOX, EC 1.14.11.19; synonym
anthocyanidin synthase, ANS) in twenty V. vinifera varieties. Three High
Resolution Melting (HRM) assays were designed based on the sequencing
information, discriminating five of the 20 varieties: Alicante Bouschet,
Donzelinho Tinto, Merlot, Moscatel Galego and Tinta Roriz. Sanger sequencing of
the HRM assay products confirmed the HRM profiles. Three probes, with different
lengths and sequences, were used as bio-recognition elements in an optical
biosensor platform based on a long period grating (LPG) fiber optic sensor. The
label free platform detected a difference of a single SNP using genomic DNA
samples. The two different platforms were successfully applied for grapevine
varietal identification.
PMID- 29643454
TI - Activation of the DnaK-ClpB Complex is Regulated by the Properties of the Bound
Substrate.
AB - The chaperone ClpB in bacteria is responsible for the reactivation of aggregated
proteins in collaboration with the DnaK system. Association of these chaperones
at the aggregate surface stimulates ATP hydrolysis, which mediates substrate
remodeling. However, a question that remains unanswered is whether the
bichaperone complex can be selectively activated by substrates that require
remodeling. We find that large aggregates or bulky, native-like substrates
activates the complex, whereas a smaller, permanently unfolded protein or
extended, short peptides fail to stimulate it. Our data also indicate that ClpB
interacts differently with DnaK in the presence of aggregates or small peptides,
displaying a higher affinity for aggregate-bound DnaK, and that DnaK-ClpB
collaboration requires the coupled ATPase-dependent remodeling activities of both
chaperones. Complex stimulation is mediated by residues at the beta subdomain of
DnaK substrate binding domain, which become accessible to the disaggregase when
the lid is allosterically detached from the beta subdomain. Complex activation
also requires an active NBD2 and the integrity of the M domain-ring of ClpB.
Disruption of the M-domain ring allows the unproductive stimulation of the DnaK
ClpB complex in solution. The ability of the DnaK-ClpB complex to discriminate
different substrate proteins might allow its activation when client proteins
require remodeling.
PMID- 29643455
TI - Implementation of a Toffoli gate using an array of coupled cavities in a single
step.
AB - The Toffoli gate (controlled-controlled-NOT gate) is one typical three-qubit
gate, it plus a Hadamard gate form a universal set of gates in quantum
computation. We present an efficient method to implement the Toffoli gate using
an array of coupled cavities with one three-level atom in each cavity. The large
detuning between atoms and classical (quantum) fields plays an important role and
the gate is implemented in one-step. The quantum information is encoded into the
low-lying states of identical atoms and it is convenient to address qubit
individually. Based on the Markovian master equation, it is shown that the scheme
to implement the Toffoli gate is robust against the decoherence.
PMID- 29643456
TI - Publisher Correction: Metacognitive impairments extend perceptual decision making
weaknesses in compulsivity.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29643457
TI - Catalytically active inclusion bodies of L-lysine decarboxylase from E. coli for
1,5-diaminopentane production.
AB - Sustainable and eco-efficient alternatives for the production of platform
chemicals, fuels and chemical building blocks require the development of stable,
reusable and recyclable biocatalysts. Here we present a novel concept for the
biocatalytic production of 1,5-diaminopentane (DAP, trivial name: cadaverine)
using catalytically active inclusion bodies (CatIBs) of the constitutive L-lysine
decarboxylase from E. coli (EcLDCc-CatIBs) to process L-lysine-containing culture
supernatants from Corynebacterium glutamicum. EcLDCc-CatIBs can easily be
produced in E. coli followed by a simple purification protocol yielding up to 43%
dry CatIBs per dry cell weight. The stability and recyclability of EcLDCc-CatIBs
was demonstrated in (repetitive) batch experiments starting from L-lysine
concentrations of 0.1 M and 1 M. EcLDC-CatIBs exhibited great stability under
reaction conditions with an estimated half-life of about 54 h. High conversions
to DAP of 87-100% were obtained in 30-60 ml batch reactions using approx. 180-300
mg EcLDCc-CatIBs, respectively. This resulted in DAP titres of up to 88.4 g l-1
and space-time yields of up to 660 gDAP l-1 d-1 per gram dry EcLDCc-CatIBs. The
new process for DAP production can therefore compete with the currently best
fermentative process as described in the literature.
PMID- 29643459
TI - 1.5 degrees C carbon budget dependent on carbon cycle uncertainty and future non
CO2 forcing.
AB - Estimates of the 1.5 degrees C carbon budget vary widely among recent studies,
emphasizing the need to better understand and quantify key sources of
uncertainty. Here we quantify the impact of carbon cycle uncertainty and non-CO2
forcing on the 1.5 degrees C carbon budget in the context of a prescribed 1.5
degrees C temperature stabilization scenario. We use Bayes theorem to weight
members of a perturbed parameter ensemble with varying land and ocean carbon
uptake, to derive an estimate for the fossil fuel (FF) carbon budget of 469 PgC
since 1850, with a 95% likelihood range of (411,528) PgC. CO2 emissions from land
use change (LUC) add about 230 PgC. Our best estimate of the total (FF + LUC)
carbon budget for 1.5 degrees C is therefore 699 PgC, which corresponds to about
11 years of current emissions. Non-CO2 greenhouse gas and aerosol emissions
represent equivalent cumulative CO2 emissions of about 510 PgC and -180 PgC for
1.5 degrees C, respectively. The increased LUC, high non-CO2 emissions and
decreased aerosols in our scenario, cause the long-term FF carbon budget to
decrease following temperature stabilization. In this scenario, negative
emissions would be required to compensate not only for the increasing non-CO2
climate forcing, but also for the declining natural carbon sinks.
PMID- 29643458
TI - Pro-inflammatory cytokines activate hypoxia-inducible factor 3alpha via
epigenetic changes in mesenchymal stromal/stem cells.
AB - Human mesenchymal stromal/stem cells (hMSCs) emerged as a promising therapeutic
tool for ischemic disorders, due to their ability to regenerate damaged tissues,
promote angiogenesis and reduce inflammation, leading to encouraging, but still
limited results. The outcomes in clinical trials exploring hMSC therapy are
influenced by low cell retention and survival in affected tissues, partially
influenced by lesion's microenvironment, where low oxygen conditions (i.e.
hypoxia) and inflammation coexist. Hypoxia and inflammation are
pathophysiological stresses, sharing common activators, such as hypoxia-inducible
factors (HIFs) and NF-kappaB. HIF1alpha and HIF2alpha respond essentially to
hypoxia, activating pathways involved in tissue repair. Little is known about the
regulation of HIF3alpha. Here we investigated the role of HIF3alpha in vitro and
in vivo. Human MSCs expressed HIF3alpha, differentially regulated by pro
inflammatory cytokines in an oxygen-independent manner, a novel and still
uncharacterized mechanism, where NF-kappaB is critical for its expression. We
investigated if epigenetic modifications are involved in HIF3alpha expression by
methylation-specific PCR and histone modifications. Robust hypermethylation of
histone H3 was observed across HIF3A locus driven by pro-inflammatory cytokines.
Experiments in a murine model of arteriotomy highlighted the activation of
Hif3alpha expression in infiltrated inflammatory cells, suggesting a new role for
Hif3alpha in inflammation in vivo.
PMID- 29643460
TI - Retraction Note: Catalytic living ring-opening metathesis polymerization.
AB - We the authors are retracting this Article because of our failure to reproduce
the molecular weight dispersities (PDI) shown in Fig. 4 using the chain-transfer
agent described in the paper (CTA1). While the degenerate chain-transfer
mechanism described in Fig. 3 is correct, the best molecular weight dispersities
that could be reproduced with the chain-transfer agent shown in the Article are
much larger (PDI > 2.0) than reported.We have since studied the kinetics of CTA1
in comparison with several other chain-transfer agents we are currently
investigating and we now understand that the reactivity of CTA1 towards
propagating ruthenium alkylidene complexes is very low. Very long monomer
addition times would therefore have been necessary to gain control over the
molecular weight distribution. Such long addition times would exceed the lifetime
of the Grubbs catalyst in solution. Faster addition of the monomer has since
repeatedly been shown to broaden the molecular weight dispersity.Additionally,
the best chain-transfer agents we are currently investigating are orders of
magnitude more reactive than CTA1 but give broader molecular weight dispersities
than reported in Fig. 4. Molecular weight and dispersity control as shown in Fig.
4 is therefore an inappropriate claim for CTA1.The authors deeply regret these
errors and apologize to the community.
PMID- 29643461
TI - Extending a Gray Lattice Boltzmann Model for Simulating Fluid Flow in Multi-Scale
Porous Media.
AB - A gray lattice Boltzmann model has previously been developed by the authors of
this article to simulate fluid flow in porous media that contain both resolved
pores and grains as well as aggregates of unresolved smaller pores and grains. In
this model, a single parameter is introduced to prescribe the amount of fluid to
be bounced back at each aggregate cell. This model has been shown to recover
Darcy-Brinkman flow but with effective viscosity and permeability correlated
through the model parameter. In this paper, we prove that the model parameter
relates to the fraction of the solid phase of a sub-pore system for a specific
set of bounce-back conditions. We introduce an additional parameter to the model,
and this enables flow simulation in which cases with variable effective viscosity
and permeability can be specified by selecting the two parameters independently.
We verify and validate the model for layered channel cases and mathematically
analyze fluid momentum and energy losses for the single- and two-parameter models
to explain the roles of the parameters in their conservation. We introduce a
strategy to upgrade our model to an isotropic version. We discuss the fundamental
differences between our model and the Brinkman body-force LBM scheme.
PMID- 29643462
TI - Environment-dependent striatal gene expression in the BACHD rat model for
Huntington disease.
AB - Huntington disease (HD) is an autosomal dominant neurodegenerative disorder
caused by a mutation in the huntingtin (HTT) gene which results in progressive
neurodegeneration in the striatum, cortex, and eventually most brain areas.
Despite being a monogenic disorder, environmental factors influence HD
characteristics. Both human and mouse studies suggest that mutant HTT (mHTT)
leads to gene expression changes that harbor potential to be modulated by the
environment. Yet, the underlying mechanisms integrating environmental cues into
the gene regulatory program have remained largely unclear. To better understand
gene-environment interactions in the context of mHTT, we employed RNA-seq to
examine effects of maternal separation (MS) and environmental enrichment (EE) on
striatal gene expression during development of BACHD rats. We integrated our
results with striatal consensus modules defined on HTT-CAG length and age
dependent co-expression gene networks to relate the environmental factors with
disease progression. While mHTT was the main determinant of expression changes,
both MS and EE were capable of modulating these disturbances, resulting in
distinctive and in several cases opposing effects of MS and EE on consensus
modules. This bivalent response to maternal separation and environmental
enrichment may aid in explaining their distinct effects observed on disease
phenotypes in animal models of HD and related neurodegenerative disorders.
PMID- 29643464
TI - Osteopontin drives renal metabolic dysfunction in Alport syndrome.
PMID- 29643463
TI - Diagnostic capacity of SD-OCT segmented ganglion cell complex versus retinal
nerve fiber layer analysis for congenital glaucoma.
AB - PURPOSE: To compare the diagnostic performance of circumpapillary retinal nerve
fiber layer (cpRNFL) analysis versus segmented ganglion cell complex analysis
both by spectral-domain optical coherence tomography (SD-OCT) in children with
primary congenital glaucoma (PCG). METHODS: Participants were 40 children
diagnosed with PCG and 60 healthy children. Ophthalmological data collected (for
one eye per child) were cup-disc ratio (C/D) and axial length (AL). SD-OCT with
automated segmentation was used to measure the thicknesses and volumes of the
macular retinal nerve fiber layer (mRNFL), ganglion cell layer (GCL), and inner
plexiform layer (IPL). For the cpRNFL measurements conventional S-D OCT software
was used and the capacity of each method to discriminate between normal and
glaucomatous eyes was compared. RESULTS: Mean age was 11.20 +/- 3.94 years for
the glaucoma patients and 10.90 +/- 2.46 years for controls (p = 0.64). All
measurements were reduced (thinner) in the glaucoma group, significantly so for:
cpRNFL, GCL, IPL and outer-superior and outer-inferior quadrant mRNFL. According
to their areas under the receiver operating characteristics curve (AUC), temporal
superior cpRNFL (0.869) and outer superior GCL (0.840), IPL (0.799), and mRNFL
(0.767) showed the better diagnostic capacity. No differences were observed in
AUCs for the most discriminatory cpRNFL and macular measurements. CONCLUSION:
Segmented macular layer analysis shows a good capacity to discriminate between
normal and glaucomatous eyes; which is comparable to that of cpRNFL analysis in
children with PCG.
PMID- 29643465
TI - Sorbent Film-Coated Passive Samplers for Explosives Vapour Detection Part A:
Materials Optimisation and Integration with Analytical Technologies.
AB - A new thin-film passive sampler is presented as a low resource dependent and
discrete continuous monitoring solution for explosives-related vapours. Using 15
mid-high vapour pressure explosives-related compounds as probes, combinations of
four thermally stable substrates and six film-based sorbents were evaluated. Meta
aramid and phenylene oxide-based materials showed the best recoveries from small
voids (~70%). Analysis was performed using liquid chromatography-high resolution
accurate mass spectrometry which also enabled tentative identification of new
targets from the acquired data. Preliminary uptake kinetics experiments revealed
plateau concentrations on the device were reached between 3-5 days. Compounds
used in improvised explosive devices, such as triacetone triperoxide, were
detected within 1 hour and were stably retained by the sampler for up to 7 days.
Sampler performance was consistent for 22 months after manufacture. Lastly, its
direct integration with currently in-service explosives screening equipment
including ion mobility spectrometry and thermal desorption mass spectrometry is
presented. Following exposure to several open environments and targeted
interferences, sampler performance was subsequently assessed and potential
interferences identified. High-security building and area monitoring for
concealed explosives using such cost-effective and discrete passive samplers can
add extra assurance to search routines while minimising any additional burden on
personnel or everyday site operation.
PMID- 29643467
TI - Potential Orphan Drug Therapy of Intravesical Liposomal Onabotulinumtoxin-A for
Ketamine-Induced Cystitis by Mucosal Protection and Anti-inflammation in a Rat
Model.
AB - Ketamine abusers may develop ulcerative cystitis and severe lower urinary tract
symptoms, which is a medical dilemma. Recently, researchers have found the
endemic of ketamine-induced cystitis worldwide. The intravesical administration
of liposome-encapsulated onabotulinumtoxinA (Lipotoxin) might facilitate the
healing of the damaged urothelium from liposomes, and reduce the urinary symptoms
by onabotulinumtoxinA-induced chemo-denervation. Using female Sprague-Dawley
rats, we investigated the effects of Lipotoxin on ketamine-induced cystitis.
Functional magnetic resonance imaging, metabolic cage study, and cystometry were
conducted. Paraffin-embedded sections were stained. The bladder mucosa and muscle
proteins were assessed through Western blotting. We observed that repeated
intravesical Lipotoxin instillation could improve suburothelial hemorrhage,
recover the urothelial tight junction and adhesion proteins (zonula occludens-1
and E-cadherin), ensure less substance P in the urothelium, inhibit the
overexpression of inflammatory mediators (IL-6, TNF-alpha, nuclear NF-kappaB, and
COX-2) in the detrusor, suppress the upregulation of the mucosal TRPV1 and
detrusor M2-mAChR, and ameliorate bladder overactivity in the ketamine-treated
rats. These data reveal the mechanisms underlying the action of Lipotoxin in
ketamine-induced cystitis of rats, which provide a basis of Lipotoxin for further
treating ketamine-induced cystitis in humans.
PMID- 29643466
TI - Etidronate prevents dystrophic cardiac calcification by inhibiting macrophage
aggregation.
AB - Cardiovascular calcification is associated with high risk of vascular disease.
This involves macrophage infiltration of injured vascular tissue and osteoclast
related processes. Splenic monocytes from mice, that are predisposed (C3H) or
resistant (B6) to calcification, were isolated and differentiated in vitro with M
CSF to generate macrophages, which aggregate to form multinucleated (MN) cells in
the presence of RANKL. MN cell formation was significantly decreased in monocytes
from resistant compared with calcifying mice. Conditioned media from C3H
macrophages strongly induced calcification in vitro. However, medium from B6
macrophages inhibited calcification. An increase in ICAM-1 was detected in
conditioned media from C3H macrophages compared with B6, suggesting a key role
for this molecule in calcification processes. Due to natural genetic loss of
Abcc6, the causal gene for cardiac calcification, C3H mice have reduced plasma
levels of inorganic pyrophosphate (PPi), a potential calcification inhibitor.
Supplementation of C3H mice with PPi or Etidronate prevented but did not
completely reverse cardiac calcification. Our data provide strong evidence of the
pathogenesis of macrophages and MNs during tissue calcification and suggest PPi
or its analogue Etidronate as a potential inhibitor of MN formation and
calcification. Furthermore, the adhesion molecule ICAM-1 was shown to play a key
role in calcification.
PMID- 29643470
TI - MRI improves diagnosis.
PMID- 29643468
TI - A standardized flow cytometry network study for the assessment of circulating
endothelial cell physiological ranges.
AB - Circulating endothelial cells (CEC) represent a restricted peripheral blood (PB)
cell subpopulation with high potential diagnostic value in many endothelium
involving diseases. However, whereas the interest in CEC studies has grown, the
standardization level of their detection has not. Here, we undertook the task to
align CEC phenotypes and counts, by standardizing a novel flow cytometry
approach, within a network of six laboratories. CEC were identified as
alive/nucleated/CD45negative/CD34bright/CD146positive events and enumerated in
269 healthy PB samples. Standardization was demonstrated by the achievement of
low inter-laboratory Coefficients of Variation (CVL), calculated on the basis of
Median Fluorescence Intensity values of the most stable antigens that allowed CEC
identification and count (CVL of CD34bright on CEC ~ 30%; CVL of CD45 on
Lymphocytes ~ 20%). By aggregating data acquired from all sites, CEC numbers in
the healthy population were captured (medianfemale = 9.31 CEC/mL; medianmale =
11.55 CEC/mL). CEC count biological variability and method specificity were
finally assessed. Results, obtained on a large population of donors, demonstrate
that the established procedure might be adopted as standardized method for CEC
analysis in clinical and in research settings, providing a CEC physiological
baseline range, useful as starting point for their clinical monitoring in
endothelial dysfunctions.
PMID- 29643469
TI - Snf1/AMPK is involved in the mitotic spindle alignment in Saccharomyces
cerevisiae.
AB - Before anaphase onset, budding yeast cells must align the mitotic spindle
parallel to the mother-bud axis to ensure proper chromosome segregation. The
protein kinase Snf1/AMPK is a highly conserved energy sensor, essential for
adaptation to glucose limitation and in response to cellular stresses. However,
recent findings indicate that it plays important functions also in non-limiting
glucose conditions. Here we report a novel role of Snf1/AMPK in the progression
through mitosis in glucose-repressing condition. We show that active Snf1 is
localized to the bud neck from bud emergence to cytokinesis in a septin-dependent
manner. In addition, loss of Snf1 induces a delay of the metaphase to anaphase
transition that is due to a defect in the correct alignment of the mitotic
spindle. In particular, genetic data indicate that Snf1 promotes spindle
orientation acting in parallel with Dyn1 and in concert with Kar9. Altogether
this study describes a new role for Snf1 in mitosis and connects cellular
metabolism to mitosis progression.
PMID- 29643471
TI - Current state of immunotherapy for glioblastoma.
AB - Glioma is the most common primary cancer of the central nervous system, and
around 50% of patients present with the most aggressive form of the disease,
glioblastoma. Conventional therapies, including surgery, radiotherapy, and
pharmacotherapy (typically chemotherapy with temozolomide), have not resulted in
major improvements in the survival outcomes of patients with glioblastoma.
Reasons for this lack of progress include invasive tumour growth in an essential
organ, which limits the utility of local therapy, as well as the protection of
tumour cells by the blood-brain barrier, their intrinsic resistance to the
induction of cell death, and lack of dependence on single, targetable oncogenic
pathways, all of which impose challenges for systemic therapy. Furthermore, the
unique immune environment of the central nervous system needs to be considered
when pursuing immune-based therapeutic approaches for glioblastoma. Nevertheless,
a range of different immunotherapies are currently being actively investigated in
patients with this disease, spurred on by advances in immuno-oncology for other
tumour types. Herein, we examine the current state of immunotherapy for gliomas,
notably glioblastoma, the implications for combining the current standard-of-care
treatment modalities with immunotherapies, potential biomarkers of response, and
future directions for glioblastoma immuno-oncology.
PMID- 29643472
TI - High-resolution behavioral mapping of electric fishes in Amazonian habitats.
AB - The study of animal behavior has been revolutionized by sophisticated
methodologies that identify and track individuals in video recordings. Video
recording of behavior, however, is challenging for many species and habitats
including fishes that live in turbid water. Here we present a methodology for
identifying and localizing weakly electric fishes on the centimeter scale with
subsecond temporal resolution based solely on the electric signals generated by
each individual. These signals are recorded with a grid of electrodes and
analyzed using a two-part algorithm that identifies the signals from each
individual fish and then estimates the position and orientation of each fish
using Bayesian inference. Interestingly, because this system involves
eavesdropping on electrocommunication signals, it permits monitoring of complex
social and physical interactions in the wild. This approach has potential for
large-scale non-invasive monitoring of aquatic habitats in the Amazon basin and
other tropical freshwater systems.
PMID- 29643473
TI - Revisiting the role of ABC transporters in multidrug-resistant cancer.
AB - Most patients who die of cancer have disseminated disease that has become
resistant to multiple therapeutic modalities. Ample evidence suggests that the
expression of ATP-binding cassette (ABC) transporters, especially the multidrug
resistance protein 1 (MDR1, also known as P-glycoprotein or P-gp), which is
encoded by ABC subfamily B member 1 (ABCB1), can confer resistance to cytotoxic
and targeted chemotherapy. However, the development of MDR1 as a therapeutic
target has been unsuccessful. At the time of its discovery, appropriate tools for
the characterization and clinical development of MDR1 as a therapeutic target
were lacking. Thirty years after the initial cloning and characterization of MDR1
and the implication of two additional ABC transporters, the multidrug resistance
associated protein 1 (MRP1; encoded by ABCC1)), and ABCG2, in multidrug
resistance, interest in investigating these transporters as therapeutic targets
has waned. However, with the emergence of new data and advanced techniques, we
propose to re-evaluate whether these transporters play a clinical role in
multidrug resistance. With this Opinion article, we present recent evidence
indicating that it is time to revisit the investigation into the role of ABC
transporters in efficient drug delivery in various cancer types and at the blood
brain barrier.
PMID- 29643474
TI - Histone deacetylase 6 controls Notch3 trafficking and degradation in T-cell acute
lymphoblastic leukemia cells.
AB - Several studies have revealed that endosomal sorting controls the steady-state
levels of Notch at the cell surface in normal cells and prevents its
inappropriate activation in the absence of ligands. However, whether this highly
dynamic physiologic process can be exploited to counteract dysregulated Notch
signaling in cancer cells remains unknown. T-ALL is a malignancy characterized by
aberrant Notch signaling, sustained by activating mutations in Notch1 as well as
overexpression of Notch3, a Notch paralog physiologically subjected to lysosome
dependent degradation in human cancer cells. Here we show that treatment with the
pan-HDAC inhibitor Trichostatin A (TSA) strongly decreases Notch3 full-length
protein levels in T-ALL cell lines and primary human T-ALL cells xenografted in
mice without substantially reducing NOTCH3 mRNA levels. Moreover, TSA markedly
reduced the levels of Notch target genes, including pTalpha, CR2, and DTX-1, and
induced apoptosis of T-ALL cells. We further observed that Notch3 was post
translationally regulated following TSA treatment, with reduced Notch3 surface
levels and increased accumulation of Notch3 protein in the lysosomal compartment.
Surface Notch3 levels were rescued by inhibition of dynein with ciliobrevin D.
Pharmacologic studies with HDAC1, 6, and 8-specific inhibitors disclosed that
these effects were largely due to inhibition of HDAC6 in T-ALL cells. HDAC6
silencing by specific shRNA was followed by reduced Notch3 expression and
increased apoptosis of T-ALL cells. Finally, HDAC6 silencing impaired leukemia
outgrowth in mice, associated with reduction of Notch3 full-length protein in
vivo. These results connect HDAC6 activity to regulation of total and surface
Notch3 levels and suggest HDAC6 as a potential novel therapeutic target to lower
Notch signaling in T-ALL and other Notch3-addicted tumors.
PMID- 29643475
TI - Tumor-derived exosomal lnc-Sox2ot promotes EMT and stemness by acting as a ceRNA
in pancreatic ductal adenocarcinoma.
AB - Long noncoding RNAs (lncRNAs) or exosomes have recently been shown to play vital
regulatory or communication roles in cancer biology. However, the roles and
mechanisms of exosomal lncRNAs in tumor invasion or metastasis of pancreatic
ductal adenocarcinoma (PDAC) remain unknown. In this study, we aimed to
investigate the detailed roles and mechanisms of tumor-generated exosomes in
progression and metastasis of PDAC in vitro and in vivo. We identified a lncRNA
Sox2ot from exosomes of highly invasive PDAC cells, and analyzed the expression
of Sox2ot in the plasma samples and found that the plasma exosomal Sox2ot
expression was high and correlated with TNM stage and overall survival rate of
PDAC patients. Further research showed that Sox2ot promotes epithelial
mesenchymal transition (EMT) and stem cell like properties by regulating Sox2
expression. Sox2ot competitively binds to the miR-200 family to regulate the
expression of Sox2, thus promoting invasion and metastasis of PDAC. We also
confirmed the transmission of the exosomes from producer cells to recipient PDAC
cells, exosomal Sox2ot can promote tumor invasion and metastasis in vitro and in
vivo. We further confirmed tumor generated exosomes could excrete to tumor cell
or blood circulation in vivo condition. Finally, we observed a decreased exosomal
Sox2ot expression in postoperative blood samples of PDAC patients. The exosomal
lncRNA Sox2ot plays important roles in tumor progression and may be a useful
maker for pancreatic cancer prognosis.
PMID- 29643476
TI - Inhibition of ovarian tumor cell invasiveness by targeting SYK in the tyrosine
kinase signaling pathway.
AB - Cell motility and invasiveness are prerequisites for dissemination, and largely
account for cancer mortality. We have identified an actionable kinase, spleen
tyrosine kinase (SYK), which is keenly tightly associated with tumor progression
in ovarian cancer. Here, we report that active recombinant SYK directly
phosphorylates cortactin and cofilin, which are critically involved in assembly
and dynamics of actin filament through phosphorylation signaling. Enhancing SYK
activity by inducing expression of a constitutively active SYK mutant, SYK130E,
increased growth factor-stimulated migration and invasion of ovarian cancer
cells, which was abrogated by cortactin knockdown. Similarly, SYK inhibitors
significantly decreased invasion of ovarian cancer cells across basement membrane
in real-time transwell assays and in 3D tumor spheroid models. SYK inactivation
by targeted gene knockout or by small molecule inhibition reduced actin
polymerization. Collectively, this study reported a new mechanism by which SYK
signaling regulates ovarian cancer cell motility and invasiveness, and suggest a
target-based strategy to prevent or suppress the advancement of ovarian
malignancies.
PMID- 29643477
TI - Accurate estimation of 5-methylcytosine in mammalian mitochondrial DNA.
AB - Whilst 5-methylcytosine (5mC) is a major epigenetic mark in the nuclear DNA in
mammals, whether or not mitochondrial DNA (mtDNA) receives 5mC modification
remains controversial. Herein, we exhaustively analysed mouse mtDNA using three
methods that are based upon different principles for detecting 5mC. Next
generation bisulfite sequencing did not give any significant signatures of
methylation in mtDNAs of liver, brain and embryonic stem cells (ESCs). Also,
treatment with methylated cytosine-sensitive endonuclease McrBC resulted in no
substantial decrease of mtDNA band intensities in Southern hybridisation.
Furthermore, mass spectrometric nucleoside analyses of highly purified liver
mtDNA preparations did not detect 5-methyldeoxycytidine at the levels found in
the nuclear DNA but at a range of only 0.3-0.5% of deoxycytidine. Taken together,
we propose that 5mC is not present at any specific region(s) of mtDNA and that
levels of the methylated cytosine are fairly low, provided the modification
occurs. It is thus unlikely that 5mC plays a universal role in mtDNA gene
expression or mitochondrial metabolism.
PMID- 29643478
TI - Mucin gel assembly is controlled by a collective action of non-mucin proteins,
disulfide bridges, Ca2+-mediated links, and hydrogen bonding.
AB - Mucus is characterized by multiple levels of assembly at different length scales
which result in a unique set of rheological (flow) and mechanical properties.
These physical properties determine its biological function as a highly selective
barrier for transport of water and nutrients, while blocking penetration of
pathogens and foreign particles. Altered integrity of the mucus layer in the
small intestine has been associated with a number of gastrointestinal tract
pathologies such as Crohn's disease and cystic fibrosis. In this work, we uncover
an intricate hierarchy of intestinal mucin (Muc2) assembly and show how complex
rheological properties emerge from synergistic interactions between mucin
glycoproteins, non-mucin proteins, and Ca2+. Using a novel method of mucus
purification, we demonstrate the mechanism of assembly of Muc2 oligomers into
viscoelastic microscale domains formed via hydrogen bonding and Ca2+-mediated
links, which require the joint presence of Ca2+ ions and non-mucin proteins.
These microscale domains aggregate to form a heterogeneous yield stress gel-like
fluid, the macroscopic rheological properties of which are virtually identical to
that of native intestinal mucus. Through proteomic analysis, we short-list
potential protein candidates implicated in mucin assembly, thus paving the way
for identifying the molecules responsible for the physiologically critical
biophysical properties of mucus.
PMID- 29643480
TI - The basal ganglia and the cerebellum: nodes in an integrated network.
AB - The basal ganglia and the cerebellum are considered to be distinct subcortical
systems that perform unique functional operations. The outputs of the basal
ganglia and the cerebellum influence many of the same cortical areas but do so by
projecting to distinct thalamic nuclei. As a consequence, the two subcortical
systems were thought to be independent and to communicate only at the level of
the cerebral cortex. Here, we review recent data showing that the basal ganglia
and the cerebellum are interconnected at the subcortical level. The subthalamic
nucleus in the basal ganglia is the source of a dense disynaptic projection to
the cerebellar cortex. Similarly, the dentate nucleus in the cerebellum is the
source of a dense disynaptic projection to the striatum. These observations lead
to a new functional perspective that the basal ganglia, the cerebellum and the
cerebral cortex form an integrated network. This network is topographically
organized so that the motor, cognitive and affective territories of each node in
the network are interconnected. This perspective explains how synaptic
modifications or abnormal activity at one node can have network-wide effects. A
future challenge is to define how the unique learning mechanisms at each network
node interact to improve performance.
PMID- 29643481
TI - Bruno Kyewski 1950-2018.
PMID- 29643479
TI - Use of statins and the risk of dementia and mild cognitive impairment: A
systematic review and meta-analysis.
AB - We conducted a systematic review and meta-analysis to investigate whether the use
of statins could be associated with the risk of all-caused dementia, Alzheimer's
disease (AD), vascular dementia (VaD), and mild cognitive impairment (MCI). Major
electronic databases were searched until December 27th, 2017 for studies
investigating use of statins and incident cognitive decline in adults. Random
effects meta-analyses calculating relative risks (RRs) were conducted to
synthesize effect sizes of individual studies. Twenty-five studies met
eligibility criteria. Use of statins was significantly associated with a reduced
risk of all-caused dementia (k = 16 studies, adjusted RR (aRR) = 0.849, 95% CI =
0.787-0.916, p = 0.000), AD (k = 14, aRR = 0.719, 95% CI = 0.576-0.899, p =
0.004), and MCI (k = 6, aRR = 0.737, 95% CI = 0.556-0.976, p = 0.033), but no
meaningful effects on incident VaD (k = 3, aRR = 1.012, 95% CI = 0.620-1.652, p =
0.961). Subgroup analysis suggested that hydrophilic statins were associated with
reduced risk of all-caused dementia (aRR = 0.877; CI = 0.818-0.940; p = 0.000)
and possibly lower AD risk (aRR = 0.619; CI = 0.383-1.000; p = 0.050). Lipophilic
statins were associated with reduced risk of AD (aRR = 0.639; CI = 0.449-0.908; p
= 0.013) but not all-caused dementia (aRR = 0.738; CI = 0.475-1.146; p = 0.176).
In conclusion, our meta-analysis suggests that the use of statins may reduce the
risk of all-type dementia, AD, and MCI, but not of incident VaD.
PMID- 29643482
TI - Reversible Mn2+/Mn4+ double redox in lithium-excess cathode materials.
AB - There is an urgent need for low-cost, resource-friendly, high-energy-density
cathode materials for lithium-ion batteries to satisfy the rapidly increasing
need for electrical energy storage. To replace the nickel and cobalt, which are
limited resources and are associated with safety problems, in current lithium-ion
batteries, high-capacity cathodes based on manganese would be particularly
desirable owing to the low cost and high abundance of the metal, and the
intrinsic stability of the Mn4+ oxidation state. Here we present a strategy of
combining high-valent cations and the partial substitution of fluorine for oxygen
in a disordered-rocksalt structure to incorporate the reversible Mn2+/Mn4+ double
redox couple into lithium-excess cathode materials. The lithium-rich cathodes
thus produced have high capacity and energy density. The use of the Mn2+/Mn4+
redox reduces oxygen redox activity, thereby stabilizing the materials, and opens
up new opportunities for the design of high-performance manganese-rich cathodes
for advanced lithium-ion batteries.
PMID- 29643483
TI - Alteration of the magnetosphere of the Vela pulsar during a glitch.
AB - As pulsars lose energy, primarily in the form of magnetic dipole radiation, their
rotation slows down accordingly. For some pulsars, this spin-down is interrupted
by occasional abrupt spin-up events known as glitches 1 . A glitch is
hypothesized to be a catastrophic release of pinned vorticity 2 that provides an
exchange of angular momentum between the superfluid outer core and the crust.
This is manifested by a minute alteration in the rotation rate of the neutron
star and its co-rotating magnetosphere, which is revealed by an abrupt change in
the timing of observed radio pulses. Measurement of the flux density,
polarization and single-pulse arrival times of the glitch with high time
resolution may reveal the equation of state of the crustal superfluid, its drag
to-lift ratio and the parameters that describe its friction with the crust 3 .
This has not hitherto been possible because glitch events happen unpredictably.
Here we report single-pulse radio observations of a glitch in the Vela pulsar,
which has a rotation frequency of 11.2 hertz. The glitch was detected on 2016
December 12 at 11:36 universal time, during continuous observations of the pulsar
over a period of three years. We detected sudden changes in the pulse shape
coincident with the glitch event: one pulse was unusually broad, the next pulse
was missing (a 'null') and the following two pulses had unexpectedly low linear
polarization. This sequence was followed by a 2.6-second interval during which
pulses arrived later than usual, indicating that the glitch affects the
magnetosphere.
PMID- 29643484
TI - Anomalously weak Labrador Sea convection and Atlantic overturning during the past
150 years.
AB - The Atlantic meridional overturning circulation (AMOC) is a system of ocean
currents that has an essential role in Earth's climate, redistributing heat and
influencing the carbon cycle1, 2. The AMOC has been shown to be weakening in
recent years 1 ; this decline may reflect decadal-scale variability in convection
in the Labrador Sea, but short observational datasets preclude a longer-term
perspective on the modern state and variability of Labrador Sea convection and
the AMOC1, 3-5. Here we provide several lines of palaeo-oceanographic evidence
that Labrador Sea deep convection and the AMOC have been anomalously weak over
the past 150 years or so (since the end of the Little Ice Age, LIA, approximately
AD 1850) compared with the preceding 1,500 years. Our palaeoclimate
reconstructions indicate that the transition occurred either as a predominantly
abrupt shift towards the end of the LIA, or as a more gradual, continued decline
over the past 150 years; this ambiguity probably arises from non-AMOC influences
on the various proxies or from the different sensitivities of these proxies to
individual components of the AMOC. We suggest that enhanced freshwater fluxes
from the Arctic and Nordic seas towards the end of the LIA-sourced from melting
glaciers and thickened sea ice that developed earlier in the LIA-weakened
Labrador Sea convection and the AMOC. The lack of a subsequent recovery may have
resulted from hysteresis or from twentieth-century melting of the Greenland Ice
Sheet 6 . Our results suggest that recent decadal variability in Labrador Sea
convection and the AMOC has occurred during an atypical, weak background state.
Future work should aim to constrain the roles of internal climate variability and
early anthropogenic forcing in the AMOC weakening described here.
PMID- 29643485
TI - Observed fingerprint of a weakening Atlantic Ocean overturning circulation.
AB - The Atlantic meridional overturning circulation (AMOC)-a system of ocean currents
in the North Atlantic-has a major impact on climate, yet its evolution during the
industrial era is poorly known owing to a lack of direct current measurements.
Here we provide evidence for a weakening of the AMOC by about 3 +/- 1 sverdrups
(around 15 per cent) since the mid-twentieth century. This weakening is revealed
by a characteristic spatial and seasonal sea-surface temperature 'fingerprint'
consisting of a pattern of cooling in the subpolar Atlantic Ocean and warming in
the Gulf Stream region-and is calibrated through an ensemble of model simulations
from the CMIP5 project. We find this fingerprint both in a high-resolution
climate model in response to increasing atmospheric carbon dioxide
concentrations, and in the temperature trends observed since the late nineteenth
century. The pattern can be explained by a slowdown in the AMOC and reduced
northward heat transport, as well as an associated northward shift of the Gulf
Stream. Comparisons with recent direct measurements from the RAPID project and
several other studies provide a consistent depiction of record-low AMOC values in
recent years.
PMID- 29643486
TI - Experimentally generated randomness certified by the impossibility of
superluminal signals.
AB - From dice to modern electronic circuits, there have been many attempts to build
better devices to generate random numbers. Randomness is fundamental to security
and cryptographic systems and to safeguarding privacy. A key challenge with
random-number generators is that it is hard to ensure that their outputs are
unpredictable1-3. For a random-number generator based on a physical process, such
as a noisy classical system or an elementary quantum measurement, a detailed
model that describes the underlying physics is necessary to assert
unpredictability. Imperfections in the model compromise the integrity of the
device. However, it is possible to exploit the phenomenon of quantum non-locality
with a loophole-free Bell test to build a random-number generator that can
produce output that is unpredictable to any adversary that is limited only by
general physical principles, such as special relativity1-11. With recent
technological developments, it is now possible to carry out such a loophole-free
Bell test12-14,22. Here we present certified randomness obtained from a photonic
Bell experiment and extract 1,024 random bits that are uniformly distributed to
within 10-12. These random bits could not have been predicted according to any
physical theory that prohibits faster-than-light (superluminal) signalling and
that allows independent measurement choices. To certify and quantify the
randomness, we describe a protocol that is optimized for devices that are
characterized by a low per-trial violation of Bell inequalities. Future random
number generators based on loophole-free Bell tests may have a role in increasing
the security and trust of our cryptographic systems and infrastructure.
PMID- 29643487
TI - Outsourcing is in.
PMID- 29643489
TI - How gravitational waves might help fundamental cosmology.
PMID- 29643490
TI - Ocean circulation is changing, and we need to know why.
PMID- 29643492
TI - Take a holistic view when making pesticide policies stricter.
PMID- 29643493
TI - Build two-way rapport for better policymaking.
PMID- 29643494
TI - Save a North Sea fish from becoming museum piece.
PMID- 29643495
TI - Don't dismiss citations to journals not published in English.
PMID- 29643497
TI - Curtail climate-change effects using Singapore Index of Cities' Biodiversity.
PMID- 29643499
TI - Suspected chemical attack, opioid-crisis cash and nuclear-fusion facility.
PMID- 29643498
TI - How gravitational waves could solve some of the Universe's deepest mysteries.
PMID- 29643501
TI - Prostate cancer and social media.
AB - The use of social media is increasing globally and is employed in a variety of
ways in the prostate cancer community. In addition to their use in research,
advocacy, and awareness campaigns, social media offer vast opportunities for
education and networking for patients with prostate cancer and health-care
professionals, and many educational resources and support networks are available
to patients with prostate cancer and their caregivers. Despite the considerable
potential for social media to be employed in the field of prostate cancer,
concerns remain - particularly regarding the maintenance of patient
confidentiality, variable information quality, and possible financial conflicts
of interest. A number of professional societies have, therefore, issued guidance
regarding social media use in medicine. Social media are used extensively in
other cancer communities, particularly among patients with breast cancer, and
both the quantity and type of information available are expected to grow in the
future.
PMID- 29643500
TI - Impact of a vegan diet on the human salivary microbiota.
AB - Little is known about the effect of long-term diet patterns on the composition
and functional potential of the human salivary microbiota. In the present study,
we sought to contribute to the ongoing elucidation of dietary effects on the oral
microbial community by examining the diversity, composition and functional
potential of the salivary microbiota in 160 healthy vegans and omnivores using
16S rRNA gene amplicon sequencing. We further sought to identify bacterial taxa
in saliva associated with host inflammatory markers. We show that compositional
differences in the salivary microbiota of vegans and omnivores is present at all
taxonomic levels below phylum level and includes upper respiratory tract
commensals (e.g. Neisseria subflava, Haemophilus parainfluenzae, and Rothia
mucilaginosa) and species associated with periodontal disease (e.g. Campylobacter
rectus and Porphyromonas endodontalis). Dietary intake of medium chain fatty
acids, piscine mono- and polyunsaturated fatty acids, and dietary fibre was
associated with bacterial diversity, community structure, as well as relative
abundance of several species-level operational taxonomic units. Analysis of
imputed genomic potential revealed several metabolic pathways differentially
abundant in vegans and omnivores indicating possible effects of macro- and micro
nutrient intake. We also show that certain oral bacteria are associated with the
systemic inflammatory state of the host.
PMID- 29643502
TI - Unravelling disparate roles of NOTCH in bladder cancer.
AB - The Notch pathway has been implicated in both oncogenic and tumour-suppressive
roles in cancer depending on the tissue type and cellular context. However, until
recently, little was known about the pathway in bladder cancer. Studies have
revealed that NOTCH1 copy number and expression are decreased in bladder cancer
and NOTCH1 activation in bladder cancer cell lines reduces proliferation,
suggesting that NOTCH1 acts as a tumour suppressor. Furthermore, in transgenic
models, bladder cancer is promoted by bladder-specific inactivation of a
component of the gamma-secretase complex, which liberates the intracellular
domain of neurogenic locus Notch homologue protein (NOTCH) and starts the
signalling cascade. By contrast, further work has demonstrated that NOTCH2 acts
as an oncogene that promotes cell proliferation and metastasis through epithelial
to-mesenchymal transition, cell cycle progression, and maintenance of stemness.
Studies indicating that NOTCH1 and NOTCH2 have opposite effects on the
progression of bladder cancer could give rise to potential therapeutic approaches
aimed at blocking or restoring the Notch pathway.
PMID- 29643503
TI - Functional circuit architecture underlying parental behaviour.
AB - Parenting is essential for the survival and wellbeing of mammalian offspring.
However, we lack a circuit-level understanding of how distinct components of this
behaviour are coordinated. Here we investigate how galanin-expressing neurons in
the medial preoptic area (MPOAGal) of the hypothalamus coordinate motor,
motivational, hormonal and social aspects of parenting in mice. These neurons
integrate inputs from a large number of brain areas and the activation of these
inputs depends on the animal's sex and reproductive state. Subsets of MPOAGal
neurons form discrete pools that are defined by their projection sites. While the
MPOAGal population is active during all episodes of parental behaviour,
individual pools are tuned to characteristic aspects of parenting. Optogenetic
manipulation of MPOAGal projections mirrors this specificity, affecting discrete
parenting components. This functional organization, reminiscent of the control of
motor sequences by pools of spinal cord neurons, provides a new model for how
discrete elements of a social behaviour are generated at the circuit level.
PMID- 29643504
TI - Genome evolution across 1,011 Saccharomyces cerevisiae isolates.
AB - Large-scale population genomic surveys are essential to explore the phenotypic
diversity of natural populations. Here we report the whole-genome sequencing and
phenotyping of 1,011 Saccharomyces cerevisiae isolates, which together provide an
accurate evolutionary picture of the genomic variants that shape the species-wide
phenotypic landscape of this yeast. Genomic analyses support a single 'out-of
China' origin for this species, followed by several independent domestication
events. Although domesticated isolates exhibit high variation in ploidy,
aneuploidy and genome content, genome evolution in wild isolates is mainly driven
by the accumulation of single nucleotide polymorphisms. A common feature is the
extensive loss of heterozygosity, which represents an essential source of inter
individual variation in this mainly asexual species. Most of the single
nucleotide polymorphisms, including experimentally identified functional
polymorphisms, are present at very low frequencies. The largest numbers of
variants identified by genome-wide association are copy-number changes, which
have a greater phenotypic effect than do single nucleotide polymorphisms. This
resource will guide future population genomics and genotype-phenotype studies in
this classic model system.
PMID- 29643505
TI - High male sexual investment as a driver of extinction in fossil ostracods.
AB - Sexual selection favours traits that confer advantages in the competition for
mates. In many cases, such traits are costly to produce and maintain, because the
costs help to enforce the honesty of these signals and cues 1 . Some evolutionary
models predict that sexual selection also produces costs at the population level,
which could limit the ability of populations to adapt to changing conditions and
thus increase the risk of extinction2-4. Other models, however, suggest that
sexual selection should increase rates of adaptation and enhance the removal of
deleterious mutations, thus protecting populations against extinction3, 5, 6.
Resolving the conflict between these models is not only important for explaining
the history of biodiversity, but also relevant to understanding the mechanisms of
the current biodiversity crisis. Previous attempts to test the conflicting
predictions produced by these models have been limited to extant species and have
thus relied on indirect proxies for species extinction. Here we use the
informative fossil record of cytheroid ostracods-small, bivalved crustaceans with
sexually dimorphic carapaces-to test how sexual selection relates to actual
species extinction. We show that species with more pronounced sexual dimorphism,
indicating the highest levels of male investment in reproduction, had estimated
extinction rates that were ten times higher than those of the species with the
lowest investment. These results indicate that sexual selection can be a
substantial risk factor for extinction.
PMID- 29643506
TI - Structure and regulation of the human INO80-nucleosome complex.
AB - Access to DNA within nucleosomes is required for a variety of processes in cells
including transcription, replication and repair. Consequently, cells encode
multiple systems that remodel nucleosomes. These complexes can be simple,
involving one or a few protein subunits, or more complicated multi-subunit
machines 1 . Biochemical studies2-4 have placed the motor domains of several
chromatin remodellers in the superhelical location 2 region of the nucleosome.
Structural studies of yeast Chd1 and Snf2-a subunit in the complex with the
capacity to remodel the structure of chromatin (RSC)-in complex with nucleosomes5
7 have provided insights into the basic mechanism of nucleosome sliding performed
by these complexes. However, how larger, multi-subunit remodelling complexes such
as INO80 interact with nucleosomes and how remodellers carry out functions such
as nucleosome sliding 8 , histone exchange 9 and nucleosome spacing10-12 remain
poorly understood. Although some remodellers work as monomers 13 , others work as
highly cooperative dimers11, 14, 15. Here we present the structure of the human
INO80 chromatin remodeller with a bound nucleosome, which reveals that INO80
interacts with nucleosomes in a previously undescribed manner: the motor domains
are located on the DNA at the entry point to the nucleosome, rather than at
superhelical location 2. The ARP5-IES6 module of INO80 makes additional contacts
on the opposite side of the nucleosome. This arrangement enables the histone H3
tails of the nucleosome to have a role in the regulation of the activities of the
INO80 motor domain-unlike in other characterized remodellers, for which H4 tails
have been shown to regulate the motor domains.
PMID- 29643507
TI - An evolutionarily conserved ribosome-rescue pathway maintains epidermal
homeostasis.
AB - Ribosome-associated mRNA quality control mechanisms ensure the fidelity of
protein translation1,2. Although these mechanisms have been extensively studied
in yeast, little is known about their role in mammalian tissues, despite emerging
evidence that stem cell fate is controlled by translational mechanisms3,4. One
evolutionarily conserved component of the quality control machinery, Dom34 (in
higher eukaryotes known as Pelota (Pelo)), rescues stalled ribosomes 5 . Here we
show that Pelo is required for mammalian epidermal homeostasis. Conditional
deletion of Pelo in mouse epidermal stem cells that express Lrig1 results in
hyperproliferation and abnormal differentiation of these cells. By contrast,
deletion of Pelo in Lgr5-expressing stem cells has no effect and deletion in Lgr6
expressing stem cells induces only a mild phenotype. Loss of Pelo results in
accumulation of short ribosome footprints and global upregulation of translation,
rather than affecting the expression of specific genes. Translational inhibition
by rapamycin-mediated downregulation of mTOR (mechanistic target of rapamycin
kinase) rescues the epidermal phenotype. Our study reveals that the ribosome
rescue machinery is important for mammalian tissue homeostasis and that it has
specific effects on different stem cell populations.
PMID- 29643508
TI - Aspm knockout ferret reveals an evolutionary mechanism governing cerebral
cortical size.
AB - The human cerebral cortex is distinguished by its large size and abundant
gyrification, or folding. However, the evolutionary mechanisms that drive
cortical size and structure are unknown. Although genes that are essential for
cortical developmental expansion have been identified from the genetics of human
primary microcephaly (a disorder associated with reduced brain size and
intellectual disability) 1 , studies of these genes in mice, which have a smooth
cortex that is one thousand times smaller than the cortex of humans, have
provided limited insight. Mutations in abnormal spindle-like microcephaly
associated (ASPM), the most common recessive microcephaly gene, reduce cortical
volume by at least 50% in humans2-4, but have little effect on the brains of
mice5-9; this probably reflects evolutionarily divergent functions of ASPM10,11.
Here we used genome editing to create a germline knockout of Aspm in the ferret
(Mustela putorius furo), a species with a larger, gyrified cortex and greater
neural progenitor cell diversity12-14 than mice, and closer protein sequence
homology to the human ASPM protein. Aspm knockout ferrets exhibit severe
microcephaly (25-40% decreases in brain weight), reflecting reduced cortical
surface area without significant change in cortical thickness, as has been found
in human patients3,4, suggesting that loss of 'cortical units' has occurred. The
cortex of fetal Aspm knockout ferrets displays a very large premature
displacement of ventricular radial glial cells to the outer subventricular zone,
where many resemble outer radial glia, a subtype of neural progenitor cells that
are essentially absent in mice and have been implicated in cerebral cortical
expansion in primates12-16. These data suggest an evolutionary mechanism by which
ASPM regulates cortical expansion by controlling the affinity of ventricular
radial glial cells for the ventricular surface, thus modulating the ratio of
ventricular radial glial cells, the most undifferentiated cell type, to outer
radial glia, a more differentiated progenitor.
PMID- 29643510
TI - Intra-tumour diversification in colorectal cancer at the single-cell level.
AB - Every cancer originates from a single cell. During expansion of the neoplastic
cell population, individual cells acquire genetic and phenotypic differences from
each other. Here, to investigate the nature and extent of intra-tumour
diversification, we characterized organoids derived from multiple single cells
from three colorectal cancers as well as from adjacent normal intestinal crypts.
Colorectal cancer cells showed extensive mutational diversification and carried
several times more somatic mutations than normal colorectal cells. Most mutations
were acquired during the final dominant clonal expansion of the cancer and
resulted from mutational processes that are absent from normal colorectal cells.
Intra-tumour diversification of DNA methylation and transcriptome states also
occurred; these alterations were cell-autonomous, stable, and followed the
phylogenetic tree of each cancer. There were marked differences in responses to
anticancer drugs between even closely related cells of the same tumour. The
results indicate that colorectal cancer cells experience substantial increases in
somatic mutation rate compared to normal colorectal cells, and that genetic
diversification of each cancer is accompanied by pervasive, stable and inherited
differences in the biological states of individual cancer cells.
PMID- 29643509
TI - Structural basis for ATP-dependent chromatin remodelling by the INO80 complex.
AB - In the eukaryotic nucleus, DNA is packaged in the form of nucleosomes, each of
which comprises about 147 base pairs of DNA wrapped around a histone protein
octamer. The position and histone composition of nucleosomes is governed by ATP
dependent chromatin remodellers1-3 such as the 15-subunit INO80 complex 4 . INO80
regulates gene expression, DNA repair and replication by sliding nucleosomes, the
exchange of histone H2A.Z with H2A, and the positioning of + 1 and -1 nucleosomes
at promoter DNA5-8. The structures and mechanisms of these remodelling reactions
are currently unknown. Here we report the cryo-electron microscopy structure of
the evolutionarily conserved core of the INO80 complex from the fungus Chaetomium
thermophilum bound to a nucleosome, at a global resolution of 4.3 A and with
major parts at 3.7 A. The INO80 core cradles one entire gyre of the nucleosome
through multivalent DNA and histone contacts. An Rvb1/Rvb2 AAA+ ATPase
heterohexamer is an assembly scaffold for the complex and acts as a 'stator' for
the motor and nucleosome-gripping subunits. The Swi2/Snf2 ATPase motor binds to
nucleosomal DNA at superhelical location -6, unwraps approximately 15 base pairs,
disrupts the H2A-DNA contacts and is poised to pump entry DNA into the
nucleosome. Arp5 and Ies6 bind superhelical locations -2 and -3 to act as a
counter grip for the motor, on the other side of the H2A-H2B dimer. The Arp5
insertion domain forms a grappler element that binds the nucleosome dyad,
connects the Arp5 actin-fold and entry DNA over a distance of about 90 A and
packs against histone H2A-H2B near the 'acidic patch'. Our structure together
with biochemical data 8 suggests a unified mechanism for nucleosome sliding and
histone editing by INO80. The motor is part of a macromolecular ratchet,
persistently pumping entry DNA across the H2A-H2B dimer against the Arp5 grip
until a large nucleosome translocation step occurs. The transient exposure of H2A
H2B by motor activity as well as differential recognition of H2A.Z and H2A may
regulate histone exchange.
PMID- 29643511
TI - Activity-based E3 ligase profiling uncovers an E3 ligase with esterification
activity.
AB - Ubiquitination is initiated by transfer of ubiquitin (Ub) from a ubiquitin
activating enzyme (E1) to a ubiquitin-conjugating enzyme (E2), producing a
covalently linked intermediate (E2-Ub) 1 . Ubiquitin ligases (E3s) of the 'really
interesting new gene' (RING) class recruit E2-Ub via their RING domain and then
mediate direct transfer of ubiquitin to substrates 2 . By contrast, 'homologous
to E6-AP carboxy terminus' (HECT) E3 ligases undergo a catalytic cysteine
dependent transthiolation reaction with E2-Ub, forming a covalent E3-Ub
intermediate3,4. Additionally, RING-between-RING (RBR) E3 ligases have a
canonical RING domain that is linked to an ancillary domain. This ancillary
domain contains a catalytic cysteine that enables a hybrid RING-HECT mechanism 5
. Ubiquitination is typically considered a post-translational modification of
lysine residues, as there are no known human E3 ligases with non-lysine activity.
Here we perform activity-based protein profiling of HECT or RBR-like E3 ligases
and identify the neuron-associated E3 ligase MYCBP2 (also known as PHR1) as the
apparent single member of a class of RING-linked E3 ligase with esterification
activity and intrinsic selectivity for threonine over serine. MYCBP2 contains two
essential catalytic cysteine residues that relay ubiquitin to its substrate via
thioester intermediates. Crystallographic characterization of this class of E3
ligase, which we designate RING-Cys-relay (RCR), provides insights into its
mechanism and threonine selectivity. These findings implicate non-lysine
ubiquitination in cellular regulation of higher eukaryotes and suggest that E3
enzymes have an unappreciated mechanistic diversity.
PMID- 29643513
TI - Finding IRESs in mycoviruses.
PMID- 29643514
TI - Blasting through cells.
PMID- 29643515
TI - [Role of aquaporin-4 in the change of interstitial fluid drainage in Alzheimer's
disease].
AB - OBJECTIVE: To observe the characteristics of the interstitial fluid (ISF)
drainage in the Alzheimer's disease (AD) rats through magnetic resonance imaging
(MRI) tracer gadolinium-diethylene triamine pentacetic acid (Gd-DTPA)spread in
the brain extracellular space (ECS) and to discuss the role of aquaporin-4 (Aqp4)
in the AD. METHODS: Wild type SD rats (300-350 g) and Aqp4 gene knock out (Aqp4-/
) SD rats (300-350g) were divided into Sham group, AD group, Aqp4-/--Sham group
and Aqp4-/--AD group. Sham group and Aqp4-/--Sham group were injected with saline
by intraperitoneal each day for 6 weeks, and the AD group and Aqp4-/--AD group
were injected with D-galactose by intraperitoneal each day for 6 weeks. MRI
tracer Gd-DTPA (10 mmol/L, 2 MUL) was injected into the hippocampus of the rats.
MRI scan was performed at the end of 0.5 h, 1.5 h, 1 h, 2 h, and 3 h to observe
the dynamic distribution of the Gd-DTPA in the hippocampus and the diffusion rate
D*, clearance rate k' and half-life t1/2 measured. RESULTS: The diffusion rate D*
in Sham group was (2.66+/-0.36)*10-6 mm2/s, the diffusion rate D* in AD group was
(2.72+/-0.62)*10-6 mm2/s, the diffusion rate D* in Aqp4-/--Sham group was (2.75+/
0.47)*10-6 mm2/s, the diffusion rate D* in Aqp4-/--AD group was (2.802+/-0.55)*10
6 mm2/s, and there was no statistically significant difference in the four groups
(One-Way ANOVA, P>0.05).The clearance rate k' in Sham group was (4.57+/-0.14)*10
4/s, the clearance rate k' in AD group was (3.68+/-0.22)*10-4/s, the clearance
rate k' in Aqp4-/--Sham group was (3.17+/-0.16)*10-4/s, the clearance rate k' in
Aqp4-/--AD group was (2.59+/-0.19)*10-4/s, and there was significant difference
in the four groups (One-Way ANOVA, P<0.05). The half-life t1/2 in Sham group was
(0.67+/-0.12) h, the half-life t1/2 in AD group was (0.88+/-0.08) h, the half
life t1/2 in Aqp4-/--Sham group was (1.12+/-0.15) h, the half-life t1/2 in Aqp4-/
-AD group was (1.58+/-0.11) h, and there was significance difference in the four
groups(one-way ANOVA,P<0.05). CONCLUSION: The ISF drainage is slow after AD and
the loss of Aqp4 in the AD makes the ISF drainage obviously slow down, Aqp4 plays
an important role in AD to remove the metabolism of waste out of the brain.
PMID- 29643512
TI - Innate immune memory in the brain shapes neurological disease hallmarks.
AB - Innate immune memory is a vital mechanism of myeloid cell plasticity that occurs
in response to environmental stimuli and alters subsequent immune responses. Two
types of immunological imprinting can be distinguished-training and tolerance.
These are epigenetically mediated and enhance or suppress subsequent
inflammation, respectively. Whether immune memory occurs in tissue-resident
macrophages in vivo and how it may affect pathology remains largely unknown. Here
we demonstrate that peripherally applied inflammatory stimuli induce acute immune
training and tolerance in the brain and lead to differential epigenetic
reprogramming of brain-resident macrophages (microglia) that persists for at
least six months. Strikingly, in a mouse model of Alzheimer's pathology, immune
training exacerbates cerebral beta-amyloidosis and immune tolerance alleviates
it; similarly, peripheral immune stimulation modifies pathological features after
stroke. Our results identify immune memory in the brain as an important modifier
of neuropathology.
PMID- 29643516
TI - [Preliminary study of robot-assisted ankle rehabilitation for children with
cerebral palsy].
AB - OBJECTIVE: To propose a kind of robotic ankle-foot rehabilitation system for
children with cerebral palsy and to preliminarily verify its feasibility in
clinical application. METHODS: A robot assisted ankle-foot rehabilitation system
was specially designed and developed for children with cerebral palsy and a
preliminary clinical study was conducted in Department of Rehabilitation
Medicine, Peking University First Hospital. Modified Tardieu Scale and joint
biomechanical properties (ankle plantar flexion resistance torque under different
ankle dorsiflexion angles) were measured to analyze the muscle tone and soft
tissue compliance of the ankle plantar flexors pre- and post-robotic training
intervention. Six children with cerebral palsy (4 girls and 2 boys, mean age: 7
years) were recruited in this study. Each subject received 5 session robotic
training and each session included 10-cycle passive stretching and static hold.
SPSS 19.0 software was used for data statistical analysis. RESULTS: Both R1 and
R2 angles of Modified Tardieu Scale for ankle plantar flexors after training were
significantly higher than those before the treatments (Gastrocnemius: PR1=0.003,
PR2=0.029; Soleus: PR1=0.002, PR2=0.034). The difference between R2 and R1 was of
no statistical difference before and after the training (P=0.067 and P=0.067,
respectively). After training, the ankle plantar flexion resistance torque under
different dorsiflexion angles (0 degrees , 10 degrees , 20 degrees , 30 degrees )
were significantly reduced than those before training (P=0.001, P=0.001, P=0.014,
P=0.002, respectively). CONCLUSION: The robot assisted ankle-foot rehabilitation
system can improve the contracture and soft tissue compliance of cerebral palsy
children's ankle plantar flexors. All the children in the study were well
tolerated and interested with the training, easy to accept and cooperate with it.
This device may be suitable for application in the rehabilitation of children
with cerebral palsy. However, further randomized clinical trials with larger
sample size are still needed to verify the long term efficacy of this device.
PMID- 29643517
TI - [Application of scalp-recorded high-frequency oscillations in epileptic
encephalopathy with continuous spike-and-wave during sleep].
AB - OBJECTIVE: To investigate the clinical significance of high-frequency
oscillations (HFOs) on scalp electroencephalography (EEG) in patients with
epileptic encephalopathy with continuous spike-and-wave during sleep (CSWS).
METHODS: Twenty-one CSWS patients treated for epilepsy from January 2006 to
December 2016 in Pediatric Department of Peking University First Hospital were
enrolled into the study. Selected clinical variables including gender, age
parameters, seizure frequencies and antiepileptic drugs were compared between
(a). HFO-positive group and HFO-negative group before methylprednisolone
treatment and (b). excellent seizure outcome group and not-excellent seizure
outcome group after methylprednisolone treatment. Interictal HFOs and spikes in
pre- and post-methylprednisolone scalp EEG were measured and analyzed. RESULTS:
Before methylprednisolone treatment, there were 12 of 21 (57%) CSWS patients had
HFOs, with a mean value 43.17 per 60 s per patient. The 12 patients with HFOs
tended to have more frequent epileptic negative
myoclonus/atonic/myoclonus/atypical absences than those without HFOs in a month
before methylprednisolone treatment. A total of 518 HFOs and 22 592 spikes were
found in the pre-methylprednisolone EEG data of 21 patients, and 441 HFOs (86%)
were associated with spikes. The highest amplitudes of HFOs were significantly
positively correlated with that of spikes (r=0.279, P<0.001). Rates reduced by
methylprednisolone treatment were statistically significant for both HFOs
(P=0.002) and spikes (P=0.006). The percentage of reduction was 91% (473/518) and
39% (8 905/22 592) for spikes and HFOs, respectively. The percentage of spike and
HFOs changes was respectively 100% decrease and 47% decrease in the excellent
seizure outcome group, and they were 79% decrease and 18% increase in the not
excellent seizure outcome group. CONCLUSION: Prevalence of HFOs might reflect
some aspect of epileptic activity. HFOs were more sensitive to methylprednisolone
treatment than spikes and had a good correlation with the prognosis of seizures,
and HFOs could be applied to assess epilepsy severity and antiepileptic therapy.
PMID- 29643518
TI - [Application of anoptomagnetic probe Gd-DO3A-EA-FITC in imaging and analyzing the
brain interstitial space].
AB - OBJECTIVE: To investigate the application of the optical magnetic bimodal
molecular probe Gd-DO3A-ethylthiouret-fluorescein isothiocyanate (Gd -DO3A-EA
FITC) in brain tissue imaging and brain interstitial space (ISS). METHODS: In the
study, 24 male SD rats were randomly divided into 3 groups, including magnetic
probe group (n=6), optical probe group (n=6) and optical magnetic bimodal probe
group (n=12), then the optical magnetic bimodal probe group was divided equally
into magnetic probe subgroup (n=6) and optical probe subgroup (n=6). Referencing
the brain stereotaxic atlas, the coronal globus pallidus as center level, the
probes including gadolinium-diethylene triamine pentaacetic acid (Gd-DTPA),
fluorescein isothiocyanate (FITC) and Gd-DO3A-EA-FITC of 2 MUL (10 mmol/L) were
injected into the caudate nucleus respectively, magnetic resonance imaging (MRI)
was performed in the magnetic probe group and magnetic probe subgroup to image
the dynamic diffusion and distribution of the probes in the brain ISS, a self
developed brain ISS image processing system was used to measure the diffusion
coefficient, clearance, volume fraction and half-time in these two groups. Laser
scanning confocal microscope (LSCM) was performed in vitro in the optical probe
group and optical probe subgroup for fluorescence imaging at the time points 2
hours after the injection of the probe, and the distribution in the oblique
sagittal slice was compared with the result of the first two groups. RESULTS: For
the magnetic probe group and magnetic probe subgroup, there were the same imaging
results between the probes of Gd-DTPA and Gd-DO3A-EA-FITC. The diffusion
parameters of Gd-DTPA and Gd-DO3A-EA-FITC were as follows: the average diffusion
coefficients [(3.31+/-0.11)*10-4 mm2/s vs. (3.37+/-0.15)*10-4 mm2/s, t=0.942,
P=0.360], the clearance [(3.04+/-0.37) mmol/L vs. (2.90+/-0.51) mmol/L, t=0.640,
P=0.531], the volume fractions (17.18%+/-0.14% vs. 17.31%+/-0.15%, t=1.961,
P=0.068), the half-time [(86.58+/-3.31) min vs. (84.61+/-2.38) min, t=1.412,
P=0.177], the diffusion areas [(23.25+/-0.68) mm2 vs. (22.71+/-1.00) mm2,
t=1.100, P=0.297]. The statistical analysis of each brain was made by t test, and
the diffusion parameters were not statistically significant. Moreover, for the
optical probe group and optical probe subgroup, the diffusion area of Gd-DO3A-EA
FITC [(22.61+/-1.16) mm2] was slightly larger than that of FITC [(22.10+/-1.29)
mm2], the statistical analysis of each brain was made by t test, and the
diffusion parameters were not statistically significant (t=0.713, P=0.492).
CONCLUSION: Gd-DO3A-EA-FITC shows the same imaging results as the traditional GD
DTPA, and it can be used in measuring brain ISS.
PMID- 29643519
TI - [Dynamic visual analysis of neutrophils chemotaxis in peritoneal cavity of
schizophrenic model in mice].
AB - OBJECTIVE: To compare the differences of neutrophils chemotaxis ability in
peritoneal cavity between normal rats and schizopherenic rats with cell dynamic
visualization system. METHODS: In the study,18 healthy Kunming rats were randomly
divided into 3 groups which were control group (n=6), 0.3 mg/kg MK-801 treatment
group (n=6), 0.6 mg/kg dizocilpine maleate (MK-801) treatment group(n=6),
extracted neutrophils separately, and observed the morphology and counted under a
microscope. Each group of cells was divided into two parts for chemotactic
experiment, called chemokine agent treatment group and no chemokine agent
treatment group respectively, indicating control 1, 0.3 mg/kg MK-801 treatment
1,0.6 mg/kg MK-801 treatment 1 and control 2, 0.3 mg/kg MK-801 treatment 2,0.6
mg/kg MK-801 treatment 2. The dynamic migration of cells was recorded using the
NIS-Elements software, and TAXIScan Analyzer 2 software was used to select 30
cells (n=30) in each group of cells and analyze cells migration trajectory, speed
and distance, and use pair test and One-Way analysis of variance for statistical
analysis. RESULTS: The number of neutrophils in control group, 0.3 mg/kg MK-801
treatment group and 0.6 mg/kg MK-801 treatment group were(1.00+/
0.03)*104/mL,(0.05+/-0.02)*104/mL,(0.32+/-0.01)*104/mL respectively, the
differences of results were statistically significant(P<0.05).Under the effect of
chemotactic agent,the directional migration capability of neutrophils in control
group 1, 0.3 mg/kg MK-801 treatment group 1 and 0.6 mg/kg MK-801 treatment group
1 were(0.85+/-0.11) radian,(1.00+/-0.11) radian,(0.96+/-0.10) radian respectively
(P<0.05); the migration velocities of neutrophils were (0.09+/-0.02)
MUm/s,(0.12+/-0.01) MUm/s,(0.14+/-0.01) MUm/s respectively (P<0.05);the migration
distances of neutrophils were (94.26+/-0.02) MUm,(134.61+/-0.01) MUm,(156.19+/
0.01) MUm respectively(P<0.05). CONCLUSION: Compared with neutrophils in
peritoneal cavity of control group, the neutrophils in peritoneal cavity of
schizophrenic rats have stronger chemotactic movement ability.
PMID- 29643520
TI - [Comparison of gut microbiotal compositional analysis of patients with irritable
bowel syndrome through different bioinformatics pipelines].
AB - OBJECTIVE: To assess whether the same biological conclusion, diagnostic or
curative effects regarding microbial composition of irritable bowel syndrome
(IBS) patients could be reached through different bioinformatics pipelines, we
used two common bioinformatics pipelines (Uparse V2.0 and Mothur V1.39.5)to
analyze the same fecal microbial 16S rRNA high-throughput sequencing data.
METHODS: The two pipelines were used to analyze the diversity and richness of
fecal microbial 16S rRNA high-throughput sequencing data of 27 samples, including
9 healthy controls (HC group), 9 diarrhea IBS patients before (IBS group) and
after Rifaximin treatment (IBS-treatment, IBSt group). Analyses such as microbial
diversity, principal co-ordinates analysis (PCoA), nonmetric multidimensional
scaling (NMDS) and linear discriminant analysis effect size (LEfSe) were used to
find out the microbial differences among HC group vs. IBS group and IBS group vs.
IBSt group. RESULTS: (1) Microbial composition comparison of the 27 samples in
the two pipelines showed significant variations at both family and genera levels
while no significant variations at phylum level; (2) There was no significant
difference in the comparison of HC vs. IBS or IBS vs. IBSt (Uparse: HC vs. IBS,
F=0.98, P=0.445; IBS vs. IBSt, F=0.47,P=0.926; Mothur: HC vs.IBS, F=0.82,
P=0.646; IBS vs. IBSt, F=0.37, P=0.961). The Shannon index was significantly
decreased in IBSt; (3) Both workshops distinguished the significantly enriched
genera between HC and IBS groups. For example, Nitrosomonas and Paraprevotella
increased while Pseudoalteromonadaceae and Anaerotruncus decreased in HC group
through Uparse pipeline, nevertheless Roseburia 62 increased while Butyricicoccus
and Moraxellaceae decreased in HC group through Mothur pipeline.Only Uparse
pipeline could pick out significant genera between IBS and IBSt, such as
Pseudobutyricibrio, Clostridiaceae 1 and Clostridiumsensustricto 1. CONCLUSION:
There were taxonomic and phylogenetic diversity differences between the two
pipelines, Mothur can get more taxonomic details because the count number of each
taxonomic level is higher. Both pipelines could distinguish the significantly
enriched genera between HC and IBS groups, but Uparse was more capable to
identity the difference between IBS and IBSt groups. To increase the
reproducibility and reliability and to retain the consistency among similar
studies, it is very important to consider the impact on different pipelines.
PMID- 29643521
TI - [Application of support vector machine in predicting in-hospital mortality risk
of patients with acute kidney injury in ICU].
AB - OBJECTIVE: To construct an in-hospital mortality prediction model for patients
with acute kidney injury (AKI) in intensive care unit (ICU) by using support
vector machine (SVM), and compare it with the simplified acute physiology score
II (SAPS-II) which is commonly used in the ICU. METHODS: We used Medical
Information Mart for Intensive Care III (MIMIC-III) database as data source. The
AKI patients in the MIMIC-III database were selected according to the 2012 Kidney
Disease: Improving Global Outcomes (KDIGO) definition of AKI. We employed the
same predictor variable set as used in SAPS-II to construct an SVM model.
Meanwhile, we also developed a customized SAPS-II model using MIMIC-III database,
and compared performances between the SVM model and the customized SAPS-II model.
The performance of each model was evaluated via area under the receiver operation
characteristic curve (AUROC), root mean squared error (RMSE), sensitivity,
specificity, Youden's index and accuracy based on 5-fold cross-validation. The
agreement of the results between the SVM model and the customized SAPS-II model
was illustrated using Bland-Altman plots. RESULTS: A total number of 19 044
patients with AKI were included. The observed in-hospital mortality of the AKI
patients was 13.58% in MIMIC-III. The results based on the 5-fold cross
validation showed that the average AUROC of the SVM model and the customized SAPS
II model was 0.86 and 0.81, respectively (The difference between the two models
was statistically significant with t=13.0, P<0.001). The average RMSE of the SVM
model and the customized SAPS-II model was 0.29 and 0.31, respectively (The
difference was statistically significant with t=-9.6, P<0.001). The SVM model
also outperformed the customized SAPS-II model in terms of sensitivity and
Youden's index with significant statistical differences (P=0.002 and <0.001,
respectively).The Bland-Altman plot showed that the SVM model and the customized
SAPS-II model had similar mortality prediction results when the mortality of a
patient was certain, but the consistency between the mortality prediction results
of the two models was poor when the mortality of a patient was with high
uncertainty. CONCLUSION: Compared with the SAPS-II model, the SVM model has a
better performance, especially when the mortality of a patient is with high
uncertainty. The SVM model is more suitable for predicting the mortality of
patients with AKI in ICU and early intervention in patients with AKI in ICU. The
SVM model can effectively help ICU clinicians improve the quality of medical
treatment, which has high clinical value.
PMID- 29643522
TI - [Three-dimensional architecture of intraosseous vascular anatomy of the hamate: a
micro-computed tomography study].
AB - OBJECTIVE: To obtain three-dimensional intraosseous artery of the hamate and to
provide the vascular anatomy basis of hamate fracture fixation. METHODS: PbO
(lead monoxide, Sinopharm Chemical Reagent Beijing Co. Ltd) was ground into
particles less than 40 MUm and suspended in turpentine oil (Chemical Reagent
Beijing Co. Ltd) at ratios of 1 g : 1.5 mL, 1 g : 1 mL and 1 g : 0.5 mL. Three
specimens were investigated. Brachial arteries were cannulated and perfused with
lead-based contrast agent. Hamates were harvested and scanned using micro
computed tomography (microCT). The acquisition protocols were as follows: CT scan
setup: total rotation [Degrees], 360; rotation steps, 360; X-ray detector setup:
transaxial, 2048; axial, 2048; exposure time, 1 500 ms, Binning, 1; system
magnification: high-med. X-ray tube setup: 80 kV, 500 mA current. The down
sampling factor used in the reconstruction was 2. The effective voxel size of the
final image was 27.30 MUm. The three-dimensional model of the hamate was
generated and the distribution and pattern of vessels were evaluated. RESULTS:
There were abundant extraosseous vessels around the hamate. They were mainly
running in the tendons and ligaments around the hamate. Four vascular zones were
identified on the hamate surface. They were on the palmar platform of the hamate
body, on the dorsal side, on the ulnar side and on the tip of hamulus, namely.
There were anastomoses among 4 vascular zones. We did not observe any vessels
penetrating through the articular cartilage. The extraosseous vessels of the
vascular zones gave a number of intraosseous branches into the hamate. The hamate
body received intraosseous blood supply from the dorsal, palmar and ulnar while
the hamulus from the palmar, ulnar and hamulus tip. There were some intraosseous
branches anastomosing with each other. CONCLUSION: The extraosseous and
intraosseous vessels of the hamate were more than what used to be considered. The
hamate body and hamulus received blood supply from multiple directions and
arteries anastomosed extensively both outside and inside the hamate, making it
possible that the intraosseous perfusion survived after fracture. It is likely
that the nonunion after the hamate fracture is not caused by the vascular damage
but the malalignment of the fragments.
PMID- 29643523
TI - [Mesoporous nano-bioactive glass microspheres as a drug delivery system of
minocycline].
AB - OBJECTIVE: To construct mesoporous nano-bioactive glass (MNBG) microspheres load
release minocycline as an antibacterial drug delivery system. METHODS: Sol-gel
method was used to synthesze MNBG microspheres as drug carrier. The MNBG
consisted of SiO2, CaO, and P2O5. According to the content of silicon, MNBG
microspheres were divided into four groups (60S, 70S, 80S and 90S). Scanning
electron microscopy (SEM) was used to observe the surface characteristic and
particle size of MNBG; Nitrogen adsorption-desorption experiment was performed to
calculate the MNBG's specific surface area and the pore sizes; The Fourier
transform infrared spectrum (FT-IR) and the thermogravimetric analysis were
conducted to calculate the loading efficiencies of minocycline hydrochloride; UV
spectrophotometric was used to determine the cumulative release of minocycline
from drug-loaded particles in PBS solution within 21 d. Agar diffusion test (ADT)
was performed to evaluate the antibacterial properties on Enterococcus faecalis.
The inhibition zone was observed and the diameter was measured. RESULTS: The MNBG
microspheres had good dispersion, large surface area, and even particle size. The
pore sizes ranged from 4.77 nm to 7.33 nm. The loading experiment results showed
that the minocycline hydrochloride loading efficiency of MNBG was related to the
pore size of the microspheres. Among 60S, 70S, 80S and 90S, 60S MNBG had the
highest loading efficiency of 16.33% due to its high calcium content and large
pore sizes. A slow minocycline release rate from MNBG particles in PBS solution
until d 21 was observed. It was showed that a burst release of 28% of the total
drug for the first 24 h. A cumulative release of 35% was found, and the final
concentration of minocycline maintained at about 47 mg/L. ADT showed that mino
MNBG had inhibitory effect on the growth of Enterococcus faecalis. 1 g/L
minocycline, 1 g/L mino-MNBG, and 0.1 g/L minocycline presented inhibition zone,
however, PBS and 1 g/L MNBG didn't. The diameter of the inhibition zone of
minocycline groups was significant larger than that of mino-MNBG group (P<0.05),
which was also significant larger than those of PBS and MNBG groups (P<0.05). It
showed that mino-MNBG drug delivery system had antibacterial properties on
Enterococcus faecalis. CONCLUSION: The 60S MNBG that can effectively load and
release minocycline may be an ideal drug carrier.
PMID- 29643524
TI - [A customized method for information extraction from unstructured text data in
the electronic medical records].
AB - OBJECTIVE: There is a huge amount of diagnostic or treatment information in
electronic medical record (EMR), which is a concrete manifestation of clinicians
actual diagnosis and treatment details. Plenty of episodes in EMRs, such as
complaints, present illness, past history, differential diagnosis, diagnostic
imaging, surgical records, reflecting details of diagnosis and treatment in
clinical process, adopt Chinese description of natural language. How to extract
effective information from these Chinese narrative text data, and organize it
into a form of tabular for analysis of medical research, for the practical
utilization of clinical data in the real world, is a difficult problem in Chinese
medical data processing. METHODS: Based on the EMRs narrative text data in a
tertiary hospital in China, a customized information extracting rules learning,
and rule based information extraction methods is proposed. The overall method
consists of three steps, which includes: (1) Step 1, a random sample of 600
copies (including the history of present illness, past history, personal history,
family history, etc.) of the electronic medical record data, was extracted as raw
corpora. With our developed Chinese clinical narrative text annotation platform,
the trained clinician and nurses marked the tokens and phrases in the corpora
which would be extracted (with a history of diabetes as an example). (2) Step 2,
based on the annotated corpora clinical text data, some extraction templates were
summarized and induced firstly. Then these templates were rewritten using regular
expressions of Perl programming language, as extraction rules. Using these
extraction rules as basic knowledge base, we developed extraction packages in
Perl, for extracting data from the EMRs text data. In the end, the extracted data
items were organized in tabular data format, for later usage in clinical research
or hospital surveillance purposes. (3) As the final step of the method, the
evaluation and validation of the proposed methods were implemented in the
National Clinical Service Data Integration Platform, and we checked the
extraction results using artificial verification and automated verification
combined, proved the effectiveness of the method. RESULTS: For all the patients
with diabetes as diagnosed disease in the Department of Endocrine in the
hospital, the medical history episode of these patients showed that, altogether 1
436 patients were dismissed in 2015, and a history of diabetes medical records
extraction results showed that the recall rate was 87.6%, the accuracy rate was
99.5%, and F-Score was 0.93. For all the 10% patients (totally 1 223 patients)
with diabetes by the dismissed dates of August 2017 in the same department, the
extracted diabetes history extraction results showed that the recall rate was
89.2%, the accuracy rate was 99.2%, F-Score was 0.94. CONCLUSION: This study
mainly adopts the combination of natural language processing and rule-based
information extraction, and designs and implements an algorithm for extracting
customized information from unstructured Chinese electronic medical record text
data. It has better results than existing work.
PMID- 29643525
TI - [Association between chronic periodontitis and carotid intima-media thickness of
middle-aged and older adults in Shijingshan community of Beijing].
AB - OBJECTIVE: To investigate the relationship between periodontal disease and
subclinical atherosclerosis in middle-aged and older adults in Shijingshan
community of Beijing. METHODS: In 2005-2010, a total of 830 middle-aged and older
adults were recruited from Shijingshan community of Beijing, who were divided
into two groups by severity of periodontitis. A questionnaire, periodontal
examination, blood biochemical examination, carotid intima-media thickness
(CIMT), including common carotid artery (CCA-IMT), internal carotid artery (ICA
IMT) and carotid bifurcation (CB-IMT), were measured of each subject. The
associations of periodontitis with CIMT was evaluated by multivariable Logistic
regression analysis and analysis of covariance, adjusted for age, gender,
education level, hypertension, hyperlipidemia, obesity, smoking, drinking, and
diabetes. And then anther definition of periodontitis (mild periodontitis:
percentage of AL>=3 mm <10%; moderate periodontitis: percentage of AL>=3 mm 10%
30%; severe periodontitis: percentage of AL>=3 mm >=30%) was used to investigate
the hypotheses that different classification of periodontitis would affect
results. RESULTS: The subjects with moderate-severe periodontitis were
characterized by significantly higher levels of CCA-IMT, ICA-IMT, CB-IMT and mean
CIMT than the mild group. In the univariate analysis, moderate-severe
periodontitis was associated with an increased risk of ICA-IMT>0.9 mm (adjusted
OR=1.230, 95% CI: 1.058-1.452, P=0.031). Furthermore, moderate periodontitis was
associated with an increased risk of CB-IMT>0.9 mm (adjusted OR: 1.297, 95%CI:
1.117-1.753, P=0.011) and severe periodontitis was associated with an increased
risk of CB-IMT>0.9 mm (adjusted OR=1.771, 95%CI: 1.176-3.503, P=0.042) according
to another classification of periodontitis. CONCLUSION: Our data provided
evidence that periodontitis was associated with CIMT among middle-aged and older
adults in Shijingshan community of Beijing and different periodontitis
classification would affect the results.
PMID- 29643526
TI - [Exploring a new method for superimposition of pre-treatment and post-treatment
mandibular digital dental casts in adults].
AB - OBJECTIVE: To explore a cone beam computed tomography (CBCT)-independent method
for mandibular digital dental cast superimposition to evaluate three-dimensional
(3D) mandibular tooth movement after orthodontic treatment in adults, and to
evaluate the accuracy of this method. METHODS: Fifteen post-extraction
orthodontic treatment adults from the Department of Orthodontics, Peking
University School and Hospital of Stomatology were included. All the patients had
four first premolars extracted, and were treated with straight wire appliance.
The pre- and post-treatment plaster dental casts and craniofacial CBCT scans were
obtained. The plaster dental casts were transferred to digital dental casts by 3D
laser scanning, and lateral cephalograms were created from the craniofacial CBCT
scans by orthogonal projection. The lateral cephalogram-based mandibular digital
dental cast superimposition was achieved by sequential maxillary dental cast
superimposition registered on the palatal stable region, occlusal transfer, and
adjustment of mandibular rotation and translation obtained from lateral
cephalogram superimposition. The accuracy of the lateral cephalogram-based
mandibular digital dental cast superimposition method was evaluated with the CBCT
based mandibular digital dental cast superimposition method as the standard
reference. After mandibular digital dental cast superimposition using both
methods, 3D coordinate system was established, and 3D displacements of the lower
bilateral first molars, canines and central incisors were measured. Differences
between the two superimposition methods in tooth displacement measurements were
assessed using the paired t-test with the level of statistical significance set
at P<0.05. RESULTS: No significant differences were found between the lateral
cephalogram-based and CBCT-based mandibular digital dental cast superimposition
methods in 3D displacements of the lower first molars, and sagittal and vertical
displacements of the canines and central incisors; transverse displacements of
the canines and central incisors differed by (0.3+/-0.5) mm with statistical
significance. CONCLUSION: The lateral cephalogram-based mandibular digital dental
cast superimposition method has the similar accuracy as the CBCT-based mandibular
digital dental cast superimposition method in 3D evaluation of mandibular
orthodontic tooth displacement, except for minor differences for the transverse
displacements of anterior teeth. This method is applicable to adult patients with
conventional orthodontic treatment records, especially the previous precious
orthodontic data in the absence of CBCT scans.
PMID- 29643527
TI - [Association between ADAMTS14 gene polymorphism and the temporomandibular joint
osteoarthritis in Chinese Han females].
AB - OBJECTIVE: To investigate the association between single nucleotide polymorphisms
(SNP) of ADAMTS14 gene rs4747096 and osteoarthritis of the temporomandibular
joint in Chinese Han females. METHODS: As a case-control study, a total of 213
Chinese Han females were involved in the present study, which contained 103
temporomandibular joint osteoarthritis patients and 110 healthy people who had no
symptoms or signs of temporomandibular joint osteoarthritis as control.
Peripheral blood samples were collected from each participant. Genomic DNAs of
temporomandibular joint osteoarthritis patients and healthy control were
extracted from peripheral venous blood, which were stored in -80 degrees C
refrigerator by using DNA extraction kits. The designed primers were used for
polymerase chain reaction (PCR) amplification of specific DNA fragments. Genotype
was determined by sequencing the PCR products. The software Chromas 2.22 was used
to analyze the genotype. The genotype distributions, allele frequencies and
genetic models between the patients and controls were compared. The age
distribution was checked by t-test. Genotype and allele frequency were detected
by Chi-square test. RESULTS: In the present study, there were no significant
differences between the osteoarthritis patients and healthy controls in terms of
age. The genotype distribution was in accordance with Hardy-Weinberg equilibrium
in the two groups. The genotype frequency of the ADAMTS14 (rs4747096) in the
experimental group was 38.8% (AA), 55.4% (AG), and 5.8% (GG), respectively. The
genotype frequency in the control group was 40.9% (AA), 43.6% (AG), and 15.5%
(GG), respectively. The difference of genotype frequency of the ADAMTS14
(rs4747096) was significant between the experimental group and the control group
(P=0.047). There was no significant difference in allele frequency between the
two groups (P=0.415). AA and AG genotypes significantly increased the risk of the
disease compared with GG in dominant model (OR=1.114, 95% CI: 1.015-1.223,
P=0.028). CONCLUSION: A significant correlationship was found between the
ADAMTS14 (rs4747096) SNP and the temporomandibular joint osteoarthritis in
Chinese Han females. The distribution of rs4747096 may be different between
temporomandibular joint osteoarthritis and healthy population.
PMID- 29643528
TI - [Comparison of the properties of CD146 positive and CD146 negative subpopulations
of stem cells from human exfoliated deciduous teeth].
AB - OBJECTIVE: Stem cells from human exfoliated teeth (SHED) were sorted by
magnetically activated cell sorting (MACS) technique to obtain the CD146 positive
and negative cell subpopulation. Then the biological characteristics of these
subpopulations were compared to explore their specific application potential in
tissue engineering. METHODS: In this study, freshly extracted deciduous teeth
without any caries or dental pulp disease were obtained. SHED was isolated using
enzyme digestion method and then sorted by MACS, CD146 positive cells and CD146
negative cells were obtained after cell sorting. The biological characteristics
of the unsorted mixed cells, CD146 positive subpopulation and CD146 negative
subpopulation were compared. The proliferation ability was detected through cell
counting kit-8 (CCK-8) and colony-forming unit (CFU). After osteogenic induction,
alizarin red staining was performed and the gene expression of osteogenic related
markers was detected by quantitative real-time polymerase chain reaction(qPCR).
After adipogenic induction, oil-red O staining was performed and the gene
expression of adipogenic related markers was detected. After neurogenic
differentiation induction, the expression of neural markers was detected by
immunofluorescence and the gene expression of neural markers was detected by
qPCR. RESULTS: SHED of the fifth passage was sorted by MACS. And the CD146
positive cell subpopulation and CD146 negative cell subpopulation were obtained.
CCK8 assay showed that the proliferative tendency of the three cell groups was
consistent, but the proliferation potential of CD146 positive and negative cell
subpopulations was significantly lower than that of the unsorted cells. The
colony forming rates of the unsorted mixed cell group, CD146 positive and
negative populations were 28.6%+/-3%,17.1%+/-2.3% and 27.5%+/-2.5%, respectively.
After 21 days of osteogenic induction, alizarin red staining and qPCR showed that
the CD146 positive cell population had more mineralized nodule formation and
expressed higher level of osteogenic related genes compared with the other two
groups. After 21 days of adipogenic induction, oil red O staining and qPCR
results showed that the CD146 negative subpopulation produced more lipid droplets
and the expression of lipid related genes increased more significantly. After 14
days of neural induction, cell immunofluorescence and qPCR results showed that
the unsorted mixed cell group and CD146 positive subpopulation expressed glial
cell marker, and the expressions of neural precursor cells and neuronal marker
increased significantly in negative subpopulation. CONCLUSION: The unsorted mixed
cells showed better proliferative potential than CD146 positive and negative
subpopulations. The CD146 positive subpopulation was most potent in osteogenic
differentiation; it was more suitable for bone tissue engineering. The CD146
negative cells had stronger adipogenic differentiation potential than the other
two cell groups; different subpopulations differed in neural differentiation.
PMID- 29643529
TI - [Retrospective study of dental treatment under general anesthesia of 62 disabled
children and adolescents].
AB - OBJECTIVE: To retrospectively figure out the oral health status, treatment and
follow-ups after dental treatment under general anesthesia (DGA) of disabled
children or adolescents. METHODS: Clinical data of disabled children or
adolescents and normal children as control received DGA in the Department of
Pediatric Dentistry, Peking University School and Hospital of Stomatology from
August 2008 to September 2015 were recorded, including: gender, birth date,
treatment date, disability type, oral health status before treatment, treatment
content and follow-ups (in 1 year). Differences among ages and disabilities were
analyzed statistically. RESULTS: Sixty-two disabled patients and fifty-seven
controls were recruited, mean aged (9.38+/-5.22) years and (3.00+/-1.41) years.
Most patients had 10 to 15 problem teeth with which the mean number of the
disabled children and adolescents was (11.79+/-4.98) while that of the normal
controls was (12.40+/-4.11). Caries, pulpitis, periapical periodontitis, dental
trauma and developmental tooth anomalies of the disabled patients accounted for
67.56%, 13.54%, 15.15%, 1.07%, and 2.68%, respectively and the DMFT/dmft index
was 11.55+/-5.56 while in the control group those were at
65.35%,19.09%,14.14%,0,1.41% and 12.23+/-4.42. The DMFT/dmft index of the
disabled patients in the group 6-12 years (8.35+/-4.69) was significantly less
than that of the other three groups (P<0.01) while no differences were found in
disabilities (P=0.239). Resin restoration, pit and fissure sealant, preventive
resin restoration, pulpotomy, pulpectomy/RCT, extraction and crown of the
disabled patients were performed as 52.71%, 7.24%, 8.56%, 0.72%, 17.13%, 10.01%
and 3.62% respectively whereas those made up as 56.31%, 1.27%, 0.13%, 2.29%,
19.87%, 7.90% and 12.23% in the control group. Thirty-five (56.45%) disabled
patients and forty-three (75.44%) controls recalled. Problem teeth within one
year after operation in diabled patients and controls were both nearly twice as
much as the number within half a year. Restoration loss/fractured mainly occurred
in anterior primary teeth while secondary/ recurrent caries and
pulpitis/perapical periodontitis mostly occurred in primary molars. CONCLUSION:
Oral health status in our disabled children and adolescents is poor. Though
dental treatment under GA is an effective way to improve the oral health of
disabled children and adolescents, periodic follow-ups and family oral health
care are equal important for oral health maintenance.
PMID- 29643530
TI - [Clinical study of locking-taper implants in patients treated for periodontitis].
AB - OBJECTIVE: To evaluate the survival rate and peri-implant clinical parameters of
Locking-Taper implants in patients having lost their teeth due to non
periodontitis (NP) reasons, chronic periodontitis (CP) and aggressive
periodontitis (AgP). METHODS: In the study, 145 subjects were installed with 315
Bicon Locking-Taper implants and followed up for 1-5 years. The subjects and
implants were classified into three groups, tooth loss by NP, CP and AgP. NP
included 44 subjects with 100 implants, CP 70 subjects with 132 implants and AgP
31 subjects with 83 implants. Periodontal parameters before subgingival scaling
and root planning (T0), at the end of active periodontal therapy (T1) and at the
time of last recall (T2) were recorded. Right after the installation of final
restoration and at the time of last recall (T2), peri-implant probing parameters
were recorded. RESULTS: After active periodontal therapy, mean probing depth (PD)
in CP and AgP were reduced from 4.05 mm, 5.20 mm at T0 to 3.07 mm, 2.96 mm at T1
(P<0.001, P<0.001), (PD>=6 mm)% were reduced from 33.2%, 58.5% at T0 to 14.4%,
10.5% at T1 (P<0.001, P<0.001). The periodontal parameters remained stable at T2
compared with T1 (P>0.05). Cumulative survival rates of implants in NP, CP and
AgP were 100%, 97.6% and 100% for 1-5 years' follow-ups with no statistical
significance found. At T2, mean implant PD was 2.78 mm, 2.96 mm and 2.97 mm in
NP, CP and AgP, with NP significantly lower than the other two groups (P=0.006,
P=0.01). The percentage of implant sites with PD>=6 mm was 3.7% in CP and 4.8% in
AgP, both significantly higher than NP (P=0.003, P<0.001). 8.4% implant sites
showed at least 2 mm deeper than those at prosthesis installation were found in
CP group, significantly higher than NP (4.3%, P=0.003). CONCLUSION: Periodontal
conditions of patients having lost their teeth for chronic and aggressive
periodontitis were significantly improved after active periodontal therapy and
remained stable during 1-5 years. Short-term survival rates of Locking-Taper
implants in patients treated for CP and AgP was no less than those who lost their
teeth for non-periodontitis reasons. More sites with increasing peri-implant
probing depth were found in CP and AgP patients, compared with NP.
PMID- 29643531
TI - [Extraction-orthodontic treatment on patients with chronicperiodontitis: a
preliminary study].
AB - OBJECTIVE: To retrospectively evaluate clinical and radiographic records of
chronic periodontitis patients who underwent extraction-orthodontic treatment, in
order to determine the effect of the treatment on probing depth, alveolar bone
height of teeth adjacent to the extraction sites. METHODS: In the study, 33
chronic periodontitis patients who had finished extraction-orthodontic treatment
were selected, the periodontal examination system tables and panoramic tomography
were recorded before treatment (T0) and after treatment (T1), and the periodontal
probing depth (PD), residual alveolar bone height (RBH) of the teeth adjacent to
extraction sites (TAES) and the non-teeth adjacent to extraction sites (NTAES)
were measured at T0 and T1. RESULTS: There was insignificant difference in PD of
TAES and NTAES at T0 [(2.40+/-0.51) mm vs. (2.42+/-0.55) mm,P>0.05], neither was
that at T1 [(2.70+/-0.67) mm vs. (2.67+/-0.64) mm, P>0.05]; From T0 to T1, PD of
TAES and NTAES had mean increases of 0.3 mm [(2.40+/-0.51) mm vs. (2.70+/-0.67)
mm,P<0.01] and 0.25 mm [(2.42+/-0.55 mm vs. (2.67+/-0.64) mm, P<0.01],
respectively. And PD of TAES and NTAES increased from T0 to T1 statistically in
the same degree [(0.30+/-0.64) mm vs. (0.25+/-0.58) mm,P>0.05]; at T0, RBH of
TAES was 0.024 smaller than that of NTAES (0.74+/-0.16 vs. 0.76+/-0.16,P<0.05),
but there was no difference in RBH between the TAES and NTAES at T1 (0.78+/-0.14
vs. 0.79+/-0.12,P>0.05); From T0 to T1, RBH of TAES and NTAES had mean increases
of 0.04 (0.74+/-0.16 vs.0.78+/-0.14,P<0.05) and 0.02 (0.76+/-0.16 vs. 0.79+/
0.12,P<0.05), respectively. And the change of RBH between TAES and NTAES from T0
to T1 had no statistical difference (0.04+/-0.11 vs. 0.02+/-0.08,P>0.05)RBH of
TAES in the side close to extraction sites was as the same as that of TAES in the
side away from the extraction sites at T0 (0.73+/-0.17 vs. 0.74+/-0.16,P>0.05).
From T0 to T1, RBH of both sides of TAES had mean increases of 0.04 (0.73+/-0.11
vs. 0.77+/-0.11,P<0.05) and 0.04 (0.74+/-0.11 vs. 0.78+/-0.11,P<0.05),
respectively. But for both sides of TAES, from T0 to T1, there was no significant
difference in change of RBH (0.04+/-0.11 vs. 0.04+/-0.11,P>0.05). CONCLUSIONS:
With strict control of periodontal inflammation and maintenance of oral hygiene,
orthodontic treatment preserves the periodontal conditions in patients with
chronic periodontitis, and the extraction-orthodontic treatment can preserve the
bone height of the teeth adjacent to extraction sites.
PMID- 29643532
TI - [Three-year clinical effects of a modified semi-fixed bridge on restoring a
missing posterior tooth].
AB - OBJECTIVE: To observe the effects of a modified semi-fixed bridge to restore a
missing posterior tooth for three years. METHODS: In the study, 38 cases with a
posterior tooth missed were chosen as experiment group. The inclusion criteria
for these cases required that one abutment tooth be defected, and another
abutment intact. The missed posterior tooth was restored with a modified semi
fixed bridge in which a metal wing retainer was applied to the intact abutment
tooth and a full crown retainer was applied to the defected abutment tooth, and
the non-rigid connector linked to the metal wing retainer and the pontic, while
the rigid connector linked to the full crown retainer and the pontic. And 42
cases with same abutment conditions were chosen as control group. These control
patients were treated with classical rigidly-fixed partial dentures. The clinical
effects regarding the bridge survival, abutment conditions, and etc. were
observed by examination. RESULTS: The average observation time for experiment
group was 40.3 months (from 9 to 60 months). One case failed with loose crown
retainer after 7 months' usage. Another failed case was the same performance
after 20 months' wearing. The restorations were rebounded after the inner face of
crowns was treated, and they were used normally. No loose abutment and retainer,
no decayed abutment teeth were found, and the marginal adaptations between
retainers and abutment teeth were good. The average observation time of control
group was 44.7 months(from 22 to 50 months). No secondary decays and loose
abutment teeth were detected and the marginal adaptation of the retainers was
good. CONCLUSION: This modified semi-fixed bridge has similar survival rate and
clinical effects to restore a missing posterior tooth when compared with the
traditional rigidly-fixed partial dentures. But the long-term observation is
required.
PMID- 29643533
TI - [Topoisomerase inhibitor upregulates MICA/B expression in breast cancer cells
through ATM/ATR and NF-kappaB pathway].
AB - OBJECTIVE: To investigate the effects of chemotherapeutic agents widely used in
clinical practice on major histocompatibility complex class I-related chain A and
B (MICA/B) expression in breast cancer cells, and to explore the molecular
mechanisms involved. METHODS: We examined MICA/B mRNA and surface protein
expressions in breast cancer cells treated with chemotherapeutic agents by real
time RT-PCR and flow cytometry respectively. The blocking effects of ataxia
telangiectasia mutated and Rad3-related kinase (ATM/ATR) inhibitor caffeine and
nuclear factor kappaB (NF-kappaB) inhibitor pynolidine dithiocarbamate (PDTC) on
etoposide-upregulated MICA/B mRNA and surface protein expressions were
investigated. Electrophoretic mobility shift assay (EMSA) was taken to
investigate whether etoposide enhanced the binding of NF-kappaB to MICA/B gene
promoter. RESULTS: Three topoisomerase inhibitors etoposide, camptothecin and
doxorubicine upregulated MICA and MICB mRNA expressions in breast cancer cell MCF
7. Comparing to no-drug-treated cells, MICA mRNA levels increased to (1.68+/
0.17), (2.54+/-0.25) and (3.42+/-0.15) fold, and levels of MICB mRNA increased to
(1.82+/-0.24), (1.56+/-0.05) and (5.84+/-0.57) fold respectively in cancer cells
treated by etoposide at the concentrations of 5, 20 and 100 MUmol/L (P<0.05).
MICA and MICB mRNA levels also increased significantly when MCF-7 cells were
incubated with camptothecin or doxorubicine at the specific concentrations
(P<0.05). MICB mRNA expression also increased slightly in another breast cancer
cell SK-BR-3 treated by topoisomerase II inhibitors etoposide and camptothecin
(P<0.05). Furthermore, etoposide and camptothecin upregulated MICA/B surface
protein expression in MCF-7 cells (P<0.05), and the upregulation was found in
both living and apoptotic cells. Our study showed that etoposide induced-MICA/B
expression in MCF-7 was inhibited by caffeine at different concentrations. When
cancer cells were treated by caffeine with 1, 5 and 10 mmol/L, MICA mRNA levels
decreased from (3.75+/-0.25) to (0.89+/-0.05), (0.81+/-0.02) and (0.48+/-0.04)
fold respectively (P<0.001), and MICB mRNA levels decreased from (6.85+/-0.35) to
(1.36+/-0.13), (0.76+/-0.06) and (0.56+/-0.03) fold (P<0.05), while MICA/B
protein levels decreased from (3.42+/-0.05) to (1.32+/-0.03), (1.21+/-0.06) and
(1.14+/-0.03) fold (P<0.001), indicating that etoposide-induced MICA/B expression
was inhibited by ATM/ATR inhibitor. Similarly, NF-kappaB inhibitor PDTC also
inhibited MICA/B mRNA and protein expressions induced by etoposide significantly
when MCF-7 cells were incubated with PDTC at the concentrations of 10, 50 and 100
MUmol/L (P<0.05), indicating that NF-kappaB was also involved in this process.
EMSA showed that the binding of NF-kappaB to MICA/B promoter enhanced in MCF-7
cells after etoposide treatment. CONCLUSION: Topoisomerase inhibitor increased
MICA/B mRNA and protein expressions in breast cancer cells, indicating that
chemotherapeutic agents might increase the recognizing and killing ability of
immunocytes to breast cancer cells. ATM/ATR and NF-kappaB pathways might be
involved in it.
PMID- 29643534
TI - [In vivo imaging of breast tumors by a 99mTc radiolabeled probe targeting
microRNA-155 in mice models].
AB - OBJECTIVE: MicroRNA-155 (miR-155) is significantly highly expressed in breast
cancer, lung cancer, liver cancer and other malignant tumors. This study was to
design and construct a radiolabeled probe targeting miR-155 for in vivo imaging
in breast cancer. METHODS: Anti-miR-155 oligonucleotide (AMO-155) was chemically
synthesized with 2' OMe modification. Its 5' end was linked with acetyl amine
group. After chelated with a bifunctional chelator NHS-MAG3, AMO-155 was
radiolabeled with 99mTc using stannous chloride. The serum stability was
evaluated at cellular level. In vivo imaging was performed in MCF-7 tumor bearing
mice after the administration of 99mTc radiolabeled AMO-155 and scramble control
probes, respectively. Furthermore, the blocked imaging of tumor bearing mice was
obtained after the injection of unlabeled AMO-155 2 hours ahead. MCF-7 and MDA-MB
231 tumor bearing mice with different expression level of miR-155 were imaged,
respectively. Quantitative real-time PCR (qRT-PCR) was used to identify the
expression level of miR-155 in the bearing tumors. RESULTS: 99mTc-AMO-155 was
prepared with high radiolabeled efficiency (97%), radiochemical purity (greater
than 98%), and radioactive specific activity (3.75 GBq/MUg). 99mTc-AMO-155 was
stable in fresh human serum for 12 hours. After the administration via tail vein,
99mTc-AMO-155 displayed significant accumulation in MCF-7 bearing tumors with
high expression level of miR-155, whereas 99mTc-control showed little
accumulation. After blocked with unlabeled AMO-155, the tumor could not be
visualized clearly after the administration of 99mTc-AMO-155. Furthermore, 99mTc
AMO-155 could show the differential expression of miR-155 in vivo. MCF-7 tumor
was shown with significantly higher radioactive accumulation than MDA-MB-231,
based on its higher expression level of miR-155, which was verified by qRT-PCR.
CONCLUSION: 99mTc-labeled AMO-155 with chemical modification showed good serum
stability and in vivo tumor targeting ability. This study provides a potential
probe for in vivo imaging of breast cancer.
PMID- 29643535
TI - [Effects of tetrandrine on proliferation and activation of cardiac fibroblasts].
AB - OBJECTIVE: To investigate the effects of tetrandrine (Tet) on proliferation and
activation of rat cardiac fibroblasts. METHODS: Firstly, the cell counting kit-8
(cck-8) assay was applied to detect the effects of Tet with different
concentrations on proliferation of cardiac fibroblasts. Secondly, transforming
growth factor (TGF-beta)with a concentration of 5 MUg/L was used to induce the
cardiac fibroblast activation, and Western blot was performed to measure the
expression variation of beta-catenin, vimentin (Vm), fibronectin (Fn) and smooth
muscle alpha-actin (SMA). At last, the real-time PCR was conducted to measure the
expression change of collagen-1(Col-1) and collagen-3(Col-3). RESULTS: The cck-8
assay showed that the Tet with different concentrations respectively, which were
0.5 MUmol/L, 1 MUmol/L, 2 MUmol/L, 4 MUmol/L, and 8 MUmol/L, significantly
inhibited the proliferation of cardiac fibroblasts. The viability was decreased
to 94.4%,84.9%,74.9%,63.8%and 50.3% respectively of the control group when the
Tet concentration changed, and the difference was statistically significant,
P=0.043, P<0.001, P<0.001, P<0.001, P<0.001 respectively. Western blot revealed
that the expressions of beta-catenin, Fn, SMA and Vm, were up-regulated by TGF
beta(5 MUg/L), the result showed that the difference was statistically
significant, and the P values were 0.001,0.008,0.010,0.001 respectively. Then,
the up-regulation of beta-catenin, Fn and SMA was attenuated by pre-treatment of
Tet, and the result also displayed that the difference was statistically
significant, and the P values were 0.009, 0.005, 0.019,respectively. While there
was no significant change in the expression of Vm, according to Western blotting,
and P>0.05,at the same time, real-time PCR indicated that the up-regulations of
Col-1 and Col-3 which were induced by TGF-beta were blocked by pre-treatment of
Tet, the result showed that the difference was statistically significant,
P<0.001. CONCLUSION: According to the experimental results, we can draw the
conclusion that: the Tet can significantly inhibit the proliferation of cardiac
fibroblasts, meanwhile, it can block the activation of cardiac fibroblasts, which
is induced by TGF-beta. It is supposed that the Tet may probably have anti
myocardial fibrosis, which indicates that it may probably be a medicine which is
used to block the cardiac remodeling.
PMID- 29643536
TI - [Genetic diagnosis of Caroli syndrome with autosomal recessive polycystic kidney
disease: a case report and literature review].
AB - This case report is about one genetically specified diagnosed infant case of
Caroli syndrome with autosomal recessive polycystic kidney disease (ARPKD) in
China. The patient in this case report was an eight-month infant boy with an
atypical onset and the main clinical manifestation was non-symptomatic
enlargement of the liver and kidneys. The imaging study demonstrated a diffused
cystic dilatation of intrahepatic bile ducts as well as polycystic changes in
bilateral kidneys. The basic blood biochemical tests indicated a normal
hepatorenal function. Four serum biomarkers of hepatic fibrosis were all elevated
and the urine test for an early detection of the renal injury was positive. The
genetic sequencing proved two heterozygous missense mutations of polycystic
kidney and hepatic disease 1 (PKHD1) gene, c.9292G>A and c.2507T>C, inherited
from each of his parents respectively. The former was a novel mutation that had
been verified as disease causing through the predicting software while the latter
had been reported from one recent case study on Chinese twins, which was possibly
unique among Chinese population. The relations between the gene type and the
clinical phenotype were not clarified yet. Up till a follow-up eleven months
later after the discharge, the patient had a normal hepatorenal function without
occurrence of any severe complication yet. The clinical symptoms of Caroli
syndrome with ARPKD at infant stage were atypical and the enlargement of liver
and kidney was usually the sole symptom. From the above systematic retrospective
clinical analysis, as well as the relevant literature review, it's been concluded
that the features of the hepatorenal images in patients with Caroli syndrome and
ARPKD were distinctive. Genetic testing combined with the imaging study benefits
a definite diagnosis as well as a differentiation from other hepatorenal
fibrocystic diseases. Specific to the long-term management of this kind of
patients, it's necessary to schedule a regular follow-up to monitor the
hepatorenal function and the occurrence of various complications for an
appropriate intervention, meantime to devote efforts to the genetic counseling
work for the patients' family.
PMID- 29643537
TI - [Preparation and characterization of citric acid-modified superparamagnetic iron
oxide nanoparticles].
AB - OBJECTIVE: To prepare and characterize citric acid (CA)-modified super
paramagnetic iron oxide nanoparticles (SPIONs) for magnetic targeting,
hyperthermia and magnetic resonance imaging (MRI). METHODS: CA-modified SPIONs
(CA-SPIONs) were prepared by co-precipitation method and then the magnetic
responsiveness, morphology, particle size, infrared feature, weight percentage of
CA, magnetic property and X-ray diffraction pattern of CA-SPIONs were
respectively characterized by magnet, transmission electron microscope, laser
particle size analyzer, Fourier transform infrared (FT-IR) spectroscopy,
thermogravimetry-differential thermal analyzer, vibrating sample magnetometer and
X-ray diffractometer (XRD). The heating efficiency of the CA-SPIONs was
investigated by a high frequency induction heater. The transverse relaxivity (r2)
of the CA-SPIONs was evaluated by a 3.0 T MRI scanner. RESULTS: The CA-SPIONs
prepared were dispersed well in water with a dark black color and had good
magnetic responsiveness. The CA-SPIONs were spherical in shape and uniform in
size with an average size around 12 nm. The hydrodynamic average size of the CA
SPIONs was (72.35+/-4.47) nm with a polydispersity index of 0.231 +/- 0.029. The
result of infrared spectrum indicated that CA was successfully modified to the
surface of SPIONs. The result of thermogravimetric analysis showed that the
weight percentage of CA modified on the CA-SPIONs was 9.0%. The result of
magnetic property evaluation demonstrated that the CA-SPIONs exhibited excellent
superparamagetism with a saturation magnetism of 63.58 emu/g. The XRD result
indicated that the CA-SPIONs were in inverse spinel structure. The crystallite
size of the CA-SPIONs was calculated to be 12.4 nm by Debye-Scherrer equation.
Under the high frequency alternating electromagnetic field with electric current
of 9 A and frequency ranging from 45 to 50 kHz, the CA-SPIONs exhibited excellent
heating efficiency and the specific absorption rate (SAR) value was calculated to
be 26 W/g. The r2 of the CA-SPIONs was assessed to be 338 (mmol/L)-1*s-1 by a 3.0
T MRI scanner, which suggested the excellent negative contrast enhancement effect
of the CA-SPIONs. CONCLUSION: The CA-SPIONs are expected to be used as a
promising agent for magnetic targeting, hyperthermia and MRI detection.
PMID- 29643538
TI - [Anterior cervical discectomy and fusion to treat cervical spondylosis with
sympathetic symptoms].
AB - OBJECTIVE: To investigate the clinical effectiveness of polytheretherketone
(PEEK) cages assisted anterior cervical discetomy and fusion (ACDF) to treat
cervical spondylosis with sympathetic symptoms. METHODS: Retrospective analysis
was undertaken for 39 patients who were diagnosed as cervical spondylosis with
sympathetic symptoms and underwent ACDF with PEEK cages. Radiographs obtained
before surgery, after surgery, and at the final follow-up were assessed for
quality of fusion. The following criteria were used for assessing radiographic
success of fusion: (1) endplate obliterated with no lucent lines; (2)
obliteration of disc space by bony trabeculae; (3) less than 2 degrees of
intervertebral motion or 2 mm of motion between the spinous processes at the
operated segment on flexion-extension lateral radiographs. The sympathetic
symptoms including vertigo, headache, tinnitus, nausea and vomiting, heart throb,
hypomnesia and gastroenterological discomfort were scored by 20-point system
preoperatively, 2 months postoperatively and at the final follow-up. The recovery
rate and clinical satisfaction rate were also evaluated. Surgical complications
were also assessed. RESULTS: They were followed up for at least one year. The
mean follow-up was 15.6 months. Radiographs of the cervical spine at the last
follow-up revealed a solid fusion with no signs of a pseudoarthrosis in 36 cases.
In two patients delayed union and bony fusion were achieved at the end of 9 and
11 months. Pseudoarthosis was found in 1 case but the patient had no symptoms.
The score of sympathetic symptoms before surgery, 2 months after surgery and at
the final follow-up were 8.4+/-1.0,2.2+/-0.3,and 2.4+/-0.3, respectively. There
were 22 excellent cases, 15 good cases, 1 fair case and 1 bad case in terms of
RR. Good to excellent results were attained in 95% of theses patients. The
sympathetic symptoms improved in all the patients and the score was significantly
improved after surgery. There was one patient who had cerebral spinal fluid
leakage but he recovered one week after surgery. Two patients felt a mild
swallowing discomfort, but it disappeared within one month after surgery.
Subcutaneous hematoma occurred in one patient due to obstructed drainage. It was
cleared two days after surgery. CONCLUSION: Cervical spondylosis patients with
sympathetic symptoms may be managed successfully with ACDF using PEEK cages.
Successful clinical results regarding symptom improvement and general
satisfaction with the surgical procedure depend not only on obtaining successful
decompression and radiographic fusion but also on patient selection.
PMID- 29643539
TI - [Construction of chemical information database based on optical structure
recognition technique].
AB - OBJECTIVE: To create a protocol that could be used to construct chemical
information database from scientific literature quickly and automatically.
METHODS: Scientific literature, patents and technical reports from different
chemical disciplines were collected and stored in PDF format as fundamental
datasets. Chemical structures were transformed from published documents and
images to machine-readable data by using the name conversion technology and
optical structure recognition tool CLiDE. In the process of molecular structure
information extraction, Markush structures were enumerated into well-defined
monomer molecules by means of QueryTools in molecule editor ChemDraw. Document
management software EndNote X8 was applied to acquire bibliographical references
involving title, author, journal and year of publication. Text mining toolkit
ChemDataExtractor was adopted to retrieve information that could be used to
populate structured chemical database from figures, tables, and textual
paragraphs. After this step, detailed manual revision and annotation were
conducted in order to ensure the accuracy and completeness of the data. In
addition to the literature data, computing simulation platform Pipeline Pilot 7.5
was utilized to calculate the physical and chemical properties and predict
molecular attributes. Furthermore, open database ChEMBL was linked to fetch known
bioactivities, such as indications and targets. After information extraction and
data expansion, five separate metadata files were generated, including molecular
structure data file, molecular information, bibliographical references,
predictable attributes and known bioactivities. Canonical simplified molecular
input line entry specification as primary key, metadata files were associated
through common key nodes including molecular number and PDF number to construct
an integrated chemical information database. RESULTS: A reasonable construction
protocol of chemical information database was created successfully. A total of
174 research articles and 25 reviews published in Marine Drugs from January 2015
to June 2016 collected as essential data source, and an elementary marine natural
product database named PKU-MNPD was built in accordance with this protocol, which
contained 3 262 molecules and 19 821 records. CONCLUSION: This data aggregation
protocol is of great help for the chemical information database construction in
accuracy, comprehensiveness and efficiency based on original documents. The
structured chemical information database can facilitate the access to medical
intelligence and accelerate the transformation of scientific research
achievements.
PMID- 29643540
TI - [Verification of skin paste electrodes used in wireless polysomnography].
AB - OBJECTIVE: To explore an electrode suitable for wireless portable sleep
monitoring equipment and analyze the result of the signals of electrooculogram
(EOG) and electroencephalography (EEG) collected by this kind of flexible
electrodes. METHODS: The flexible electrodes were prepared by
microelectromechanical systems (MEMS) technology. This kind of electrodes
consisted parylene, chromium, and gold. Parylene, the flexible substrate of this
kind of flexible electrodes, was of biocompatibility. Between parylene and gold
there was an adhesion layer of chromium, which connected parylene and gold
tightly. Then the flexible electrodes were stuck to medical adhesive tape. The
electrodes were designed and made into a grid to make sure that the medical
adhesive tape could tape on the skin tightly, so that the contact impedance
between the electrodes and the skin would be reduced. Then the alternating
current impedance of the electrode were tested by the CHI660E electrochemical
workstation after the electrode was achieved. To make sure that this kind of
electrodes could be used in EOG monitoring, the electrodes were connected to a
wireless signal acquisition suite containing special biological signal
acquisition and digital processing chip to gather different sites around the eyes
and the electrical signals of different directions of the eye movements, then
analyzed the signal-to-noise ratio of the EOG. At the end, the Philips A6
polysomnography was used to compare the noise amplitude of the EEG signals
collected by the flexible electrode and the gold cup electrode. RESULTS: The
electrodes stuck to the skin tightly, and these electrodes could collect signals
that we wanted while the experiment was performed. The alternating current
impedance of the flexible electrode was between 4 kOmega and 13 kOmega while with
the frequency of alternating current under 100 Hz, most EEG signal frequencies
were at this range. The EOG signals collected by the flexible electrodes were in
line with the clinical requirements. The noise amplitude of EEG signals collected
by the flexible electrodes was lower than that of the electrical signals
collected by the gold cup electrodes. CONCLUSION: The flexible electrode could be
taken into consideration as an alternative electrode for monitoring EOG and EEG
signals, and the wireless portable sleep monitoring devices are to be further
developed in the future.
PMID- 29643541
TI - [Preparation, quality control and thyroid molecule imaging of solid-target based
radionuclide ioine-124].
AB - OBJECTIVE: To provide useful information for the further production and
application of this novel radio-nuclide for potential clinical application.
METHODS: 124Te (p,n) 124I nuclide reaction was used for the 124I production.
Firstly, the target material, 124TeO2 (200 mg) and Al2O3 (30 mg) mixture, were
compressed into the round platinum based solid target by tablet device. HM-20
medical cyclotron was applied to irradiate the solid target slice for 6-10 h with
helium and water cooling. Then, the radiated solid target was placed for 12 h
(overnight) to decay the radioactive impurity; finally, 124I was be purified by
dry distillation using 1 mL/min nitrogen for about 6 hours and radiochemical
separation methods. Micro-PET imaging studies were performed to investigate the
metabolism properties and thyroid imaging ability of 124I.After 740 kBq 124I was
injected intravenously into the tail vein of the normal mice, the animals were
imaged with micro-PET and infused with CT. The micro-PET/CT infusion imaging
revealed actual state 124I's metabolism in the mice. RESULTS: It was been
successfully applied for 200 mg 124TeO2 plating by the tablet device on the
surface of platinum. It showed smooth, dense surface and without obviously pits
and cracks. The enriched 124Te target was irradiated for 6 to 10 hours at about
12.0 MeV with 20 MUA current on HM-20 cyclotron. Then 370-1 110 MBq 124I could be
produced on the solid target after irradiation and 370-740 MBq high specific
activity could be collected afterdry distillation separation and radio-chemical
purification.124I product was finally dissolved in 0.01 mol/L NaOH for the future
distribution. The gamma spectrum of the produced 124I-solution showed that
radionuclide purity was over 80.0%. The micro-PET imaging of 124I in the normal
mice exhibited the thyroid and stomach accumulations and kidney metabolism, the
bladder could also be clearly visible, which was in accordance with what was
previously reported. To the best of our knowledge, it was the first production of
124I report in China. CONCLUSION: In this study, the preparation of 124TeO2 solid
target was successfully carried out by using the tablet device. After irradiation
of the 124TeO2 solid target and radio-chemical purification, we successfully
produced 370-740 MBq high specific activity 124I by a cyclotron for biomedical
application, and micro-PET imaging of 124I in normal mice exhibited the thyroid
accumulations. Also, slight uptake in stomach were also monitored with almost
nonuptake in other organs in the micro-PET imaging. The production of 124I is
expected to provide a new solid target radionuclide for the scientific research
and potential clinical application of our country.
PMID- 29643542
TI - [A retrieval method of drug molecules based on graph collapsing].
AB - OBJECTIVE: To establish a compact and efficient hypergraph representation and a
graph-similarity-based retrieval method of molecules to achieve effective and
efficient medicine information retrieval. METHODS: Chemical structural formula
(CSF) was a primary search target as a unique and precise identifier for each
compound at the molecular level in the research field of medicine information
retrieval. To retrieve medicine information effectively and efficiently, a
complete workflow of the graph-based CSF retrieval system was introduced. This
system accepted the photos taken from smartphones and the sketches drawn on
tablet personal computers as CSF inputs, and formalized the CSFs with the
corresponding graphs. Then this paper proposed a compact and efficient hypergraph
representation for molecules on the basis of analyzing factors that directly
affected the efficiency of graph matching. According to the characteristics of
CSFs, a hierarchical collapsing method combining graph isomorphism and frequent
subgraph mining was adopted. There was yet a fundamental challenge, subgraph
overlapping during the collapsing procedure, which hindered the method from
establishing the correct compact hypergraph of an original CSF graph. Therefore,
a graph-isomorphism-based algorithm was proposed to select dominant acyclic
subgraphs on the basis of overlapping analysis. Finally, the spatial similarity
among graphical CSFs was evaluated by multi-dimensional measures of similarity.
RESULTS: To evaluate the performance of the proposed method, the proposed system
was firstly compared with Wikipedia Chemical Structure Explorer (WCSE), the state
of-the-art system that allowed CSF similarity searching within Wikipedia
molecules dataset, on retrieval accuracy. The system achieved higher values on
mean average precision, discounted cumulative gain, rank-biased precision, and
expected reciprocal rank than WCSE from the top-2 to the top-10 retrieved
results. Specifically, the system achieved 10%, 1.41, 6.42%, and 1.32% higher
than WCSE on these metrics for top-10 retrieval results, respectively. Moreover,
several retrieval cases were presented to intuitively compare with WCSE. The
results of the above comparative study demonstrated that the proposed method
outperformed the existing method with regard to accuracy and effectiveness.
CONCLUSION: This paper proposes a graph-similarity-based retrieval approach for
medicine information. To obtain satisfactory retrieval results, an isomorphism
based algorithm is proposed for dominant subgraph selection based on the subgraph
overlapping analysis, as well as an effective and efficient hypergraph
representation of molecules. Experiment results demonstrate the effectiveness of
the proposed approach.
PMID- 29643543
TI - [Airway foreign body caused by aspiration of artificial nasal sponge: a case
report].
AB - 57-year-old male was admitted to hospital for severe headache and seizure attacks
on November 6th, 2016. After radiology and spinal fluid examination, he was
diagnosed with viral encephalitis and treated with antiviral medicine,
antibiotics and mannitol, but he was in sustained unconsciousness and weak in
expectorating. The patient was given oxygen through artificial nasal after
bedside tracheotomy. At 1:00 am on January 12th, 2016, there was a sudden drop in
blood pressure, heart rate and oxygen saturation with left lung breath sounds
slightly lower than the other side. The patient was connected to a ventilator
with tidal volume of 300-500 mL and airway pressure of 16-24 cmH2O (1 cmH2O=0.098
kPa). In the meanwhile, the left side sponge of artificial nasal was found
missing. Bedside chest X-ray showed no significant atelectasis. At that time the
evidence of airway foreign body aspiration was not sufficient, so no urgent
bronchoscopy was performed. At 9:00 am on January 14th, 2016, there was another
sudden decline in oxygen saturation with diminished left lung breath sounds and
decreased left thoracic activity. Since left atelectasis could not be ruled out,
bedside bronchoscopy was performed. In the operation, two sponge-like objects
were found at the left main bronchus and the opening of left upper lobe
bronchial. Foreign body forceps were used to remove them. The foreign bodies were
proved to be the left sponge of artificial nasal afterwards. Symptoms and signs
caused by aspiration of foreign body in adults were widely various and depending
on the nature of the foreign body, site, time and whether there was infection or
not. Foreign body aspiration caused by artificial nasal sponge was rare in
clinical practice. This case was a living reminder to perform bronchoscopy when
foreign body aspiration was suspected. For the unconscious and elderly patient,
whose history of foreign body aspiration usually could not be clearly provided,
when atelectasis was suspected, bronchoscopy should be performed progressively,
and more effective measures should be taken to prevent sponge of artificial nasal
displacement.
PMID- 29643544
TI - [Bronchial adenoid cystic carcinoma masquerading as bronchial asthma: a case
report].
AB - Here we reported a case of bronchial adenoid cystic carcinoma from Peking
University Third Hospital. A 40-year-old female presented with dry cough for 1
year and nocturnal paroxysmal attacks of wheezing for 4 months. She was a non
smoker, and did not have past histories of asthma or allergy. On physical
examination, no stridor, wheezing and cyanosis were present and the general
appearance was good. The results of the laboratory analysis, including blood
eosinophils count, immunoglobulin E level and chest X-ray were normal. Spirometry
revealed reversible airflow obstruction, and post-bronchodilator forced
expiratory volume in one second (FEV1) showed an increase of 12% and 230 mL from
baseline. Bronchial asthma was diagnosed, however, she responded poorly despite
the adequate anti-asthma therapy including high dose inhaled corticosteroid plus
long-acting beta2-agonist, theophylline and montelukast. Then chest computed
tomography (CT) was performed which showed a polypoid mass occupying the lumen of
left main bronchus. Then the bronchoscopy revealed a polypoid endo-bronchial mass
arising from the left main bronchus, causing subtotal obstruction of the lumen.
Biopsy was carried out through the bronchoscopy, the pathological findings showed
characteristic cribriform and tubular pattern which was formed by two-layered
cells with ductal and myoepithelial phenotypes, which were consistent with
adenoid cystic carcinoma. Re-examining the patient, the lung was clear without
any wheeze when she was seated. However, inspiratory wheeze was heard in her left
upper lung when she was supine, and disappeared after sitting up again.
Subsequently the patient underwent a resection surgery. At the operational site,
the tumor was seen on the anterolateral wall of the left main bronchus, without
submucosally expanding histologically. Therefore, a sleeve resection surgery of
the left main bronchus was performed. Following surgery, chest CT scan revealed
complete resolution of the tumor. Her symptoms improved significantly, as did her
pulmonary function tests, although all the medicines for asthma were stopped.
Now, two years after the operation, the patient remained asymptomatic, and
spirometry was performed again which showed normal completely. The presenting
case report emphasizes the fact that not all wheezes and reversible airflow
obstruction are asthma. It is critical to bear in mind that if a "difficult
asthma" patient does not respond to appropriate anti-asthma therapy; localized
obstructions should be differentiated.
PMID- 29643545
TI - [Necessity and feasibility of data sharing of cohort studies].
AB - Cohort study is one of the important epidemiological methods which plays an
irreplaceable status and role in etiological study. Using cohort study design, we
can accurately and continuously collect genetic and environmental information,
and identify and validate omics biomarkers to provide evidences for precision
public health and medicine. However, results from a new cohort would not be
available for at least ten years, as five years would be needed for funding,
planning and enrolment, and another five for following up even the earliest
analyses of the most common diseases; results for most cancers would take longer,
with an unaffordable budget for many research investigators or institutions. That
brings an alternative strategy of using existing cohort studies by sharing data
between each other. Data sharing of cohort studies would be beneficial in many
ways. Data sharing of cohort studies has the potential to make large samples
unattainable in a single study, increase statistical power, enable more accurate
and detailed subgroup analysis, increase the generalizability of results. It
would also facilitate exchange of experiences and learning from each other, avoid
for duplicated research and effectively promote the second use of existing data
(i.e. using old data to discover new results). The data sharing would save staff
recruitment, follow-up, laboratory analysis of the cost, with a high cost-benefit
returns and economies of scale. Data sharing enables cross-validation and
repeated verification across different data. Many international research funding
agencies or leading research groups have also reached consensus on the principles
and goals for promoting the sharing of medical research data. Due to rapid
development of cohort studies in the past decades, China already has the basis
for data sharing of cohort studies. Unfortunately, most of the existing cohort
studies are self-contained, independent, lack of visibility, with insufficient co
operation and data sharing between each other. The academic value of the existing
data collected in these cohort studies have not been fully exploited and utilized
so far. Therefore, the China Cohort Consortium is trying to establish a multi
level three-dimensional cooperation and data sharing strategy. We hope that it
will encourage researchers from public health, clinical and other related fields
to work more closely through providing data management, data integration, data
interaction, tools development, data repositories and other functions.
PMID- 29643546
TI - Partition-based ultrahigh-dimensional variable screening.
AB - Traditional variable selection methods are compromised by overlooking useful
information on covariates with similar functionality or spatial proximity, and by
treating each covariate independently. Leveraging prior grouping information on
covariates, we propose partition-based screening methods for ultrahigh
dimensional variables in the framework of generalized linear models. We show that
partition-based screening exhibits the sure screening property with a vanishing
false selection rate, and we propose a data-driven partition screening framework
with unavailable or unreliable prior knowledge on covariate grouping and
investigate its theoretical properties. We consider two special cases:
correlation-guided partitioning and spatial location- guided partitioning. In the
absence of a single partition, we propose a theoretically justified strategy for
combining statistics from various partitioning methods. The utility of the
proposed methods is demonstrated via simulation and analysis of functional
neuroimaging data.
PMID- 29643547
TI - Securing of supraglottic airway devices during position change and in prone
position.
PMID- 29643548
TI - Cytoreductive surgery and hyperthermic intraperitoneal chemotherapy: Fluid and
temperature remain the culprit!
PMID- 29643549
TI - Low-flow anaesthesia - underused mode towards "sustainable anaesthesia".
AB - Any technique that employs a fresh gas flow that is less than the alveolar
ventilation can be classified as low-flow anaesthesia. The complexities involved
in the calculation of uptake of anaesthetic agents during the closed-circuit
anaesthesia made this technique less popular. However, the awareness of the
dangers of theatre pollution with trace amounts of the anaesthetic agents and the
prohibitively high cost of the new inhalational agents, have helped in the
rediscovery of low-flow anaesthesia. Moreover, the time has arrived for each of
us, the practicing anaesthesiologists, to move towards the practice of low-flow
anaesthesia, to achieve lesser theatre and environmental pollution and also to
make anaesthesia more economical. The article also reviews low-flow anaesthesia
(LFA) in paediatrics, recent advances such as automated LFA and updates on
currently undergoing research to retrieve and reuse anaesthetic agents.
PMID- 29643550
TI - Evaluation of performance of Streamlined Liner of the Pharynx AirwayTM, Laryngeal
Mask Airway-ProSeal and endotracheal tube in prone position: A prospective,
randomised study.
AB - Background and Aims: Airway used in prone position should be efficacious and
safe. The Streamlined Liner of the Pharynx Airway (SLIPATM) and Laryngeal Mask
Airway-ProSeal (PLMA) provide better airway seal and protection against
aspiration. We planned to evaluate the performance of SLIPATM, PLMA and
endotracheal tube (ETT) in prone position. Methods: 114 adult patients undergoing
elective surgery in prone position under general anaesthesia were randomised into
Group-T (ETT), Group-S (SLIPATM) and Group-P (PLMA). Airways were inserted in
supine position and patients turned prone subsequently. Airway characteristics,
ventilatory parameters and complications were noted. One-way analysis of
variance, Mann-Whitney U-test and Chi-square or Fisher's exact test were used.
Results: Tidal volumes, peak airway pressure and compliance were comparable at
all times. Leak pressure was significantly higher (P < 0.001) in Group-T (mean
leak pressure = 40 cmH2O) when compared to Group-S and Group-P at all the times
of recording, and there was no significant difference between Group-S and Group
P. The number of patients requiring airway/neck manipulation in prone position
was significantly higher (P < 0.001) in Group-S (19 [55.9%]) when the three
groups were compared (none in Group-T) and in comparison with Group-P (5 [14.7%],
P < 0.001). On airway removal, the incidence of complications and airway reaction
was significantly higher in Group-T. Group-S had a significantly higher incidence
of dysphagia at 2 h postoperatively. Conclusion: ETT was most efficacious.
SLIPATM and PLMA were efficacious, safe and less stimulating to the airway during
removal. More patients required SLIPATM airway/neck manipulation.
PMID- 29643551
TI - Role of dexmedetomidine as an anaesthetic adjuvant in breast cancer surgery as a
day-care procedure: A randomised controlled study.
AB - Background and Aims: Breast cancer surgery can be carried out as day-care
procedure to increase patient turnover, decrease disease progression and
financial burden. The present study was carried out to assess the role of
dexmedetomidine in breast cancer surgery as a day-care procedure. Methods: This
prospective randomised, double-blind study was carried out on 100 patients
screened for day-care breast cancer surgery. They were divided into two groups of
50 each; who received either normal saline (Group NS) or 0.6 MUg/kg/h
dexmedetomidine (Group D) infusion from 10 min before induction until skin
closure. All patients were given general anaesthesia. The incidence of discharge,
post-operative pain (POP), average rescue analgesia (fentanyl) required and side
effects were noted. Statistical analysis was performed using Student's t-test and
Chi-square test. Results: Incidence of discharge in group NS was 60% compared to
88% in Group D (P = 0.001). Average rescue analgesia requirement by group NS was
136.07 +/- 43.06 MUg, whereas it was 77.5 +/- 29.86 MUg in Group D (P = 0.01).
The incidence of POP in 6 h and within 2 h of expected discharge time in Group NS
was 56% and 28%, respectively, and in Group D, it was 8% in both the periods (P <
0.001 and 0.01). Side effects such as post-operative nausea, vomiting and
bleeding were encountered in eight and two patients, respectively, in Group NS
and two and one patients, respectively, in Group D. Conclusion: Dexmedetomidine
as an anaesthetic adjuvant makes breast cancer surgery feasible on day-care
basis.
PMID- 29643552
TI - Anaesthetic management and perioperative outcomes of cytoreductive surgery with
hyperthermic intraperitoneal chemotherapy: A retrospective analysis.
AB - Background and Aims: Cytoreductive surgery (CRS) combined with hyperthermic
intraperitoneal chemotherapy (HIPEC) is becoming the standard treatment option
for peritoneal carcinomatosis but is associated with high rates of morbidity and
mortality. Our aim was to retrospectively analyse and evaluate intra-operative
factors associated with morbidity and mortality of CRS and HIPEC. Methods: Intra
operative data were collected for cases done over 1 year (24 cases) and analysed
for the primary outcome of post-operative ventilation >24 h, and secondary
outcome of length of the Intensive Care Unit (ICU) stay >5 days. Statistical
analysis was carried out in STATA 11 software. Results: Higher peritoneal
carcinoma index (PCI), (P = 0.0047), longer duration of surgery (P = 0.0016),
higher delta temperatures (P = 0.0119), increased estimated blood loss (EBL) (P =
0.0054), high intraoperative fluid requirement (P = 0.0038), lower mean arterial
pressure (MAP) (P = 0.0021) and higher blood products requirement were associated
with >24 h ventilation. These factors were also associated with longer ICU stay.
All these factors associated with >24 h ventilation and prolonged ICU stay are
related to the PCI which is an indicator of the extent of surgery. Conclusion:
Higher PCI, longer duration of surgery, higher delta temperatures, increased EBL,
high intraoperative fluid requirement, lower mean arterial pressure and higher
blood products requirement were associated with >24 h postoperative ventilation
as well as ICU stay >5 days. All these factors are related to the PCI, which is a
major predictor of post-operative morbidity.
PMID- 29643553
TI - The effect of pre-emptive gabapentin on anaesthetic and analgesic requirements in
patients undergoing rhinoplasty: A prospective randomised study.
AB - Background and Aims: Hypotensive anaesthesia is necessary in rhinoplasty for
better visualisation of surgical field and reduction of surgery time. Gabapentin
is a new generation anticonvulsant with anti-hyperalgesic and anti-nociceptive
properties. We aimed to investigate the effect of pre-operative administration of
oral gabapentin (1200 mg) on anaesthetic requirements and post-operative
analgesic consumption and its role in hypotensive anaesthesia for rhinoplasty.
Methods: Seventy adult patients undergoing rhinoplasty, were randomly allocated
to two groups. Group I (G I) (n = 35) received gabapentin 1.2 g and Group II (G
II) (n = 35) received oral placebo capsules 2 h before surgery. General
anaesthesia was maintained with sevoflurane in oxygen-nitrous oxide to maintain
bispectral index value between 40 and 60, and remifentanil infusion to keep mean
arterial pressure (MAP) at 55-60 mmHg. End-tidal sevoflurane concentration, intra
operative remifentanil consumption and time to intended MAP were recorded. Visual
analogue scale (VAS) scores, post-operative analgesic requirements and side
effects for the first 24 h were recorded. Results: G I required significantly
lower intra-operative remifentanil (G I = 0.8 +/- 0.26 mg and G II = 1.7 +/- 0.42
mg; P = 0.001) and end-tidal sevoflurane concentration, with reduced doses of
post-operative tramadol and diclofenac sodium. Time to the intended MAP was
significantly less in G I than G II (59.1 +/- 12.3 vs. 73.6 +/- 16.4,
respectively, with P = 0.001). Conclusion: Pre-operative oral gabapentin
significantly reduced intra-operative remifentanil and sevoflurane requirements
during hypotensive anaesthesia along with decreased post-operative analgesic
requirement.
PMID- 29643554
TI - Effectiveness of transnasal humidified rapid-insufflation ventilatory exchange
versus traditional preoxygenation followed by apnoeic oxygenation in delaying
desaturation during apnoea: A preliminary study.
AB - Background and Aims: Transnasal humidified rapid-insufflation ventilatory
exchange (THRIVE) during apnoea has shown to delay desaturation. The primary
objective was to compare time to desaturate to <90% during apnoea with THRIVE
versus traditional preoxygenation followed by apnoeic oxygenation. Methods: This
prospective, randomised, single-blinded study was conducted in 10 adult patients
presenting for direct laryngoscopy under general anaesthesia without endotracheal
intubation. Group P patients were preoxygenated with 100% oxygen, and in Group H,
high-flow humidified oxygen was delivered using nasal cannula for 3 min. After
induction and neuromuscular blockade, time to desaturate to 90%, while receiving
apnoeic oxygenation, was noted. Chi-square test and Mann-Whitney tests were used.
Results: Group H had a significantly longer apnoea time as compared to Group P
(796.00 +/- 43.36 vs. 444.00 +/- 52.56 s). All patients in Group H continued to
have nearly 100% saturation even at 12 min of apnoea. However, in Group P, 80% of
patients desaturated to <90% after 6 min of apnoea. Baseline blood gases, that
following preoxygenation and at 3 min of apnoea time were comparable in both
groups. At 6 min, Group H had a significantly higher PaO2 (295.20 +/- 122.26 vs.
135.00 +/- 116.78) and PaCO2 (69.46 +/- 7.15 vs. 59.00 +/- 4.64). Group H
continued to have a PaO2 of >200 mmHg even at 12 min of apnoea with a significant
rise in PaCO2 along with fall in pH after 6 min. Conclusion: During apnoeic
periods time to desaturate to <90% was significantly prolonged with use of
THRIVE.
PMID- 29643555
TI - Ultrasound guided internal jugular vein cannulation in infants: Comparative
evaluation of novel modified short axis out of plane approach with conventional
short axis out of plane approach.
AB - Background and Aims: Central venous cannulation (CVC) through right internal
jugular vein (IJV) route is routinely performed in paediatric patients undergoing
major surgery and in those admitted to intensive care units. A novel technique
(modified short-axis out-of-plane [MSA-OOP]) to improve first pass success rate
of ultrasound-guided IJV CVC in neonates and infants is being compared with
conventional SA-OOP method. Methods: A total of 120 patients were enroled in the
study over a period of 6 months. All paediatric patients with age <1 year and
weight <10 kg who underwent a major surgery requiring CVC were included. Patients
were randomised to either of the two approaches of ultrasound-guided IJV
cannulation; SA-OOP and modified SA-OOP (MSA-OOP). In modified approach, the
midline of probe footprint was marked with a radio-opaque barium wire that casted
a central acoustic shadow on ultrasound screen. Results: In MSA-OOP group, 83.1%
of patients were cannulated in the first attempt as compared to 49.2% patients in
group SA-OOP. Patients in MSA-OOP group required significantly fewer attempts for
successful CVC as compared to patients in the SA-OOP group ( MSA-OOP: median = 1,
interquartile range [1-1]; SAOOP: median = 2, interquartile range [1-2], P <
0.001, Mann-Whitney U-test). Conclusion: The use of MSA-OOP ultrasound technique
for IJV CVC cannulation results in a higher first-attempt success rate and
reduces the number of cannulation attempts.
PMID- 29643556
TI - A prospective observational study of injection pain in children with medium plus
long chain triglyceride and long chain triglyceride propofol premixed with
lignocaine.
AB - Background and Aims: Propofol injection pain is an unresolved problem in
children. Although medium and long chain triglyceride (MCT-LCT) propofol has
shown promising results in adults, its efficacy in children is not proven. In a
prospective observational study the incidence and severity of pain with MCT-LCT
and LCT propofol in children was compared. Methods: After obtaining approval from
the Institutional Ethics Committee, 170 children (age group 6 months to 8 years)
scheduled for various surgeries were included in this study. Following standard
pre-medication, propofol 1% either LCT or MCT-LCT in a dose of 2-4 mg/kg along
with preservative-free lignocaine (2% lignocaine 1 mg in propofol 10 mg) was
administered. The primary objective was to study injection pain on scale of 0-6.
For children <=2 years doubling of motor event score (0-3) and for children >2
years, addition of motor (0-3) and verbalisation scores (0-3) were considered.
Mann-Whitney U test was used for statistical analysis. Results: MCT-LCT group had
lower incidence of pain (17 patients (20%) versus 35 patients (35.3%), P = 0.026)
and severe pain (zero patients (0%) versus six patients (7.1%), P = 0.029) as
compared to LCT group. MCT-LCT group had significantly lower mean rank of motor
(79.65 versus 91.35), verbal (77.29 versus 90.79) and total score (77.76 versus
93.24) as compared to LCT group (P = 0.037, 0.002, and 0.009, respectively).
Conclusion: MCT-LCT propofol is associated with significantly lower injection
pain as compared to LCT propofol in children, when both are combined with
lignocaine.
PMID- 29643557
TI - Reversal agents: do we need to administer with neuromuscular monitoring - an
observational study.
AB - Background and Aims: In clinical practice, in the majority of patients, recovery
from the effect of muscle relaxants is assessed using subjective methods such as
head lift, eye-opening, or by sustained hand grip after giving
anticholinesterases (neostigmine) at the end of surgery. We planned a prospective
observational cohort study to test the hypothesis that objective neuromuscular
monitoring can help us in avoiding the use of anticholinesterases for reversal.
Methods: The patients posted for surgery of <2 h duration were included in the
study. The cohort of patients was formed on the basis of those who were exposed
to objective neuromuscular monitoring of recovery (train-of-four [TOF] ratio of
0.9 or more; exposed group) and the patients who were not exposed to objective
neuromuscular monitoring (non-exposed group) acting as a control. Using objective
neuromuscular monitoring, the time required for recovery from muscle relaxation
when neostigmine was not given for reversal was noted and it was then compared
with that of the control group. Results: A total of 190 patients were enrolled
over a period of 3 years. With the use of TOF ratio of 0.9 for extubation,
patients safely recovered from neuromuscular blockade, without using neostigmine,
with no difference in the mean recovery time (14.48 +/- 1.138 min) as compared to
the control group (12.14 +/- 1.067 min, P = 0.139). There was no incidence of
reintubation in post-operative period. Conclusion: With objective neuromuscular
monitoring, we can ensure complete recovery from the neuromuscular blockade while
avoiding the use of anticholinesterases.
PMID- 29643558
TI - Perioperative concerns and management of pressurised intraperitoneal aerosolised
chemotherapy: Report of two cases.
AB - Pressurised intraperitoneal aerosolised chemotherapy (PIPAC) is a new, mostly
supportive approach to help patients with advanced peritoneal metastasis to
increase the lifespan. It carries occupational hazards to health-care workers and
especially anaesthesiologist during the procedure. The aerosolised chemotherapy
can also cause chemical peritonitis and organ dysfunction in the perioperative
period. In this case report, we present the report of two cases and discuss the
perioperative concerns and management related to PIPAC.
PMID- 29643559
TI - Appropriate angled-tip front width facilitates tracheal tube introducer placement
in difficult-to-displace epiglottis situations.
AB - Tracheal tube introducers (TTIs) are a useful aid to a conventional laryngoscopy
intubation method of upper airway access in adults. However, even when TTIs are
used, successful tracheal intubation is not guaranteed. Apart from suboptimal
practice proficiency and unavailability of the complete repertoire of TTI, the
physical attributes of the TTI have a role to play. Our report on the management
of two cases highlights the importance of selecting a TTI with appropriate angled
tip characteristics to facilitate successful guided intubation.
PMID- 29643560
TI - The utility of the oxygen reserve indexTM in a neonate undergoing re-exploration
of a tracheoesophageal fistula.
PMID- 29643561
TI - Kawasaki disease and general anaesthesia: The Know - Hows.
PMID- 29643562
TI - WhatsApp: Is it a solution to daily human resources management in institutional
practice?
PMID- 29643563
TI - Induction of anaesthesia in cyanotic heart diseases: 'Ketomidate' to the rescue!
PMID- 29643564
TI - Acute exacerbation of Chiari malformation: A rare cause for non-awakening from
anaesthesia.
PMID- 29643565
TI - Scavenging tubing compression: A rare cause for anaesthesia ventilator
malfunction.
PMID- 29643566
TI - Only with an optimal position of the supraglottic airway in situ, valid
conclusions can be drawn about oropharyngeal airway pressure.
PMID- 29643567
TI - Reply: Only with an optimal position of the supraglottic airway in situ, valid
conclusions can be drawn about oropharyngeal airway pressure.
PMID- 29643568
TI - Electromagnetic scattering and emission by a fixed multi-particle object in local
thermal equilibrium: General formalism.
AB - The majority of previous studies of the interaction of individual particles and
multi-particle groups with electromagnetic field have focused on either elastic
scattering in the presence of an external field or self-emission of
electromagnetic radiation. In this paper we apply semi-classical fluctuational
electrodynamics to address the ubiquitous scenario wherein a fixed particle or a
fixed multi-particle group is exposed to an external quasi-polychromatic
electromagnetic field as well as thermally emits its own electromagnetic
radiation. We summarize the main relevant axioms of fluctuational
electrodynamics, formulate in maximally rigorous mathematical terms the general
scattering-emission problem for a fixed object, and derive such fundamental
corollaries as the scattering-emission volume integral equation, the Lippmann
Schwinger equation for the dyadic transition operator, the multi-particle
scattering-emission equations, and the far-field limit. We show that in the
framework of fluctuational electrodynamics, the computation of the self-emitted
component of the total field is completely separated from that of the elastically
scattered field. The same is true of the computation of the emitted and
elastically scattered components of quadratic/bilinear forms in the total
electromagnetic field. These results pave the way to the practical computation of
relevant optical observables.
PMID- 29643569
TI - Maintaining Atmospheric Mass and Water Balance in Reanalyses.
AB - This study describes the modifications made to the Goddard Earth Observing System
(GEOS) Atmospheric Data Assimilation System (ADAS) to conserve atmospheric dry
air mass and to guarantee that the net source of water from precipitation and
surface evaporation equals the change in total atmospheric water. The
modifications involve changes to both the atmospheric model and the analysis
procedure. In the model, sources and sinks of water are included in the
continuity equation; in the analysis, constraints are imposed to penalize (and
thus minimize) analysis increments of dry-air mass. Finally, changes are also
required to the Incremental Analysis Update (IAU) procedure. The effects of these
modifications are separately evaluated in free-running and assimilation
experiments. Results are also presented from a multiyear reanalysis (Version 2 of
the Modern Era Retrospective-Analysis for Research and Applications: MERRA-2)
that uses the modified system.
PMID- 29643570
TI - Benefits and Pitfalls of GRACE Data Assimilation: a Case Study of Terrestrial
Water Storage Depletion in India.
AB - This study investigates some of the benefits and drawbacks of assimilating
Terrestrial Water Storage (TWS) observations from the Gravity Recovery and
Climate Experiment (GRACE) into a land surface model over India. GRACE observes
TWS depletion associated with anthropogenic groundwater extraction in northwest
India. The model, however, does not represent anthropogenic groundwater
withdrawals and is not skillful in reproducing the interannual variability of
groundwater. Assimilation of GRACE TWS introduces long-term trends and improves
the interannual variability in groundwater. But the assimilation also introduces
a negative trend in simulated evapotranspiration whereas in reality
evapotranspiration is likely enhanced by irrigation, which is also unmodeled.
Moreover, in situ measurements of shallow groundwater show no trend, suggesting
that the trends are erroneously introduced by the assimilation into the modeled
shallow groundwater, when in reality the groundwater is depleted in deeper
aquifers. The results emphasize the importance of representing anthropogenic
processes in land surface modeling and data assimilation systems.
PMID- 29643571
TI - A General CT Reconstruction Algorithm for Model-Based Material Decomposition.
AB - Material decomposition in CT has the potential to reduce artifacts and improve
quantitative accuracy by utilizing spectral models and multi-energy scans. In
this work we present a novel Model-Based Material Decomposition (MBMD) method
based on an existing iterative reconstruction algorithm derived from a general
non-linear forward model. A digital water phantom with inserts containing
different concentrations of calcium was scanned on a kV switching system. We used
the presented method to simultaneously reconstruct water and calcium material
density images, and compared the results to an image domain and a projection
domain decomposition method. When switching voltage every other frame, MBMD
resulted in more accurate water and calcium concentration values than the image
domain decomposition method, and was just as accurate as the projection domain
decomposition method. In a second, slower, kV switching scheme (changing voltage
every ten frames) which precluded the use of traditional projection domain based
methods, MBMD continued to produce quantitatively accurate reconstructions.
Finally, we present a preliminary study applying MBMD to a water phantom
containing vials of different concentrations of K2HPO4 which was scanned on a
cone-beam CT test bench. Both the fast and slow (emulated) kV switching schemes
resulted in similar reconstructions, indicating MBMD's robustness to challenging
acquisition schemes. Additionally, the K2HPO4 concentration ratios between the
vials were accurately represented in the reconstructed K2HPO4 density image.
PMID- 29643572
TI - Working in the Shadows: Safety and Health in Forestry Services in Southern
Oregon.
AB - We conducted a small participatory survey to document occupational injuries and
illnesses, medical treatment, wage issues, and general working conditions among
150 forest workers in southern Oregon who are mostly Spanish-speaking immigrants
from Latin America. We used snowball sampling in administering the survey. Survey
results showed a high rate of job-related injury among the workers who responded
to our survey. Results also suggested that many forestry services contractors
licensed in Jackson and Josephine counties may not always follow labor laws. The
vast majority of workers surveyed reported being fearful of retaliation for
reporting injuries. There were no differences in reported working conditions and
wage issues between workers with H-2B visas and other workers in the sample. This
finding suggests that current US labor and health and safety laws are not
effectively protecting Oregon's forest workers, owing to forest workers'
structural vulnerability-their low positioning in social structures supported by
immigration and economic status-compounded by fear of retaliation. Immigration
policies and enforcement practices that contribute to creating a labor system
with these inherent vulnerabilities and power imbalances need to be further
examined and changed.
PMID- 29643573
TI - Beyond polarization: using Q methodology to explore stakeholders' views on
pesticide use, and related risks for agricultural workers, in Washington State's
tree fruit industry.
AB - Controversies in food and agriculture abound, with many portrayed as conflicts
between polarized viewpoints. Framing such controversies as dichotomies, however,
can at times obscure what might be a plurality of views and potential common
ground on the subject. We used Q methodology to explore stakeholders' views about
pesticide safety, agricultural worker exposure, and human health concerns in the
tree fruit industry of central Washington State. Using a purposive sample of
English and Spanish-speaking agricultural workers, industry representatives,
state agencies, educators, and advocates (n = 41), participants sorted 45
statements on pesticide use and perceived human safety risks in the tree fruit
industry in 2011. We used PQMethod 2.33 statistical software program to identify
viewpoints, based on differences between how participants sorted the statements.
The results revealed three distinct viewpoints among 38 sorters that explained 52
percent of the variance. The viewpoints included the: (1) skeptics (n = 22) who
expressed concern over the environmental and human health impacts of pesticide
use; (2) acceptors (n = 10) who acknowledged inherent risks for using pesticides
but saw the risks as known, small and manageable; and (3) incrementalists (n = 6)
who prioritized opportunities to introduce human capital and technological
improvements to increase agricultural worker safety. We then brought
representatives with these different viewpoints together to analyze the results
of the Q study, and to brainstorm mutually acceptable improvements to health and
safety in tree fruit orchards. In describing and analyzing this case study, we
argue that Q methodology can serve as one potentially effective tool for
collaborative work, in this case facilitating a process of orchard safety
improvements despite perceived stakeholder polarization.
PMID- 29643574
TI - The effectiveness of raising the heel height of shoes to reduce heel pain in
patients with calcaneal spurs.
AB - [Purpose] To investigate the effect of heel height on the distribution of plantar
foot force and heel pain in patients with a heel spur. [Subjects and Methods]
Plantar force was measured using 8 force sensors in 16 patients (3 men, 13
women), with symptomatic heel spur for 4 heel heights (0-4 cm). Sensors were
located at the hallux (T1); medial to lateral metatarsals (M1 through M3), mid
foot (MF); and at the central, lateral, and medial heel (CH, LH, and MH). Pain
was evaluated using the minimum compression force that caused pain and was
measured using an algometer. [Results] Load bearing shifted from the heel (CH) to
the mid-foot (MF) and hallux (T1) with increasing heel height. Raising the heel
from 2 to 3 cm reduced the magnitude of load bearing, relative to the minimum
compression force for pain, by 3.70% at the LH and 2.35% at the MH. Excellent
clinical outcomes, defined by a 70-100% decrease in pain, were achieved in 10/16
participants with the use of a 2-cm and 3-cm heel height in men and women,
respectively. [Conclusion] Increasing heel height effectively decreases the
plantar force on the heel during weight-bearing activities.
PMID- 29643575
TI - Difference in tongue strength using visual feedback in healthy adults.
AB - [Purpose] This study investigated the difference in tongue strength with and
without visual feedback in healthy adults. [Subjects and Methods] A total of 30
healthy adults were recruited. We measured the strength of the anterior region of
the tongue before and after applying visual feedback using the Iowa Oral
Performance Instrument. [Results] The tongue strength was measured as 45.5 +/-
9.3 kilopascals with visual feedback and 41.1 +/- 8.6 kilopascals without visual
feedback, showing a statistically significant difference. [Conclusion] Visual
feedback may be an effective tool for increasing the strength of the tongue.
PMID- 29643576
TI - Upper extremity resistance exercise with elastic bands for respiratory function
in children with cerebral palsy.
AB - [Purpose] We investigated the effects of upper extremity resistance exercise with
elastic bands on respiratory function in children with cerebral palsy. [Subjects
and Methods] Fifteen children were divided into two groups: the experimental
(n=8) and control (n=7) groups. Both groups performed general exercises for 30
minutes per session, two times a week during the intervention period. The
experimental group performed an additional upper extremity resistance exercise
with elastic bands for 20-30 minutes per session, twice weekly for 8 weeks.
Pulmonary function, and respiratory muscle and grip strength were measured before
and after the exercise. [Results] There was no significant difference in forced
vital capacity, forced expiratory volume in one second, the ratio of forced
expiratory volume in one second to forced vital capacity, and peak expiratory
flow before and after the intervention in either group. The increment of maximal
expiratory pressure was significantly greater in the experimental group, compared
with the control group. In addition, grip strength was significantly increased in
the experimental group after the intervention than before. [Conclusion] We found
that upper extremity resistance exercise with elastic bands has a positive effect
on expiration and improves grip strength in children with cerebral palsy.
PMID- 29643577
TI - Effects of concentric contraction of the wrists and transcutaneous electrical
nerve stimulation cycle on pain and muscle strength in lateralepicondylitis
patients.
AB - [Purpose] This study examined the effects of transcutaneous electrical nerve
stimulation and concentric contraction of the muscles surrounding the elbow
joints on pain and muscular strength in the elbow joints of patients with lateral
epicondylitis. [Subjects and Methods] The subjects of this study were 30 patients
who visited our hospital with the main complaint of lateral pain in the elbow
joint. All subjects were randomly and equally assigned to an experimental group
that conducted concentric contraction exercises in sync with a transcutaneous
electrical nerve stimulation cycle and a control group that performed concentric
contraction after the application of transcutaneous electrical nerve stimulation.
Patients used a 10 cm visual analogue scale to evaluate the level of pain in
their elbow joints. To measure the strength of muscles around the elbow joints,
the subjects' paretic grip strength was measured using an electronic grip
strength dynamometer. [Results] No statistically significant difference in visual
analog scale was found between the two groups. Regarding changes in grip
strength, changes in the experimental group's grip strength were significantly
greater than those in the control group. [Conclusion] These results can be used
as a basis for reducing pain and improving strength in lateral epicondylitis
patients.
PMID- 29643578
TI - Effect of mirror therapy and electrical stimulation on upper extremity function
in stroke with hemiplegic patient: a pilot study.
AB - [Purpose] This study investigated the effects of mirror therapy and neuromuscular
electrical stimulation on upper extremity function in stroke patients. [Subjects
and Methods] This study recruited 8 stroke patients. All patients were treated
with mirror therapy and neuromuscular electrical stimulation five times per week
for 4 weeks. Upper limb function evaluation was performed using upper extremity
part of fugl meyer assessment. [Results] Before and after intervention, fugl
meyer assessment showed significant improvement. [Conclusion] In this study,
mirror therapy and neuromuscular electrical stimulation are effective methods for
upper extremity function recovery in stroke patients.
PMID- 29643579
TI - The effect of ball exercise on the balance ability of young adults.
AB - [Purpose] The aim of this study was to investigate the effects of static and
dynamic balance by using Medicine-ball and Swiss-ball exercises. [Subjects and
Methods] Thirty-six normal adults who agreed to participate were included in the
study. Subjects were randomly assigned to the Medicine-ball (n=18) and Swiss-ball
groups (n=18). The participants performed the exercise for 6 weeks. Balance error
scoring system and one leg standing test were performed to determine static
balance, and functional reach test and timed up and go test were performed to
determine dynamic balance. [Results] A significant improvement was observed from
the Medicine-ball and Swiss-ball exercises, but no difference was found between
the groups. In addition, a significant difference was found between balance error
scoring system, one leg standing test and functional reach test after pre- and
post-exercise of the Medicine-ball and Swiss-ball. [Conclusion] The findings of
this study showed that the Medicine-ball and Swiss-balls were effective in
improving static and dynamic balance.
PMID- 29643580
TI - Effect of various wheelchair handle grip directions on muscle activities of upper
body of assistant during movement on ascending and descending ramps.
AB - [Purpose] This study aims to determine the effects of various wheelchair handle
grip directions on the muscle activities of the upper body of an assistant during
movement on ascending and descending ramps. [Subjects and Methods] The study
subjects were 17 young and healthy participants whose mean age, height, and
weight was 23.0 +/- 2.7 years, 175.5 +/- 4.7 cm, and 70.0 +/- 14.2 kg,
respectively; all subjects voluntarily consented to participate. Three grip
directions were tested: general grip, medial grip, and neutral grip. Muscle
activities in the serratus anterior, rhomboid, erector spine, biceps brachii,
triceps brachii, bracioradialis, flexor carpi radialis, and extensor carpi
radialis were measured using an electromyograph (EMG). [Results] Significant
differences were seen in the muscle activities of the biceps brachii,
bracioradialis, and flexor carpi radialis during wheelchair movement on an
ascending ramp and in the biceps brachii and triceps brachii during movement on a
descending ramp. [Conclusion] Measurement results showed that the general grip
resulted in the highest muscle activities in the upper body of assistants during
wheelchair movement on both ascending and descending ramps.
PMID- 29643581
TI - Hypervigilance to pain affects activities of daily living: an examination using
the Japanese version of the pain vigilance awareness questionnaire.
AB - [Purpose] Hypervigilance to pain is an important aspect of the fear-avoidance
model of pain that may be associated with disability more than other
psychological factors examined. The aim of the study was to investigate how
hypervigilance to pain influences disability compared with other psychological
factors examined. [Subjects and Methods] The subjects of this study were 50
elderly patients with chronic pain (7 men and 43 women, 80.3 +/- 7.8 years). To
assess the pain level, the Numerical Rating Scale (NRS) was used. To assess
psychological factors, the Hospital Anxiety and Depression Scale (HADS), the
Tampa Scale for Kinesiophobia (TSK), the Pain Catastrophizing Scale (PCS), and
the Pain Vigilance Awareness Questionnaire (PVAQ) were used. To assess activities
of daily living, the Pain Disability Assessment Scale (PDAS) was used. A multiple
regression analysis (stepwise method) was performed with the PDAS as the
dependent variable, and the NRS, HADS-anxiety, HADS-depression, TSK, PCS
rumination, PCS-magnification, PCS-helplessness, and PVAQ, as the independent
variables. [Results] The results of a multiple regression analysis showed that
the PDAS scores were affected by the PVAQ and NRS scores. [Conclusion]
Hypervigilance influenced disability more than other psychological factors
examined.
PMID- 29643582
TI - The effect of balance exercises and computerized cognitive training on
psychomotor performance in elderly.
AB - [Purpose] The purpose of this study was to investigate the effect of balance and
computerized cognitive training on psychomotor performance in elderly females.
[Subjects and Methods] Twentynine elderly females with the mean age of 63-71
years old were applied voluntarily and randomly allocated to four groups: balance
training (3 d/wk for 12 wk), balance training with computerized cognitive
training (3 d/wk for 12 wk), computerized cognitive training group and control
group. Psychomotor performance of all subjects was measured by Vienna Test System
which was a computerized psychological assessment tool. Determination test (DT)
and Visual Pursuit Test (VPT) were used as indexes of psychomotor performance.
[Results] The results suggested that DT and VPT were significantly improved in
all experimental groups with greater improvement in the balance supplemented with
computerized cognitive training group. [Conclusion] Balance training and
computerized cognitive are highly recommended in elderly with the aim of
increasing cognitive performance.
PMID- 29643583
TI - Difference between tablet methods and paper questionnaire methods of conducting a
survey with community-dwelling elderly.
AB - [Purpose] This study aimed to develop a tablet app that emulates paper
questionnaires used in clinical care, and to verify the difference between the
utility of tablet survey methods and paper questionnaire methods with elderly
people. [Subjects and Methods] A tablet app was developed in the Java language. A
questionnaire was provided to 30 community-dwelling elderly people. The subjects
were randomly allocated to the group responding on the tablet (tablet group) or
that responding to a paper-based questionnaire (questionnaire group). Assessed
items included response time to questions, whether or not they had queries
regarding the survey, and data input time. For the tablet group, a questionnaire
was conducted regarding the operability of the tablet. [Results] There was no
difference in response time between the two groups. Significantly more people in
the tablet group had queries regarding the survey. Data input time was 426
seconds for the tablet group and 1268 seconds for the questionnaire group. In the
survey regarding tablet operability, there were no negative opinions about the
visibility of the screen. [Conclusion] Tablets can be used with elderly people to
shorten the data input time. The present findings suggested that tablet surveys
could be effective for a large-scale investigation.
PMID- 29643584
TI - Effects of virtual reality intervention on upper limb motor function and activity
of daily living in patients with lesions in different regions of the brain.
AB - [Purpose] This study aimed to investigate whether a virtual reality (VR)
intervention has an influence in improving the motor function and activities of
daily living (ADLs) in patients with lesions in different regions of the brain.
[Subjects and Methods] Eleven subjects with hemiplegic stroke were recruited in
this study, which was conducted from January to February, 2017. They received a
VR intervention once a day for 30 min, 5 times a week for 4 weeks. The Fugl-Meyer
Assessment (FMA) and the Korean version of the Modified Barthel Index (K-MBI)
were used to assess the post-stroke patients' motor function and ADLs,
respectively. [Results] There were significant differences in pre- and post-test
outcomes of the Arm and Coordination and Speed (CS) in the FMA and K-MBI in the
middle cerebral artery group (MCAG). Moreover, there were significant differences
in all sub-tests of FMA and K-MBI in the Basal ganglia group (BGG). In addition,
there were significant differences in the pre-test outcomes of Arm and pre- and
post-test outcomes of Hand in the FMA between the two groups. [Conclusion] This
study revealed that VR intervention improved the upper limb motor function and
ADLs of post-stroke patients, especially those in the BGG.
PMID- 29643585
TI - Electromyographic evaluation of a low-level laser protocol for the treatment of
temporomandibular disorder: a randomized, controlled, blind trial.
AB - [Purpose] Problems involving the temporomandibular joint and associated
structures can lead to temporomandibular disorder (TMD). The aim of the present
study was to evaluate muscle activity in individuals with a diagnosis of TMD
before and after treatment with low-level laser therapy (LLLT) through the use of
electromyography (EMG). [Subjects and Methods] Male and female individuals aged
14 to 23 years were evaluated. TMD was determined by a clinical examination and
the administration of the Research Diagnostic Criteria for Temporomandibular
Disorders, followed by the evaluation of sensitivity to palpation of the masseter
and anterior temporal muscles as well as the EMG determination of muscle
activity. The participants were randomly allocated to an active LLLT group (n=9)
and sham group (n=7). Twelve sessions of LLLT were conducted using a wavelength
of 780 nm, energy density of 25 J/cm2, power of 50 mW, power density of 1.25
W/cm2 and a 20-second exposure time or sham LLLT. Muscle activity was determined
prior to treatment and after the last session. [Results] During the isometric
evaluation of the masseter and anterior temporal muscles, an increase in the mean
EMG signal was found in the group submitted to active LLLT. When evaluated
individually, some participants in the active LLLT group demonstrated a reduction
in muscle activity, but no significant differences were found in the mean EMG
signal between the initial and final evaluations. [Conclusion] Further studies
with a larger sample size are needed to confirm the present findings.
PMID- 29643586
TI - Efficacy of exercise on pelvic pain and posture associated with endometriosis:
within subject design.
AB - [Purpose] This study was carried out to determine the effect of an exercise
program on pelvic pain and posture associated with endometriosis. [Subjects and
Methods] This study was designed as repeated measures design that compared one
group of 20 patients (age range 26-32 years) diagnosed by laparoscope as having
mild or moderate endometriosis before, after 4 weeks, and after 8 weeks of
exercise program. The exercise program parameters were based on the American
College of Obstetricians and Gynecologists guidelines for exercise suitable for
sedentary women. To assess the intensity of endometriosis pain, a present pain
intensity scale was used. On the other hand, a raster stereography system was
used to assess the posture. [Results] After 8 weeks of performing the exercise
regimen, there was a statistically significant decrease in the patients' pain
intensity and thoracic kyphosis angle program in compared with pre treatment.
[Conclusion] Ultimately it was proven that eight weeks of an exercise program is
very effective in decreasing pain and postural abnormalities associated with
endometriosis.
PMID- 29643587
TI - Relationship of white matter lesions and severity of pushing behavior after
stroke.
AB - [Purpose] The relationship between white matter lesions (WMLs) and pushing
behavior (PB) is still poorly understood. The purpose of this study was to
investigate whether damage from WMLs affects the functional outcome of PB after
acute stroke. [Subjects and Methods] In total, 37 patients were included. PB was
assessed using the standardized Scale for Contraversive Pushing (SCP). Stroke
types were classified as total anterior circulation infarct (TACI), partial
anterior circulation infarct (PACI), or lacunar syndrome using the Bamford
classification. WML severity was categorized into four groups using the Fazekas
visual scale. Thereafter, patients were divided into 4 groups according to the
stroke type and/or presence of WMLs. The SCP, Trunk Control Test (TCT), Stroke
Impairment Assessment Set (SIAS), and Barthel Index were the outcome measures.
[Results] The SCP and TCT in patients with PACI without WMLs were better than
those in patients with TACI with or without WMLs. Regarding SCP, TCT, and SIAS,
patients with TACI had poorer values compared with PACI, regardless of WML
severity. Barthel Index efficiency was not significantly different between the
groups. [Conclusion] Our results suggest that moderate to severe WMLs and PACI
had a relationship with PB severity and truncal balance.
PMID- 29643588
TI - Effect of lumbar stabilization exercise on disc herniation index, sacral angle,
and functional improvement in patients with lumbar disc herniation.
AB - [Purpose] This study aimed to examine the effects of pelvic movements on the back
function of patients with lumbar disc herniation when lumbar stabilization
exercise was applied to the patients, suggest an intervention method that can be
used in future clinical therapies. [Subjects and Methods] Thirty patients with
lumbar disc herniation underwent the intervention 30 minutes per day, three times
per week for 4 weeks. Of them, 15 patients were assigned to the balance center
stabilization resistance exercise group (experimental group I) and the other 15
were assigned to the three-dimensional stabilization exercise group (experimental
group II). Before the intervention, disc herniation index was measured using
magnetic resonance imaging, sacral angle was measured using X-ray, and back
function was measured using the KODI. Four weeks later, these three factors were
re-measured and analyzed. [Results] There was a significant pre- versus post
intervention difference in disc herniation index, sacral angle, and KODI in
experimental group I and a significant difference in disc herniation index and
KODI in experimental group II, and each group of disc herniation index and sacral
angle had a significant difference. In experimental group I, each disc herniation
index and sacral angle had a negative correlation. [Conclusion] The lumbar
stabilization exercise, which controls balance using pelvic movements, improves
mobility and stability of the sacroiliac joint; therefore, it increases pelvic
and back movements. These kinds of movements not only improved proprioception
sense, they also had positive effects on lumbar disc function recovery.
PMID- 29643589
TI - Exercise intervention implemented by trained volunteers improves health-related
quality of life among Japanese community-dwelling older females: an intervention
study.
AB - [Purpose] This study aimed to evaluate the sustainability and efficacy of
exercise intervention, as implemented by professionally trained volunteers, on
the health-related quality of life among Japanese community-dwelling older
females. [Subjects and Methods] We conducted a non-randomized observational
prospective study of a six-month exercise intervention delivered by volunteers or
health professionals. Health-related quality of life was scored using the Short
Form 36 Health Survey before and after the intervention. Participants were
classified into three groups, comprising those with improved health, maintained
health, and reduced health. [Results] Among 127 Japanese community-dwelling
females aged >65 years, 50 of 62 (80.6%) females involved in the intervention
conducted by volunteers, and 55 of 65 (84.6%) females involved in the
intervention conducted by health professionals, completed the six-month
intervention program. Scoring revealed that interventions by both volunteers and
health professionals had a maintaining or improving effect on scores in >70% of
participants instead of an expected six-month aging decline. [Conclusion]
Exercise intervention by trained volunteers was sustainable and effective for
improving the health-related quality of life among Japanese community-dwelling
older females. Professionally trained volunteers could benefit communities in
helping older persons avoid the need for daily nursing care through promoting
health activities.
PMID- 29643590
TI - Immediate effects of transcutaneous electrical nerve stimulation on six-minute
walking test, Borg scale questionnaire and hemodynamic responses in patients with
chronic heart failure.
AB - [Purpose] This study examined the immediate effects of transcutaneous electrical
nerve stimulation on a six-minute walking test, Borg scale questionnaire and
hemodynamic responses in patients with chronic heart failure. [Subjects and
Methods] Thirty patients with stable systolic chronic heart failure came to the
pathophysiology laboratory three times. The tests were randomly performed in
three sessions. In one session, current was applied to the quadriceps muscles of
both extremities for 30 minutes and a six-minute walking test was performed
immediately afterward. In another session, the same procedure was followed except
that the current intensity was set to zero. In the third session, the patients
walked for six minutes without application of a current. The distance covered in
each session was measured. At the end of each session, the subjects completed a
Borg scale questionnaire. [Results] The mean distance traveled in the six-minute
walking test and the mean score of the Borg scale questionnaire were
significantly different across sessions. The mean systolic and diastolic
pressures showed no significant differences across sessions. [Conclusion] The
increase in distance traveled during the six-minute walking test and decrease in
fatigue after the use of current may be due to a decrease in sympathetic
overactivity and an increase in peripheral and muscular microcirculation in these
patients.
PMID- 29643591
TI - Immediate effect of transcranial direct current stimulation combined with
functional electrical stimulation on activity of the tibialis anterior muscle and
balance of individuals with hemiparesis stemming from a stroke.
AB - [Purpose] The aim of the present study was to evaluate the immediate effects of
transcranial direct current stimulation (tDCS) and functional electrical
stimulation (FES) on activity of the tibialis anterior muscle (TA) and static
balance of individuals with hemiparesis stemming from stroke. [Subjects and
Methods] A randomized, double-blind, crossover, clinical trial conducted with 30
individuals with chronic post-stroke hemiparesis. Median frequency of electrical
activity of the TA were determined using electromyography in five contractions
concentrics and Static balance (body sway velocity and frequency), both before
and immediately after the intervention. The participants were submitted to four
20-minute intervention protocols with 48-hour interval: anodal tDCS + sham FES;
sham tDCS + active FES; anodal tDCS + active FES and sham tDCS + sham FES. Anodal
tDCS was administered over C3 or C4, the cathode was positioned in the
supraorbital region on the contralateral side and FES was administered to the
affected TA. [Results] No significant differences among the protocols were found
regarding electrical activity of the TA and static balance. [Conclusion] The
results demonstrate that tDCS alone or in combination with FES had no immediate
effect on electrical activity of the TA and static balance of the 30 individuals
analyzed.
PMID- 29643592
TI - Does trampoline or hard surface jumping influence lower extremity alignment?
AB - [Purpose] To determine whether repetitive trampoline or hard surface jumping
affects lower extremity alignment on jump landing. [Subjects and Methods] Twenty
healthy females participated in this study. All subjects performed a drop
vertical jump before and after repeated maximum effort trampoline or hard surface
jumping. A three-dimensional motion analysis system and two force plates were
used to record lower extremity angles, moments, and vertical ground reaction
force during drop vertical jumps. [Results] Knee extensor moment after trampoline
jumping was greater than that after hard surface jumping. There were no
significant differences between trials in vertical ground reaction force and
lower extremity joint angles following each form of exercise. Repeated jumping on
a trampoline increased peak vertical ground reaction force, hip extensor, knee
extensor moments, and hip adduction angle, while decreasing hip flexion angle
during drop vertical jumps. In contrast, repeated jumping on a hard surface
increased peak vertical ground reaction force, ankle dorsiflexion angle, and hip
extensor moment during drop vertical jumps. [Conclusion] Repeated jumping on the
trampoline compared to jumping on a hard surface has different effects on lower
limb kinetics and kinematics. Knowledge of these effects may be useful in
designing exercise programs for different clinical presentations.
PMID- 29643593
TI - Characteristics associated with freezing of gait in actual daily living in
Parkinson's disease.
AB - [Purpose] Parkinson's disease (PD) patients often freeze in actual daily living
but seldom in clinical setting. This study aimed to identify the factors
contributing to freezing of gait (FOG). [Subjects and Methods] The participants
included 28 adults with PD. Principal component analysis was used to investigate
the characteristics of 14 common FOG situations adopted from previous studies.
Cluster analysis classified the subjects into four groups. Kruskal-Wallis test
was performed to compare the PD Questionnaire-39 mobility dimension between the
groups. [Results] The major variables of the first principal component in 14 FOG
situations were unfamiliar places, unpredictable schedule changes, entering an
automatic door, when another person suddenly crossed, and change in the walking
surface. These situations were unrelated to the second principal component.
Getting on/off a public transport and crowded places were major variables for the
second principal component, and related to both the first and second principal
components. Although fatigue was the most frequent FOG situation, not all
principal components were influenced. The values of the PD Questionnaire-39
revealed significant differences between the groups. [Conclusion] Actual FOG
situations may be categorized into (1) task complexity, (2) both task complexity
and emotional factors, and (3) fatigue as decreased attentional resources.
PMID- 29643594
TI - The effect of a VR exercise program on falls and depression in the elderly with
mild depression in the local community.
AB - [Purpose] The purpose of this study is to explore the effect of a VR exercise
program on falls and depression in the elderly with mild depression who reside in
the local community. [Subjects and Methods] This study was performed by targeting
15 elderly subjects with mild depression who resided in the local community. The
targeted subjects voluntarily selected 3 VR exercise programs (each lasting 10
minutes) among 4 activities, and a resting time of 5 minutes was given for an
interval after each activity. The VR exercise program was performed for total 12
weeks (36 times), 3 times a week, 45 minutes per session. [Results] After
exercise, scores of static balance test (anteroposterior), Falls Efficacy Scale,
and the Activities-specific Balance Confidence Scale in the test subjects were
improved and depression and internal stress scores were significantly decreased
after the intervention. [Conclusion] It can be concluded that the VR exercise
program exerts a positive effect not only on the physical factor but also on the
mental factor of the elderly subjects with mild depression who reside in the
local community. It is expected that based on the VR exercise program,
diversified home programs for the elderly should be developed in the future.
PMID- 29643595
TI - Gender differences in joint torque focused on hip internal and external rotation
during a change in direction while walking.
AB - [Purpose] To investigate lower-extremity joint torque during walking direction
changes, focused on gender differences in pelvic width. [Subjects and Methods]
Healthy males and females (n=10) changed direction while walking by side-stepping
(SS) and crossover stepping (CS), stepping the left leg to the left or right,
respectively, over the right leg. Movements were recorded using four infrared
cameras, and ground reaction force of each lower extremity measured using two
force platforms. Joint torque of each lower extremity was calculated, and each
joint peak torque among walking, SS and CS was compared between genders.
Moreover, correlation between joint torque showed a gender difference and width
of pelvis normalized by width of shoulder (P/S ratio) was examined. [Results]
Right external and internal rotation torques during CS were higher in males. Left
and right-ankle plantar flexion torques were also higher in males during CS,
while the P/S ratio was larger in females, with a positive correlation between
P/S ratio and hip external and internal rotation torques. [Conclusion] No gender
differences were found in joint torque during walking and SS, but only during CS.
The hip external and internal rotators are necessary for smooth CS in females in
terms of P/S ratio.
PMID- 29643596
TI - Effects of interactive metronome training on timing, attention, working memory,
and processing speed in children with ADHD: a case study of two children.
AB - [Purpose] The purpose of this study was to present the effects of Interactive
metronome (IM) on timing for children with Attention-Deficit Hyperactivity
Disorder (ADHD). [Subjects and Methods] The subjects of the present study were 2
children diagnosed with ADHD. Pre- and post-intervention tests were completed by
the researcher using Long Form Assessment (LFA) test of IM and K-WPPSI-IV. The
subjects were provided with IM for 40 minutes at a time, 2 times per week, for a
total of 8 weeks. [Results] The timing decreased after IM intervention. The
subjects showed improvement in attention span after IM intervention. Working
memory index as well as processing speed index were increased after intervention,
as shown by the Korean-Wechsler Preschool and Primary Scale of Intelligence-IV (K
WPPSI-IV). [Conclusion] IM was effective in improving timing, attention, working
memory and processing speed in children with ADHD.
PMID- 29643597
TI - Analysis of prejudices and attitudes of students in the department of physical
therapy against people with disabilities.
AB - [Purpose] The purpose of this study is to examine prejudices and attitudes of
students in the department of physical therapy, in order to suggest basic data
for constructing an effective program to develop a positive attitude toward
people with disabilities and lower social distance from them. [Subjects and
Methods] For this study, students in the department of physical therapy
participate in the survey examining prejudices and attitude towards people with
disabilities. [Results] First, there were statistically significant differences
in the prejudice against people with disabilities among student groups divided by
whether they had contact on a frequent basis currently with those who have
disabilities. Second, there were statistically significant differences in the
prejudice against people with disabilities among student groups divided by
whether they had an experience of being harmed by people with disabilities.
Third, there was a correlation among prejudices against people with disabilities
with each other that is articulated in the eighth domain. Finally, as a result of
regression analysis, the students' attitudes towards people with disabilities
were explained appropriately by the prejudices about performance of daily tasks
and NIMBY (Not In My Back Yard) syndrome. [Conclusion] It is crucial to create an
environment where University students in the department of physical therapy can
have positive interactions with people with disabilities, to reduce the overall
prejudices, and specifically, the prejudices about performance of daily tasks and
about NIMBY syndrome.
PMID- 29643598
TI - Effects of gait training on sand on improving the walking ability of patients
with chronic stroke:a randomized controlled trial.
AB - [Purpose] This study examines how difference in sandy ground between firm ground
influences the effects of gait training in patients with chronic stroke.
[Subjects and Methods] A total of 28 patients with chronic stroke were evenly
divided into experimental and control groups. Initial evaluation of the subjects
was conducted by Timed Up & Go (TUG) and 6-Minute Walking Test (6MWT). Each
patient in both groups received daily, 30-minute gait training on sandy ground
and firm ground, five times each week, for a total of six weeks, after which
reevaluation was conducted. [Results] In TUG, both groups showed significant
improvement after the intervention. In 6MWT, only the experimental group achieved
significantly increased distance after the intervention. However, there was no
between-group difference. Improvement in dynamic balancing ability depends on
repeated gait training rather than differences in the ground environment.
However, gait endurance showed a difference depending on the types of ground,
regardless of repeated gait training. [Conclusion] This can be attributed to the
fact that gait training on sand requires use of more diverse muscles. Hence, we
can confirm the potential of sand as a new material for training ground when
attempting to improve walking ability, particularly gait endurance, among
patients with chronic stroke.
PMID- 29643599
TI - The correlation between diaphragm thickness, diaphragmatic excursion, and
pulmonary function in patients with chronic stroke.
AB - [Purpose] This study aimed to investigate the correlation between the diaphragm
thickness and diaphragm excursion, and pulmonary function in individuals with
stroke. [Subjects and Methods] One hundred fourteen patients who were clinically
diagnosed with ischemic or hemorrhagic stroke were included. The diaphragm
thickness and excursion were assessed using ultrasonography, and the diaphragm
thickening ratio was standardized using a formula. To analyze pulmonary function,
we measured the forced vital capacity, forced expiratory volume in one second,
and peak expiratory flow. [Results] A statistically significant correlation was
found between the diaphragm thickness, thickness ratio, and diaphragm excursion;
and the forced vital capacity, forced expiratory volume in one second, and peak
expiratory flow. [Conclusion] This study demonstrated that there is a
relationship between respiratory function and diaphragm thickness and diaphragm
excursion, especially in the paretic side of the diaphragm. Therefore, the role
of the respiratory muscles of the paretic side is important in rehabilitation
programs to improve the respiratory function of stroke patients.
PMID- 29643600
TI - The effect of fluidotherapy on hand dexterity and activities of daily living in
patients with edema on stroke.
AB - [Purpose] The purpose of this study was to investigate the effect of
fluidotherapy on hand's dexterity and activities of daily living for stroke
patients with upper limb edema. [Subjects and Methods] The objective of the
present study was to treat 30 stroke patients with a three-week course of
fluidotherapy to investigate the efficacy of such therapy for reduction of edema.
For accurate baseline and post-intervention assessment of edema volume, hand
edema was measured in the morning using a forearm volumeter. [Results] Mean
edematous volume in the affected side measured 600.53 +/- 29.94 ml prior to
intervention, significantly decreasing to 533.53 +/- 27.85 ml after three weeks
of fluidotherapy. To investigate how such reduction may have enhanced the ability
to perform activities of daily living, Korean Version of Modified Barthel Index
assessment was performed. The results showed 46.10 +/- 4.27 points at baseline
and significantly improved to a mean score of 49.96 +/- 4.34 points at the time
of reassessment. Furthermore, Box and Block Test was performed to investigate
hand dexterity. Before fluidotherapy, affected patients transferred 21.13 +/-
3.63 blocks in one minute, increasing to 23.20 +/- 3.42 blocks transferred in one
minute following three weeks of treatment. Although the number of blocks
transferred did increase slightly, the difference was not statistically
significant. [Conclusion] These findings suggest that using fluidotherapy can
reduce edema, and such a reduction can have a positive effect on activities of
daily living. Based on our current findings, we hypothesize that long-term
fluidotherapy treatment may be more effective in reducing edema.
PMID- 29643601
TI - The effect of group exercise program on the self-efficacy and activities of daily
living in adults with cerebral palsy.
AB - [Purpose] This study was carried out to examine the effect of the application of
group exercise program composed to induce interests and assertive participation
of adults with cerebral palsy on the self-efficacy and activities of daily
living, as well as to provide basic clinical data that are effective and
trustworthy in enhancing the physical and emotional interaction in the future.
[Subjects and Methods] Those among the 23 adult with cerebral palsy who are the
subjects of research and able to participate only in the evaluation of
measurement tools prior to and after the experiment were allocated to the control
group while only those who can participate in the group exercise program
implemented over 12 sessions were allocated to the experimental group. For the
control group, a range of motion of joint exercise and stretching exercise were
executed on the arms, legs and trunk, while for the experimental group, group
exercise that is implemented with participation of several subjects
simultaneously was executed 2 times a week with 40 minutes for each session over
a period of 6 weeks for the total of 12 sessions. [Results] In both the
experimental group and the control group, there were statistically significant
changes in the average scores of self-efficacy and activities of daily living
after the exercise in comparison to that prior to the exercise. Moreover, there
were statistically significant differences in self-efficacy and activities of
daily living in terms of quantity of change prior to and after the exercise
between the two groups. [Conclusion] Therefore, group exercise program composed
to induce physical and emotional interaction, and active participation of adults
with cerebral palsy can be considered as an effective intervention method in
improving their self-efficacy and activities of daily living.
PMID- 29643602
TI - Influence of the ultrasound transducer tilt on muscle thickness and echo
intensity of the rectus femoris muscle of healthy subjects.
AB - [Purpose] This study aimed to assess the influence of ultrasound (US) transducer
tilt on muscle thickness and echo intensity of the rectus femoris muscle (RF) in
healthy subjects. [Subjects and Methods] Fourteen healthy male subjects (20.8 +/-
0.8 years) participated in this study. Transducer tilt was measured during US,
with a digital angle gauge. Muscle thickness and echo intensity were measured in
4 transducer tilt conditions: reference angle; +3 degrees ; +6 degrees ; and +9
degrees cranial from the reference angle. [Results] All differences in
transducer tilt relative to the reference condition were larger than the minimal
detectable change (MDC) of the reference condition. All differences in muscle
thickness relative to the reference condition were not larger than the MDC of the
reference condition. All differences in the echo intensity relative to the
reference condition, except between the reference and the +3 degrees condition,
were larger than the MDC of the reference condition. [Conclusion] Our results
indicated that an examiner should maintain a precise transducer tilt during
repeated US measurements to quantify the minimal change in the echo intensity of
the RF.
PMID- 29643603
TI - Physical performance of elderly adults in association with thigh tissue
composition: a cross-sectional study.
AB - [Purpose] Literature has revealed age-related changes in body regional tissues in
the form of reduced muscle size and increased adipose tissue. There is also a
decline in the performance of physical function with aging. The aim of this study
is to examine the partial and part correlations between physical performance and
thigh tissue composition among elderly adults. [Subjects and Methods] Twenty-two
elderly participants enrolled in this cross-sectional study. Mid-thigh CT images
were used to determine the cross-sectional area of the muscular and adipose
tissues. Principal component score of physical function was calculated from 5
performance based physical function tests using principal component analysis.
Partial and part correlation statistics were used to explore the association
between physical performance and tissue composition. [Results] There were
significant, moderate negative partial and part associations between the
principal component score and cross-sectional area of thigh muscles, quadriceps
muscle and quadriceps normal density muscle. Significant, moderate positive
partial and part correlations were found between intramuscular adipose tissue and
the principal component score. [Conclusion] Elderly adults' performance of
physical function is associated with regional tissue composition.
PMID- 29643604
TI - Comparison of efficiency of elastic and non-elastic taping on induced quadriceps
fatigue by knee extension exercise.
AB - [Purpose] The purpose of this study is to examine the effects of elastic and non
elastic taping on induced quadriceps fatigue by knee extension exercise.
[Subjects and Methods] Thirty healthy females were randomly assigned to an
elastic tape group (ET, n=10), a non-elastic tape group (NET, n=10), or a no tape
group (NT, n=10). Taping groups attached taping on quadriceps femoris. Three
groups are conducted knee extension exercise for 10 times and 3 sets during 10
minutes. Knee extension peak torque measured before and after knee extension
exercise. One-way analysis of variance was used to assess the statistical
significance of knee extension peak torque. [Results] No significant difference
in changes in maximum muscle strength per unit weight from before to after the
muscle fatigue exercise was found in the ET, NET, or NT groups (12.1 +/- 4.2,
11.7 +/- 7.1, and 6.7 +/- 3.4 N/kg, respectively). [Conclusion] Taping
facilitated muscle performance, but it also increased susceptibility to fatigue
resulting from greater muscle activation.
PMID- 29643605
TI - Effect of aquatic versus land based exercise programs on physical performance in
severely burned patients: a randomized controlled trial.
AB - [Purpose] To compare the effect of an aquatic-based versus a land-based exercise
regimen on the physical performance of severely burned patients. [Subjects and
Methods] Forty patients suffering from severe burn (total body surface area more
than 30%) were recruited from several outpatient clinics in Greater Cairo. Their
ages ranged between 20 to 40 years and were randomly assigned into two equal
groups: group (A), which received an aquatic based exercise program, and group
(B), which received a land-based exercise program. The exercise program, which
took place in 12 consecutive weeks, consisted of flexibility, endurance, and
lower and upper body training. Physical performance was assessed using 30 seconds
chair stand test, stair climb test, 30 meter fast paced walk test, time up and go
test, 6-minute walk test and a VO2max evaluation. [Results] Significantly
increase in the 30 second chair stand, 6-minute walk, 30 meter fast paced walk,
stair climb, and VO2 max tests and significantly decrease in the time up and go
test in group A (aquatic based exercise) compared with group B (a land-based
exercise) at the post treatment. [Conclusion] Twelve-week program of an aquatic
program yields improvement in both physical performance and VO2 max in patients
with severe burns.
PMID- 29643606
TI - Relationship between psychophysiological factors and prognosis for activities of
daily living in patients with stroke in a recovery rehabilitation unit: a
preliminary study.
AB - [Purpose] The objective of the present study was to examine the factors,
including physical and mental functions, that are associated with the prognosis
for activities of daily living in patients with stroke in a recovery
rehabilitation unit. [Subjects and Methods] The subjects were 13 patients with
stroke who were admitted to the recovery rehabilitation unit of Tokai Memorial
Hospital between March and October 2014 and followed up for 2 months. Their
physical and mental functions were evaluated at the time of admission and the
relationship between these functions and activities of daily living was assessed
2 months later. [Results] The activities of daily living at 2 months after
admission showed a significant correlation not only with the severity of motor
paralysis (R=-0.80) but mental function (R=-0.69) at the time of admission. No
significant correlation was noted between the severity of motor paralysis and the
mental function. [Conclusion] It is important to evaluate both mental function
and severity of motor paralysis immediately after patients' admission to the
recovery rehabilitation unit. Mental health intervention should be considered in
the future to effectively improve subsequent activities of daily living.
PMID- 29643607
TI - Changes in gluteal pressure and center of force during sitting in children with
cerebral palsy.
AB - [Purpose] The purpose of this study was to measure gluteal pressure and center of
force (COF) during sitting in children with cerebral palsy (CP). [Subjects and
Methods] The participants were five children with CP and five typically
developing controls. We measured peak gluteal pressure and COF using the TekScan
system. During the measurements, all children sat on the therapeutic table for 1
minute without back and foot support. [Results] The peak gluteal pressure and COF
in children with CP were significantly higher than values in controls.
[Conclusion] This study suggests that it is important to distribute hip pressure
evenly and transfer COF in a forward direction during rehabilitation in children
with CP.
PMID- 29643608
TI - The immediate effect of FES and TENS on gait parameters in patients after stroke.
AB - [Purpose] This study was conducted to compare the immediate effects of different
electrotherapies on the gait parameters for stroke patients. [Subjects and
Methods] Thirty patients with stroke were randomly assigned either to the
functional electrical stimulation group or the transcutaneous electrical nerve
stimulation group, with 15 patients in each group. Each electrotherapy was
performed for 30 minutes simultaneously with the therapeutic exercise, and the
changes in the spatial and temporal parameters of gait were measured. [Results]
After the intervention, a significant, immediate improvement in cadence and speed
was observed only in the functional electrical stimulation group. [Conclusion]
Based on this study, functional electrical stimulation that stimulates motor
nerves of the dorsiflexor muscles on the paretic side is recommended to achieve
immediate improvement in the gait ability of stroke patients.
PMID- 29643609
TI - Assessing the adjustability of grasping force using the iWakka in elderly
individuals.
AB - [Purpose] To ensure that elderly individuals continue performing their activities
of daily living, rehabilitation specialists have focused on several intervention
programs, including programs that help maintain the functionality of digits.
Grasping motion, including the ability to adjust grip, both spatially and
temporally, is important for the elderly to continue independent living. The
iWakka is a device used to measure the adjustability of grasping force and
developed in Japan in 2012. This study aimed to evaluate the range of error of
the iWakka, and verify its usefulness for evaluating adjustability of grasping
force in elderly subjects. [Subjects and Methods] In 36 community-dwelling
elderly subjects, over 65 years old, two readings of adjustability of grasping
force, in the dominant and non-dominant hands were obtained using the iWakka, and
a Bland-Altman analysis of the data was performed. [Results] The results
demonstrated significant fixed bias in the dominant and non-dominant hands, but
no significant proportional bias was observed. The limits of agreement were -2.8
to 4.4 g for dominant hand and -2.6 to 3.9 g for non-dominant hand. [Conclusion]
Therefore, it is possible to measure the efficacy of interventions and detect
declines in adjustability of grasping force using iWakka. Interventional programs
can be designed for daily life based on the grasping force results shown by
iWakka.
PMID- 29643610
TI - Effect of the trunk forward bending angle in sitting position on slow vital
capacity.
AB - [Purpose] The purpose of this study was to examine whether a trunk forward
bending angle in sitting position affected slow vital capacity (SVC). [Subjects
and Methods] The subjects of this study were 18 healthy college students to whom
the study's methods and purpose were explained and their agreement for
participation was obtained. Slow vital capacity was measured using spirometry
(Pony FX, COSMED Inc., Italy), repeatedly at a body bending angle of 0 degrees ,
15 degrees , and 30 degrees in the sitting position. [Results] Vital capacity,
expiratory reserve volume, and inspiratory reserve volume were significantly
different according to the trunk forward bending angle. There were no
statistically significant differences in tidal volume and inspiratory capacity.
[Conclusion] The results demonstrated that the body bending angle of 15 degrees
in the sitting position was the most effective angle for increasing lung volume.
PMID- 29643611
TI - Normative reference values for the two-minute walk test derived by meta-analysis.
AB - [Purpose] This meta-analysis was undertaken to establish normative reference
values for the two-minute walk test. [Methods] Three database searches and a hand
search were conducted. Meta-analysis was used to consolidate two-minute walk test
data stratified by gender and age group. [Results] Data from four articles was
consolidated. Normative two-minute walk test distances varied from 150.3 m
(women, 70 to 79 years) to 217.9 m (men, 20 to 29 tears). [Conclusion] Normative
reference values derived using meta-analysis should provide a better standard
than individual studies for interpreting the two-minute walk test performance of
adults.
PMID- 29643612
TI - Cognitive behavioural therapy and pain coping skills training for osteoarthritis
knee pain management: a systematic review.
AB - [Purpose] To investigate the effectiveness of cognitive-behavioural therapy (CBT)
and pain coping skills training (PCST) on pain level in adults with
osteoarthritis of the knee (KOA) in comparison with usual care. [Subjects and
Methods] Five databases were systematically searched for relevant randomised
controlled trials (RCTs) according to the selected eligibility criteria
(inception to June 7, 2016). PEDro scale was used to assess the validity of
included studies. [Results] Four studies met the inclusion criteria and all
studies had high methodological quality. The total number of participants was 665
across the four included studies. All participants had been diagnosed with KOA
(clinical and radiographic evaluation). The majority of the population were
female (71.13%). The interventions utilised were: CBT, CBT for insomnia (CBT-I),
PCST, and PCST combined with behavioral weight management (BWM) in one study and
with exercise in another study. The outcome measure for pain in KOA was the WOMAC
scale. Overall, three studies have reported clinical improvement in KOA pain
perception after 12-month follow-up time point. [Conclusion] This review has
recognised the need for future studies that have rigorous methodological quality,
and investigate the effect of mutual CBT and PCST protocols on KOA pain and pain
related functional and psychological abilities.
PMID- 29643613
TI - Medical Council of India's amended qualifications for Indian medical teachers:
Well intended, yet half-hearted.
PMID- 29643614
TI - Perioperative Communication: Challenges and Opportunities for Anesthesiologists.
PMID- 29643615
TI - WHO safe surgery checklist: Barriers to universal acceptance.
AB - Development of the Safe Surgery Checklist is an initiative taken by the World
Health Organization (WHO) with an aim to reduce the complication rates during the
surgical process. Despite gross reduction in the infection rate and morbidity
following adoption of the checklist, many health-care providers are hesitant in
implementing it in their everyday practice. In this article, we would like to
highlight the hurdles in adoption of the WHO Surgical Checklist and measures that
can be taken to overcome them.
PMID- 29643616
TI - Labor analgesia in parturients of fetal growth restriction having raised
umbilical Doppler vascular indices.
AB - Background and Aims: Fetuses with abnormal umbilical blood flow are at a higher
risk of adverse perinatal outcome than those with normal flow. Epidural analgesia
(EA) has shown to decrease villous vascular resistance in preeclamptic women
during labor. The present study evaluates the effects of epidural ropivacaine and
intramuscular (IM) tramadol on Doppler blood flow in parturients with fetal
growth restriction and raised umbilical artery (UmA) blood flow. Material and
Methods: In this prospective nonrandomized comparative study, 36 term parturients
with sonographic evidence of UmA systolic-diastolic (S-D) ratio >=3 were
enrolled. Parturients received either continuous epidural ropivacaine 0.2% or 1
mg/kg IM tramadol 4-6 hourly. Doppler flow parameters of UmA and bilateral
uterine arteries (UtAs) were measured at 0, 1, and 6 h of labor analgesia.
Doppler indices change with time during labor analgesia was assessed as the
primary outcome. Change of Doppler indices of UtAs, Apgar score, and cord blood
gases was considered as secondary measures. Results: Data from thirty laboring
women who completed the study were analyzed. The pulsatility index, resistance
index, and S-D ratio in UmA and right UtA reduced significantly with continuous
epidural infusion during first 6 h of labor. However, these values increased or
unchanged with tramadol administration. Better neonatal pH and base deficit (P =
0.039) were observed with EA. Conclusions: Continuous epidural ropivacaine causes
improved fetoplacental circulation in parturients with growth-restricted fetuses
having raised Doppler indices during labor analgesia. We also found better
neonatal outcome with continuous infusion of epidural ropivacaine as compared to
IM tramadol.
PMID- 29643617
TI - Comparison of ropivacaine (0.2%) with or without clonidine 1 MUg/kg for epidural
labor analgesia: A randomized controlled study.
AB - Background and Aims: The aim is to determine the effect of addition of clonidine
to ropivacaine for epidural labor analgesia with regard to onset of analgesia,
duration of analgesia, neonatal outcome, and quality of analgesia. Material and
Methods: A total of 60 term parturients of the American Society of
Anesthesiologists Grade I and II with uncomplicated pregnancy, vertex
presentation, posted for on-demand epidural labor analgesia after informed
consent were divided in two groups. Group R (n = 30) patients received 10 ml
solution comprising 0.2% ropivacaine. Group RC (n = 30) patients received a total
of 10 ml of 0.2% ropivacaine and clonidine 1 MUg/kg. Characteristics of the
block, onset and duration of analgesia, and total analgesic requirements were
noted. Pain and overall satisfaction scores were assessed with a 10-point visual
analog scale. Mode of delivery and neonatal APGAR scores were recorded. Results:
Maternal demographic characteristics were comparable between the groups. Addition
of clonidine to ropivacaine shortened the onset and prolonged the duration of
analgesia with decrease in ropivacaine requirement in Group RC. There was a
significant difference between the two groups regarding visual analog score and
quality of analgesia, which was better in Group RC. There were no significant
differences between the two groups regarding motor block, hemodynamic parameters,
and neonatal outcomes. Conclusion: We conclude that clonidine in low doses is a
useful adjuvant to local anesthetics for epidural labor analgesia and a good
alternative to opioids.
PMID- 29643618
TI - A randomized clinical trial of intrathecal magnesium sulfate versus midazolam
with epidural administration of 0.75% ropivacaine for patients with preeclampsia
scheduled for elective cesarean section.
AB - Background and Aims: Magnesium sulfate and midazolam have been used as adjuvants
to local anesthetics via intrathecal and epidural routes to augment the quality
of block and prolong postoperative analgesia. This study compares addition of
intrathecal magnesium sulfate versus intrathecal midazolam to epidurally
administered isobaric ropivacaine as a part of combined spinal epidural technique
in pre-eclamptic parturients undergoing elective cesarean section. Material and
Methods: After institutional ethics committee approval and written informed
consent, 50 pre-eclamptic parturients were randomly allocated to one of the two
groups of 25 each to either receive intrathecal magnesium sulfate (50 mg) or
intrathecal midazolam (1 mg) in combination with epidural ropivacaine (0.75%; 14
16 ml). The onset and duration of sensory and motor blockade, duration of
postoperative analgesia, postoperative visual analogue scores for pain, and
perioperative side effects were noted. Data were analyzed statistically using
Graphpad.com software. Results: Onset times to sensory and motor blockade were
faster in midazolam than in magnesium group (P < 0.01). Duration of sensory and
motor blockade, and time to first request of analgesia were significantly longer
in the magnesium group compared to the midazolam group (P < 0.01). The fetal
outcomes according to APGAR scores were comparable in both the groups, the median
APGAR score at 1 minute was 8 and at 5 minutes was 10 in both the groups.
Conclusion: Intrathecal magnesium with epidural ropivacaine significantly
prolonged postoperative analgesia compared to intrathecal midazolam without any
complications. Perioperative hemodynamics were comparable in both groups.
PMID- 29643619
TI - Comparison of continuous epidural infusion of 0.125% ropivacaine with 1 MUg/ml
fentanyl versus 0.125% bupivacaine with 1 MUg/ml fentanyl for postoperative
analgesia in major abdominal surgery.
AB - Background and Aim: The present study was carried out to compare the efficacy of
continuous epidural infusion of two amide local anesthetics, ropivacaine and
bupivacaine with fentanyl for postoperative analgesia in major abdominal
surgeries. Material and Methods: A total of 60 patients scheduled for major
abdominal surgery were randomized into two study Groups B and R with thirty
patients in each group. All patients were administered general anesthesia after
placing epidural catheter. Patients received continuous epidural infusion of
either 0.25% bupivacaine with 1 ug/ml fentanyl (Group B) or of 0.25% ropivacaine
with 1 ug/ml fentanyl (Group R) at the rate 6 ml/h intraoperatively.
Postoperatively, they received 0.125% bupivacaine with 1 ug/ml fentanyl (Group B)
or 0.125% ropivacaine with 1 ug/ml fentanyl (Group R) at the rate 6 ml/h.
Hemodynamic parameters, visual analog scale (VAS), level of sensory block, and
degree of motor block (based on Bromage scale) were monitored for 24 h
postoperatively. Results: Hemodynamic parameters and VAS scores were comparable
in the two groups. The level of sensory block was higher in bupivacaine group.
There were more patients with higher Bromage score in the (23.3%) bupivacaine
group than in (6.7%) ropivacaine group though the difference was not
statistically significant. Conclusion: Both ropivacaine and bupivacaine in the
concentration of 0.125% with fentanyl 1 ug/ml are equally safe, with minimal
motor block and are effective in providing postoperative analgesia.
PMID- 29643620
TI - Opioid-free anesthesia for breast cancer surgery: An observational study.
AB - Background and Aims: Opioids are associated with postoperative nausea, vomiting,
drowsiness, and increased analgesic requirement. A nonopioid anesthesia technique
may reduce morbidity, enable day care surgery, and possibly decrease tumor
recurrence. We compared opioid-free, nerve block-based anesthesia with opioid
based general anesthesia for breast cancer surgery in a prospective cohort study.
Material and Methods: Twenty four adult American Society of Anesthesiologists
grade I-III patients posted for modified radical mastectomy (MRM) with axillary
dissection were induced with propofol and maintained on isoflurane (0.8-1.0
minimum alveolar concentration) through i-gel on spontaneous ventilation and
administered ultrasound-guided PECS 1 and 2 blocks (0.1% lignocaine + 0.25%
bupivacaine + 1 mcg/kg dexmedetomidine, 30 ml). Postoperative nausea, pain
scores, nonopioid analgesic requirement over 24 h, stay in the recovery room, and
satisfaction of surgeon and patient were studied. Twenty-four patients who
underwent MRM and axillary dissection without a nerve block under routine opioid
anesthesia with controlled ventilation were the controls. Results: MRM and
axillary dissection under the nonopioid technique was adequate in all patients.
Time in the recovery room, postoperative nausea, analgesic requirement, and
visual analog scale scores were all significantly less in the nonopioid group.
Surgeon and patient were satisfied with good patient quality of life on day 7.
Conclusion: Nonopioid nerve block technique is adequate and safe for MRM with
axillary clearance. Compared to conventional technique, it offers lesser
morbidity and may allow for earlier discharge. Larger studies are needed to
assess the long-term impact on chronic pain and tumor recurrence by nonopioid
techniques.
PMID- 29643621
TI - Evaluation of dexmedetomidine and fentanyl as additives to ropivacaine for
epidural anesthesia and postoperative analgesia.
AB - Background and Aims: The synergism between epidural local anesthetic agent and
opioids is well established, but evidence for the combination of local anesthetic
agent with dexmedetomidine in epidurals is limited. This study evaluates the
clinical efficacy of dexmedetomidine versus fentanyl as an additive to
ropivacaine for epidural anesthesia. Material and Methods: Patients undergoing
infraumbilical surgeries were divided randomly into three groups - Group R (n =
25): received 18 ml of 0.5% ropivacaine for epidural anesthesia and 10 ml of 0.1%
ropivacaine boluses for postoperative analgesia; Group RF (n = 25): received 18
ml of 0.5% ropivacaine with 20 MUg fentanyl for epidural anesthesia and 10 ml of
0.1% ropivacaine with 10 MUg fentanyl boluses for postoperative analgesia; and
Group RD (n = 25): received 18 ml of 0.5% ropivacaine with 10 MUg dexmedetomidine
for epidural anesthesia and 10 ml of 0.1% ropivacaine with 5 MUg dexmedetomidine
boluses for postoperative analgesia. Results: The mean time for onset of sensory
block, in minutes, was 18.6 +/- 4.4 in R Group, 12.8 +/- 1.8 in RF Group and 10.8
+/- 2.7 in RD Group (P < 0.001). There was a statistically significant difference
with regard to degree of motor block, with RD Group faring better than RF Group
and R Group. The mean time to rescue analgesia, in minutes, was 139.8 +/- 21.4 in
Group R, 243 +/- 29.7 in Group RF, and 312.4 +/- 30.2 in Group RD (P < 0.001).
Incidence of hypotension at 10 min was 4% and 48% in RF and RD Groups,
respectively (P < 0.001). Conclusions: Epidural anesthesia achieved with 10 MUg
dexmedetomidine as an additive to 0.5% ropivacaine is more effective with respect
to duration and intensity of analgesia when compared to 0.5% ropivacaine alone or
addition of 20 MUg fentanyl to 0.5% ropivacaine.
PMID- 29643622
TI - Effect of intravenous dexmedetomidine administered as bolus or as bolus-plus
infusion on subarachnoid anesthesia with hyperbaric bupivacaine.
AB - Background: Subarachnoid anesthesia is a widely practiced regional anesthetic for
infraumbilical surgeries. Intravenous dexmedetomidine is known to prolong both
sensory and motor blockade when administered along with subarachnoid anesthesia.
Material and Methods: Seventy-five patients scheduled to undergo elective
infraumbilical surgeries under subarachnoid anesthesia were randomly allocated to
one of the three groups. Group B received intravenous saline over 10 min followed
by 12.5 mg intrathecal bupivacaine and then intravenous saline over 60 min. Group
bupivacaine + dexmedetomidine bolus (BDexB) received intravenous dexmedetomidine
(1 MUg/kg) over 10 min followed by 12.5 mg intrathecal bupivacaine and then
intravenous saline over 60 min. Group bupivacaine + dexmedetomidine bolus-plus
infusion (BDexBI) received intravenous dexmedetomidine (0.5 MUg/kg) over 10 min
followed by 12.5 mg intrathecal bupivacaine and then intravenous dexmedetomidine
(0.5 MUg/kg) over 60 min. Onset of analgesia (at T10), complete motor block
(Bromage score 3), and highest level of analgesia were noted. Sensory and motor
levels were checked periodically till sensory recovery (at S2-S4) and complete
motor recovery (Bromage score 0). Ramsay sedation score and incidence of
bradycardia/hypotension were noted. Results: Sensory recovery was significantly
longer in Group BDexB (303 min) and Group BdexBI (288 min) as compared to Group B
(219.6 min). Motor recovery was also significantly prolonged in Group BDexB
(321.6 min) and Group BDexBI (302.4 min) as compared to Group B (233.4 min).
Patients receiving dexmedetomidine were sedated but were easily arousable.
Conclusion: Intravenous dexmedetomidine given as bolus or bolus-plus-infusion
with intrathecal hyperbaric bupivacaine prolongs both sensory and motor blockade.
PMID- 29643623
TI - Effect of dexmedetomidine infusion on hemodynamic responses in microsurgery of
larynx.
AB - Background and Aims: Microlaryngeal surgery is a frequently performed ear, nose,
and throat procedure used to diagnose and treat laryngeal disorders. Suspension
laryngoscopy causes prolonged stimulation of the deep pressure receptors of the
larynx leading to adverse circulatory responses and consequently cardiac
complications. In this study, dexmedetomidine infusion was used to assess its
effectiveness for attenuation of this hemodynamic stress response. Material and
Methods: Sixty patients undergoing elective microlaryngeal surgery randomly
received either dexmedetomidine 1 MUg/kg over 10 min followed by continuous
infusion of 0.5 MUg/kg (Group D) or normal saline infusion at the same rate
(Group P) till the end of surgery. Anesthesia in all patients was induced with
propofol, succinylcholine to facilitate endotracheal intubation after
premedication with fentanyl 2 MUg/kg and glycopyrrolate. Intraoperative, vital
parameters were maintained within 20% of baseline with rescue analgesic fentanyl
1 MUg/kg and subsequently with propofol boluses up to 1 mg/kg. The percentage of
patients and the total amount of intraoperative fentanyl and propofol required in
each group were recorded. Sedation score at 10 minutes postextubation was
assessed by Ramsay sedation score. Results: Intraoperative heart rate and mean
arterial pressure in Group D were lower than the baseline values and the
corresponding values in Group P (P > 0.05). The percentage of patients requiring
rescue fentanyl and propofol was higher in Group P than Group D (36.6% and 30%
vs. 6.6% and 3.3% P = 0.01). Recovery scores were better in dexmedetomidine
group. Conclusion: Dexmedetomidine infusion attenuates the hemodynamic stress
response during laryngoscopy, intubation, and microlaryngeal surgery and is
associated better recovery profile.
PMID- 29643624
TI - Effectiveness of Proseal laryngeal mask airway and laryngeal tube suction in
elective non-laparoscopic surgeries of up to ninety minutes duration: A
prospective, randomized study.
AB - Background and Aims: Proseal laryngeal mask airway (LMA) and laryngeal tube
suction (LTS) are both supraglottic devices with an esophageal suction port. In
the present prospective, randomized study, the effectiveness of airway seal,
hemodynamic variables, ability to pass orogastric tube, and postoperative
complications with the two devices were evaluated. Material and Methods: This was
a prospective, randomized, single-blind study conducted in a hospital-based
setting. Sixty patients (American Society of Anesthesiologists Grade I and II)
undergoing elective general surgery were randomly allocated to Group A (Proseal
LMA) or Group B (LTS), and airway seal pressure (primary outcome), peak pressure,
hemodynamic parameters (blood pressure, pulse rate and pulse oximetry) during and
5 min after insertion, insertion time, ease of insertion, and postoperative
complications (sore throat and hoarseness of voice for a period of 24 hours)
(secondary outcomes) were noted. The quantitative data was summarized as mean and
standard deviation, and analyzed using Student's t-test. All the qualitative data
were summarized as proportions and analyzed using Chi-square test. The levels of
significance and alpha-error were kept 95% and 5%, respectively, for all
statistical analyses. P <= 0.05 was considered significant (S). Results: Proseal
LMA had shorter insertion time (16.4 +/- 5.6 vs. 20.0 +/- 3.9 s), higher seal
pressure (27.6 +/- 4.6 vs. 24.1 +/- 5.6 cm of H2O), lesser peak pressure (16.3 +/
2.3 vs. 18.5 +/- 3.9 cm of H2O), higher success rate of orogastric tube passage
(86.7 vs. 76.7%), and lesser postoperative sore throat (3.3 vs. 10%).
Conclusions: Both Proseal LMA and LTS were acceptable alternatives for airway
management in elective surgeries with controlled ventilation, but the quality of
ventilation was found to be significantly better with Proseal LMA (in terms of
higher seal pressure, lesser peak pressure, lesser insertion time, and lesser
complications).
PMID- 29643625
TI - Formulation of a multivariate predictive model for difficult intubation: A double
blinded prospective study.
AB - Background and Aims: Various models were devised for prediction of difficult
intubation but have low positive predictive value, sensitivity and specificity.
We aimed to predict difficult intubation from various airway predictive indices,
in isolation and combination, and to formulate a multivariate model that can aid
in accurate prediction of difficult intubation. Material and Methods: A
prospective double blinded study was conducted on 500 adult patients scheduled
for elective surgery under general anaesthesia. Preoperatively, they were
assessed for airway screening tests. After standardized induction of anaesthesia,
laryngoscopic view was classified according to the Modified Cormack and Lehane
(MCL) classification. Variables' association with intubation findings was
evaluated using Chi-square statistic. Stepwise logistic regression identified the
multivariate independent predictors of difficult intubation and combinations were
made using forward selection process. 8 models were formulated and a receiver
operating characteristic (ROC) curve worked out for them. Sensitivity and
specificity analysis validated the final model. Results: Age, sex, weight, BMI,
snoring, obstructive sleep apnea (OSA), diabetes, hypertension, upper lip bite
test (ULBT), Mallampati grade (MPS), thyromental distance (TMD), sternomental
distance (SMD), neck movements (NM), neck circumference (NC) and inter-incisor
gap (IIG) had significant correlation with difficult intubation. Based upon
sensitivity and specificity analysis, model comprising of MPS, NM, NC and SMD was
found to be most accurate. It had highest sensitivity 80%, specificity 87% and
area under curve 0.90, thus validating the model. Conclusions: Our study found
that a combination of MPS, SMD, NM and NC permits reliable, accurate and quick
preoperative prediction of difficult intubation.
PMID- 29643626
TI - Ratio of height-to-thyromental distance and ratio of height-to-sternomental
distance as predictors of laryngoscopic grade in children.
AB - Background and Aims: Failure to secure the airway is an important cause of
morbidity and mortality in children. Children are often uncooperative for routine
examination and pose problems for obtaining external measurements. We aimed to
evaluate ratio of height-to-thyromental distance (RHTMD) and ratio of height-to
sternomental distance (RHSMD) as predictors of laryngoscopic grade in children
aged 1-12 years. Material and Methods: This study was an observational study
conducted in children aged between 1 and 12 years scheduled for elective surgery
under general anesthesia. Children unable to stand, having limited mouth
opening/neck mobility, cleft palate or with midline neck masses were excluded.
Weight, height, and thyromental and sternomental distances were measured
preoperatively. Following induction of anesthesia and full-muscle relaxation,
laryngoscopy was performed and Cormack-Lehane view with Cook's modification was
noted. Receiver operating characteristic (ROC) curve analysis using RHTMD and
RHSMD was performed for predicting poor laryngoscopic view. Results: A total of
138 children with mean age of 6.6 +/- 3.4, RHTMD of 17.7 +/- 2.1, and RHSMD of
10.0 +/- 1.0 were included. No Grade 3 or 4 laryngoscopic views were obtained.
ROC curve analysis was done for predicting 2b view (restricted), incidence of
which was 10.1%. RHTMD was a better predictor of 2b laryngoscopic view with an
area under curve (AUC) of 0.792 compared to RHSMD (AUC = 0.463). Conclusions: In
children aged 1-12 years, RHTMD is a better predictor of restricted view compared
to RHSMD.
PMID- 29643627
TI - Predicting endotracheal tube size from length: Evaluation of the Broselow tape in
Indian children.
AB - Background and Aims: Several formulae are available to estimate endotracheal tube
(ETT) size in children. This study was designed to compare the ETT estimated by
the Broselow tape (BT) with age-based estimation of ETT size and to identify the
most accurate formula for the prediction of uncuffed ETT size in Indian children.
Material and Methods: Pediatric patients aged 1 month-6.5 years undergoing
emergency or elective surgery under general anesthesia requiring endotracheal
intubation with uncuffed ETT were included in this study. The ETT size was
selected based on the age formula (Penlington formula). The ETT used was deemed
to be of correct fit based on the delivery of adequate tidal volume and presence
of minimal leak at 20 cm H2O. The actual ETT used was compared with that
predicted by age, length of the child, BT, and fifth fingernail width of the
child using Pearson's correlation. Results: In children aged <6 months, the ETT
used was found to correlate with length (r = 0.286, P = 0.044) and finger nail
width (r = 0.542, P < 0.001) of the children. In children >6 months, the ETT used
correlated with that predicted from age, BT, length, and fingernail width of the
children. In our study, BT has an overall correct predictability rate of 50.3%
whereas the age-based formula has a correct prediction rate of 59.8% and length
based formula is 48.7% accurate. Conclusion: Length of the child has a good
correlation with size of the ETT to be used in Indian children across all age
groups. BT is an effective tool to predict ETT size in children >6 months.
PMID- 29643628
TI - Pediatric critical incidents reported over 15 years at a tertiary care teaching
hospital of a developing country.
AB - Background and Aims: The role of critical incident (CI) reporting is well
established in improving patient safety but only a limited number of available
reports relate to pediatric incidents. Our aim was to analyze the reported CIs
specific to pediatric patients in our database and to reevaluate the value of
this program in addressing issues in pediatric anesthesia practice. Material and
Methods: Incidents related to pediatric population from neonatal period till the
age of 12 years were selected. A review of all CI records collected between
January 1998 and December 2012, in the Department of Anaesthesiology of Aga Khan
University hospital was done. This was retrospective form review. The Department
has a structured CI form in use since 1998 which is intermittently evaluated and
modified if needed. Results: A total of 451 pediatric CIs were included. Thirty
four percent of the incidents were reported in infants. Ninety-six percent of the
reported incidents took place during elective surgery and 4% during emergency
surgery. Equipment-related events (n = 114), respiratory events (n = 112), and
drug events (n = 110) were equally distributed (25.6%, 25.3%, and 24.7%). Human
factors accounted for 74% of reports followed by, equipment failure (10%) and
patient factors (8%). Only 5% of the incidents were system errors. Failure to
check (equipment/drugs/doses) was the most common cause for human factors. Poor
outcome was seen in 7% of cases. Conclusion: Medication and equipment are the
clinical areas that need to be looked at more closely. We also recommend quality
improvement projects in both these areas as well as training of residents and
staff in managing airway-related problems in pediatric patients.
PMID- 29643629
TI - Intraoperative neurophysiological monitoring team's communique with anesthesia
professionals.
AB - Background and Aims: Intraoperative neurophysiological monitoring (IONM) is the
standard of care during many spinal, vascular, and intracranial surgeries. High
quality perioperative care requires the communication and cooperation of several
multidisciplinary teams. One of these multidisciplinary services is
intraoperative neuromonitoring (IONM), while other teams represent anesthesia and
surgery. Few studies have investigated the IONM team's objective communication
with anesthesia providers. We conducted a retrospective review of IONM-related
quality assurance data to identify how changes in the evoked potentials observed
during the surgery were communicated within our IONM-anesthesia team and
determined the resulting qualitative outcomes. Material and Methods: Quality
assurance records of 3,112 patients who underwent surgical procedures with IONM
(from 2010 to 2015) were reviewed. We examined communications regarding
perioperative evoked potential or electroencephalography (EEG) fluctuations that
prompted neurophysiologists to alert/notify the anesthesia team to consider
alteration of anesthetic depth/drug regimen or patient positioning and analyzed
the outcomes of these interventions. Results: Of the total of 1280 (41.13%)
communications issued, there were 347 notifications and 11 alerts made by the
neurophysiologist to the anesthesia team for various types of neuro/orthopedic
surgeries. Prompt communication led to resolution of 90% of alerts and 80% of
notifications after corrective measures were executed by the anesthesiologists.
Notifications mainly related to limb malpositioning and extravasation of
intravenous fluid. Conclusion: Based on our institutions' protocol and algorithm
for intervention during IONM-supported surgeries, our findings of resolution in
alerts and notifications indicate that successful communications between the two
teams could potentially lead to improved anesthetic care and patient safety.
PMID- 29643630
TI - Comparison of pretreatment with dexmedetomidine with midazolam for prevention of
etomidate-induced myoclonus and attenuation of stress response at intubation: A
randomized controlled study.
AB - Background and Aims: Myoclonus is a common problem during induction of anesthesia
with etomidate. A variety of drugs have been used to decrease the incidence of
myoclonus. In this study we compared the effects of dexmedetomidine and midazolam
pretreatment on the incidence of etomidate induced myoclonus. We also studied the
effects of these drugs on attenuation of stress response at laryngoscopy and
intubation on induction with etomidate. Material and Methods: Eighty adult
patients (18 to 60 years age) of either sex, American Society of Anestheiologists
physical status I and II undergoing elective general surgeries under general
anesthesia were randomly allocated into two groups. Group D patients received
Inj. Dexmedetomidine (0.5 MUg/Kg) and Group M received Inj. Midazolam (0.015
mg/Kg) in 10 ml saline over ten minutes. Myoclonus was graded after intravenous
administration of etomidate (0.3mg/Kg) and hemodynamic response to laryngoscopy
and intubation were observed at various time intervals. Analysis of statistical
data was done using Statistical Package for Social Sciences (SPSS) version 21.0.
Quantitative variables were compared using Independent T Test/Mann Whitney test
(for non-parametric data). Qualitative variables were compared using Chi-Square
test/Fisher's Exact Test. A P value of < 0.05 was considered statistically
significant. Results: In Group D, 22 out of 40 (55%) patients did not have any
myoclonus during induction with etomidate, and none of the patients had grade 3
(severe) myoclonus. In Group M, 19 out of 40 patients (47.5%) had grade 2
(moderate) and 6 patients (15%) had grade 3 myoclonus. Stress response due to
intubation was more effectively suppressed by dexmedetomidine as compared to
midazolam. Conclusion: Incidence of myoclonus among patients who underwent pre
treatment with dexmedetomidine was significantly lesser than those who underwent
pre-treatment with midazolam. Greater degree of attenuation of stress response in
the dexmedetomidine group was observed as compared to midazolam group.
PMID- 29643631
TI - Safety of the medical gas pipeline system.
AB - Medical gases are nowadays being used for a number of diverse clinical
applications and its piped delivery is a landmark achievement in the field of
patient care. Patient safety is of paramount importance in the design,
installation, commissioning, and operation of medical gas pipeline systems
(MGPS). The system has to be operational round the clock, with practically zero
downtime and its failure can be fatal if not restored at the earliest. There is a
lack of awareness among the clinicians regarding the medico-legal aspect involved
with the MGPS. It is a highly technical field; hence, an in-depth knowledge is a
must to ensure safety with the system.
PMID- 29643632
TI - Venous gas embolism in operative hysteroscopy: A devastating complication in a
relatively simple surgery.
AB - Venous air embolism can be a catastrophic iatrogenic complication during
operative hysteroscopy and makes this simple surgical procedure very risky,
especially with the lack of knowledge about its prevention, presentation, and
immediate management. Three out of 13 hysteroscopic myoma resections at our
center had venous gas embolism (VGE). The prevention, diagnosis, and management
of VGE are described in this report of three cases.
PMID- 29643633
TI - Anesthetic efficacy of 4% articaine and 2% lignocaine in achieving palatal
anesthesia following a single buccal infiltration during periodontal therapy: A
randomized double-blind split-mouth study.
AB - Background: The aim of this randomized split-mouth double-blind study was to
evaluate whether 4% articaine hydrochloride with 1:100,000 epinephrine
administered as a single buccal infiltration in the maxillary posterior sextant
can provide palatal anesthesia when compared with 2% lignocaine with 1:100,000
epinephrine during scaling and root planing and access flap surgery (AFS).
Material and Methods: A total of 40 patients with chronic generalized
periodontitis requiring periodontal therapy in the maxillary posterior sextants
were recruited in this study. About 4% articaine and 2% lignocaine were
administered as buccal infiltration in a split-mouth design randomly. The pain
scores in the palatal aspect were recorded during scaling and root planing and
open flap debridement using Heft-Parker visual analog scale. The onset of
anesthesia was also recorded and compared. Results: The success rate for
maxillary buccal infiltration to induce palatal anesthesia using articaine was
90% during scaling and root planing and 82.5% during AFS and for lignocaine
solution was 20% and 15%, respectively. The difference between the two agents was
statistically significant (P < 0.05). The onset of anesthesia between articaine
and lignocaine was also found to be statistically significant (P < 0.05).
Conclusion: In this study, we observed that the efficacy of 4% articaine was
superior to 2% lignocaine to induce palatal anesthesia following maxillary buccal
infiltration in maxillary posterior sextants.
PMID- 29643634
TI - Tanezumab: Therapy targeting nerve growth factor in pain pathogenesis.
AB - In recent years, nerve growth factor (NGF) and the NGF receptor have become
potential therapeutic targets in the treatment of acute and chronic pain states.
NGF is a neurotrophin involved in regulating the function of sensory and
sympathetic neurons during development. Numerous pain states have been linked to
elevated levels of NGF and its role in increasing the perception of pain.
Tanezumab, a recombinant humanized monoclonal antibody (IgG), was developed to
target NGF, binding both circulating and local tissue NGF preventing interaction
with the tropomyosin-related kinase-A and p75 receptors. Recent clinical studies
with tanezumab in different patient populations to date, including
osteoarthritis, low back pain, and diabetic peripheral neuropathy, demonstrate
efficacy with few side effects, including transient arthralgias, paresthesias,
hypoesthesia, and rarely, osteonecrosis. Anti-NGF antibodies are a novel therapy
in pain management and have shown promise in the treatment of certain pain
conditions, which at present are poorly treated. Tanezumab offers an exciting new
class of analgesics that has the potential to change the treatment of pain.
PMID- 29643635
TI - Regional anesthesia is safe and effective for lower limb orthopedic surgery in
patient with renal tubular acidosis and hypokalemia.
AB - Renal tubular acidosis (RTA) with hypokalemia may precipitate acute respiratory
failure and potentially fatal arrhythmias like ventricular fibrillation. Though
there are random reports of respiratory failure needing mechanical ventilation
and sudden death in patients with RTA and hypokalemia, the anesthetic management
of these patients has not been clearly elucidated. Acidosis and hypokalemia have
significant interactions with both general and local anesthetics and alter their
effect substantially. Proper preoperative planning and optimization are required
for the safe conduct of anesthesia in this subset of patients. We describe a case
of distal RTA, hypokalemia, and metabolic bone disease in whom central neuraxial
anesthesia was effectively used for lower limb orthopedic surgery with no
complications.
PMID- 29643636
TI - Atypical presentation of posterior reversible encephalopathy syndrome: Two cases.
AB - Posterior reversible encephalopathy syndrome (PRES) is a clinico
neuroradiological entity, first described in 1996. It is commonly associated with
systemic hypertension, intake of immunosuppressant drugs, sepsis and eclampsia
and preeclampsia. Headache, alteration in consciousness, visual disturbances and
seizures are common manifestations of PRES. Signs of pyramidal tract involvement
and motor dysfunction are uncommon clinical findings. However, clinical
presentation is not diagnostic. On neuroimaging, lesions are characteristically
found in parieto occipital region of the brain due to vasogenic edema. We report
two cases of PRES with atypical clinical presentation-one which was suggestive of
neurocysticercosis and the other in which agitation and opisthotonic posture were
predominant features.
PMID- 29643637
TI - Neostigmine-induced coronary spasm: Beware of Kounis syndrome.
PMID- 29643638
TI - Anesthetic management of excision of laryngocele-role of transtracheal jet
ventilation.
PMID- 29643639
TI - Awake caudal anesthesia for anoplasty in a preterm newborn with complex cyanotic
congenital heart disease.
PMID- 29643640
TI - An easy and feasible way of confirming correct placement of ventriculoatrial
shunt intraoperatively.
PMID- 29643641
TI - Pierre robin sequence with cervicothoracic kyphoscoliosis: An anesthetic
challenge.
PMID- 29643642
TI - Needle tip and peripheral nerve blocks.
PMID- 29643643
TI - Ultrasound-guided internal jugular vein cannulation: Can an artery be missed?
PMID- 29643644
TI - A Case of Gorhams Syndrome: An anesthetic challenge.
PMID- 29643645
TI - "Look alike" packaging: Do we need a wake-up call?
PMID- 29643646
TI - Leak in anesthesia workstation: An unusual cause.
PMID- 29643647
TI - Propofol infusion in an infant with glucose-6-phosphate dehydrogenase deficiency.
PMID- 29643648
TI - Acute compartment syndrome in the postoperative period in an alcoholic patient
with multiple injuries.
PMID- 29643649
TI - Water contamination of the central air supply: Out of sight, out of mind!
PMID- 29643650
TI - Minocycline: The second important antimicrobial in multidrug-resistant
Acinetobacter baumanii infections.
PMID- 29643651
TI - Pilot Study of an Open-source Image Analysis Software for Automated Screening of
Conventional Cervical Smears.
AB - Introduction: The Pap stained cervical smear is a screening tool for cervical
cancer. Commercial systems are used for automated screening of liquid based
cervical smears. However, there is no image analysis software used for
conventional cervical smears. The aim of this study was to develop and test the
diagnostic accuracy of a software for analysis of conventional smears. Materials
and Methods: The software was developed using Python programming language and
open source libraries. It was standardized with images from Bethesda
Interobserver Reproducibility Project. One hundred and thirty images from smears
which were reported Negative for Intraepithelial Lesion or Malignancy (NILM), and
45 images where some abnormality has been reported, were collected from the
archives of the hospital. The software was then tested on the images. Results:
The software was able to segregate images based on overall nuclear: cytoplasmic
ratio, coefficient of variation (CV) in nuclear size, nuclear membrane
irregularity, and clustering. 68.88% of abnormal images were flagged by the
software, as well as 19.23% of NILM images. The major difficulties faced were
segmentation of overlapping cell clusters and separation of neutrophils.
Conclusion: The software shows potential as a screening tool for conventional
cervical smears; however, further refinement in technique is required.
PMID- 29643652
TI - Utility of Cytokeratin7 Immunocytochemistry in the Cytopathological Diagnosis of
Fibrolamellar Hepatocellular Carcinoma.
AB - Objective: To distinguish fibrolamellar hepatocellular carcinoma (FL-HCC) variant
from the conventional hepatocellular carcinoma (HCC) by cytology,
immunocytochemistry, and morphometry. Study Design: Retrospective detailed
cytomorphological, immunocytochemical, and morphometric analysis was performed in
6 cases of FL-HCC reported on fine needle aspiration. Cell block
immunocytochemistry (CB-ICC) for CK7 and CD68 was performed in four cases.
Morphometry was carried out with Cell A software. Area of the cell, nucleus and
nucleolus was measured in 50 nuclei per case in 6 cases each of FL-HCC and HCC.
Results: The mean age of patients with FL-HCC was 19 years and all had normal
serum alpha-fetoprotein levels. Fine needle aspiration smears showed large
polygonal cells with abundant cytoplasm, vesicular nucleus and prominent
nucleolus, associated with variably cellular fibrous stromal fragments.
Intranuclear inclusions, cytoplasmic eosinophilic inclusions, and bile were also
noted. FL-HCC showed strong membrano-cytoplasmic CK7 positivity and cytoplasmic
granular and canalicular positivity for CD68. In contrast, HCC showed weak focal
positivity for CK7 and only canalicular CD68 positivity. Morphometry revealed
that FL-HCC cells were 2.19 times the size of HCC. Conclusion: CK7
immunocytochemistry on cell blocks is useful for confirming and distinguishing it
from HCC.
PMID- 29643653
TI - Utility of Cytospin and Cell block Technology in Evaluation of Body Fluids and
Urine Samples: A Comparative Study.
AB - Background: Cytologic examination of body fluids commonly involves the use of
direct or sediment smears, cytocentrifuge preparations, membrane filter
preparations, or cell block sections. Cytospin and cell block techniques are
extremely useful in improving cell yield of thin serous effusions and urine
samples, and ensure high diagnostic efficacy. Materials and Methods: We studied
cytospin preparations and cell block sections prepared from 180 samples of body
fluids and urine samples to compare the relative efficiency of cell retrieval,
preservation of cell morphology, ease of application of special stains, and
diagnostic efficacy. Samples were collected and processed to prepare cytospin
smears and cell block sections. Results: We observed that overall, cell yield and
preservation of individual cell morphology were better in cytospin preparations
as compared to cell blocks, while preservation of architectural pattern was
better in cell block sections. The number of suspicious cases also decreased on
cell block sections, with increased detection of malignancy. It was difficult to
prepare cell blocks from urine samples due to low cellularity. Conclusions:
Cytospin technology is a quick, efficient, and cost-effective method of
increasing cell yield in hypocellular samples, with better preservation of cell
morphology. Cell blocks are better prepared from high cellularity fluids;
however, tissue architecture is better studied, with improved rate of diagnosis
and decrease in ambiguous results. Numerous sections can be prepared from a small
amount of material. Special stains and immunochemical stains can be easily
applied to cell blocks. It also provides a source of archival material.
PMID- 29643654
TI - Role of Endoscopic Ultrasound-guided Fine-needle Aspiration in Adrenal Lesions:
Analysis of 32 Patients.
AB - Objective: Endoscopic ultrasound-guided fine-needle aspiration cytology (EUS
FNAC) is a precise and safe technique that provides both radiological and
pathological diagnosis with a better diagnostic yield and minimal adverse events.
EUS-FNAC led to the remarkable increase in the detection rate of incidentaloma
found during radiologic staging or follow-up in various malignancy or unrelated
conditions. Aims: We did this preliminary study with an aim to evaluate the role
of EUS-FNA in diagnosing and classifying adrenal lesions, clinical impact, and
compare the outcome with the previously published literature. Materials and
Methods: We included 32 consecutive cases (both retrospective and prospective) of
EUS-guided adrenal aspirate performed over a period of 3.3 years. The indications
for the aspirate in decreasing order were metastasis (most common carcinoma gall
bladder) > primary adrenal mass > disseminated tuberculosis > pyrexia of unknown
origin. On EUS, 28 cases revealed space occupying lesion or mass (two cases
bilateral) and four cases revealed diffuse enlargement (two cases bilateral) with
a mean size of 21 mm. Results: The cytology reports were benign adrenal aspirate
(43.8%), metastatic adenocarcinoma (15.6%), histoplasmosis (9.4%), tuberculosis
(9.4%), round cell tumor (6.2%), adrenocortical carcinoma (3.1%), and descriptive
(3.1%). Three cases (9.4%) yielded inadequate sample. The TNM staging was altered
in 22.23% of the cases by result of adrenal aspirate. Conclusions: EUS-FNA of the
adrenal gland is a safe, quick, and sensitive and real-time diagnostic technique,
which requires an integrated approach of clinician, endoscopist, and
cytopathologist for high precision in diagnosis. Although the role of EUS-FNA for
right adrenal is not much described, we found adequate sample yield in all the
four patients that underwent the procedure.
PMID- 29643655
TI - Gata3 Immunohistochemical Staining is A Useful Marker for Metastatic Breast
Carcinoma in Fine Needle Aspiration Specimens.
AB - Aims: The utility of GATA3 immunohistochemistry (IHC) as an aid to the
cytological diagnosis of metastatic breast carcinoma in fine needle aspiration
(FNA) specimens was investigated. Materials and Methods: Cell block sections from
111 FNA cases of metastatic malignancy were stained for GATA3, including
metastases from 43 breast and 44 nonmammary adenocarcinomas, 19 melanomas, 4
urothelial carcinomas, and 1 thyroid medullary carcinoma. Sites sampled included
lymph nodes (87), bone (8), liver (5), lung (6), superficial masses (4), and
pelvic mass (1). Results: Ninety-one percent (39/43) of metastatic breast
carcinoma cases were positive for GATA3. All estrogen receptor (ER)-positive were
also GATA3 positive cases. The majority (9/14; 64%) of ER-negative and 37% (3/8)
of triple-negative cases were positive for GATA3. All nonmammary adenocarcinoma
cases were negative with the exception of one case of metastatic pancreatic
adenocarcinoma. Metastatic melanoma cases were all negative but 75% (3/4)
urothelial carcinomas expressed GATA3. Conclusions: GATA3 IHC staining is a
useful addition to IHC panels for FNA samples in specific settings such as
distinguishing metastatic breast from lung carcinoma or melanoma.
PMID- 29643656
TI - Comparison of Conventional Smear and Liquid-based Cytology Preparation in
Diagnosis of Lung Cancer by Bronchial Wash and Transbronchial Needle Aspiration.
AB - Introduction: Liquid-based cytology (LBC), initially developed for screening
gynecologic specimens, is now being used in nongynecologic aspiration and
exfoliative specimens. In this study, the diagnostic yield and utility of thin
prep (TP) was compared with conventional preparations to ascertain its utility in
improving the diagnosis of respiratory lesions. Materials and Methods: Bronchial
washings (BW) and transbronchial needle aspirates (TBNA)
(bronchoscopy/endobronchial ultrasound-guided) from 70 consecutive patients of
mediastinal masses and endo/peribronchial growths were included. The diagnostic
yields of both conventional smears and thin-prep were compared.
Immunocytochemistry (ICC) was performed on direct/cytospin smears of TBNA/BW and
TP slides when the tumor could not be subtyped by morphology. Histopathologic
correlation was done. Results: Although well-preserved morphological features and
cleaner background in TP allowed accurate diagnosis of malignancies, diagnostic
yield was comparable to conventional preparations. Immunocytochemistry was
successfully employed on TP smears which helped in accurate subtyping of the
tumors. Few shortcomings of TP smears were uneven distribution of cells, thick
cell clusters, and inadequate cellularity. Conclusion: Liquid-based TP
preparation is an effective diagnostic tool for respiratory tract cytology,
however, results are comparable to conventional smears.
PMID- 29643657
TI - Analysis of Morphological Features of Benign and Malignant Breast Cell Extracted
From FNAC Microscopic Image Using the Pearsonian System of Curves.
AB - Context: Cytological changes in terms of shape and size of nuclei are some of the
common morphometric features to study breast cancer, which can be observed by
careful screening of fine needle aspiration cytology (FNAC) images. Aims: This
study attempts to categorize a collection of FNAC microscopic images into benign
and malignant classes based on family of probability distribution using some
morphometric features of cell nuclei. Materials and Methods: For this study,
features namely area, perimeter, eccentricity, compactness, and circularity of
cell nuclei were extracted from FNAC images of both benign and malignant samples
using an image processing technique. All experiments were performed on a
generated FNAC image database containing 564 malignant (cancerous) and 693 benign
(noncancerous) cell level images. The five-set extracted features were reduced to
three-set (area, perimeter, and circularity) based on the mean statistic.
Finally, the data were fitted to the generalized Pearsonian system of frequency
curve, so that the resulting distribution can be used as a statistical model.
Pearsonian system is a family of distributions where kappa (kappa) is the
selection criteria computed as functions of the first four central moments.
Results and Conclusions: For the benign group, kappa (kappa) corresponding to
area, perimeter, and circularity was -0.00004, 0.0000, and 0.04155 and for
malignant group it was 1016942, 0.01464, and -0.3213, respectively. Thus, the
family of distribution related to these features for the benign and malignant
group were different, and therefore, characterization of their probability curve
will also be different.
PMID- 29643658
TI - Comparing the Efficacy of Leishman-Giemsa Cocktail Stain, Giemsa Stain, and
Papanicolaou Stain in Potentially Malignant Oral Lesions: A Study on 540
Cytological Samples.
AB - Background: This study was planned to compare and evaluate the staining efficacy
of Leishman-Giemsa cocktail (LG), Papanicolaou, and Giemsa stain (G) in
potentially malignant disorders and malignant lesions. Aims: To evaluate the
quality of nuclear and cytoplasmic staining of LG with G, and rapid Papanicolaou
stain (Pap) and to compare the total staining efficiency of LG against G and P.
Materials and Methods: One hundred and eighty participants were studied under
three groups - 60 as healthy controls, 60 with potentially malignant disorders,
and 60 with malignant lesions; smears were taken thrice from the buccal mucosa.
One smear was fixed with Bio-Fix spray and other two smears were allowed to air
dry for 2-3 minutes. Then, the ethyl alcohol-fixed smear was stained with Pap and
the two other air-dried smears were stained with G and LG stains. Analysis was
done using Friedman test and Wilcoxon Signed Rank Test with SPSS Version 15.0.
Results: In the normal group, staining of LG was highly significant (P < 0.001).
Among potentially malignant lesions, LG was observed to be highly significant (P
< 0.001) when compared with G and was not significant when compared with Pap (P =
0.186). In the malignant group, LG was highly significant (P < 0.001). LG was
superior with the highest average staining score of (2.018) than Pap and G.
Conclusion: LG cocktail is a better stain with excellent cytoplasmic and nuclear
staining intensity compared to Pap and G stains.
PMID- 29643659
TI - Endoscopic Ultrasound-guided Cytodiagnosis of Adrenal Histoplasmosis with
Reversible CD4 T-Lymphocytopenia and Jejunal Lymphangiectasia.
PMID- 29643660
TI - Primary Splenic Angiosarcoma with Liver Metastasis: A Rare Neoplasm Diagnosed on
Fine-needle Aspiration Cytology and Cell Block Immunocytochemistry.
AB - Primary splenic angiosarcoma is a rare malignant vascular neoplasm of mesenchymal
origin. The tumor is highly aggressive and has a high metastatic potential. It is
usually diagnosed on histopathological examination of splenectomy specimen. Only
few cases of angiosarcoma diagnosed by fine-needle aspiration (FNA) cytology
alone have been reported in the literature. The cytologic features of
angiosarcoma are heterogeneous, however, diagnosis can be suggested by FNA when
vasoformative features are present. A 55-year-old female presented with abdominal
pain and hepatosplenomegaly. Computed tomography scan revealed a heterogeneous
splenic lesion with liver metastases. FNA from the splenic and liver lesions
showed moderately pleomorphic tumor cells closely associated with anastomosing
vascular channels. Cell block immunocytochemistry (ICC) showed tumor cells
positive for CD31, CD34, CD68 as well as for CD99. FNA supplemented by cell block
ICC can render a definite diagnosis of primary splenic angiosarcoma with liver
metastasis.
PMID- 29643661
TI - Unusual Metastasis of Medullary Thyroid Carcinoma to the Breast: A Cytological
and Histopathological Correlation.
AB - Breast metastases are a relatively rare condition and account for approximately
0.5-2% of all breast tumors. Recognition of metastatic tumors in the breast is
important because it would prevent unnecessary mutilating surgery and would lead
to appropriate treatment of the primary tumor. Breast metastases from medullary
thyroid cancer (MTC) are very rare with only 21 reported cases in the literature.
Some MTCs mimic primary invasive lobular carcinoma of the breast
histopathologically and radiologically, making the distinction between the two
diagnostically challenging. We present the case of a 45-year-old female
presenting with a lump breast, which was later found out to be metastasis from
medullary carcinoma thyroid.
PMID- 29643662
TI - Retinoblastoma in an Adult.
AB - Retinoblastoma is a rare malignant tumor of the retina usually seen in children
before 5 years of age. The tumor is extremely rare in adults. We report here an
unusual case of retinoblastoma in a 55-year-old adult female who presented to us
with an orbital mass at a late stage of the disease. Detailed laboratory
investigations and imaging studies could not make a precise diagnosis. The
treating ophthalmologist suspected primary intraocular tumor, metastatic
carcinoma, malignant melanoma, or lymphoma and referred the patient for fine
needle aspiration cytology (FNAC). Cytopathological examination of Giemsa-stained
FNAC smear was consistent with that of retinoblastoma and established the
diagnosis.
PMID- 29643663
TI - Cystic Schwannoma of Tongue Masquerading as Mucocele: A Cytological Pitfall.
PMID- 29643664
TI - Papillary Carcinoma Thyroid Presenting as Huge Scalp Metastases.
PMID- 29643665
TI - Cytological Diagnosis of Mazabraud's Syndrome.
PMID- 29643666
TI - Cytological Findings of a Rare Case of Transitional Cell Carcinoma Bladder
Presenting with Supraclavicular Lymphnode Metastasis.
PMID- 29643667
TI - Role of Preablative Stimulated Thyroglobulin in Prediction of Nodal and Distant
Metastasis on Iodine Whole-Body Scan.
AB - Background: Preablative stimulated thyroglobulin (ps-Tg) is an important
investigation in the follow-up of patients with Differentiated thyroid
cancer(DTC) after surgery. Levels of ps-Tg >2-10 ng/ml have been suggested to
predict metastasis to cervical and extracervical sites. There is still debate on
the need for routine iodine whole-body scan (131I WBS) in the management of low
to-intermediate-risk DTC patients. Objective: We analyzed our data of patients
with DTC who underwent total thyroidectomy to discuss the predictability of ps-Tg
on metastatic disease on the 131I WBS. Materials and Methods: Retrospective
analysis of patient records. Results: One hundred and seventeen patients with DTC
(95 papillary thyroid cancer [71 had classic histology, 8 had tall cell variant,
16 had follicular variant] and 22 follicular thyroid cancer [18 minimally
invasive, 2 hurtle cell, and 2 widely invasive cancers]) had undergone total
thyroidectomy. All these patients underwent ps-Tg assessment and an 131I WBS.
About 65% of them went on to have radioiodine ablation along with a posttherapy
131I WBS. We divided the cohort into four groups based on their ps-Tg levels:
Group 1 (ps-Tg <1), Group 2 (ps-Tg 1-1.9), Group 3 (ps-Tg 2-5), and Group 4 (ps
Tg >5). None of the patients in Group 1, 7% of those combined in Groups 2 and 3
(2 out of 28 patients), and 26% (12 out of 47) of those in Group 4 had either
cervical or extracervical metastasis. Those with extracervical metastatic disease
to lungs and bones had a mean (standard deviation) ps-Tg value of 436 (130) and
median of 500 ng/ml and those with cervical metastatic disease had a mean Tg
value of 31 (64) and median 6.6 ng/ml. Conclusions: A ps-Tg value in the absence
of anti-Tg antibodies <1 ng/ml reliably excludes metastatic disease in DTC, while
a value >5 ng/ml has a 26% risk of having either cervical or extracervical
metastasis.
PMID- 29643668
TI - The Radioprotective Effects of Curcumin and Trehalose Against Genetic Damage
Caused By I-131.
AB - Background: Thyroid cancer has been growing rapidly during the last decades.
Radioiodine-131 (I-131) as an appropriate therapy modality is currently using in
the treatment of cancer and hyperthyroidism diseases. This radiotracer is
considered as a cause of oxidative DNA damage in nontarget cells and tissues. The
aim of this study was to investigate the effects of curcumin and trehalose on the
level of DNA double-strand breaks (DSBs) caused by I-131 in human lymphocytes.
Materials and Methods: First, 6-mL blood samples were taken from each of the five
volunteers. After 1 h of preincubation with the antioxidants, a total of 20 MUCi
I-131/2 mL (blood + NaCl) was added to each sample, and then, the samples were
reincubated for 1 h. Lymphocytes were separated and the mean DSB levels were
measured for each sample through gamma-H2AX assay to evaluate the effects of
antioxidants. Results: After 1-h incubation with I-131, the DSBs increased by
102.9% compared to the control group (0.343 vs. 0.169 DSB/cell; P = 0.00).
Furthermore, compared to the control + I-131 group, curcumin and trehalose
reduced the DSBs by 42% and 38%, respectively. There was a significant decrement
(P = 0.00) in the levels of DSBs of the curcumin + I-131 and trehalose + I-131
subgroups compared to the control + I-131 subgroup. Furthermore, there was no
significant relationship between the radioprotective effect of curcumin and
trehalose (P = 0.95). Conclusion: The use of curcumin and trehalose as
antioxidant can reduce the numbers of DSBs caused by I-131. Meanwhile, the
radioprotective effect of curcumin was more than trehalose.
PMID- 29643669
TI - Which is Better - A Standalone Ventilation or Perfusion Scan or Combined Imaging
to Predict Postoperative FEV1 in One Seconds in Patients Posted for Lung
Surgeries with Borderline Pulmonary Reserve.
AB - Introduction: Forced expiratory volume in one second (FEV1) is an independent
predictor for respiratory morbidity. Reports are varied and controversial
substantiating the use of either lung perfusion (Q) or ventilation (V)
scintigraphy as a single stage investigation to predict postoperative (ppo) FEV1
in patients scheduled for lung resection surgeries. It is said that there is no
additional benefit by performing both V/Q scan. As per one of the
recommendations, no further respiratory function tests are required for a
lobectomy if the postbronchodilator FEV1 is >1.5 l. We wanted to study the ppo
FEV1 in patients with FEV1 of <1.5 L scheduled for lung surgeries. Being a high
risk population, we wanted to assess (a) whether the ppo changes by this combined
V/Q imaging and (b) whether the incidence of respiratory complication in the
postoperative setting of this subgroup is different, (c) and study the short- and
long-term clinical outcome. Materials and Methods: Fifty-two high-risk patients
(with comorbidities) and borderline preoperative FEV1 of 1.5 L or less planned
for lung resection were enroled in this prospective study. V and Q scans were
performed, and tracer uptake percentage was tabulated. Results: Tracer uptake in
each lung was quantitated. Manual method of ROI drawing is preferred in high risk
patients with reduced pulmonary reserve over the automatic method. Based on
uptake patterns by V/Q scans, 4 different types of patterns were tabulated.
Eighty-eight percentage of centrally placed tumors showed the difference in
uptake patterns. Chronic obstructive pulmonary disease patients usually showed
more modest ventilatory defects (categorised as type 2 or 3). Lung tumours
produce erratic uptake patterns (Type 4) which depend heavily on their location
and extent. The range of FEV1 predicted was 0.6-1.38 L/min. Conclusion: We
recommend that combined imaging should be performed in patients with borderline
pulmonary reserve to derive the benefit of surgery as it provides a realistic ppo
FEV1 in patients with moderate to severely damaged lung. Centrally placed hilar
or bronchial tumors (even those <2 cm in size), produce discrepancies in V/Q
distribution pattern. Patient who was thought ineligible for surgery due to low
baseline FEV1 may be actually be operable by this combined imaging if uptake
pattern is better in V or Q scan with a good outcome. Accurate estimation of
postop FEV1 in fact helps the surgical team to implement measures to prepare high
risk patients to reduce postoperative complications, enable faster weaning from
ventilatory support and ensure favourable prognosis.
PMID- 29643671
TI - Role of Fluorodeoxyglucose Positron Emission Tomography/Computed Tomography in
Various Orbital Malignancies.
AB - Orbital swelling comprises wide spectrum of pseudotumors, benign and malignant
tumor. Malignant tumor may be primary or secondary tumor, and they constitute
about 36% of orbital tumors in adult. Fluorodeoxyglucose-positron emission
tomography/computed tomography (FDG-PET/CT) scan is extensively used in
hematological malignancies and in solid tumors for staging, treatment response,
and restaging. Recently, the use of FDG-PET/CT in orbital malignancies has gained
importance. The aim of this pictorial essay is to illustrate few important
orbital malignancies detected in F-18 FDG-PET/CT and discuss its role in
assessing the primary lesion and associated systemic finding.
PMID- 29643670
TI - Role of Early Dynamic Positron Emission Tomography/Computed Tomography with 68Ga
prostate-specific Membrane Antigen-HBED-CC in Patients with Adenocarcinoma
Prostate: Initial Results.
AB - Rationale: Prostate-specific membrane antigen positron emission
tomography/computed tomography (PSMA PET/CT) is widely used for imaging of
prostate cancer (PC) nowadays. However, appearance of bladder activity many a
times hampers lesion detection vis-a-vis primary as well as regional nodes. We
aimed to assess if early dynamic PET/CT can be a potential solution to this
issue. Methodology: A total of 15 biopsy-proven PC patients who were referred to
our department for 68Ga-PSMA PET/CT for staging/restaging were prospectively
studied. Dynamic PET/CT was done with on table intravenous injection of 2-3 mCi
(74-111 MBq) of the radiotracer. Dynamic images were acquired over the pelvis
with a frame time of 1 min for 10 min. Static images of 2 min per bed position
were acquired between 45 and 60 min after injection. A 3D volume of interest was
plotted on the primary lesion, involved nodes if any, pelvic bones at involved
and uninvolved sites, gluteal muscles, and bladder. Results: Six patients were
referred for staging and 9 for restaging. Mean age of 15 patients was 66.7 years,
median prostate-specific antigen level was 17.25 ng/ml (Range 0.05-218), mean
Gleason score was 8. All patients showed high target to nontarget ratio in the
early dynamic images comparable to that seen on the delayed images in different
sites (prostatic primary: n = 15 patients; lymph nodes: n = 10 patients; bone: n
= 5 patients). All pathologic lesions showed tracer uptake within the first 3 min
and reached maximum uptake during the dynamic study in last 3 min, indicating an
increasing uptake pattern, whereas urinary bladder (UB) activity was
insignificant within the first 3 min of dynamic imaging in all patients, reached
maximum during last 3 min. SUVmax was significantly higher in primary lesions in
the first 4 min compared to UB accumulation. Static images showed more tracer
accumulation than dynamic images in primary, nodal, and bony lesions. However,
all regional nodes seen on delayed static imaging also showed uptake on dynamic
imaging. Conclusion: Early dynamic imaging 68Ga-PSMA PET/CT can demarcate the
primary tumor clearly due to nonaccumulation of bladder activity and appears to
have comparable efficacy in detecting pelvic nodal sites as delayed imaging.
PMID- 29643672
TI - An Interesting Case of Retropharyngeal Lymph Nodal Metastases in a Case of Iodine
Refractory Thyroid Cancer.
AB - Metastases to cervical lymph node are fairly common in differentiated thyroid
cancer. In iodine-refractory disease, the disease may persist in the thyroid bed,
cervical lymph nodes, lungs, or the bones commonly. Retropharyngeal lymph nodal
involvement in thyroid cancer is unusual and may even be the presenting
complaint. We represent a case of iodine-refractory thyroid cancer with
retropharyngeal lymph nodal involvement in addition to lung metastases.
PMID- 29643673
TI - Incomplete form of Primary Hypertrophic Osteoarthropathy (Touraine-Solente-Gole
Syndrome) Masquerading as Polyartrhalgia Diagnosed in Technetium-99m-Methylene
Diphosphonate Scintigraphy: An Interesting Case Report.
AB - The primary hypertrophic osteoarthropathy (PHOA) (pachydermoperiostosis) is a
rare genetic/hereditary disease characterized by skin changes (pachydermia),
clubbing of fingers and periosteal thickening (periostitis) with sub-periosteal
new bone formation. Here we describe a case of an adolescent male who presented
with clubbing and polyarthralgia. On evaluation with scintigraphy and SPECT-CT,
he was diagnosed to have incomplete form of PHOA(skeletal manifestations without
skin changes). The identification of incomplete form of primary hypertrophic
osteoarthropathy which can be easily misdiagnosed as rheumatoid arthritis is
discussed here.
PMID- 29643674
TI - Unusually Large Brown tumor of Mandible in a Case of Secondary
Hyperparathyroidism Mimicking Cherubism.
AB - We report here a case of unusually large brown tumor of mandible mimicking
cherubism in a patient with secondary hyperparathyroidism (HPT). The patient is a
young male with a large head and a protruding jaw with an open mouth appearance.
Initial clinical appearance looked like cherubism. However further clinical,
biochemical, and radiological evaluation revealed a large brown tumor in a case
of prolonged secondary HPT, which was confirmed on histopathology. All of the
typical advanced radiological features of HPT were noted, highlighting the
severity of progression of the disease. This case emphasizes the need for
surveillance of serum calcium levels on routine biochemical investigations as to
enable an early diagnosis of HPT. With timely proper management, such cases
should be on the decline in the future.
PMID- 29643675
TI - Serendipitous Unearthing of Silent Multiple Giant Rasmussen's Aneurysms by
Fluorine-18 Fluorodeoxyglucose Positron Emission Tomography/Computerized
Tomography.
AB - The authors report multiple giant bilateral pseudoaneurysms of pulmonary artery,
also known as Rasmussen's aneurysms, which remained silent and unrevealed despite
the large size and multiplicity unearthed by fluorine-18 fluorodeoxyglucose
positron emission tomography/computerized tomography.
PMID- 29643676
TI - Discordant Primary Resistance to Imatinib Mesylate in the Same Individual and
Splenic Involvement in Recurring Gastric Gastrointestinal Stromal Tumors:
Assessment by Fluorodeoxyglucose-Positron Emission Tomography/Computed
Tomography.
AB - Discordant primary resistance and response of the metastatic lesions in the same
individual coupled with splenic involvement in gastrointestinal stromal tumors
(GISTs) are relatively uncommon. We herein report such a case of recurring GIST
of the stomach that presented with the involvement of spleen with 18F
fluorodeoxyglucose positron emission tomography-computed tomography (FDG PET-CT)
imaging documentation. Ultrasonography-guided fine needle aspiration cytology
from the splenic and paravesical lesions demonstrated metastatic spindle cell
tumor consistent with diagnosis of metastasis from GIST of the stomach. The
splenic and the paravesical lesions appeared resistant to the conventional 400 mg
of imatinib mesylate, while most other abdominopelvic metastatic lesions
demonstrated good metabolic response on FDG-PET/CT, with the noteworthy findings
of interlesional heterogeneity of the metastatic lesions in terms of differential
primary response in the same individual.
PMID- 29643677
TI - Gossypiboma of Axilla: Imaging Pitfalls on Fluorodeoxyglucose Positron Emission
Tomography and Computed Tomography.
AB - 18F-fluorodeoxyglucose (FDG) positron emission tomography (PET) with computed
tomography (CT) has become the standard of care in staging, restaging, and
response assessment of various malignancies including malignant melanoma.
However, nonspecific uptake of FDG can occur in infectious and inflammatory
conditions and can mimic a tumor. We present here a case of gossypiboma of the
axillary region with FDG uptake detected in a patient with malignant melanoma of
the upper extremity and discuss the potential pitfalls of this entity on FDG
PET/CT.
PMID- 29643678
TI - Metabolic Bone Superscan in Carcinoma Breast with Occult Graves' Disease: Looking
Beyond Skeletal Metastases.
AB - Extrathyroidal manifestations of autoimmune thyroid disorders include thyroid
associated ophthalmopathy, thyroid dermopathy, and thyroid acropachy. Thyroid
acropachy is an extreme manifestation of autoimmune thyroid disorder
characterized by clubbing and swelling of fingers and toes, with or without
periosteal reaction of the distal bones. We present a 50-year-old woman,
posttreatment for carcinoma breast (6 years back) and referred for bone scan for
generalized body ache. Bone scan findings resulting in detailed clinical
evaluation prompted toward the suspicion of a thyroidal disease with ultimate
diagnosis of Graves' disease.
PMID- 29643679
TI - A Rare Case of Sarcoidosis Presenting as Diffuse Contracturing Granulomatous
Myositis on Fluorodeoxyglucose Positron Emission Tomography/Computed Tomography.
AB - Fluorodeoxyglucose positron emission tomography/computed tomography (PET/CT) is
an established imaging modality in diagnosis and treatment response monitoring of
sarcoidosis. Multisystemic involvement of sarcoidosis is characteristically seen
on PET/CT; however, isolated organ involvement is rare. We describe here a case
of a 52-year-old male with generalized muscle weakness, an extremely rare
clinical manifestation of sarcoidosis.
PMID- 29643680
TI - Fluorodeoxyglucose Positron Emission Tomography-computed Tomography Evaluation of
an Interesting Case of Uterine Carcinosarcoma with Isolated Appendicular Skeletal
Metastases.
AB - Uterine carcinosarcomas, also known as malignant mixed mullerian tumors, are one
of the rare and most aggressive neoplasms of the uterus. They have an aggressive
course and can spread to distant organs. Owing to the low incidence of these
tumors, the optimal adjuvant management after surgery is not well established.
Many patients develop distant metastases during follow-up. An interesting case of
uterine carcinosarcoma who developed metastases to the femur, tibia, and
calcaneum during follow is presented.
PMID- 29643681
TI - Mismatch in Brain Perfusion and Metabolism Detected with 99mTc-Hexamethyl
Propylene Amine Oxime Single Photon Emission Computed Tomography and 18F
Fluorodeoxyglucose Positron Emission Tomography in Moyamoya Disease.
AB - We report a 47-year-old woman who developed an ischemic stroke with diplopia and
dysarthria. Emergency computed tomography (CT) showed no pathological findings,
and magnetic resonance (MR) showed mild ischemic-degenerative lesions. MR
angiography and angiogram showed severe stenosis of both internal carotid and
main intracranial arteries with plenty collateral vessels with "puff of smoke"
suggesting a moyamoya disease (MMD). Brain perfusion single-photon emission CT
showed global diminished perfusion in the brain lobes and a marked relative
hyperperfusion in the cerebellum. However, brain 18F-fluorodeoxyglucose-positron
emission tomography showed physiological metabolism in the brain cortex with only
slightly relative cerebellar hypermetabolism. MMD is a well-known arterial
pathology that frequently develops with only mild symptoms until the middle age.
Functional neuroimaging findings indicate a mismatch between brain glucose
metabolism and brain perfusion, probably due to neuronal subclinical chronic
ischemia in the brain cortex with preserved viability of neurons.
PMID- 29643682
TI - Lumbar Gout Tophus Mimicking Epidural Abscess with Magnetic Resonance Imaging,
Bone, and Gallium Scans.
AB - Gout is a common metabolic disorder, typically diagnosed in peripheral joints.
Tophaceous deposits in lumbar spine are a very rare condition with very few cases
reported in literature. The following is a case report of a 52-year-old patient
with low back pain, left leg pain, and numbness. Serum uric acid level was in
normal range. magnetic resonance imaging, bone scan, and gallium-67 images
suggested an inflammatory-infectious process focus at L4. After a decompressive
laminectomy at L4-L5 level, histological examination showed a chalky material
with extensive deposition of amorphous gouty material surrounded by macrophages
and foreign-body giant cells (tophaceous deposits).
PMID- 29643683
TI - Postrenal Transplant Allograft "Page Kidney" Identified and Salvaged using 99mTc
diethylenetriaminepentaacetic acid Renogram and Single-photon Emission-computed
Tomography.
AB - 99mTc diethylenetriaminepentaacetic acid (DTPA) renogram is a commonly performed
evaluation postrenal transplant to assess graft function and for early detection
of suspected immediate and late transplant-associated complications. Although
several modalities can be utilized to detect perinephric collection in
posttransplant period, the utility of 99mTc DTPA single-photon emission-computed
tomography (SPECT-CT) is not recognized. Herein, we discuss the incremental role
of seldom considered SPECT-CT in early detection, leading to timely appropriate
management and graft salvage in a case of posttransplant deteriorating renal
allograft as a result of subcapsular hematoma.
PMID- 29643684
TI - Posterior Layering of Fluorine-18 Fluorodeoxyglucose in the Urinary Bladder.
AB - An 89-year-old man undergoing fluorine-18 fluorodeoxyglucose positron emission
tomography/ computed tomography (18F-FDG PET/CT) study posttherapy for
hepatocellular carcinoma was noted to have 18F-FDG posterior layering of the
urinary bladder. This phenomenon of posterior layering of 18F-FDG in the urinary
bladder during PET/CT studies is physiological, and it is important to recognize
to avoid misinterpretation and unnecessary investigations. The hypotheses for the
cause of this phenomenon are highlighted in these interesting images including
the reversed phenomenon where there is anterior layering of 18F-FDG.
PMID- 29643685
TI - Unilateral Brown Fat Suppression on FDG PET/CT-detecting Sympathetic Denervation.
AB - We present here a case of primitive neuroectodermal tumor (PNET) who initially
presented with involvement of the right 3rd rib and underwent neoadjuvant
chemotherapy, rib excision, and adjuvant chemoradiotherapy and later underwent
posterolateral thoracotomy, pleural nodule excision, and the right 11th rib
metastatic lesion excision. Follow-up 18F-FDG PET/CT/computed tomography revealed
unilateral brown fat suppression in the form of decreased metabolic uptake in the
ipsilateral cervical, axillary, and paravertebral brown fat as compared to
metabolically active contralateral brown fat, likely due to paravertebral
sympathetic chain damage.
PMID- 29643686
TI - Primary Fibroblastic Osteosarcoma of the Lumbar Vertebra on Fluorine-18
Fluorodeoxyglucose Positron Emission Tomography/Computed Tomography.
AB - A 37-year-old man who presented with one year history of lower back and right
buttock pain was investigated with contrast enhanced computed tomography (CT),
magnetic resonance imaging (MRI), and fluorine-18 fluorodeoxyglucose positron
emission tomography/computed tomography which revealed a diagnosis of primary
fibroblastic osteosarcoma of the lumbar vertebra. This case highlights the
importance of PET/CT imaging.
PMID- 29643687
TI - Atypical Hemangioma Mimicking Metastasis on 18F-Sodium Fluoride Positron Emission
Tomography-Computed Tomography and Magnetic Resonance Imaging: Gallium-68
Prostate-Specific Membrane Antigen Positron Emission Tomography Improves the
Specificity of Bone Lesions.
AB - Vertebral hemangioma is a benign condition, but sometimes, it might represent as
diagnostic dilemma especially in elderly patient mimicking serious pathology like
metastasis. We report a case of a 66-year-old man with prostate cancer. 18F
sodium fluoride positron emission tomography-computed tomography (18F-NaF PET-CT)
demonstrates increased radiotracer uptake at body of D4 vertebra. Magnetic
resonance imaging shows features of atypical hemangioma; however, metastasis
cannot be ruled out. To rule out bone metastasis, gallium-68-prostate-specific
membrane antigen PET-CT is performed which shows no abnormal lesion. Eight-month
follow-up by 18F-NaF PET-CT showed persistent osteoblastic lesion at D4 without
any significant change thus, confirming the initial diagnosis of atypical
hemangioma.
PMID- 29643688
TI - Simultaneous 18F- FDG PET/MRI in Autoimmune Limbic Encephalitis.
AB - Limbic encephalitis is an autoimmune disorder characterized by inflammation of
the brain with rapidly progressing dementia which requires definitive
neurological evaluation. We describe both clinical as well as imaging findings in
a case of limbic encephalitis using positron emission tomography/magnetic
resonance imaging.
PMID- 29643689
TI - The Utility of Tc-99m Hydroxymethylene Diphosphonate Single-photon Emission
Computed Tomography/Computed Tomography in Symptomatic Os Trigonum.
AB - Os trigonum is a congenital condition in which a bone ossicle fails to fuse to a
secondary ossification center in the posterior aspect of the talar bone.
Repetitive plantar flexion of the foot may elicit inflammation of the ossicles
fibrous connective band, subsequently causing pain. It is common to misdiagnose
os trigonum as an avulsion fracture. We report a case of a 23-year-old male with
symptomatic os trigonum diagnosed on Tc-99m hydroxymethylene diphosphonate single
photon emission computed tomography-computed tomography (SPECT-CT). We also wish
to emphasize on the use of SPECT/CT in not only allocating the ossicle
anatomically but also for the purpose of evaluation an active source of pain in a
region of multiple complex small bones.
PMID- 29643690
TI - Decisive Role of Nuclear Imaging in a Rare Pancreatic Incidentaloma.
AB - Pancreatic incidentalomas are increasingly recognized entities that occur as a
fallout of widespread availability of high definition imaging technology. These
lesions offer diagnostic dilemmas to both clinicians and radiologists alike.
Nevertheless, it is the advancement in diagnostic radiology that comes to the
rescue in the management of these not-so-uncommon lesions.
PMID- 29643691
TI - Incidental Meningioma on 68Ga-DOTANOC Positron-Emission Tomography.
PMID- 29643692
TI - Correlation of tibial bone defect shape with patient demographics following total
knee revision.
AB - Background: Bone defects of the proximal tibia following revision total knee
arthroplasty (TKA) are challenging to manage, but must be addressed to provide
lasting stability. This paper will categorize tibial bone defects into shape
groups and correlate resulting groups to patient demographic data. Methods:
Retrospective analysis of four hundred and four patients post revision TKA
between January 2005 and February 2014 was conducted. One hundred and eighteen
met the inclusion criteria and were subcategorized by defect shape on their post
operative lateral and anterior-posterior (AP) radiographs. The subgroups of
defect shape were subsequently analyzed with Fisher's exact test and one way
ANOVA. Results: Trapezoidal shaped defects were the most common in both
radiographic views, and the magnitude of the defect at the top joint line varied
significantly amongst shape groups in both AP and lateral views. Trapezoid shaped
defects were correlated with smaller defect top lengths in both views. There was
no statistical correlation between defect shape BMI, TIV and reason for revision
in lateral view. However, T-bilateral defect shapes were correlated with higher
BMIs in AP view. Conclusion: A volumetric classification system of tibial defects
is necessary for preoperative planning in revision TKA. Common tibial bone defect
shape groups were identified and analyzed in AP and lateral radiographs after
revision TKA. Trapezoidal defects were the most common, and all other shapes
followed a pattern of proximal enlargement tapering distally. Trapezoidal defects
were smaller than other shapes and AP T-bilateral shaped defects were correlated
with higher BMIs.
PMID- 29643693
TI - Joint perception and patient perceived satisfaction after total hip and knee
arthroplasty in the American population.
AB - Background: Total hip (THA) and knee arthroplasty (TKA) are two of the most
successful procedures in orthopedics. Current evaluation trends focus on patient
reported outcomes. We sought to compare the changing WOMAC scores from various
time points from pre-operative to 1-year follow-up between separate THA and TKA
cohorts. In addition, we compared THA and TKA patients' joint perception,
satisfaction, and function via a questionnaire. Methods: One hundred elective THA
(n = 50) and TKA (n = 50) patients at one institution were randomly selected and
contacted between 2 and 4 years after the index surgery. A questionnaire assessed
joint perception, satisfaction and function of their total joint. Clinical
function scores utilizing the Western Ontario and McMaster Universities
Osteoarthritis Index (WOMAC) from the pre-operative, 3-month and 1-year post
arthroplasty visits were compared between groups. Results: 78% of the THA group
perceived their replaced joint as "native" vs 32% of TKA patients. 54% of THA
patients (vs 16% of TKA patients) reported uninhibited function of their total
joint. 24% of TKA patients noted to be least satisfied with their total joint
compared to 2% in the THA group. Both groups demonstrated significant improvement
in WOMAC scores after surgery, but the mean 3-month (12.4 (THA) vs 19.3 (TKA))
and 1-year (6.5 (THA) vs 14.1 (TKA)) follow-up WOMAC scores were significantly
better in the THA group. Conclusion: Evaluation of a patient's joint perception
is a valuable tool that should be used to assess function in conjunction with
validated clinical functional scores. Our data suggest further improvements in
total knee implant design and implantation strategies are necessary.
PMID- 29643694
TI - Bone preserving techniques for explanting the well-fixed cemented acetabular
component.
AB - Background: Removal of a well-fixed, cemented acetabular component at the time of
revision hip surgery can be complex. It is essential to remove the implant and
cement mantle in a timely fashion while preserving bone stock and osseous
integrity. The biomechanical properties of polymethylmethacrylate cement and
polyethylene can be utilised to aid with the removal of well cemented implants
which are often harder than the surrounding bone. While removal of loose
components may be relatively straightforward, the challenge for the revision
arthroplasty surgeon often involves the removal of well-fixed implants. Here, we
present three established techniques for the removal of a well-fixed cemented
acetabular component and one novel modification we have described before. Method:
We collate and review four techniques for removing well-fixed cemented acetabular
implants that utilise the different biomechanical properties of bone cement and
polyethylene. These techniques are illustrated with a photographic series
utilising saw bones. A step-by-step approach to our new technique is shown in
photographs, both in the clinical setting and with a "Sawbone". This is
accompanied by a clinical video that details the surgical technique in its
entirety. Results: These techniques utilise different biomechanical principles to
extract the acetabular component. Each technique has advantages and
disadvantages. Our new technique is a simplification of a previously published
extraction manoeuvre that utilises tensile force between cement and the implant
to remove the polyethylene cup. This is a safe and reproducible technique in
patients with a well-fixed cemented acetabular implant. Conclusion: Understanding
the biomechanical properties of polymethylmethacrylate bone cement and
polyethylene can aid in the safe removal of a well-fixed cemented acetabular
component in revision hip surgery. The optimal technique for removal of a
cemented acetabular component varies depending on a number of patient and implant
factors. This summary of the available techniques will be of interest to revision
arthroplasty surgeons.
PMID- 29643695
TI - Preliminary study of coal fly ash (FA) phytoremediation by selected cereal crops.
AB - This study focuses on the environmentally friendly utilization of coal combustion
residue, fly ash (FA) containing significant amounts of heavy metals. Knowledge
about the potential use of FA as a component of growth media for plants is
fragmentary. Preliminary experiments tested the possibility to grow cereal crops
on media composed exclusively of FA. The analysis of seven different FA from
lignite and semi-bituminous coal from North Dakota and Montana sources using
inductively coupled plasma emission spectrophotometry showed high concentrations
of heavy metals in coal (up to, in mg/kg): As:65, Cd:3.9, Co:38, Cr:77, Li:109,
Mn:1547, Pb:106, Ni:41, V:306. Seedlings of rye, wheat, oats, barley, triticale,
and regreen (hybrid between wheat and ryegrass) were planted in Petri dishes (10
cm in diameter) in growth media containing FA from lignite coal, FA from semi
bituminous coal, bottom ash, and Fargo clay soil as the control. Each treatment
was performed in 3 replications, and each experiment was repeated 3 times.
Germination rates, plant growth analysis, and dry matter yield were determined 2
3 weeks after planting. Germination rates and dry matter yield of oats,
winterwheat and regreen were greater (10-20% above controls) in media composed of
coal ash, but rye, barley, and wheat seedlings were affected by FA in media.
These results show the potential for the utilization of FA as a growth media for
cereal crops. Therefore, these plants might be used as green cover preventing
wind erosion over the coal ash piles. However, this issue requires additional in
depth investigation, including a thorough chemical analysis of plant material.
PMID- 29643696
TI - Heart Regeneration in Adult Mammals after Myocardial Damage.
AB - Heart regeneration remains a critical question in current basic research and
clinical practice. The adult mammalian heart exhibits a very limited regeneration
capacity. In contrast, adult zebrafish and neonatal mice retain a remarkable
ability of heart regeneration after damage. Understanding the mechanisms of heart
regeneration would be very valuable to help design efficient treatment strategies
against myocardial damage and heart failure. While inherent regeneration of the
heart occurs after damage with varying efficiency among species, regeneration may
also be induced exogenously. In this study, we briefly review the different
approaches and current progress in improving heart regeneration.
PMID- 29643697
TI - Vascular Healing Response after Everolimus-Eluting Stent Implantation in Acute
Coronary Syndrome Culprit Lesions: Comparison with Implantation in Stable Angina
Pectoris.
AB - Background: To evaluate the neointimal conditions of everolimus-eluting stents
(EESs) implanted in culprit lesions of patients with acute coronary syndrome
(ACS) compared with stable angina pectoris (SAP) using optical coherence
tomography (OCT). EESs are second-generation drug-eluting stents that have
recently been shown to be useful in patients with ACS as well as in patients with
SAP. However, few studies have analyzed the intra-stent conditions of EESs that
can lead to favorable results in such ACS lesions. Methods: We evaluated 41 ACS
patients with EES implantation (age, 66.7 +/- 10.3 years) and 59 SAP patients
enrolled as controls (age, 68.3 +/- 10.7 years). OCT examinations were performed
after 9 months of follow-up after stent implantation, and the condition of the
neointimal coverage over every stent strut was assessed in 1-mm intervals. In
addition, neointimal thickness (NIT) over each strut was measured and tissue
characteristics were examined. Results: There was no significant difference in
mean NIT between the ACS (90.8 +/- 88.2 mm) and SAP (87.3 +/- 74.2 mm, p = 0.11)
group. The rate of uncovered struts was significantly lower in the ACS group
(11.5%) than in the SAP group (12.5%, p = 0.03). Neointimal tissue
characteristics were also similar between groups. Conclusions: Vascular responses
after EES implantation differed significantly between ACS and SAP lesions using
OCT. However, these differences were considered small in clinical terms. Our OCT
data support the favorable results of patients with EES implantation at mid-term
follow-up, even in those with ACS.
PMID- 29643698
TI - Real-World Comparison of Drug-Eluting and Bare-Metal Stents in Superficial
Femoral Artery Occlusive Disease with Trans-Atlantic Intersociety Consensus B
Lesions: A 2-Year, Single-Institute Study.
AB - Background: Endovascular stenting has surpassed bypass surgery to become the
first-line treatment for superficial femoral artery (SFA) occlusive disease, and
various types of stents including bare-metal stents (BMSs), covered stents, and
drug-eluting stents (DESs), have been approved for treatment. This retrospective,
single-institute study compared the short-term, real-world outcomes of BMSs and
DESs for treating SFA occlusive disease. Methods: A retrospective chart review
was used to enroll 94 patients who received a DES (n = 24) or BMS (n = 70)
between 2009 and 2014. All patients had SFA occlusive disease with critical limb
ischemia and an intermediate length of SFA occlusion [Trans-Atlantic Intersociety
Consensus (TASC)-II B lesions] and were regularly followed for 2 years. All
patient characteristics, procedural details, and outcomes were recorded. Results:
The 1-year primary patency rates in the BMS and DES groups were 71.4% and 87.5%
(p = 0.169), respectively, and the corresponding 2-year rates were 61.4% and
79.2% (p = 0.139). The target lesion revascularization rate was 38.6% versus
20.8% (p = 0.139), the in-stent restenosis rate was 22.9% versus 0% (p = 0.009),
the major limb amputation rate was 4.3% versus 0% (p = 0.568), the peripheral
arterial disease-related mortality rate was 8.6% versus 0% (p = 0.332), and the
all-cause mortality rate was 11.4% versus 0% (p = 0.109), respectively.
Conclusions: The 2-year results revealed higher safety, superior efficacy, and
greater clinical benefits of DESs than BMSs for treating TASC-II B SFA occlusive
disease. However, more cases and long-term follow-up are warranted.
PMID- 29643699
TI - Clinical Efficacy of Transthoracic Echocardiography for Screening Abdominal
Aortic Aneurysm in Turkish Patients.
AB - Background: The objective of this study was to investigate the prevalence of
abdominal aortic aneurysm (AAA) in Turkish patients aged >= 65 years, and to
demonstrate the applicability of echocardiography to AAA screening. Methods:
Transthoracic echocardiography (TTE) was performed in all consecutive patients
aged >= 65 years who were referred to cardiology clinics or were referred from
other outpatient clinics. The abdominal aorta (AA) of each patient was scanned
using the same probe, and the time spent was recorded. Demographic and clinic
characteristics of the patients were recorded at the end of the echocardiography.
Results: Among 1948 patients (mean age 70.9 +/- 6 years; 49.8% male), the AA was
visualized in 96.3%. AAA was identified in 3.7% (69/1878) of the patients, of
whom AAA was previously known in 20.3% (n = 14). The prevalence of unknown AAA
was 2.93%. The average time needed to scan and measure the AA was 1 minute and 3
seconds (+/-23 seconds). Aortic root diameters were significantly higher in the
patients with AAA than in those without AAA (34.7 +/- 4.2 vs. 29.8 +/- 4.7; p <
0.001). Age (per 1 year increase) [odds ratio (OR), 1.245; p < 0.001], male
gender (OR, 5.382; p < 0.001), smoking (OR, 2.118; p = 0.037), and aortic root
diameter (per 1 mm increase) (OR, 1.299; p < 0.001) were independent predictors
of AAA. Conclusions: This study is important in that it showed a high prevalence
of AAA in Turkish patients aged >= 65 years, and demonstrated that AAA can be
visualized in the majority of patients in as little as 1 minute during TTE.
PMID- 29643700
TI - Evaluation of the Accuracy of ECG Captured by CardioChip through Comparison of
Lead I Recording to a Standard 12-Lead ECG Recording Device.
AB - Background: Remote cardiac rhythm monitoring and recording, using hand-carried
electrocardiogram (ECG) device had been widely used in telemedicine. The
feasibility and accuracy analysis on the data recorded by a new miniature ECG
system-on-chip (SoC) system has not been explored before. Methods: This study
evaluated the accuracy of the ECG recordings captured by CardioChip - a single
channeled, low-powered, miniature ECG SoC designed for mobile applications;
comparing against Philips Pagewriter Trim III - a Food and Drug Administration
certified, widely-used standard 12-lead ECG recording device, within Mackay
Memorial Hospital in Taiwan. Results: Total of 111 participants, age ranging from
39 to 87years old [mean age: 61.2 +/- 13.4, 57 male (51.3%)] were enrolled. Two
experienced cardiologists rated and scored the ECG morphology to be the same
between the two devices, while CardioChip ECG was more sensitive to baseline
noise. R-peak amplitudes measured both devices using single lead information
(CardioChip ECG vs. Lead 1 in standard 12-lead ECG) showed statistical
consistency. Offline analysis of signal correlation coefficients and coherence
showed good correlation with both over 0.94 in average (0.94 +/- 0.04 and 0.95 +/
0.04, respectively), high agreement between raters (94% agreement) for detecting
abnormal cardiac rhythm with excellent R-peak amplitude (r = 0.98, p < 0.001) and
PR interval (r = 0.91, p < 0.001) correlations, indicating excellent correlation
between ECG recordings derived from two different modalities. Conclusions: The
results suggested that CardioChip ECG is comparable to medical industry standard
ECG. The future implementation of wearable ECG device embedded with miniature ECG
system-on-chip (SoC) system is ready for clinical use, which will potentially
enhance efficacy on identifying subjects with suspected cardiac arrhythmias.
PMID- 29643701
TI - Higher Ventricular Premature Complex Burden is Associated with Lower Systolic
Blood Pressure Response.
AB - Background: Ventricular premature complexes (VPCs) with a burden higher than 10%
to 20% of total daily heart beats can cause VPC-induced cardiomyopathy. The
systolic blood pressure response (SBPR) is the difference between the SBP during
maximal exercise and rest. A low SBPR was recently identified to be a marker of
cardiomyopathy. The aim of this manuscript was to clarify the association between
VPC burden and SBPR. Methods: From January to December 2015, all patients with a
VPC burden larger than 240 beats/day on Holter recordings and treadmill exercise
tests were enrolled. The patients with a heart rhythm other than sinus rhythm,
coronary artery disease, and severe cardiomyopathy were excluded. The SBPR was
measured during a treadmill test. The basic characteristics and echocardiographic
findings were collected. Results: All patients were classified into three groups:
Group 1; 240-1,000 VPCs/day (n = 78), Group 2; 1,000-10,000 VPCs/day (n = 54),
and Group 3; > 10,000 VPCs/day (n = 21). Group 1 had a higher SBPR than the other
groups. Multivariate analysis revealed that only VPC burden was associated with
SBPR. Receiver operating characteristic curve analysis showed that a VPC burden >
1,055 beats/day predicted a SBPR < 40 mmHg. The results were consistent in all
subgroups. There were no significant differences in echocardiographic findings
among the groups. Conclusions: AVPC burden higher than 1,055 beats/day was
associated with a reduced SBPR.
PMID- 29643702
TI - Evaluation of Right Ventricular Function by Speckle-Tracking Echocardiography in
Patients with Ankylosing Spondylitis: A Case-Control Study.
AB - Background: We aimed to evaluate the right ventricular (RV) systolic function in
patients with ankylosing spondylitis (AS) compared to healthy subjects by using
standard echocardiography and speckle-tracking echocardiography (STE) methods.
Methods: This was a case-control study in which 64 patients (mean age, 55.7 +/-
9.2 years; male/female, 53/11), who had AS for at least five years (mean disease
duration, 7.1 +/- 2.6 years) and 70 age-matched healthy subjects (mean age, 54.9
+/- 8.5 years; male/female 55/15) were included. Clinical and laboratory signs of
cardiac disease were recorded. The RV systolic function was assessed by standard
echocardiography and two-dimensional STE method. Results: Case and control groups
did not show significant difference in terms of clinical and laboratory signs of
cardiac disease. RV function parameters in standard echocardiography were
statistically similar between AS patients and control subjects. However, RV
parameters in STE revealed significantly impaired RV function in AS patients
compared to control group. RV-free wall longitudinal strain, RV-free wall
longitudinal systolic strain rate, RV-free wall longitudinal early diastolic
strain rate, RV-free wall longitudinal late diastolic strain rate were lower, and
RV-early diastolic strain rate/RV-late diastolic strain rate ratio was higher for
the patients in the AS group (p < 0.001 for all). Conclusions: AS is associated
with impaired RV function as shown by STE even if there is no clinical or
laboratory sign of cardiac abnormality. STE is more effective than standard
echocardiography to detect RV function. Therefore we suggest regular evaluation
of RV function in patients with AS.
PMID- 29643703
TI - Associations of Ambient Temperature with Mortality Rates of Cardiovascular and
Respiratory Diseases in Taiwan: A Subtropical Country.
AB - Background: We conducted a time-series analysis of daily ambient temperature and
all-cause, cardiovascular, and respiratory disease mortality in Taiwan, which is
generally neither extremely hot nor cold. Methods: Data on all-cause daily
mortality rates (excluding accidents, suicide, and homicide), and mortality rates
due to respiratory and cardiovascular diseases between 2008 and 2010 were
obtained from the Taiwan Death Registry. The daily temperature for that period
was averaged from 33 monitoring stations nationwide. A generalized least square
model was constructed to assess the relationship between the time-series trends
of temperature and mortality, and the cross-correlation function was used to
determine the possible time lag for the effect of temperature on mortality.
Results: As the average temperature increased, the daily all-cause (beta =
0.006) and respiratory disease (beta = -0.012) mortality rates decreased. On the
other hand, an inverse relationship (beta = -0.028) between average daily
temperature and cardiovascular disease mortality was observed only for a
temperature between 12.91 degrees C and 26.36 degrees C. The time lag for all
cause and cardiovascular disease mortality was similar at 4-6 days, while the lag
for respiratory disease was longer at 13-16 days. Conclusions: We found inverse
associations between average temperature and all-cause and respiratory mortality.
An inverse association between temperature and cardiovascular disease mortality
was observed only from 12.91 degrees C to 26.36 degrees C.
PMID- 29643704
TI - Bioinformatic Analysis of the Possible Regulative Network of miR-30a/e in
Cardiomyocytes 2 Days Post Myocardial Infarction.
AB - Background: Both miR-30a and miR-30e are significantly downregulated in
cardiomyocytes (CMs) 2 days (d) post myocardial infarction (MI). This study aimed
to identify their possible regulative network in CMs 2d post-MI. Methods: The
dysregulated mRNAs in left ventricle tissues 2d post-MI in mice model were
retrieved from one previous publication. The verified target genes of miR-30a/e
and the predicted targets (upregulated 2d post-MI) were subjected to analysis of
the involvement in biological processes according to their enrichment in gene
ontology (GO) terms. Results: The known targets of miR-30a/e can regulate
cellular responses to glucose starvation via targeting TP53, BECH1 and HSPA5, and
also control cardiac epithelial to mesenchymal transition via targeting ETS
related gene (ERG), SNAI1 and NOTCH1. Bioinformatic prediction further showed
that miR-30a might regulate some biological processes related to CM responses to
MI via some other potential targets, such as platelet aggregation (possibly via
ITGB3 and STXBP1), regulation of intrinsic apoptotic signaling pathway in
response to deoxyribonucleic acid damage (possibly via SNAI1) and positive
regulation of tyrosine phosphorylation of Stat3 protein (possibly via LYN, SOCS3
and SLCF1). Conclusions: Considering the importance of these genes in cellular
responses to MI, it is meaningful to further investigate the regulative effect of
miR-30a/e on their expression, as well as their regulative network in CMs.
PMID- 29643705
TI - Amiodarone for the Successful Management of Caowu Poisoning - Induced Cardiac
Arrhythmia.
PMID- 29643706
TI - Insomnia and Atrial Fibrillation: Risk Assessment.
PMID- 29643707
TI - Insomnia and the Risk of Atrial Fibrillation: A Population-Based Cohort Study.
PMID- 29643708
TI - Evidence-based review and appraisal of the use of droperidol in the emergency
department.
AB - Droperidol is a short-acting, potent dopamine D2 antagonist that can pass through
the blood-brain barrier. A black box warning was issued for droperidol by the
United States Food and Drug Administration in 2001 because of a risk of
development of torsades de pointes induced by QT prolongation. Many experts feel
that the incidence of arrhythmia is overestimated, and low-dose droperidol is
almost always used by anesthesiologists for postoperative nausea and vomiting. In
this review, we used evidence-based analysis to appraise high-quality studies
with a low risk of bias published after 2001 on the use of droperidol in the
emergency department (ED). Droperidol appears not only efficacious but also safe
to treat patients with nausea/vomiting, acute psychosis, and migraine in the ED.
For these conditions, droperidol may be an option for shared decision-making.
PMID- 29643709
TI - Delayed formation of hematomas with ethanol preconditioning in experimental
intracerebral hemorrhage rats.
AB - Objective: Spontaneous intracerebral hemorrhage (ICH) accounts for 10%-15% of all
strokes and causes high mortality and morbidity. In the previous study, we
demonstrated that ethanol could aggravate the severity of brain injury after ICH
by increasing neuroinflammation and oxidative stress. In this study, we further
investigate the acute effects of ethanol on brain injury within 24 h after ICH.
Materials and Methods: Totally, 66 male Sprague-Dawley rats were assigned
randomly into two groups: saline pretreatment before ICH (saline + ICH), and
ethanol pretreatment before ICH (ethanol + ICH). Normal saline (10 mL/kg) or
ethanol (3 g/kg, in 10 mL/kg normal saline) was administered intraperitoneally 1
h before induction of experimental ICH. Bacterial collagenase VII-S (0.23 U in
1.0 MUL sterile saline) was injected into the right striatum to induce ICH in the
rats. We evaluated the hematoma expansion, hemodynamic parameters (heart rate and
blood pressure), activated partial thromboplastin time (aPTT), prothrombin time
(PT), and striatal matrix metallopeptidase 9 (MMP-9) expressions at 3, 6, 9, and
24 h after ICH. Results: The ethanol + ICH group exhibited decreased hematoma at
3 h after ICH; nevertheless, there was a larger hematoma compared with the saline
+ ICH group at 9 and 24 h after ICH. The ethanol + ICH group had lower blood
pressure at 3, 6, and 9 h post-ICH, but both groups maintained similar heart
rates after ICH. There was no significant difference in the aPTT and PT between
the two groups. Incremental ethanol concentrations had no influence on
collagenase VII-S activity at 120 min in vitro. MMP-9 expression was upregulated
in the right striata of the ethanol + ICH group, especially at 3 and 9 h after
ICH. Conclusion: Ethanol delayed hematoma formation in the first 3 h due to a
hypotensive effect; however, the accelerated growth of hematomas after 9 h may be
a sequela of ethanol-induced MMP-9 activation.
PMID- 29643710
TI - Positive correlation of serum leptin levels and peripheral arterial stiffness in
patients with type 2 diabetes.
AB - Objective: Leptin plays a pathophysiologic role in the pathogenesis of aortic
dysfunction and peripheral arterial stiffness (PAS). Our aim was to evaluate the
risk factors for developing PAS and the association of leptin and PAS in patients
with type 2 diabetes mellitus (DM). Materials and Methods: Fasting blood samples
were obtained for biochemical data and leptin determinations from 105 patients
with type 2 DM. In this study, we applied an automatic pulse wave analyzer
(VaSera VS-1000) to measure the brachial-ankle pulse wave velocity (baPWV); a
baPWV value >14.0 m/s on either side was considered high PAS. Results: Seventy
five patients (71.4%) had high PAS and they included a higher percentage of
patients with hypertension (P < 0.001), older age (P < 0.001), and a higher body
fat mass (P = 0.043), systolic blood pressure (P < 0.001), diastolic blood
pressure (P = 0.016), serum blood urea nitrogen (P = 0.003), and leptin level (P
< 0.001), and lower height (P = 0.027) and glomerular filtration rate (P < 0.001)
compared with type 2 DM patients with low PAS. After adjusting for factors
significantly associated with PAS in these patients by multivariate logistic
regression analysis, age (beta = 0.470, adjusted R2 change = 0.279; P < 0.001),
logarithmically transformed leptin (log-leptin, beta = 0.259, adjusted R2 change
= 0.085; P = 0.001), and hypertension (beta = 0.197, adjusted R2 change = 0.031;
P = 0.011) were significant independent predictors of PAS in type 2 DM patients.
Conclusion: The serum leptin level could be a predictor of PAS in type 2 DM
patients.
PMID- 29643711
TI - Dental utilization and expenditures by children and adolescents with autism
spectrum disorders: A population-based cohort study.
AB - Objectives: It is understood that children and adolescents with autism spectrum
disorders (ASDs) have difficulty in receiving dental treatment. This study
explores the differences in dental utilization and expenditure between two
groups: children and adolescents with and without ASD. Different conditions that
affect these results will be examined, including area of residence, category of
treatment, and preferences concerning type of dental institution in Taiwan.
Materials and Methods: The health service research database of the National
Health Research Institutes, which features population-based, randomly selected
samples collected from 2001 to 2010, was utilized in this study. In particular,
we recruited samples from 2005 in accordance with the codes of the International
Classification of Diseases, 9th revision, Clinical Modification from 299.0 to
299.9. The population-based cohort study measured mean expenditures and mean
numbers of medical visits with regard to different dental institution
classifications, areas of residence, and categories of dental treatment for
children (under 18 years old) with and without ASD. Results: The mean number of
annual visits was 6.58 and 5.70 for children and adolescents with and without
ASD, respectively, with mean annual visit expenditures of NT$2401.20 and
NT$1817.99, respectively. A higher percentage of children (91.32%) and
adolescents (72.66%) with ASD had experienced dental treatment than those without
ASD. Children (93.23%) and adolescents (90.83%) without ASD visited dental
clinics more often than those with ASD. The percentage of dental visits to
academic medical centers in Eastern Taiwan was significantly lower for the ASD
group than visits to other types of dental institutions. The use of restorative
treatment was significantly higher among all samples, with periodontology having
the lowest percentage. Conclusions: Children and adolescents with ASD had greater
dental utilization, expenditures, and preferences for high-level dental
institutions. The discrepancies in dental utilization indicate differences in the
distribution of medical resources in different dental institution levels and
residence areas in Taiwan.
PMID- 29643712
TI - Surgical results of a one-stage combined anterior lumbosacral fusion and
posterior percutaneous pedicle screw fixation.
AB - Objectives: Lumbosacral fusion through either an anterior or a posterior approach
to achieve good lordosis and stability is always a challenging surgical operation
and is often accompanied by a higher rate of pseudarthrosis than when other
lumbar segments are involved. This study evaluated the clinical and radiological
results of lumbosacral fusions achieved through a combined anterior and posterior
approach. Materials and Methods: From June 2008 to 2012, 20 patients who had L5
S1 instability and stenosis were consecutively treated, first by anterior
interbody fusion using an allogenous strut bone graft through the pararectus
approach and then by posterior pedicle screw fixation. A minimum of 1-year of
clinical and radiological follow-up was conducted. Intraoperative blood loss,
surgical time, and any surgery-related complications were recorded. Clinical
outcomes were assessed using a visual analog scale (VAS) and the patient's
Oswestry Disability Index (ODI) score. After 1 year, radiological outcomes were
assessed by analyzing pelvic incidence, lumbar lordosis, and segmental lordosis
using static plain films, while fusion stability was assessed using dynamic plain
films. Results: The mean operative time and blood loss were 215 min and 325 cc,
respectively. After 1 year, the VAS and ODI scores had significantly improved,
and stable fusion with good lordotic curvature was obtained in all cases.
Conclusion: The surgical results of the combined procedure are satisfactory in
terms of the functional and radiological outcomes. Our method offers advantages
regarding both anterior fusion and posterior fixation.
PMID- 29643713
TI - Modified conjunctival flap as a primary procedure for nontraumatic acute corneal
perforation.
AB - Objective: Appropriate management of nontraumatic acute corneal perforation is
always a challenge even with the many advances in surgical materials and
techniques. We reported the outcomes of a case series of acute corneal
perforation repair using a newly modified conjunctival flap with amniotic
membrane transplant (AMT), fibrin glue, and a bandage soft contact lens (BCL).
Materials and Methods: A total of 13 consecutive referral cases with nontraumatic
acute corneal perforation at the University of Washington were reviewed. All open
globes were repaired by a combined surgery with a modified conjunctival flap,
AMT, fibrin glue, and BCL. Visual acuity, a slit lamp examination, and the
patient-reported pain level were collected preoperatively and postoperatively.
Subsequent corneal surgeries to improve visual function were also reviewed.
Results: All ocular surfaces of the 13 eyes were stable at postoperative follow
up. Eleven of the 13 patients had the same or worse visual acuity 1 week
postoperatively. Nine of the 13 patients achieved better vision 6 months
postoperatively. None of the patients developed perioperative or postoperative
complications. Five patients with good visual potential underwent further corneal
surgeries, including Boston keratoprosthesis and penetrating keratoplasty. The
average referral distance was 217 miles and the median number of follow-up visits
within 6 months was 4. Conclusions: The combination of a modified conjunctival
flap, AMT, fibrin glue, and a BCL could provide a temporary method to stabilize
and secure the integrity of the globe as well as the ocular surface after a
nontraumatic acute corneal perforation. This approach allows easy follow-up and
preserves the eye for future corneal surgery under optimal conditions.
PMID- 29643715
TI - Effectiveness of a training program on the role recognition and case portrayal of
standardized patients.
AB - Objective: Standardized patient (SP) scenarios could provide medical situations
of high fidelity for teaching or examinations, which would otherwise be difficult
with real patients. Moreover, SPs can also be used to reliably evaluate or
certify the competence of medical trainees. Since the interactions between
examinee and SP are dynamic and complex, SPs need to portray the case reliably
and consistently across different examinees. Thus, we developed a 1-day program
for newly recruited SPs to teach basic competence in case portrayal. The purpose
of this study is to assure the effectiveness of this training program in role
recognition as a SP and case portrayal. Materials and Methods: A total of 80 SPs
were recruited from 2010 to 2013. They were asked to complete questionnaires
before and after the training program using a five-point, Likert-type scale (1 -
strongly disagree and 5 - strongly agree). The questionnaire comprised 16 items
covering SP characteristics, role recognition, and case portrayal. The results
were analyzed using PASW Statistics 18, paired t-test, to determine the
effectiveness of the training program. Results: A total of 78 questionnaires were
collected for a response rate of 97.5%. The mean age of the SPs was 50.2 +/- 7.9
(25-68) years. Most SPs showed improvement in role recognition. In the domain of
case portrayal, three of nine items (consistency, obedience, and commitment)
showed significant difference (P <0.05). Conclusions: This study suggests that
this program is useful for reinforcing role recognition in newly recruited SPs.
With respect to case portrayal, only consistency, obedience, and commitment were
improved.
PMID- 29643714
TI - Combined effect of acid-sensing ion channel 3 and transient receptor potential
vanilloid 1 gene polymorphisms on blood pressure variations in Taiwanese.
AB - Objectives: Both acid-sensing ion channel acid-sensing ion channel 3 (ASIC3) and
transient receptor potential vanilloid 1 (TRPV1) have been proposed to be
involved in the pathophysiology of hypertension. Common colocalization of ASIC3
and TRPV1 channels in the same sensory neuron has been reported. We aimed to
study the combined ASIC3 and TRPV1 gene polymorphisms in the risk of
hypertension. Materials and Methods: To test the statistical association between
genetic polymorphisms of the ASIC3 and TRPV1 genes and blood pressure (BP)
variations in Taiwanese, 551 unrelated individuals (286 men and 265 women) having
routine health examinations were recruited. The participants had no history of
cardiovascular disease or use of medication for hypertension. Results: Six ASIC3
and four TRPV1 gene polymorphisms were genotyped, and only the ASIC3 rs2288646
polymorphism was associated with variations in BP in the participants. In
subgroup analysis, we found participants carrying the combined ASIC3 rs2288646 AA
or AG and TRPV1 rs8065080 CC genotypes (combined genotypes) had significantly
higher systolic, mean and diastolic BP compared with the other subgroups (P =
0.009, 0.003, and 0.006, respectively, after Bonferroni correction). Interaction
analysis also revealed significant gene-gene interaction in the systolic, mean,
and diastolic BP in the ASIC3 and TRPV1 genotypes (interaction P = 0.006, 0.002,
and 0.002, respectively). A trend of increasing frequencies of the combined
genotype was observed in normotensive, prehypertensive, and hypertensive
subgroups (P for trend = 0.001), as well as in those with higher systolic and
diastolic BPs (P for trend = 9.13 * 10-4 and P for trend = 5.5 * 10-5,
respectively). Conclusion: Our data show a combined effect of ASIC3 and TRPV1
gene polymorphisms in BP variations in Taiwanese. These results suggest that the
interaction between ASIC3 and TRPV1 is involved in BP regulation.
PMID- 29643716
TI - Brain metastasis from renal urothelial carcinoma successfully treated by
metastasectomy.
AB - Upper tract urothelial cancer (UTUC) arises from the urothelial lining of the
urinary tract. UTUC spreads in several different ways including direct invasion,
lymphatic spread, and hematogeneous metastases. Regional lymph nodes are commonly
the initial site of metastasis, followed by the liver, lung, and bone. Brain
metastasis is uncommon in patients with urothelial carcinoma. Here, we report an
uncommon case of kidney urothelial carcinoma with brain metastasis in a 55-year
old woman presenting with dysarthria with right side limb weakness. The patient
recovered well after resection of the brain lesion without any sequelae after 1
year of follow-up.
PMID- 29643717
TI - Penile and multiple cutaneous metastases over the pubic region from a rectal
adenocarcinoma: An uncommon case.
AB - Synchronous penile and multiple cutaneous metastases over the pubic region from a
rectal adenocarcinoma are rare, and they usually indicate widespread disease. The
most frequent localization of cutaneous metastases is the postoperative scar.
Simultaneous penile and pubic region metastases are extremely uncommon. Although
a penile metastasis from a rectal adenocarcinoma has been reported, synchronous
metastases over the penile and pubic area have not been reported previously. We
present a case of penile and multiple cutaneous metastases over the pubic region
from a rectal adenocarcinoma along with a brief review of the literature. To the
best of our knowledge, this is the first such case reported to present with
simultaneous penile and multiple cutaneous metastases.
PMID- 29643719
TI - Glomus tumor with rare clinical presentation as chronic shoulder pain.
PMID- 29643718
TI - Left-sided cecal diverticulitis associated with midgut malrotation.
AB - Malrotation of the midgut is generally considered as a pediatric pathology with
the majority of patients presenting in childhood. The diagnosis is rare in
adults, which sometimes leads to delay in diagnosis and treatment. An index of
suspicion is therefore required when dealing with patients of any age group with
abdominal symptoms. We present a case of a 26-year-old male who presented with
left lower abdominal pain with preoperative computed tomography showing suspected
left-sided appendicitis associated with midgut malrotation. The duodenum, small
bowel, and cecum were abnormally located, with the presence of a thickened and
inflamed appendix with fecalith images. The patient underwent an emergency
laparotomy, and ruptured cecal diverticulitis with abscess formation was
confirmed. We performed a cecectomy, and the patient did not have an uneventful
postoperative recovery. A review of the literature is presented to highlight the
rarity of midgut malrotation and the controversies surrounding its surgical
management in the adult population with ruptured left-sided cecal diverticulitis.
PMID- 29643720
TI - Anthropological and sociological perspectives of medical professionalism.
PMID- 29643721
TI - Scalable Bayesian Variable Selection Using Nonlocal Prior Densities in Ultrahigh
dimensional Settings.
AB - Bayesian model selection procedures based on nonlocal alternative prior densities
are extended to ultrahigh dimensional settings and compared to other variable
selection procedures using precision-recall curves. Variable selection procedures
included in these comparisons include methods based on g-priors, reciprocal
lasso, adaptive lasso, scad, and minimax concave penalty criteria. The use of
precision-recall curves eliminates the sensitivity of our conclusions to the
choice of tuning parameters. We find that Bayesian variable selection procedures
based on nonlocal priors are competitive to all other procedures in a range of
simulation scenarios, and we subsequently explain this favorable performance
through a theoretical examination of their consistency properties. When certain
regularity conditions apply, we demonstrate that the nonlocal procedures are
consistent for linear models even when the number of covariates p increases sub
exponentially with the sample size n. A model selection procedure based on
Zellner's g-prior is also found to be competitive with penalized likelihood
methods in identifying the true model, but the posterior distribution on the
model space induced by this method is much more dispersed than the posterior
distribution induced on the model space by the nonlocal prior methods. We
investigate the asymptotic form of the marginal likelihood based on the nonlocal
priors and show that it attains a unique term that cannot be derived from the
other Bayesian model selection procedures. We also propose a scalable and
efficient algorithm called Simplified Shotgun Stochastic Search with Screening
(S5) to explore the enormous model space, and we show that S5 dramatically
reduces the computing time without losing the capacity to search the interesting
region in the model space, at least in the simulation settings considered. The S5
algorithm is available in an R package BayesS5 on CRAN.
PMID- 29643722
TI - Challenges in Diagnosing Childhood Tuberculosis.
PMID- 29643723
TI - Text Comprehension and Oral Language as Predictors of Word-Problem Solving:
Insights into Word-Problem Solving as a Form of Text Comprehension.
AB - This study was designed to deepen insights on whether word-problem (WP) solving
is a form of text comprehension (TC) and on the role of language in WPs. A sample
of 325 second graders, representing high, average, and low reading and math
performance, was assessed on (a) start-of-year TC, WP skill, language,
nonlinguistic reasoning, working memory, and foundational skill (word
identification, arithmetic) and (b) year-end WP solving, WP-language processing
(understanding WP statements, without calculation demands), and calculations.
Multivariate, multilevel path analysis, accounting for classroom and school
effects, indicated that TC was a significant and comparably strong predictor of
all outcomes. Start-of-year language was a significantly stronger predictor of
both year-end WP outcomes than of calculations, whereas start-of-year arithmetic
was a significantly stronger predictor of calculations than of either WP measure.
Implications are discussed in terms of WP solving as a form of TC and a
theoretically coordinated approach, focused on language, for addressing TC and WP
solving instruction.
PMID- 29643725
TI - Modified Laminoplasty for Degenerative Cervical Spondylosis: The Technique of
Floating Laminoplasty.
AB - Background: Laminoplasty is an established alternative to laminectomy for
posterior cervical decompression in spondylotic myelopathy. However, standard
laminoplasty requires internal fixation, which is often not obtainable in
developing countries. We present our experience with a technique of
noninstrumented (floating) laminoplasty developed to avoid the need to anchor the
laminoplasty to the anterior elements. Methods: We have used floating
laminoplasty (FL) for posterior cervical decompression in patients with cervical
spondylosis since 2004 and report the technique and our experience with it
between 2009 and 2014 when C-arm and magnetic resonance imaging became available
in our unit. Patients who had classical laminectomy and hemilaminectomies were
excluded. The operation involved bilateral approach to the laminae through a
midline incision with generous sparing of the supraspinous, interspinal and
interlaminar ligaments. During closure the laminoplasty was hitched to the
ligamentum nuchae. Nurick grading was used for clinical evaluation. Patients were
followed for at least 1 year. Results: There were 36 patients with age range
between 32 and 72 years (mean: 56.5 years). Male to female ratio was 3:1. Most
patients presented with advanced disease, with 25%, 36%, and 30% at Nurick Grade
3, 4, and 5, respectively. Postoperatively, all (100%) patients with Nurick Grade
2 and 3 improved to Grade 1 or 0, while 9 (69%) of the 13 at Grade 4 improved to
Grade 2 or better. Only 1 (9.1%) of 11 operated at Grade 5 did not improve while
3 (27%) improved to Grade 2 or better. No postoperative instability was
identified on follow-up. Conclusion: FL is a safe and simple procedure that
preserves spine stability and minimizes postoperative spinal deformity.
PMID- 29643726
TI - Early Versus Delayed Oral Feeding after Uncomplicated Cesarean Section under
Spinal Anesthesia: A Randomized Controlled Trial.
AB - Objective: The objective of this study is to compare the safety of early versus
delayed oral feeding after uncomplicated cesarean section (CS) under spinal
anesthesia. Methods: This was a randomized, controlled trial that enrolled 152
women who had uncomplicated CS under spinal anesthesia between January 2014 and
June 2014. Women in the early feeding group had sips of oral fluid 6 h
postoperatively while those in the delayed feeding group were on nil per oral for
the first 24 h after surgery before commencement on liquid diet. Primary outcome
measure was development of symptoms of paralytic ileus while secondary outcome
measures included time interval to return of bowel sound, duration of hospital
stay, and patients satisfaction which was determined using a visual analog score.
Results: The incidence of mild ileus symptoms was similar in both groups. Early
fed group had significantly shorter mean postoperative time intervals to return
of bowel sound, (7.3 h vs. 11.5 h [P = 0.005]), passage of flatus, (30.7 h vs.
37.5 h [P = 0.009]). Hospital stay was also significantly shorter in the early
feeding group, (4.2 days vs. 4.9 days [P < 0.001]). Early-fed women had higher
levels of satisfaction. Conclusion: Early initiation of oral feeding after
uncomplicated CS under subarachnoid block is not associated with increased
incidence of gastrointestinal symptoms or paralytic ileus.
PMID- 29643724
TI - Resolvin D1 promotes corneal epithelial wound healing and restoration of
mechanical sensation in diabetic mice.
AB - Purpose: To investigate the effect and mechanism of proresolving lipid mediator
resolvin D1 (RvD1) on the corneal epithelium and the restoration of mechanical
sensation in diabetic mice. Methods: Type 1 diabetes was induced in mice with
intraperitoneal streptozocin injections. The healthy and diabetic mice underwent
removal of the central corneal epithelium, and then 100 ng/ml RvD1 or its formyl
peptide receptor 2 (FPR2) antagonist WRW4 was used to treat the diabetic mice.
Regeneration of the corneal epithelium and nerves was observed with sodium
fluorescein staining and whole-mount anti-beta3-tubulin fluorescence staining.
The inflammatory response level was measured with hematoxylin and eosin staining
(inflammatory cell infiltration), enzyme-linked immunosorbent assay (tumor
necrosis factor alpha and interleukin-1 beta content), myeloperoxidase activity,
and fluorescence staining (macrophage content). The reactive oxygen species (ROS)
and glutathione (GSH) levels were examined with incubation with fluorescent
probes, and oxidative stress-related protein expression levels were evaluated
with fluorescence staining and western blotting. Results: Topical application of
RvD1 promoted regeneration of the corneal epithelium in diabetic mice,
accompanied by the reactivation of signaling and inflammation resolution related
to regeneration of the epithelium. Furthermore, RvD1 directly attenuated the
accumulation of ROS and nicotinamide adenine dinucleotide phosphate oxidase 2/4
expression, while RvD1 enhanced GSH synthesis and reactivated the Nrf2-ARE
signaling pathway that was impaired in the corneal epithelium in the diabetic
mice. More interestingly, topical application of RvD1 promoted regeneration of
corneal nerves and completely restored impaired mechanical sensitivity of the
cornea in diabetic mice. In addition, the promotion of corneal epithelial wound
healing by RvD1 in diabetic mice was abolished by its FPR2 antagonist WRW4.
Conclusions: Topical application of RvD1 promotes corneal epithelial wound
healing and the restoration of mechanical sensation in diabetic mice, which may
be related to the lipid mediator's regulation of inflammation resolution, the
reactivation of regenerative signaling in the epithelium, and the attenuation of
oxidative stress.
PMID- 29643727
TI - Outcome of Mainz II Pouch Urinary Diversion after Radical Cystectomy in Patients
with Muscle-invasive Bladder Cancer: Our Experience.
AB - Introduction: Mainz II pouch urinary diversion in patients with muscle-invasive
bladder cancer is one of the options of continent urinary diversion following
radical cystectomy (RC). We aim to report our experience and the outcome of our
patients who had this procedure. Patients and Methods: Patients who had RC and
Mainz II pouch urinary diversion for muscle-invasive bladder cancer in our
institution from 2007 to 2016 were evaluated. Variables analyzed included age,
gender, stage of the disease, pathological grade and tumor types, complications,
and survival status. Results: There were 11 patients who had Mainz II pouch
urinary diversion after RC for bladder cancer over a 10-year period. Four (36%)
were male and 7 (64%) were female. The mean age of the patients was 58.6 (range,
52-65) years. The diseases were pT2, pT3, and pT4 in 2 (18%), 7 (64%), and 2
(18%) patients, respectively. Four (36%) had pelvic nodal metastasis. Nine (82%)
had a histological diagnosis of transitional cell carcinoma, and two (18%) were
squamous cell carcinoma (SCC). Ten (91%) patients had high-grade disease, whereas
only 1 (9%) patient had low-grade disease. Short-term morbidities were
electrolytes derangement, hypokalemia, and acidosis in 2 (18%) patients and
pyelonephritis in 2 (18%) patient. The two patients with invasive SCC had
recurrence and death within 12 months of surgery. At present, four of the
patients are alive, and seven are dead. Survival till date ranged from 8 to 120
months (mean survival time was 48 months). All patients achieved day and night
time continence, and there was no significant long-term morbidity from the method
of urinary diversion. Conclusion: Mainz II pouch urinary diversion is safe and
acceptable to most of our patients with good long-term results.
PMID- 29643728
TI - Use of Otoscope as a Diagnostic and Therapeutic Aid in Umbilical Pilonidal Sinus:
A Novel Technique.
AB - Introduction: Umbilical disorders are frequently encountered in general surgical
practice. Although the sacrococcygeal region is the most common site for
pilonidal sinus disease, it can be seen occasionally in periumbilical area.
Treatment is mostly conservative for umbilical pilonidal sinus in contrast to the
sacrococcygeal sinus where it is always surgical. In the era of endoscopy and
minimally invasive surgery, we describe the use of otoscope as a novel technique
for the diagnosis and treatment of umbilical pilonidal sinus. Subject and Method:
In this prospective study, patients with a clinical suspicion of umbilical
pilonidal sinus were included and diagnosis was confirmed on the basis of
otoscopic finding. All patients were planned for conservative management i.e.
extraction of hair fragments with the help of an otoscope followed by oral
antibiotics treatment. On follow-up, response of treatment was noted by
evaluation of symptoms and otoscopic examination. Result: Total 15 patients were
included in this study. By using otoscope for removal of hair fragments from
umbilical sinus, we found successful result in all patients with conservative
treatment. Discussion: In umbilical pilonidal sinus, the success of conservative
treatment depends on the effective extraction of hair and maintenance of personal
hygiene by the patient. Our study showed 100% successful result of conservative
treatment, probably due to proper and complete extraction of hair fragment with
the help of the otoscope. Conclusion: Otoscopic examination and hair extraction
for umbilical pilonidal sinus is a simple, cost-effective, and easy treatment
that can be done in the outpatient department and does not require any formal
training for its use.
PMID- 29643729
TI - Resterilized Polypropylene Mesh for Inguinal Hernia Repair.
AB - Purpose: The use of prosthetic biomaterials for reconstructing and reinforcing
the posterior wall of the inguinal canal reduces the incidence of hernia
recurrence. Cost, availability of mesh, and perhaps reluctance to adopt a new
technique are factors which prevent widespread practice of hernioplasty in low
resource settings. Use of resterilized mesh significantly reduces the cost of
hernioplasty and is safe. Patients and Methods: Sheets of 30 cm * 30 cm
polypropylene mesh were cut into 16 cm * 8 cm to produce mesh strips which were
repackaged into SELFSEAL(r) (Medical Action Industries Inc., USA) sterilizing
pouches measuring 90 mm * 230 mm and autoclaved. At repair, the strips are shaped
to fit the anatomy of the posterior wall of the inguinal canal, a slit created at
one end and applied in Lichtenstein repair of inguinal hernias. Patients were
monitored for seroma collection and wound infection up to 2 weeks postoperative
period. Results: Sixty inguinal hernia repairs were done in 58 patients using the
resterilized mesh; two cases being bilateral. One patient (1.7%) had seroma
collection at 2 weeks which was aseptically aspirated. We did not record any case
of wound infection. Conclusion: The use of sterilized polypropylene mesh for the
repair of inguinal hernias is safe and reduced the cost of hernioplasty by
reducing the cost of polypropylene mesh. This technique is recommended in low
resource settings.
PMID- 29643730
TI - Transient Femoral Nerve Palsy Following Ilioinguinal Nerve Block for Inguinal
Hernioplasty.
AB - Background: Elective inguinal hernia repair in young fit patients is preferably
done under ilioinguinal nerve block anesthesia in the ambulatory setting to
improve throughput, save cost, and increase patient satisfaction. A rare
complication of ilioinguinal nerve block is transient femoral nerve palsy (TFNP).
Objectives: The aim of this study is to examine the incidence of TFNP among
adults undergoing ambulatory inguinal hernia repair under ilioinguinal nerve
block. Patients and Methods: Patients 18 years and older in the American Society
of Anesthetists classes I and II who underwent ambulatory inguinal hernia repair
over a 3-year period under ilioinguinal nerve block only were assessed for
evidence of TFNP. All patients had power on the ipsilateral limb checked 30 min
before and 1 h after the procedure. TFNP was considered present if there was
sensory loss over the anterior aspect of the thigh, weakness of extension at the
knee joint, or reduction in power of the ipsilateral limb. Results: One hundred
and twelve patients were involved in the study; 90 (80.3%) males and 22 (19.6%)
females with the mean age of 45.7 years. All had normal power (Grade 5) in the
ipsilateral limb before instituting the nerve block. Postoperatively, 3 (2.6%)
patients had grade 4 and recovered normal power over a 2-6-h period and were
subsequently discharged. Conclusion: TFNP is a rare complication of ilioinguinal
nerve block which delays patient discharge postambulatory hernioplasty.
PMID- 29643732
TI - Cutaneous Cancers in Nigerian Albinos: A Review of 22 Cases.
AB - Context: Albinism is an inherited disorder of hypopigmentation involving the
skin, eyes, and hair. This disorder results in the absence or reduction in
melanin production. There are two main types of albinism which are ocular
albinism and oculocutaneous albinism. It could also be classified as syndromic or
nonsyndromic the melanin, which protects from the harmful effect of ultraviolet
radiation of the sun on the normal skin, is deficient in the albino, predisposing
them more, to cutaneous malignancies. Aim: This study is to highlight the
epidemiology of cutaneous cancers in albinos in sub-urban Nigeria. Methodology:
This is a retrospective review of all albinos with histological diagnoses of
cutaneous malignancies that presented to Irrua Specialist Teaching Hospital,
Irrua Edo State, Nigeria between September 2010 and August 2016. The following
details were extracted from the patients' case-notes, operation register, and the
histopathology register. These data include age, gender, site of the lesion, the
diagnosis, no of lesions excised, and duration of the lesion (s). These were
collated and analyzed using SPSS version 22. Results: There were 22 albinos with
histopathologically diagnosed cutaneous malignancies. There were 11 males and 11
females with male:female of 1. The age range is from 25 to 55 years with the mean
of 34.68. Conclusion: Albinism is one of the most common causes of cutaneous
malignancies, and majority of them present with locally advanced lesions that
will need excision biopsy resulting in disfigurement. This problem can be
prevented in many cases with proper community education, support, and free health
care. There is also need for them to present early whenever they noticed any skin
changes.
PMID- 29643731
TI - Childhood Pyogenic Osteomyelitis in Abakaliki, South East Nigeria.
AB - Background: Pyogenic osteomyelitis is an important child health problem in
developing countries. It is a one-disease state with a spectrum of pathological
features and clinical forms ranging from acute to chronic presentation. Its
pattern of presentation varies from and within subregions. The aim of this study
was to determine the pattern and outcome of childhood pyogenic osteomyelitis in a
low-resource environment. Materials and Methods: This was a retrospective study
of all the children aged 18 years and under seen with pyogenic osteomyelitis in
Federal Teaching Hospital Abakaliki between January 2005 and December 2015.
Results: In 76 patients, there was pyogenic osteomyelitis involving 85 bones.
Female-to-male ratio was 1:1.7, and the mean age was 9.9 +/- 5.1 years. The
clinical forms of presentation were acute in 16 (21.1%), subacute in 10 (13.2%),
and chronic in 50 (65.8%) patients. Acute osteomyelitis was the more likely form
of presentation among infants (P < 0.001) and urban children (P < 0.011) whereas
subacute and chronic osteomyelitis were more likely among the older children (P <
0.001) and rural residents (P < 0.011). Staphylococcus aureus was the most common
isolated pathogen. Anemia, septic arthritis, and pathological fractures were the
three top complications observed. Fifty patients (65.8%) recovered and adjudged
cured, 9 (11.8%) were lost to follow-up, and 17 (22.4%) were unable to afford the
financial cost of the treatment. Conclusion: In our environment, chronic pyogenic
osteomyelitis sequel to acute hematogenous bone infection in childhood is common.
Poverty is also a limiting factor in its definitive treatment. These calls for a
policy response aimed at improved care and preventive strategies based on the
observed pattern.
PMID- 29643733
TI - Camphor Burns on the Palm: An Unusual New Presentation.
AB - Introduction: Camphor burns on the palm are uncommon and rarely encountered by a
plastic surgeon. Aim: This study aims to analyze different patterns of camphor
burns on the palm. Methods: Five women and one man presented with camphor burns
on their palm. All patients had burns on their right palm. The shape of camphor,
the duration of contact with the ignited camphor on the palm, and post-burn
treatments were evaluated. Results: Three types of camphor burns were noted: Type
1 (a ring-shaped or a dome-shaped blister with unburned skin in the center), Type
2 (an oval-shaped partially thick burn with unburned skin in the center), and
Type 3 (a full-thickness burn exposing the palmar fascia). Conclusion: Different
types of camphor burns on the palm are described in this study. This is the first
study to report ring-shaped blisters and ring-shaped partially thick camphor
burns caused on the palm.
PMID- 29643734
TI - Unusual Intravesical Foreign Body Following Perineo-vesical Injury.
AB - Reports of foreign body in the bladder are rare. Various objects have been
retrieved. Diagnosis is mainly by history of lower urinary tract symptoms and low
abdominal pain combined with relevant radiological investigations. Treatment
depends on the size, nature, and configuration of the object. The approach may be
endoscopic or through open surgery depending on the above highlighted factors.
PMID- 29643735
TI - Combined Laparoscopic and Cystoscopic Retrieval of Forgotten Translocated
Intrauterine Contraceptive Device.
AB - The most commonly used long-term reversible female contraception is intrauterine
contraceptive device (IUCD). Its use is however associated with documented
complications. Uterine perforation, though rare, is arguably the most surgically
important of all these complications. We report a case of a 48-year-old para 4+0
(4 alive) woman who had IUCD insertion 17 years earlier and had forgotten she had
the device having had two children thereafter. The IUCD was subsequently
translocated through the dome of the bladder into the peritoneal cavity with
calculus formation around the tail and thread of the IUCD in the urinary bladder
causing recurrent urinary tract infection. This "Collar Stud" effect made either
cystoscopic or laparoscopic retrieval alone unsuccessful necessitating a combined
approach. This case report highlights the need for a combined laparoscopic and
cystoscopic approach in the retrieval of the unusual presentation of translocated
IUCD.
PMID- 29643736
TI - Desmoid Fibromatosis of the Lower Abdominal Wall in Irrua Nigeria.
AB - Desmoid fibromatosis (desmoid tumors) is rare tumors. It can occur as intra
abdominal, extraabdominal, or abdominal wall tumor depending on the site. The
abdominal wall type is usually sporadic, but few have been associated with
familial adenomatous polyposis. They are commonly seen in young females who are
pregnant with a history of the previous cesarean section scar or within the 1st
year of the last childbirth. There is an association between this tumor, presence
of estrogen receptors, and abdominal trauma. We present a 29-year-old Nigerian
woman with fungating lower abdominal wall tumor. This tumor is rare, a high index
of suspicion will be very important in making the diagnosis.
PMID- 29643737
TI - Ectopic Pancreas Causing Partial Gastric Outlet Obstruction: A Case Report and
Review of Literature.
AB - Ectopic pancreas is a rare cause of gastric outlet obstruction, perhaps rarer
still among Africans. Although the entity is known, the diagnostic challenges are
enormous, especially in the poor-resource environment. Gastric outlet obstruction
resulting from ectopic pancreas in an adult is the first of its kind in our
center; we, therefore, present this case to describe the challenges faced with
diagnosis, treatment, and the lesson learned. Ectopic pancreas should be
considered in the differential diagnosis of gastric outlet obstruction.
PMID- 29643738
TI - An Alternative Technique in the Control of Massive Presacral Rectal Bleeding:
Fixation of GORE-TEX(r) Aortic Patch.
AB - The presacral venous system is located under the pelvic fascia covering the
anterior of the sacrum and consists of two lateral sacral veins, middle sacral
vein, and the veins that communicate them. The presacral venous system can be
easily damaged and causes serious bleeding which is difficult to control and may
cause intraoperative mortality. Its incidence varies between 3% and 9.4%.
Although several methods have been tried to control presacral bleeding, the
definitive method of treatment has not yet been identified. We present here our
alternative technique in control of massive presacral massive bleeding developed
from the presacral plexus secondary to the traction of the specimen during the
dissection. The bleeding could not be controlled despite the use of all technical
possibilities such as packing, ligation, and hemostatic agents. Bleeding control
was provided by GORE-TEX(r) graft. We conclude that fixation of GORE-TEX(r)
aortic patch should be kept in mind for uncontrolled massive presacral bleeding.
PMID- 29643739
TI - Experiences, expectations and challenges of an interactive mobile phone-based
system to support self-management of hypertension: patients' and professionals'
perspectives.
AB - Background: A well-controlled blood pressure (BP) reduces cardiovascular
complications. Patient participation in care using technology may improve the
current situation of only 13.8% of adults diagnosed with hypertension worldwide
having their BP under control. Objective: The objective of this study was to
explore patients' and professionals' experiences of and expectations for an
interactive mobile phone-based system to support self-management of hypertension.
Methods: The self-management system consists of: 1) a mobile phone platform for
self-reports, motivational messages and reminders; 2) a device for measuring BP
and 3) graphical feedback of self-reports. Patients diagnosed with high BP (n=20)
and their treating professionals (n=7) participated in semi-structured
interviews, after 8 weeks use of the system in clinical practice. Data were
analyzed thematically. Results: The self-reporting of BP, symptoms, medication
use, medication side effects, lifestyle and well-being was perceived to offer
insight into how daily life activities influenced BP and helped motivate a
healthy lifestyle. Taking increased responsibility as a patient, by understanding
factors affecting one's well-being, was reported as an enabling factor for a more
effective care. Based on the experiences, some challenges were mentioned: for
adoption of the system into clinical practice, professionals' educational role
should be extended and there should be a reorganization of care to fully benefit
from technology. The patients and professionals gave examples of further
improvements to the system, for example, related to the visualization of graphs
from self-reports and an integration of the system into the general technical
infrastructure. These challenges are important on the path to accomplishing
adoption. Conclusion: The potential of a more autonomous, knowledgeable and
active patient, through use of the interactive mobile system would improve
outcomes of hypertension treatment, which has been desired for decades.
Documentation and visualization of patients' self-reports and the possibilities
to communicate these with professionals may be a significant resource for person
centered care.
PMID- 29643741
TI - An Improved Test of Equality of Mean Directions for the Langevin-von Mises-Fisher
Distribution.
AB - A multi-sample test for equality of mean directions is developed for populations
having Langevin-von Mises-Fisher distributions with a common unknown
concentration. The proposed test statistic is a monotone transformation of the
likelihood ratio. The high-concentration asymptotic null distribution of the test
statistic is derived. In contrast to previously suggested high-concentration
tests, the high-concentration asymptotic approximation to the null distribution
of the proposed test statistic is also valid for large sample sizes with any
fixed nonzero concentration parameter. Simulations of size and power show that
the proposed test outperforms competing tests. An example with three-dimensional
data from an anthropological study illustrates the practical application of the
testing procedure.
PMID- 29643740
TI - Internal stress and defect-related free volume in submicrocrystalline Ni studied
by neutron diffraction and difference dilatometry.
AB - A combined study of neutron diffraction and difference dilatometry on
submicrocrystalline Ni prepared by high pressure torsion aims at studying the
anisotropic behaviour during dilatometry and its relation to internal stress and
structural anisotropy. Macroscopic stresses were undetectable in the dilatometer
samples. Along with specific tests such as post cold-rolling, this shows that an
observed anisotropic length change upon annealing is not caused by internal
stress, but can be explained by the inherent microstructure, i.e. the anisotropic
annealing of relaxed vacancies at grain boundaries of shape-anisotropic
crystallites.
PMID- 29643742
TI - Effects of interprofessional education for medical and nursing students:
enablers, barriers and expectations for optimizing future interprofessional
collaboration - a qualitative study.
AB - Background: To ensure high quality patient care an effective interprofessional
collaboration between healthcare professionals is required. Interprofessional
education (IPE) has a positive impact on team work in daily health care practice.
Nevertheless, there are various challenges for sustainable implementation of IPE.
To identify enablers and barriers of IPE for medical and nursing students as well
as to specify impacts of IPE for both professions, the 'Cooperative academical
regional evidence-based Nursing Study in Mecklenburg-Western Pomerania' (Care-N
Study M-V) was conducted. The aim is to explore, how IPE has to be designed and
implemented in medical and nursing training programs to optimize students' impact
for IPC. Methods: A qualitative study was conducted using the Delphi method and
included 25 experts. Experts were selected by following inclusion criteria: (a)
ability to answer every research question, one question particularly competent,
(b) interdisciplinarity, (c) sustainability and (d) status. They were purposely
sampled. Recruitment was based on existing collaborations and a web based search.
Results: The experts find more enablers than barriers for IPE between medical and
nursing students. Four primary arguments for IPE were mentioned: (1) development
and promotion of interprofessional thinking and acting, (2) acquirement of shared
knowledge, (3) promotion of beneficial information and knowledge exchange, and
(4) promotion of mutual understanding. Major barriers of IPE are the coordination
and harmonization of the curricula of the two professions. With respect to the
effects of IPE for IPC, experts mentioned possible improvements on (a) patient
level and (b) professional level. Experts expect an improved patient-centered
care based on better mutual understanding and coordinated cooperation in
interprofessional health care teams. To sustainably implement IPE for medical and
nursing students, IPE needs endorsement by both, medical and nursing faculties.
Conclusion: In conclusion, IPE promotes interprofessional cooperation between the
medical and the nursing profession. Skills in interprofessional communication and
roles understanding will be primary preconditions to improve collaborative
patient-centered care. The impact of IPE for patients and caregivers as well as
for both professions now needs to be more specifically analysed in prospective
intervention studies.
PMID- 29643743
TI - Evidence-based approaches to reduce cancer health disparities: Discover, develop,
deliver, and disseminate.
AB - The Texas Center for Health Disparities (TCHD) at the University of North Texas
Health Science Center is a National Institute on Minority Health and Health
Disparities-funded, specialized center of excellence for health disparities. TCHD
organized its 12th annual conference focusing on "Evidence-Based Approaches to
Reduce Cancer Health Disparities: Discover, Develop, Deliver, and Disseminate."
At this conference, experts in health care, biomedical sciences, and public
health gathered to discuss the current status and strategies for reducing cancer
health disparities. The meeting was conducted in three sessions on breast cancer,
prostate cancer, and colorectal cancer disparities, in addition to roundtable
discussions and a poster session. Each session highlighted differences in the
effects of cancer, based on factors such as race/ethnicity, gender, socioeconomic
status, and geographical location. In each session, expert speakers presented
their findings, and this was followed by a discussion panel made up of experts in
that field and cancer survivors, who responded to questions from the audience.
This article summarizes the approaches to fundamental, translational, clinical,
and public health issues in cancer health disparities discussed at the
conference.
PMID- 29643744
TI - Cost-effectiveness of the recommended medical intervention for the treatment of
dysmenorrhea and endometriosis in Japan.
AB - Background and objective: This study aims to assess the cost-effectiveness of
early physician consultation and guideline-based intervention to prevent
endometriosis and/or disease progression using oral contraceptive (OC) and
progestin compared to follow-up of self-care for dysmenorrhea in Japan. Methods:
A yearly-transmitted Markov model of five major health states with four sub
medical states was constructed. Transition probabilities among health and medical
states were derived from Japanese epidemiological patient surveys and converted
to appropriate parameters for inputting into the model. The dysmenorrhea and
endometriosis-associated direct costs included inpatient, outpatient visit,
surgery, and medication (OC agents, over-the-counter drugs), etc. The utility
measure for patients with phase I-IV endometriosis comprised a visual analogue
scale. We estimated the cost per quality-adjusted life year (QALY) at a time
horizon of 23 years. An annual discount rate at 3% for both cost and outcome was
considered. Results: The base case outcomes indicated that the intervention would
be more cost-effective than self-care, as the incremental cost-effectiveness
ratio (ICER) yielded 115,000 JPY per QALY gained from the healthcare payers'
perspective and the societal monetary value (SMV) was approximately positive
3,130,000 JPY, favoring the intervention in the cost-benefit estimate. A tornado
diagram depicting the stochastic sensitivity analysis of the ICER and SMV from
both the healthcare payers' and societal perspectives confirmed the robustness of
the base case. A probabilistic analysis resulting from 10,000-time Monte Carlo
simulations demonstrated efficiency at willingness-to-pay thresholds in more than
90% of the iterations. Conclusions: The present analysis demonstrated that early
physician consultation and guideline-based intervention would be more cost
effective than self-care in preventing endometriosis and/or disease progression
for patients with dysmenorrhea in Japan.
PMID- 29643745
TI - Prospective motion correction for 3D GRASE pCASL with volumetric navigators.
AB - We propose a prospective motion correction approach for background suppressed
(BS) segmented 3D GRASE pCASL using volumetric EPI-based navigators (vNavs),
which causes minimal contrast change and no extra time. vNavs reduced motion
artifacts effectively and increased temporal signal-to-noise ratio (t-SNR).
Principle component analysis (PCA) is able to further reduce residual motion
artifacts and restore the details of gyral structure in perfusion weighted
images..
PMID- 29643746
TI - Bias and SNR of T1 estimates derived from joint fitting of actual flip-angle and
FLASH imaging data with variable flip angles.
AB - Previous work has suggested fitting joint AFI/FLASH data for T1 and B1+ by
minimizing the 2-norm of the difference between the signal model and measurements
will produce unbiased estimates of T1. We demonstrate that, contrary to previous
results, the estimator has a substantial bias that varies with both the true T1
and B1+, and the receive channel count. We also demonstrate that the correct ML
estimator removes the effect of channel count, and that the choice of AFI
protocol has a larger impact of the quality of estimates than the addition of an
extra FLASH scan.
PMID- 29643747
TI - Accurate High-speed 3D-Registration of EPI vNavs for Head Motion Correction.
AB - Low-resolution, whole-head volumes can be acquired rapidly with EPI-based
volumetric navigators (vNavs). vNavs interspersed in a longer scan are widely
used for prospective motion correction in a variety of sequences. To further
improve the accuracy and flexibility of vNavs, we present a novel registration
algorithm, tailored specifically for the vNavs application. Accuracy of the
algorithm is tested on navigator volumes acquired with human volunteers at three
isotropic resolutions, 6.4mm, 8mm, and 10mm, using a series of field of view
(FOV) rotations and translations to provide ground truth rigid "motion".
PMID- 29643748
TI - Randomness in nonlocal games between mistrustful players.
AB - If two quantum players at a nonlocal game G achieve a superclassical score, then
their measurement outcomes must be at least partially random from the perspective
of any third player. This is the basis for device-independent quantum
cryptography. In this paper we address a related question: does a superclassical
score at G guarantee that one player has created randomness from the perspective
of the other player? We show that for complete-support games, the answer is yes:
even if the second player is given the first player's input at the conclusion of
the game, he cannot perfectly recover her output. Thus some amount of local
randomness (i.e., randomness possessed by only one player) is always obtained
when randomness is certified from nonlocal games with quantum strategies. This is
in contrast to non-signaling game strategies, which may produce global randomness
without any local randomness. We discuss potential implications for cryptographic
protocols between mistrustful parties.
PMID- 29643749
TI - Deciding When Less Is More: The Crossroads of Withdrawing Antiepileptic Drugs.
PMID- 29643751
TI - Malformations of Cortical Development: A Structural and Functional MRI
Perspective.
PMID- 29643750
TI - Epilepsy-Specific Citation Classics Revisited.
PMID- 29643752
TI - Predicting a Storm by Watching the Waves.
PMID- 29643753
TI - Reefer to the Rescue: The Dope on Cannabidiol as a Multi-Symptom Panacea for
Dravet Syndrome.
PMID- 29643754
TI - Deja Vu: Same Pattern of Neuron Activation From Seizure to Seizure, Only the
Timing Changes.
PMID- 29643756
TI - Population Viability Analysis of the Endangered Roan Antelope in Ruma National
Park, Kenya, and Implications for Management.
AB - Population viability analysis (PVA) was used to (1) establish causes of roan
population decline for the past 30 years in Ruma National Park (RNP), the only
park where wild roans remain in Kenya, and (2) predict the probability of roan
persistence under existing and alternative management options. PVA was done using
long-term data based on population dynamics, life history, climatic conditions,
and expert knowledge. Poaching was identified as the main cause of roan decline
in RNP. Several antipoaching and prioritized habitat management interventions to
promote population recovery and sustainable conservation of roans are described.
PVA predictions indicated that, without these interventions, the roan population
cannot persist more than 3 decades. Furthermore, ensuring sustainable
conservation of roans in RNP will boost tourism in Western Kenyan and thus
alleviate poverty in this part of the country. Improved income from tourism will
reduce the possible pressures from hunting and give greater incentives for local
people to be actively engaged in roan conservation.
PMID- 29643755
TI - Alpinia Essential Oils and Their Major Components against Rhodnius nasutus, a
Vector of Chagas Disease.
AB - Species of the genus Alpinia are widely used by the population and have many
described biological activities, including activity against insects. In this
paper, we describe the bioactivity of the essential oil of two species of Alpinia
genus, A. zerumbet and A. vittata, against Rhodnius nasutus, a vector of Chagas
disease. The essential oils of these two species were obtained by
hydrodistillation and analyzed by GC-MS. The main constituent of A. zerumbet
essential oil (OLALPZER) was terpinen-4-ol, which represented 19.7% of the total
components identified. In the essential oil of A. vittata (OLALPVIT) the
monoterpene beta-pinene (35.3%) was the main constituent. The essential oils and
their main constituents were topically applied on R. nasutus fifth-instar nymphs.
In the first 10 min of application, OLALPVIT and OLALPZER at 125 MUg/mL provoked
73.3% and 83.3% of mortality, respectively. Terpinen-4-ol at 25 MUg/mL and beta
pinene at 44 MUg/mL provoked 100% of mortality. The monitoring of resistant
insects showed that both essential oils exhibited antifeedant activity. These
results suggest the potential use of A. zerumbet and A. vittata essential oils
and their major constituents to control R. nasutus population.
PMID- 29643757
TI - Conservation Status of the Family Orchidaceae in Spain Based on European,
National, and Regional Catalogues of Protected Species.
AB - This report reviews the European, National, and Regional catalogues of protected
species, focusing specifically on the Orchidaceae family to determine which
species seem to be well-protected and where they are protected. Moreover, this
examination highlights which species appear to be underprotected and therefore
need to be included in some catalogues of protection or be catalogued under some
category of protection. The national and regional catalogues that should be
implemented are shown, as well as what species should be included within them.
This report should be a helpful guideline for environmental policies about
orchid's conservation in Spain, at least at the regional and national level.
Around 76% of the Spanish orchid flora are listed with any figure of protection
or included in any red list, either nationally (about 12-17%) or regionally
(72%).
PMID- 29643758
TI - Prevalence of supplement use in recreationally active Kazakhstan university
students.
AB - Background: Little is known about the supplements use and recreational sport
practices in Kazakhstan university students. Therefore, the aim of this study was
to ascertain supplements use prevalence and their predictors in this population.
Methods: Cross-sectional survey of both undergraduate and graduate level students
was completed in 2017 et al.-Farabi Kazakh National University, the largest
higher institution in the country, from almost all Schools. A 45-item
questionnaire was used to record physical activity, supplements use, lifestyle
attributes (smoking, alcohol, sleep, etc.) and eating habits, and adjusted
regression models were used to verify predictors of supplements use. Results: Of
the entire sample of 889 students (70% females), 526 (59%) were practicing
recreational physical activity (RPA), and walking, jogging and track and field
was the most popular activity type (38%). N = 151 (29%) students reported the use
of any supplement (31% in men and 27% in women), whereas the most popular
supplement type were vitamins. Supplement use was most prevalent in swimmers
(55%). Age (odds ratio (OR) 1.19 (95% confidence interval (CI) 1.04-1.37), use of
fitness tracker (OR 6.26 (95% CI 3.90-10.03)) and low-fat diet (OR 1.95 (95% CI
1.23-3.10)), but not income predicted supplements use in adjusted models.
Conclusions: With more than half of students exercising regularly, only less than
one-third use supplements with a very strong association with fitness tracker
use.
PMID- 29643759
TI - Changes in H-Reflex Recruitment After Trans-Spinal Direct Current Stimulation
With Multiple Electrode Configurations.
AB - Trans-spinal direct current stimulation (tsDCS) is an electro-modulatory tool
with possible application in the rehabilitation of spinal cord injury. TsDCS
generates a small electric field, aiming to induce lasting, functional
neuromodulation in the targeted neuronal networks. Earlier studies have shown
significant modulatory effects after application of lumbar tsDCS. However, for
clinical application, a better understanding of application specific factors is
required. Our goal was to investigate the effect of different electrode
configurations using lumbar spinal tsDCS on spinal excitability. We applied tsDCS
(2.5 mA, 15 min) in 10 healthy subjects with three different electrode
configurations: (1) Anode and cathode placed over vertebra T11, and the posterior
left shoulder respectively (LSC-S) (one polarity), and (2) Both electrodes placed
in equal distance (ED) (7 cm) above and below vertebra T11, investigated for two
polarities (ED-Anodal/Cathodal). The soleus H-Reflex is measured before, during
and after tsDCS in either electrode configuration or a sham condition. To account
for genetic predispositions in response to direct current stimulation, subject
BDNF genotype was assessed. Stimulation in configuration ED-Cathodal induced an
amplitude reduction of the H-reflex, 30 min after tsDCS with respect to baseline,
whereas none of the other configurations led to significant post intervention
effects. BDNF genotype did not correlate with post intervention effects.
Furthermore, we failed to replicate effects shown by a previous study, which
highlights the need for a better understanding of methodological and subject
specific influences on tsDCS outcome. The H-reflex depression after tsDCS
(Config. ED-Cathodal) provides new insights and may foster our understanding of
the working mechanism of tsDCS.
PMID- 29643760
TI - A Comparison of Low-Complexity Real-Time Feature Extraction for Neuromorphic
Speech Recognition.
AB - This paper presents a real-time, low-complexity neuromorphic speech recognition
system using a spiking silicon cochlea, a feature extraction module and a
population encoding method based Neural Engineering Framework (NEF)/Extreme
Learning Machine (ELM) classifier IC. Several feature extraction methods with
varying memory and computational complexity are presented along with their
corresponding classification accuracies. On the N-TIDIGITS18 dataset, we show
that a fixed bin size based feature extraction method that votes across both time
and spike count features can achieve an accuracy of 95% in software similar to
previously report methods that use fixed number of bins per sample while using
~3* less energy and ~25* less memory for feature extraction (~1.5* less overall).
Hardware measurements for the same topology show a slightly reduced accuracy of
94% that can be attributed to the extra correlations in hardware random weights.
The hardware accuracy can be increased by further increasing the number of hidden
nodes in ELM at the cost of memory and energy.
PMID- 29643761
TI - Capacity, Fidelity, and Noise Tolerance of Associative Spatial-Temporal Memories
Based on Memristive Neuromorphic Networks.
AB - We have calculated key characteristics of associative (content-addressable)
spatial-temporal memories based on neuromorphic networks with restricted
connectivity-"CrossNets." Such networks may be naturally implemented in
nanoelectronic hardware using hybrid memristive circuits, which may feature
extremely high energy efficiency, approaching that of biological cortical
circuits, at much higher operation speed. Our numerical simulations, in some
cases confirmed by analytical calculations, show that the characteristics depend
substantially on the method of information recording into the memory. Of the four
methods we have explored, two methods look especially promising-one based on the
quadratic programming, and the other one being a specific discrete version of the
gradient descent. The latter method provides a slightly lower memory capacity (at
the same fidelity) then the former one, but it allows local recording, which may
be more readily implemented in nanoelectronic hardware. Most importantly, at the
synchronous retrieval, both methods provide a capacity higher than that of the
well-known Ternary Content-Addressable Memories with the same number of
nonvolatile memory cells (e.g., memristors), though the input noise immunity of
the CrossNet memories is lower.
PMID- 29643762
TI - Persistent Adult Neuroimmune Activation and Loss of Hippocampal Neurogenesis
Following Adolescent Ethanol Exposure: Blockade by Exercise and the Anti
inflammatory Drug Indomethacin.
AB - Alcohol abuse and binge drinking are common during adolescence, a developmental
period characterized by heightened neuroplasticity. Animal studies reveal that
adolescent ethanol exposure decreases hippocampal neurogenesis that persists into
adulthood, but the mechanism remains to be fully elucidated. Using a rodent model
of adolescent intermittent ethanol (AIE; 5.0 g/kg, i.g., 2-days on/2-days off
from postnatal day [P]25 to P55), we tested the hypothesis that AIE-induced
upregulation of neuroimmune signaling contributes to the loss of hippocampal
neurogenesis in adulthood. We found that AIE caused upregulation of multiple
proinflammatory Toll-like receptors (TLRs), increased expression of
phosphorylated NF-kappaB p65 (pNF-kappaB p65) and the cell death marker cleaved
caspase 3, and reduced markers of neurogenesis in the adult (P80) hippocampus,
which is consistent with persistently increased neuroimmune signaling reducing
neurogenesis. We observed a similar increase of pNF-kappaB p65-immunoreactive
cells in the post-mortem human alcoholic hippocampus, an effect that was
negatively correlated with age of drinking onset. Voluntary wheel running from
P24 to P80 prevented the AIE-induced loss of neurogenesis markers (i.e., nestin
and doublecortin) in the adult hippocampus that was paralleled by blockade of
increased expression of the cell death marker cleaved caspase 3. Wheel running
also prevented the AIE-induced increase of hippocampal pNF-kappaB p65 and
induction of neuroimmune NF-kappaB target genes, including TNFalpha and
IkappaBalpha in the adult brain. Administration of the anti-inflammatory drug
indomethacin during AIE prevented the loss of neurogenesis markers (i.e., nestin
and doublecortin) and the concomitant increase of cleaved caspase 3, an effect
that was accompanied by blockade of the increase of pNF-kappaB p65. Similarly,
administration of the proinflammatory TLR4 activator lipopolysaccharide resulted
in a loss of doublecortin that was paralleled by increased expression of cleaved
caspase 3 and pNF-kappaB p65 in the hippocampal dentate gyrus of CON animals that
mimicked the AIE-induced loss of neurogenesis. Taken together, these data suggest
that exercise and anti-inflammatory drugs protect against adolescent binge
ethanol-induced brain neuroimmune signaling and the loss of neurogenesis in the
adult hippocampus.
PMID- 29643763
TI - No Gender Differences in Egocentric and Allocentric Environmental Transformation
After Compensating for Male Advantage by Manipulating Familiarity.
AB - The present study has two-fold aims: to investigate whether gender differences
persist even when more time is given to acquire spatial information; to assess
the gender effect when the retrieval phase requires recalling the pathway from
the same or a different reference perspective (egocentric or allocentric).
Specifically, we analyse the performance of men and women while learning a path
from a map or by observing an experimenter in a real environment. We then asked
them to reproduce the learned path using the same reference system (map learning
vs. map retrieval or real environment learning vs. real environment retrieval) or
using a different reference system (map learning vs. real environment retrieval
or vice versa). The results showed that gender differences were not present in
the retrieval phase when women have the necessary time to acquire spatial
information. Moreover, using the egocentric coordinates (both in the learning and
retrieval phase) proved easier than the other conditions, whereas learning
through allocentric coordinates and then retrieving the environmental information
using egocentric coordinates proved to be the most difficult. Results showed that
by manipulating familiarity, gender differences disappear, or are attenuated in
all conditions.
PMID- 29643764
TI - The IDH1 Mutation-Induced Oncometabolite, 2-Hydroxyglutarate, May Affect DNA
Methylation and Expression of PD-L1 in Gliomas.
AB - Background: Malignant gliomas are heterogeneous brain tumors with the potential
for aggressive disease progression, as influenced by suppressive immunoediting.
Given the success and enhanced potential of immune-checkpoint inhibitors in
immunotherapy, we focused on the connections between genetic alterations affected
by IDH1 mutations and immunological landscape changes and PDL-1 expression in
gliomas. Methods: Paired surgically resected tumors from lower-grade gliomas
(LGGs) and glioblastomas (GBM) were investigated, and a genetic analysis of
patients' primary tumor samples culled from TCGA datasets was performed. Results:
The results demonstrate that when compared with IDH1-mutant tumors, IDH1 wildtype
tumors represent an immunosuppression landscape and elevated levels of PD-L1
expression. DNA hypo-methylation of the PD-L1 gene, as well as high gene and
protein expressions, were observed in the wildtype tumors. We also found that
quantitative levels of IDH1 mutant proteins were positively associated with
recurrence-free survival (RFS). A key product of the IDH1 mutation (2
hydroxyglutarate) was found to transiently increase DNA methylation and suppress
PD-L1 expression. Conclusions: IDH1 mutations impact the immune landscape of
gliomas by affecting immune infiltrations and manipulating checkpoint ligand PD
L1 expression. Applications of immune checkpoint inhibitors may be beneficial for
chemoradiation-insensitive IDH1-wildtype gliomas.
PMID- 29643765
TI - miRNA Long-Term Response to Early Metabolic Environmental Challenge in
Hypothalamic Arcuate Nucleus.
AB - Epidemiological reports and studies using rodent models indicate that early
exposure to nutrient and/or hormonal challenges can reprogram metabolism at
adulthood. Hypothalamic arcuate nucleus (ARC) integrates peripheral and central
signals to adequately regulate energy homeostasis. microRNAs (miRNAs) participate
in the control of gene expression of large regulatory networks including many
signaling pathways involved in epigenetics regulations. Here, we have
characterized and compared the miRNA population of ARC of adult male rats
continuously exposed to a balanced metabolic environment to the one of adult male
rats exposed to an unbalanced high-fat/high-carbohydrate/moderate-protein
metabolic environment during the perinatal period and/or at adulthood that
consequently displayed hyperinsulinemia and/or hyperleptinemia. We identified
more than 400 miRNA species in ARC of adult male rats. By comparing the miRNA
content of six biological replicates in each of the four perinatal/adult
environments/rat groups, we identified the 10 miRNAs specified by clusters miR
96/182/183, miR-141/200c, and miR-200a/200b/429 as miRNAs of systematic and
uncommonly high variation of expression. This uncommon variation of expression
may underlie high individual differences in aging disease susceptibilities. By
comparing the miRNA content of the adult ARC between the rat groups, we showed
that the miRNA population was not affected by the unbalanced adult environment
while, in contrast, the expression of 11 miRNAs was repeatedly impacted by the
perinatal unbalanced environment. Our data revealed a miRNA response of adult ARC
to early metabolic environmental challenge.
PMID- 29643766
TI - Spatiotemporal Control of GPR37 Signaling and Its Behavioral Effects by
Optogenetics.
AB - Despite the progress in deorphanization of G Protein-Coupled Receptors (GPCRs),
~100 GPCRs are still classified as orphan receptors without identified endogenous
ligands and with unknown physiological functions. The lack of endogenous ligands
triggering GPCR signaling has hampered the study of orphan GPCR functions. Using
GPR37 as an example, we provide here the first demonstration of the
channelrhodopsin 2 (ChR2)-GPCR approach to bypass the endogenous ligand and
selectively activate the orphan GPCR signal by optogenetics. Inspired by the opto
XR approach, we designed the ChR2-GPR37 chimera, in which the corresponding parts
of GPR37 replaced the intracellular portions of ChR2. We showed that optogenetic
activation of ChR2/opto-GPR37 elicited specific GPR37 signaling, as evidenced by
reduced cAMP level, enhanced ERK phosphorylation and increased motor activity,
confirming the specificity of opto-GPR37 signaling. Besides, optogenetic
activation of opto-GPR37 uncovered novel aspects of GPR37 signaling (such as IP-3
signaling) and anxiety-related behavior. Optogenetic activation of opto-GPR37
permits the causal analysis of GPR37 activity in the defined cells and behavioral
responses of freely moving animals. Importantly, given the evolutionarily
conserved seven-helix transmembrane structures of ChR2 and orphan GPCRs, we
propose that opto-GPR37 approach can be readily applied to other orphan GPCRs for
their deorphanization in freely moving animals.
PMID- 29643768
TI - miRNA-431 Prevents Amyloid-beta-Induced Synapse Loss in Neuronal Cell Culture
Model of Alzheimer's Disease by Silencing Kremen1.
AB - Synapse loss is well regarded as the underlying cause for the progressive decline
of memory function over the course of Alzheimer's disease (AD) development.
Recent observations suggest that the accumulation of the Wnt antagonist Dickkopf
1 (Dkk1) in the AD brain plays a critical role in triggering synaptic
degeneration. Mechanistically, Dkk1 cooperates with Kremen1 (Krm1), its
transmembrane receptor, to block the Wnt/beta-catenin signaling pathway. Here, we
show that silencing Krm1 with miR-431 prevents amyloid-beta-mediated synapse loss
in cortico-hippocampal cultures isolated from triple transgenic 3xTg-AD mice.
Exposure to AbetaDDL (an amyloid-beta derived diffusive ligand) or Dkk1 reduced
the number of pre- and post-synaptic puncta in primary neuronal cultures, while
treatment with miR-431 prevented synapse loss. In addition, treatment with miR
431 also prevented neurite degeneration. Our findings demonstrate that miR-431
protects synapses and neurites from Abeta-toxicity in an AD cell culture model
and may be a promising therapeutic target.
PMID- 29643767
TI - Utility of the Hebb-Williams Maze Paradigm for Translational Research in Fragile
X Syndrome: A Direct Comparison of Mice and Humans.
AB - To generate meaningful information, translational research must employ paradigms
that allow extrapolation from animal models to humans. However, few studies have
evaluated translational paradigms on the basis of defined validation criteria. We
outline three criteria for validating translational paradigms. We then evaluate
the Hebb-Williams maze paradigm (Hebb and Williams, 1946; Rabinovitch and
Rosvold, 1951) on the basis of these criteria using Fragile X syndrome (FXS) as
model disease. We focused on this paradigm because it allows direct comparison of
humans and animals on tasks that are behaviorally equivalent (criterion #1) and
because it measures spatial information processing, a cognitive domain for which
FXS individuals and mice show impairments as compared to controls (criterion #2).
We directly compared the performance of affected humans and mice across different
experimental conditions and measures of behavior to identify which conditions
produce comparable patterns of results in both species. Species differences were
negligible for Mazes 2, 4, and 5 irrespective of the presence of visual cues,
suggesting that these mazes could be used to measure spatial learning in both
species. With regards to performance on the first trial, which reflects visuo
spatial problem solving, Mazes 5 and 9 without visual cues produced the most
consistent results. We conclude that the Hebb-Williams mazes paradigm has the
potential to be utilized in translational research to measure comparable
cognitive functions in FXS humans and animals (criterion #3).
PMID- 29643769
TI - The Role of Physical Exercise to Improve the Browning of White Adipose Tissue via
POMC Neurons.
AB - Obesity is a public health issue that affects more than 600 million adults
worldwide. The disease is characterized by fat accumulation, mainly in the
abdominal area. The human body is mainly composed of two types of adipose tissue:
white adipose tissue (WAT) and brown adipose tissue (BAT); however, the browning
process generates a different type of brown fat-like adipocyte in WAT, which
similar to BAT has thermogenic capacity by activating UCP-1. The hypothalamic
arcuate nucleus plays an important role in WAT browning via POMC neurons, which
are influenced by synergistic insulin and leptin signaling. On the other hand,
stimulation of AgRP neurons suppresses WAT browning. The hypothalamic
inflammatory process that occurs in obesity impairs insulin and leptin signaling
in this tissue and, consequently, can decrease WAT browning. In addition,
practicing physical exercise may be a great strategy for triggering the browning
process since it reduces hypothalamic inflammation and increases POMC neurons
gene expression. Moreover, physical exercise stimulates irisin gene expression,
which has an important impact on thermogenesis, which in turn culminates in
increased gene expression of proteins such as UCP-1 and Cidea, which are related
to WAT browning. Furthermore, thermogenetic activation of WAT leads to increased
energy expenditure, favoring obesity treatment. Therefore, this mini-review aimed
to highlight the most recent studies that link the control of hypothalamic
activity with the browning metabolism of adipose tissue in response to physical
exercise.
PMID- 29643770
TI - Neural Control of Startle-Induced Locomotion by the Mushroom Bodies and
Associated Neurons in Drosophila.
AB - Startle-induced locomotion is commonly used in Drosophila research to monitor
locomotor reactivity and its progressive decline with age or under various
neuropathological conditions. A widely used paradigm is startle-induced negative
geotaxis (SING), in which flies entrapped in a narrow column react to a gentle
mechanical shock by climbing rapidly upwards. Here we combined in vivo
manipulation of neuronal activity and splitGFP reconstitution across cells to
search for brain neurons and putative circuits that regulate this behavior. We
show that the activity of specific clusters of dopaminergic neurons (DANs)
afferent to the mushroom bodies (MBs) modulates SING, and that DAN-mediated SING
regulation requires expression of the DA receptor Dop1R1/Dumb, but not
Dop1R2/Damb, in intrinsic MB Kenyon cells (KCs). We confirmed our previous
observation that activating the MB alpha'beta', but not alphabeta, KCs decreased
the SING response, and we identified further MB neurons implicated in SING
control, including KCs of the gamma lobe and two subtypes of MB output neurons
(MBONs). We also observed that co-activating the alphabeta KCs antagonizes
alpha'beta' and gamma KC-mediated SING modulation, suggesting the existence of
subtle regulation mechanisms between the different MB lobes in locomotion
control. Overall, this study contributes to an emerging picture of the brain
circuits modulating locomotor reactivity in Drosophila that appear both to
overlap and differ from those underlying associative learning and memory,
sleep/wake state and stress-induced hyperactivity.
PMID- 29643771
TI - Effective Subnetwork Topology for Synchronizing Interconnected Networks of
Coupled Phase Oscillators.
AB - A system consisting of interconnected networks, or a network of networks (NoN),
appears diversely in many real-world systems, including the brain. In this study,
we consider NoNs consisting of heterogeneous phase oscillators and investigate
how the topology of subnetworks affects the global synchrony of the network. The
degree of synchrony and the effect of subnetwork topology are evaluated based on
the Kuramoto order parameter and the minimum coupling strength necessary for the
order parameter to exceed a threshold value, respectively. In contrast to an
isolated network in which random connectivity is favorable for achieving
synchrony, NoNs synchronize with weaker interconnections when the degree
distribution of subnetworks is heterogeneous, suggesting the major role of the
high-degree nodes. We also investigate a case in which subnetworks with different
average natural frequencies are coupled to show that direct coupling of
subnetworks with the largest variation is effective for synchronizing the whole
system. In real-world NoNs like the brain, the balance of synchrony and
asynchrony is critical for its function at various spatial resolutions. Our work
provides novel insights into the topological basis of coordinated dynamics in
such networks.
PMID- 29643772
TI - Periodic Visual Stimulation Induces Resting-State Brain Network Reconfiguration.
AB - Periodic visual stimulation can evoke the steady-state visual potential (SSVEP)
in the brain. Owing to its superior characteristics, the SSVEP has been widely
used in neural engineering and cognitive neuroscience studies. However, the
underlying mechanisms of the SSVEP are not well understood. In this study, we
introduced a brain reconfiguration methodology to explore the possible mechanisms
of the SSVEP. The EEG data from five periodic stimuli consistently indicated that
the periodic visual stimulation could induce resting-state brain network
reconfiguration and that the responses evoked by the stimuli were correlated to
the network reconfiguration indexes. For each stimulus frequency, larger response
amplitudes corresponded to higher reconfiguration indexes from the resting-state
network to a stimulus-evoked network. These findings demonstrate that an external
periodic visual stimulation can induce the modification of intrinsic oscillatory
activities by reconfiguring resting-state activity at a network level, which
could facilitate the responses evoked by the stimulus. These findings provide new
insights into the response mechanisms of periodic visual stimulation.
PMID- 29643773
TI - Using a Simple Neural Network to Delineate Some Principles of Distributed
Economic Choice.
AB - The brain uses a mixture of distributed and modular organization to perform
computations and generate appropriate actions. While the principles under which
the brain might perform computations using modular systems have been more
amenable to modeling, the principles by which the brain might make choices using
distributed principles have not been explored. Our goal in this perspective is to
delineate some of those distributed principles using a neural network method and
use its results as a lens through which to reconsider some previously published
neurophysiological data. To allow for direct comparison with our own data, we
trained the neural network to perform binary risky choices. We find that value
correlates are ubiquitous and are always accompanied by non-value information,
including spatial information (i.e., no pure value signals). Evaluation,
comparison, and selection were not distinct processes; indeed, value signals even
in the earliest stages contributed directly, albeit weakly, to action selection.
There was no place, other than at the level of action selection, at which
dimensions were fully integrated. No units were specialized for specific offers;
rather, all units encoded the values of both offers in an anti-correlated format,
thus contributing to comparison. Individual network layers corresponded to stages
in a continuous rotation from input to output space rather than to functionally
distinct modules. While our network is likely to not be a direct reflection of
brain processes, we propose that these principles should serve as hypotheses to
be tested and evaluated for future studies.
PMID- 29643774
TI - Corrigendum: The Reality of Myoelectric Prostheses: Understanding What Makes
These Devices Difficult for Some Users to Control.
AB - [This corrects the article on p. 7 in vol. 10, PMID: 27597823.].
PMID- 29643775
TI - Case Report of a Family Affected by Stickler Syndrome in Which Rhegmatogenous
Retinal Detachment Occurred in Five Eyes of Three Siblings.
AB - Purpose: Stickler syndrome is an autosomal dominant inherited disorder that is
well known to be highly associated with the development of rhegmatogenous retinal
detachment. In this study, we report the case of a family affected by Stickler
syndrome in which rhegmatogenous retinal detachment developed in 5 eyes of 3
siblings. Case Series: For treatment, we performed vitreous surgery on 1 eye of
the eldest son, and bilateral scleral buckling surgery on the 2 younger children.
A good postoperative outcome was obtained on the 4 eyes that underwent scleral
buckling surgery, yet the prognosis was poor on the 1 eye that underwent
vitrectomy due to redetachment of the retina and corneal complication. Since
vitreous surgery was quite difficult due to strong vitreoretinal adhesion, we
created an artificial posterior vitreous detachment via the bimanual technique
combined with encircling. For the scleral buckling surgery, broad scleral
extrusion was needed to seal multiple retinal breaks. Conclusions: The findings
of this study showed a high prevalence of rhegmatogenous retinal detachment in a
single family with Stickler syndrome. In these cases, scleral buckling surgery
was effective for treating the detached retina, and some prophylactic treatment,
such as laser photocoagulation to prevent the occurrence of rhegmatogenous
retinal detachment, should be considered for such cases in the future.
PMID- 29643776
TI - Patch Grafting Using an Ologen Collagen Matrix to Manage Tubal Exposure in
Glaucoma Tube Shunt Surgery.
AB - Purpose: To report the results using an ologen Collagen Matrix as a patch graft
in eyes with tubal exposure after tube shunt surgery. Case Reports: Case 1 was an
82-year-old man with tubal exposure in his right eye 26 months after receiving a
Baerveldt glaucoma implant. The tube was covered by surrounding conjunctival
tissue combined with subconjunctival placement of an ologen Collagen Matrix as a
patch graft. Two years after implantation, the tube was not exposed. Anterior
segment optical coherence tomography (AS-OCT) showed dense conjunctival tissue
over the tube. Case 2 was an 82-year-old man with peripheral keratitis, anterior
scleritis, and secondary glaucoma in the right eye who underwent tube shunt
surgery using an Ahmed glaucoma valve and cataract surgery. Intraoperatively,
scleritis-related scleral thinning prevented the tube from being covered fully by
an autologous scleral flap. An ologen Collagen Matrix was placed over the scleral
flap as a patch graft. Seventeen months after implantation, the tube was not
exposed. Case 3 was a 52-year-old man with diabetic maculopathy and steroid
induced glaucoma in the right eye who underwent tube shunt surgery using an Ahmed
glaucoma valve. Intraoperatively, a flap defect prevented the tube from being
covered fully by an autologous scleral flap. An ologen Collagen Matrix was placed
over the scleral flap as a patch graft. Three weeks postoperatively, AS-OCT
showed thick subconjunctival tissue over the tube. Three months after
implantation, the tube was not exposed. Conclusions: The ologen Collagen Matrix
can be used successfully as a patch graft to prevent and treat tubal exposure
after tube shunt surgery.
PMID- 29643777
TI - A Case of Retinal Detachment with Unique Optical Coherence Tomography Findings
after Gamma Knife(r) Radiosurgery Treatment for Choroidal Melanoma.
AB - Purpose: To report a case of retinal detachment with unique optical coherence
tomography (OCT) findings after Gamma Knife(r) (GK; Elekta Instrument AB,
Stockholm, Sweden) treatment for choroidal melanoma (CM). Case Report: A 48-year
old woman underwent GK therapy for CM in her right eye from the macula to the
temporal side. While the tumor subsequently shrank, the patient developed
radiation retinopathy, which was treated with laser photocoagulation. The tumor
lesions later subsided; however, her visual acuity (VA) decreased 8 years after
the initial treatment. Although the tumor lesions in the right eye had become
scarred, a bullous retinal detachment with fixed folds occurred in the superior
nasal quadrants. OCT examination revealed a preretinal membrane, vitreoretinal
traction, and an inner retinal break; however, no outer retinal break was clearly
detectable. MRI scans showed no increase in tumorous lesions, and 123I-IMP SPECT
imaging showed no photon accumulation. Thus, it was determined that there was no
tumor activity. The corrected VA in her right eye was light perception, and it
was determined that there was no indication for vitreous surgery. Conclusion: In
this case, an inner retinal break was formed by the vitreoretinal traction around
the scarred tumor and radiation retinopathy, thus suggesting the possibility of
the development of a rhegmatogenous retinal detachment presumably complicated
with an outer retinal break.
PMID- 29643778
TI - Phthirus pubis Infestation of the Eyelids Presenting as Chronic
Blepharoconjunctivitis in a 6-Year-Old Girl: A Case Report.
AB - Purpose: To report the case of Phthirus pubis infestation of the eyelashes
presenting as chronic blepharoconjunctivitis. Case Report: A 6-year-old girl
presented with a 2-month history of blepharoconjunctivitis unresponsive to
topical antibiotics in the left eye. Slit-lamp examination revealed the presence
of nits and adult parasites on the eyelashes. Parasitological examination
confirmed adult forms and nits of Phthirus pubis. There was no evidence of
infestation elsewhere. Outcome was favourable with mechanical removal and
application of petroleum jelly. Conclusion: Careful slit-lamp examination of the
eyelashes should be done in all patients presenting with ocular irritation
symptoms.
PMID- 29643779
TI - The Safety and Effectiveness of a Novel Annular Keratopigmentation Method: A Case
Report.
AB - Background: We investigated the safety and effectiveness of a novel aesthetic
femtosecond-assisted annular keratopigmentation technique. Case Report: A 21-year
old female patient in good general and ophthalmological health with the wish to
change the colour of her eyes was treated with a femtosecond-assisted annular
keratopigmentation technique. Pigment was inserted in a channel in the cornea
(external diameter 9.3 mm; internal diameter 5.5 mm) created with a femtosecond
laser at a depth of 225 MUm. Eight months post-operatively, there were no signs
of leakage, diffusion, inflammation or any other detrimental effects on the
cornea both objectively and subjectively. Conclusion: This procedure is a
promising safe and effective option for those who want annular keratopigmentation
for aesthetic reasons.
PMID- 29643780
TI - Intravenous Drug Use-Associated Scopulariopsis Endophthalmitis Treated with
Systemic and Intravitreal Voriconazole.
AB - Purpose: To report a case of intravenous (i.v.) heroin use-associated endogenous
endophthalmitis caused by Scopulariopsis fungal species, and its response to
intravitreal and oral voriconazole treatments. Patient: A 21-year-old-female with
chronic hepatitis C and i.v. heroin use presented with subacute decreased vision
to hand motion in her left eye. Results: Endogenous fungal endophthalmitis caused
by Scopulariopsis was confirmed by vitreous biopsy. The patient improved
clinically after vitrectomy with intravitreal voriconazole and 3 weeks of oral
voriconazole. The final vision was 20/60 after 6 months. Conclusions:
Scopulariopsis is a rare cause of endophthalmitis, and is often difficult to
treat due to its resistance to commonly used antifungals. This case is the first
report of Scopulariopsis endophthalmitis secondary to i.v. drug use.
PMID- 29643781
TI - Two Cases of Rhegmatogenous Retinal Detachment Associated with Asteroid Hyalosis.
AB - Background: To report two cases of rhegmatogenous retinal detachment (RRD)
associated with asteroid hyalosis (AH). Case Presentation: Two patients presented
with RRD originating from a flap tear. Case 1 involved a 62-year-old male who was
found to have bullous RRD in his left eye originating from a flap tear. During
vitreous surgery, a thick vitreous cortex was found to have strongly adhered to
the entire retinal surface, from the center to the periphery. A bimanual method
was then used in conjunction with the vitrectomy to create an artificial
posterior vitreous detachment. After surgery, the retina was successfully
reattached, and his corrected visual acuity (VA) improved. Case 2 involved a 70
year-old male who was found to have localized RRD in his left eye originating
from a flap tear. During vitreous surgery, a thick vitreous cortex was found to
have strongly adhered to the entire retinal surface. After surgery, the retina
was successfully reattached, and his corrected VA improved. Conclusions: RRD
associated with AH presents with stronger vitreoretinal adhesion compared to
typical RRD, thus requiring a more complicated surgical technique to properly
treat the patient.
PMID- 29643782
TI - Repair of Traumatic Rhegmatogenous Retinal Detachment Combined with Congenital
Falciform Retinal Detachment.
AB - Purpose: To report a case of surgical repair of traumatic rhegmatogenous retinal
detachment combined with congenital falciform retinal detachment (FRD). Methods:
A retrospective case report. Results: A 36-year-old man with traumatic
rhegmatogenous retinal detachment complicating a previously known FRD was
successfully treated despite residual FRD following pars plana lensectomy,
vitrectomy, and encircling scleral buckling. His best corrected visual acuity
improved from hand motion at 50 cm to 20/1,000. Conclusion: We concluded that the
root of the FRD is susceptible to trauma because of the contraction of
fibrovascular tissue. The early intervention of modern vitrectomy to traumatic
rhegmatogenous retinal detachment complicating a previously known FRD is an
important consideration for enhanced quality of care and optimal patient
outcomes.
PMID- 29643783
TI - Tamoxifen Use in a Patient with Idiopathic Macular Telangiectasia Type 2.
AB - Crystalline deposits and neurosensory retinal cavitary changes can develop in
both tamoxifen retinopathy and nonproliferative idiopathic macular telangiectasia
type 2 (MacTel2). MacTel2 is typically differentiated from tamoxifen retinopathy
based on the presence of late leakage and mid-phase telangiectatic vessels on
fluorescein angiography (FA) and the presence of hyperautofluorescence. Unlike
MacTel2, tamoxifen retinopathy is known to be a progressive disease and the
cessation of tamoxifen results in resolution of retinopathy. We report a unique
case of nonproliferative MacTel2 in a 36-year-old Hispanic woman with tamoxifen
use and the vision outcome 30 months after cessation of tamoxifen. The FA and
optical coherence tomography angiography findings of this patient support the
diagnosis of MacTel2, but her cessation of tamoxifen led to partial reversal of
the topographic findings and improvement in visual acuity. This patient is also
unique in the unusually young age of presentation for MacTel2. Our case supports
that there are common pathways in the pathogenesis of tamoxifen retinopathy and
MacTel2, and tamoxifen use could potentially accelerate foveal atrophy in
patients with MacTel2.
PMID- 29643784
TI - Clinical Efficacy and Safety of the EX-PRESS Filtration Device in Patients with
Advanced Neovascular Glaucoma and Proliferative Diabetic Retinopathy.
AB - Background: The prognosis of conventional filtration surgery in eyes with
neovascular glaucoma (NVG) is limited due to increased fibrovascular
proliferation or bleeding. This study aims to evaluate the safety and efficacy of
the EX-PRESS filtration device in the management of NVG associated with
proliferative diabetic retinopathy (PDR). Methods: In this retrospective case
series, we reviewed the medical records of patients diagnosed as having NVG
associated with PDR who underwent EX-PRESS filtration surgery. The main outcome
measures were: postoperative intraocular pressure (IOP), the percent of IOP drop,
the number of glaucoma medications, visual acuity, and complications of surgery.
Successful surgery was defined as an IOP <22 mm Hg and >5 mm Hg with or without
additional glaucoma surgery, and no loss of light perception or less than a 2
line decrease on the Snellen chart of the best corrected visual acuity (BCVA).
Results: Five patients (5 eyes) were included in this study. The mean
preoperative IOP was 33.4 +/- 5.9 mm Hg compared to an IOP of 17.0 +/- 3.0 mm Hg
at the last follow-up (p = 0.003). The mean number of preoperative anti-glaucoma
medications was 3.8 +/- 0.4 compared to 2.2 +/- 1.5 (p = 0.06) at the last follow
up visit. Final visual acuity improved or stabilized within 1 Snellen line in all
5 patients. Three patients had a "hypertensive phase" (defined as an IOP >21 mm
Hg during the first 6 postoperative months) which resolved within 2 months. Two
patients developed a hyphema that resolved spontaneously. None of the patients
experienced any serious complications. Conclusion: EX-PRESS filtration device has
a good IOP-lowering effect and a low rate of complications in patients with
advanced NVG associated with PDR. In addition, there was no loss of light
perception or no line decrease of the BCVA.
PMID- 29643785
TI - Periorbital Necrobiotic Xanthogranuloma Successfully Treated with Intravenous
Immunoglobulin.
AB - Background: Necrobiotic xanthogranuloma (NXG) is a rare non-Langerhans
histiocytosis with cutaneous manifestations, most commonly of the periorbital
skin, and is often associated with hematologic disorders such as monoclonal
gammopathy. Treatment of NXG is notoriously difficult, and fraught with
recurrence and progression. Case Presentation: The authors describe a case of NXG
with periorbital involvement in a patient with a complex autoimmune and
hematologic medical history. The biopsy of this rare lesion prompted subsequent
evaluation for an underlying disorder, which led to the diagnosis of multiple
myeloma. Her NXG lesions demonstrated remarkable clinical improvement after
treatment with intravenous immunoglobulin (IVIG). Conclusions: This case
demonstrates the ophthalmologist's critical role in the diagnosis and management
of NXG, as early detection cannot only prevent ophthalmic consequences such as
ocular perforation and blindness, but also prompt further investigation that may
reveal an underlying disorder or systemic involvement, including hematologic
malignancy as in this case. NXG has been effectively treated with IVIG in a
handful of reported cases. To the author's knowledge, this is the third case of
periorbital NXG successfully treated with IVIG, and the first in the ophthalmic
literature.
PMID- 29643786
TI - Association of Optic Nerve Head Drusen with Best Vitelliform Macular Dystrophy: A
Case Series.
AB - Purpose: To report the association of optic nerve head (ONH) drusen with Best
vitelliform macular dystrophy (BVMD). Methods: Chart review. Patients: Five
patients from 3 families. Results: Multimodal imaging and ophthalmic examination
demonstrated findings consistent with ONH drusen, in association with BVMD, in 5
patients. Conclusion: We report the association of BVMD with ONH drusen in 5
patients. This combination has previously been reported only once. We recommend
that patients with a diagnosis of BVMD undergo autofluorescence and ultrasound
imaging of the optic nerve to help facilitate this diagnosis, as some ONH drusen
can be buried.
PMID- 29643787
TI - Optical Coherence Tomography Angiography of Foveal Neovascularization in Diabetic
Retinopathy.
AB - Purpose: To report a case of foveal neovascularization in a patient with
proliferative diabetic retinopathy as seen on optical coherence tomography
angiography (OCT-A). Methods: Multimodal imaging was used for diagnostic
investigation. Patient: A 61-year-old male with a 16-year history of insulin
dependent diabetes mellitus was referred to our medical retina department for
examination and management. Meticulous fundus examination and multimodal imaging
revealed proliferative diabetic retinopathy lesions, including neovascularization
located in the foveal area. Results: OCT-A allowed us to detect the neovascular
lesion, confirm that it originated from perifoveal capillaries, estimate its
retinal depth, and evaluate the vessel blood flow in multiple layers. Conclusion:
To the best of our knowledge this is the first report of OCT-A imaging of foveal
neovascularization in diabetic retinopathy. OCT-A is a very useful examination
for the diagnostic investigation of patients with diabetic retinopathy.
PMID- 29643788
TI - Polypoidal Choroidal Vasculopathy Associated with Optic Disc Coloboma.
AB - Purpose: To report a case of polypoidal choroidal vasculopathy associated with
optic disc coloboma. Methods: Case report. Results: A 50-year-old woman presented
with optic disc coloboma and retinochoroidal coloboma associated with subretinal
hemorrhage and serous retinal detachment (SRD) in her left eye. Optical coherence
tomography (OCT) confirmed SRD at the macula and showed a sharply elevated
retinal epithelial detachment at the choroidal excavation. OCT also revealed
choroidal cavitation along the temporal side of the optic coloboma. Fluorescein
angiography showed hyperfluorescent dye leakage and indocyanine green angiography
revealed polypoidal lesions. We diagnosed polypoidal choroidal vasculopathy
(PCV). PCV was located at the end of the choroidal cavitation. Her left eye was
treated with an intraocular injection of the anti-vascular endothelial growth
factor aflibercept (2 mg). Photodynamic therapy was performed using the standard
protocol 1 week after the intravitreal application of aflibercept. One month
after the combined treatment, OCT showed completely resolved SRD and her symptoms
disappeared. Her best-corrected visual acuity remained stable and no recurrence
was found during a 12-month follow-up period. Conclusion: PCV associated with
optic disc coloboma has not been previously reported. The morphological
abnormality of choroidal cavitation and choroidal excavation connecting with
optic disc coloboma may contribute to the development of PCV in this case.
PMID- 29643789
TI - Alternating Hypotropia with Pseudoptosis: A New Phenotype of Congenital Cranial
Dysinnervation Disorder.
AB - Congenital cranial dysinnervation disorders, also known as CCDDs, are
characterized by aberrant innervation to extraocular and facial muscles resulting
in unusual forms of incomitant strabismus. Anomalous innervation to extraocular
muscles can result in a wide variety of phenotypes causing various clinical
conditions such as Duane syndrome, congenital fibrosis of the extraocular
muscles, and Mobius syndrome. We report a case of bilateral dysinnervation
disorder causing atypical ocular movements in both eyes as the patient changes
fixation from one eye to the other and from right gaze to left gaze that fits
with the wider diagnosis of CCDDs.
PMID- 29643790
TI - A Case of Fundus Oculi Albinoticus Diagnosed as Angelman Syndrome by Genetic
Testing.
AB - Purpose: To report a case of fundus oculi albinoticus diagnosed as Angelman
syndrome (AS) via genetic testing. Case Report: This study reports on a 4-year
old boy. Since he had been having respiratory disturbance since birth, he
underwent a complete physical examination to investigate the cause. The results
indicated that he had various brain congenital abnormalities, such as a thin
corpus callosum, as well as hydronephrosis, an atrial septal defect, and skin
similar to patients with fundus oculi albinoticus. Examination revealed bilateral
fundus oculi albinoticus, mild iridic hypopigmentation, optic atrophy, and poor
visual tracking. Genetic testing revealed a deletion in the Prader-Willi
syndrome/AS region on chromosome 15, and together with the results of methylation
analysis, his condition was diagnosed as AS. Follow-up examinations revealed no
change in the fundus oculi albinoticus and optic atrophy, nor did they indicate
poor visual tracking. Conclusions: When fundus oculi albinoticus and optic
atrophy are observed in patients with multiple malformations, AS should be
considered as a differential diagnosis.
PMID- 29643791
TI - Autologous Transplantation of the Internal Limiting Membrane for Refractory
Macular Hole following Ruptured Retinal Arterial Macroaneurysm: A Case Report.
AB - Purpose: To report a case of macular hole (MH) secondary to a retinal arterial
macroaneurysm (RAMA) which was successfully treated with an autologous
transplantation of internal limiting membrane (ILM). Case Report: An 87-year-old
female presented with a sudden decrease in central vision in the right eye. A
fundus examination revealed a RAMA in the superonasal macular region, a
subretinal hemorrhage (SRH), involving the macula, and a sub-ILM hemorrhage. A
pars plana vitrectomy (PPV) was performed. Intraoperatively, an MH filled with
coagulum was detected. We tried to blow off and drain the SRH with a current of
BSS and a gentle suction with a 27-gauge vitreous cutter from the MH, but some
amount of SRH at the bottom of the MH remained. The ILM was peeled off for 2 disc
diameters around the MH. The vitreous cavity was filled with air at the end of
the operation. Two weeks after the surgery, the MH was not closed. One month
following the initial PPV, a second PPV was performed to achieve closure of the
MH. Results: An autologous transplantation of ILM was performed as second PPV.
Six months after the final surgery, the MH was successfully closed and the best
corrected decimal visual acuity was 0.6. Conclusions: Autologous ILM
transplantation can be an effective treatment option for MH closure following
RAMA rupture.
PMID- 29643792
TI - A Case of Neurotrophic Keratopathy Associated with Nasopharyngeal Carcinoma.
AB - Purpose: To report a case of neurotrophic keratopathy associated with
nasopharyngeal carcinoma. Case Report: A 59-year-old man who had been diagnosed
with a nasopharyngeal carcinoma was referred to the authors because of visual
disturbance and pain in his right eye. Slit-lamp examination revealed a corneal
epithelial defect and corneal stromal edema surrounding the epithelial defect
area in his right eye. Magnetic resonance imaging showed a mass in his cavernous
sinus, which was identified as nasopharyngeal carcinoma (NPC). We diagnosed
neurotrophic keratopathy associated with NPC and initiated treatment with
preservative-free artificial tears, antibiotic eye drops, fibronectin, a
therapeutic contact lens, and amniotic membrane transplantation. However, the
persistent corneal epithelial defect was unresponsive to these treatments.
Conclusion: Neurotrophic keratopathy secondary to NPC is thought to be rare. We
presented a case of neurotrophic keratopathy associated with cavernous sinus
metastasis of an NPC. The development of new and more effective treatments for
this refractory disease is anticipated.
PMID- 29643793
TI - Central Serous Chorioretinopathy Associated with Desmopressin Nasal Spray:
Causality or Unfortunate Association.
AB - Purpose: To describe the possible association between central serous
chorioretinopathy (CSCR) and desmopressin use. Methods: The case histories of 2
middle-aged men with CSCR using desmopressin nasal spray were studied. Results:
The diagnosis of CSCR was made on the basis of clinical features and ancillary
testing (fluorescein angiography and optical coherence tomography). Both patients
were using desmopressin nasal spray for polyuria when they developed the first
ocular symptoms. Both of them also had an independent risk factor for developing
CSCR. Conclusion: We suggest that desmopressin-induced hypercortisolism might
implicate the development of CSCR in some patients. A larger study on patients
using desmopressin nasal spray would be beneficial to confirm the possible
association between this form of therapy and the development of CSCR.
PMID- 29643794
TI - Isolated Medial Orbital Wall Fracture Associated with Enophthalmos in a
Paediatric Patient: An Unusual Presentation.
AB - Purpose: To report a case of isolated medial orbital wall fracture with
enophthalmos in a paediatric patient and describe the clinical presentation and
findings by means of computed tomography (CT) of the head and eyes. Methods: We
looked at the patient's medical and ophthalmologic history, and an ophthalmologic
examination and a CT of the head were performed at baseline. Results: A 14-year
old boy was admitted to the emergency department of our institution with
ecchymosis of his right eyelids secondary to a sport accident. Physical
examination revealed a moderate limitation of upgaze without diplopia. CT showed
a medial orbital wall fracture without haemorrhage and a gross accumulation of
air in the right eyelid with pressure exertion over the right globe and
enophthalmos. The patient was treated conservatively with oral antibiotics and
steroids showing dramatic improvement within 1 week. Enophthalmos and periorbital
emphysema were completely resolved within 3 months after the accident as
indicated by CT. Conclusions: We conclude that surgical intervention and
intravenous treatment are not warranted in similar cases of medial orbital wall
fracture. Medical history, clinical and paraclinical evaluations, and a regular
follow-up, including CT, are needed though to avoid complications such as painful
abduction, horizontal diplopia, pseudo sixth nerve paresis, or pseudo Duane.
PMID- 29643795
TI - Ocular Injury due to Potassium Permanganate Granules.
AB - Purpose: We report a rare case of ocular injury due to potassium permanganate
(KMnO4) granules in a child. Methods: This is a retrospective case report.
Results: A 2-year-old boy was transferred to our emergency room with severe pain
in his right eye, inflamed eyelids, and brownish stains on his fingers. Chemical
injury was suspected. Copious eye irrigation was immediately performed. Diffuse
brownish splotches were then observed at the inferior bulbar conjunctiva.
Otherwise, systemic organs were intact. Complete eye exam under general
anesthesia revealed a 5-mm epithelial defect at the central cornea, along with
generalized conjunctival injection and limbal ischemia, inferiorly. Multiple semi
dissolved granules of KMnO4 trapped in the inferior fornix were identified. The
chemical particles were gradually washed out and removed; however, the brownish
stains remained. The patient received preservative-free steroid, antibiotic eye
drops, and lubricants as regular management for mild to moderate degree of ocular
burn. Pseudomembrane developed early and transformed into symblepharon within a
few days after the injury. Membrane adhesion was lysed, and more aggressive
medications were then substituted. Commercial amniotic membrane (PROKERA(r)) was
also applied to promote wound healing and to prevent recurrence of symblepharon.
The ocular surface was eventually restored, and corneal transparency was
preserved. Conclusion: Ocular injury with the granular form of KMnO4 is rare. Its
toxicity is comparable to concentrated KMnO4 solution. However, the dissolved
particles that had been absorbed in the stained conjunctiva were continuously
released and damaged the ocular surface more than we primarily anticipated.
Awareness of this condition and prompt management yield a good treatment outcome.
PMID- 29643796
TI - A Rare Form of Corneal Opacity Associated with Spondyloepiphyseal Dysplasia
Congenita.
AB - A 13-year-old Japanese female diagnosed with spondyloepiphyseal dysplasia
congenita (SEDC) was referred for ophthalmologic evaluation. Examination with
slit-lamp and optical coherence tomography revealed bilateral thin cornea with
diffuse corneal opacity which was localised at the posterior stromal depth in the
central cornea. Unlike the two previously reported cases of diffuse and nodular
patterns of corneal opacity in SEDC, the current case exhibited a rare form of
corneal opacity. SEDC is one of the type II collagenopathies, characterised by
dwarfism because the mutations in COL2A1 prevent bone growth. Although the
existence of type II collagen has not been reported in the human corneal stroma,
the aetiology of the opacity in the corneal stroma in SEDC type II collagenopathy
is of interest.
PMID- 29643797
TI - Uneventful Anterior Migration of Intravitreal Ozurdex Implant in a Patient with
Iris-Sutured Intraocular Lens and Descemet Stripping Automated Endothelial
Keratoplasty.
AB - Purpose: We report here the case of a patient with anterior segment migration of
intravitreal dexamethasone implant as well as its management and outcome.
Methods: The patient had the following sequence of events: complicated cataract
surgery, iris-sutured intraocular lens implant, followed by cystoid macular edema
treated with intravitreal Avastin, retinal vein occlusion treated with
intravitreal dexamethasone implant, corneal decompensation treated with Descemet
stripping automated endothelial keratoplasty (DSAEK), and finally recurrence of
macular edema treated with repeated intravitreal dexamethasone implant. Results:
Dexamethasone implant had completely dissolved from the eye 12 weeks after
insertion without any complication. Conclusion: A conservative approach with
regular monitoring in the situation of a quiet anterior segment without any
corneal decompensation can provide enough time for the implant to dissolve
without causing any complication to the involved eye, avoiding any additional
surgical intervention, as presented in this case report. Despite the fact that
the implant was left for natural dissolution, there were no adverse effects
related to the graft or the eye.
PMID- 29643798
TI - Rapid Capsular Contraction with Secondary Intraocular Lens Dislocation Associated
with Unspecified Rod-Cone Dystrophy: A Case Report.
AB - Purpose: We report an unusual case of rapid and severe anterior capsular
contraction associated with secondary intraocular lens (IOL) dislocation
following cataract surgery in a patient with unspecified rod-cone dystrophy. Case
Report: A 68-year-old woman with a history of uncharacterized bilateral rod-cone
dystrophy presented with blurry vision 1 month after cataract surgery. Best
corrected visual acuity was 20/40 in the operative eye. Slit-lamp exam showed
severe anterior capsular phimosis limiting view of the fundus. Our patient
underwent 2 sessions of Nd:YAG anterior capsulotomy with limited success. Limited
anterior vitrectomy was then performed without success due to densely adherent
capsular tissue to the anterior surface of the IOL and additional secondary IOL
dislocation. She ultimately underwent pars plana vitrectomy, removal of the
capsular bag, and IOL exchange with a scleral fixated IOL. Conclusion: Rapid and
severe anterior capsular contraction following cataract surgery is rare but
appears to be associated with rod-cone dystrophy.
PMID- 29643799
TI - Optical Coherence Tomography Examination of the Anterior Segment in a Case of
Corneal Perforation and Lens Trauma by Chestnut Burr.
AB - Chestnut burrs, the thorny encapsulation of chestnut fruit, can sometimes cause
corneal injuries and ulceration, with poor prognoses. We report a case of corneal
perforation and damaged anterior lens capsule due to a chestnut burr, using
anterior segment optical coherence tomography (AS-OCT). A 67-year-old woman with
a chestnut burr injury in her right eye was referred to our hospital. Her right
best-corrected visual acuity (BCVA) was 0.8. Slit-lamp examination and AS-OCT
showed perforation involving the endothelial layer at the center of the cornea.
The iris and anterior lens capsule were damaged. Cell infiltration was observed
around the wound. Bacterial examination showed gram-positive cocci but no fungi.
The patient was diagnosed with a corneal perforation and bacterial keratitis.
Levofloxacin 1.5% and cefmenoxime treatments were initiated and a soft contact
lens was placed to seal the wound. On day 3, there was no improvement in the
corneal cell infiltration, but AS-OCT suggested that the inner wound had closed.
A culture test revealed the presence of Propionibacterium acnes, which was
sensitive to both levofloxacin and cefmenoxime. Therefore, we continued the same
antibiotic treatment. On day 26, the opacification and cell infiltration at the
center of the cornea had improved. AS-OCT showed healing of the corneal wound
with reduction in the central corneal thickness. Her BCVA improved to 1.0. AS-OCT
was a valuable tool to noninvasively observe wound shape and detect the presence
of any intracorneal foreign bodies.
PMID- 29643800
TI - Interaction of Norepinephrine and Glucocorticoids Modulate Inhibition of
Principle Cells of Layer II Medial Entorhinal Cortex in Male Mice.
AB - Spatial memory processing requires functional interaction between the hippocampus
and the medial entorhinal cortex (MEC). The grid cells of the MEC are most
abundant in layer II and rely on a complex network of local inhibitory
interneurons to generate spatial firing properties. Stress can cause spatial
memory deficits in males, but the specific underlying mechanisms affecting the
known memory pathways remain unclear. Stress activates both the autonomic nervous
system and the hypothalamic-pituitary-adrenal axis to release norepinephrine (NE)
and glucocorticoids, respectively. Given that adrenergic receptor (AR) and
glucocorticoid receptor (GR) expression is abundant in the MEC, both
glucocorticoids and NE released in response to stress may have rapid effects on
MEC-LII networks. We used whole-cell patch clamp electrophysiology in MEC slice
preparations from male mice to test the effects of NE and glucocorticoids on
inhibitory synaptic inputs of MEC-LII principal cells. Application of NE (100
MUM) increased the frequency and amplitude of spontaneous inhibitory post
synaptic currents (sIPSCs) in approximately 75% of the principal cells tested.
Unlike NE, bath application of dexamethasone (Dex, 1 MUM), a synthetic
glucocorticoid, or corticosterone (1 MUM) the glucocorticoid in rodents, rapidly
decreased the frequency of sIPSCs, but not miniature (mIPSCs) in MEC-LII
principal cells. Interestingly, pre-treatment with Dex prior to NE application
led to an NE-induced increase in sIPSC frequency in all cells tested. This effect
was mediated by the alpha1-AR, as application of an alpha1-AR agonist,
phenylephrine (PHE) yielded the same results, suggesting that a subset of cells
in MEC-LII are unresponsive to alpha1-AR activation without prior activation of
GR. We conclude that activation of GRs primes a subset of principal cells that
were previously insensitive to NE to become responsive to alpha1-AR activation in
a transcription-independent manner. These findings demonstrate the ability of
stress hormones to markedly alter inhibitory signaling within MEC-LII circuits
and suggest the intriguing possibility of modulation of network processing
upstream of the hippocampus.
PMID- 29643801
TI - Prevalence of and Risk Factors for Cognitive Impairment Among Elderly Without
Cardio- and Cerebrovascular Diseases: A Population-Based Study in Rural China.
AB - This study aimed to evaluate the prevalence of cognitive impairment and the
distribution of its risk factors among residents aged >=60 years without
cardiovascular and cerebrovascular diseases in rural areas of northern China
screened with the Chinese version of the Mini-Mental State Examination (MMSE).
Between 2012 and 2013, a questionnaire survey was conducted to collect basic
information from participants. Cognitive function was assessed using the MMSE. In
the univariate analysis, risk factors for cognitive disorders were female sex,
low education and central obesity, while drinking was found to be a protective
factor. In the multivariate analysis, risk factors were old age (odds ratio [OR],
1.888; 95% confidence interval [CI]: 1.256-2.838; P = 0.002 for the 70-year-old
group compared with the 60-year-old group; OR, 3.593; 95% CI, 2.468-5.230; P <
0.001 for the >=75-year-old group compared with the 60-year-old group), low
education (OR, 3.779; 95% CI: 2.218-6.440; P < 0.001 for the illiterate group
compared with the group with >=9 years of education; OR, 1.667; 95% CI, 1.001
2.775; P = 0.05 for the group with less than primary school compared with the
group with >=9 years of education), and higher blood pressure (BP; OR, 1.655; 95%
CI: 1.076-2.544; P = 0.002 for individuals with stage III hypertension compared
with those with normal BP). These findings suggest that it is crucial to manage
and control level of BP, and improve educational attainment in order to reduce
the prevalence and burden of cognitive impairment among low-income residents in
rural China.
PMID- 29643804
TI - NSAID Exposure and Risk of Alzheimer's Disease: An Updated Meta-Analysis From
Cohort Studies.
AB - Background: Initial observational studies and a systematic review published
recently have suggested that non-steroidal anti-inflammatory drug (NSAID) use has
the trend to be associated with reduced risk of Alzheimer's disease (AD), while
results remain conflicting. Thus, we performed an updated meta-analysis to
reevaluate the evidence on this association. Methods: Data sources from PUBMED,
Embase and Cochrane Library from inception through April 2017 were searched by
two independent reviewers. Eligible cohort studies were selected according to
predefined keywords. We did a meta-analysis of available study data using a
random-effects model to calculate overall relative risks (RRs) for associations
between NSAID exposure and AD risk. Results: From 121 potentially relevant
studies, 16 cohort studies including 236,022 participants, published between 1995
and 2016, were included in this systematic review. Meta-analysis demonstrated
that current or former NSAID use was significantly associated with reduced risk
of AD (RR, 0.81, 95% CI0.70 to 0.94) compared with those who did not use NSAIDs.
This association existed in studies including all NSAID types, but not in aspirin
(RR, 0.89, 95% CI 0.70 to 1.13), acetaminophen (RR, 0.87, 95% CI 0.40 to 1.91) or
non-aspirin NSAID (RR, 0.84, 95% CI 0.58 to 1.23). Conclusions: Current evidence
suggests that NSAID exposure might be significantly associated with reduced risk
of AD. However, further large-scale prospective studies are needed to reevaluate
this association, especially the associations in individual NSAID type.
PMID- 29643803
TI - Association Between MicroRNAs Polymorphisms and Risk of Ischemic Stroke: A Meta
Analysis in Chinese Individuals.
AB - Objective: Previous studies have demonstrated that some single-nucleotide
polymorphisms (SNPs) in miRNAs are related to the risk of ischemic stroke (IS),
but the conclusions are still controversial and inconclusive. We performed this
meta-analysis to further assess the association between miR-146a C>G (rs2910164),
miR-149 T>C (rs2292832), miR-196a2 T>C (rs11614913), miR-499 A>G (rs3746444) and
risk of IS in Chinese individuals. Methods: Relevant studies were identified in
the databases of PubMed, Embase. The strength of correlation between microRNAs
polymorphisms and IS risk was assessed by odds ratios (ORs) and 95% confidence
intervals (95% CIs) under five genetic models. Results: 5 studies, containing
2,632 cases and 3,191 controls, were included in this meta-analysis. The overall
results of meta-analysis indicated that there were no significant association
between miR-146a C>G (rs2910164), miR-149 T>C (rs2292832), miR-196a2 T>C
(rs11614913), and the IS risk in the overall analyses. MiR-499 A>G (rs3746444)
was associated with an increased IS risk under allele model (OR = 1.30, 95% CI =
1.02-1.66), heterozygous model (OR = 1.35, 95% CI = 1.01-1.79) and dominant model
(OR = 1.36, 95% CI = 1.02-1.80) in Chinese. The sensitivity analysis results of
these four polymorphisms were similar to the overall results. Conclusion: MiR-499
A>G (rs3746444) G allele and AG, AG + AA genotype might be risk factors of IS in
Chinese. No significant association was observed between miR-146a C>G
(rs2910164), miR-149 T>C (rs2292832), miR-196a2 T>C (rs11614913), and IS risk.
The associations may be different due to geographical factors of China. More
explorations in more diverse geographically regions with large sample size are
expected to further verify the findings in the future.
PMID- 29643802
TI - The Beneficial Effects of Cognitive Training With Simple Calculation and Reading
Aloud (SCRA) in the Elderly Postoperative Population: A Pilot Randomized
Controlled Trial.
AB - Background: There has been little research conducted regarding cognitive
treatments for the elderly postsurgical population. Patients aged >=60 years have
an increased risk of postoperative cognitive decline, a condition in which
cognitive functions are negatively affected. This cognitive decline can lead to a
decline in quality of life. In order to maintain a high quality of life, the
elderly postsurgical population may benefit from treatment to maintain and/or
improve their cognitive functions. This pilot study investigates the effect of
simple calculation and reading aloud (SCRA) cognitive training in elderly
Japanese postsurgical patients. Methods: Elderly patients undergoing non
cardiovascular thoracic surgery under general anesthesia were recruited (n = 12).
Subjects were randomly divided into two groups-one that receives 12 weeks of SCRA
intervention, and a waitlisted control group. Before and after the intervention,
we measured cognitive function [Mini-Mental Status Exam-Japanese (MMSE-J),
Frontal Assessment Battery (FAB), computerized Cogstate Brief Battery (CBB)] and
emotional state [General Health Questionnaire-12 (GHQ-12), Geriatric Depression
Scale (GDS), Quality of Life Scale-5 (QOL-5)]. Results: Group difference analyses
using ANCOVA with permutation test showed that the intervention SCRA group had a
significant improvement in FAB motor programming sub-score, GDS, and QOL-5
compared to the control group. Within-group analyses using Wilcoxon signed-rank
test to compare baseline and follow-up showed that the SCRA intervention group
total FAB scores, FAB motor programming sub-scores, and QOL-5 scores were
significantly improved. Discussion: This pilot study showed that there are
important implications for the beneficial effects of SCRA intervention on
cognitive function and emotional state in the postoperative elderly population;
however, further investigations are necessary to reach any conclusions. Trial
registration: This study was registered with the University Hospital Medical
Information Network (UMIN) Clinical Trial Registry (UMIN000019832).
PMID- 29643805
TI - Deviation of Spatial Representation and Asymmetric Saccadic Reaction Time in Hemi
Parkinson's Disease.
AB - Background: Patients with Parkinson's disease (PD) commonly show spatially
asymmetric behaviors, such as veering while attempting to walk in a straight
line. While there is general agreement that the lateral motor dysfunction
contributes to asymmetric behaviors in PD, it is dispute regarding whether the
spatial perception is also biased. In addition, it is not clear whether PD
impairs the speed of spatial information process, i.e., the efficiency of
information process. Objectives: To assess the visuospatial representation and
efficiency of spatial information processing in hemi-PD. Methods: Two saccadic
tasks were employed: non-spatial cue evoked saccade and spatial cue evoked
saccade. In the former task, an identical visual stimulus (appeared on the body
mid-sagittal plane) was artificially associated with a fixed saccadic target
(left or right) in a given session. In the latter task, subjects were instructed
to make a rightward or leftward saccade based on the perceived location of a
visual cue (left vs. right side of the body mid-sagittal plane). We estimated the
location of subjective straight ahead (SSA) for each subject by using a
psychometric fitting function to fit the location judgment results, enabling
evaluation of the symmetry of representation between the left and right
hemifields. In addition, since the locations of saccadic targets were same in
these two tasks, thus, for each individual subject, the elongated saccadic
reaction time (SRT) in the latter task, comparing with the former one, mainly
reflects the time spent on judgment of the spatial location of visual cue, i.e.,
spatial perception. We also assessed the efficiency of spatial perception between
two hemispheres, through comparing the normalized SRT (i.e., SRT difference
between two tasks) between trials with leftward and rightward judgments. Results:
Compared with healthy control subjects (HCs), the SSA was shifted to the
contralesional side in both left onset PD (LPD, lesion of right substantia nigra)
and right onset PD (RPD, lesion of left substantia nigra) patients. The process
of spatial information was significantly longer when a spatial cue appeared in
the contralesional hemifield. Conclusions: Patients with hemi-PD showed biased
visuospatial representation between left and right hemifields and decreased the
efficiency of spatial information processing in the contralesional side. Such
results indicate that the hemi-PD impairs both spatial representation and the
efficiency of spatial information process, which might contribute to asymmetric
behaviors.
PMID- 29643806
TI - Diverged Effects of Piperine on Testicular Development: Stimulating Leydig Cell
Development but Inhibiting Spermatogenesis in Rats.
AB - Background: Piperine is the primary pungent alkaloid isolated from the fruit of
black peppercorns. Piperine is used frequently in dietary supplements and
traditional medicines. The objective of the present study was to investigate the
effects of piperine on the testis development in the pubertal rat. Methods:
Piperine (0 or 5 or 10 mg/kg) was gavaged to 35-day-old male Sprague-Dawley rats
for 30 days. Serum levels of testosterone (T), luteinizing hormone (LH), and
follicle-stimulating hormone (FSH) were measured. The development of adult Leydig
cell population was also analyzed 65 days postpartum. For in vitro studies,
immature Leydig cells were isolated from 35-day-old male rats and treated with 50
MUM piperine in the presence of different steroidogenic stimulators/substrates
for 24 h. Results: Thirty-day treatment of rats with piperine significantly
increased serum T levels without affecting LH concentrations. However, piperine
treatment reduced serum FSH levels. Consistent with increase in serum T, piperine
increased Leydig cell number, cell size, and multiple steroidogenic pathway
proteins, including steroidogenic acute regulatory protein, cholesterol side
chain cleavage enzyme, 3beta-hydroxysteroid dehydrogenase 1, 17alpha
hydroxylase/20-lyase, and steroidogenic factor 1 expression levels. Piperine
significantly increased the ratio of phospho-AKT1 (pAKT1)/AKT1, phosphos-AKT2
(pAKT2)/AKT2, and phospho-ERK1/2 (pERK1/2)/ERK1/2 in the testis. Interestingly,
piperine inhibited spermatogenesis. Piperine in vitro also increased androgen
production and stimulated cholesterol side-chain cleavage enzyme and 17alpha
hydroxylase/20-lyase activities in immature Leydig cells. Conclusion: Piperine
stimulates pubertal Leydig cell development by increasing Leydig cell number and
promoting its maturation while it inhibits spermatogenesis in the rat. ERK1/2 and
AKT pathways may involve in the piperine-mediated stimulation of Leydig cell
development.
PMID- 29643808
TI - Innovative Nanoparticles Enhance N-Palmitoylethanolamide Intraocular Delivery.
AB - Nanostructured lipid carriers (NLCs) loaded with palmitoylethanolamide (PEA) were
formulated with the aim to enhance ocular bioavailability of PEA, particularly to
the back of the eye. Technological characterization (e.g., size, charge) of NLC
loaded with PEA formulation (PEA-NLC) was performed, and NLC morphology was
characterized by electron microscopy. Ocular pharmacokinetic study, after topical
administration of the formulation, was carried out in rabbit eye. Ultra-high
performance liquid chromatography tandem mass spectrometry analysis was carried
out to detect PEA levels in ocular tissues. Finally, the ocular tolerability of
PEA-NLC formulation was assessed in rabbit eye. The novel formulation
significantly increased PEA levels in ocular tissues compared to PEA suspension.
Vitreous and retinal levels of PEA were significantly higher in the group treated
with PEA-NLC formulation versus PEA suspension (PEA-NLC Cmax 5919 +/- 541 pmol/g
and 315 +/- 70 pmol/g in vitreous and retina, respectively). The PEA-NLC
formulation was characterized by high stability and robust ocular
bioavailability. Therefore, this innovative formulation may be useful in clinical
practice to manage retinal diseases.
PMID- 29643809
TI - Examination of the Role of Mitochondrial Morphology and Function in the
Cardioprotective Effect of Sodium Nitrite Administered 24 h Before
Ischemia/Reperfusion Injury.
AB - Background: We have previous evidence that in anesthetized dogs the inorganic
sodium nitrite protects against the severe ventricular arrhythmias, resulting
from coronary artery occlusion and reperfusion, when administered 24 h before.
The present study aimed to examine, whether in this effect changes in
mitochondrial morphology and function would play a role. Methods: Thirty dogs
were infused intravenously either with saline (n = 15) or sodium nitrite (0.2
MUmol/kg/min; n = 15) for 20 min, and 24 h later, 10 dogs from each group were
subjected to a 25 min period of occlusion and then reperfusion of the left
anterior descending coronary artery. The severity of ischaemia and ventricular
arrhythmias were examined in situ. Left ventricular tissue samples were collected
either before the occlusion (5 saline and 5 nitrite treated dogs) or, in dogs
subjected to occlusion, 2 min after reperfusion. Changes in mitochondrial
morphology, in complex I and complex II-dependent oxidative phosphorylation
(OXPHOS), in ATP, superoxide, and peroxynitrite productions were determined.
Results: The administration of sodium nitrite 24 h before ischemia/reperfusion
significantly attenuated the severity of ischaemia, and markedly reduced the
number and incidence of ventricular arrhythmias. Nitrite also attenuated the
ischaemia and reperfusion (I/R)-induced structural alterations, such as
reductions in mitochondrial area, perimeter, and Feret diameter, as well as the
increase in mitochondrial roundness. The administration of nitrite, however,
enhanced the I/R-induced reduction in the mitochondrial respiratory parameters;
compared to the controls, 24 h after the infusion of nitrite, there were further
significant decreases, e.g., in the complex I-dependent OXPHOS (by -20 vs. -53%),
respiratory control ratio (by -14 vs. -61%) and in the P/E control coupling ratio
(by 2 vs. -36%). Nitrite also significantly reduced the I/R-induced generation of
superoxide, without substantially influencing the ATP production. Conclusions:
The results suggest that sodium nitrite may have an effect on the mitochondria;
it preserves the mitochondrial structure and modifies the mitochondrial function,
when administered 24 h prior to I/R. We propose that nitrite affects primary the
phosphorylation system (indicated by the decreased P/E ratio), and the reduction
in superoxide production would result from the subsequent suppression of the ROS
producing complexes; an effect which may certainly contribute to the
antiarrhythmic effect of nitrite.
PMID- 29643810
TI - Localized Delivery of Cl-Amidine From Electrospun Polydioxanone Templates to
Regulate Acute Neutrophil NETosis: A Preliminary Evaluation of the PAD4 Inhibitor
for Tissue Engineering.
AB - Upon interaction, neutrophils can potentially release neutrophil extracellular
traps (NETs) on the surface of an implanted electrospun template, which may be a
significant preconditioning event for implantable biomaterials of yet unknown
consequences. In this study, we investigated the potential of polydioxanone
templates as a delivery vehicle for Cl-amidine, an inhibitor of peptidyl arginase
deiminase 4 (PAD4), and if drug elution could attenuate PAD4-mediated NETosis in
the vicinity of implanted templates. Electrospun polydioxanone templates were
fabricated with distinct architectures, small diameter (0.4 MUm) or large
diameter (1.8 MUm) fibers, and incorporated with 0-5 mg/mL Cl-amidine to examine
dose-dependent effects. Acute neutrophil-template interactions were evaluated in
vitro with freshly isolated human neutrophils and in vivo with a rat subcutaneous
implant model. The in vitro results suggest large diameter templates with 0 mg/mL
Cl-amidine significantly attenuate NETosis compared to small diameter templates.
As the drug concentration increased, NETosis was significantly decreased on small
diameter templates in a dose-dependent manner. The opposite was observed for
large diameter templates, indicating multiple mechanisms of NETosis may be
regulating neutrophil template preconditioning. Similar results were observed in
vivo, verifying local NETosis inhibition by Cl-amidine eluting templates in a
physiological environment. Importantly, large diameter templates with Cl-amidine
enhanced neutrophil invasion and survival, supporting the potential for long-term
modulation of tissue integration and regeneration. This preliminary study
demonstrates a novel delivery vehicle for Cl-amidine that can be used to regulate
acute NETosis as the potential critical link between the innate immune response,
inflammation, and template-guided tissue regeneration.
PMID- 29643811
TI - Pristimerin as a Novel Hepatoprotective Agent Against Experimental Autoimmune
Hepatitis.
AB - Pristimerin (Pris) is bioactive natural quinonoid triterpene that has anti
inflammatory and anti-cancer activities. Meanwhile, its effect against hepatitis
needs to be elucidated. This investigation aimed to evaluate the ability of Pris
to protect against autoimmune hepatitis (AIH). A mouse model of AIH was
established using single concanavalin A (Con A) intravenous injection. Mice were
treated with Pris at two different doses (0.4 and 0.8 mg/kg) for 5 days prior to
Con A challenge. Markers of hepatic injury, oxidative, inflammatory, and
apoptotic damage were estimated. Results have revealed that Pris pretreatment
ameliorated Con A-induced hepatic damage. There was decrease in the elevated
serum indices of hepatic damage (ALT, AST, ALP, and LDH) and improvement of the
histopathological picture of the liver. Pris effectively decreased Con A-induced
neutrophil infiltration into the hepatic tissue as presented by amelioration of
the level and immuno-expression of myeloperoxidase (MPO). Additionally, Pris
attenuated Con A-induced increase in CD4+ T-cells in hepatic tissue. Lipid
peroxidation was significantly depressed simultaneously with enhancement of the
antioxidant capacity in Pris pretreated animals. Pris also enhanced nuclear
factor erythroid 2-related factor 2 (Nrf2) mRNA expression and its binding
capacity. In addition, Pris increased mRNA expression of heme-oxygenase-1 (HO-1)
and restored its normal level. Furthermore, Pris decreased the level and immuno
expression of nuclear factor kappa-B (NF-kappaB) as well as the downstream
inflammatory cascade (TNF-alpha, IL-6, and IL-1beta). Finally, Pris showed
inhibitory effect on Con A-induced apoptotic alteration in liver as it decreased
the mRNA expression and levels the apoptotic markers (Bax and caspase-3) and
increased mRNA expression and level of the anti-apoptotic protein (Bcl2). In
conclusion, this study demonstrates the potent hepatoprotective efficacy of Pris
against Con A-induced hepatitis which may be related to anti-oxidative, anti
inflammatory, and anti-apoptotic pathways. Pris could serve as a new candidate
for the management of hepatitis.
PMID- 29643807
TI - Antimicrobial Peptides and Their Therapeutic Potential for Bacterial Skin
Infections and Wounds.
AB - Alarming data about increasing resistance to conventional antibiotics are
reported, while at the same time the development of new antibiotics is
stagnating. Skin and soft tissue infections (SSTIs) are mainly caused by the so
called ESKAPE pathogens (Enterococcus faecium, Staphylococcus aureus, Klebsiella
pneumoniae, Acinetobacter baumannii, Pseudomonas aeruginosa, and Enterobacter
species) which belong to the most recalcitrant bacteria and are resistant to
almost all common antibiotics. S. aureus and P. aeruginosa are the most frequent
pathogens isolated from chronic wounds and increasing resistance to topical
antibiotics has become a major issue. Therefore, new treatment options are
urgently needed. In recent years, research focused on the development of
synthetic antimicrobial peptides (AMPs) with lower toxicity and improved activity
compared to their endogenous counterparts. AMPs appear to be promising
therapeutic options for the treatment of SSTIs and wounds as they show a broad
spectrum of antimicrobial activity, low resistance rates and display pivotal
immunomodulatory as well as wound healing promoting activities such as induction
of cell migration and proliferation and angiogenesis. In this review, we evaluate
the potential of AMPs for the treatment of bacterial SSTIs and wounds and provide
an overview of the mechanisms of actions of AMPs that contribute to combat skin
infections and to improve wound healing. Bacteria growing in biofilms are more
resistant to conventional antibiotics than their planktonic counterparts due to
limited biofilm penetration and distinct metabolic and physiological functions,
and often result in chronification of infections and wounds. Thus, we further
discuss the feasibility of AMPs as anti-biofilm agents. Finally, we highlight
perspectives for future therapies and which issues remain to bring AMPs
successfully to the market.
PMID- 29643812
TI - Left Ventricular Wall Stress Is Sensitive Marker of Hypertrophic Cardiomyopathy
With Preserved Ejection Fraction.
AB - Hypertrophic cardiomyopathy (HCM) patients present altered myocardial mechanics
due to the hypertrophied ventricular wall and are typically diagnosed by the
increase in myocardium wall thickness. This study aimed to quantify regional left
ventricular (LV) shape, wall stress and deformation from cardiac magnetic
resonance (MR) images in HCM patients and controls, in order to establish
superior measures to differentiate HCM from controls. A total of 19 HCM patients
and 19 controls underwent cardiac MR scans. The acquired MR images were used to
reconstruct 3D LV geometrical models and compute the regional parameters (i.e.,
wall thickness, curvedness, wall stress, area strain and ejection fraction) based
on the standard 16 segment model using our in-house software. HCM patients were
further classified into four quartiles based on wall thickness at end diastole
(ED) to assess the impact of wall thickness on these regional parameters. There
was a significant difference between the HCM patients and controls for all
regional parameters (P < 0.001). Wall thickness was greater in HCM patients at
the end-diastolic and end-systolic phases, and thickness was most pronounced in
segments at the septal regions. A multivariate stepwise selection algorithm
identified wall stress index at ED (sigma i,ED ) as the single best independent
predictor of HCM (AUC = 0.947). At the cutoff value sigma i,ED < 1.64, both
sensitivity and specificity were 94.7%. This suggests that the end-diastolic wall
stress index incorporating regional wall curvature-an index based on mechanical
principle-is a sensitive biomarker for HCM diagnosis with potential utility in
diagnostic and therapeutic assessment.
PMID- 29643813
TI - Skull Development, Ossification Pattern, and Adult Shape in the Emerging Lizard
Model Organism Pogona vitticeps: A Comparative Analysis With Other Squamates.
AB - The rise of the Evo-Devo field and the development of multidisciplinary research
tools at various levels of biological organization have led to a growing interest
in researching for new non-model organisms. Squamates (lizards and snakes) are
particularly important for understanding fundamental questions about the
evolution of vertebrates because of their high diversity and evolutionary
innovations and adaptations that portrait a striking body plan change that
reached its extreme in snakes. Yet, little is known about the intricate
connection between phenotype and genotype in squamates, partly due to limited
developmental knowledge and incomplete characterization of embryonic development.
Surprisingly, squamate models have received limited attention in comparative
developmental studies, and only a few species examined so far can be considered
as representative and appropriate model organism for mechanistic Evo-Devo
studies. Fortunately, the agamid lizard Pogona vitticeps (central bearded dragon)
is one of the most popular, domesticated reptile species with both a well
established history in captivity and key advantages for research, thus forming an
ideal laboratory model system and justifying his recent use in reptile biology
research. We first report here the complete post-oviposition embryonic
development for P. vitticeps based on standardized staging systems and external
morphological characters previously defined for squamates. Whereas the overall
morphological development follows the general trends observed in other squamates,
our comparisons indicate major differences in the developmental sequence of
several tissues, including early craniofacial characters. Detailed analysis of
both embryonic skull development and adult skull shape, using a comparative
approach integrating CT-scans and gene expression studies in P. vitticeps as well
as comparative embryology and 3D geometric morphometrics in a large dataset of
lizards and snakes, highlights the extreme adult skull shape of P. vitticeps and
further indicates that heterochrony has played a key role in the early
development and ossification of squamate skull bones. Such detailed studies of
embryonic character development, craniofacial patterning, and bone formation are
essential for the establishment of well-selected squamate species as Evo-Devo
model organisms. We expect that P. vitticeps will continue to emerge as a new
attractive model organism for understanding developmental and molecular processes
underlying tissue formation, morphology, and evolution.
PMID- 29643814
TI - Impact of Short-Term Training Camp on Aortic Blood Pressure in Collegiate
Endurance Runners.
AB - To investigate the influence of short-term vigorous endurance training on aortic
blood pressure (BP), pulse wave analysis was performed in 36 highly trained elite
collegiate endurance runners before and after a 7-day intense training camp.
Subjects participated three training sessions per day, which mainly consisted of
long distance running and sprint training to reach the daily target distance of
26 km. After the camp, they were divided into two groups based on whether the
target training was achieved. Aortic systolic BP, pulse pressure, and tension
time index (TTI, a surrogate index of the myocardial oxygen demand) were
significantly elevated after the camp in the accomplished group but not in the
unaccomplished group, whereas the brachial BP remained unchanged in both groups.
The average daily training distance was significantly correlated with the changes
in aortic systolic BP (r = 0.608, p = 0.0002), pulse pressure (r = 0.415, p =
0.016), and TTI (r = 0.438, p = 0.011). These results suggest that aortic BP is
affected by a short-term vigorous training camp even in highly trained elite
endurance athletes presumably due to a greater training volume compared to usual.
PMID- 29643815
TI - Mechanical Characterization of the Vessel Wall by Data Assimilation of
Intravascular Ultrasound Studies.
AB - Atherosclerotic plaque rupture and erosion are the most important mechanisms
underlying the sudden plaque growth, responsible for acute coronary syndromes and
even fatal cardiac events. Advances in the understanding of the culprit plaque
structure and composition are already reported in the literature, however, there
is still much work to be done toward in-vivo plaque visualization and mechanical
characterization to assess plaque stability, patient risk, diagnosis and
treatment prognosis. In this work, a methodology for the mechanical
characterization of the vessel wall plaque and tissues is proposed based on the
combination of intravascular ultrasound (IVUS) imaging processing, data
assimilation and continuum mechanics models within a high performance computing
(HPC) environment. Initially, the IVUS study is gated to obtain volumes of image
sequences corresponding to the vessel of interest at different cardiac phases.
These sequences are registered against the sequence of the end-diastolic phase to
remove transversal and longitudinal rigid motions prescribed by the moving
environment due to the heartbeat. Then, optical flow between the image sequences
is computed to obtain the displacement fields of the vessel (each associated to a
certain pressure level). The obtained displacement fields are regarded as
observations within a data assimilation paradigm, which aims to estimate the
material parameters of the tissues within the vessel wall. Specifically, a
reduced order unscented Kalman filter is employed, endowed with a forward
operator which amounts to address the solution of a hyperelastic solid mechanics
model in the finite strain regime taking into account the axially stretched state
of the vessel, as well as the effect of internal and external forces acting on
the arterial wall. Due to the computational burden, a HPC approach is mandatory.
Hence, the data assimilation and computational solid mechanics computations are
parallelized at three levels: (i) a Kalman filter level; (ii) a cardiac phase
level; and (iii) a mesh partitioning level. To illustrate the capabilities of
this novel methodology toward the in-vivo analysis of patient-specific vessel
constituents, mechanical material parameters are estimated using in-silico and in
vivo data retrieved from IVUS studies. Limitations and potentials of this
approach are exposed and discussed.
PMID- 29643816
TI - Frequency-Specific Fractal Analysis of Postural Control Accounts for Control
Strategies.
AB - Diverse indicators of postural control in Humans have been explored for decades,
mostly based on the trajectory of the center-of-pressure. Classical approaches
focus on variability, based on the notion that if a posture is too variable, the
subject is not stable. Going deeper, an improved understanding of underlying
physiology has been gained from studying variability in different frequency
ranges, pointing to specific short-loops (proprioception), and long-loops (visuo
vestibular) in neural control. More recently, fractal analyses have proliferated
and become useful additional metrics of postural control. They allowed
identifying two scaling phenomena, respectively in short and long timescales.
Here, we show that one of the most widely used methods for fractal analysis,
Detrended Fluctuation Analysis, could be enhanced to account for scalings on
specific frequency ranges. By computing and filtering a bank of synthetic fractal
signals, we established how scaling analysis can be focused on specific frequency
components. We called the obtained method Frequency-specific Fractal Analysis
(FsFA) and used it to associate the two scaling phenomena of postural control to
proprioceptive-based control loop and visuo-vestibular based control loop. After
that, convincing arguments of method validity came from an application on the
study of unaltered vs. altered postural control in athletes. Overall, the
analysis suggests that at least two timescales contribute to postural control: a
velocity-based control in short timescales relying on proprioceptive sensors, and
a position-based control in longer timescales with visuo-vestibular sensors,
which is a brand-new vision of postural control. Frequency-specific scaling
exponents are promising markers of control strategies in Humans.
PMID- 29643817
TI - Overexpression of beta-Arrestin1 in the Rostral Ventrolateral Medulla
Downregulates Angiotensin Receptor and Lowers Blood Pressure in Hypertension.
AB - Background: Hypertension is characterized by sympathetic overactivity, which is
associated with an enhancement in angiotensin receptor type I (AT1R) in the
rostral ventrolateral medulla (RVLM). beta-arrestin1, a canonical scaffold
protein, has been suggested to show a negative effect on G protein-coupled
receptors via its internalization and desensitization and/or the biased signaling
pathway. The major objectives of the present study were to observe the effect of
beta-arrestin1 overexpression in the RVLM on cardiovascular regulation in
spontaneously hypertensive rats (SHR), and further determine the effect of beta
arrestin1 on AT1R expression in the RVLM. Methods: The animal model of beta
arrestin1 overexpression was induced by bilateral injection of adeno-associated
virus containing Arrb1 gene (AAV-Arrb1) into the RVLM of WKY and SHR. Results:
beta-arrestin1 was expressed on the pre-sympathetic neurons in the RVLM, and its
expression in the RVLM was significantly (P < 0.05) downregulated by an average
of 64% in SHR than WKY. Overexpression of beta-arrestin1 in SHR significantly
decreased baseline levels of blood pressure and renal sympathetic nerve activity,
and attenuated cardiovascular effects induced by RVLM injection of angiotensin II
(100 pmol). Furthermore, beta-arrestin1 overexpression in the RVLM significantly
reduced the expression of AT1R by 65% and NF-kappaB p65 phosphorylation by 66% in
SHR. It was confirmed that beta-arrestin1 overexpression in the RVLM led to an
enhancement of interaction between beta-arrestin1 and IkappaB-alpha. Conclusion:
Overexpression of beta-arrestin1 in the RVLM reduces BP and sympathetic outflow
in hypertension, which may be associated with NFkappaB-mediated AT1R
downregulation.
PMID- 29643818
TI - Intrinsic Hormone-Like Molecules and External Root Resorption During Orthodontic
Tooth Movement. A Systematic Review and Meta-Analysis in Preclinical in-Vivo
Research.
AB - Background: External root resorption constitutes an adverse effect of orthodontic
treatment. The aim of the present meta-analysis was to identify the effect of
induced intrinsic/ hormone-like molecules such as prostaglandins, interleukins
and others on external root resorption after orthodontic tooth movement in
experimental animals Methods: An electronic database search of the literature was
performed (Medline via PubMed, EMBASE, LILACS, and Open Gray). Search terms
included root resorption, tooth movement and animal type. Risk of bias assessment
was made using the SYRCLE guidelines for animal studies and reporting quality was
assessed through ARRIVE. Random effects meta-analysis was performed for the
outcome root resorption after orthodontic tooth movement. Results: Of the 124
articles initially retrieved, 13 were eligible for inclusion in the systematic
review, while only 2 were included in the quantitative synthesis. Five studies
investigated the effect of Prostaglandin E2, four studies the effect of
Thyroxine, two the effect of Calcium ions (Ca++), while the rest investigated
Misoprostol, Interleukin-12 and Interleukin-4. Risk of Bias in all studies was
judged to be high overall, while reporting quality was suboptimal. According to
the quantitative synthesis, there was no difference in root resorption after
orthodontic tooth movement when Prostaglandin E2 coupled with Ca++ was
administered in comparison to no substance administration (SMD: 0.48 mm2; 95% CI:
-0.22, 1.19; p = 0.18). Conclusions: Overall, there was no evidence to suggest a
variation in root resorption when Prostaglandin E2 and Ca++ were administered,
while there is an overriding need for further high quality experimental studies
to inform available evidence on the effect of intrinsic substances on external
root resorption.
PMID- 29643819
TI - HMGB1 Increases IL-1beta Production in Vascular Smooth Muscle Cells via NLRP3
Inflammasome.
AB - Vascular smooth muscle cells (VSMCs) are the major cell type in the blood vessel
walls, and their phenotypic modulation is a key cellular event driving vascular
remodeling. Although high mobility group box-1 (HMGB1) plays a pivotal role in
inflammatory processes after vascular injuries, the importance of the links
between VSMCs, HMGB1 and vascular inflammation has not been clarified. To prove
the hypothesis that VSMCs might be active players in vascular inflammation by
secreting inflammatory cytokines, we investigated the proinflammatory effects of
HMGB1 and its intermediary signaling pathways in VSMCs. When cultured human VSMCs
were stimulated with HMGB1 (10-500 ng/ml), IL-1beta production was markedly
increased. HMGB1 also increased the expression of NLRP3 inflammasome components
including NLRP3, ASC and caspase-1. Among these components, HMGB1-induced
expressions of NLRP3 and caspase-1 were markedly attenuated in TLR2 siRNA
transfected cells, whereas ASC and caspase-1 expressions were reduced in RAGE
deficient cells. In TLR4-deficient cells, HMGB1-induced caspase-1 expression was
significantly attenuated. Moreover, IL-1beta production in HMGB1-stimulated cells
was significantly reduced in cells transfected with caspase-1 siRNA as well as in
cells treated with monoclonal antibodies or siRNAs for TLR2, TLR4 and RAGE.
Overall, this study identified a pivotal role for NLRP3 inflammasome and its
receptor signaling involved in the production of IL-1beta in VSMCs stimulated
with HMGB1. Thus, targeting HMGB1 signaling in VSMCs offers a promising
therapeutic strategy for treating vascular remodeling diseases.
PMID- 29643820
TI - The Attribution of Mental Health Problems to Jinn: An Explorative Study in a
Transcultural Psychiatric Outpatient Clinic.
AB - Background: Among Muslim patients, a common cultural concept of distress is the
notion that jinn may be the cause of mental health problems, especially in the
presence of hallucinations. Objective: This study examines the frequency with
which this attribution style is manifest in a specific psychiatric outpatient
population with a Muslim background. Methods: Of all patients registered at an
outpatient clinic specialized in transcultural psychiatry, data were collected on
folk belief, religion, hallucinations (if present), and medical diagnosis.
Through a search in the electronic medical files, the notes made during the first
contact and first psychiatric examination were screened for the keywords "evil
eye," "magic," "voodoo," and "jinn." In addition, new eligible cases were
accepted. Results: From all 551 patients thus screened, 118 were eligible for
participation. Of these, 49 (41.5%) were interviewed using a semi-structured
questionnaire. Among them, 21 (43%) were positive that their psychiatric symptoms
were caused by jinn, whereas 13 (27%) thought not, and 15 (31%) were in doubt. No
less than 87.2% had experienced hallucinations during their lives. Among the
relatively large proportion of eligible patients who did not participate (58.5%),
many expressed a fear for stigmatization or metaphysical repercussions if they
spoke about jinn. Conclusion: The phenomenon of attributing mental health
symptoms to jinn was much more common in this population of Muslim patients than
previously assumed. This underscores the need for proper knowledge of Muslim
explanatory models of disease and for the use of culturally sensitive
interviewing techniques in this population.
PMID- 29643821
TI - Influence of an Intermediate Option on the Description-Experience Gap and
Information Search.
AB - Research shows that people tend to overweight small probabilities in description
and underweight them in experience, thereby leading to a different pattern of
choices between description and experience; a phenomenon known as the Description
Experience (DE) gap. However, little is known on how the addition of an
intermediate option and contextual framing influences the DE gap and people's
search strategies. This paper tests the effects of an intermediate option and
contextual framing on the DE gap and people's search strategies, where problems
require search for information before a consequential choice. In the first
experiment, 120 participants made choice decisions across investment problems
that differed in the absence or presence of an intermediate option. Results
showed that adding an intermediate option did not reduce the DE gap on the
maximizing option across a majority of problems. There were a large majority of
choices for the intermediate option. Furthermore, there was an increase in
switching between options due to the presence of the intermediate option. In the
second experiment, 160 participants made choice decisions in problems like those
presented in experiment 1; however, problems lacked the investment framing.
Results replicated findings from the first experiment and showed a similar DE gap
on the maximizing option in a majority of problems in both the absence and
presence of the intermediate option. Again, there were a large majority of
choices for the intermediate option. Also, there was an increase in switching
between options due to the presence of the intermediate option. Meta-analyses
revealed that the absence or presence of the intermediate option created certain
differences in the strength of frequency and recency processes. Also, a single
natural-mean heuristic model was able to account for the experimental results
across both experiments. We discuss implications of our findings to consequential
decisions made after information search.
PMID- 29643822
TI - Working Memory and Reinforcement Schedule Jointly Determine Reinforcement
Learning in Children: Potential Implications for Behavioral Parent Training.
AB - Introduction: Behavioral Parent Training (BPT) is often provided for childhood
psychiatric disorders. These disorders have been shown to be associated with
working memory impairments. BPT is based on operant learning principles, yet how
operant principles shape behavior (through the partial reinforcement (PRF)
extinction effect, i.e., greater resistance to extinction that is created when
behavior is reinforced partially rather than continuously) and the potential role
of working memory therein is scarcely studied in children. This study explored
the PRF extinction effect and the role of working memory therein using
experimental tasks in typically developing children. Methods: Ninety-seven
children (age 6-10) completed a working memory task and an operant learning task,
in which children acquired a response-sequence rule under either continuous or
PRF (120 trials), followed by an extinction phase (80 trials). Data of 88
children were used for analysis. Results: The PRF extinction effect was
confirmed: We observed slower acquisition and extinction in the PRF condition as
compared to the continuous reinforcement (CRF) condition. Working memory was
negatively related to acquisition but not extinction performance. Conclusion:
Both reinforcement contingencies and working memory relate to acquisition
performance. Potential implications for BPT are that decreasing working memory
load may enhance the chance of optimally learning through reinforcement.
PMID- 29643823
TI - A New Look to a Classic Issue: Reasoning and Academic Achievement at Secondary
School.
AB - Higher-order thinking abilities such as abstract reasoning and meaningful school
learning occur sequentially. The fulfillment of these tasks demands that people
activate and use all of their working memory resources in a controlled and
supervised way. The aims of this work were: (a) to study the interplay between
two new reasoning measures, one mathematical (Cognitive Reflection Test) and the
other verbal (Deductive Reasoning Test), and a third classical visuo-spatial
reasoning measure (Raven Progressive Matrices Test); and (b) to investigate the
relationship between these measures and academic achievement. Fifty-one 4th grade
secondary school students participated in the experiment and completed the three
reasoning tests. Academic achievement measures were the final numerical scores in
seven basic subjects. The results demonstrated that cognitive reflection, visual,
and verbal reasoning are intimately related and predicts academic achievement.
This work confirms that abstract reasoning constitutes the most important higher
order cognitive ability that underlies academic achievement. It also reveals the
importance of dual processes, verbal deduction and metacognition in ordinary
teaching and learning at school.
PMID- 29643824
TI - The Topological Properties of Stimuli Influence Fear Generalization and
Extinction in Humans.
AB - Fear generalization is an etiologically significant indicator of anxiety
disorders, and understanding how to inhibit it is important in their treatment.
Prior studies have found that reducing fear generalization using a generalization
stimulus (GS) is ineffective in removing a conditioned fear that incorporates
local features, and that topological properties appear to play a comparatively
more significant role in the processes of perception and categorization. Our
study utilized a conditioned-fear generalization design to examine whether the
topological properties of stimuli influence the generalization and return of
fear. Fear was indexed using online expectancy ratings and skin conductance
responses (SCRs). The study's 52 participants were divided into three groups:
Group 1, conditioned danger cue (CS+) extinction; Group 2, extinction of one GS;
Group 3, extinction of three GSs. We found that the three groups acquired
conditioned fear at the same level. In the generalization and extinction phase,
fear was transferred to the GS with the same topological properties as CS+, and
gradual decreases in both shock expectancy and SCRs over non-reinforced
extinction trials were observed. In the test phase, participants' online
expectancy ratings indicated that fear did not return in Group 1, but did return
in Groups 2 and 3. All three groups demonstrated successful GS fear extinction,
but only Group 1 did not show a return of fear for CS+. Regarding SCRs results,
none of the groups demonstrated a return of fear, suggesting that utilization of
topological properties successfully reduced the return of conditioned fear. Our
results indicate that, in clinical settings, using GS with topological
equivalence to CS+ might offer a potential method with which to extinct
conditioned fear.
PMID- 29643825
TI - Factors Influencing Right Hemisphere Engagement During Metaphor Comprehension.
AB - Although the left hemisphere is critical for language, clinical, behavioral, and
neuroimaging research suggest that the right hemisphere also contributes to
language comprehension. In particular, research has suggested that figurative
language may be one type of language that preferentially engages right hemisphere
regions. However, there is disagreement about whether these regions within the
right hemisphere are sensitive to figurative language per se or to other factors
that co-vary with figurativeness. In this article, we will review the
neuroimaging literature on figurative language processing, focusing on metaphors,
within the context of several theoretical perspectives that have been proposed
about hemispheric function in language. Then we will examine three factors that
may influence right hemisphere engagement: novelty, task difficulty, and context.
We propose that factors that increase integration demands drive right hemisphere
involvement in language processing, and that such recruitment is not limited to
figurative language.
PMID- 29643826
TI - A Neurodynamic Model of Feature-Based Spatial Selection.
AB - Huang and Pashler (2007) suggested that feature-based attention creates a special
form of spatial representation, which is termed a Boolean map. It partitions the
visual scene into two distinct and complementary regions: selected and not
selected. Here, we developed a model of a recurrent competitive network that is
capable of state-dependent computation. It selects multiple winning locations
based on a joint top-down cue. We augmented a model of the WTA circuit that is
based on linear-threshold units with two computational elements: dendritic non
linearity that acts on the excitatory units and activity-dependent modulation of
synaptic transmission between excitatory and inhibitory units. Computer
simulations showed that the proposed model could create a Boolean map in response
to a featured cue and elaborate it using the logical operations of intersection
and union. In addition, it was shown that in the absence of top-down guidance,
the model is sensitive to bottom-up cues such as saliency and abrupt visual
onset.
PMID- 29643827
TI - Do Future Limitation Perspective in Cancer Patients Predict Fear of Cancer
Recurrence, Mental Distress, and the Ventromedial Prefrontal Cortex Activity?
AB - Life-threatening diseases (e.g., cancer) affect people's future time perspective
(FTP) and affect their mental health. When one's lifetime is perceived as running
out, the individual possesses a future limitation perspective (FLP), which is one
of factors in FTP. In this study, we explored the structural relationship between
FLP, fear of cancer recurrence (FCR), mental health status (MHS), and brain
activity in patients with cancer. Cancer patients were divided into two groups
using the FTP scale and Feelings About Life Scale: a strong FLP group (S-FLP) and
a weak FLP group (W-FLP). For these groups, we measured cancer patients' MHS
using the Symptom Checklist (SCL-90) and FCR using the Cancer Acceptance Scale;
brain activity was measured using resting-state functional magnetic resonance
imaging (rs-fMRI). Behavioral results showed that the S-FLP group had higher
mental symptoms and FCR scores than did the W-FLP group. Neuroimaging results
revealed that spontaneous brain activity in the ventromedial prefrontal cortex
(vmPFC) was stronger in the W-FLP group than in the S-FLP group. Moreover, brain
activity in the vmPFC negatively correlated with FLP, FCR, and SCL-90 scores only
in the S-FLP group, and the model constructed further indicated that FCR and SCL
90 scores fully mediated the relationship between FLP and vmPFC activities. These
findings suggested that a strong FLP might lead to mental disorders and greater
FCR, which might change the spontaneous activity of the vmPFC in cancer patients.
PMID- 29643828
TI - Lateralization of Sucrose Responsiveness and Non-associative Learning in
Honeybees.
AB - Lateralization is a fundamental property of the human brain that affects
perceptual, motor, and cognitive processes. It is now acknowledged that left
right laterality is widespread across vertebrates and even some invertebrates
such as fruit flies and bees. Honeybees, which learn to associate an odorant (the
conditioned stimulus, CS) with sucrose solution (the unconditioned stimulus, US),
recall this association better when trained using their right antenna than they
do when using their left antenna. Correspondingly, olfactory sensilla are more
abundant on the right antenna and odor encoding by projection neurons of the
right antennal lobe results in better odor differentiation than those of the left
one. Thus, lateralization arises from asymmetries both in the peripheral and
central olfactory system, responsible for detecting the CS. Here, we focused on
the US component and studied if lateralization exists in the gustatory system of
Apis mellifera. We investigated whether sucrose sensitivity is lateralized both
at the level of the antennae and the fore-tarsi in two independent groups of
bees. Sucrose sensitivity was assessed by presenting bees with a series of
increasing concentrations of sucrose solution delivered either to the left or the
right antenna/tarsus and measuring the proboscis extension response to these
stimuli. Bees experienced two series of stimulations, one on the left and the
other on the right antenna/tarsus. We found that tarsal responsiveness was
similar on both sides and that the order of testing affects sucrose
responsiveness. On the contrary, antennal responsiveness to sucrose was higher on
the right than on the left side, and this effect was independent of the order of
antennal stimulation. Given this asymmetry, we also investigated antennal
lateralization of habituation to sucrose. We found that the right antenna was
more resistant to habituation, which is consistent with its higher sucrose
sensitivity. Our results reveal that the gustatory system presents a peripheral
lateralization that affects stimulus detection and non-associative learning.
Contrary to the olfactory system, which is organized in two distinct brain
hemispheres, gustatory receptor neurons converge into a single central region
termed the subesophagic zone (SEZ). Whether the SEZ presents lateralized
gustatory processing remains to be determined.
PMID- 29643829
TI - If Neuroscience Needs Behavior, What Does Psychology Need?
PMID- 29643830
TI - Accuracy and Feasibility of an Android-Based Digital Assessment Tool for Post
Stroke Visual Disorders-The StrokeVision App.
AB - Background: Visual impairment affects up to 70% of stroke survivors. We designed
an app (StrokeVision) to facilitate screening for common post stroke visual
issues (acuity, visual fields, and visual inattention). We sought to describe the
test time, feasibility, acceptability, and accuracy of our app-based digital
visual assessments against (a) current methods used for bedside screening and (b)
gold standard measures. Methods: Patients were prospectively recruited from acute
stroke settings. Index tests were app-based assessments of fields and inattention
performed by a trained researcher. We compared against usual clinical screening
practice of visual fields to confrontation, including inattention assessment
(simultaneous stimuli). We also compared app to gold standard assessments of
formal kinetic perimetry (Goldman or Octopus Visual Field Assessment); and pencil
and paper-based tests of inattention (Albert's, Star Cancelation, and Line
Bisection). Results of inattention and field tests were adjudicated by a
specialist Neuro-ophthalmologist. All assessors were masked to each other's
results. Participants and assessors graded acceptability using a bespoke scale
that ranged from 0 (completely unacceptable) to 10 (perfect acceptability).
Results: Of 48 stroke survivors recruited, the complete battery of index and
reference tests for fields was successfully completed in 45. Similar
acceptability scores were observed for app-based [assessor median score 10 (IQR:
9-10); patient 9 (IQR: 8-10)] and traditional bedside testing [assessor 10 (IQR:
9-10); patient 10 (IQR: 9-10)]. Median test time was longer for app-based testing
[combined time to completion of all digital tests 420 s (IQR: 390-588)] when
compared with conventional bedside testing [70 s, (IQR: 40-70)], but shorter than
gold standard testing [1,260 s, (IQR: 1005-1,620)]. Compared with gold standard
assessments, usual screening practice demonstrated 79% sensitivity and 82%
specificity for detection of a stroke-related field defect. This compares with
79% sensitivity and 88% specificity for StrokeVision digital assessment.
Conclusion: StrokeVision shows promise as a screening tool for visual
complications in the acute phase of stroke. The app is at least as good as usual
screening and offers other functionality that may make it attractive for use in
acute stroke. Clinical Trial Registration:
https://ClinicalTrials.gov/ct2/show/NCT02539381.
PMID- 29643832
TI - Corrigendum: Matrix Metalloproteinases and Blood-Brain Barrier Disruption in
Acute Ischemic Stroke.
AB - [This corrects the article on p. 32 in vol. 4, PMID: 23565108.].
PMID- 29643831
TI - Altered Intracortical Inhibition in Chronic Traumatic Diffuse Axonal Injury.
AB - Background: Overactivation of NMDA-mediated excitatory processes and excess of
GABA-mediated inhibition are attributed to the acute and subacute phases,
respectively, after a traumatic brain injury (TBI). However, there are few
studies regarding the circuitry during the chronic phase of brain injury.
Objective: To evaluate the cortical excitability (CE) during the chronic phase of
TBI in victims diagnosed with diffuse axonal injury (DAI). Methods: The 22 adult
subjects were evaluated after a minimum of 1 year from the onset of moderate or
severe TBI. Each of the subjects first had a comprehensive neuropsychological
assessment to evaluate executive functions-attention, memory, verbal fluency, and
information processing speed. Then, CE assessment was performed with a circular
coil applying single-pulse and paired-pulse transcranial magnetic stimulation
over the cortical representation of the abductor pollicis brevis muscle on M1 of
both hemispheres. The CE parameters measured were resting motor threshold (RMT),
motor-evoked potentials (MEPs), short-interval intracortical inhibition (SIICI),
and intracortical facilitation (ICF). All data were compared with that of a
control group that consisted of the healthy age-matched individuals. Results: No
significant differences between the left and right hemispheres were detected in
the DAI subjects. Therefore, parameters were analyzed as pooled data. Values of
RMT, MEPs, and ICF from DAI patients were within normal limits. However, SIICI
values were higher in the DAI group-DAI SIICI = 1.28 (1.01; 1.87) versus the
control value = 0.56 (0.33; 0.69)-suggesting that they had a disarranged
inhibitory system (p < 0.001). By contrast, the neuropsychological findings had
weak correlation with the CE data. Conclusion: As inhibition processes involve
GABA-mediated circuitry, it is likely that the DAI pathophysiology itself
(disruption of axons) may deplete GABA and contribute to ongoing disinhibition of
these neural circuits of the cerebrum during the chronic phase of DAI.
PMID- 29643833
TI - In Vivo Confocal Microscopic Evaluation of Corneal Nerve Fibers and Dendritic
Cells in Patients With Behcet's Disease.
AB - Central and peripheral nervous system involvement may occur during the course of
Behcet's disease (BD). In vivo corneal confocal microscopy (CCM) can detect
corneal small fiber damage and immune cell density. The aim of this study was to
assess central corneal sensitivity, corneal subepithelial nerve plexus morphology
and dendritic cell (DC) density in patients with BD. Forty-nine consecutive
patients with BD and 30 healthy control subjects were included in this cross
sectional study conducted at a tertiary referral university hospital. Central
corneal sensitivity was measured using the contact corneal esthesiometer (Cochet
Bonnet; Luneau, France). The laser scanning CCM (Heidelberg, Germany) was used to
quantify corneal nerve fiber density (NFD), nerve branch density (NBD), nerve
fiber length (NFL), and DC density. There was a significant reduction in NFD (P =
0.001) and NFL (P = 0.031) and an increase in DC density (P = 0.038) in patients
with BD compared to healthy controls, whereas corneal sensitivity (P = 0.066) and
NBD (P = 0.067) did not differ significantly. There was no difference in corneal
sensitivity, corneal nerve parameters, or DC density between BD patients with [n
= 18 (36.7%)] and without a previous history of uveitis (P > 0.05 for all).
Disease duration [median (IQR), 6.5 (4.0-14.5) years] correlated with corneal
sensitivity (rho = -0.463; P = 0.001) and NFD (rho = -0.304; P = 0.034) and
corneal sensitivity correlated with NFD (rho = 0.411; P = 0.003) and NFL (rho =
0.295; P = 0.039) in patients with BD. CCM demonstrates corneal sub-basal nerve
fiber loss and increased DC density, providing a non-invasive ophthalmic means to
identify peripheral neuropathy and inflammation in patients with BD.
PMID- 29643835
TI - Identification of Neuroendocrine Stress Response-Related Circulating MicroRNAs as
Biomarkers for Type 2 Diabetes Mellitus and Insulin Resistance.
AB - Background: Chronic stress plays an important role in the development of type 2
diabetes mellitus (T2DM) and insulin resistance (IR). MicroRNAs (miRNAs) play key
roles in mediating stress responses by regulating the expression of target genes.
This study systematically screened and identified the neuroendocrine stress
response-related circulating miRNAs which are associated with T2DM and IR.
Methods: Based on the differential plasma expression profiles between individuals
with and without T2DM, stress-related miRNAs were selected from those differently
expressed miRNAs whose targets are involved in known neuroendocrine pathway of
stress response. Candidate miRNAs were further validated by quantitative real
time polymerase chain reaction in a large sample, including 112 T2DM patients, 72
individuals with impaired fasting glucose (IFG), and 94 healthy controls. The
association between miRNA expression and potential risk of T2DM and IFG was
assessed by multivariate logistic regression models. The miRNA predictors of IR
were identified by stepwise multiple regression analysis. The diagnostic
performance for T2DM was evaluated by area under the curve (AUC) of receiver
operating characteristic (ROC). Results: let-7b, let-7i, miR-142, miR-144, miR
155, and miR-29a were selected as candidate miRNAs for validation. Increased
expression of let-7b, miR-144, and miR-29a and decreased expression of miR-142
were significant independent predictors of T2DM, IFG, and IR (P < 0.0125). These
miRNAs significantly correlated with stress hormone levels (P < 0.0125). A three
miRNA panel, including let-7b, miR-142, and miR-144 had a high accuracy for
diagnosing T2DM (AUC = 0.871, 95% CI: 0.822-0.919). Conclusion: let-7b, miR-142,
miR-144, and miR-29a in plasma may be important markers of neuroendocrine stress
response and may play a role in the pathogenesis of T2DM and IR.
PMID- 29643836
TI - Tau Phosphorylation in Female Neurodegeneration: Role of Estrogens, Progesterone,
and Prolactin.
AB - Sex differences are important to consider when studying different psychiatric,
neurodevelopmental, and neurodegenerative disorders, including Alzheimer's
disease (AD). These disorders can be affected by dimorphic changes in the central
nervous system and be influenced by sex-specific hormones and neuroactive
steroids. In fact, AD is more prevalent in women than in men. One of the main
characteristics of AD is the formation of neurofibrillary tangles, composed of
the phosphoprotein Tau, and neuronal loss in specific brain regions. The scope of
this work is to review the existing evidence on how a set of hormones (estrogen,
progesterone, and prolactin) affect tau phosphorylation in the brain of females
under both physiological and pathological conditions.
PMID- 29643834
TI - Metabolic Impact on the Hypothalamic Kisspeptin-Kiss1r Signaling Pathway.
AB - A large body of data has established the hypothalamic kisspeptin (KP) and its
receptor, KISS1R, as major players in the activation of the neuroendocrine
reproductive axis at the time of puberty and maintenance of reproductive capacity
in the adult. Due to its strategic location, this ligand-receptor pair acts as an
integrator of cues from gonadal steroids as well as of circadian and seasonal
variation-related information on the reproductive axis. Besides these cues, the
activity of the hypothalamic KP signaling is very sensitive to the current
metabolic status of the body. In conditions of energy imbalance, either positive
or negative, a number of alterations in the hypothalamic KP signaling pathway
have been documented in different mammalian models including nonhuman primates
and human. Deficiency of metabolic fuels during fasting causes a marked reduction
of Kiss1 gene transcript levels in the hypothalamus and, hence, decreases the
output of KP-containing neurons. Food intake or exogenous supply of metabolic
cues, such as leptin, reverses metabolic insufficiency-related changes in the
hypothalamic KP signaling. Likewise, alterations in Kiss1 expression have also
been reported in other situations of energy imbalance like diabetes and obesity.
Information related to the body's current metabolic status reaches to KP neurons
both directly as well as indirectly via a complex network of other neurons. In
this review article, we have provided an updated summary of the available
literature on the regulation of the hypothalamic KP-Kiss1r signaling by metabolic
cues. In particular, the potential mechanisms of metabolic impact on the
hypothalamic KP-Kiss1r signaling, in light of available evidence, are discussed.
PMID- 29643837
TI - Insulin-Like Growth Factor Binding Proteins and IGFBP Proteases: A Dynamic System
Regulating the Ovarian Folliculogenesis.
AB - The aim of the present article is to update our understanding of the expression
of the insulin-like growth factor binding proteins (IGFBPs), IGFBP proteases and
their implication in the different processes of ovarian folliculogenesis in
mammals. In the studied species, IGFs and several small-molecular weight IGFBPs
(in particular IGFBP-2 and IGFBP-4) are considered, respectively, as stimulators
and inhibitors of follicular growth and maturation. IGFs play a key role in
sensitizing ovarian granulosa cells to FSH action during terminal follicular
growth. Concentrations of IGFBP-2 and IGFBP-4 in follicular fluid strongly
decrease during follicular growth, leading to an increase in IGF bioavailability.
Inversely, atresia is characterized by an increase of IGFBP-2 and IGFBP-4 levels,
leading to a decrease in IGF bioavailability. Changes in intrafollicular IGFBPs
content are due to variations in mRNA expression and/or proteolytic degradation
by the pregnancy-associated plasma protein-A (PAPP-A), and likely participates in
the selection of dominant follicles. The identification of PAPP-A2, as an IGFBP-3
and -5 protease, and stanniocalcins (STCs) as inhibitors of PAPP-A activity
extends the IGF system. Studies on their implication in folliculogenesis in
mammals are still in the early stages.
PMID- 29643838
TI - Identification of Transmembrane Protease Serine 2 and Forkhead Box A1 As the
Potential Bisphenol A Responsive Genes in the Neonatal Male Rat Brain.
AB - Perinatal exposure of Bisphenol A (BPA) to rodents modifies their behavior in
later life. To understand how BPA modifies their neurodevelopmental process, we
first searched for BPA responsive genes from androgen and estrogen receptor
signaling target genes by polymerase chain reaction array in the neonatal male
rat brain. We used a transgenic strain of Wistar rats carrying enhanced green
fluorescent protein tagged to gonadotropin-inhibitory hormone (GnIH) promoter to
investigate the possible interaction of BPA responsive genes and GnIH neurons. We
found upregulation of transmembrane protease serine 2 (Tmprss2), an androgen
receptor signaling target gene, and downregulation of Forkhead box A1 (Foxa1), an
ER signaling target gene, in the medial amygdala of male rats that were
subcutaneously administered with BPA from day 1 to 3. Tmprss2-immunoreactive (ir)
cells were distributed in the olfactory bulb, cerebral cortex, hippocampus,
amygdala, and hypothalamus in 3 days old but not in 1-month-old male rats.
Density of Tmprss2-ir cells in the medial amygdala was increased by daily
administration of BPA from day 1 to 3. Tmprss2 immunoreactivity was observed in
26.5% of GnIH neurons clustered from the ventral region of the ventromedial
hypothalamic nucleus to the dorsal region of the arcuate nucleus of 3-day-old
male rat hypothalamus. However, Tmprss2 mRNA expression significantly decreased
in the amygdala and hypothalamus of 1-month-old male rats. Foxa1 mRNA expression
was higher in the hypothalamus than the amygdala in 3 days old male rats. Intense
Foxa1-ir cells were only found in the peduncular part of lateral hypothalamus of
3-day-old male rats. Density of Foxa1-ir cells in the hypothalamus was decreased
by daily administration of BPA from day 1 to 3. Foxa1 mRNA expression in the
hypothalamus also significantly decreased at 1 month. These results suggest that
BPA disturbs the neurodevelopmental process and behavior of rats later in their
life by modifying Tmprss2 and Foxa1 expressions in the brain.
PMID- 29643839
TI - Variance Component Selection With Applications to Microbiome Taxonomic Data.
AB - High-throughput sequencing technology has enabled population-based studies of the
role of the human microbiome in disease etiology and exposure response.
Microbiome data are summarized as counts or composition of the bacterial taxa at
different taxonomic levels. An important problem is to identify the bacterial
taxa that are associated with a response. One method is to test the association
of specific taxon with phenotypes in a linear mixed effect model, which
incorporates phylogenetic information among bacterial communities. Another type
of approaches consider all taxa in a joint model and achieves selection via
penalization method, which ignores phylogenetic information. In this paper, we
consider regression analysis by treating bacterial taxa at different level as
multiple random effects. For each taxon, a kernel matrix is calculated based on
distance measures in the phylogenetic tree and acts as one variance component in
the joint model. Then taxonomic selection is achieved by the lasso (least
absolute shrinkage and selection operator) penalty on variance components. Our
method integrates biological information into the variable selection problem and
greatly improves selection accuracies. Simulation studies demonstrate the
superiority of our methods versus existing methods, for example, group-lasso.
Finally, we apply our method to a longitudinal microbiome study of Human
Immunodeficiency Virus (HIV) infected patients. We implement our method using the
high performance computing language Julia. Software and detailed documentation
are freely available at https://github.com/JingZhai63/VCselection.
PMID- 29643840
TI - Azole-Resistance in Aspergillus terreus and Related Species: An Emerging Problem
or a Rare Phenomenon?
AB - Objectives: Invasive mold infections associated with Aspergillus species are a
significant cause of mortality in immunocompromised patients. The most frequently
occurring aetiological pathogens are members of the Aspergillus section Fumigati
followed by members of the section Terrei. The frequency of Aspergillus terreus
and related (cryptic) species in clinical specimens, as well as the percentage of
azole-resistant strains remains to be studied. Methods: A global set (n = 498) of
A. terreus and phenotypically related isolates was molecularly identified (beta
tubulin), tested for antifungal susceptibility against posaconazole,
voriconazole, and itraconazole, and resistant phenotypes were correlated with
point mutations in the cyp51A gene. Results: The majority of isolates was
identified as A. terreus (86.8%), followed by A. citrinoterreus (8.4%), A. hortai
(2.6%), A. alabamensis (1.6%), A. neoafricanus (0.2%), and A. floccosus (0.2%).
One isolate failed to match a known Aspergillus sp., but was found most closely
related to A. alabamensis. According to EUCAST clinical breakpoints azole
resistance was detected in 5.4% of all tested isolates, 6.2% of A. terreus sensu
stricto (s.s.) were posaconazole-resistant. Posaconazole resistance differed
geographically and ranged from 0% in the Czech Republic, Greece, and Turkey to
13.7% in Germany. In contrast, azole resistance among cryptic species was rare 2
out of 66 isolates and was observed only in one A. citrinoterreus and one A.
alabamensis isolate. The most affected amino acid position of the Cyp51A gene
correlating with the posaconazole resistant phenotype was M217, which was found
in the variation M217T and M217V. Conclusions:Aspergillus terreus was most
prevalent, followed by A. citrinoterreus. Posaconazole was the most potent drug
against A. terreus, but 5.4% of A. terreus sensu stricto showed resistance
against this azole. In Austria, Germany, and the United Kingdom posaconazole
resistance in all A. terreus isolates was higher than 10%, resistance against
voriconazole was rare and absent for itraconazole.
PMID- 29643842
TI - Inhibitory Effects of Sulfate and Nitrate Reduction on Reductive Dechlorination
of PCP in a Flooded Paddy Soil.
AB - Pentachlorophenol (PCP) is highly toxic and persistent in soils. Bioreduction of
PCP often co-occurs with varying concentrations of sulfate and nitrate in flooded
paddy soils where each can act as an electron acceptor. Anaerobic soil microcosms
were constructed to evaluate the influence of sulfate and nitrate amendments and
their redox processes. Microcosms with varying sulfate and nitrate concentrations
demonstrated an inhibitory effect on reductive dechlorination of PCP compared to
an untreated control. Compared to nitrate, sulfate exhibited a more significant
impact on PCP dechlorination, as evidenced by a lower maximum reaction rate and a
longer time to reach the maximum reaction rate. Dechlorination of PCP was
initiated at the ortho-position, and then at the para- and meta-positions to form
3-CP as the final product in all microcosms. Deep sequencing of microbial
communities in the microcosms revealed a strong variation in bacterial taxon
among treatments. Specialized microbial groups, such as the genus of
Desulfovibrio responding to the addition of sulfate, had a potential to mediate
the competitive microbial dechlorination of PCP. Our results provide an insight
into the competitive microbial-mediated reductive dechlorination of PCP in
natural flooded soil or sediment environments.
PMID- 29643843
TI - SIPSim: A Modeling Toolkit to Predict Accuracy and Aid Design of DNA-SIP
Experiments.
AB - DNA Stable isotope probing (DNA-SIP) is a powerful method that links identity to
function within microbial communities. The combination of DNA-SIP with
multiplexed high throughput DNA sequencing enables simultaneous mapping of in
situ assimilation dynamics for thousands of microbial taxonomic units. Hence,
high throughput sequencing enabled SIP has enormous potential to reveal patterns
of carbon and nitrogen exchange within microbial food webs. There are several
different methods for analyzing DNA-SIP data and despite the power of SIP
experiments, it remains difficult to comprehensively evaluate method accuracy
across a wide range of experimental parameters. We have developed a toolset
(SIPSim) that simulates DNA-SIP data, and we use this toolset to systematically
evaluate different methods for analyzing DNA-SIP data. Specifically, we employ
SIPSim to evaluate the effects that key experimental parameters (e.g., level of
isotopic enrichment, number of labeled taxa, relative abundance of labeled taxa,
community richness, community evenness, and beta-diversity) have on the
specificity, sensitivity, and balanced accuracy (defined as the product of
specificity and sensitivity) of DNA-SIP analyses. Furthermore, SIPSim can predict
analytical accuracy and power as a function of experimental design and community
characteristics, and thus should be of great use in the design and interpretation
of DNA-SIP experiments.
PMID- 29643841
TI - Mouse Models That Enhanced Our Understanding of Adult T Cell Leukemia.
AB - Adult T cell Leukemia (ATL) is an aggressive lymphoproliferative malignancy
secondary to infection by the human T-cell leukemia virus type I (HTLV-I) and is
associated with a dismal prognosis. ATL leukemogenesis remains enigmatic. In the
era of precision medicine in oncology, mouse models offer one of the most
efficient in vivo tools for the understanding of the disease biology and
developing novel targeted therapies. This review provides an up-to-date and
comprehensive account of mouse models developed in the context of ATL and HTLV-I
infection. Murine ATL models include transgenic animals for the viral proteins
Tax and HBZ, knock-outs for key cellular regulators, xenografts and humanized
immune-deficient mice. The first two groups provide a key understanding of the
role of viral and host genes in the development of ATL, as well as their
relationship with the immunopathogenic processes. The third group represents a
valuable platform to test new targeted therapies against ATL.
PMID- 29643844
TI - Emerging Roles of N6-Methyladenosine on HIV-1 RNA Metabolism and Viral
Replication.
AB - N6-methyladenosine (m6A) is the most abundant internal modification present in
Eukaryotic mRNA. The functions of this chemical modification are mediated by m6A
binding proteins (m6A readers) and regulated by methyltransferases (m6A writers)
and demethylases (m6A erasers), which together are proposed to be responsible of
a new layer of post-transcriptional control of gene expression. Despite the
presence of m6A in a retroviral genome was reported more than 40 years ago, the
recent development of sequencing-based technologies allowing the mapping of m6A
in a transcriptome-wide manner made it possible to identify the topology and
dynamics of m6A during replication of HIV-1 as well as other viruses. As such,
three independent groups recently reported the presence of m6A along the HIV-1
genomic RNA (gRNA) and described the impact of cellular m6A writers, erasers and
readers on different steps of viral RNA metabolism and replication.
Interestingly, while two groups reported a positive role of m6A at different
steps of viral gene expression it was also proposed that the presence of m6A
within the gRNA reduces viral infectivity by inducing the early degradation of
the incoming viral genome. This review summarizes the recent advances in this
emerging field and discusses the relevance of m6A during HIV-1 replication.
PMID- 29643845
TI - Spatiotemporal Distribution and Assemblages of Planktonic Fungi in the Coastal
Waters of the Bohai Sea.
AB - Fungi play a critical role in the nutrient cycling and ecological function in
terrestrial and freshwater ecosystems. Yet, many ecological aspects of their
counterparts in coastal ecosystems remain largely elusive. Using high-throughput
sequencing, quantitative PCR, and environmental data analyses, we studied the
spatiotemporal changes in the abundance and diversity of planktonic fungi and
their abiotic and biotic interactions in the coastal waters of three transects
along the Bohai Sea. A total of 4362 ITS OTUs were identified and more than 60%
of which were unclassified Fungi. Of the classified OTUs three major fungal
phyla, Ascomycota, Basidiomycota, and Chytridiomycota were predominant with
episodic low dominance phyla Cryptomycota and Mucoromycota (Mortierellales). The
estimated average Fungi-specific 18S rRNA gene qPCR abundances varied within 4.28
* 106 and 1.13 * 107copies/L with significantly (P < 0.05) different abundances
among the transects suggesting potential influence of the different riverine
inputs. The spatiotemporal changes in the OTU abundance of Ascomycota and
Basidiomycota phyla coincided significantly (P < 0.05) with nutrients traced to
riverine inputs and phytoplankton detritus. Among the eight major fungal orders,
the abundance of Hypocreales varied significantly (P < 0.01) across months while
Capnodiales, Pleosporales, Eurotiales, and Sporidiobolales varied significantly
(P < 0.05) across transects. In addition, our results likely suggest a tripartite
interaction model for the association within members of Cryptomycota
(hyperparasites), Chytridiomycota (both parasites and saprotrophs), and
phytoplankton in the coastal waters. The fungal network featured several hubs and
keystone OTUs besides the display of cooperative and competitive relationship
within OTUs. These results support the notion that planktonic fungi, hitherto
mostly undescribed, play diverse ecological roles in marine habitats and further
outline niche processes, tripartite and co-occurrence interaction as the major
drivers of their community structure and spatiotemporal distribution in the
coastal water column.
PMID- 29643846
TI - LILRB4 Decrease on uDCs Exacerbate Abnormal Pregnancy Outcomes Following
Toxoplasma gondii Infection.
AB - Toxoplasma gondii (T. gondii) infection in early pregnancy can result in
miscarriage, dead fetus, and other abnormalities. The LILRB4 is a central
inhibitory receptor in uterine dendritic cells (uDCs) that plays essential immune
regulatory roles at the maternal-fetal interface. In this study, T. gondii
infected human primary uDCs and T. gondii-infected LILRB4-/- pregnant mice were
utilized. The immune mechanisms underlying the role of LILRB4 on uDCs were
explored in the development of abnormal pregnancy outcomes following T. gondii
infection in vitro and in vivo. Our results showed that the expression levels of
LILRB4 on uDCs from normal pregnant mice were obviously higher than non-pregnant
mice, and peaked in mid-gestation. The LILRB4 expression on uDC subsets,
especially tolerogenic subsets, from mid-gestation was obviously down-regulated
after T. gondii infection and LILRB4 decrease could further regulate the
expression of functional molecules (CD80, CD86, and HLA-DR or MHC II) on uDCs,
contributing to abnormal pregnancy outcomes. Our results will shed light on the
molecular immune mechanisms of uDCs in abnormal pregnancy outcomes by T. gondii
infection.
PMID- 29643847
TI - The Advanced Anaerobic Expanded Granular Sludge Bed (AnaEG) Possessed Temporally
and Spatially Stable Treatment Performance and Microbial Community in Treating
Starch Processing Wastewater.
AB - This study implements temporal and spatial appraisals on the operational
performance and corresponding microbial community structure of a full-scale
advanced anaerobic expanded granular sludge bed (AnaEG) which was used to treat
low organic loading starch processing wastewater. Results showed stable treatment
efficiency could be maintained with long-term erratic influent quality, and a
major reaction zone located at the bottom of the AnaEG, where the main pollutant
removal rate was greater than 90%. Remarkably, high-throughput sequencing of 16S
rRNA gene amplicons displayed that the predominant members constructed the major
part of the overall microbial community and showed highly temporal stability.
They were affiliated to Chloroflexi (16.4%), Proteobacteria (14.01%), Firmicutes
(8.76%), Bacteroidetes (7.85%), Cloacimonetes (3.21%), Ignavibacteriae (1.80%),
Synergistetes (1.11%), Thermotogae (0.98%), and Euryarchaeota (3.18%). This part
of microorganism implemented the long-term stable treatment efficiency of the
reactor. Simultaneously, an extraordinary spatial homogeneity in the granule
physic properties and microbial community structure along the vertical direction
was observed within the AnaEG. In conclusion, the microbial community structure
and the bioreactor's performance showed notable spatial and temporal consistency,
and the predominant populations guaranteed a long-term favorable treatment
performance of the AnaEG. It provides us with a better understanding of the
mechanism of this recently proposed anaerobic reactor which was used in low
organic loading wastewater treatment.
PMID- 29643848
TI - In Vitro and in Vivo Selection of Potentially Probiotic Lactobacilli From
Nocellara del Belice Table Olives.
AB - Table olives are increasingly recognized as a vehicle as well as a source of
probiotic bacteria, especially those fermented with traditional procedures based
on the activity of indigenous microbial consortia, originating from local
environments. In the present study, we report characterization at the species
level of 49 Lactic Acid Bacteria (LAB) strains deriving from Nocellara del Belice
table olives fermented with the Spanish or Castelvetrano methods, recently
isolated in our previous work. Ribosomal 16S DNA analysis allowed identification
of 4 Enterococcus gallinarum, 3 E. casseliflavus, 14 Leuconostoc mesenteroides,
19 Lactobacillus pentosus, 7 L. coryniformis, and 2 L. oligofermentans. The L.
pentosus and L. coryniformis strains were subjected to further screening to
evaluate their probiotic potential, using a combination of in vitro and in vivo
approaches. The majority of them showed high survival rates under in vitro
simulated gastro-intestinal conditions, and positive antimicrobial activity
against Salmonella enterica serovar Typhimurium, Listeria monocytogenes and
enterotoxigenic Escherichia coli (ETEC) pathogens. Evaluation of antibiotic
resistance to ampicillin, tetracycline, chloramphenicol, or erythromycin was also
performed for all selected strains. Three L. coryniformis strains were selected
as very good performers in the initial in vitro testing screens, they were
antibiotic susceptible, as well as capable of inhibiting pathogen growth in
vitro. Parallel screening employing the simplified model organism Caenorhabditis
elegans, fed the Lactobacillus strains as a food source, revealed that one L.
pentosus and one L. coryniformis strains significantly induced prolongevity
effects and protection from pathogen-mediated infection. Moreover, both strains
displayed adhesion to human intestinal epithelial Caco-2 cells and were able to
outcompete foodborne pathogens for cell adhesion. Overall, these results are
suggestive of beneficial features for novel LAB strains, which renders them
promising candidates as starters for the manufacturing of fermented table olives
with probiotic added value.
PMID- 29643849
TI - Insights Into Vaginal Bacterial Communities and Metabolic Profiles of Chlamydia
trachomatis Infection: Positioning Between Eubiosis and Dysbiosis.
AB - The vaginal microbiota plays a crucial role in maintaining the health and
functioning of the female genital tract, preventing the colonization of
urogenital pathogens and sexually transmitted infections. In this study, we
characterized the vaginal bacterial communities and the metabolome associated to
Chlamydia trachomatis infection (CT: 20 women), compared to healthy condition (H:
22 women) and bacterial vaginosis (BV: 19 women). A microarray-based tool
(VaginArray), implemented with a real-time PCR for Gardnerella vaginalis, was
used to determine the vaginal bacterial composition, whereas the metabolic
profiles were assessed by a proton-based nuclear magnetic resonance (1H-NMR)
spectroscopy. CT infection was characterized by bacterial and metabolic
signatures similar to healthy condition, even though higher amounts of
Lactobacillus iners, as well as depletion of some amino acids, biogenic amines,
and succinate marked CT infection. Moreover, the frequency of Lactobacillus
crispatus was higher in asymptomatic CT-positive patients than in women with CT
correlated symptoms. We also confirmed the marked differences in the microbiome
and metabolome between healthy and BV-affected women. In conclusion, we
highlighted microbial and metabolic peculiarities of the vaginal ecosystem in the
case of CT infection, even though further studies are needed to understand if the
observed alterations precede the infection onset or if the pathogen itself
perturbs the vaginal environment.
PMID- 29643850
TI - A Perspective on the Potential Zoonotic Role of Streptococcus agalactiae:
Searching for a Missing Link in Alternative Transmission Routes.
PMID- 29643851
TI - Atomic Force Microscopy Provides New Mechanistic Insights into the Pathogenesis
of Pemphigus.
AB - Autoantibodies binding to the extracellular domains of desmoglein (Dsg) 3 and 1
are critical in the pathogenesis of pemphigus by mechanisms leading to impaired
function of desmosomes and blister formation in the epidermis and mucous
membranes. Desmosomes are highly organized protein complexes which provide strong
intercellular adhesion. Desmosomal cadherins such as Dsgs, proteins of the
cadherin superfamily which interact via their extracellular domains in Ca2+
dependent manner, are the transmembrane adhesion molecules clustered within
desmosomes. Investigations on pemphigus cover a wide range of experimental
approaches including biophysical methods. Especially atomic force microscopy
(AFM) has recently been applied increasingly because it allows the analysis of
native materials such as cultured cells and tissues under near-physiological
conditions. AFM provides information about the mechanical properties of the
sample together with detailed interaction analyses of adhesion molecules. With
AFM, it was recently demonstrated that autoantibodies directly inhibit Dsg
interactions on the surface of living keratinocytes, a phenomenon which has long
been considered the main mechanism causing loss of cell cohesion in pemphigus. In
addition, AFM allows to study how signaling pathways altered in pemphigus control
binding properties of Dsgs. More general, AFM and other biophysical studies
recently revealed the importance of keratin filaments for regulation of Dsg
binding and keratinocyte mechanical properties. In this mini-review, we
reevaluate AFM studies in pemphigus and keratinocyte research, recapitulate what
is known about the interaction mechanisms of desmosomal cadherins and discuss the
advantages and limitations of AFM in these regards.
PMID- 29643853
TI - Gender Bias in Human Systemic Lupus Erythematosus: A Problem of Steroid Receptor
Action?
AB - Systemic lupus erythematosus (SLE) is a chronic systemic autoimmune disease
resulting from abnormal interactions between T and B cells. The acquisition of
SLE is linked to genetic susceptibility, and diverse environmental agents can
trigger disease onset in genetically susceptible individuals. However, the
strongest risk factor for developing SLE is being female (9:1 female to male
ratio). The female sex steroid, estradiol, working through its receptors,
contributes to the gender bias in SLE although the mechanisms remain enigmatic.
In a small clinical trial, monthly administration of the estrogen receptor
(ERalpha) antagonist, ICI182,780 (fulvestrant), significantly reduced disease
indicators in SLE patients. In order to identify changes that could account for
improved disease status, the present study utilized fulvestrant (Faslodex) to
block ERalpha action in cultured SLE T cells that were purified from blood
samples collected from SLE patients (n = 18, median age 42 years) and healthy
control females (n = 25, median age 46 years). The effects of ERalpha antagonism
on estradiol-dependent gene expression and canonical signaling pathways were
analyzed. Pathways that were significantly altered by addition of Faslodex
included T helper (Th) cell differentiation, steroid receptor signaling
[glucocorticoid receptor (GR), ESR1 (ERalpha)], ubiquitination, and sumoylation
pathways. ERalpha protein expression was significantly lower (p < 0.018) in
freshly isolated, resting SLE T cells suggesting ERalpha turnover is inherently
faster in SLE T cells. In contrast, ERalpha/ERbeta mRNA and ERbeta protein levels
were not significantly different between SLE and normal control T cell samples.
Plasma estradiol levels did not differ (p > 0.05) between SLE patients and
controls. A previously undetected interaction between GR and ERalpha signaling
pathways suggests posttranslational modification of steroid receptors in SLE T
cells may alter ERalpha/GR actions and contribute to the strong gender bias of
this autoimmune disorder.
PMID- 29643854
TI - The Role of Quinine-Responsive Taste Receptor Family 2 in Airway Immune Defense
and Chronic Rhinosinusitis.
AB - Background: Bitter (T2R) and sweet (T1R) taste receptors in the airway are
important in innate immune defense, and variations in taste receptor
functionality in one T2R (T2R38) correlate with disease status and disease
severity in chronic rhinosinusitis (CRS). Quinine is a bitter compound that is an
agonist for several T2Rs also expressed on sinonasal cells, but not for T2R38.
Because of this property, quinine may stimulate innate immune defense mechanisms
in the airway, and functional differences in quinine perception may be reflective
of disease status in CRS. Methods: Demographic and taste intensity data were
collected prospectively from CRS patients and non-CRS control subjects. Sinonasal
tissue from patients undergoing rhinologic surgery was also collected and grown
at an air-liquid interface (ALI). Nitric oxide (NO) production and dynamic
regulation of ciliary beat frequency in response to quinine stimulation were
assessed in vitro. Results: Quinine reliably increased ciliary beat frequency and
NO production in ALI cultures in a manner consistent with T2R activation (p <
0.01). Quinine taste intensity rating was performed in 328 CRS patients and 287
control subjects demonstrating that CRS with nasal polyps (CRSwNP) patients rated
quinine as significantly less intense than did control subjects. Conclusion:
Quinine stimulates airway innate immune defenses by increasing ciliary beat
frequency and stimulating NO production in a manner fitting with T2R activation.
Patient variability in quinine sensitivity is observed in taste intensity
ratings, and gustatory quinine "insensitivity" is associated with CRSwNP status.
Thus, taste tests for quinine may be a biomarker for CRSwNP, and topical quinine
has therapeutic potential as a stimulant of innate defenses.
PMID- 29643852
TI - Innate Immune Responses in Leprosy.
AB - Leprosy is an infectious disease that may present different clinical forms
depending on host immune response to Mycobacterium leprae. Several studies have
clarified the role of various T cell populations in leprosy; however, recent
evidences suggest that local innate immune mechanisms are key determinants in
driving the disease to its different clinical manifestations. Leprosy is an ideal
model to study the immunoregulatory role of innate immune molecules and its
interaction with nervous system, which can affect homeostasis and contribute to
the development of inflammatory episodes during the course of the disease.
Macrophages, dendritic cells, neutrophils, and keratinocytes are the major cell
populations studied and the comprehension of the complex networking created by
cytokine release, lipid and iron metabolism, as well as antimicrobial effector
pathways might provide data that will help in the development of new strategies
for leprosy management.
PMID- 29643855
TI - Targeting Sphingosine Kinase Isoforms Effectively Reduces Growth and Survival of
Neoplastic Mast Cells With D816V-KIT.
AB - Mastocytosis is a disorder resulting from an abnormal mast cell (MC) accumulation
in tissues that is often associated with the D816V mutation in KIT, the tyrosine
kinase receptor for stem cell factor. Therapies available to treat aggressive
presentations of mastocytosis are limited, thus exploration of novel
pharmacological targets that reduce MC burden is desirable. Since increased
generation of the lipid mediator sphingosine-1-phosphate (S1P) by sphingosine
kinase (SPHK) has been linked to oncogenesis, we studied the involvement of the
two SPHK isoforms (SPHK1 and SPHK2) in the regulation of neoplastic human MC
growth. While SPHK2 inhibition prevented entry into the cell cycle in normal and
neoplastic human MCs with minimal effect on cell survival, SPHK1 inhibition
caused cell cycle arrest in G2/M and apoptosis, particularly in D816V-KIT MCs.
This was mediated via activation of the DNA damage response (DDR) cascade,
including phosphorylation of the checkpoint kinase 2 (CHK2), CHK2-mediated M
phase inducer phosphatase 3 depletion, and p53 activation. Combination treatment
of SPHK inhibitors with KIT inhibitors showed greater growth inhibition of D816V
KIT MCs than either inhibitor alone. Furthermore, inhibition of SPHK isoforms
reduced the number of malignant bone marrow MCs from patients with mastocytosis
and the growth of D816V-KIT MCs in a xenograft mouse model. Our results reveal a
role for SPHK isoforms in the regulation of growth and survival in normal and
neoplastic MCs and suggest a regulatory function for SPHK1 in the DDR in MCs with
KIT mutations. The findings also suggest that targeting the SPHK/S1P axis may
provide an alternative to tyrosine kinase inhibitors, alone or in combination,
for the treatment of aggressive mastocytosis and other hematological malignancies
associated with the D816V-KIT mutation.
PMID- 29643856
TI - The Contribution of Non-Professional Antigen-Presenting Cells to Immunity and
Tolerance in the Liver.
AB - The liver represents a unique organ biased toward a tolerogenic milieu. Due to
its anatomical location, it is constantly exposed to microbial and food-derived
antigens from the gut and thus equipped with a complex cellular network that
ensures dampening T-cell responses. Within this cellular network, parenchymal
cells (hepatocytes), non-parenchymal cells (liver sinusoidal endothelial cells
and hepatic stellate cells), and immune cells contribute directly or indirectly
to this process. Despite this refractory bias, the liver is capable of mounting
efficient T-cell responses. How the various antigen-presenting cell (APC)
populations contribute to this process and how they handle danger signals
determine the outcome of the generated immune responses. Importantly, liver
mounted responses convey consequences not only for the local but also to systemic
immunity. Here, we discuss various aspects of antigen presentation and its
consequences by the non-professional APCs in the liver microenvironment.
PMID- 29643857
TI - A 17-kDa Fragment of Lactoferrin Associates With the Termination of Inflammation
and Peptides Within Promote Resolution.
AB - During the resolution of inflammation, macrophages engulf apoptotic
polymorphonuclear cells (PMN) and can accumulate large numbers of their corpses.
Here, we report that resolution phase macrophages acquire the neutrophil-derived
glycoprotein lactoferrin (Lf) and fragments thereof in vivo and ex vivo. During
the onset and resolving phases of inflammation in murine peritonitis and bovine
mastitis, Lf fragments of 15 and 17 kDa occurred in various body fluids, and the
murine fragmentation, accumulation, and release were mediated initially by
neutrophils and later by efferocytic macrophages. The 17-kDa fragment contained
two bioactive tripeptides, FKD and FKE that promoted resolution phase macrophage
conversion to a pro-resolving phenotype. This resulted in a reduction in
peritoneal macrophage numbers and an increase in the CD11blow subset of these
cells. Moreover, FKE, but not FKD, peptides enhanced efferocytosis of apoptotic
PMN, reduced TNFalpha and interleukin (IL)-6, and increased IL-10 secretion by
lipopolysaccharide-stimulated macrophages ex vivo. In addition, FKE promoted
neutrophil-mediated resolution at high concentrations (100 uM) by enhancing the
formation of cytokine-scavenging aggregated NETs (tophi) at a low cellular
density. Thus, PMN Lf is processed, acquired, and "recycled" by neutrophils and
macrophages during inflammation resolution to generate fragments and peptides
with paramount pro-resolving activities.
PMID- 29643858
TI - Tobacco Transcription Factor NtbHLH123 Confers Tolerance to Cold Stress by
Regulating the NtCBF Pathway and Reactive Oxygen Species Homeostasis.
AB - Cold stress is a major environmental factor that impairs plant growth and
development, geographic distribution, and crop productivity. The C-repeat binding
factor (CBF) regulatory pathway has an essential role in response to cold stress.
Here, we characterized a bHLH transcription factor from Nicotiana tabacum,
NtbHLH123, in response to cold stress (4 degrees C). Overexpression of NtbHLH123
enhanced cold tolerance in transgenic tobacco plants. Based on yeast one-hybrid,
chromatin immunoprecipitation PCR, and transient expression analysis assays,
NtbHLH123 binds directly to the G-box/E-box motifs in the promoter of the NtCBF
genes and positively regulates their expression. Furthermore, NtbHLH123
overexpressing plants showed lower electrolyte leakage, reduced malondialdehyde
contents, H2O2 and reactive oxygen species (ROS) accumulation under cold stress,
which contributed to alleviating oxidative damage to the cell membrane after cold
stress treatment. And NtbHLH123 increased stress tolerance by improving the
expression of a number of abiotic stress-responsive genes to mediate the ROS
scavenging ability and other stress tolerance pathways. Taken together, we
present a model suggesting that NtbHLH123 is a transcriptional activator that
functions as a positive regulator of cold tolerance by activating NtCBF, ROS
scavenging-related, and stress-responsive genes.
PMID- 29643859
TI - Major Co-localized QTL for Plant Height, Branch Initiation Height, Stem Diameter,
and Flowering Time in an Alien Introgression Derived Brassica napus DH
Population.
AB - Plant height (PH), branch initiation height (BIH), and stem diameter (SD) are
three stem-related traits that play crucial roles in plant architecture and
lodging resistance. Herein, we show one doubled haploid (DH) population obtained
from a cross between Y689 (one Capsella bursa-pastoris derived Brassica napus
intertribal introgression) and Westar (B. napus cultivar) that these traits were
significantly positively correlated with one another and with flowering time
(FT). Based on a high-density SNP map, a total of 102 additive quantitative trait
loci (QTL) were identified across six environments. Seventy-two consensus QTL and
49 unique QTL were identified using a two-round strategy of QTL meta-analysis.
Notably, a total of 19 major QTL, including 11 novel ones, were detected for
these traits, which comprised two QTL clusters on chromosomes A02 and A07.
Conditional QTL mapping was performed to preliminarily evaluate the genetic basis
(pleiotropy or tight linkage) of the co-localized QTL. In addition, QTL by
environment interactions (QEI) mapping was performed to verify the additive QTL
and estimate the QEI effect. In the genomic regions of all major QTL, orthologs
of the genes involved in phytohormone biosynthesis, phytohormone signaling,
flower development, and cell differentiation in Arabidopsis were proposed as
candidate genes. Of these, BnaA02g02560, an ortholog of Arabidopsis GASA4, was
suggested as a candidate gene for PH, SD, and FT; and BnaA02g08490, an ortholog
of Arabidopsis GNL, was associated with PH, BIH and FT. These results provide
useful information for further genetic studies on stem-related traits and plant
growth adaptation.
PMID- 29643860
TI - Seed and Foliar Application of Amino Acids Improve Variables of Nitrogen
Metabolism and Productivity in Soybean Crop.
AB - The application of amino acids in crops has been a common practice in recent
years, although most of the time they are associated with products based on algae
extracts or on fermented animal or vegetable wastes. However, little is known
about the isolated effect of amino acids on the development of crops. Therefore,
the objective of this research was to evaluate the effect of the application of
isolated amino acids on the in some steps of the soybean nitrogen metabolism and
on productivity. Experiments were carried out in a greenhouse and in the field
with the application of the amino acids glutamate (Glu), phenylalanine (Phe),
cysteine (Cys) and glycine (Gly) and as a set (Glu+Phe+Cys+Gly), as seed
treatment (ST), as foliar application (FA) and both (ST+FA), at the V4 growth
stage. Evaluations consisted of nitrate reductase and urease activities, nitrate,
ureide, total amino acids and total nitrogen content in leaves, and productivity.
The application of Glu to leaves, Cys as ST and a mixture of Glu+Cys+Phe+Gly as
ST+FA in the greenhouse experiment increased the total amino acids content. In
the field experiment all treatments increased the amino acid content in leaves.
At the V6 stage in the field experiment, all modes of Gly application, Glu as ST
and FA, Cys and Phe as ST+FA and Glu+Cys+Phe+Gly as FA increased the nitrate
content in leaves. In the greenhouse, application of Cys and Phe as ST increased
the production of soybean plants by at least 21%. The isolated application of
Cys, Phe, Gly, Glu and the set of these amino acids as ST increased the
productivity of soybean plants in the field experiment by at least 22%.
PMID- 29643861
TI - "Targeted Sequencing by Gene Synteny," a New Strategy for Polyploid Species:
Sequencing and Physical Structure of a Complex Sugarcane Region.
AB - Sugarcane exhibits a complex genome mainly due to its aneuploid nature and high
ploidy level, and sequencing of its genome poses a great challenge. Closely
related species with well-assembled and annotated genomes can be used to help
assemble complex genomes. Here, a stable quantitative trait locus (QTL) related
to sugar accumulation in sorghum was successfully transferred to the sugarcane
genome. Gene sequences related to this QTL were identified in silico from
sugarcane transcriptome data, and molecular markers based on these sequences were
developed to select bacterial artificial chromosome (BAC) clones from the
sugarcane variety SP80-3280. Sixty-eight BAC clones containing at least two gene
sequences associated with the sorghum QTL were sequenced using Pacific
Biosciences (PacBio) technology. Twenty BAC sequences were found to be related to
the syntenic region, of which nine were sufficient to represent this region. The
strategy we propose is called "targeted sequencing by gene synteny," which is a
simpler approach to understanding the genome structure of complex genomic regions
associated with traits of interest.
PMID- 29643862
TI - Comprehensive Characterization of Simple Sequence Repeats in Eggplant (Solanum
melongena L.) Genome and Construction of a Web Resource.
AB - We have characterized the simple sequence repeat (SSR) markers of the eggplant
(Solanum melongena) using a recent high quality sequence of its whole genome. We
found nearly 133,000 perfect SSRs, a density of 125.5 SSRs/Mbp, and also about
178,400 imperfect SSRs. Of the perfect SSRs, 15.6% were complex, with two
stretches of repeats separated by an intervening block of <100 nt. Di- and
trinucleotide SSRs accounted, respectively, for 43 and 37% of the total. The SSRs
were classified according to their number of repeats and overall length, and were
assigned to their linkage group. We found 2,449 of the perfect SSRs in 2,086
genes, with an overall density of 18.5 SSRs/Mbp across the gene space; 3,524
imperfect SSRs were present in 2,924 genes at a density of 26.7 SSRs/Mbp.
Putative functions were assigned via ontology to genes containing at least one
SSR. Using this data we developed an "Eggplant Microsatellite DataBase" (EgMiDB)
which permits identification of SSR markers in terms of their location on the
genome, type of repeat (perfect vs. imperfect), motif type, sequence, repeat
number and genomic/gene context. It also suggests forward and reverse primers. We
employed an in silico PCR analysis to validate these SSR markers, using as
templates two CDS sets and three assembled transcriptomes obtained from diverse
eggplant accessions.
PMID- 29643864
TI - A Method of High Throughput Monitoring Crop Physiology Using Chlorophyll
Fluorescence and Multispectral Imaging.
AB - We present a high throughput crop physiology condition monitoring system and
corresponding monitoring method. The monitoring system can perform large-area
chlorophyll fluorescence imaging and multispectral imaging. The monitoring method
can determine the crop current condition continuously and non-destructively. We
choose chlorophyll fluorescence parameters and relative reflectance of
multispectral as the indicators of crop physiological status. Using tomato as
experiment subject, the typical crop physiological stress, such as drought,
nutrition deficiency and plant disease can be distinguished by the monitoring
method. Furthermore, we have studied the correlation between the physiological
indicators and the degree of stress. Besides realizing the continuous monitoring
of crop physiology, the monitoring system and method provide the possibility of
machine automatic diagnosis of the plant physiology. Highlights: A newly designed
high throughput crop physiology monitoring system and the corresponding
monitoring method are described in this study. Different types of stress can
induce distinct fluorescence and spectral characteristics, which can be used to
evaluate the physiological status of plants.
PMID- 29643863
TI - Mutation in Rice Abscisic Acid2 Results in Cell Death, Enhanced Disease
Resistance, Altered Seed Dormancy and Development.
AB - Lesion mimic mutants display spontaneous cell death, and thus are valuable for
understanding the molecular mechanism of cell death and disease resistance.
Although a lot of such mutants have been characterized in rice, the relationship
between lesion formation and abscisic acid (ABA) synthesis pathway is not
reported. In the present study, we identified a rice mutant, lesion mimic mutant
9150 (lmm9150), exhibiting spontaneous cell death, pre-harvest sprouting,
enhanced growth, and resistance to rice bacterial and blast diseases. Cell death
in the mutant was accompanied with excessive accumulation of H2O2. Enhanced
disease resistance was associated with cell death and upregulation of defense
related genes. Map-based cloning identified a G-to-A point mutation resulting in
a D-to-N substitution at the amino acid position 110 of OsABA2 (LOC_Os03g59610)
in lmm9150. Knock-out of OsABA2 through CRISPR/Cas9 led to phenotypes similar to
those of lmm9150. Consistent with the function of OsABA2 in ABA biosynthesis, ABA
level in the lmm9150 mutant was significantly reduced. Moreover, exogenous
application of ABA could rescue all the mutant phenotypes of lmm9150. Taken
together, our data linked ABA deficiency to cell death and provided insight into
the role of ABA in rice disease resistance.
PMID- 29643865
TI - Moonlighting Proteins and Their Role in the Control of Signaling
Microenvironments, as Exemplified by cGMP and Phytosulfokine Receptor 1 (PSKR1).
AB - Signal generating and processing complexes and changes in concentrations of
messenger molecules such as calcium ions and cyclic nucleotides develop gradients
that have critical roles in relaying messages within cells. Cytoplasmic contents
are densely packed, and in plant cells this is compounded by the restricted
cytoplasmic space. To function in such crowded spaces, scaffold proteins have
evolved to keep key enzymes in the correct place to ensure ordered spatial and
temporal and stimulus-specific message generation. Hence, throughout the
cytoplasm there are gradients of messenger molecules that influence signaling
processes. However, it is only recently becoming apparent that specific complexes
involving receptor molecules can generate multiple signal gradients and enriched
microenvironments around the cytoplasmic domains of the receptor that regulate
downstream signaling. Such gradients or signal circuits can involve moonlighting
proteins, so called because they can enable fine-tune signal cascades via cryptic
additional functions that are just being defined. This perspective focuses on how
enigmatic activity of moonlighting proteins potentially contributes to regional
intracellular microenvironments. For instance, the proteins associated with
moonlighting proteins that generate cyclic nucleotides may be regulated by cyclic
nucleotide binding directly or indirectly. In this perspective, we discuss how
generation of cyclic nucleotide-enriched microenvironments can promote and
regulate signaling events. As an example, we use the phytosulfokine receptor
(PSKR1), discuss the function of its domains and their mutual interactions and
argue that this complex architecture and function enhances tuning of signals in
microenvironments.
PMID- 29643867
TI - Honest Errors and Self-Retraction should Not be Stigmatized or Penalized.
PMID- 29643868
TI - Measuring Learning of Medical Students through 'Programmatic Assessment'.
PMID- 29643866
TI - Joint Genomic Prediction of Canine Hip Dysplasia in UK and US Labrador
Retrievers.
AB - Canine hip dysplasia, a debilitating orthopedic disorder that leads to
osteoarthritis and cartilage degeneration, is common in several large-sized dog
breeds and shows moderate heritability suggesting that selection can reduce
prevalence. Estimating genomic breeding values require large reference
populations, which are expensive to genotype for development of genomic
prediction tools. Combining datasets from different countries could be an option
to help build larger reference datasets without incurring extra genotyping costs.
Our objective was to evaluate genomic prediction based on a combination of UK and
US datasets of genotyped dogs with records of Norberg angle scores, related to
canine hip dysplasia. Prediction accuracies using a single population were 0.179
and 0.290 for 1,179 and 242 UK and US Labrador Retrievers, respectively.
Prediction accuracies changed to 0.189 and 0.260, with an increased bias of
genomic breeding values when using a joint training set (biased upwards for the
US population and downwards for the UK population). Our results show that in this
study of canine hip dysplasia, little or no benefit was gained from using a joint
training set as compared to using a single population as training set. We
attribute this to differences in the genetic background of the two populations as
well as the small sample size of the US dataset.
PMID- 29643869
TI - Neurological complications are avoidable during CABG.
AB - Objective: To review the incidence of stroke in patients undergoing CABG and the
impact of a preventive strategy adopted at tertiary care unit of cardiac surgery.
Methods: The data of all patients who underwent isolated CABG (N= 722) from July
2016 to August 2017 at Faisalabad Institute of Cardiology was retrieved for this
retrospective study. All operations were done on cardiopulmonary bypass and cold
blood cardioplegia. Numeric data was summarized as Mean +/- Standard Deviation
while categoric variables were summarized into frequency and percentage. Results:
Mean age of patients was 53.83+/-8.8 years. Mean Parsonnet and Logistic EuroScore
were 4.3+/-3.2 and 3.3+/-0.9 respectively. Forty nine patients (6.78%) had
significant carotid artery disease. Mean number of grafts was 2.8+/-0.82.
Diabetes was present in 27.8% patients. Neurological complications were noticed
in 14 patients (1.94%) who included 12 permanent paralyses. Further subgroup
analysis revealed that 67 patients who were operated by single clamp technique
remained free of neurological complications. This is clinically remarkable
finding but due to small population size it is statistically non- significant.
Conclusion: The incidence of neurological complications can be reduced
significantly by adopting the appropriate preventing measures. Use of Single
Clamp technique may be the reasons of such a low incidence of stroke in this
study.
PMID- 29643870
TI - Therapeutic Endoscopy in Combination with Quadruple Therapy in Treating Bleeding
Caused by Gastric Ulcer.
AB - Objective: To analyze the efficacy of therapeutic endoscopy in combination with
quadruple therapy in treating bleeding caused by gastric ulcer and investigate
the factors inducing rebleeding. Methods: Two hundred and twelve patients with
bleeding caused by gastric ulcer who were admitted to Binzhou People's Hospital,
Shandong, China between April 2015 and April 2016 were selected as research
subjects. The patients were randomly divided into a control group and an
experimental group. Patients in the control group were treated by quadruple
therapy, while patients in the observation group received therapeutic endoscopy
treatment in addition to the same treatment as the control group. The treatment
efficacy, adverse reaction, H pylori (Hp) clearance rate and rebleeding were
compared between the two groups. Results: The effective rate of the observation
group was 98.1%, which was significantly higher than that of the control group
(80.2%), and the difference had statistical significance (P<0.05). The incidence
of adverse reactions in the observation group was lower than that in the control
group. The Hp clearance rate of the observation group was higher than that of the
control group, and the difference had statistical significance (P<0.05). The
multi-factor analysis on rebleeding suggested that whether therapeutic endoscopy
was performed or not, hemoglobin level and presence of peptic ulcer stage A1 were
independent risk factors. Conclusion: Endoscopic treatment in combination with
quadruple therapy is better in the treatment of bleeding caused by gastric ulcer
as compared to medical treatment alone. Patients with high-risk factors such as
low content of hemoglobin and ulcer at stage A1 should be monitored more
carefully to prevent the occurrence of rebleeding.
PMID- 29643871
TI - An examination of the sexual functions of patients who underwent a gynecologic
cancer operation and received brachytherapy.
AB - Objective: This study was planned as a descriptive study for the purpose of
examining the sexual functions of patients' who underwent a gynecological
operation and received brachytherapy. Methods: The study was conducted with 118
women who attended the Radiation Oncology Unit at Ege University Medical Faculty
Hospital in Izmir Province for Gynecological Oncology follow-up, who participated
voluntarily and were assigned using the random sampling method. The participants
were married, sexually active, had a diagnosis of gynecologic cancer, underwent
an operation and received brachytherapy for four months after the operation. The
Individual Identification Form and Female Sexual Function Index (FSFI) were used
as the data collection tools. Results: The average age of women who participated
in study was 50.90+/-7.98 and 41.5% of them had completed primary school. About
60% of the participants had cervical cancer and 69.5% had a total abdominal
hysterectomy with bilateral salpingo-oopherectomy. The FSFI average score was
determined to be 15.77+/-8.71. It was found that 97.5% of the participants
received fewer than 30 points from the scale and these participants thus
experienced sexual dysfunction. Conclusions: According to the findings obtained
from the study, almost all thewomen that had an operation as a result of
adiagnosis of gynecologic cancer and received brachytherapy experienced sexual
dysfunction.
PMID- 29643872
TI - Surgical Repair of post-infarction ventricular septal rupture: Determinants of
operative mortality and survival outcome analysis.
AB - Background and Objective: Ventricular septal rupture (VSR) is one of the fatal
complications of myocardial infarction (MI). Surgery provides the maximum
survival benefit. Our objective was to investigate the risk factors of surgical
mortality and to do the survival analysis in the past six years at our hospital.
Methods: All the patients operated at CPE Institute of Cardiology Multan
Pakistan, between 2009 and 2015 for repair of post MI VSR were analysed
retrospectively for demographics, comorbidities, operative and post operative
outcomes. The primary outcome was 30 days mortality. The follow up was done till
April 2017 and the follow up data was obtained from hospital records and by
telephoning the patients. SPSS was used for statistical analysis. P value < 0.05
was considered significant. Results: A total of 31 patients were operated for VSR
repair with a mean age of 57.19+/-7.73 years. Eighteen patients also had a
concomitant coronary artery bypass grafting (CABG). The operative mortality in
this series was 25.8% Univariate analysis showed that pre-operative ejection
fraction (E.F) (p value 0.010) and cardiogenic shock (p value 0.031) were a
significant risk factors for operative mortality while on logistic regression
analysis only the cardiogenic shock was found to be an independent risk factor
for operative mortality with the odds ratio of 2.17. Low ejection fraction only
acted as a confounding variable. The mean survival at six years was 34 months
with a survival rate of 28.6%. The additional CABG did not confer any survival
benefit. Conclusion: The patients in cardiogenic shock pre-operatively have a
high operative mortality. Low ejection fraction (E.F) acts as a confounding
factor. Concomitant CABG does not confer any survival benefit.
PMID- 29643873
TI - Gastric emptying scintigraphy in postprandial distress syndrome.
AB - Objective: To find out the pattern of gastric emptying scintigraphy (GES) in
patients with post prandial distress syndrome (PDS). Methods: This study was
carried out from January 2015 to July 2016 at Combined Military Hospital (CMH)
Kharian and Nuclear Medical Centre (NMC) of Armed Forces Institute of Pathology
(AFIP) Rawalpindi. Patient's inclusion criteria were dyspepsia of post prandial
distress type for more than six months duration. Patients with dyspepsia due to
epigastric pain syndrome and other organic disorder were excluded. Upper
gastrointestinal endoscopy was performed in all patients to rules out organic
causes. Four-hour Gastric emptying scintigraphy was carried out at NMC, AFIP.
Results were compiled and statistical assessment was done by utilizing SPSS IBM
22 version. Results: Thirty-eight patients were included in the study with age
range from 15-72 years with mean age of 37.05+/-13.5 years. Males were 28(73.7%)
and 10(26.7%) were female. Mean gastric retention with SD at one, two, three and
four hours were 63 +/- 19.04, 37+/- 20.62, 19+/-16.66 and 10+/-12.73 percent
respectively. Early gastric emptying was in 3(7.89%) and delayed gastric emptying
at two and four hours was seen in 4(10.52%) and 12(32%) respectively. Seventeen
(44%) of the patients had normal gastric emptying despite the classical symptoms
of PDS. Conclusion: Gastric dysmotility in GES seen in half of the patients
points some additional mechanism as well like gastric accommodation or visceral
hypersensitivity in the patients with PDS.
PMID- 29643874
TI - Effect of Erbium-yttrium, scandium, gallium and garnet (Er-YSGG) laser on the
bond strength of lithium disilicate ceramics.
AB - Objectives: To assess the bond strength of LD ceramics with resin composite
material and surface conditioning using Er: YSGG laser and HF acid. Methods:
Thirty LD ceramic (Emax, Ivoclar vivadent) discs were prepared using hot pressing
technique and treated with hydroflouric acid (Group-1-HF acid) (9%) (n=10) and Er
yttrium, scandium, gallium and garnet laser (Group-2-ER-YSGG laser) (Waterlase
iPlus, 10 Hz and power of 0.5 W, pulse duration of 230 MUs) (n=10). Ten specimens
were left untreated to be included as controls (Group-3-Control). All the
specimens were treated with Adper Single Bond adhesive (3MESPE, St. Paul, MN,
USA). Multicore buildups (3mmx3mm) were performed using a rubber mold on the
ceramic surfaces and cured using LED light-curing unit for 140 sec. All specimens
were tested using shear bond test and failure modes were assessed with a
stereomicroscope and scanning electron microscope. Data was analysed using ANOVA
and Tukey Kramer multiple comparisons test. Results: The maximum and minimum
shear bond strength values were achieved in HF Acid specimens (Group-1) (28.15+/
4.72 MPa) and control specimens (13.47+/- 3.14 MPa) respectively. Specimens
treated with HF acid showed significantly higher bond strength in comparison to
laser treated and control specimens (p<0.01). Laser treated specimens had
significantly higher bond strength as compared to controls (p<0.01). Conclusions:
Hydrofluoric (HF) acid treatment showed significantly better outcomes than YSGG
laser surface treatment.
PMID- 29643875
TI - Association of clinical and laboratory parameters with ambulatory arterial
stiffness index in acromegaly patients.
AB - Objective: In this study, we determined the relationship between the ambulatory
arterial stiffness index (AASI) and clinical and laboratory parameters in
patients with acromegaly. Methods: Sixty-five patients with acromegaly, who
visited to Dicle University Medical Faculty Department of Endocrinology (33
females and 32 males), were included in this study. The study control group
consisted of 65 subjects. Demographic and clinical data were recorded. Laboratory
data (complete blood count, blood urea nitrogen, creatinine, electrolytes,
albumin, lipid profile, growth hormone [GH], insulin-like growth factor-1, and
the 75-g oral glucose tolerance test) performed over the last year were
evaluated. The AASI was obtained from 24-hour ambulatory blood pressure
monitoring records of all patients. This study was completed in 15 months from
2013 to 2015. Results: Twelve patients (18.4%) had diabetes and 21 patients (32%)
had hypertension. The mean AASI value was 0.41 +/- 0.14. The mean AASI value in
the control group was 0.25 +/- 0.09. Growth hormone (GH) levels were positively
correlated with the AASI values. AASI values tended to be higher in hypertensive
subjects than that in normotensive individuals. Conclusions: Our results show
that the AASI value increased in patients with acromegaly, independent of the
increase in blood pressure. The AASI was strongly dependent on the degree of the
GH increase in patients with acromegaly and may have an important role predicting
cardiovascular risk in patients with acromegaly.
PMID- 29643876
TI - Clinical effects of single femoral nerve block in combination with general
anesthesia on geriatric patients receiving total knee arthroplasty.
AB - Objective: To evaluate the clinical effects of single femoral nerve block (sFNB)
combined with general anesthesia on geriatric patients receiving unilateral total
knee arthroplasty (UTKA). Methods: Sixty geriatric UTKA patients who were treated
in The First People's Hospital of Changzhou from January 2015 to August 2015 were
randomly divided into an sFNB + laryngeal mask airway (FLA) group, an sFNB +
tracheal intubation (FGA) group and a tracheal intubation (GA) group. Their
clinical parameters and indices were recorded. They were scored by the Visual
Analogue Scale (VAS). Results: All patients completed this study. FLA and FGA
groups used less propofol, remifentanil and fentanyl than GA group (P<0.01), with
shorter recovery time and extubation time (P<0.05). Compared to GA group, FLA and
FGA groups had lower systolic blood pressures at T3, T4 and T5 (P<0.05), and
lower heart rates at T5 (P<0.05). FLA and FGA groups had fewer cases of adverse
reactions after extubation (P<0.01). FLA group was less prone to irritating cough
after extubation and pharyngeal pain than FGA and GA groups (P<0.01). The
postoperative six hour and 24 hour VAS scores in resting state as well as the
postoperative 24 hour and 48 hour scores in training state of FLA and FGA groups
were lower than those of GA group (P<0.05). FLA and FGA groups used significantly
lower times and total doses of patient-controlled intravenous analgesia pump.
Conclusion: sFNB combined with general anesthesia, especially that using
laryngeal mask, were superior to general anesthesia alone, which reduced recovery
and extubation times, and decreased intraoperative and postoperative drug uses,
postoperative early VAS score and adverse reactions.
PMID- 29643877
TI - Comparison between primary closure with Limberg Flap versus open procedure in
treatment of pilonidal sinus, in terms of frequency of post-operative wound
infection.
AB - Objective: Pilonidal sinus is a disorder of the sacrococcygeal region affecting
younger individuals with a higher hair and weight distribution. Treatment
involves the use of various surgical modalities, most of which are associated
with a high rate of complications. Open procedure (OP) and Limberg Flap (LF) are
two commonly performed surgical procedures for the correction of pilonidal sinus
disease in our setup. The objective of our study was to compare the treatment of
pilonidal sinus disease by primary closure with Limberg Flap verses Open
procedure in terms of frequency of postoperative wound infection. Methods: The
study is a randomized clinical trial (RCT) conducted at the department of
surgery, military hospital, Rawalpindi, Pakistan. It was carried out over a
period of 8 months from 16 February, 2015 to 16 September, 2015. Using
consecutive non-probability sampling, a total of 60 patients were selected, 30 of
whom underwent Limberg Flap procedure and the remaining 30 underwent open
procedure. Postoperatively, observations for wound infection on date of discharge
and then again on the various follow-up visits over the next 3 weeks. The data
collected was then compared by applying the chi-square test, with p-value less
than 0.05 considered statistically significant. Results: Our results showed that
both primary closure with Limberg flap, and open procedure are comparable options
in terms of wound infection. There was no statistical significance in the
incidence of post operative infections, between the two surgeries. Conclusion: In
terms of wound infection, both procedures are satisfactory surgical procedures
for Pilonidal sinus disease.
PMID- 29643878
TI - Is postmenopausal endometrial fluid collection alone a risk factor for
endometrial cancer?
AB - Objective: To determine the usefulness of single-layer, ultrasonographic
measurement of endometrial fluid collection (EFC) volume to predict endometrial
pathology in asymptomatic postmenopausal patients. Methods: One hundred fifty
asymptomatic postmenopausal women were analysed retrospectively from January 2012
to December 2016. After patients with endometrial hyperplasia/neoplasia were
included in Group-I, and those with insufficient tissue, endometrial atrophy, or
endometritis were included in Group-II; Groups one and two were compared with
respect to primary (correlations between endometrial thickness and EFC volume)
and secondary (correlations between demographic characteristics and EFC volume)
outcomes. Results: There was no correlation between EFC volume and single-layer
endometrial thickness (P = 0.36). Likewise, demographic characteristics were not
related to EFC (P > 0.05). However, both EFC volume and single-layer endometrial
thickness were thicker in Group-I compared to Group-II (4.8 +/- 1.9 mm vs. 3.7 +/
2.5 mm; and 5.7 +/- 9.4 mm vs. 2.7 +/- 2.5 mm, respectively) (P values were <
0.05). Conclusion: Although a cutoff value for endometrial thickness and EFC
volume could not be recommended based on our study findings, it should be noted
that 2% is a clinically significant rate of malignancy. Thus, postmenopausal
patients with EFC should be evaluated for endometrial sampling.
PMID- 29643879
TI - Transesophageal Echocardiography in Cardiogenic Embolic Cerebral Infarction.
AB - Objective: To evaluate the diagnostic values of transesophageal echocardiography
(TEE) and transthoracic echocardiography (TTE) in cardiogenic embolic cerebral
infarction. Methods: Fifty patients with occult cerebral infarction who were
admitted to the hospital between June 2015 and June 2016 were selected as
research subjects. The patients were diagnosed by transesophageal
echocardiography and transthoracic echocardiography. Diagnostic data were
compared to analyze the values of the two diagnostic methods. Results: Sixteen
out of fifty patients were diagnosed as cardiogenic embolic cerebral infarction
by TEE (32%), including two cases of aortic plaques, six cases of atrial septal
defect, two cases of atrial septal aneurysm, two cases of patent foramen ovale,
one case of left atrial spontaneous echo contrast, one case of mitral prolapse
and two case of mitral stenosis. Four cases were diagnosed as cardiogenic embolic
cerebral infarction by TTE (8.0%), including one case of patent foramen ovale,
one case of left atrial spontaneous echo contrast, one case of mitral prolapse
and one case of mitral stenosis. The difference was statistically significant
(P<0.05). The main difference of TEE and TTE was detection of aorta atheromatous
plaques and atrial septal lesions. Aortic atheromatous plaques of two cases and
atrial septal lesions of eight cases were missed in the diagnosis by TTE.
Conclusion: Detection and diagnosis of cardiac embolic cerebral infarction with
TEE is highly accurate and advantageous. Therefore, TEE is worth promotion and
application.
PMID- 29643880
TI - Intra-Operative Predictors of difficult cholecystectomy and Conversion to Open
Cholecystectomy - A New Scoring System.
AB - Objective: To evaluate the intra-operative scoring system to predict difficult
cholecystectomy and conversion to open surgery. Methods: This descriptive study
was conducted from March 2016 to August, 2016 in the Department of Surgery,
Shalimar Hospital. The study recruited 120 patients of either gender, age greater
than 18 years and indicated for laparoscopic cholecystectomy (LC). Intra
operatively all patients were evaluated using the new scoring system. The scoring
system included five aspects; appearance and adhesion of Gall Bladder (GB),
distension or contracture degree of GB, ease in access, local or septic
complications, and time required for cystic artery and duct identification. The
scoring system ranges from 0 to 10, classified as score of <2 being considered
easy, 2 to 4 moderate, 5-7 very difficult, and 8 to 10, extreme. Patient
demographic data (i.e. age, gender), co-morbidities, intra-operative scores using
the scoring system and conversion to open were recorded. The data was analysed
using statistical analysis software SPSS (IBM). Results: Among one hundred and
twenty participants, sixty seven percent were females and the mean age (years)
was 43.05 +/- 14.16. Co-morbidities were present in twenty percent patients with
eleven diagnosed with diabetes, six with hypertension and five with both
hypertension and diabetes. The conversion rate to open surgery was 6.7%. The
overall mean intra-operative scores were 3.52 +/- 2.23; however significant
difference was seen in mean operative score of converted to open and those not
converted to open (8.00 +/- 0.92 Vs. 3.20 V 1.92; p-value = 0.001). Among eight
cases converted to open, three (37.5%) were in very difficult category while five
(62.5%) were in extreme category. Moreover, age greater than 40 years and being
diabetic were also the risk factors for conversion to open surgery. Conclusion:
The new intra-operative scoring system is a valuable assessment tool to predict
difficult laparoscopic cholecystectomy and conversion parameters to open surgery
and its utility could improve patient's clinical outcome indicated for
laparoscopic cholecystectomy.
PMID- 29643881
TI - Urinary tract infections in the geriatric patients.
AB - Objective: Urinary tract infections (UTI) are the second most common infection in
geriatric population. This study investigated clinical findings, diagnostic
approaches, complicating factors, prognosis, causative microorganisms and
antimicrobial susceptibility in geriatric patients diagnosed with UTI. Methods: A
total of 140 hospitalised patients with UTIs were evaluated within three years
between January 2011-January 2015 at the Eskisehir Yunus Emre State Hospital. UTI
diagnosed when there were systemic and urinary signs and symptoms and a positive
dipstick test and urine culture result, leukocyte and CRP like serum parameters.
Results: Among the studied patients, 41.4% had urological diseases, 20.7% had
diabetes mellitus and 19.2% had neurological diseases. The most common symptoms
and signs were fever, dysuria nausea/vomiting, general condition impairment,
pyuria, haematuria. The laboratory values for CRP, ESR and leukocyte count were
84 mg/dL, 56 mm/s and 11.9 (10^3MUL), with mean values being determined. Among
patients having a urinary catheter (17.1%), 27.9% had a history of UTI, while
29.3% had been hospitalised. Escherichia coli and Klebsiella pneumoniae were the
most commonly identified microorganisms. The mean duration for hospitalisation
was 7.6 days, while a 5% mortality rate was observed over the course of the
disease. Conclusion: Because of the potential for serious complications and
mortality, elderly patients with urinary tract infection, should receive
immediate empirical treatment based on anamnesis, clinical evaluation and
urinalysis and should be re-examined using results from cultures and antibiograms
upon follow-up.
PMID- 29643882
TI - Nerve growth factor in combination with Oxiracetam in the treatment of
Hypertensive Cerebral Hemorrhage.
AB - Objective: To compare the clinical efficacy of nerve growth factor (NGF) in
combination with oxiracetam and single use of oxiracetam in the treatment of
hypertensive cerebral hemorrhage. Methods: One hundred and forty patients with
hypertensive cerebral hemorrhage who were admitted to the hospital from July 2015
to September 2016 were selected as research subjects and randomly divided into a
treatment group which was treated by NGF in combination with oxiracetam and a
control group which was treated by oxiracetam only. The clinical efficacy was
observed, and the death of both groups was recorded. Results: The National
Institutes of Health Stroke Scale (NIHSS) score, Glasgow Coma Scale (GCS) score
and limbs muscle force of both groups improved after treatment, and the
improvement of the treatment was superior to that of the control group,
suggesting a significant difference (P<0.05). The reduction of serum inflammatory
factor level of the treatment group was much larger than that of the control
group after treatment, and the difference had statistical significance (P<0.05).
The survival analysis suggested that the survival rates of the two groups had a
statistically significant difference (P<0.05). Conclusion: NGF in combination
with oxiracetam is significantly effective in treating hypertensive cerebral
hemorrhage as it can apparently recover neurologic impairment and limbs muscle
force. The therapy has important clinical application values.
PMID- 29643883
TI - Comparison of volume and frequency advancement feeding protocols in very low
birth weight neonates.
AB - Objective: To determine the outcomes in very low birth weight (VLBW) neonates
receiving volume advancement versus frequency advancement feeding protocols.
Methods: This controlled clinical trial was conducted in Children Hospital Multan
within duration of 6 months from February 2017 to August 2017. VLBW neonates
having weight < 1500 g at the time of birth were included. The protocol for
frequency advancement (FA) group was to give 1 ml/kg human or pre-formula milk
after every 8 hours and in volume advancement (VA) group after every 3 hours
initially. After three days, in FA group duration of feeds was decreased
gradually from 8 to 2 hours and feed volume of 10 ml.kg-1.day-1 until full
recommended dose of feeding i.e. 150 ml.kg-1.day-1 reached. While in VA group,
volume of 20 ml.kg-1.day-1 was given until full-recommended dose of feeding
reached. Days to achieve full feed, weight gain, and length of hospital stay were
primary study outcomes. Results: Baseline weight of neonates was 1148 (111) grams
in VA 1179 (106) grams in FA groups (p-value 0.18). In VA group, full feed was
achieved in 11.04 (2.38) days versus 15.76 (2.48) days in FA group (P-value
<0.001). Duration of IV fluid therapy were 13.5 (8.4) days in FA group versus 9.4
(7.6) in VA group (p-value <0.001). Moreover weight gain at the end of feeding
protocol was significantly higher in VA group 1440 (78) grams versus 1284 (99)
grams in FA group (P-value <0.001). Necrotizing entero-colitis occurred in only
one neonate that was belonging to volume advancement group. Conclusion: Volume
advancement (VA) feeding is better as compared to frequency advancement (FA)
feeding in very low birth weight neonates.
PMID- 29643884
TI - Brachdactyly Instigated as a Result of Mutation in GDF5 and NOG Genes in
Pakistani Population.
AB - Objectives: Brachdactyly a genetic disorder associated with the abnormal
development of metacarpals, phalanges or both which results in the shortening of
hands and feet. Mutations in the contributing genes has been recognized with the
majority of the investigated syndromic form of brachdactyly. The current study
was proposed to examine mutation in NOG and GDF5 genes in a Pakistani family.
Methods: Poly Acrylamide Gel Electrophoresis and Polymerase Chain Reaction was
used for the genomic screening and linkage analysis to observe the mutation in
genes. The samples were collected from Luckki Marwat district, KPK, while the
research study was conducted in the department of Biochemistry, Quaid-I-Azam
University, Islamabad, Pakistan. Results: After survey, family was identified
with brachdactyly type A2 and investigated a heterozygous arginine to glutamine
exchange in the growth demarcation factor 5 in all the victim persons. Different
types of skeletal dysplasia resulted due to mutation in the GDF5 genes. Novel
GDF5 genes mutations were reported with distinct limb malformation and sequencing
of coding region revealed that the mildly affected individuals were heterozygous
while the harshly affected individuals were homozygous. Conclusion: The current
study reported the genetic variability and concluded that the Brachdacytyly type
A2 and type B2 resulted due to mutation in GDF5 and NOG genes respectively. A new
subtype of brachydactyly (BDB2) was instigated as a result of novel mutations in
NOG. The mutation has been reported for the first time in Pakistani population
and especially in Pushtoon ethnic population.
PMID- 29643885
TI - ANCA associated vasculitis in patients from Saudi Arabia.
AB - Objective: To explore clinical and laboratory features, therapy and outcome of
antineutrophil cytoplasmic antibodies (ANCA) associated vasculitis (AAV) patients
from our tertiary care center. Methods: This study of AAV patients seen in
Rheumatology clinics at King Khalid University hospital (KKUH), King Saud
University, Riyadh during the period 1990-2014 was carried out retrospectively.
Demographic, clinical, haematological and immunological parameters along with
therapy, complications and outcome were retrieved from patients' medical charts.
Different characteristics were compared between the three groups of AAV; GPA
(Granulomatosis with polyangiitis), MPA (Microscopic polyangiitis) and EGPA
(Eosinophilic granulomatosis with polyangiitis). Results: We identified 34 AAV
patients (21 males: 13 females; 31 Saudis: 3 non-Saudis) comprising of 23 GPA, 2
MPA and 9 EGPA cases. The mean age of onset was 42.1+/-17.6 years (range 11-75)
and mean duration of disease was 8.7+/- 5.1 years (range 1-20). The most
frequently affected system was pulmonary in all AAV (73.5%), GPA (65.2%) and EGPA
(100%) while it was renal in MPA (100%) patients. Ophthalmological and upper
airways involvement was higher in GPA. Neurological involvement was higher in
EGPA (p<0.05). ANCA were detected in 79.4% of AAV patients, of them c-ANCA were
77.8% and p-ANCA 22.2%. ANCA was positive in 91.3% GPA, 100% MPA and 44.4% EGPA
patients. In GPA c-ANCA were detected in 80.9% and p-ANCA in 17.4%, in MPA, c
ANCA were detected in 50% and p-ANCA in 50%, in EGPA, c-ANCA were observed in 75%
and p-ANCA in 25%. GPA patients had PR3 specificity in 93.3%, and MPO in 6.7%,
PR3 was present in all MPA patients (100%), while EGPA patients had MPO (100%).
Therapy administered were corticosteroids (100%), intravenous cyclophosphamide
(58.8%), azathioprine (50%) and rituximab (11.8%). Infections were noted in
29.4%. Conclusions: The 10-year survival in our AAV patients was 95%. ANCA
pattern was similar to Caucasian AAV patients and different from Japanese and
Chinese AAV patients.
PMID- 29643886
TI - An examination of anxiety levels of nursing students caring for patients in
terminal period.
AB - Objective: To investigate the anxiety levels of the nursing students who are
caring for the patients in the terminal period and to determine whether there is
a difference between 3rd, 4th grade in this direction. Methods: A 40-item "State
and Trait Anxiety Scale" was used together with the questionnaire on "Determining
the Level of Anxiety Levels of Nursing Students Caring for the Patient at the
Terminal Period" for determining the data. Results: The mean scores and standard
deviations of all students from the state and trait anxiety scales were
respectively 41.95+/-5.06, 48.15+/-5.44. Averages of 3rd state anxiety scale
score was 42.03 +/- 5.26, trait anxiety scale averages were 48.08 +/- 5.59;
Averages of 4th state anxiety scale score was 41.85 +/- 4.83, trait anxiety scale
averages were 48.24 +/- 5.30. Conclusion: In our study, it was found that there
wasn't significant difference between the 3rd, 4th grade students related to ill
patient care in terms of high level of state and trait anxiety during
communication and patient care. The state and trait anxiety scores of the
students in both grades were found to be higher than the average scores of the
scale's previous applications.
PMID- 29643887
TI - Liver histology in asymptomatic Hepatitis-C virus positive blood donors.
AB - Objective: To assess the Histological alteration of liver in asymptomatic HCV PCR
positive family blood donors. Methods: This is a prospective cross sectional
study carried out at Blood Transfusion Services, Clinical & Molecular Laboratory
and Pakistan Health Research Council, Jinnah Postgraduate Medical Centre, Karachi
from January 2011 to December 2016. One hundred thirteen (113) Anti HCV and HCV
RNA positive family blood donors with normal or slightly raised ALT during three
consecutive bimonthly visits were included. After taking consent liver biopsy was
done to see the histopathological changes in these study participants. The
software program SPSS for windows (Ver.19) was utilized for all statistical
analysis. Results: One hundred thirteen blood donors who were Anti HCV and HCV
RNA positive were included. Ninety eight were male and 15 Female with a mean age
of 32.3+/-6.94 years. Nineteen (16.8%) had significant inflammation of grade 2-3.
Nine (8.0%) had significant fibrosis and steatosis was seen in 65 (57.5%) cases.
Cirrhosis or advanced liver disease was not found in this series. Conclusion: HCV
infected individual with normal ALT level having good physical health, without
any sign of advanced liver disease on ultrasonography and routine laboratory
investigation like AST, Gamma GT, Alkaline Phosphatase, serum albumin, A/G ratio,
platelet count and PT, INR might be highly helpful to predict mild or progressive
liver disease. Ultimately it reduces the need of liver biopsy, an invasive
procedure having significant complications.
PMID- 29643888
TI - Spectrum of complications of severe DKA in children in pediatric Intensive Care
Unit.
AB - Objectives: To describe the spectrum of complications of Diabetic Ketoacidosis
(DKA) observed in children admitted with severe DKA. Methods: Retrospective
review of the medical records of all children admitted with the diagnosis of
severe DKA in Pediatric Intensive Care Unit (PICU) of the Aga Khan University
Hospital, from January 2010 to December 2015 was done. Data was collected on a
structured proforma and descriptive statistics were applied. Results: Total 37
children were admitted with complicated DKA (1.9% of total PICU admission with
1.8% in 2010 and 3.4% in 2015). Mean age of study population was 8.1+/-4.6 years
and 70% were females (26/37). Mean Prism III score was 9.4+/-6, mean GCS on
presentation was 11+/-3.8 and mean lowest pH was 7.00+/-0.15. Complications
observed included hyperchloremia (35.94%), hypokalemia (30.81%), hyponatremia
(26.70%), cerebral edema (16.43%), shock (13.35%), acute kidney injury (10.27%),
arrhythmias (3.8%), and thrombotic thrombocytopenic purpura (5.4%), while one
patient had myocarditis and ARDS each. 13/37 children (35%) needed inotropic
support, 11/37 (30%) required mechanical ventilation while only one patient
required renal replacement therapy. Two patients (5.4%) died during their PICU
stay. Conclusion: Hyperchloremia and other electrolyte abnormalities, cerebral
edema and AKI are the most common complications of severe DKA.
PMID- 29643889
TI - Therapeutic effects of visual standard channel combined with F4.8 visual puncture
super-mini percutaneous nephrolithotomy on multiple renal calculi.
AB - Objective: To evaluate the therapeutic effects of visual standard channel
combined with F4.8 visual puncture super-mini percutaneous nephrolithotomy (SMP)
on multiple renal calculi. Methods: The clinical data of 46 patients with
multiple renal calculi treated in Affiliated Hospital of Hebei University from
October 2015 to September 2016 were retrospectively analyzed. There were 28 males
and 18 females aged from 25 to 65 years old, with an average of 42.6. The stone
diameters were 3.0-5.2 cm, (4.3 +/- 0.8) cm on average. F4.8 visual puncture
assisted balloon expansion was used to establish a standard channel. After
visible stones were removed through nephroscopy combined with ultrasound
lithotripsy, the stones of other parts were treated through F4.8 visual puncture
SMP with holmium laser. Indices such as the total time of channel establishment,
surgical time, decreased value of hemoglobin, phase-I stone clearance rate and
surgical complications were summarized. Results: Single standard channel was
successfully established in all cases with the assistance of F4.8 visual
puncture, of whom 24 were combined with a single microchannel, 16 were combined
with double microchannels, and six were combined with three microchannels. All
patients were placed with nephrostomy tube which was not placed in the
microchannels. Both F5 double J tubes were placed after surgery. The time for
establishing a standard channel through F4.8 visual puncture was (6.8 +/- 1.8)
min, and that for establishing a single F4.8 visual puncture microchannel was
(4.5 +/- 0.9) min. The surgical time was (92 +/- 15) min. The phase-I stone
clearance rate was 91.3% (42/46), and the decreased value of hemoglobin was
(12.21 +/- 2.5) g/L. There were 8 cases of postoperative fever which was relieved
after anti-inflammatory treatment. Four cases had 0.5-0.8 cm of stone residue in
the lower calyx, and all stones were discharged one month after surgery by in
vitro shock wave lithotripsy combined with position nephrolithotomy, without
stone streets, delayed bleeding, peripheral organ damage or urethral injury.
Conclusion: Combining visual standard channel with F4.8 visual puncture SMP for
the treatment of multiple renal calculi had the advantages of reducing the number
of large channels, high rate of stone clearance, safety and reliability and mild
complications. The established F4.8 visual puncture channel was safer and more
accurate.
PMID- 29643890
TI - Perceived social support in pregnant adolescents in Mersin area in Turkey.
AB - Objective: The study examines the level and source of perceived social support in
pregnant adolescents and the factors related to their perception of social
support. Methods: This descriptive study was conducted with the voluntary
participation of 127 adolescent pregnant females who visited the Gynecology and
Pediatric Hospital in Mersin, Turkey. The data were collected based on the
participants' self-expression, using the Socio-demographic Information Form and
Multidimensional Scale of the Perceived Social Support. Results: The average age
of the pregnant adolescents was 18 years. Approximately one-fifth of all
participant females were either illiterate or had dropped out of the primary
school. All pregnant adolescents were housewives with a low economic status.
Findings pertaining to the participants'fertility showed that 69.3% were
primiparous, 24.4% had at least one living child. The mean score for pregnant
adolescents' perception of social support was 50.79+/-8.72. The mean score on the
subscales was 23.32+/-3.23 for family support; 16.17+/-4.35 for friend support;
and 12.29 +/- 5.54 for special person support. Conclusion: Pregnant adolescents
had a low perception of social support. Families were found to be the most common
source of social support available to pregnant adolescents, and they lacked the
support from their friends and other special people.
PMID- 29643891
TI - Assessment of Freshwater Springs, Associated Diseases and Indigenous Perception
in Ghizer, Gilgit-Baltistan, Pakistan.
AB - Objective: To assess the quality of freshwater aquifers (springs) associated
diseases, and indigenous perception in Ghizer, Gilgit-Baltistan. Methods: This
was a cross-sectional study conducted at the department of environmental science,
Karakoram International University Gilgit after approval from departmental
research committee. In order to get the most accurate results, 18 water samples
in triplicates were collected according to our lab own set of sampling standard
operating procedures (SOPs) using pre-sterilized bottles of 100 ml from June 2016
to August 2016 along with associated diseases record and structured interviews
from indigenous population. For physicochemical and microbial assessment, samples
were tested before five hours after collection and associated diseases and
indigenous perception was analyzed using descriptive statistical techniques.
Results: Findings revealed that levels of pH, EC, phosphates, TDS, and nitrite,
fall within WHO standards except nitrate, temperature and turbidity. The highest
concentration (mgL-1+/- SE) of Hg in Barkolti and Barsat springs were (0.01+/
0.005) and (0.02+/-0.001) while Zn was (0.04+/-0.02) respectively. The
concentration of Cu in Barkolti spring was (0.2+/- 0.03) while in Barsat spring
below the detection level. The concentration of Cd, Pb, Cr, and Fe in both
springs fall within WHO permissible limits. The mean value of E. coli recorded in
both Barkolti and Barsat aquifers were (1.08cfu +/-0.45) and (2.11cfu+/-0.56)
respectively. The prevalence of water-associated diseases recorded in the order
diarrhea, dysentery, typhoid, cholera, hepatitis and their incidence increases at
high peak in summer. Irrespective of physicochemical and microbial components,
indigenous population believed that the spring water has curative properties.
Conclusions: Physiochemical and microbial parameters of spring's water fall
within WHO standards except nitrate, turbidity, temperature, and E. coli, and
incidence of certain associated diseases. However, indigenous population is
unaware about the fact and believed that spring water very safe.
PMID- 29643892
TI - Association of In-hospital outcome of Acute Kidney Injury (AKI) with etiology
among newborns at a tertiary care unit.
AB - Objective: To determine association of in-hospital outcome of AKI with etiology
in newborns at a tertiary care hospital. Methods: This descriptive cross
sectional study was conducted at Department of Pediatric Neonatology, The
Children's Hospital and Institute of the Child Health, Multan by using non
probability purposive sampling technique from June 2016 to June 2017. A total of
101 newborns diagnosed with acute kidney injury were registered. Etiological
factors were assessed and these patients were followed till discharge to monitor
in-hospital outcomes. Results: Of these 101 newborns, 75 (74.3%) were boys while
26 (25.7%) were girls. Mean age of these newborns was 7.59 +/- 6.13 days (range;
1 day to 28 days). Mean age of the boys was 5.73 +/- 7.20 days while that of
girls was 6.77 +/- 6.16 days. (p=0.515). Mean weight of these neonates was
2545.05 +/- 600.42 grams (range; 1000 grams to 4000 grams). Mean serum potassium
level was 4.94 +/- 0.92 mgEq/L ranging from 3.1 mgEq/L to 7.0 mgEq/L. Mean urea
level was 73.35 +/- 27.65 mg/dl ranging from 18 mg/dl to 206 mg/dl. Mean serum
creatinine level was 1.98 +/- 0.27 mg/dl, ranging from 1.6 mg/dl to 2.8 mg/dl.
Mean serum sodium level was 145.72 +/- 12.64 mgEq/L ranging from 126 to 166
mEq/L. Eighty one (80.2%) were term babies while 20 (19.8%) were pre-term babies.
Of these 101 study cases, 29 (28.7%) delivered vaginally while 72 (71.3%) through
cesarean section. Delayed crying was noted in 48 (47.5%), dehydration 13 (12.9%),
sepsis in 36 (35.6%) and renal malformation in only 4%. Neonatal mortality in
these patients was 15 (14.9%) while 86 (85.1%) were discharged from hospital
after recovery. Conclusion: Acute kidney disease in newborns is associated with
significant disease morbidity and mortality with asphyxia and sepsis are the main
etiological factors responsible. It is predominantly more common in boys compared
with girls. Mortality rate was high in our study and it was significantly
associated with female gender. Mortality was also associated with elevated serum
sodium and urea level.
PMID- 29643893
TI - Impact of depressed skull fracture surgery on outcome of head injury patients.
AB - Objective: To assess outcomes in surgically managed patients with depressed skull
fractures and associated moderate to severe head injury. Methods: The study was
conducted in the Department of Neurosurgery Jinnah Postgraduate Medical Centre,
Karachi, from January 2016 to December 2017. We analyzed 90 patients with
depressed skull fracture managed surgically from January 2015 to December 2016.
The patients selected for this study belonged to all age groups with clinically
palpable depressed skull fracture confirmed by CT brain with bone window. Outcome
was assessed by Glasgow outcome score. Results: Total 90 patients were included
in the study. Sixty (66.7%) were male and 30 (33.3%) were female with mean age of
years 27.58+11.329. Among 90 patients, 38.8% were aged between 21 and 30 years.
Road traffic accident was seen in 72 (80%) patients. The commonest site of
fracture was frontal region in 50 patients (55.6%). GCS improved post operatively
on comparison to preoperative. Five patients expired. Conclusion: Depressed skull
fracture is common neuro surgical issue. Timely surgical management gives
excellent results by decreasing morbidity and mortality.
PMID- 29643894
TI - Characteristics of clinical drugs for elderly chronic heart failure complicated
with different degrees of renal insufficiency.
AB - Objective: To investigate the characteristics of clinical therapeutic drugs in
elderly chronic heart failure (CHF) patients complicated with different degrees
of renal insufficiency. Methods: The elderly patients who were hospitalized from
October 2010 to October 2015 in our hospital due to CHF for the first time were
selected by means of retrospective case collection. The glomerular filtration
rate was estimated by using the Modification of Diet in Renal Disease (MDRD)
Study equation. The patients were divided into a group with normal renal
function, a group with slight decrease in renal function, and a group with
moderate and severe decrease in renal function. Statistical analysis was made to
compare the characteristics of clinical drugs for the three groups. Results:
Compared with the normal renal function group and the slight decrease group,
ACEIs and beta-blockers were less used in the moderate and severe decrease group,
but diuretics and spironolactone were more used (P<0.05). Compared with the
normal renal function group, the use rate of ACEIs was low whereas that of
diuretics was high (P<0.05). Conclusion: ACEIs and beta-blockers were barely
employed to treat elderly CHF patients complicated with renal insufficiency, but
diuretics and spironolactone were frequently utilized.
PMID- 29643895
TI - Expression analysis of glyoxalase I gene among patients of diabetic retinopathy.
AB - Objectives: To study expression of glyoxalase I in patients of diabetic
retinopathy. Methods: This cross-sectional comparative study was conducted at
Centre for Research in Experimental and Applied Medicine (CREAM), Department of
Biochemistry and Molecular Biology, Army Medical College, Rawalpindi in
collaboration with Armed Forces Institute of Ophthalmology (AFIO) from January
2015 to November 2015. Sampling technique was non- probability purposive
sampling. Total 60 subjects were enrolled in two groups. Group-I comprised 30
patients of diabetic retinopathy and Group-II of 30 normal healthy controls.
Clinical and demographic data was collected and fasting venous blood samples (2
ml) were drawn. RNA was extracted and subjected to cDNA synthesis. Expression
analysis for glyoxalase I was carried out and relative quantification done by
double delta Ct method. Results: Mean age of the patients was 61.30 +/-7.06 years
and mean age of controls was 59.60 +/- 6.43 years. There were 17 (56.7%) males
and 13 (43.3%) females in Group-I while Group-II comprised 14 (46.7%) males and
16 (53.3%) females. There was down regulation of glyoxalase I among patients of
diabetic retinopathy in comparison with controls when relative gene expression
was calculated. Conclusion: Down regulation of glyoxalase I in patients of
diabetic retinopathy suggests it to be a contributory factor in the development
of disease.
PMID- 29643896
TI - Potential Drug-Drug Interactions among Patients prescriptions collected from
Medicine Out-patient Setting.
AB - Objective: To identify and evaluate the frequency, severity, mechanism and common
pairs of drug-drug interactions (DDIs) in prescriptions by consultants in
medicine outpatient department. Methods: This cross sectional descriptive study
was done by Pharmacology department of Bahria University Medical & Dental College
(BUMDC) in medicine outpatient department (OPD) of a private hospital in Karachi
from December 2015 to January 2016. A total of 220 prescriptions written by
consultants were collected. Medications given with patient's diagnosis were
recorded. Drugs were analyzed for interactions by utilizing Medscape drug
interaction checker, drugs.com checker and stockley's drug interactions index.
Two hundred eleven prescriptions were selected while remaining were excluded from
the study because of unavailability of the prescribed drugs in the drug
interaction checkers. Results: In 211 prescriptions, two common diagnoses were
diabetes mellitus (28.43%) and hypertension (27.96%). A total of 978 medications
were given. Mean number of medications per prescription was 4.6. A total of 369
drug-drug interactions were identified in 211 prescriptions (175%). They were
serious 4.33%, significant 66.12% and minor 29.53%. Pharmacokinetic and
pharmacodynamic interactions were 37.94% and 51.21% respectively while 10.84% had
unknown mechanism. Number wise common pairs of DDIs were Omeprazole-Losartan (S),
Gabapentine- Acetaminophen (M), Losartan-Diclofenac (S). Conclusion: The
frequency of DDIs is found to be too high in prescriptions of consultants from
medicine OPD of a private hospital in Karachi. Significant drug-drug interactions
were more and mostly caused by Pharmacodynamic mechanism. Number wise evaluation
showed three common pairs of drugs involved in interactions.
PMID- 29643897
TI - The effect of preoperative stoma site marking on quality of life.
AB - Objective: The objective of the study was to determine the effect of preoperative
stoma site marking on the health- related quality of life (HRQOL). Methods: A
nonrandomized, quasi-experimental design was used for the study performed from
June 2013 to August 2014. The study sample (n:60) included patients for whom a
stoma was opened after a planned colorectal surgery. The City of Hope Quality of
Life-Ostomy Questionnaire (COHQOL-OQ) was used to measure HRQOL. Results: The
mean age of the participants in the experimental group was 53.5+/-12.83, 18(60%)
had colostomies, mean BMI was 25.46 +/- 4.25 and mean age of that of the control
group was 58.00 +/- 14.22, 19(63.3%) had colostomies, mean BMI was 25.28 +/-
5.00. A comparison of the two groups indicates that the sixth-month total score
of the patients in the experimental group on (COHQOL-OQ) is higher than that of
the control group (p<0.05). Conclusions: The study results demonstrated that
patient who underwent stoma site marking reported higher HRQOL than those who did
not.
PMID- 29643898
TI - To determine correlation between biochemical parameters of nutritional status
with disease severity in HCV related liver cirrhosis.
AB - Objective: To identify correlation between biochemical parameters of nutritional
status with disease severity in HCV related liver cirrhosis in patients attending
tertiary care hospital. Methods: Total 259 HCV related liver cirrhosis patients
who attended the outpatient department of KRL Hospital, Islamabad from June 2016
to January 2018 were included in this cross-sectional study. HCV status was
confirmed with PCR. Cirrhosis was pre-established by ultrasound, while cirrhosis
severity was gauged by CTP score. Biochemical parameters for nutrition status
included serum albumin, creatinine, cholesterol, LDL, HDL, triglycerides,
hemoglobin, ferritin, sodium, potassium, magnesium and calcium. Other demographic
and clinical data were also recorded. Results: The mean age of patients was 58.73
+/- 6.04 years with 57.1% being males. The average BMI was 22.72 +/- 1.69 kg/m2.
Majority patients i.e. 123 (47.5%) belonged to CTP-A, 67 (25.9%) were in CTP-B
and 69 (26.6%) in CTP-C groups. Significant negative correlations of cirrhosis
severity were established with BMI, albumin, creatinine, cholesterol, LDL, TG,
HDL, hemoglobin, sodium and magnesium indicative of malnutrition. Analysis of
biochemical parameters amongst individual cirrhosis groups revealed significant
negative correlation across the same factors in group CTP-C, while CTP-A
correlated positively with these parameters. The only significant correlation
found in CTP-B was with albumin, HDL, hemoglobin, sodium and magnesium.
Conclusion: Considering limitations of standard ways alone to assess malnutrition
in liver cirrhosis, biochemical parameters are valid to aid in diagnosing
malnutrition.
PMID- 29643899
TI - Influence of Apelin-13 on osteoporosis in Type-2 diabetes mellitus: A clinical
study.
AB - Objective: To investigate the relationship between serum level of Apelin-13 and
bone mineral density (BMD) as well as other parameters, and determine the
influence of Apelin-13 on osteoporosis in patients with Type-2 diabetes mellitus.
Methods: Seventy-six patients with Type-2 diabetes mellitus were recruited from
Department of Endocrinology of our hospital between January 2013 and July2017.
The clinical data, including age, gender, height, weight, body mass index (BMI)
and disease duration were recorded for all patients. Blood sample was collected
for measurement of Apelin-13, Procollagen type-I N propeptide (PINP) and Cross
linked carboxy terminal telopeptide of type-I collagen (ICTP), and BMD was tested
with a dual-energy X-ray absorptiometry scanner. Results: The patients were
divided into three groups, in which 19 patients were assigned in osteoporosis
group, 25 in osteopenia group and 32 in normal group. The level of Apelin-13 in
osteoporosis group was significantly lower than that in osteopenia and normal
groups (p<0.05), and the value in osteopenia group was significant lower than
that in normal group (p<0.05). Correlation analysis showed in the included
patients the level of Apelin-13 was positively correlated to the value of BMD and
PINP (p<0.05), but negatively correlated to age and ICTP (p<0.05). Conclusion: In
conclusion, this study demonstrated that there was a close relationship among
Apelin-13, BMD, ICTP and PINP, and Apelin-13 plays an important role in the
occurrence of osteoporosis in patients with Type-2 diabetes mellitus.
PMID- 29643900
TI - Measuring assessment standards in undergraduate medical programs: Development and
validation of AIM tool.
AB - Objective: To develop a tool to evaluate faculty perceptions of assessment
quality in an undergraduate medical program. Methods: The Assessment
Implementation Measure (AIM) tool was developed by a mixed method approach. A
preliminary questionnaire developed through literature review was submitted to a
panel of 10 medical education experts for a three-round 'Modified Delphi
technique'. Panel agreement of > 75% was considered the criterion for inclusion
of items in the questionnaire. Cognitive pre-testing of five faculty members was
conducted. Pilot study was done with 30 randomly selected faculty members.
Content validity index (CVI) was calculated for individual items (I-CVI) and
composite scale (S-CVI). Cronbach's alpha was calculated to determine the
internal consistency reliability of the tool. Results: The final AIM tool had 30
items after the Delphi process. S-CVI was 0.98 with the S-CVI/Avg method and 0.86
by S-CVI/UA method, suggesting good content validity. Cut-off value of < 0.9 I
CVI was taken as criterion for item deletion. Cognitive pre-testing revealed good
item interpretation. Cronbach's alpha calculated for the AIM was 0.9, whereas
Cronbach's alpha for the four domains ranged from 0.67 to 0.80. Conclusions:
'AIM' is a relevant and useful instrument with good content validity and
reliability of results, and may be used to evaluate the teachers' perceptions
about assessment quality.
PMID- 29643901
TI - Evaluation of the effect of Retrograde Intrarenal Surgery with Myo-Inositol
Oxygenase.
AB - Objective: To investigate the effect of retrograde intra-renal surgery (RIRS) on
kidneys using the myo-inositol oxygenase (MIOX) enzyme. MIOX is a renal tubular
specific novel marker for the early diagnosis of acute kidney injury. Methods: A
total of twenty seven individuals that had undergone RIRS to treat kidney stones
were included in the study. Biochemical tests were performed on serum samples
collected immediately before RIRS (hour 0) and at the 6th and 24th hours after
the surgery. Results: The creatinine value at hour 6 was lower than the baseline
(hour 0) value (p = 0.0305). Cystatin C at hour 6 was lower than the value
measured at hour 24 (p = 0.0142). Similarly, MIOX was lower at hour 6 compared to
hour 24 (p = 0.0214). MIOX/creatinine at hour 6 was lower than the value
calculated at hour 24 (p = 0.0348). The basal values of MIOX and creatinine were
found to have a positive correlation (correlation coefficient r = 0.5946, p =
0.0035). Conclusions: Similar to the serum creatinine, the serum MIOX level
provides information about kidney functions. RIRS was confirmed to be a safe
procedure for the treatment of acute kidney injury with no negative effects on
the kidneys.
PMID- 29643902
TI - Is there difference in chronic pain after Suture and Stapler fixation method of
mesh in Ventral Hernia? Is stapler fixation method quicker? A randomized
controlled trial.
AB - Background & Objective: Chronic pain occurs in 20-30% of patients after hernia
surgery. As a consequence of this chronic pain, almost one third of patients have
limitations in daily activities. Frequency and severity of this pain varies with
different techniques of hernia repair. The objective of this study was to compare
polypropylene suture and skin staples for securing mesh in uncomplicated ventral
hernioplasty in terms of acute and chronic postoperative pain and to compare the
time taken for mesh fixation between polypropylene sutures and skin stapler in
ventral hernioplasty. Methods: This study was conducted in Surgery Department of
Dow University Hospital, Dow University of Health Sciences, Ojha Campus and
included 53 patients from Jan 2015 to Dec 2016, after taking informed consent.
All patients were operated under general anesthesia by the same surgical team.
Patients were randomized into two groups; in one group mesh fixed with 2/0
polypropylene suture while in other group mesh stapler was used. Time taken to
apply mesh was noted in minutes from laying the mesh over anterior rectus sheath
to completion of fixation by either method. The severity of post-operative pain
was measured with VAS (1-10) after one week, one month and after one year after
surgery. Data was analysed using SPSS version 17. Results: Patient
characteristics and operative outcome were similar in the two groups and
statistically non-significant in both. Early postoperative pain was more after
suture fixation but it was not statistically significant. Mean +/- SD pain score
was after one week 3.47+/-2.7 after sutures while 2.91+/-1.88 after stapler.
After four weeks, 0.40+/-0.49 after suture while 0.35+/-0.48 after stapler
fixation. In both study groups 30-34% of the patients felt some pain in follow-up
after one year. Severity of pain was 0.60+/-0.62 after suture while 1.65+/-1.94
after stapler fixation which is statistically significant as well (p<0.007). Mean
operative time was 15.33+/-6.33 minutes for suture fixation while 1.56+/-0.41
minutes for fixation by staples, p-value < 0.001. Conclusion: The method of
fixation does not appear to cause significant difference in early post-operative
pain but chronic pain is more after stapler fixation of mesh. However, operative
time was reduced significantly in staple fixation group as compared to suture
fixation group.
PMID- 29643903
TI - Effectiveness of case based cultural competency among nurses working in private
hospitals of Bangkok, Thailand: A Quasi-experimental study.
AB - Objectives: To evaluate the effect of case based cultural competency level and
its factors affecting on nurse job in two private hospitals of Bangkok, Thailand.
Methods: This was a quasi-experimental study implemented the cased-based cultural
competent intervention in two private hospitals of Thailand in 2015. One hundred
sixty six nurses from two control and intervention private hospitals through
simple random selection method were selected for this study. Data was collected
at the beginning of study (pre-test), immediately after the intervention and
after two months of intervention (post-test). Tool was pretested, validated and
piloted before to conduct study. Results: Total 166 nurses were included in this
study. The characteristics among the study participants were similar between both
hospitals at the baseline and found statistically non-significant (p= > 0.05).
However, after the intervention the cultural knowledge, attitude and practice
competency score levels have significantly increased among the nurses in the
intervention group as compared to control group (p= < 0.05). Conclusion: Study
has concluded that an intervention has positively affected on cultural knowledge,
practice and attitude competency among nurses working in private hospital of
Bangkok Thailand.
PMID- 29643904
TI - Assessment of collaborative problem solving skills in Undergraduate Medical
Students at Ziauddin College of Medicine, Karachi.
AB - Objective: Collaborative Problem Solving Empirical Progressions from the
Assessment and Teaching of 21st Century Skills (ATC21S) framework were used to
determine the level of collaborative problem solving skills (CPS) in first,
second and third year MBBS students at Ziauddin College of Medicine during
Problem-Based Learning (PBL) sessions. Variations based on gender and roles were
studied. Methods: It is an analytical comparative cross-sectional study in which
seven PBL groups were selected per year by non-probability convenient sampling.
Data was collected using the Collaborative Problem Solving Five Strands Empirical
Progressions by the primary investigator through observation of the students
during PBL sessions. Duration of study was six months. Results: We found that in
our students, development of social dimension skills is facilitated to a greater
extent than the development of cognitive dimension skills through the process of
PBL. These skills are generally better developed in the leader compared to the
scribe and members in a group. They are also more developed in females compared
to males. Modification in them is also observed as the year's progress.
Conclusion: Although PBLs facilitate development of CPS skills' progression
however in our curriculum, PBLs mainly focus on social skills development and
have less emphasis on cognitive skill development. Thus, hybrid instructional
strategies with components from TBL and mentorship are recommended for better
development of CPS skills.
PMID- 29643905
TI - Comparison of application values of CT and MRI in the diagnosis of early Lacunar
Infarction.
AB - Objective: To analyze and compare the values of computed tomography (CT) and
magnetic resonance imaging (MRI) in the diagnosis of early lacunar infarction.
Methods: Eighty-eight patients with early lacunar infarction who were admitted to
the hospital were selected as research subjects, and all of them underwent MRI
and CT. The study was conducted at our hospital between May 2015 to July 2016.
Results: Four hundred and forty-one lesions were detected by MRI, and 145 were
detected by CT. Most of the lesions were located at the thalamus, frontal lobe
and parietal lobe. The detection rate of small lesions with a diameter less than
5 cm using MRI was significantly higher than that with CT, and the difference had
statistical significance (P<0.05). Forty-nine cases who reached hospital within
six hours were scanned in which no images were observed by CT, while small flake
like or spotted images were observed in 47 cases by MRI. The efficacy of MRI in
displaying early lesions and micro lesions was superior to that of CT.
Conclusion: In the diagnosis of early lacunar infarction, the detection rate of
lesions with MRI is significantly higher than that with CT. MRI can clearly
display the specific conditions of lesions, which is worth clinical promotion.
PMID- 29643906
TI - Radiolological predictors of recurrence of chronic subdural hematoma.
AB - Objective: Chronic subdural hematoma is one of the most common clinical entities
encountered in daily neurosurgical practice. Considerable recurrence rates have
been reported for chronic subdural hematoma following surgical evacuation. Many
studies have suggested various radiological factors that may be associated with
the recurrence of CSDH. However, the results are inconsistent. This study focuses
on determining the radiological factors predictive of chronic subdural hematoma
recurrence. Methods: A retrospective analysis of 113 patients diagnosed with
chronic subdural hematoma who were surgically treated between August 2013 and
December 2014 was performed. The radiological features were analyzed to clarify
the correlation between these radiological factors and postoperative recurrence
of chronic subdural hematoma. Results: Twenty patients (17.7%) experienced
recurrence. Chronic subdural hematoma recurrence was found to be significantly
associated (p<0.05) with preoperative hematoma thickness >= 20 mm. Midline shift,
hematoma density and bilaterality were not significantly associated with
recurrence. Post operative drainage also significantly (p<0.05) reduced chronic
subdural hematoma recurrence. Conclusion: Preoperative hematoma thickness >= 20
mm is an independent predictor of recurrence of chronic subdural hematoma.
Postoperative drainage also significantly reduces chronic subdural hematoma
recurrence.
PMID- 29643907
TI - Fixation of unstable distal radius fractures by using expandable Intramedullary
nailing system in adult patients.
AB - Objective: To present our experience on intramedullary nailing device Sonoma Wrx
(Sonoma Orthopedic Products Inc., Santa Rosa, CA, USA) used for internal fixation
of extra-articular or simple intra-articular distal radius fractures in adult
population. Methods: This study was conducted from February 2011 to October 2016.
A total of 48 patients (mean age 47.3+/-5.6 years, 35.4% females) with distal
radius fracture, who underwent intramedullary distal radius fixation by using
Sonoma Wrx were included in this retrospective study. Clinical outcome measures
(range of motion [ROM], visual analog scale [VAS]), functional outcomes
(Disabilities of the Arm, Shoulder and Hand [DASH] score and Gartland-Werley
score), radiographic scores (Stewart score) and parameters (radial inclination,
volar tilt, radial height, radio-ulnar variance) and complications were
evaluated. Results: The total surgery time was 24.3+/-2.3 minutes. Patients were
followed up for 24.7+/-3.4 weeks. Complete fracture union was obtained at 5.5+/
0.9 weeks. The postoperative low VAS pain score (1.6+/-0.93) and high ROM values
(76.7 degrees for extension, 78.5 degrees for supination, 80.1 degrees for
flexion, and 82.3 degrees for pronation) indicated a very good clinical outcome.
DASH score of 8.3+/-1.5 and Gartland-Werley score of 2.8+/-4.1 showed good
functional outcome. The radiographic Stewart score was 1.0+/-1.2. Radial
inclination, volar tilt, and radial height significantly increased (p<0.001), and
radio-ulnar variance decreased (p=0.001) with surgery. No postoperative
complication was recorded in 40 patients (83.3%). Conclusions: Sonoma Wrx, which
is an expansible intramedullary elastic locking distal radius nail, offers a good
alternative technique for internal fixation of unstable distal radius fractures
with the advantage of minimum soft-tissue dissection and related postoperative
complications.
PMID- 29643908
TI - Lack of association of statin use with vitamin D levels in a hospital based
population of type 2 diabetes mellitus patients.
AB - Objective: To investigate the relationship of statins (drug given to reduce serum
levels of LDL-cholesterol) on vitamin D levels of Pakistani type 2 diabetes
mellitus (DM) patients in a hospital in Karachi. Methods: In a cross-sectional
survey, 312 consecutive patients with type 2 DM (219 males and 93 females, age 22
70 years) were recruited with informed consent. A questionnaire was administered
to find out whether they were statin users or non-users. Serum was analyzed for
concentrations of 25(OH) vitamin D [25(OH)D] and other related biomarkers such as
serum cholesterol, triglycerides, HDL-cholesterol, LDL-cholesterol, phosphate and
calcium using kit methods. Multiple Linear Regression was used to evaluate
association of statin use with serum levels of vitamin D while adjusting for
related covariates including duration of statin use, duration of type 2 DM and
smoking. Results: Mean concentrations of serum cholesterol, and LDL-cholesterol
were lower among statin users compared to statin non-users (P < 0.01), while HDL
cholesterol levels were higher (P<0.01). No relationship was observed between
statin use and serum levels of vitamin D (P=0.768), when adjusted for age,
gender, BMI, duration of type 2 DM, smoking, serum cholesterol and LDL
cholesterol. The adjusted regression coefficient (beta) and standard error
[SE(beta)] for statin use duration were 0.012 (0.042), when serum levels of
vitamin D was taken as an outcome. Conclusion: Lack of association was found
between statin use and vitamin D levels in a hospital-based population of
Pakistani patients with type 2 DM.
PMID- 29643909
TI - Hyperlipidemia and hyper glycaemia in Breast Cancer Patients is related to
disease stage.
AB - Objective: The study was designed to determine the hyperlipidemia in breast
cancer of patients at disease presentation, without any treatment and to
correlate these variations with disease stage. Methods: This cross sectional
study was conducted at Liaquat National teaching hospital in Karachi from 2006 to
2011, Age and family history of 208 breast cancer patients with infiltrating
Ductal Carcinoma were compared with 176 matched control subjects. Married females
were selected, with children and short breast feeding period. Cancer stage I-III
was considered for the study and patients were grouped on the basis of Tumor
grade, Tumor size, lymph node metastasis and disease free survival. Disease
staging was based on tumor size and lymph node metastasis. Biochemical
estimations included variations in random blood glucose level and lipid profile.
Results: Lipid profile and random blood glucose level were found significantly
high (p<0.05) compared to control subjects. Hyperlipidemia was significantly high
in breast cancer patients with lymph node metastasis. On increase in tumor grade
I to II, increase in total cholesterol (4%), LDL-cholesterol 23% and 11% increase
in triglycerides was observed. On Tumor size increase from <=2 to 2.5cm, increase
observed in blood random glucose level was (4%), total cholesterol (1.7%)
triglycerides (2%) and LDL (3%) whereas HDL was (2%) low. These variations remain
insignificant on further increase in tumor size and grade. Conclusion: Study
suggests that variation in lipid profile and blood random glucose level is
associated with disease stage. No independent correlation of hyperlipidemia and
hyperglycemia was developed with disease free survival.
PMID- 29643910
TI - Role of Mitogen-Activated Protein Kinases in the Formation of Hypertrophic Scar
with Model of Lipopolysaccharide Stimulated Skin Fibroblast Cells.
AB - Objective: Hypertrophic scar is common in burn patients, but treating result
could not meet the expectation of the patients and doctors. We have found that
certain concentration level of lipopolysaccharide (LPS) stimulated normal
fibroblast cells have statistically similar with fibroblast cells from
hypertrophic scar on the phenotype level, and with this work we are trying to
figure out which Mitogen-Activated Protein Kinase (MAPK) is affected and how it
is affected. Methods: Experiments were conducted in May, 2017 at the first
affiliated hospital of the Chinese PLA General Hospital, Beijing, China. We have
cultured the cell line of human skin fibroblast cells and randomly divided cells
into four groups: control group and three stimulation groups. We have rebuilt the
LPS stimulated model of skin fibroblast cells in hypertrophic scar based on our
previous work. Experimental groups were stimulated with 0.1ug/mL LPS
concentration for 24 hours, 48 hours, and 72 hours, respectively. Then we
performed western blot analysis of Erk, p-Erk, JNK, p-JNK, p38 and p-p38. We
performed statistical analysis with SPSS 15.0. Results: LPS can up regulate the
MAPK/p38 pathway (p<0.05) and down regulate the MAPK/Erk and MAPK/JNK pathways
(p<0.05). The changes of phosphorylated protein are time-related, with longer
stimulation duration, significant difference is increased (p<0.05). Conclusion:
MAPKs can play an important role in the formation of hypertrophic scar in the
skin. Early intervention through the MAPKs could be a promising target in the
prevention of the formation of hypertrophic scar.
PMID- 29643911
TI - Pars plana vitrectomy in vitreous hemorrhage with or without Intravitreal
Bevacizumab a comparative overview.
AB - Objective: To compare the success in patients having vitreous hemorrhage
undergoing pars plana vitrectomy with or without preoperative intravitreal
injection of Bevacizumab. Methods: This Randomized controlled trial was conducted
at Department of Ophthalmology, Jinnah Postgraduate Medical Centre. Karachi.
Duration of study was six months from January 2010 to June 2010. In this study 56
patients of advanced diabetic eye disease were divided into two groups. Patients
in Group-A underwent three ports pars plana vitrectomy with preoperative
intravitreal injection of Bevacizumab (Avastin) 1.25mg/0.05ml, 3.5mm from the
limbus seven days before surgery and in Group-B patients underwent vitrectomy
without preoperative intravitreal Bevacizumab (Avastin). Intraoperative bleeding
was monitored in both groups and was graded as no bleeding, mild bleeding and
severe bleeding. The results were statistically analyzed through computer
software SPSS 17. Results: Twenty eight patients in Group-A who were given an
injection of intravitreal Bevacizumab (Avastin) before surgery, intraoperative
bleeding monitored was, no bleeding in 17 cases (60.7%), mild was observed in 6
cases (21.4%) and severe bleeding requiring diathermy to stop was observed in
only 5 cases (17.9%). 28 patients in Group-B that underwent surgery without
Avastin no bleeding was observed in only 2 cases (7.1%), mild in 6 cases (21.4%)
and severe in 20 cases (71.4%). Conclusions: Intravitreal injection of
Bevacizumab (Avastin) was effective before vitrectomy in the surgical management
of Advanced Diabetic Eye disease.
PMID- 29643912
TI - Association of RAGE gene polymorphism with Type-2 diabetes mellitus in local
population.
AB - Objectives: Type-2 diabetes mellitus (T2DM) is an endocrine disease having a
significant genetic component. Polymorphisms of many genes may affect hereditary
vulnerability of the disease that is characterized by insulin resistance and
islet disorder. As the genetic basis of T2DM can vary between ethnic groups, it
is important to investigate the genetic link of T2DM in Pakistani populace. This
study was aimed to assess the association of receptor for advanced glycation end
product (RAGE) gene polymorphism (-429T>C) with Type-2 diabetes mellitus within
local populace. Methods: Genomic DNA was isolated by following kit protocol.
Genotyping of the RAGE gene was studied by PCR-RFLP on genomic DNA. All research
work was done in molecular biochemistry laboratory (MBL), University of
Agriculture Faisalabad and Postgraduate Laboratory, The University of Faisalabad,
Pakistan from December 2016 to July 2017. Results: We found distribution of
429T>C genotypes between T2DM and healthy controls as 24.7% (tt), 24.7% (Tt) and
50.7% (TT). The outcomes were highly compatible statistically. Conclusion: The
techniques of PCR and RFLP when performed simultaneously can be helpful in
tracing vital information regarding polymorphism of AGE receptor.
PMID- 29643913
TI - Hair Dye poisoning: "An early intervention".
AB - The use of hair dye has been emerging worldwide however usage of
Paraphenylenediamine (PPD) in making hair dye is generally restricted to
underdeveloped and developing countries. In particular, prevalence of accidental
and suicidal ingestion is more in low socioeconomic areas. The spectra of hair
dye toxicity is wide, however, it presents more commonly with severe angioedema
of face and neck leading to respiratory failure, rhabdomyolysis complicating into
acute kidney injury, myocarditis and acute liver injury. Here we present a unique
case of PPD poisoning in a young female presented with laryngeal edema and marked
rhabdomyolysis. Preemptive shifting to Critical care unit and elective
endotracheal intubation for air way patency obviated the need of tracheostomy and
precluded its related complications. Moreover, aggressive intravenous hydration
prevented from renal failure despite markedly raised Creatine phospho kinase
(CPK) levels.
PMID- 29643914
TI - Author-based journal selection system that helps authors save time in article
submission.
AB - Submission to journals takes a lot of time and format related submission
requirements vary greatly from one journal to another. Lack of time and
motivation in academia reduces scientific outputs and demotivates researchers.
Author-based journal selection system (ABJSS) is a platform for pooling
manuscripts conceived to minimize the time spent for manuscript submission and to
increase scientific output. The system will provide two types of account:
"Author" and "Journal Administrator". Each account type will have its own
abilities and permissions. The ABJJS system is an ongoing project that will be
designed in cooperation with IT experts and academicians and it will be presented
to the scientific world as soon as it secures sufficient support.
PMID- 29643915
TI - Prognostic Significance of Blood, Serum, and Ascites Parameters in Patients with
Malignant Peritoneal Mesothelioma or Peritoneal Carcinomatosis.
AB - To determine effects of the biochemical and cytological properties of blood,
serum, and ascites on survival of patients with malignant peritoneal effusion
(MPeE), including malignant peritoneal mesothelioma (MPeM) and peritoneal
carcinomatosis (PC), we conducted a retrospective study of patients with MPeE and
healthy controls. Potential prognostic factors were identified as follows: age,
sex, blood neutrophil-to-lymphocyte ratio (NLR), serum parameters, ascites
parameters, serum-ascites albumin gradient, and the ascites-serum LDH ratio.
Compared to those of the control group, serum albumin levels were significantly
lower, and the NLR and serum LDH levels were significantly higher in the MPeE
group. Overall survival (OS) was longer in patients with MPeM compared to that in
patients with PC. Compared with patients in the MPeM, patients with PC had higher
NLRs, ascites glucose levels, serum-ascites albumin gradients, and serum LDH
levels. In contrast, their ascites albumin levels and ascites-serum LDH ratios
were lower. Univariate analyses indicated that the NLR, serum LDH levels, ascites
LDH levels, ascites coenocyte levels, and the ascites coenocyte-to-monocyte
ratios affected the OS. Multivariate analyses identified only serum and ascites
LDH levels as independent prognostic factors.
PMID- 29643916
TI - Antireflux Metal Stent for Initial Treatment of Malignant Distal Biliary
Obstruction.
AB - Objectives: To compare the use of an antireflux metal stent (ARMS) with that of a
conventional covered self-expandable metal stent (c-CSEMS) for initial stenting
of malignant distal biliary obstruction (MDBO). Materials and Methods: We
retrospectively investigated 59 consecutive patients with unresectable MDBO
undergoing initial endoscopic biliary drainage. ARMS was used in 32 patients and
c-CSEMS in 27. Technical success, functional success, complications, causes of
recurrent biliary obstruction (RBO), time to RBO (TRBO), and reintervention were
compared between the groups. Results: Stent placement was technically successful
in all patients. There were no significant intergroup differences in functional
success (ARMS [96.9%] versus c-CSEMS [96.2%]), complications (6.2 versus 7.4%),
and RBO (48.4 versus 42.3%). Food impaction was significantly less frequent for
ARMS than for c-CSEMS (P = 0.037), but TRBO did not differ significantly between
the groups (log-rank test, P = 0.967). The median TRBO was 180.0 [interquartile
range (IQR), 114.0-349.0] days for ARMS and 137.0 [IQR, 87.0-442.0] days for c
CSEMS. In both groups, reintervention for RBO was successfully completed in all
patients thus treated. Conclusion: ARMS offers no advantage for initial stent
placement, but food impaction is significantly prevented by the antireflux valve.
PMID- 29643917
TI - Predictive and Prognostic Implications of Mutation Profiling and Microsatellite
Instability Status in Patients with Metastatic Colorectal Carcinoma.
AB - To investigate whether mutation profiling and microsatellite instability (MSI)
status were associated with clinicopathological features and the prognosis in
metastatic colorectal cancer (mCRC), mutations in RAS (including KRAS, NRAS, and
HRAS) and BRAF were determined by Sanger sequencing. Tumor mismatch repair
proteins and MSI status were examined using immunohistochemistry and polymerase
chain reaction, respectively. The clinical value of these abnormalities was
statistically analyzed, and prognostic value of different treatment regimens was
also evaluated. Among 461 mCRC patients, mutations in RAS, BRAF, and MSI-high
(MSI-H) status were observed in 45.3% (209/461), 5.6% (26/461), and 6.5% (30/461)
of cases, respectively. Brain metastasis and high carcinoembryonic antigen level
were highly correlated with KRAS mutation (P = 0.011 and P < 0.001), and tumors
from females or located in the right colon tended to harbor BRAF mutation (P =
0.039 and P = 0.001). RAS/BRAF mutations may predict brain and/or lung
metastases. Although neither clinical nor prognostic importance of MSI status was
identified in our study, KRAS and BRAF mutations were demonstrated to be
independent prognostic factors for overall survival and progression-free
survival. Besides, in wild-type group, patients treated with chemotherapy plus
targeted therapy exhibited the most favorable prognosis. Therefore, RAS/BRAF
mutations may serve as indicators for prognosis and treatment options in mCRC.
PMID- 29643918
TI - Prognostic Value of Combination of Pretreatment Red Cell Distribution Width and
Neutrophil-to-Lymphocyte Ratio in Patients with Gastric Cancer.
AB - Aims: Gastric cancer (GC) is often diagnosed at an advanced stage; inexpensive
and valid biomarkers for GC are still unavailable. We aimed to evaluate the
prognosis of the combination of pretreatment red cell distribution width (RDW)
and neutrophil-to-lymphocyte ratio (NLR) in patients with GC. Methods: A
retrospective analysis from 103 GC patients who were diagnosed at our institution
from 2012 to 2016 was performed. Both pretreatment RDW and NLR were calculated
based on the recommended cutoff values of 13.4% and 2.755, respectively. Combined
values of RDW and NLR (RDW + NLR) stratified patients into a score of 0 (RDW <=
13.4% and NLR <= 2.755), a score of 1 (RDW > 13.4% or NLR > 2.755), and a score
of 2 (RDW > 13.4% and NLR > 2.755). Prognostic significances for overall survival
(OS) and progression-free survival (PFS) were assessed. Results: Pretreatment RDW
+ NLR was a significantly independent prognostic factor for OS and PFS. Moreover,
high RDW + NLR was strongly related to age, tumor location, TNM stage, CA125, and
CA199. In a subgroup analysis for patients with advanced gastric cancer (AGC), we
observed that the level of RDW + NLR was markedly associated with OS and PFS.
Conclusion: Pretreatment RDW + NLR is a simple, inexpensive, and valid prognostic
system to predict the survival in patients with GC, especially AGC.
PMID- 29643920
TI - miR-33 inhibition attenuates the effect of liver X receptor agonist T0901317 on
expression of liver X receptor alpha in mice liver.
AB - BACKGROUND: microRNAs play pivotal roles in metabolism and other aspects of cell
biology. microRNA-33 and liver X receptor (LXR) affect lipid metabolism and
cholesterol trafficking. In this study, we evaluated effects of co-administration
of miR-33 inhibitor and LXR activator on LXR-alpha and adenosine triphosphate
binding cassette transporter A1 (ABCA1) expression in mice liver. METHODS: Twenty
four mice were randomly allocated into four groups (n = 6). Group 1 mice received
standard chow diet without any treatment, group 2 received 30 mg/kg/48 hour LXR
agonist (T0901317), group 3 received 1 mg/kg/48 hour in vivo locked nucleic acids
(LNA) anti-miR-33 and group 4 received both T0901317 and in vivo LNA anti-miR-33.
All treatments were administrated through intraperitoneal injection (IP). After 7
days and at the end of the study, mice were sacrificed, liver tissues were
excised and blood samples were collected. LXR-alpha and ABCA1 genes and protein
expression were quantified by real-time polymerase chain reaction (PCR) and
western blotting, respectively. RESULTS: LXR activation caused LXR-alpha and
ABCA1 mRNA (P < 0.050) and protein elevation as compared to control (P < 0.001).
miR-33 inhibition attenuates T0901317 effect on LXR-alpha expression in group IV.
Co-administration of T0901317 and anti-miR-33 remarkably elevated high-density
lipoprotein cholesterol (HDL-C) levels, compared to control group (P = 0.001).
Separate administration of T0901317 and anti-miR-33 also elevated HDL-C levels (P
< 0.010). CONCLUSION: Co-administration of T0901317 and anti-miR-33 can be
considered as a good therapeutic alternative for atherosclerosis because miR-33
inhibition reduced lipogenic effects of LXR-alpha activator and also helps LXR
alpha agonist to increase reverse cholesterol transport (RCT) and also HDL-C as
antiatherogenic effects.
PMID- 29643919
TI - An update on molecular cat allergens: Fel d 1 and what else? Chapter 1: Fel d 1,
the major cat allergen.
AB - Background: Cats are the major source of indoor inhalant allergens after house
dust mites. The global incidence of cat allergies is rising sharply, posing a
major public health problem. Ten cat allergens have been identified. The major
allergen responsible for symptoms is Fel d 1, a secretoglobin and not a
lipocalin, making the cat a special case among mammals. Main body: Given its
clinical predominance, it is essential to have a good knowledge of this
allergenic fraction, including its basic structure, to understand the new
exciting diagnostic and therapeutic applications currently in development. The
recent arrival of the component-resolved diagnosis, which uses molecular
allergens, represents a unique opportunity to improve our understanding of the
disease. Recombinant Fel d 1 is now available for in vitro diagnosis by the anti
Fel d 1 specific IgE assay. The first part of the review will seek to describe
the recent advances related to Fel d 1 in terms of positive diagnosis and
assessment of disease severity. In daily practice, anti-Fel d 1 IgE tend to
replace those directed against the overall extract but is this attitude
justified? We will look at the most recent arguments to try to answer this
question. In parallel, a second revolution is taking place thanks to molecular
engineering, which has allowed the development of various forms of recombinant
Fel d 1 and which seeks to modify the immunomodulatory properties of the molecule
and thus the clinical history of the disease via various modalities of anti-Fel d
1-specific immunotherapy. We will endeavor to give a clear and practical overview
of all these trends.
PMID- 29643921
TI - Air pollution and cardiovascular and respiratory disease: Rationale and
methodology of CAPACITY study.
AB - BACKGROUND: Considering the high level of air pollution and its impact on health,
we aimed to study the correlation of air pollution with hospitalization and
mortality of cardiovascular (CVD) and respiratory diseases (ResD) (CAPACITY) to
determine the effects of air pollutants on CVD and ResD hospitalizations and
deaths in Isfahan, Iran. METHODS: Hourly levels of air pollutants including
particulate matter (PM), carbon monoxide (CO), nitrogen dioxide (NO2), sulfur
dioxide (SO2), and ozone (O3), information of CVD and ResD admissions and death
certificate were obtained respectively from Department of Environment (DOE),
Iran, hospitals and cemetery. Time series and case-crossover model were used to
find the impact of air pollutants. This paper only summarizes the descriptive
findings of the CAPACITY study. RESULTS: The total number of hospitalized
patients were 23781 in 2010 and 22485 in 2011. The most frequent cause of
hospitalization and death was ischemic heart diseases in both years. While the
mean annual levels of O3, CO, and PM10 were lower in 2011 than in 2010, NO2 and
SO2 levels higher in 2011. In both years, PM10 was similarly increased during
last month of fall, late spring and early summer. In 2011, the PM2.5 and PM10
monthly trend of change were similar. CONCLUSION: The CAPACITY study is one of
the few large-scale studies that evaluated the effects of air pollutants on a
variety of CVD and ResD in a large city of Iran. This study can provide many
findings that could clarify the effects of these pollutants on the incidence and
burden of both disease groups.
PMID- 29643922
TI - An investigation of the psychological experiences of patients under mechanical
ventilation following open heart surgery.
AB - BACKGROUND: Breathing and living on mechanical ventilation develops a different
feeling in patients. Most of such feelings and experiences are not pleasant and
can lead to psychiatric disorders in the patients after they are detached from
the ventilator. The aim of this study is to explore the psychological experiences
of patients under mechanical ventilation. METHODS: This qualitative study was
conducted according to an interpretive epistemological approach in 2016. Fifteen
participants were selected according to purposive sampling. Data were drawn from
the transcripts of in-depth, semi-structured interview that were not discontinued
until data saturation was ensured. The participants were asked to share what they
experienced when they were under mechanical ventilation and intubation. Data
analysis was conducted according to Diekelmann method. RESULTS: Altogether, 2
themes, 7 subthemes, and 27 sub-subthemes were drawn from the data. Two themes
were dread (a horrible experience) and hope (an inspiring experience). Dread
consisted of anxiety, hopelessness, and dependency. Hope consisted of spiritual
connection as the only possible effort, the presence of health team the source of
comfort, the family looking forward, and overcoming the illness (a step to life).
CONCLUSION: The psychological experiences of patients under mechanical
ventilation are specific, and nurses can play an important role in decreasing
tension and increasing hope among them through gaining knowledge about their
experiences.
PMID- 29643923
TI - The effect of atorvastatin treatment duration on oxidative stress markers and
lipid profile in patients with coronary artery diseases: A case series study.
AB - BACKGROUND: The major aim of this study was evaluating the effect of atorvastatin
treatment on thiobarbituric acid reactive substances (TBARS), ferric reducing the
ability of plasma (FRAP), small dense low-density lipoprotein cholesterol (sdLDL)
and lipid profile in coronary artery disease (CAD) patients. METHODS: This study
was carried out on 83 patients with angiographically proven coronary artery
stenosis (52 men and 31 women) at Shahid Madani Hospital, Khorramabad, Iran, in
2015. The patients were divided into the 3 groups. 27 patients were classified
statins consumption less than 6 days, 28 patients for 6 to 90 days, and 28
patients for more than 90 days. The level of sdLDL, lipid profile, TBARS and FRAP
were assayed. RESULTS: FRAP levels of patients that received atorvastatin for
more than 90 days (832 +/- 101) were significantly elevated (P = 0.01) compared
to the patients received atorvastatin less than 6 days (688 +/- 75), whereas the
levels of TBARS diminished significantly (P = 0.04). Also, the levels of total
cholesterol (TC) and LDL-C were significantly decreased after 3 months of
atorvastatin receiving (158 as compared to patients that consumed atorvastatin
less than 6 days), (P = 0.02 and 0.03, respectively). The level of sdLDL was
slightly increased with long-time consumption of atorvastatin (37 +/- 14) in
patients in comparison with patients that received atorvastatin less than 6 days
(32 +/- 15) (P = 0.06), but was not significant. CONCLUSION: The serum level of
TBARS decreased and the serum level of FRAP increased in patients with long-time
receiving atorvastatin. Therefore, atorvastatin contributes to the lowering
oxidative stress in these patients.
PMID- 29643924
TI - The relationship between shift work and Framingham risk score: A five-year
prospective cohort study.
AB - BACKGROUND: There is a small number of studies that considered the relationship
between shift work (SW) and Framingham risk score (FRS). This study prospectively
examined the association between SW and FRS among man workers based on the
multilevel modeling approach. METHODS: This five-year prospective cohort study
was done among workers (using stratified random sampling) who work in Esfahan's
Mobarakeh Steel Company (EMSC), Iran, from March 2011 to February 2015. RESULTS:
The study sample included 1626 man workers (mean age = 40.0 +/- 6.2). Among these
subjects, 652 (40.01%), 183 (11.3%) and 791 (48.6%) were day workers, weekly
rotating shift workers and routinely rotating, respectively. After controlling
unbalanced variables, there was no any significant association between SW and
FRS. CONCLUSION: The results of this prospective cohort study did not show a
relationship between SW and FRS.
PMID- 29643925
TI - Isolated double-orifice mitral valve in a young girl.
AB - BACKGROUND: Double-orifice mitral valve (DOMV) is an extremely rare cardiac
malformation. It has been found to be accompanied by congenital anomalies (CAs),
however, it can be detected as an isolated anomaly. The clinical findings of a
DOMV are variable and depend predominantly on the associated cardiac
abnormalities, particularly atrioventricular septal defects or mitral valve (MV)
regurgitation and/or stenosis. CASE REPORT: In this regard, we describe an
isolated DOMV in an 18-year-old young girl who complained of a short-term
nonspecific chest pain. She underwent transthoracic and transesophageal
echocardiographic examinations. The examinations revealed a DOMV without any
valvular or structural malformations. Other examinations were unremarkable. The
patient did need neither medical nor surgical interventions. CONCLUSION: The
isolated cases of DOMV do not need therapy and might be only followed up using
echocardiographic examinations. However, a careful echocardiographic examination
for detection of concomitant structural malformations would be of great
importance in the management of such cases.
PMID- 29643926
TI - The evaluation of left ventricular diastolic dysfunction in patients with non
hemorrhagic stroke and atrial fibrillation.
AB - BACKGROUND: Atrial fibrillation (AF) is the most common tachyarrhythmia and an
important risk factor for thromboembolic stroke. CHA2DS2-VASc score was
introduced for assessment of embolic events and as criteria for starting
anticoagulants. This study was performed to evaluate the left ventricular
diastolic dysfunction (LVDD) in patients with non-hemorrhagic stroke and AF.
METHODS: This cross-sectional study consisted of 76 consecutive patients with
suspected non-hemorrhagic stroke referred to the Cardiology Department of Alzahra
and Ayatollah Kashani hospitals in Isfahan, Iran, during 2015-2016. Demographic,
anthropometric and clinical characteristics were evaluated for all patients at
baseline. CHA2DS2-VASc score was calculated for all. All eligible patients
underwent transthoracic echocardiogram (TTE) and LVDD was measured in the
patients. RESULTS: The mean age of the patients was 64.64 +/- 5.95 years and 28
subjects (36.8%) were women. The most common underlying disease in the patients
was hypertension (HTN) (65.8%). Median (range) CHA2DS2-VASc score was 4 (1-7).
Four patients (5.3%) had paroxysmal AF and 16 cases (21.1%) had LVDD. Analysis
showed that LVDD in patients with non-hemorrhagic stroke and coexisting AF was
not associated with CHA2DS2-VASc score (r = 0.151, P = 0.192). CONCLUSION: LVDD
is not associated with CHA2DS2-VASc score in patients with non-hemorrhagic stroke
and coexisting AF.
PMID- 29643927
TI - Mouse Models of beta-cell KATP Channel Dysfunction.
AB - ATP-sensitive K+ (KATP) channels in pancreatic beta-cells couple glucose
metabolism to insulin secretion. Reduced KATP channel activity produces excessive
insulin release and hyperinsulinism whereas increased KATP channel activity leads
to lower insulin secretion and diabetes. Paradoxically, mice with genetic
deletion of KATP channels, or loss-of-function mutations, are only transiently
hypoglycaemic during the neonatal period and often display reduced glucose
stimulated insulin secretion subsequently. Mice with KATP channel gain-of
function mutations are hyperglycaemic and have impaired glucose-stimulated
insulin secretion, a phenotype that accurately mimics human diabetes. This review
discusses how mice expressing altered KATP channels have provided valuable
insight into beta-cell function.
PMID- 29643928
TI - Effect of low energy diet for eight weeks to adults with overweight or obesity on
folate, retinol, vitamin B12, D and E status and the degree of inflammation: a
post hoc analysis of a randomized intervention trial.
AB - Background: Obesity is associated with vitamin insufficiency and low grade
inflammation. The purpose of this study was to investigate the effect of weight
loss on folate, retinol, vitamin B12, D and E status and the degree of
inflammation. Methods: Out of 110, 85 individuals (75% women) aged 39 +/- 11
years with a mean +/- SD BMI of 33 +/- 4 kg/m2, completed an eight-week low
energy diet (LED). Serum concentration of folate, retinol, B12, D and E and C
reactive protein and homocysteine (Hcy) were measured at baseline and at end of
the LED. Results: At baseline, 8% of the participants were deficient in folate,
13% in vitamin B12, 2% in retinol, 28% in vitamin D (72% were insufficient in
vitamin D), and none were deficient in vitamin E. At baseline, BMI was inversely
associated with retinol (P < 0.05) as was total and abdominal fat percentage with
folate (P < 0.05); further BMI and measures of adiposity were positively
associated with CRP (P < 0.01) and Hcy (P < 0.05). Homocysteine was inversely
associated with all vitamins but retinol (P < 0.001). After the LED, the
participants lost a mean [95% confidence intervals] of 12.3 [- 13.1,-11.6] kg.
The serum concentration of folate, vitamin B12 and D were increased (P < 0.001)
after the LED whereas the concentration of retinol and vitamin E were reduced (P
< 0.001). Conclusion: Eight-weeks LED resulted in 13% weight loss and an increase
in the serum concentrations of folate, vitamin B12 and D. Baseline adiposity was
inversely associated with folate and retinol, and positively associated with
markers of inflammation. Trial registration: Ethical Committee of Copenhagen as
no. H-4-2013-135, NCT01561131.
PMID- 29643929
TI - Colorectal Cancer Screening: The Role of Psychological, Social and Background
Factors in Decision-making Process.
AB - Since ColoRectal Cancer (CRC) remains the third cause of cancer death in the
world, a better understanding of the reasons underlying poor adherence to and
delay in undergoing CRC screening programs is important. CRC screening decision
making process can be conceptualized as the relationship between intention and
behavior and needs to be investigated including the impact on patients' decision
of a broad range of psychological factors and personal predisposition as fear of
a positive screening test, poor understanding of the procedure, psychological
distress, anxiety, anticipation of pain, feelings of embarrassment and
vulnerability. Also socioeconomic, ethnic and sociological influences, and
organizational barriers have been identified as factors influencing CRC screening
adherence. Decision-making process can finally be influenced by the healthcare
background in which the intervention is promoted and screening programs are
carried out. However, there is still a gap on the scientific knowledge about the
influences of diverse elements on screening adherence and this deserves further
investigations in order to carry out more focused and effective prevention
programs.
PMID- 29643930
TI - The Physical Body Experiences Questionnaire Simplified for Active Aging (PBE
QAG).
AB - Background: The physical activity has been indicated as an experience that can
help achieve positive, self-oriented own body awareness. This awareness is an
aspect that tends to get worse with age. Objective: Our study aims to verify the
internal consistency of a questionnaire on physical awareness in a sample of
Italian elders; a secondary objective is to measure if there is a relationship
between physical awareness and perceived level of physical activity. Methods:
Cross sectional study on a consecutive sample of elderly people was administered
the "Physical Body Experiences Questionnaire simplified for active aging (PBE
QAG)", inspired by the "Physical Body Experiences Questionnaire", modified,
simplified and adapted to be used in the elderly over 65. To elderly people the
International Physical Activity Questionnaire. Cronbach's alpha was also used to
assess internal reliability of the total PBE-QAG. The factor structure was
evaluated through Confirmatory Factor Analyses (CFAs). Results: The Cronbach's
alpha was 0.8 for the "body-mind relationship" scale, 0.81 for the "accepting
your body" scale, 0.83 for the "awareness of physical skills" scale, and 0.65 for
the "awareness of physical limits" scale. Cronbach's alpha for the total PBE-QAG
was 0.89. The CFA indicated a model with the 4 factors (CFI = 0.989, TLI = 0.984,
RMSEA = 0.076).People who conducted physical activity assiduously or regularly
and over 10 minutes showed a better score to the PBE-QAG than those who declared
a sporadic activity and for "less than 10 minute", respectively. Conclusion: Our
study revealed that the PBE-QAG shows an excellent total internal consistency. In
the Italian sample of elderly people the questionnaire shows the model with the 4
factors described in literature.
PMID- 29643931
TI - Remission in Depression and Associated Factors at Different Assessment Times in
Primary Care in Chile.
AB - Objective: To determine the factors associated with remission at 3, 6, 9 and 12
months among depressive adult patients in primary care [PHC] in Chile. Methods:
This is a one-year naturalistic study that followed 297 patients admitted for
treatment of depression in eight primary care clinics in Chile. Initially,
patients were evaluated using: the International Mini-Neuropsychiatric Interview
[MINI], a screening for Childhood Trauma Events [CTEs], the Life Experiences
Survey and a partner violence scale. The Hamilton Depression Scale [HDRS] was
used to follow the patients during the observation time. Associations between the
factors studied and the primary outcome remission [HDRS <= 7] were assessed using
a dichotomous logistic regression and a multivariate Poisson regression. The
significance level was 0.05. Results: Remission [HDRS <= 7] ranged between 36.7%
at 3 months and 53.9% at 12 months. Factors that predicted poor remission during
the observation time were: CTEs [Wald X2 = 4.88, Exp B=0.94, CI 0.90-0.92,
p=0.27]; psychiatric comorbidities [Wald X2 = 10.73, Exp B=0.90, CI 0.85-0.96,
p=0.01]; suicidal tendencies [Wald X2 = 4.66, Exp B=0.88, CI 0.79-0.98, p=0.03]
and prior treatment for depression [Wald X2 = 4.50, Exp B=0.81, CI 0.68-0.85,
p=0.03]. Discussion: Almost 50% of this sample failed remission in depression at
12 months. Psychiatric comorbidities and CTEs are factors that should be
considered for a poor outcome in depressed Chilean patients. These factors need
more recognition and a better approach in PHC.
PMID- 29643932
TI - Effect of maternal country of birth on breastfeeding practices: results from
Portuguese GXXI birth cohort.
AB - Background: Maternal country of birth has been associated with perinatal health
outcomes but less is known regarding breastfeeding practices in contemporary
European settings. This study investigated effect of maternal country of birth on
breastfeeding initiation and duration by comparing native Portuguese and migrant
mothers. Methods: We analyzed data of 7065 children of the Generation XXI (GXXI)
birth cohort recruited at birth (2005-06) and followed-up 4 years later. Logistic
regression was used to assess the effect of maternal country of birth on
breastfeeding initiation. Kaplan-Meier estimate was used to compare breastfeeding
duration by maternal country of birth and length of residence by migrant mothers
in Portugal. Results: Breastfeeding initiation and the type of breastfeeding
practice were similar for native Portuguese and migrant mothers. The migrants had
significantly higher median duration in months of any breastfeeding (Odds Ratio
[OR] 6.0, 95% Confidence Interval [CI] 5.4,6.6) and exclusive breastfeeding (OR
4.0, 95% CI 3.8,4.2) than native Portuguese mothers (OR 4.0, 95% CI 3.8,4.2 and
OR 3.0, 95% CI 2.9,3.0). Migrant mothers who resided in Portugal for either <=5
years (OR 5.0, 95% CI 3.9,6.1 and OR 4.0, 95% CI 3.8,4.2) or > 5 years (OR 6.0,
95% CI 5.5,6.5 and OR 4.0, 95% CI 3.7,4.3) years had similar duration of any
breastfeeding or exclusive breastfeeding, in both cases higher than the native
Portuguese mothers. No significant differences were found when world regions were
compared. Conclusions: Maternal country of birth does not influence breastfeeding
initiation and type of feeding practice. However, migrant mothers have longer
breastfeeding duration of either exclusive or any breastfeeding, which was not
changed by length of residence in Portugal.
PMID- 29643935
TI - Changes in fatigue, autonomic functions, and blood biomarkers due to sitting
isometric yoga in patients with chronic fatigue syndrome.
AB - Background: In a previous randomized controlled trial, we found that sitting
isometric yoga improves fatigue in patients with chronic fatigue syndrome (CFS)
who are resistant to conventional therapy. The aim of this study was to
investigate possible mechanisms behind this finding, focusing on the short-term
fatigue-relieving effect, by comparing autonomic nervous function and blood
biomarkers before and after a session of isometric yoga. Methods: Fifteen
patients with CFS who remained symptomatic despite at least 6 months of
conventional therapy practiced sitting isometric yoga (biweekly 20 min practice
with a yoga instructor and daily home practice) for eight weeks. Acute effects of
sitting isometric yoga on fatigue, autonomic function, and blood biomarkers were
investigated after the final session with an instructor. The effect of a single
session of sitting isometric yoga on fatigue was assessed by the Profile of Mood
Status (POMS) questionnaire immediately before and after the session. Autonomic
nervous function (heart rate (HR) variability) and blood biomarkers (cortisol,
DHEA-S, TNF-alpha, IL-6, IFN-gamma, IFN-alpha, prolactin, carnitine, TGF-beta1,
BDNF, MHPG, and HVA) were compared before and after the session. Results: Sitting
isometric yoga significantly reduced the POMS fatigue score (p < 0.01) and
increased the vigor score (p < 0.01). It also reduced HR (p < 0.05) and increased
the high frequency power (p < 0.05) of HR variability. Sitting isometric yoga
increased serum levels of DHEA-S (p < 0.05), reduced levels of cortisol (p <
0.05) and TNF-alpha (p < 0.05), and had a tendency to reduce serum levels of
prolactin (p < 0.1). Decreases in fatigue scores correlated with changes in
plasma levels of TGF-beta1 and BDNF. In contrast, increased vigor positively
correlated with HVA. Conclusions: A single session of sitting isometric yoga
reduced fatigue and increased vigor in patients with CFS. Yoga also increased
vagal nerve function and changed blood biomarkers in a pattern that suggested
anti-stress and anti-inflammatory effects. These changes appear to be related to
the short-term fatigue-relieving effect of sitting isometric yoga in patients
with CFS. Furthermore, dopaminergic nervous system activation might account for
sitting isometric yoga-induced increases in energy in this patient population.
Trial registration: University Hospital Medical Information Network (UMIN CTR)
UMIN000009646. Registered Dec 27, 2012.
PMID- 29643933
TI - Human papillomavirus genome variants and head and neck cancers: a perspective.
AB - Human papillomaviruses (HPV) cause infections that are responsible for diverse
clinical manifestations from benign conditions to invasive cancer. As different
HPV types are associated with variable pathogenic potential, minor genetic
variations within a given high-risk HPV type might also be associated with
distinct oncogenic capacities, through variable ability of persistence or risk of
progression to precancer/cancer. Most recent HPV variant studies in the cervix
using latest sequencing technology confirmed that minor changes in the HPV genome
can have a major influence on carcinogenesis and have revealed key data that help
better understand the carcinogenicity of HPV at a molecular level. Here we review
the limited number of studies on HPV genome variants in head and neck cancers
(HNC) and discuss their implications for cancer research in the light of
accumulated knowledge for the cervix. Challenges in transposing HPV variant
studies from the lower anogenital to the upper aerodigestive tract are also
discussed, highlighting the main gaps of knowledge in the field of HPV-induced
HNC. Specifically in the head and neck region, the lack of characterisation of
precancerous lesions and the difficulty in sampling normal tissue will challenge
the development of accurate studies. Although there is so far no indication that
HPV variant research in HNC could directly translate into clinical application,
such research is expected to be useful to disentangle unanswered questions in the
pathogenesis of HNC. Yet, history of HPV variant research suggests that, to be
successful, studies will require large international collaborative efforts.
PMID- 29643934
TI - Extra-telomeric functions of telomerase in the pathogenesis of Epstein-Barr virus
driven B-cell malignancies and potential therapeutic implications.
AB - The Epstein-Barr virus (EBV) is a ubiquitous human gamma-herpesvirus causally
linked to a broad spectrum of both lymphoid and epithelial malignancies. In order
to maintain its persistence in host cells and promote tumorigenesis, EBV must
restrict its lytic cycle, which would ultimately lead to cell death, selectively
express latent viral proteins, and establish an unlimited proliferative
potential. The latter step depends on the maintenance of telomere length provided
by telomerase. The viral oncoprotein LMP-1 activates TERT, the catalytic
component of telomerase. In addition to its canonical role in stabilizing
telomeres, TERT may promote EBV-driven tumorigenesis through extra-telomeric
functions. TERT contributes toward preserving EBV latency; in fact, through the
NOTCH2/BATF pathway, TERT negatively affects the expression of BZLF1, the master
regulator of the EBV lytic cycle. In contrast, TERT inhibition triggers a
complete EBV lytic cycle, leading to the death of EBV-infected cells.
Interestingly, short-term TERT inhibition causes cell cycle arrest and apoptosis,
partly by inducing telomere-independent activation of the ATM/ATR/TP53 pathway.
Importantly, TERT inhibition also sensitizes EBV-positive tumor cells to
antiviral therapy and enhances the pro-apoptotic effects of chemotherapeutic
agents. We provide here an overview on how the extra-telomeric functions of TERT
contribute to EBV-driven tumorigenesis. We also discuss the potential therapeutic
approach of TERT inhibition in EBV-driven malignancies.
PMID- 29643936
TI - Single cell assessment of yeast metabolic engineering for enhanced lipid
production using Raman and AFM-IR imaging.
AB - Background: Biodiesel is a valuable renewable fuel made from derivatized fatty
acids produced in plants, animals, and oleaginous microbes. Of the latter, yeasts
are of special interest due to their wide use in biotechnology, ability to
synthesize fatty acids and store large amounts of triacylglycerols while
utilizing non-food carbon sources. While yeast efficiently produce lipids,
genetic modification and indeed, lipid pathway metabolic engineering, is usually
required for cost-effective production. Traditionally, gas chromatography (GC) is
used to measure fatty acid production and to track the success of a metabolic
engineering strategy in a microbial culture; here we have employed vibrational
spectroscopy approaches at population and single cell level of engineered yeast
while simultaneously investigating metabolite levels in subcellular structures.
Results: Firstly, a strong correlation (r2 > 0.99) was established between
Fourier transform infrared (FTIR) lipid in intact cells and GC analysis of fatty
acid methyl esters in the differently engineered strains. Confocal Raman
spectroscopy of individual cells carrying genetic modifications to enhance fatty
acid synthesis and lipid accumulation revealed changes to the lipid body (LB),
the storage organelle for lipids in yeast, with their number increasing markedly
(up to tenfold higher); LB size was almost double in the strain that also
expressed a LB stabilizing gene but considerable variation was also noted between
cells. Raman spectroscopy revealed a clear trend toward reduced unsaturated fatty
acid content in lipids of cells carrying more complex metabolic engineering.
Atomic force microscopy-infrared spectroscopy (AFM-IR) analysis of individual
cells indicated large differences in subcellular constituents between strains:
cells of the most highly engineered strain had elevated lipid and much reduced
carbohydrate in their cytoplasm compared with unmodified cells. Conclusions:
Vibrational spectroscopy analysis allowed the simultaneous measurement of strain
variability in metabolite production and impact on cellular structures as a
result of different gene introductions or knockouts, within a lipid metabolic
engineering strategy and these inform the next steps in comprehensive lipid
engineering. Additionally, single cell spectroscopic analysis measures
heterogeneity in metabolite production across microbial cultures under genetic
modification, an emerging issue for efficient biotechnological production.
PMID- 29643937
TI - Endogenous lycopene improves ethanol production under acetic acid stress in
Saccharomyces cerevisiae.
AB - Background: Acetic acid, generated from the pretreatment of lignocellulosic
biomass, is a significant obstacle for lignocellulosic ethanol production.
Reactive oxidative species (ROS)-mediated cell damage is one of important issues
caused by acetic acid. It has been reported that decreasing ROS level can improve
the acetic acid tolerance of Saccharomyces cerevisiae. Results: Lycopene is known
as an antioxidant. In the study, we investigated effects of endogenous lycopene
on cell growth and ethanol production of S. cerevisiae in acetic acid media. By
accumulating endogenous lycopene during the aerobic fermentation of the seed
stage, the intracellular ROS level of strain decreased to 1.4% of that of the
control strain during ethanol fermentation. In the ethanol fermentation system
containing 100 g/L glucose and 5.5 g/L acetic acid, the lag phase of strain was
24 h shorter than that of control strain. Glucose consumption rate and ethanol
titer of yPS002 got to 2.08 g/L/h and 44.25 g/L, respectively, which were 2.6-
and 1.3-fold of the control strain. Transcriptional changes of INO1 gene and CTT1
gene confirmed that endogenous lycopene can decrease oxidative stress and improve
intracellular environment. Conclusions: Biosynthesis of endogenous lycopene is
first associated with enhancing tolerance to acetic acid in S. cerevisiae. We
demonstrate that endogenous lycopene can decrease intracellular ROS level caused
by acetic acid, thus increasing cell growth and ethanol production. This work
innovatively puts forward a new strategy for second generation bioethanol
production during lignocellulosic fermentation.
PMID- 29643938
TI - A hybrid reference-guided de novo assembly approach for generating Cyclospora
mitochondrion genomes.
AB - Cyclospora cayetanensis is a coccidian parasite associated with large and complex
foodborne outbreaks worldwide. Linking samples from cyclosporiasis patients
during foodborne outbreaks with suspected contaminated food sources, using
conventional epidemiological methods, has been a persistent challenge. To address
this issue, development of new methods based on potential genomically-derived
markers for strain-level identification has been a priority for the food safety
research community. The absence of reference genomes to identify nucleotide and
structural variants with a high degree of confidence has limited the application
of using sequencing data for source tracking during outbreak investigations. In
this work, we determined the quality of a high resolution, curated, public
mitochondrial genome assembly to be used as a reference genome by applying
bioinformatic analyses. Using this reference genome, three new mitochondrial
genome assemblies were built starting with metagenomic reads generated by
sequencing DNA extracted from oocysts present in stool samples from
cyclosporiasis patients. Nucleotide variants were identified in the new and other
publicly available genomes in comparison with the mitochondrial reference genome.
A consolidated workflow, presented here, to generate new mitochondrion genomes
using our reference-guided de novo assembly approach could be useful in
facilitating the generation of other mitochondrion sequences, and in their
application for subtyping C. cayetanensis strains during foodborne outbreak
investigations.
PMID- 29643940
TI - The impact of a disease management programme for type 2 diabetes on health
related quality of life: multilevel analysis of a cluster-randomised controlled
trial.
AB - Background: Type 2 diabetes is a chronic disease associated with poorer health
outcomes and decreased health related quality of life (HRQoL). The aim of this
analysis was to explore the impact of a disease management programme (DMP) in
type 2 diabetes on HRQoL. A multilevel model was used to explain the variation in
EQ-VAS. Methods: A cluster-randomized controlled trial-analysis of the secondary
endpoint HRQoL. Our study population were general practitioners and patients in
the province of Salzburg. The DMP "Therapie-Aktiv" was implemented in the
intervention group, and controls received usual care. Outcome measure was a
change in EQ-VAS after 12 months. For comparison of rates, we used Fisher's Exact
test; for continuous variables the independent T test or Welch test were used. In
the multilevel modeling, we examined various models, continuously adding
variables to explain the variation in the dependent variable, starting with an
empty model, including only the random intercept. We analysed random effects
parameters in order to disentangle variation of the final EQ-VAS. Results: The EQ
VAS significantly increased within the intervention group (mean difference 2.19,
p = 0.005). There was no significant difference in EQ-VAS between groups (mean
difference 1.00, p = 0.339). In the intervention group the improvement was more
distinct in women (2.46, p = 0.036) compared to men (1.92, p = 0.063). In
multilevel modeling, sex, age, family and work circumstances, any macrovascular
diabetic complication, duration of diabetes, baseline body mass index and
baseline EQ-VAS significantly influence final EQ-VAS, while DMP does not. The
final model explains 28.9% (EQ-VAS) of the total variance. Most of the
unexplained variance was found on patient-level (95%) and less on GP-level (5%).
Conclusion: DMP "Therapie-Aktiv" has no significant impact on final EQ-VAS. The
impact of DMPs in type 2 diabetes on HRQoL is still unclear and future programmes
should focus on patient specific needs and predictors in order to improve
HRQoL.Trial registration Current Controlled trials Ltd., ISRCTN27414162.
PMID- 29643941
TI - Demographic and migration-related risk factors for low-level smoking in a farm
working sample of Latinos (the MICASA study).
AB - Cigarette smoking is the most preventable cause of death in the U.S. Research
regarding the phenomenon of low-level smoking, defined as smoking one to five
cigarettes per day (CPD) on average, is increasing as its high prevalence is
better recognized. The Mexican Immigration to California: Agricultural Safety and
Acculturation (MICASA) study is a prospective cohort study of Latino hired farm
worker families that assesses respiratory health, including patterns and
behaviors of cigarette smoking. The purpose of the present analysis was to
establish demographic, migration-related, and psychosocial characteristics and
risk factors for low-level smoking. Seven percent of participants were current
smokers, 61% of them being low-level smokers. Low-level smokers did not smoke as
many days during the past month as those who smoke 6+ CPD (p=0.04). Low-level
smokers were more likely than never and former smokers combined not to be married
and to experience frequent mental distress. Those who smoke 6+ CPD were also more
likely than never and former smokers combined to experience frequent mental
distress and to be more acculturated. Low-level smokers have characteristics and
risk factors that set them apart from other types of smokers. This increased
understanding of low-level smokers can enhance public health education and
smoking cessation programs targeted at Latinos.
PMID- 29643942
TI - Congregational Composition and Explanations for Racial Inequality Among Black
Religious Affiliates.
AB - Objective: Prior research suggests that congregational characteristics are
associated with the racial attitudes of Black churchgoers. This study examines
the relationship between congregational diversity and beliefs about the
Black/White socioeconomic gap among Black religious adherents. Method: Drawing
upon pooled data from the General Social Survey and the National Congregations
Study, we fit binary logistic regression models to estimate the association
between congregational size and the explanations of Black/White economic
inequality among Black religious adherents. Results: Findings from our study
reveal that congregational diversity is one factor that accounts for intragroup
differences in racial attitudes among Black religious affiliates. Relative to
Blacks that attend religious services in overwhelmingly Black congregations,
Blacks that attend religious services in congregations that are overwhelmingly
White and are overwhelmingly comprised of non-Black racial/ethnic minorities are
significantly less likely to attribute Black/White socioeconomic gaps to
structural factors. Conclusion: Our study demonstrates that congregational
diversity is a source of intragroup variation in racial attitudes among Black
religious affiliates, which may attenuate the ability of such congregations to
bridge racial divisions.
PMID- 29643939
TI - Sphingolipid regulators of cellular dysfunction in Type 2 diabetes mellitus: a
systems overview.
AB - Climbing obesity rates have contributed to worldwide increases in obesity
associated diseases, including the metabolic syndrome and Type 2 diabetes
mellitus (T2DM). Sphingolipids, an important class of structural and signaling
lipids, have emerged as key players in the development and pathogenesis of
insulin resistance and T2DM. More specifically, sphingolipids have been
demonstrated to play integral roles in lipotoxicity and other aspects of
pathogenesis in T2DM, although the cellular mechanisms by which this occurs and
by which sphingolipid metabolism is dysregulated in T2DM remain under
investigation. This review summarizes current knowledge of sphingolipid
metabolism and signaling in key organs and tissues affected by T2DM, including
the pancreas, adipose tissue, skeletal muscle, cardiovascular system and liver,
and highlights areas that ripe for future investigation.
PMID- 29643943
TI - H19 overexpression promotes leukemogenesis and predicts unfavorable prognosis in
acute myeloid leukemia.
AB - Background: The long non-coding RNA H19 plays a crucial role in solid tumor
initiation and progression. However, the potential role of H19 and its clinical
significance in acute myeloid leukemia (AML) remain largely elusive. Methods: H19
expression was detected by qPCR, and clinical significance in AML patients was
further analyzed. The Cancer Genome Atlas (TCGA) and Gene Expression Omnibus
(GEO) data for AML were used as validation cohorts. The roles of H19 in cell
proliferation and apoptosis were determined by cell proliferation assay and flow
cytometry analysis. Results: H19 expression was significantly increased in AML
patients but not associated with embedded miR-675 expression. Moreover, H19
overexpression was not dependent on the methylation pattern in H19 differentially
methylated region/imprinting control region. Strong association was observed
between H19 overexpression and patients' characteristics including sex, higher
white blood cells, older age, and intermediate karyotype, FLT3-ITD, and DNMT3A
mutations. In addition, H19 overexpression correlated with lower complete
remission (CR) rate and shorter overall survival, and further confirmed by
multivariate analyses. Importantly, the prognostic effect of H19 expression was
validated by TCGA and GEO data. In the follow-up of patients, H19 expression in
CR phase was lower than diagnosis time and returned at relapse time. Loss-of
function experiments showed that H19 exhibited anti-proliferative and pro
apoptotic effects in leukemic cell HL60. Furthermore, H19 expression was
positively correlated with potential downstream gene ID2 in AML. Conclusions: Our
findings revealed that methylation-independent H19 was a prognostic and
predictive biomarker in AML, and H19/ID2 played crucial roles in leukemogenesis
with potential therapeutic target value.
PMID- 29643944
TI - Competing endogenous RNA expression profiling in pre-eclampsia identifies
hsa_circ_0036877 as a potential novel blood biomarker for early pre-eclampsia.
AB - Background: The etiology and pathogenesis of pre-eclampsia (PE) is unclear, and
there is no ideal early clinical biomarker for prediction of PE. The competing
endogenous RNA (ceRNA) hypothesis is a new approach to uncover the molecular
pathology of PE. The first aim of this study was to perform messenger RNA, long
non-coding RNA, and circular RNA (circRNA) expression profiling of human normal
and severe pre-eclampsia (SPE) placentas. circRNA, which has a stable structure,
is a more suitable biomarker than other types of RNA. Therefore, the second aim
of our study was to select some differentially expressed circRNAs in PE placentas
as early clinical biomarkers of PE in blood circulation. Results: Using
microarray analysis, we investigated differentially expressed ceRNAs in human
normal and SPE placentas. Bioinformatics, such as gene ontology, KEGG pathway,
and ceRNA network analyses, were performed to evaluate the microarray data and
gain further insights into the biological processes. RNAs (Chd5, Furin, lnc
ELAVL4-9:1, lnc-RAP1GAP2-5:2, hsa_circ_0036877, hsa_circ_0036878,
hsa_circ_0055724, hsa_circ_0049730, and hsa_circ_0036474) were validated by
quantitative real-time PCR (qRT-PCR). RNA immunoprecipitation (RIP) of AGO2 in
htra-8 cells and qRT-PCR analysis of hsa_circ_0036877 expression in maternal
whole peripheral blood samples of participants were then conducted to confirm
that hsa_circ_0036877 is a ceRNA and potential novel blood biomarker for early
PE, respectively. Conclusion: Our study is the first systematic profiling of
ceRNAs in placentas of PE patients and revealed the global ceRNA network
integration in PE. Moreover, hsa_circ_0036877 can function as a ceRNA and serve
as a potential novel blood biomarker for early PE.
PMID- 29643945
TI - Epigenome-wide association study of metabolic syndrome in African-American
adults.
AB - Background: The high prevalence of obesity among US adults has resulted in
significant increases in associated metabolic disorders such as diabetes,
dyslipidemia, and high blood pressure. Together, these disorders constitute
metabolic syndrome, a clinically defined condition highly prevalent among African
Americans. Identifying epigenetic alterations associated with metabolic syndrome
may provide additional information regarding etiology beyond current evidence
from genome-wide association studies. Methods: Data on metabolic syndrome and DNA
methylation was assessed on 614 African-Americans from the Hypertension Genetic
Epidemiology Network (HyperGEN) study. Metabolic syndrome was defined using the
joint harmonized criteria, and DNA methylation was assessed using the Illumina
HumanMethylation450K Bead Chip assay on DNA extracted from buffy coat. Linear
mixed effects regression models were used to examine the association between CpG
methylation at > 450,000 CpG sites and metabolic syndrome adjusted for study
covariates. Replication using DNA from a separate sample of 69 African-Americans,
as well as meta-analysis combining both cohorts, was conducted. Results: Two
differentially methylated CpG sites in the IGF2BP1 gene on chromosome 17
(cg06638433; p value = 3.10 * 10- 7) and the ABCG1 gene on chromosome 21
(cg06500161; p value = 2.60 * 10- 8) were identified. Results for the ABCG1 gene
remained statistically significant in the replication dataset and meta-analysis.
Conclusion: Metabolic syndrome was consistently associated with increased
methylation in the ABCG1 gene in the discovery and replication datasets, a gene
that encodes a protein in the ATP-binding cassette transporter family and is
involved in intra- and extra-cellular signaling and lipid transport.
PMID- 29643946
TI - TiO2-Nanofillers Effects on Some Properties of Highly- Impact Resin Using
Different Processing Techniques.
AB - Background: The criteria of conventional curing of polymethyl methacrylate do not
match the standard properties of the denture base materials. Objectives: This
research was conducted to investigate the addition of TiO2 nano practical on
impact strength, thermal conductivity and color stability of acrylic resin cured
by microwave in comparison to the conventional cured of heat-polymerized acrylic
resin. Materials and Methods: 120 specimens made of high impact acrylic resin
were divided into two main groups according to the type of curing (water bath,
microwave), then each group was subdivided into two groups according to the
addition of 3% TiO2 nano-fillers and control group (without the addition of TiO2
0%). Each group was subdivided according to the type of test into 3 groups with
10 specimens for each group. Data were statistically analyzed using Student t
test to detect the significant differences between tested and control groups at
significance level (P<0.05). Results: According to curing type methods, the
results showed that there was a significant decrease in impact strength of
microwaved cured resin, but there was no significant difference in the thermal
conductivity and color stability of resin. In addition, by using nanofiller,
there was a significant increase in the impact strength and color stability with
the addition of 3% TiO2 nanofillers, but no significant difference was found in
the thermal conductivity of the acrylic resin. Conclusion: The microwave curing
of acrylic resin had no change in the color stability and thermal conductivity in
comparison to the water bath, but the impact strength was decreased. The addition
of 3% TiO2 improved the impact and the color stability, but the thermal
conductivity did not change.
PMID- 29643947
TI - Comparison of Saliva Nitric Oxide between Chronic Kidney Disease Before and After
Dialysis and with Control Group.
AB - Introduction: Chronic Kidney Disease (CKD) is a chronic progressive disorder and
a major cause of death and disability in all countries. In the kidneys, Nitric
Oxide (NO) has involved in several important cellular processes including
glomerular and modular hemodynamics set-out, tubular - glomerular feedback
reaction, renin releasing and extracellular fluid volume but NO can act as an
inflammatory mediator and oxidative stress factor in high levels. Aim: The aim of
this study was to evaluate salivary levels of NO in patients with chronic kidney
disease on dialysis compared to the healthy subjects and evaluate the effect of
dialysis on the level of NO in saliva. Materials & Methods: In this case-control
study, 30 hemodialysis patients and 30 healthy controls that were matched for age
and sex were selected. Unstimulated saliva samples were collected from all
subjects. In the patient's group, half an hour before starting dialysis first
sampling and two hours after the completion of dialysis second sampling were
collected. NO concentration in the samples was measured by using the Griess
method. For data analysis, SPSS software version 16, Mann Whitney-U and Wilcoxon
test were used. The level of significance was considered 0.05. Results: Mann
Whitney U test showed that the average concentration of salivary NO in patients
with CKD (pre-dialysis and after dialysis) was higher than in the control group.
The average concentration of salivary NO in patients with CKD was reduced after
hemodialysis. Conclusion: Hemodialysis reduces salivary NO levels in CKD
patients. It seems that hemodialysis has a role in decreasing the concentration
of this inflammatory mediator and oxidative stress.
PMID- 29643948
TI - Central Venous Oxygen Saturation as a Predictor of a Successful Spontaneous
Breathing Trial from Mechanical Ventilation: A Prospective, Nested Case-Control
Study.
AB - Background: Weaning from mechanical ventilation is a key element in the care of
critically ill patients, and Spontaneous Breathing Trial (SBT) is a crucial step
in this procedure. This nested case-control study aimed to evaluate whether
central oxygen saturation (ScvO2) values and their changes could independently
predict the SBT outcome among mechanically ventilated patients. Methods: A
prospective cohort of patients who were mechanically ventilated for at least
48hours and fulfilled the criteria of readiness to wean constituted the study
population. All patients attempted a SBT and were then categorized in SBT success
group and SBT failure group, based on a combination of criteria which indicated
whether SBT was successful or not. Multivariate binary logistic regression
analysis was utilized to indicate the independent predictors of SBT success,
while the Receiver Operating Characteristic (ROC) curves were used to demonstrate
the diagnostic accuracy of these independent predictors. Results: Seventy-seven
patients 69(18-86) years old; 62.3% male) constituted the study population. SBT
was successful among 63.6% of them. A decrease in ScvO2 values (DeltaScvO2) < 4%
between the beginning and the end of the trial independently predicted the
successful outcome (OR=18.278; 95% CI=4.017-83.163), along with age, Hemoglobin
concentration (Hb) and arterial oxygen saturation (SaO2). Diagnostic accuracy for
DeltaScvO2 alone (ROC area=0.715) was slightly superior to that of either SaO2
(0.625) or Hb (0.685) to predict SBT success. Conclusion: ScvO2 is an independent
predictor of the weaning outcome and its evaluation may further facilitate the
accurate categorization among those patients who pass or fail the SBT.
PMID- 29643949
TI - High Secretion of Interleukin-6 and Increased MINCLE Receptor Expression Upon
Exposure to Mycobacterial Cord Factor Analog Trehalose-6, 6-Dibehenate (TDB) in
Patients with Takayasu Arteritis.
AB - Introduction: Suspicion on the association between Takayasu Arteritis (TA) and
Tubcerculosis (TB) has been in vogue for years. Prevalence of TB in TA is
reported to be higher. We aimed to study innate immune responses in patients with
TA on exposure to Trehalose-6,6-dibehenate (TDB), a synthetic analogue of
Trehalose-6,6-Dimycolate (TDM, also known as mycobacterial cord factor) in
comparison with healthy controls. Materials and Methods: Patients with type V TA,
satisfying 1990 ACR criteria, and age and sex matched healthy controls were
recruited. PBMCs were cultured with 5ug/ml, 50ug/ml or without any TDB for 48
hours in RPMI medium inside a 5% Co2 incubator. IL-6, TNF-alpha and IL-17 were
measured in cell culture supernatant, which was separated from the cells at the
end of the incubation period. Gene expressions of IL-6, IL-8, TNFalpha, IFN
gamma, MINCLE and BCL-10 were quantified in real time PCR using specific primers
and SYBR green chemistry. Results: Twenty two TA patients and 21 healthy controls
were recruited. Both patients and controls showed response by secreting IL-6 and
TNF-alpha upon stimulation by TDB. Relative induction (TDB stimulated TA sample /
unstimulated control) of IL-6 was significantly higher in TA [31.88(0.74-168)]
patients as compared to healthy controls [1.931(0.644-8.21); p<0.002], when co
cultured with 50ug/ml TDB. The expression of MINCLE, the TDB receptor was higher
in TA samples than healthy controls upon TDB stimulation. Conclusion: Stimulation
with mycobacterial synthetic analogue led to higher secretion of IL-6 and higher
expression of MINCLE in PBMCs of patients with TA as compared to healthy
controls.
PMID- 29643950
TI - Higher Intraocular Pressure Levels Associated With Lower Hysteresis In Type 2
Diabetes.
AB - Aim: To investigate the differences of corneal biomechanic characteristics using
Ocular Response Analyzer (ORA, Reichert; USA) on type 2 diabetics and healthy
subjects. Methods: One hundred eyes of 100 subjects (between the ages of 17-91)
who applied to Adnan Menderes University's Ophthalmology Clinic between January
March 2015 were included in this study, 50 diabetics (Group 1) and 50 healthy
controls (Group 2). The eyes included in the study were randomly chosen. Corneal
Hysteresis (CH), Corneal Resistance Factor (CRF), Goldmann correlated Intraocular
Pressure (IOPg) and corneal compensated Intraocular Pressure (IOPcc) of patients
were measured by ORA. Detailed ophthalmological examinations were done for every
subject. Kolmogorov-Smirnov test was used to analyze the distribution of
quantitative variables and t test was used for the data that were normally
distributed. Any p value <0.05 was considered as statistically significant.
Results: The mean ages were 63.3+/-9.0 and 61.7+/-11.6 in Group 1 and 2,
respectively (p=0.459). 25 (50.0%) were female, 25 (50.0%) were male in Group 1
and 26 (52.0%) were female, 24 (48.0%) were male in Group 2 (p=1.000). Mean IOPcc
values were 17.8+/-3.6 (12.1-29.0) and 16.0+/-3.1 (10.9-23.8) mmHg (p=0.006);
mean IOPg values were 16.9+/-3.5 (10.9-25.9) and 15.4+/-2.9 (9.0-24.7) mmHg
(p=0.032); mean CH values were 9.9+/-1.5 (6.1-13.3) and 10.5+/-1.7 (6.5-15.7)
(p=0.080) and mean CRF values were 10.4+/-1.6 (7.5-14.0) and 10.5+/-1.7 (6.6
15.4) (p=0.730) in Groups 1 and 2, respectively. Conclusions: There was no any
statistical difference between the groups in terms of CH and CRF. However, mean
CH and CRF values were found less in diabetic group. Corneal biomechanical
differences seen in diabetic patients may be associated with a statistically
significantly higher IOP measurements.
PMID- 29643951
TI - Effectiveness of Surface Cleaning and Disinfection in a Brazilian Healthcare
Facility.
AB - Background: Failures in the processes of cleaning and disinfecting health service
surfaces may result in the spread and transfer of pathogens that are often
associated with healthcare-related infections and outbreaks. Aims: To assess the
effectiveness of environmental surface cleaning and disinfection in a hospital
clinic. Method: The study was conducted in a nursing ward with 45 beds. A total
of 80 samples from five high-touch surfaces were evaluated before and after
cleaning and disinfection, using the following methods: visual inspection,
adenosine triphosphate bioluminescence assay, aerobic colony count,
Staphylococcus aureus colony count, and evaluation of resistance to methicillin.
The data analysis used nonparametric comparative and correlative tests to observe
any differences in the pre- and post- cleaning and disinfection results for the
surfaces assessed. Results: Effective cleaning and disinfection had a significant
effect on only two surfaces when measured for the presence of adenosine
triphosphate, the inner bathroom door handle (p=0.007) and the toilet bowl
(p=0.01). When evaluated for Staphylococcus aureus colony count, the toilet flush
handle also demonstrated a significant effect (p=0.04). Conclusion: The
effectiveness of cleaning and disinfection of the surfaces tested was not
satisfactory. An educational intervention is recommended for the cleaning and
disinfection staff and the nursing team at the healthcare facility. Relevance to
Clinical Practice: The data in the study revealed that daily hospital cleaning
and disinfection in the sampled sites are not sufficient in medical and surgical
wards. Hospital cleanliness must be reevaluated from the point of view of
materials, such as an adequate supply of clean cloths, in addition to
establishing more precise cleanliness protocols and accurate monitoring systems.
PMID- 29643952
TI - Parastomal hernia - current knowledge and treatment.
AB - Intestinal stoma creation is one of the most common surgical procedures. The most
common long-term complication following stoma creation is parastomal hernia,
which according to some authors is practically unavoidable. Statistical
differences of its occurrence are mainly due to patient observation time and
evaluation criteria. Consequently, primary prevention methods such as placement
of prosthetic mesh and newly developed minimally invasive methods of stoma
creation are used. It seems that in the light of evidence-based medicine, the
best way to treat parastomal hernia is the one that the surgeon undertaking
therapy is the most experienced in and is suited to the individuality of each
patient, his condition and comorbidities. As a general rule, reinforcing the
abdominal wall with a prosthetic mesh is the treatment of choice, with a low rate
of complications and relapses over a long period of time. The current trend is to
use lightweight, large pore meshes.
PMID- 29643953
TI - Individualized laparoscopic B-ultrasound-guided microwave ablation for multifocal
primary liver cancer.
AB - Introduction: Liver cancer is one of the most common malignancies of the
digestive system. Minimally invasive ablation procedures have become one of the
major means for treating unresectable multifocal liver cancer and have been
extensively applied in primary and metastatic liver cancer treatment.
Laparoscopic B-ultrasound-guided microwave ablation is an example of the progress
made in this field. Aim: To analyze and summarize the results of and experience
with laparoscopic B-ultrasound-guided microwave ablation for multifocal primary
liver cancer; moreover, the ablation effects were compared between tumors of
different sizes. Material and methods: Laparoscope-guided needle ablation was
conducted on 84 lesions from 32 patients with primary liver cancer based on tumor
size, quantity, and location. Moreover, the perioperative data, ablation effects
according to tumor size, and long-term follow-up results were analyzed. Results:
Among the 84 nodules treated via microwave ablation, tumors measuring <= 3 cm
demonstrated complete ablation upon imaging analysis conducted 1 month after
surgery. Moreover, 5 of the tumors measuring > 3 cm demonstrated incomplete
ablation. In these cases, a second procedure was performed, until imaging studies
confirmed that complete ablation was achieved. Conclusions: Laparoscopic
microwave ablation allows for precise puncture positioning, an effective ablation
range, and safe and feasible surgery, which is especially suitable for liver
tumors located in sites difficult to access.
PMID- 29643954
TI - Cost-effectiveness of benign Wirsung duct strictures treatment in chronic
pancreatitis.
AB - Introduction: Chronic pancreatitis (CP) is an important problem for modern
medicine, the healthcare system (Poland - NFZ) and the national insurance system
(Poland - ZUS). The chronic nature of the disease, the lack of targeted treatment
and the low mortality rate lead to an accumulation of patients who demand
expensive treatment, both conservative and invasive. Rising costs in health care
are forcing the need for a more cost-effective method of treatment. Aim: The
primary aim of this study was to perform a retrospective calculation of costs in
both surgical and endoscopic treatment, hospital stay, healthcare, and public
insurance of patients suffering from chronic pancreatitis. Parallel quality of
life analysis was performed. It was possible to develop a cost-effective
therapeutic algorithm for patients with an uncomplicated stricture of Wirsung's
duct within the Polish health care system. Results: In Poland, the hospital costs
of endoscopic treatment of patients with chronic pancreatitis were higher than
those of the surgical treatment group despite both resulting in a similar life
quality. Conclusions: From a cost-effectiveness perspective, it was shown that
surgical intervention is a more cost-effective therapy than endotherapy.
Furthermore, patients with benign stricture of the main pancreatic duct in
chronic pancreatitis should not be treated with endotherapy for longer than 12
months.
PMID- 29643955
TI - Implementation of laparoscopic approach in colorectal surgery - a single center's
experience.
AB - Introduction: Implementation of the laparoscopic approach in colorectal surgery
has not happened as rapidly as in cholecystectomy, because of concerns about
oncological safety. The results of controlled trials in multiple centers showed
the method to be safe. Consequently, surgeons decided to try the approach with
colorectal surgery. This process, in our clinic, began in earnest about four
years ago. Aim: To analyze and present the clinical outcomes of applying the
laparoscopic approach to colorectal surgery in a single center. Material and
methods: We retrospectively identified patients from a hospital database who
underwent colorectal surgery - laparoscopic and open - between 2013 and 2016. Our
focus was on laparoscopic cases. Study points included operative time, duration
of the hospital stay, postoperative mortality and rates of complications,
conversion, reoperation and readmission. Results: Of 534 cases considered, the
results showed that the relation between open and laparoscopic procedures had
reversed, in favor of the latter method (2013: open: 82% vs. laparoscopic: 18%;
2016: open: 22.4% vs. laparoscopic: 77.6%). The most commonly performed procedure
was right hemicolectomy. The total complication rate was 22%. The total rate of
conversion to open surgery was 9.3%. The postoperative mortality rate was 3%.
Conclusions: Use of the laparoscopic approach in colorectal surgery has increased
in recent years world-wide - including in Poland - but the technique is still
underused. Rapid implementation of the miniinvasive method in colorectal surgery,
in centers with previous laparoscopic experience, is not only safe and feasible,
but also highly recommended.
PMID- 29643956
TI - Serum cytokines in early prediction of anastomotic leakage following low anterior
resection.
AB - Introduction: Anastomotic leakage continues to be one of the most serious
complications following low anterior resections. Early diagnosis of a leak is
difficult but critical to minimize morbidity and mortality. Aim: To evaluate
changes in serum concentrations of 27 different cytokines following low anterior
resection, with the goal of finding new, early biomarkers of anastomotic leak.
Material and methods: This is a prospective observational study that includes 32
patients undergoing elective low anterior resection for rectal cancer. Blood
samples were collected preoperatively and on postoperative day 3. Results: Five
patients developed anastomotic leak (15%). On postoperative day 3, high
sensitivity C-reactive protein (hs-CRP), interleukin (IL)-6, and regulated on
activation, normal T cell expressed and secreted (RANTES) were significantly
higher in patients with anastomotic leak, while IL-9 and fibroblast growth factor
(FGF) 2 were significantly lower. Analysis of relative changes in the
concentration of cytokines from preoperative to postoperative day 3 revealed a
significant increase of IL-6 and granulocyte-colony stimulating factor (G-CSF) in
patients with an anastomotic leak. Upon receiver operating curve (ROC) analysis,
the performance of hs-CRP was found to be excellent (AUC = 0.99), and performance
of DeltaIL-6, IL-6, RANTES, and FGF2 was good (AUC: 0.81-0.87). Patients who
developed an anastomotic leak preoperatively had significantly lower levels of
macrophage inflammatory protein-1 alpha (MIP-1alpha), monocyte chemotactic
protein-1 (MCP-1), IL-8, FGF2, and G-CSF. Conclusions: The single most accurate
serum biomarker of anastomotic leakage continues to be hs-CRP. However, when
analyzing relative changes of cytokine levels, DeltaIL-6 appears to be a better
leak predictor than CRP.
PMID- 29643957
TI - Neopterin, kynurenine and tryptophan as new biomarkers for early detection of
rectal anastomotic leakage.
AB - Introduction: At present, there are no strong predictors, nor a useful scoring
system, that clearly identifies patients at risk for anastomotic leakage. Aim:
This study aimed to investigate a new method that assesses this risk by
monitoring levels of neopterin, tryptophan, and kynurenine, in bodily fluids.
Material and methods: This prospective study included patients who underwent
elective rectal resection for carcinoma. The basic condition for inclusion was
rectal anastomosis using the double-stapling technique. Preoperative levels of
neopterin, tryptophan, kynurenine, and their ratios, were assessed with blood and
urine samples. These levels were then monitored for 6 postoperative days in
venous blood, urine, and abdominal drainage fluid. Results: A total of 42
patients were enrolled in the study. Thirty-six patients underwent a laparoscopic
resection and 6 patients had an open procedure. No differences were found among
neopterin, tryptophan, and kynurenine serum levels. However, the groups were
observed to have significant differences in the urinary neopterin/creatinine
ratio: the preoperative neopterin/creatinine ratio was 139.5 MUmol/mol in the
group with leakage, vs 114.8 MUmol/mol in the group without complications, p =
0.037. The same results were observed during the postoperative period, p = 0.012.
Additionally, the group with complications had a higher mean value of neopterin
in drainage fluid, p = 0.048. Conclusions: Our study demonstrated that high
preoperative levels of urinary neopterin could be interpreted as a risk for
anastomotic leakage. Moreover, pathological levels of neopterin in urine and
abdominal drainage fluid could be useful for early identification of anastomotic
leakage during the postoperative period prior to its clinical development.
PMID- 29643958
TI - Outcomes and complications of self-expanding metal stent placement for malignant
colonic obstruction in a single-center study.
AB - Introduction: Approximately 20% of cases of colorectal cancer are accompanied by
acute colonic obstruction. While emergency colonic surgery is associated with
high mortality and morbidity rates, placement of a self-expanding metal stent
(SEMS) has been suggested as an alternative method. The SEMS placement can serve
as either a definitive treatment in palliative cases or a bridge to surgery. Aim:
To summarize the experience of our center in the treatment of malignant colonic
obstruction using SEMS placement. Material and methods: A retrospective review
was conducted of all patients who underwent a SEMS placement for colorectal
stricture in the study period. The procedures were performed under fluoroscopic
guidance with colonoscopic assistance, and uncovered stents were used in all
patients. Results: The study population consisted of 28 patients treated with
SEMS placement due to malignant colonic obstruction. The majority of procedures
were performed with palliative intent. The overall technical success rate was
96.5%, and clinical success was achieved in all of the successfully placed SEMSs.
One fatal complication due to colonic perforation occurred. In the bridge-to
surgery group, all patients experienced tumor resection with no stoma creation.
Conclusions: The SEMS placement is an optimal treatment in the vast majority of
acute colonic obstruction cases. Due to the possibility of potentially fatal
complications, SEMS procedures should be performed by proficient endoscopists.
PMID- 29643959
TI - Hybrid appendectomy with classic trocar on McBurney's point.
AB - Introduction: Appendectomy is still the most commonly performed intra-abdominal
operation worldwide. Interestingly, it has not reached the same popularity as
other laparoscopic surgical procedures. Although multiple techniques have been
described, a standard approach has not been described for the laparoscopic
technique yet. Aim: To perform hybrid appendectomy for acute appendicitis on
McBurney's point, aiming to perform an easier and quicker procedure while
limiting the trauma to the abdominal wall by obtaining the advantages of both
laparoscopic and open techniques. Material and methods: We retrospectively
evaluated the results of 24 patients on whom we had performed hybrid appendectomy
with an optical trocar on McBurney's point for acute appendicitis in 1 year in
terms of demographics, operative time, complications, hospital stay and cosmetic
results. Results: Twenty-one of the patients underwent hybrid appendectomy with a
one-optic trocar on McBurney's point. The mean operative time was 21.4 +/-6.2
min. We did not encounter any postoperative complications in any of the patients.
The median hospital stay was 1.2 +/-1.0 days. The postoperative scar was minimal.
Conclusions: This technique is defined in the literature for the first time, and
it is easy and feasible for the surgeons. It may reduce the operative time and
costs when compared to the conventional laparoscopic technique, but prospective
studies with more patients are needed for more certain results.
PMID- 29643960
TI - Unexpected pathological findings after laparoscopic cholecystectomy - analysis of
1131 cases.
AB - Introduction: Gallbladder specimens are routinely sent for histopathological
examination after cholecystectomy in order to rule out the presence of unexpected
pathological findings. Aim: To establish the overall incidence of unexpected
pathological findings in patients who underwent laparoscopic cholecystectomy for
symptomatic gallbladder disease and determine whether the macroscopic appearance
of the gallbladder in ultrasound examination could be a valid method for
identifying patients with gallbladder malignancy. Material and methods: A
retrospective study was conducted between 2013 and 2015. All histological reports
(n = 1131) after cholecystectomy were searched for unexpected pathological
findings. In cases where unexpected pathological findings were identified the
additional analysis of preoperative abdominal ultrasound examination (USG) was
done to determine the usefulness of USG in diagnosis of gallbladder malignancy.
Results: Of the 1131 patients included in the study, 356 (31.47%) were male and
774 (68.43%) were female. Unexpected pathological findings were present in 21
cases. The overall incidence of unexpected pathological findings was 1.86%. Only
in 5 patients were suspicious appearances of gallbladder observed in preoperative
ultrasound examination. In 16 patients there was no suspicion of malignancy. The
positive predictive value of USG was 0.238. Conclusions: The incidence of
unexpected pathological findings after laparoscopic cholecystectomy was 1.86%.
Ultrasonography has low positive predictive value for identifying patients with
malignant findings in a gallbladder specimen.
PMID- 29643961
TI - Cecal intubation rates in different eras of endoscopic technological development.
AB - Introduction: Colonoscopy plays a critical role in colorectal cancer (CRC)
screening and has been widely regarded as the gold standard. Cecal intubation
rate (CIR) is one of the well-defined quality indicators used to assess
colonoscopy. Aim: To assess the impact of new technologies on the quality of
colonoscopy by assessing completion rates. Material and methods: This was a dual
center study at the 2nd Department of Surgery at Jagiellonian University Medical
College and at the Specialist Center "Medicina" in Krakow, Poland. The CIR and
cecal intubation time (CIT) in three different eras of technological advancement
were determined. The study enrolled 27 463 patients who underwent colonoscopy as
part of a national CRC screening program. The patients were divided into three
groups: group I - 3408 patients examined between 2000 and 2003 (optical
endoscopes); group II - 10 405 patients examined between 2004 and 2008 (standard
electronic endoscopes); and group III - 13 650 patients examined between 2009 and
2014 (modern endoscopes). Results: There were statistically significant
differences in the CIR between successive eras. The CIR in group I (2000-2003)
was 69.75%, in group II (2004-2008) was 92.32%, and in group III (2009-2014) was
95.17%. The mean CIT was significantly reduced in group III. Conclusions: Our
study shows that the technological innovation of novel endoscopy devices has a
great influence on the effectiveness of the CRC screening program. The new era of
endoscopic technological development has the potential to reduce examination
related patient discomfort, obviate the need for sedation and increase diagnostic
yields.
PMID- 29643962
TI - Ethnicity-related differences in inguinal canal dimensions between African and
Caucasian populations and their potential impact on the mesh size for open and
laparoscopic groin hernia repair in low-resource countries in Africa.
AB - Introduction: Access to surgery in Africa is significantly limited. Treatment
outcomes in Africa differ significantly compared to those achieved in Europe or
the US. Therefore, to popularise tension-free repair, it is essential to
determine the economically justified mesh size for the African population. Aim:
To conduct anthropometric evaluation of the inguinal canal in African and
European patients to determine its potential consequences for the mesh size for
open and laparoscopic hernia repair. Material and methods: The measurements were
made in 44 adult males in Africa (group I) and were compared to measurements in
45 consecutive Caucasian males (group II). The mean age of patients was
respectively 48.3 and 51.2 years. Results: There was no statistically significant
difference in the internal ring diameter between groups (2.2 vs. 2.1 cm; p =
0.58). The distance between the pubic tubercle and the inferomedial border of the
internal inguinal ring was significantly shorter in group I (3.8 vs. 5.1 cm; p <
0.001). A similar difference was found in the length of transverse arch
aponeurosis (2.9 vs. 4.0 cm; p < 0.001). The distance between the pubic tubercle
and anterior superior iliac spine in group I was approximately 2 cm shorter on
each side (10.0 vs. 11.8 cm; p < 0.001). Conclusions: The anatomical differences
in inguinal dimensions between Central African and European populations support
the potential need to adjust the standard size of synthetic mesh used for hernia
repair to the needs of local populations. The significantly smaller dimensions of
the inguinal canal in African males may allow the use of smaller meshes.
PMID- 29643963
TI - Age is not associated with increased surgical complications in patients after
laparoscopic sleeve gastrectomy.
AB - Introduction: Age is considered as a risk factor in bariatric surgery. The
observation was made on the basis of results from studies where patients
underwent different type of surgery, but laparoscopic sleeve gastrectomy (LSG)
was not among them. It is necessary to reevaluate the association of age with
adverse events in the group of patients after LSG. Aim: To investigate the
association of age with surgery-related adverse events in patients after LSG.
Material and methods: Retrospective analysis of medical data was performed. The
study involved 345 patients who underwent LSG in our institution between January
2013 and December 2014. The patients were subdivided by age into four groups
according to quartiles. In 30-day follow-up adverse events were evaluated. We
considered the presence of the following events as the endpoint of our study:
death, medical events and surgical events. Results: In general, we observed
adverse events in 36 (10.4%) patients. The mortality rate in our study was 0.59%.
Nineteen events were surgical and 18 medical. In 1 patient a surgical event was
associated with a medical event. Bleeding was the most common surgical event and
was observed in 17 (4.9%) cases. Age was not associated with surgical events (OR
= 1.032, 95% CI: 0.991-1.075, p = 0.33) or medical events (OR = 0.997, 95% CI:
0.956-1.039, p = 0.89). Conclusions: The LSG is a safe bariatric procedure with
low mortality. Bleeding is the most frequent surgical complication. Our findings
suggest that age is not associated with increased risk of surgical or medical
adverse events after LSG.
PMID- 29643964
TI - More stapler firings increase the risk of perioperative morbidity after
laparoscopic sleeve gastrectomy.
AB - Introduction: Staple-line bleeding and leakage are the most common serious
complications of laparoscopic sleeve gastrectomy. The relationship between
multiple stapler firings and higher risk of postoperative complications is well
defined in colorectal surgery but has not been addressed in bariatric procedures
so far. Identification of new factors such as "the numbers of stapler firings
used during laparoscopic sleeve gastrectomy (LSG)" as a predictor for
complications can lead to optimization of the patient care at bariatric centers.
Aim: To determine the association between perioperative morbidity and the number
of stapler firings during laparoscopic sleeve gastrectomy. Material and methods:
This observational study was based on retrospective analysis of prospectively
collected data in patients operated on for morbid obesity in a teaching
hospital/tertiary referral center for general surgery. The patients who underwent
LSG were analyzed in terms of the number of stapler firings used as a new
potential risk predictor for postoperative complications after surgery, adjusting
for other patient- and treatment-related factors. The study included 333 patients
(209 women, 124 men, mean age: 40 +/-11). Results: During the first 30 days after
surgery, complications were observed in 18 (5.41%) patients. Multivariate
analysis showed that prolonging operative time increased morbidity (every minute,
OR = 1.01; 95% CI: 1.00-1.02) and the complication rate increased with the number
of stapler firings (every firing, OR = 1.91; 95% CI: 1.09-3.33; p = 0.023).
Conclusions: Additional stapler firings above the usual number and a prolonged
operation should alert a surgeon and the whole team about increased risk of
postoperative complications.
PMID- 29643965
TI - Video-assisted-thoracoscopic surgery in left-to-right Nuss procedure for pectus
excavatum for prevention of serious complications - technical aspects based on
1006 patients.
AB - Introduction: Additional use of the video-assisted thoracoscopic surgery (VATS)
technique in the Nuss procedure has been globally accepted for the improvement of
safety of surgical treatment as well as for decreased frequency of serious
intraoperative and postoperative complications. Aim: To evaluate VATS in surgical
treatment of patients with pectus excavatum by the left-to-right Nuss procedure
for prevention of serious intra- and postoperative complications. Material and
methods: From 2002 to 2016, 1006 patients with pectus excavatum aged 7 to 62
years (mean: 18.6) underwent the Nuss procedure. There were 796 males and 210
females. The clinical records of all patients were analyzed retrospectively. The
follow-up varied from 1 to 172 months (mean: 80.7 +/-43). Results: The early 30
day postoperative mortality was zero. Early thoracoscopy-dependent postoperative
complications, the majority transient and non-life-threatening, occurred in 35.6%
of patients. The most frequent complication was pneumothorax, diagnosed in 24.5%
of patients. Two patients required repeat surgery. One patient required VATS
pleurectomy due to persistent postoperative air leakage. In another patient left
thoracotomy following bleeding from the pleural cavity was performed.
Conclusions: The use of VATS in the left-to-right Nuss procedure for pectus
excavatum ensures the safety of surgical treatment and minimizes the occurrence
of serious intra- and postoperative complications concerning injury of the
mediastinum, lung, diaphragm or abdominal cavity.
PMID- 29643966
TI - Cosmetic effects of skin-crease camouflage incision versus longitudinal incision
following carotid endarterectomy.
AB - Introduction: Despite the increasing use of carotid angioplasty and stenting
(CAS), carotid endarterectomy (CEA) nonetheless remains a more medically
beneficial method of treatment for carotid artery stenosis. Therefore, one
possibility for progress within this procedure may be to use minimally invasive
carotid surgery, especially when the scar is in plain sight: the use of the
natural wrinkles (skin crease) as a camouflage of the skin incision provides
significant cosmetic improvements. Aim: To compare the cosmetic effects of
classic and trans-wrinkle CEA. To assess the distance between the carotid artery
bifurcation (CAB) and the skin-crease incision whilst attempting CEA. Material
and methods: It was a randomized prospective study with two groups: patients
undergoing classic surgery (control group; n = 100) and skin-crease trans-wrinkle
camouflaged CEA (study group; n = 100). Follow-up was at 2 months and 1 year.
Results: The medical results of the treatment were similar in both groups. The
cumulative count of strokes and myocardial infarctions was 0.5% within 30 days,
and after one year 3.5% (and 5.5% including cases of death). The superiority of
the transverse crease being hidden compared to the conventional longitudinal
technique was proven in the Patient and Observer Scar Assessment Scale (POSAS)
score, respectively 11.4 +/-1.0 vs. 14.1 +/-3.4 (p = 0.0001) after 2 months and
13.5 +/-2.8 vs. 14.1 +/-3.4 (p = 0.039) after a year. Conclusions: Trans-wrinkle
incision gives better cosmetic results, can be safely performed in most cases,
and offers a comfortable approach during CEA.
PMID- 29643967
TI - Minimally invasive treatment of intrahepatic cholangiolithiasis after stricture
of hepaticojejunal anastomosis.
AB - The aim of the study was to improve the results of treatment of patients with
intrahepatic cholangiolithiasis for hepaticojejunostomy stricture with use of
miniinvasive methods. In our centre during the period from 2002 till 2016 were
treated in 58 patients with hepaticojejunostomy strictures. Thirteen patients
from their was coexistant intrahepatic cholangiolithiasis. Forty-six (79.3%)
patients was performed rehepaticojejunostomy. Twelve patients was performed a
minimally invasive intervention such as laser recanalisation using double balloon
enteroscopy (7 patients) and lithoextraction with double balloon enteroscopy (1),
transhepatic cholangioscopy (2 patients) with laser lithotripsy (1), balloon
dilatation of the stricture rehepaticojejunostomosis (4), lithoextraction (4),
including with double balloon enteroscopy ("randevoux" procedure) (1), stenting
(2). We observed several complication such as cholangitis (5); recurrent
cholangiolithiasis (1); restricture of rehepaticojejunostomosis (2). Miniinvasive
endoscopic techniques treatment and endobiliary correction of
rehepaticojejunostomosis strictures and cholangiolithiasis have shown good
results.
PMID- 29643968
TI - Gastric wall thickness and stapling in laparoscopic sleeve gastrectomy - a
literature review.
AB - Despite the growing experience of bariatric surgeons in performing laparoscopic
sleeve gastrectomy, the number of complications involving staple line leaks
remains constant. Hence a solution to avoid such complications is still sought. A
defect of the staple line may be the consequence of an inappropriate choice of
staple size in relation to gastric wall thickness. Due to the variable nature of
gastric wall thickness, the choice of proper staple height is not obvious. In the
few studies in which gastric wall thickness was measured, it was observed to
decrease gradually from the antrum to the fundus. However, the authors are
divided on the issue of whether gender and body mass index influence gastric wall
thickness. The question whether there are other perioperative factors that would
allow gastric wall thickness to be predicted remains unanswered.
PMID- 29643969
TI - Electromagnetic Tracker for Active Handheld Robotic Systems.
AB - We describe the development of the In-Loop Electromagnetic Tracker (ILEMT),
designed to meet the demanding latency and resolution requirements for active
stabilization of hand motion during precision manipulations such as microsurgery.
The prototype surpasses the fastest commercial EM trackers by > 4* in root
bandwidth/resolution and 2* in latency. The use of two widely spaced carrier
frequencies (e.g., 300 Hz and 10 kHz) enables a particularly simple way of
reducing the eddy-current interference caused by nonferrous metals present in the
workspace. Previously, metal compatibility has only been achieved at a large cost
to measurement speed.
PMID- 29643970
TI - In Vitro Degradation Behaviors of Manganese-Calcium Phosphate Coatings on an Mg
Ca-Zn Alloy.
AB - In order to decrease the degradation rate of magnesium (Mg) alloys for the
potential orthopedic applications, manganese-calcium phosphate coatings were
prepared on an Mg-Ca-Zn alloy in calcium phosphating solutions with different
addition of Mn2+. Influence of Mn content on degradation behaviors of phosphate
coatings in the simulated body fluid was investigated to obtain the optimum
coating. With the increasing Mn addition, the corrosion resistance of the
manganese-calcium phosphate coatings was gradually improved. The optimum coating
prepared in solution containing 0.05 mol/L Mn2+ had a uniform and compact
microstructure and was composed of MnHPO4.3H2O, CaHPO4.2H2O, and Ca3(PO4)2. The
electrochemical corrosion test in simulated body fluid revealed that polarization
resistance of the optimum coating is 36273 Omegacm2, which is about 11 times
higher than that of phosphate coating without Mn addition. The optimum coating
also showed the most stable surface structure and lowest hydrogen release in the
immersion test in simulated body fluid.
PMID- 29643971
TI - Scanning Electron Microscopic Studies of Microwave Sintered Al-SiC Nanocomposites
and Their Properties.
AB - Al-metal matrix composites (AMMCs) reinforced with diverse volume fraction of SiC
nanoparticles were synthesized using microwave sintering process. The effects of
the reinforcing SiC particles on physical, microstructure, mechanical, and
electrical properties were studied. The phase, microstructural, and surface
analyses of the composites were systematically conducted using X-ray diffraction
(XRD), scanning electron microscope (SEM), and surface profilometer techniques,
respectively. The microstructural examination revealed the homogeneous
distribution of SiC particles in the Al matrix. Microhardness and compressive
strength of nanocomposites were found to be increasing with the increasing volume
fraction of SiC particles. Electrical conductivity of the nanocomposites
decreases with increasing the SiC content.
PMID- 29643972
TI - [Translated Title: Participatory research to develop a school violence
observation instrument].
AB - School violence has been recognized worldwide as a public health problem that
negatively impacts the educational process. However, in Puerto Rico official
statistics and the media generally focus on isolated incidents of extreme
violence in which weapons are used or property is destroyed. Little data is
available about the most common forms of violence that often occur in schools on
a daily basis. The Instrumento de Observacion de Violencia Escolar (INOVE),
developed with the input of school communities participating in Project VIAS
(Violence and Asthma Health Disparity Network) of Universidad del Este (UNE), was
used in this study to gather information about the characteristics of violence in
two Puerto Rican schools. Among the study findings we highlight gender
differences in observed violence and aggressive games and interactions between
students. The data collected have served as a basis for decision-making regarding
violence prevention in participating schools and have implications for the
development of prevention strategies and programs.
PMID- 29643973
TI - Epigenetic versus Genetic Deregulation of the KEAP1/NRF2 Axis in Solid Tumors:
Focus on Methylation and Noncoding RNAs.
AB - Oxidative and electrophilic changes in cells are mainly coordinated by the
KEAP1/NRF2 (Kelch-like erythroid-derived cap-n-collar homology- (ECH-) associated
protein-1/nuclear factor (erythroid-derived 2)-like 2) axis. The physical
interaction between these two proteins promotes the expression of several
antioxidant defense genes in response to exogenous and endogenous insults. Recent
studies demonstrated that KEAP1/NRF2 axis dysfunction is also strongly related to
tumor progression and chemo- and radiotherapy resistance of cancer cells. In
solid tumors, the KEAP1/NRF2 system is constitutively activated by the loss of
KEAP1 or gain of NFE2L2 functions that leads to its nuclear accumulation and
enhances the transcription of many cytoprotective genes. In addition to point
mutations, epigenetic abnormalities, as aberrant promoter methylation, and
microRNA (miRNA) and long noncoding RNA (lncRNA) deregulation were reported as
emerging mechanisms of KEAP1/NRF2 axis modulation. This review will summarize the
current knowledge about the epigenetic mechanisms that deregulate the KEAP1/NRF2
cascade in solid tumors and their potential usefulness as prognostic and
predictive molecular markers.
PMID- 29643974
TI - SIRT3: A New Regulator of Cardiovascular Diseases.
AB - Cardiovascular diseases (CVDs) are the leading causes of death worldwide, and
defects in mitochondrial function contribute largely to the occurrence of CVDs.
Recent studies suggest that sirtuin 3 (SIRT3), the mitochondrial NAD+-dependent
deacetylase, may regulate mitochondrial function and biosynthetic pathways such
as glucose and fatty acid metabolism and the tricarboxylic acid (TCA) cycle,
oxidative stress, and apoptosis by reversible protein lysine deacetylation. SIRT3
regulates glucose and lipid metabolism and maintains myocardial ATP levels, which
protects the heart from metabolic disturbances. SIRT3 can also protect
cardiomyocytes from oxidative stress-mediated cell damage and block the
development of cardiac hypertrophy. Recent reports show that SIRT3 is involved in
the protection of several heart diseases. This review discusses the progress in
SIRT3-related research and the role of SIRT3 in the prevention and treatment of
CVDs.
PMID- 29643975
TI - Physiological Hypoxia Enhances Stemness Preservation, Proliferation, and
Bidifferentiation of Induced Hepatic Stem Cells.
AB - Induced hepatic stem cells (iHepSCs) have great potential as donors for liver
cell therapy due to their self-renewal and bipotential differentiation
properties. However, the efficiency of bidifferentiation and repopulation
efficiency of iHepSCs is relatively low. Recent evidence shows that physiological
hypoxia, a vital factor within stem cell "niche" microenvironment, plays key
roles in regulating tissue stem cell biological behaviors including proliferation
and differentiation. In this study, we found that physiological hypoxia (10% O2)
enhanced the stemness properties and promoted the proliferation ability of
iHepSCs by accelerating G1/S transition via p53-p21 signaling pathway. In
addition, short-term hypoxia preconditioning improved the efficiency of hepatic
differentiation of iHepSCs, and long-term hypoxia promoted cholangiocytic
differentiation but inhibited hepatic differentiation of iHepSCs. These results
demonstrated the potential effects of hypoxia on stemness preservation,
proliferation, and bidifferentiation of iHepSCs and promising perspective to
explore appropriate culture conditions for therapeutic stem cells.
PMID- 29643977
TI - Role and Possible Mechanisms of Sirt1 in Depression.
AB - Depression is a common, devastating illness. Due to complicated causes and
limited treatments, depression is still a major problem that plagues the world.
Silent information regulator 1 (Sirt1) is a deacetylase at the consumption of
NAD+ and is involved in gene silencing, cell cycle, fat and glucose metabolism,
cellular oxidative stress, and senescence. Sirt1 has now become a critical
therapeutic target for a number of diseases. Recently, a genetic study has
received considerable attention for depression and found that Sirt1 is a
potential gene target. In this short review article, we attempt to present an up
to-date knowledge of depression and Sirt1 of the sirtuin family, describe the
different effects of Sirt1 on depression, and further discuss possible mechanisms
of Sirt1 including glial activation, neurogenesis, circadian control, and
potential signaling molecules. Thus, it will open a new avenue for clinical
treatment of depression.
PMID- 29643976
TI - Autophagy Modulation in Cancer: Current Knowledge on Action and Therapy.
AB - In the last two decades, accumulating evidence pointed to the importance of
autophagy in various human diseases. As an essential evolutionary catabolic
process of cytoplasmatic component digestion, it is generally believed that
modulating autophagic activity, through targeting specific regulatory actors in
the core autophagy machinery, may impact disease processes. Both autophagy
upregulation and downregulation have been found in cancers, suggesting its dual
oncogenic and tumor suppressor properties during malignant transformation.
Identification of the key autophagy targets is essential for the development of
new therapeutic agents. Despite this great potential, no therapies are currently
available that specifically focus on autophagy modulation. Although drugs like
rapamycin, chloroquine, hydroxychloroquine, and others act as autophagy
modulators, they were not originally developed for this purpose. Thus, autophagy
may represent a new and promising pharmacologic target for future drug
development and therapeutic applications in human diseases. Here, we summarize
our current knowledge in regard to the interplay between autophagy and malignancy
in the most significant tumor types: pancreatic, breast, hepatocellular,
colorectal, and lung cancer, which have been studied in respect to autophagy
manipulation as a promising therapeutic strategy. Finally, we present an overview
of the most recent advances in therapeutic strategies involving autophagy
modulators in cancer.
PMID- 29643978
TI - The In Vitro Antioxidant Activity and Inhibition of Intracellular Reactive Oxygen
Species of Sweet Potato Leaf Polyphenols.
AB - The in vitro antioxidant activity and inhibition of intracellular reactive oxygen
species (ROS) of the total and individual phenolic compounds from Yuzi No. 7
sweet potato leaves were investigated in this study. Sweet potato leaf
polyphenols possessed significantly higher antioxidant activity than ascorbic
acid, tea polyphenols, and grape seed polyphenols. Among the individual phenolic
compounds, caffeic acid showed the highest antioxidant activity, followed by
monocaffeoylquinic acids and dicaffeoylquinic acids, while 3,4,5-tri-O
caffeoylquinic acid showed the lowest value. Sweet potato leaf polyphenols could
significantly decrease the level of intracellular ROS in a dose-dependent manner.
The order of the inhibiting effect of individual phenolic compounds on the
intracellular ROS level was not in accordance with that of antioxidant activity,
suggesting that there was no direct relationship between antioxidant activity and
intracellular ROS-inhibiting effect. Sweet potato leaves could be a good source
of biologically active polyphenols with multiple applications in the development
of foods, health products, pharmaceuticals, and cosmetics.
PMID- 29643979
TI - Cardiac Autonomic Neuropathy as a Result of Mild Hypercaloric Challenge in
Absence of Signs of Diabetes: Modulation by Antidiabetic Drugs.
AB - Cardiac autonomic neuropathy (CAN) is an early cardiovascular complication of
diabetes occurring before metabolic derangement is evident. The cause of CAN
remains elusive and cannot be directly linked to hyperglycemia. Recent clinical
data report cardioprotective effects of some antidiabetic drugs independent of
their hypoglycemic action. Here, we used a rat model receiving limited daily
increase in calories from fat (HC diet) to assess whether mild metabolic
challenge led to CAN in absence of interfering effects of hyperglycemia, glucose
intolerance, or obesity. Rats receiving HC diet for 12 weeks showed reduction in
baroreceptor sensitivity and heart rate variability despite lack of change in
baseline hemodynamic and cardiovascular structural parameters. Impairment of
cardiac autonomic control was accompanied with perivascular adipose inflammation
observed as an increased inflammatory cytokine expression, together with
increased cardiac oxidative stress, and signaling derangement characteristic of
diabetic cardiomyopathy. Two-week treatment with metformin or pioglitazone
rectified the autonomic derangement and corrected the molecular changes.
Switching rats to normal chow but not to isocaloric amounts of HC for two weeks
reversed CAN. As such, we conclude that adipose inflammation due to increased fat
intake might underlie development of CAN and, hence, the beneficial effects of
metformin and pioglitazone.
PMID- 29643980
TI - Perillaldehyde Inhibits AHR Signaling and Activates NRF2 Antioxidant Pathway in
Human Keratinocytes.
AB - The skin covers the outer surface of the body, so the epidermal keratinocytes
within it are susceptible to reactive oxygen species (ROS) generated by
environmental pollutants such as benzo(a)pyrene (BaP), a potent activator of aryl
hydrocarbon receptor (AHR). Antioxidant activity is generally mediated by the
nuclear factor-erythroid 2-related factor-2 (NRF2) and heme oxygenase-1 (HO1)
axis in human keratinocytes. Perillaldehyde is the main component of Perilla
frutescens, which is a medicinal antioxidant herb traditionally consumed in East
Asia. However, the effect of perillaldehyde on the AHR/ROS and/or NRF2/HO1
pathways remains unknown. In human keratinocytes, we found that perillaldehyde
(1) inhibited BaP-induced AHR activation and ROS production, (2) inhibited
BaP/AHR-mediated release of the CCL2 chemokine, and (3) activated the NRF2/HO1
antioxidant pathway. Perillaldehyde is thus potentially useful for managing
inflammatory skin diseases or disorders related to oxidative stress.
PMID- 29643981
TI - Nephroprotective Effect of Sonchus oleraceus Extract against Kidney Injury
Induced by Ischemia-Reperfusion in Wistar Rats.
AB - Introduction: Kidney ischemia-reperfusion (I/R) injury is the main cause of
delayed graft function in solid organ transplantation. Sonchus oleraceus is a
plant with well-known antioxidant and anti-inflammatory activities; however, its
effects on renal I/R are unknown. Objective: To evaluate whether S. oleraceus
extract (S.O.e.) has nephroprotective activity in an I/R model in Wistar rats.
Materials and Methods: Animal groups (n = 6): sham, I/R (45 min/15 h), S.O.e (300
mg/kg p.o.), and S.O.e + I/R (300 mg/kg, p.o.; 45 min/15 h). Renal function,
proinflammatory cytokines, alanine aminotransferase, markers of oxidative stress,
and histology were evaluated. Results: None of the mediators evaluated differed
significantly between the S.O.e and sham groups. Levels of blood urea nitrogen
(BUN), creatinine, malondialdehyde (MDA), and proinflammatory cytokines were
higher, and superoxide dismutase (SOD) was lower in the I/R group than in the
sham group. Histology showed tubular epithelial necrosis in the medulla and
cortex in the I/R group. In the S.O.e + I/R group, S.O.e pretreatment attenuated
the I/R-induced increases in BUN, creatinine, MDA, and proinflammatory cytokines
induced, SOD was maintained, and histology showed discontinuous necrosis in the
medulla but no necrosis in the cortex. Conclusions: S.O.e was neither hepatotoxic
nor nephrotoxic. S.O.e. pretreatment showed a nephroprotective effect against
I/R.
PMID- 29643983
TI - The Value of Remotely Sensed Information: The Case of GRACE-Enhanced Drought
Severity Index.
AB - A decision framework is developed for quantifying the economic value of
information (VOI) from the Gravity Recovery and Climate Experiment (GRACE)
satellite mission for drought monitoring, with a focus on the potential
contributions of groundwater storage and soil moisture measurements from the
GRACE Data Assimilation (GRACE-DA) System. The study consists of: (a) the
development of a conceptual framework to evaluate the socioeconomic value of
GRACE-DA as a contributing source of information to drought monitoring; (b)
structured listening sessions to understand the needs of stakeholders who are
affected by drought monitoring; (c) econometric analysis based on the conceptual
framework that characterizes the contribution of GRACE-DA to the US Drought
Monitor (USDM) in capturing the effects of drought on the agricultural sector;
and (d) a demonstration of how the improved characterization of drought
conditions may influence decisions made in a real-world drought disaster
assistance program. Results show that GRACE-DA has the potential to lower the
uncertainty associated with our understanding of drought, and that this improved
understanding has the potential to change policy decisions that lead to tangible
societal benefits.
PMID- 29643984
TI - The glucocorticoid receptor in recipient cells keeps cytokine secretion in acute
graft-versus-host disease at bay.
AB - Graft-versus-host disease (GvHD) is a life-threatening complication of
hematopoietic stem cell transplantation (HSCT), which is caused by allogeneic T
cells recognizing molecules of the recipient as foreign. Endogenous
glucocorticoids (GC) released from the adrenal gland are crucial in regulating
such inflammatory diseases. Here we demonstrate that genetically engineered mice,
that are largely unresponsive to GC, suffer from aggravated clinical symptoms and
increased mortality after HSCT, effects that could be tempered by neutralization
of IL-6. Interestingly, selective ablation of the GC receptor (GR) in recipient
myeloid cells resulted in fulminant disease as well. While histopathological
analysis of the jejunum failed to reveal any differences between sick mice of
both genotypes, systemic IL-6 and TNFalpha secretion was strongly increased in
transplanted mice lacking the GR in myeloid cells briefly before the majority of
them succumbed to the disease. Collectively, our findings reveal an important
role of the GR in recipient cells in limiting the cytokine storm caused by GvHD
induction.
PMID- 29643985
TI - Replication-incompetent gammaretroviral and lentiviral vector-based insertional
mutagenesis screens identify prostate cancer progression genes.
AB - Replication-incompetent gammaretroviral (gammaRV) and lentiviral (LV) vectors
have both been used in insertional mutagenesis screens to identify cancer
drivers. In this approach the vectors stably integrate in the host cell genome
and induce cancers by dysregulating nearby genes. The cells that contain a
retroviral vector provirus in or near a proto-oncogene or tumor suppressor are
preferentially enriched in a tumor. gammaRV and LV vectors have different
integration profiles and genotoxic potential, making them potentially
complementary tools for insertional mutagenesis screens. We performed screens
using both gammaRV and LV vectors to identify driver genes that mediate
progression of androgen-independent prostate cancer (AIPC) using a xenotransplant
mouse model. Vector transduced LNCaP cells were injected orthotopically into the
prostate gland of immunodeficient mice. Mice that developed tumors were castrated
to create an androgen-deficient environment and metastatic tumors that developed
were analyzed. A high-throughput modified genomic sequencing PCR (MGS-PCR)
approach identified the positions of vector integrations in these metastatic
tumors. OR2A14, FER1L6, TAOK3, MAN1A2, MBNL2, SERBP1, PLEKHA2, SPTAN1, ADAMTS1,
SLC30A5, ABCC1, SLC7A1 and SLC25A24 were identified as candidate prostate cancer
(PC) progression genes. TAOK3 and ABCC1 expression in PC patients predicted the
risk of recurrence after androgen deprivation therapy. Our data shows that
gammaRV and LV vectors are complementary approaches to identify cancer driver
genes which may be promising potential biomarkers and therapeutic targets.
PMID- 29643986
TI - Ajuba receptor mediates the internalization of tumor-secreted GRP78 into
macrophages through different endocytosis pathways.
AB - Glucose-regulated protein 78 (GRP78), an ER chaperone, is overexpressed in cancer
cells. Solid tumor cells can secrete GRP78 that can promote tumor angiogenesis,
differentiation of bone marrow-derived mesenchymal stem cells, tumor cell
proliferation and polarization of tumor-associated macrophages. However, the
mechanism by which GRP78 functions as a tumor promoter either by staying on the
membrane to stimulate intracellular signals or directly entering into cytosolic
remains unknown. Here, we reported that an endotoxin-free His-GRP78 protein was
purified in vitro that simulates original secreted GRP78. Through analyzing GRP78
concentration in serum samples from 32 colon cancer patients, 40 nM His-GRP78 was
selected as an optimized dose to treat cells. Biochemical analysis revealed that
secreted GRP78 was able to enter into RAW264.7 and THP-1 cells directly rather
than stay on the plasma membrane to transfer signals. Further studies showed that
GRP78 internalization was endocytosis-dependent, and both phagocytosis and
clathrin, caveolin-1 and micropinocytosis-mediated endocytosis pathways
contributed to internalization of secreted GRP78 into cells. Mechanistically,
Ajuba is able to interact with GRP78. Ablation of Ajuba suppressed the
internalization of secreted GRP78 into cells, indicating that Ajuba was
responsible for internalization of secreted GRP78 into RAW264.7. Furthermore, we
observed that internalized GRP78 could entered into the mitochondrion and
endoplasmic reticulum, which provided a suitable place and enough time for GRP78
to function in molecular and cellular processes. Together, these results reveal a
novel mechanism by which secreted GRP78 internalizes into macrophages in the
tumor microenvironment, which provides a potential target for drug development.
PMID- 29643982
TI - Nutrients and Oxidative Stress: Friend or Foe?
AB - There are different types of nutritionally mediated oxidative stress sources that
trigger inflammation. Much information indicates that high intakes of
macronutrients can promote oxidative stress and subsequently contribute to
inflammation via nuclear factor-kappa B- (NF-kappaB-) mediated cell signaling
pathways. Dietary carbohydrates, animal-based proteins, and fats are important to
highlight here because they may contribute to the long-term consequences of
nutritionally mediated inflammation. Oxidative stress is a central player of
metabolic ailments associated with high-carbohydrate and animal-based protein
diets and excessive fat consumption. Obesity has become an epidemic and
represents the major risk factor for several chronic diseases, including
diabetes, cardiovascular disease (CVD), and cancer. However, the molecular
mechanisms of nutritionally mediated oxidative stress are complex and poorly
understood. Therefore, this review aimed to explore how dietary choices
exacerbate or dampen the oxidative stress and inflammation. We also discussed the
implications of oxidative stress in the adipocyte and glucose metabolism and
obesity-associated noncommunicable diseases (NCDs). Taken together, a better
understanding of the role of oxidative stress in obesity and the development of
obesity-related NCDs would provide a useful approach. This is because oxidative
stress can be mediated by both extrinsic and intrinsic factors, hence providing a
plausible means for the prevention of metabolic disorders.
PMID- 29643987
TI - Proteomic analysis defines kinase taxonomies specific for subtypes of breast
cancer.
AB - Multiplexed small molecule inhibitors covalently bound to Sepharose beads (MIBs)
were used to capture functional kinases in luminal, HER2-enriched and triple
negative (basal-like and claudin-low) breast cancer cell lines and tumors. Kinase
MIB-binding profiles at baseline without perturbation proteomically distinguished
the four breast cancer subtypes. Understudied kinases, whose disease associations
and pharmacology are generally unexplored, were highly represented in MIB-binding
taxonomies and are integrated into signaling subnetworks with kinases that have
been previously well characterized in breast cancer. Computationally it was
possible to define subtypes using profiles of less than 50 of the more than 300
kinases bound to MIBs that included understudied as well as metabolic and lipid
kinases. Furthermore, analysis of MIB-binding profiles established potential
functional annotations for these understudied kinases. Thus, comprehensive MIBs
based capture of kinases provides a unique proteomics-based method for
integration of poorly characterized kinases of the understudied kinome into
functional subnetworks in breast cancer cells and tumors that is not possible
using genomic strategies. The MIB-binding profiles readily defined subtype
selective differential adaptive kinome reprogramming in response to targeted
kinase inhibition, demonstrating how MIB profiles can be used in determining
dynamic kinome changes that result in subtype selective phenotypic state changes.
PMID- 29643988
TI - Combination therapy of chitosan, gynostemma, and motherwort alleviates the
progression of experimental rat chronic renal failure by inhibiting STAT1
activation.
AB - This study aimed to investigate the effect of single and combination therapy
using chitosan (K), gynostemma (J), and motherwort (Y) on an experimental rat
model of chronic renal failure (CRF) induced by adenine and the underlying
mechanisms. CRF rats were treated with individual or combinational therapy with
two or three of these agents. Biochemical indicators showed that the levels of
blood urea nitrogen, creatinine and uric acid decreased and the levels of albumin
and hemoglobin increased by single or combination therapy of these drugs. Drug
treatment also decreased oxidative stress damage of renal tissues in CRF rats.
Histopathological lesions were attenuated in each drug treatment group by various
degrees. Additionally, drug treatment affected the expression of extracellular
matrix (ECM) proteins including plasminogen activator inhibitor 1, collagen I,
matrix metalloprotease-1, and tissue inhibitor of metalloproteinases 1. In
particular, the combination therapy of K, J, and Y was superior to the respective
monotherapy, which supported the prescription of KJY combination. We further
studied the inhibitory effect of KJY on LPS-induced inflammation in RAW264.7
macrophages. The results showed that KJY inhibited LPS-induced secretion of
inflammatory cytokines (Interferon-gamma, Interleukin-1 Beta, chemokine (C-X-C
motif) ligand 10, cyclooxygenase-2 and Tumor necrosis factor-alpha in RAW264.7
macrophages. Combination therapy of KJY suppressed the protein expression of
Cyclooxygenase-2 and inducible nitric oxide synthase in vivo and in vitro.
Further study indicated that KJY inhibited STAT1 activation by down regulating p
STAT1 to exert anti-inflammatory effect and improve renal function in rats with
chronic renal failure.
PMID- 29643989
TI - The lncRNA H19 positively affects the tumorigenic properties of glioblastoma
cells and contributes to NKD1 repression through the recruitment of EZH2 on its
promoter.
AB - The still largely obscure molecular events in the glioblastoma oncogenesis, a
primary brain tumor characterized by an inevitably dismal prognosis, impel for
investigation. The importance of Long noncoding RNAs as regulators of gene
expression has recently become evident. Among them, H19 has a recognized
oncogenic role in several types of human tumors and was shown to correlate to
some oncogenic aspects of glioblastoma cells. Here we, hypothesyze that in
glioblastoma H19 exerts its function through the interaction with the catalytic
subunit of the PRC2 complex, EZH2. By employing a factor analysis on a SAGE
dataset of 12 glioblastoma samples, we show that H19 expression in glioblastoma
tissues correlates with that of several genes involved in glioblastoma growth and
progression. H19 knock-down reduces viability, migration and invasiveness of two
distinct human glioblastoma cell lines. Most importantly, we provide a
mechanistic perspective about the role of H19 in glioblastoma cells, by showing
that its expression is inversely linked to that of NKD1, a negative regulator of
Wnt pathway, suggesting that H19 might regulate NKD1 transcription via EZH2
induced H3K27 trimethylation of its promoter. Indeed, we showed that H19 binds
EZH2 in glioblastoma cells, and that EZH2 binding to NKD1 and other promoters is
impaired by H19 silencing. In this work we describe H19 as part of an epigenetic
modulation program executed by EZH2, that results in the repression of Nkd1. We
believe that our results can provide a new piece to the complex puzzle of H19
function in glioblastoma.
PMID- 29643990
TI - Systematic characterization of autophagy-related genes during the adipocyte
differentiation using public-access data.
AB - Autophagy contributes to reorganizing intracellular components and forming fat
droplets during the adipocyte differentiation. Here, we systematically describe
the role of autophagy-related genes and gene sets during the differentiation of
adipocytes. We used a public dataset from the European Nucleotide Archive from an
RNA-seq experiment in which 3T3-L1 cells were induced by a differentiation
induction medium, total RNA was extracted and sequenced at four different time
points. Raw reads were aligned to the UCSC mouse reference genome (mm10) using
HISAT2, and aligned reads were summarized at the gene or exon level using HTSeq.
DESeq2 and DEXSeq were used to model the gene and exon counts and test for
differential expression and relative exon usage, respectively. After applying the
appropriate transformation, gene counts were used to perform the gene set and
pathway enrichment analysis. Data were obtained, processed and annotated using R
and Bioconductor. Several autophagy-related genes and autophagy gene sets, as
defined in the Gene Ontology, were actively regulated during the course of the
adipocyte differentiation. We further characterized these gene sets by clustering
their members to a few distinct temporal profiles. Other potential functionally
related genes were identified using a machine learning procedure. In summary, we
characterized the autophagy gene sets and their members to biologically
meaningful groups and elected a number of genes to be functionally related based
on their expression patterns, suggesting that autophagy plays a critical role in
removal of some intracellular components and supply of energy sources for lipid
biogenesis during adipogenesis.
PMID- 29643991
TI - Serum levels of soluble CD163 and CXCL5 may be predictive markers for immune
related adverse events in patients with advanced melanoma treated with nivolumab:
a pilot study.
AB - Antibodies against PD-1, such as nivolumab and pembrolizumab, are widely used in
the treatment of various cancers including advanced melanoma. The anti-PD-1 Ab
significantly prolongs survival in patients with metastatic melanoma, and its
administration in combination with local or systemic therapy may also lead to
improved outcomes. Although anti-PD-1 Ab-based combined therapy might be
effective for the treatment of advanced melanoma, the associated risk of irAEs is
an important consideration. Therefore, being able to predict irAEs is of great
interest to oncologists. The purpose of this study was to evaluate the value of
using serum levels of sCD163 and CXCL5 to predict irAEs in patients with advanced
melanoma who were administered nivolumab. To this end, we analyzed these serum
levels in 46 cases of advanced melanoma treated with nivolumab. In addition, the
tumor stroma was evaluated by immunohistochemistry and immunofluorescence. We
measured the serum levels of sCD163 and CXCL5 on day 0 (immediately before
nivolumab administration) and day 42. The serum absolute levels of sCD163 were
significantly increased in patients who developed AEs (p = 0.0018). Although
there was no significant difference in serum levels of CXCL5, the absolute value
of CXCL5 could at least be a supportive marker for the increased absolute levels
of serum sCD163. This study suggests that sCD163 and CXCL5 may serve as possible
prognostic biomarkers for irAEs in patients with advanced melanoma treated with
nivolumab.
PMID- 29643992
TI - Description of organ-specific phenotype, and functional characteristics of tissue
resident lymphocytes from liver transplantation donor and research on immune
tolerance mechanism of liver.
AB - Aim: Prior to transplantation, Donation after Cardiac Death (DCD) liver
transplantation livers are perfused with preservation solution. Therefore, this
provides an abundant source of human liver lymphocytes, as well as mesenteric
lymph node and spleen for the study of lymphocyte subset diversity in the
peripheral blood, lymph node, spleen and liver. Methods: Lymphocyte subsets were
isolated and purified from peripheral blood, lymph node, spleen and liver
perfusion, the phenotypic and functional analysis of the tissue resident
lymphocyte were performed by flow cytometry. Results: In a direct comparison
between blood, liver, lymph node and spleen cells from liver transplantation
donors, the abundance of natural killer (NK) cells, CD3+CD56+NKT (NT) cells and
CD8+ T cells in intrahapatic lymphocytes (IHL) did not match what was present in
peripheral blood and other peripheral lymphoid organs. The activation state of
peripheral blood-derived lymphocytes was significantly different from lymph node
, spleen- and liver-derived cells. Intriguingly, NK cells, CD4+ T cells, and CD8+
T cells from liver perfusion display more suppressive characteristics, that is,
express and produce more anti-inflammatory cytokine interleukin (IL)-10, less
inflammatory cytokine interferon (INF)-gamma. Conclusion: Our findings imply that
different tissues entail resident lymphocyte subsets with a distinct phenotype
and function considering the organ is well vascularized, particularly in liver.
It is better to understand the mechanism of liver immune tolerance.
PMID- 29643993
TI - Relationship between the agonist activity of synthetic ligands of TRAIL-R2 and
their cell surface binding modes.
AB - Tumor Necrosis Factor Receptor Apoptosis Inducing Ligand (TRAIL) appears as an
interesting candidate for targeted cancer therapy as it induces apoptosis in
cancer cells without toxicity to normal cells. TRAIL elicits apoptosis through
agonist death receptor TRAIL-R1 and TRAIL-R2 engagement. Nevertheless,
recombinant soluble TRAIL and monoclonal antibodies against these receptors
demonstrated insufficient efficacy in clinical trials. This may be explained by
the cell-type dependency of the apoptotic response, itself influenced by the
effect on ligand binding mode of factors such as the level of receptor
oligomerization or glycosylation. To investigate the relation between binding
mode and signaling, we used previously described synthetic divalent and
monovalent peptides specific for TRAIL-R2. We measured their pro-apoptotic
activity on three cancer cell lines sensitive to rhTRAIL induced-apoptosis and
monitored their cell-surface binding kinetics. The two divalent peptides bound
with strong affinity to TRAIL-R2 expressed on B lymphoma BJAB cells and induced a
high degree of apoptosis. By contrast, the same peptides bound weakly to TRAIL-R2
expressed at the surface of the human colon cancer HCT116 or T lymphoma Jurkat
cell lines and did not induce their apoptosis. Cross-linking experiments suggest
that these differences could be afforded by variations in the TRAIL-R2
oligomerization state at cell surface before ligand addition. Moreover divalent
peptides showed a different efficiency in BJAB apoptosis induction, and kinetic
distribution analysis of the BJAB binding curves suggested subtle differences in
binding mechanisms. Thus our data support a relation between the cell-surface
binding mode of the peptides and their pro-apoptotic activity. In this case the
precise characterization of ligand binding to the surface of living cells would
be predictive of the therapeutic potential of TRAIL-R2 synthetic ligands prior to
clinical trials.
PMID- 29643994
TI - Grape seed procyanidin extract against lung cancer: the role of microrna-106b,
bioavailability, and bioactivity.
AB - MiR-106b is an oncomir and a potential target for anti-cancer therapy. We
hypothesize that grape seed procyanidin extract (GSE) exerts antineoplastic
effects on lung cancer through modulations of miR-106b and its downstream target.
We found that GSE significantly down-regulated miR-106b in a variety of lung
neoplastic cells and increased cyclin-dependent kinase inhibitor 1A (CDKN1A) mRNA
and protein (p21) levels. Transfection of miR-106b mimics reversed the up
regulations of CDKN1A mRNA and p21, abrogated the GSE induced anti-proliferative
and anti-invasive properties in lung cancer cells. Oral gavage of leucoselect
phytosome (LP), a standardized GSE to athymic nude mice down-regulated MIR106B
mRNA and miR-106b expressions, and increased CDKN1A mRNA expression in tumor
xenografts, correlating to significant reduction of tumor growth. To assess
bioavailability, GSE and metabolites in plasma levels, between 60-90 minutes
after gavage of LP were measured by LC/MS at treatment week 4 and 8. A novel
bioactivity assay was also developed using lung homogenates from treated mice co
cultured with human lung cancer cells. LP-treated mouse lung homogenates
significantly reduced proliferations of various lung cancer cells. Our findings
reveal novel antineoplastic mechanisms by GSE, further define the
pharmacokinetics and pharmacodynamics of LP, and support the continued
investigation of LP against lung cancer.
PMID- 29643995
TI - Treatment of oral cancer using magnetized paclitaxel.
AB - N,N'-Bis(salicylidene)ethylenediamine iron (Fe(Salen)) is an anti-cancer agent
with intrinsic magnetic property. Here, we covalently linked Fe(Salen) to
paclitaxel (PTX), a widely used anti-cancer drug, to obtain a magnetized
paclitaxel conjugate (M-PTX), which exhibited magnetic characteristics for magnet
guided drug delivery and MRI visualization. M-PTX increased apoptosis and G2/M
arrest of cultured human oral cancer cell lines in the same manner as PTX.
Furthermore, marked contrast intensity was obtained in magnetic resonance imaging
(MRI) of M-PTX. In a mouse oral cancer model, a permanent magnet placed on the
body surface adjacent to the tumor resulted in distinct accumulation of M-PTX,
and the anti-cancer effect was greater than that of M-PTX without the magnet. We
believe that this strategy may improve future cancer chemotherapy by providing
conventional anti-cancer drugs with novel functionalities such as magnet-guided
drug delivery or MRI-based visualization/quantitation of drug distribution.
PMID- 29643996
TI - Selective lysis of breast carcinomas by simultaneous stimulation of sodium
channels and blockade of sodium pumps.
AB - Sodium influx through voltage-gated sodium channels (VGSCs) coupled with balanced
removal of sodium ions via Na+, K+-ATPase is a major determinant of cellular
homeostasis and intracellular ionic concentration. Interestingly, many metastatic
carcinomas express high levels of these channels. We hypothesized that if excess
VGSCs are activated and Na+, K+-ATPase is simultaneously blocked, the
intracellular Na+ concentration should increase, resulting in water movement into
the cell, causing swelling and lytic cell death. MDA-MB-231 breast cancer cells
over-express VGSCs by 7-fold. To test our hypothesis, we treated these cells in
vitro with the Na+, K+-ATPase blocker, ouabain, and then stimulated with a
sublethal electric current. For in vivo histologic and survival studies, MDA-MB
231 xenografts were established in Nu/J mice. Mice injected with saline or
ouabain were electrically stimulated with trains of 10 msec 10V DC pulses. Within
seconds to minutes, the cells swelled and lysed. MCF-10a cells, which express
normal VGSCs levels, were unaffected by this treatment. Cells from the weakly
malignant cell line, MCF-7, which express 3-fold greater VGSCs than MCF-10a
cells, displayed an intermediate time-to-lysis. The rate of lysis correlated
directly with the degree of sodium channel expression and malignancy. We also
demonstrated efficacy in cell lines from prostate, colon and lung carcinomas.
Treated MDA-MB-231 xenografts showed 60-80% cell death. In survival studies, TOL
treated mice showed significantly slower tumor growth vs. controls. These results
are evidence that this "targeted osmotic lysis" represents a novel method for
selectively killing cancer cells and warrants further investigation as a
potential treatment for advanced and end-stage breast cancer.
PMID- 29643997
TI - New antimicrobial peptide kills drug-resistant pathogens without detectable
resistance.
AB - Clavaspirin peptide (CSP) is derived from the pharyngeal tissues of the tunicate
Styela clava. The 23-amino acid peptide is histidine-rich and amidated at the N
terminus. CSP possesses low antimicrobial and high hemolytic activity at pH 7.4.
Therefore, we designed 4 CSP analogs with substituted hydrophobic amino acids to
reduce hydrophobic amino acid interactions. These modifications reduced the
aggregation and cytotoxicity of the analogs at pH 7.4. The analogs also showed
potent antimicrobial activity by accumulating on bacterial cell surfaces and
inducing the lytic mechanism against gram-negative and gram-positive cells at pH
5.5 and 7.4. Moreover, exposure to the CSP-4 analog for up to 29 passages did not
induce drug resistance in Staphylococcus aureus. Application of CSP-4 to inflamed
skin of hairless mice infected with drug-resistant S. aureus (DRSA) significantly
reduced skin infections without damaging dermal collagen or elastin. Topically
applied CSP-4 penetrated 25-40 um in the dermis within 30 min, reducing the
levels of Toll-like receptor-2, nuclear factor kappa B (NF-kappaB), and the pro
inflammatory cytokines tumor necrosis factor- alpha (TNF-alpha) and interleukin
1beta (IL-1 beta). These results suggest that CSP-4 could be a promising topical
antimicrobial agent for skin diseases caused by DRSA such as S. aureus CCARM
0027.
PMID- 29643999
TI - Sympatho-adrenergic activation by endurance exercise: Effect on metanephrines
spillover and its role in predicting athlete's performance.
AB - Background: The sympatho-adrenergic activation during exercise is implicated in
many cardiovascular respiratory and metabolic adaptations which have been thought
to partially explain the different levels of performance observed between trained
and untrained subjects. To date, no evidence exists about the association between
competition performance and markers of "acute stress response". We designed this
study to investigate; (i) the acute sympatho-adrenergic activation during
endurance exercise in recreational runners by measuring plasma levels of free
metanephrine (MN) and normethanephrine (NMN) before and after a half-marathon
run; (ii) the association between the metanephrines levels and the running time.
Methods: 26 amateur runners (15 males, 11 females) aged 30 to 63 years were
enrolled. The quantification of MN and NMN was performed by LC-MS/MS.
Anthropometric ergonomic and routine laboratory data were recorded. Statistical
analyses included paired T-test, univariate and multivariate regressions.
Results: The post-run values of MN and NMN displayed a nearly 3.5 and 7 fold
increase respectively compared to the baseline values (p < 0.0001 for both). NMN
pre-run values and pre/post run delta values showed a significant direct and
inverse association (p = 0.021 and p = 0.033, respectively) with running
performance. No correlations were found for MN values. Conclusion: NMN is a
reliable marker of sympatho-adrenergic activation by exercise and can predict
endurance performance in the individual athlete. Adaptation phenomenon occurring
not only in the adrenal medulla might represent the biological mechanism
underlying this association. Further studies on sympatho-adrenergic activation,
competition performance and training status should contemplate the measurement of
these metabolites instead of their unstable precursors.
PMID- 29643998
TI - Deletion of sphingosine kinase 1 inhibits liver tumorigenesis in
diethylnitrosamine-treated mice.
AB - Primary liver cancer is the 3rd leading cause of cancer deaths worldwide with
very few effective treatments. Sphingosine kinase 1 (SphK1), a key regulator of
sphingolipid metabolites, is over-expressed in human hepatocellular carcinoma
(HCC) and our previous studies have shown that SphK1 is important in liver
injury. We aimed to explore the role of SphK1 specifically in liver tumorigenesis
using the SphK1 knockout (SphK1-/-) mouse. SphK1 deletion significantly reduced
the number and the size of DEN-induced liver cancers in mice. Mechanistically,
fewer proliferating but more apoptotic and senescent cells were detected in SphK1
deficient tumors compared to WT tumors. There was an increase in sphingosine
rather than a decrease in sphingosine 1-phosphate (S1P) in SphK1 deficient
tumors. Furthermore, the STAT3-S1PR pathway that has been reported previously to
mediate the effect of SphK1 on colorectal cancers was not altered by SphK1
deletion in liver cancer. Instead, c-Myc protein expression was down-regulated by
SphK1 deletion. In conclusion, this is the first in vivo evidence that SphK1
contributes to hepatocarcinogenesis. However, the downstream signaling pathways
impacting on the development of HCC via SphK1 are organ specific providing
further evidence that simply transferring known oncogenic molecular pathway
targeting into HCC is not always valid.
PMID- 29644000
TI - CDK4/6 inhibition as maintenance and combination therapy for high grade serous
ovarian cancer.
AB - High grade serous ovarian cancer (HGSOC) is a disease with a high relapse rate
and poor overall survival despite good initial responses to platinum-based
therapy. Cell cycle inhibition with targeted CDK4/6 inhibitors is a new
therapeutic approach showing promise as a maintenance therapy in cancer. As
multiple genes in the CDK4/6 pathway are commonly mutated or dysregulated in
ovarian cancer, we evaluated the efficacy of the CDK4/6 inhibitor Ribociclib
alone, in combination with chemotherapy, and as maintenance therapy in several
models of HGSOC. Ribociclib restricted cellular proliferation in multiple ovarian
cancer cell lines. Restricted proliferation was associated with a pseudo
senescent cellular phenotype; Ribociclib-treated cells expressed markers of
senescence, but could rapidly re-enter the cell cycle with discontinuation of
therapy. Surprisingly, concurrent Ribociclib and cisplatin therapy followed by
Ribociclib maintenance was synergistic. Evaluation of the cell cycle suggested
that Ribociclib may also act at the G2/M check point via dephosphorylation of ATR
and CHK1. Consistent with this mechanism, Ribociclib demonstrated clear activity
in both platinum-resistant and platinum-sensitive tumor models in vivo. This work
supports clinical trials using Ribociclib in combination with cisplatin and as a
maintenance therapy in ovarian cancer.
PMID- 29644001
TI - BAG3 promotes tumour cell proliferation by regulating EGFR signal transduction
pathways in triple negative breast cancer.
AB - Triple-negative breast cancer (TNBC), is a heterogeneous disease characterised by
absence of expression of the estrogen receptor (ER), progesterone receptor (PR)
and lack of amplification of human epidermal growth factor receptor 2 (HER2).
TNBC patients can exhibit poor prognosis and high recurrence stages despite early
response to chemotherapy treatment. In this study, we identified a pro-survival
signalling protein BCL2- associated athanogene 3 (BAG3) to be highly expressed in
a subset of TNBC cell lines and tumour tissues. High mRNA expression of BAG3 in
TNBC patient cohorts significantly associated with a lower recurrence free
survival. The epidermal growth factor receptor (EGFR) is amplified in TNBC and
EGFR signalling dynamics impinge on cancer cell survival and disease recurrence.
We found a correlation between BAG3 and EGFR expression in TNBC cell lines and
determined that BAG3 can regulate tumour cell proliferation, migration and
invasion in EGFR expressing TNBC cells lines. We identified an interaction
between BAG3 and components of the EGFR signalling networks using mass
spectrometry. Furthermore, BAG3 contributed to regulation of proliferation in
TNBC cell lines by reducing the activation of components of the PI3K/AKT and
FAK/Src signalling subnetworks. Finally, we found that combined targeting of BAG3
and EGFR was more effective than inhibition of EGFR with Cetuximab alone in TNBC
cell lines. This study demonstrates a role for BAG3 in regulation of distinct
EGFR modules and highlights the potential of BAG3 as a therapeutic target in
TNBC.
PMID- 29644002
TI - Loss of neutrophil polarization in colon carcinoma liver metastases of mice with
an inducible, liver-specific IGF-I deficiency.
AB - The growth of cancer metastases in the liver depends on a permissive interaction
with the hepatic microenvironment and neutrophils can contribute to this
interaction, either positively or negatively, depending on their phenotype. Here
we investigated the role of IGF-I in the control of the tumor microenvironment in
the liver, using mice with a conditional, liver-specific, IGF-I deficiency (iLID)
induced by a single tamoxifen injection. In mice that had a sustained (3 weeks)
IGF-I deficiency prior to the intrasplenic/portal inoculation of colon carcinoma
MC-38 cells, we observed an increase in neutrophil accumulation in the liver
relative to controls. However, unlike controls, these neutrophils did not acquire
the (anti-inflammatory) tumor-promoting phenotype, as evidenced by retention of
high ICAM-1 expression and nitric oxide production and low CXCR4, CCL5, and VEGF
expression and arginase production, all characteristic of the (pro-inflammatory)
phenotype. This coincided with an increase in apoptotic tumor cells and reduced
metastasis. Neutrophils isolated from these mice also had reduced IGF-IR
expression levels. These changes were not observed in iLID mice with a short-term
(2 days) IGF-I depletion, despite a 70% reduction in their circulating IGF-I
levels, indicating that a sustained IGF-I deficiency was necessary to alter the
neutrophil phenotype. Similar results were obtained with the highly metastatic
Lewis lung carcinoma subline H-59 cells and in mice injected with an IGF-Trap
that blocks IGF-IR signaling by reducing ligand bioavailability. Our results
implicate the IGF axis in neutrophil polarization and the induction of a pro
metastatic microenvironment in the liver.
PMID- 29644003
TI - Overexpression of PLOD3 promotes tumor progression and poor prognosis in gliomas.
AB - High-grade gliomas are the most threatening brain tumors due to aggressive
proliferation and poor prognosis. Thus, utilizing genetic glioma biomarkers to
forecast prognosis and guide clinical management is crucial. Procollagen-lysine,
2-oxoglutarate 5-dioxygenase 3 (PLOD3) modulates cancer progression and
metastasis. However, its detailed function in cancer remains largely
uninvestigated. PLOD3 expression was evaluated with real-time PCR in glioblastoma
(GBM) cell lines and by Gene Expression Omnibus dataset analysis and
immunohistochemistry of glioma tissues. We investigated the clinical use of PLOD3
for determining glioma prognosis. The biological roles of PLOD3 in proliferation,
migration and invasion of GBM cells were studied both in vitro with wound-healing
and transwell assays and in vivo using an orthotopic xenograft mouse model.
Hypoxia and western blotting were applied to discover the molecular mechanisms
underlying PLOD3 functions. PLOD3 mRNA and protein expression were upregulated in
glioma tissues compared to normal brain tissues. PLOD3 overexpression was
correlated with negative survival in glioma patients. PLOD3 silencing suppressed
cell proliferation and induced G1 phase arrest through p53-independent regulation
of the p21 pathway. Inhibition of PLOD3 in glioma cells decreased VEGF
expression, migration and invasion by downregulating mesenchymal markers,
including Snail and Twist. Notably, knockdown of PLOD3 inhibited HIF-1alpha
accumulation via the ERK signaling pathway under hypoxia. Taken together, these
discoveries reveal that PLOD3 is a potential therapeutic target in human gliomas.
PMID- 29644004
TI - IL-8 associates with a pro-angiogenic and mesenchymal subtype in glioblastoma.
AB - Glioblastoma (GBM) is a highly aggressive brain tumor characterized by a high
rate of vascularization. However, therapeutic targeting of the vasculature
through anti-vascular endothelial growth factor (VEGF) treatment has been
disappointing, for which Angiopoietin-2 (Ang-2) upregulation has partly been held
accountable. In this study we therefore explored the interplay of Ang-2 and VEGFA
and their effect on angiogenesis in GBM, especially in the context of molecular
subclasses. In a large patient cohort we identified that especially combined high
expression of Ang-2 and VEGFA predicted poor overall survival of GBM patients.
The high expression of both factors was also associated with increased IL-8
expression in GBM tissues, but in vitro stimulation with Ang-2 and/or VEGFA did
not indicate tumor or endothelial cell-specific IL-8 responses. Glioblastoma stem
cells (GSCs) of the mesenchymal (MES) subtype showed dramatically higher
expression of IL8 when compared to proneural (PN) GSCs. Secreted IL-8 derived
from MES GSCs induced endothelial proliferation and tube formation, and the MES
GBMs had increased counts of proliferating endothelial cells. Our results
highlight a critical pro-angiogenic role of IL-8 in MES GBMs.
PMID- 29644005
TI - Radiofrequency assisted pancreaticoduodenectomy for palliative surgical resection
of locally advanced pancreatic adenocarcinoma.
AB - Background: Despite careful patient selection and preoperative investigations
curative resection rate (R0) in pancreaticoduodenectomy ranges from 15% to 87%.
Here we describe a new palliative approach for pancreaticoduodenectomy using a
radiofrequency energy device to ablate tumor in situ in patients undergoing R1/R2
resections for locally advanced pancreatic ductal adenocarcinoma where vascular
reconstruction was not feasible. Results: There was neither postoperative
mortality nor significant morbidity. Each time the ablation lasted less than 15
minutes. Following radiofrequency ablation it was observed that the tumor remnant
attached to the vessel had shrunk significantly. In four patients this allowed
easier separation and dissection of the ablated tumor from the adherent vessel
leading to R1 resection. In the other two patients, the ablated tumor did not
separate from vessel due to true tumor invasion and patients had an R2 resection.
The ablated remnant part of the tumor was left in situ. Conclusion: Whenever
pancreaticoduodenectomy with R0 resection cannot be achieved, this new palliative
procedure could be considered in order to facilitate resection and enable maximum
destruction in remnant tumors. Method: Six patients with suspected tumor
infiltration and where vascular reconstruction was not warranted underwent
radiofrequency-assisted pancreaticoduodenectomy for locally advanced pancreatic
ductal adenocarcinoma. Radiofrequency was applied across the tumor vertically 5
10 mm from the edge of the mesenteric and portal veins. Following ablation, the
duodenum and the head of pancreas were removed after knife excision along the
ablated line. The remaining ablated tissue was left in situ attached to the
vessel.
PMID- 29644006
TI - The long non-coding RNA HOTAIR is transcriptionally activated by HOXA9 and is an
independent prognostic marker in patients with malignant glioma.
AB - The lncRNA HOTAIR has been implicated in several human cancers. Here, we
evaluated the molecular alterations and upstream regulatory mechanisms of HOTAIR
in glioma, the most common primary brain tumors, and its clinical relevance.
HOTAIR gene expression, methylation, copy-number and prognostic value were
investigated in human gliomas integrating data from online datasets and our
cohorts. High levels of HOTAIR were associated with higher grades of glioma,
particularly IDH wild-type cases. Mechanistically, HOTAIR was overexpressed in a
gene dosage-independent manner, while DNA methylation levels of particular CpGs
in HOTAIR locus were associated with HOTAIR expression levels in GBM clinical
specimens and cell lines. Concordantly, the demethylating agent 5-Aza-2'
deoxycytidine affected HOTAIR transcriptional levels in a cell line-dependent
manner. Importantly, HOTAIR was frequently co-expressed with HOXA9 in high-grade
gliomas from TCGA, Oncomine, and our Portuguese and French datasets. Integrated
in silico analyses, chromatin immunoprecipitation, and qPCR data showed that
HOXA9 binds directly to the promoter of HOTAIR. Clinically, GBM patients with
high HOTAIR expression had a significantly reduced overall survival,
independently of other prognostic variables. In summary, this work reveals HOXA9
as a novel direct regulator of HOTAIR, and establishes HOTAIR as an independent
prognostic marker, providing new therapeutic opportunities to treat this highly
aggressive cancer.
PMID- 29644007
TI - Impact of adjuvant hormonotherapy on radiation-induced breast fibrosis according
to the individual radiosensitivity: results of a multicenter prospective French
trial.
AB - Background: To evaluate risk of severe breast fibrosis occurrence in patients
treated by breast-conserving surgery, adjuvant radiotherapy and hormonotherapy
(HT) according to individual radiosensitivity (RILA assay). Results: HT- and
RILAhigh were the two independent factors associated with improved breast
fibrosis free survival (BFFS). BFFS rate at 36 months was lower in patients with
RILAlow and HT+ than in patients with RILAhigh and HT- (75.8% and 100%,
respectively; p = 0.004, hazard ratio 5.84 [95% confidence interval (CI) 1.8
19.1]). Conversely, BFFS at 36 months was comparable in patients with RILAhigh
and HT+ and in patients with RILAlow and HT- (89.8% and 93.5%, respectively; p =
0.39, hazard ratio 1.7 [95% CI 0.51-5.65]), showing that these two parameters
influenced independently the occurrence of severe breast fibrosis. BFFS rate was
not affected by the HT type (tamoxifen or aromatase inhibitor) and timing
(concomitant or sequential with radiotherapy). Conclusions: HT and RILA score
independently influenced BFFS rate at 36 months. Patients with RILAhigh and HT-
presented an excellent BFFS at 36 months (100%). Materials and methods: Breast
Fibrosis-Free Survival (BFFS) rate was assessed relative to RILA categories and
to adjuvant HT use (HT+ and HT-, respectively) in a prospective multicentre study
(NCT00893035) which enrolled 502 breast cancer patients (456 evaluable patients).
Breast fibrosis was recorded according to CTCAE v3.0 grading scale; RILA score
was defined according to two categories (<12%: RILAlow; >=12%: RILAhigh).
PMID- 29644008
TI - Calcium-sensing receptor (CaSR) promotes development of bone metastasis in renal
cell carcinoma.
AB - Bone metastasis is an important prognostic factor in renal cell carcinoma (RCC).
The calcium-sensing receptor (CaSR) has been associated with bone metastasis in
several different malignancies. We analyzed the impact of CaSR in bone metastasis
in RCC in vitro and in vivo. The RCC cell line 786-O was stably transfected with
the CaSR gene and treated with calcium alone or in combination with the CaSR
antagonist NPS2143. Afterwards migration, adhesion, proliferation and prominent
signaling molecules were analyzed. Calcium treated CaSR-transfected 768-O cells
showed an increased adhesion to endothelial cells and the extracellular matrix
components fibronectin and collagen I, but not to collagen IV. The chemotactic
cell migration and proliferation was also induced by calcium. The activity of
SHC, AKT, ERK, P90RSK and JNK were enhanced after calcium treatment of CaSR
transfected cells. These effects were abolished by NPS2143. Development of bone
metastasis was evaluated in vivo in a mouse model. Intracardiac injection of CaSR
transfected 768-O cells showed an increased rate of bone metastasis. The results
indicate CaSR as an important component in the mechanism of bone metastasis in
RCC. Therefore, targeting CaSR might be beneficial in patients with bone
metastatic RCC with a high CaSR expression.
PMID- 29644009
TI - Pomalidomide enhanced gemcitabine and nab-paclitaxel on pancreatic cancer both in
vitro and in vivo.
AB - Background: Chemotherapy with gemcitabine and nab-paclitaxel (gemcitabine/nab
paclitaxel) is recommended for unresectable pancreatic cancer. However, the
therapeutic efficacy is attenuated by the antitumor agent-induced activation of
nuclear factor-kappaB (NF-kappaB). Thalidomide inhibits NF-kappaB activation,
therefore, we hypothesized that pomalidomide, a third-generation IMiD, would also
inhibit NF-kappaB activation and enhance the antitumor effects of gemcitabine/nab
paclitaxel. Methods: In vitro, we assessed NF-kappaB activity and apoptosis in
response to pomalidomide alone, gemcitabine/nab-paclitaxel, or combination of
pomalidomide and gemcitabine/nab-paclitaxel in human pancreatic cancer cell lines
(PANC-1 and MIA PaCa-2). In vivo, we established orthotopic model and the animals
were treated with oral pomalidomide and injection of gemcitabine/nab-paclitaxel.
Results: In pomalidomide and gemcitabine/nab-paclitaxel group, gemcitabine/nab
paclitaxel-induced NF-kappaB activation was inhibited and apoptosis was enhanced
in comparison with those in the other groups both in vitro and in vivo.
Especially, this study revealed for the first time that pomalidomide enhances p53
on pancreatic cancer cells. The tumor growth in the pomalidomide and
gemcitabine/nab-paclitaxel group was significantly slower than that in the
gemcitabine/nab-paclitaxel group. Moreover, pomalidomide induced G0/G1 cell cycle
arrest and suppressed angiogenesis. Conclusions: Pomalidomide enhanced the
antitumor effect of gemcitabine/nab-paclitaxel by inhibition of NF-kappaB
activation. This combination regimen would be a novel strategy for treating
pancreatic cancer.
PMID- 29644011
TI - Modeling multiple time series annotations as noisy distortions of the ground
truth: An Expectation-Maximization approach.
AB - Studies of time-continuous human behavioral phenomena often rely on ratings from
multiple annotators. Since the ground truth of the target construct is often
latent, the standard practice is to use ad-hoc metrics (such as averaging
annotator ratings). Despite being easy to compute, such metrics may not provide
accurate representations of the underlying construct. In this paper, we present a
novel method for modeling multiple time series annotations over a continuous
variable that computes the ground truth by modeling annotator specific
distortions. We condition the ground truth on a set of features extracted from
the data and further assume that the annotators provide their ratings as
modification of the ground truth, with each annotator having specific distortion
tendencies. We train the model using an Expectation-Maximization based algorithm
and evaluate it on a study involving natural interaction between a child and a
psychologist, to predict confidence ratings of the children's smiles. We compare
and analyze the model against two baselines where: (i) the ground truth in
considered to be framewise mean of ratings from various annotators and, (ii) each
annotator is assumed to bear a distinct time delay in annotation and their
annotations are aligned before computing the framewise mean.
PMID- 29644012
TI - Abundance, Fishing Season and Management Strategy for Blue Swimming Crab
(Portunus pelagicus) in Pangkajene Kepulauan, South Sulawesi, Indonesia.
AB - In order to manage blue swimming crabs in Pangkajene Kepulauan, management
measures are required. Since the environment which affects the abundance of the
blue swimming crab varies seasonally, it is necessary to take into account the
seasonal nature with the aim of developing a management strategy. The objectives
of this study are to define the abundance of and fishing season of blue swimming
crabs in the Pangkajene Kepulauan waters, South Sulawesi, Indonesia. The fishing
season was analysed using seasonal index analysis, while fish abundance was
analysed by means of Equilibrium-Schaefer. The result of this study demonstrated
that fishermen allocate their fishing gear all year, although the fish catch is
seasonal. Based on analysis of the result, the fishing season for the blue
swimming crabs is short. The peak fishing season starts in May and finishes in
June. However, in order to enable their families to earn a living, fishermen
operated their fishing gear throughout the year. As a result, both catch landing
and effort were close to maximum sustainable yield (MSY). In order to reduce
fishing pressure, it is necessary to reduce fishing gear and have a seasonal
arrangement regarding fishing gear allocation.
PMID- 29644010
TI - Value-based genomics.
AB - Advancements in next-generation sequencing have greatly enhanced the development
of biomarker-driven cancer therapies. The affordability and availability of next
generation sequencers have allowed for the commercialization of next-generation
sequencing platforms that have found widespread use for clinical-decision making
and research purposes. Despite the greater availability of tumor molecular
profiling by next-generation sequencing at our doorsteps, the achievement of
value-based care, or improving patient outcomes while reducing overall costs or
risks, in the era of precision oncology remains a looming challenge. In this
review, we highlight available data through a pre-established and conceptualized
framework for evaluating value-based medicine to assess the cost (efficiency),
clinical benefit (effectiveness), and toxicity (safety) of genomic profiling in
cancer care. We also provide perspectives on future directions of next-generation
sequencing from targeted panels to whole-exome or whole-genome sequencing and
describe potential strategies needed to attain value-based genomics.
PMID- 29644013
TI - Effect of Functionalised and Non-Functionalised Carbon Nanotubes-Urea Fertilizer
on the Growth of Paddy.
AB - The roles of multi-walled carbon nanotubes (MWNTs) and functionalised multiwalled
carbon nanotubes (fMWNTs) in enhancing the efficacy of urea fertilizer (UF) as
plant nutrition for local MR219 paddy variety was investigated. The MWNTs and
fMWNTs were grafted onto UF to produce UF-MWNTs fertilizer with three different
conditions, coded as FMU1 (0.6 wt. % fMWNTs), FMU2 (0.1 wt. % fMWNTs) and MU (0.6
wt. % MWNTs. The batches of MR219 paddy were systematically grown in accordance
to the general practice performed by the Malaysian Agricultural Research and
Development Institute (MARDI). The procedure was conducted using a pot under
exposure to natural light at three different fertilization times; after a certain
number of days of sowing (DAS) at 14, 35 and 55 days. Interestingly, it was found
that the crop growth of plants treated with FMU1 and FMU2 significantly increased
by 22.6% and 38.5% compared to plants with MU addition. Also, paddy treated with
FMU1 produced 21.4% higher number of panicles and 35% more grain yield than MU
while paddy treated with FMU2 gave 28.6% more number of panicles and 36% higher
grain yield than MU, which implies the advantage of fMWNTs over MWNTs to be
combined with UF as plant nutrition. The chemical composition and morphology of
UF-MWNTs fertilizers which is further characterised by FTiR and FESEM confirmed
the successful and homogeneous grafting of UF onto the fMWNTs.
PMID- 29644014
TI - Genome Organization of Escherichia Phage YD-2008.s: A New Entry to Siphoviridae
Family.
AB - Malaysia is one of the countries that are loaded with mega biodiversity which
includes microbial communities. Phages constitute the major component in the
microbial communities and yet the numbers of discovered phages are just a minute
fraction of its population in the biosphere. Taking into account of a huge
numbers of waiting to be discovered phages, a new bacteriophage designated as
Escherichia phage YD-2008.s was successfully isolated using Escherichia coli ATCC
11303 as the host. Phage YD-2008.s poses icosahedral head measured at 57nm in
diameter with a long non-contractile flexible tail measured at 107nm; proving the
phage as one of the members of Siphoviridae family under the order of
Caudovirales. Genomic sequence analyses revealed phage YD-2008.s genome as linear
dsDNA of 44,613 base pairs with 54.6% G+C content. Sixty-two open reading frames
(ORFs) were identified on phage YD-2008.s full genome, using bioinformatics
annotation software; Rapid Annotation using Subsystem Technology (RAST). Among
the ORFs, twenty-eight of them code for functional proteins. Thirty two are
classified as hypothetical proteins and there are two unidentified proteins. Even
though majority of the coded putative proteins have high amino acids similarities
to phages from the genus Hk578likevirus of the Siphoviridae family, yet phage YD
2008.s stands with its' own distinctiveness. Therefore, this is another new
finding to Siphoviridae family as well as to the growing list of viruses in
International Committee on Taxonomy of Viruses (ICTV) database.
PMID- 29644015
TI - Diversity of Bats in Contrasting Habitats of Hulu Terengganu Dipterocarp Forest
and Setiu Wetland BRIS Forest with a Note on Preliminary Study of Vertical
Stratification of Pteropodid Bats.
AB - A study of the bat diversity was conducted in Hulu Terengganu dipterocarp forest
and Setiu Wetland Beach Ridges Interspersed with Swales (BRIS) forest in
Terengganu, to study the species diversity, composition and stratification of
fruit bats from the understorey to the forest canopy. Mist nets were set up at
the understorey, sub-canopy and canopy layer while harp traps were set up at the
understorey layer. We recorded 170 individuals from six families' compromised 21
species from Hulu Terengganu dipterocarp forests and four species from Setiu
Wetland BRIS forests throughout the sampling period. Megaerops ecaudatus and
Cynopterus brachyotis were the most dominant species in Hulu Terengganu
dipterocarp forest and Setiu Wetland BRIS forests. Our study also recorded two
species with new distributional records for the east coast of Peninsular
Malaysia, namely, Rhinolophus chiewkweeae and Chaerephon johorensis in Hulu
Terengganu dipterocarp forests. Potential factors that might influence the
results were in terms of the canopy covers, the structural complexity of canopy,
food availability and spatial characteristics. This study was able to increase
the knowledge on the species diversity and composition of bats in Hulu Terengganu
dipterocarp forest and Setiu Wetland BRIS forest, thus, further aid in the effort
of bat conservation in both areas.
PMID- 29644016
TI - The Effect of Oxidative Stress Towards The Expression of Thiamine Biosynthesis
Genes (THIC and THI1/THI4) in Oil Palm (Elaeis guineensis).
AB - Thiamine is known to be an important compound in human diet and it is a cofactor
required for vital metabolic processes such as acetyl-CoA biosynthesis, amino
acid biosynthesis, Krebs and Calvin cycle. Besides that, thiamine has been shown
to be involved in plant protection against stress. In this study, the level of
expression of THIC and THI1/THI4, the genes for the first two enzymes in the
thiamine biosynthesis pathway were observed when oil palm (Elaeis guineensis) was
subjected to oxidative stress. Primers were designed based on the consensus
sequence of thiamine biosynthesis genes obtained from Arabidopsis thaliana, Zea
mays, Oryza sativa, and Alnus glutinosa. Oxidative stress were induced with
various concentrations of paraquat and samplings were done at various time points
post-stress induction. The expression of THIC and THI1/THI4 genes were observed
via RT-PCR and qPCR analysis. The expression of THIC was increased 2-fold, while
THI1/THI4 gene transcript was increased 4-fold upon induction of oxidative
stress. These findings showed that oil palm responded to oxidative stress by over
expressing the genes involved in thiamine biosynthesis. These findings support
the suggestion that thiamine may play an important role in plant protection
against stress.
PMID- 29644017
TI - Morphological Characteristics and Habitats of Red Seaweed Gracilaria spp.
(Gracilariaceae, Rhodophyta) in Santubong and Asajaya, Sarawak, Malaysia.
AB - Red seaweed Gracilaria, one of the largest genus in Division Rhodophyta inhabits
Sarawak coastal water. This study was designed to identify the species of
Gracilaria using morphological approach and to assess selected water quality
parameters in Gracilaria habitats. Three field samplings were carried out in
Santubong and Asajaya, Sarawak from November 2013 to December 2014. Overall,
three species were identified namely Gracilaria changii, G. blodgettii and G.
coronopifolia, attached to net of cage culture in Santubong and root of mangrove
trees in Asajaya. In addition, three different taxa of aquatic macroinvertebrates
(polychaete, small crab, bivalve) and single species of red seaweed (Acanthophora
sp.) were observed in Gracilaria assemblages. An estimate of 37% to 40% of the
upper part of the cage net in Santubong was covered by seaweeds and only 16% to
20% in Asajaya's mangrove. The study had provided better information on
identification of Gracilaria and their habitat in Sarawak. Future work involving
DNA barcoding of each species is in progress.
PMID- 29644018
TI - Low pH Water Impairs the Tactile Sense of the Postlarvae of the Giant Freshwater
Prawn Macrobrachium rosenbergii.
AB - The effect of low pH on the tactile sense of Macrobrachium rosenbergii postlarvae
was determined in the laboratory by means of two behavioural assays: shelter
(netting) occupancy and jumping response to touch stimuli (taps) by a glass
micropipette. The postlarvae were acclimated to pH 4, pH 5, pH 6 and pH 7.5
(control) in 45 L aquaria 5-7 d before the experiments. Shelter occupancy
decreased with pH and was significantly lower at pH 4 and pH 5 than at pH 6 and
in the control. The jumping response instantly followed a tap 93-98% of the time
in the control, pH 6 and pH 5 treatments. However, the postlarvae showed
significantly lower jumping response (65%) at pH 4, indicating an impaired
tactile sense. Low pH 4-5 probably degrades the chitin of the sensory setae and
inhibits the surface mechanoreceptors of the prawn postlarvae.
PMID- 29644019
TI - Effects of Incorporation of Jackfruit Rind Powder on Chemical and Functional
Properties of Bread.
AB - Nowadays, there is a rising interest towards consuming health beneficial food
products. Bread-as one of the most popular food products-could be improved to
'healthy bread' by addition of ingredients high in protein, dietary fiber and low
in calorie. Incorporating Jackfruit rind powder (JRP) as a by-product rich in
dietary fiber in bread, could not only provide health beneficial bread products,
but also lead to develop an environmental friendly technology by solving the
problem of waste disposal of residues. In this study, addition of jackfruit rind
powder (JRP) as a high dietary fiber and functional ingredient in bread was
examined. The results showed that incorporation of JRP in bread improved
functional properties of flour such as Oil Holding Capacity (OHC), Water Holding
Capacity (WHC) and pasting properties. Addition of 5%, 10% and 15% of JRP in
wheat flour caused significantly (p < 0.05) higher insoluble, soluble and total
dietary fiber in flour and bread products. Results from proximate composition
indicated that all breads substituted with JRP, contained significantly (p <
0.05) higher fiber, moisture and fat. Obtained results confirmed that the JRP has
great potential in development of functional foods especially functional bread
products.
PMID- 29644020
TI - The Effects of Microalgae as Live Food for Brachionus plicatilis (Rotifer) in
Intensive Culture System.
AB - Brachionus plicatilis is used to feed fish and crustacean larvae in the
aquaculture industry. It is well established that the type of microalgae may
influence rotifer production. This experiment was conducted to determine the
effect of five different locally available microalgae species at Fisheries
Research Institute (FRI), Kampung Pulau Sayak, Kedah, Malaysia on the
instantaneous growth rate (MU) of rotifer. Nannochloris sp., Tetraselmis sp.,
Isochrysis sp., Chlorella sp., and Nannochloropsis sp. were used as feed at
different algae densities (0.1, 0.3, 0.7 and 1.5 * 106 cells/ml) and culture
volumes (20, 70 and 210 ml). At algae densities ranging from 0.3 to 1.5 * 106
cells/ml, an average MU value of more than 0.90 per day were recorded for all
algae species. However, at density of 0.1 * 106 cells/ml, only Tetraselmis sp.
resulted in the significantly highest MU value compared with others (p < 0.05).
In terms of volume, smaller culture volume of Tetraselmis sp. (20 ml) showed
significantly higher MU compared with higher volume (70 and 210 ml cultures).
PMID- 29644021
TI - The Distribution and Population Density of Bornean Tarsier, "Tarsius Bancanus
Borneanus (Elliot)" in Secondary and Rehabilitated Forests of Universiti Putra
Malaysia, Bintulu Sarawak Campus, Sarawak, Malaysia.
AB - Tarsius bancanus borneanus was first reported by Elliot in 1990 which an endemic
species that can be found on the Island of Borneo consisting of Sabah and Sarawak
of Malaysia, Brunei Darussalam and Kalimantan, Indonesia. This sub-species has
been listed as a totally protected animal under the Sarawak Wild Life Protection
Ordinance (1998) and vulnerable by the International Union for Conservation of
Nature (IUCN). The present study was conducted at Universiti Putra Malaysia
Bintulu Campus (UPMKB), Sarawak from October 2014 till March 2015. Through mark
and recapture sampling covering an area of 37 ha of secondary forest patches and
7.13 ha of rehabilitated forest, a total of 16 tarsiers were captured using mist
nets while one tarsier was recapture. The population density was 38
individuals/km2 was captured using mist nets in the secondary forest while 28
individuals/km2 was recorded for the rehabilitated forest. Using the catch per
unit effort (net hour) method, the average time for capturing tarsiers in the
secondary forest patches was 26.6 net hour per animal and 30.0 net hour per
animal in the rehabilitated forest. The presented results provides information on
the presence of tarsiers in both the secondary and rehabilitated forests of
UPMKB, Sarawak, Malaysia which underlines the conservation value of these
forested areas.
PMID- 29644022
TI - Assessing Nesting Status of Green Turtles, Chelonia Mydas in Perak, Malaysia.
AB - The nesting of green turtle (Chelonia mydas) was monitored from 1998 untill 2013
along the beaches of Pasir Panjang, Segari, Perak. The objective of the study is
to assess the nesting status of green turtles in Perak, Peninsular Malaysia in
terms of total nests, eggs, survival hatchings, and density of visitors. A total
number of green turtle nests found for 16 years were 1,019 nests and varied from
10 to 220 nests per year. Meanwhile, the sum of eggs collected for 16 years were
107,820 eggs, and varied from 553 to 20,881 eggs per year. The temporal pattern
of nesting indicates year-round nesting in Perak in most years within the 16
years period. The peak season of nesting was estimated to occur between May and
June. Survival hatchlings varied from 23.33% (2,071 hatchlings) to 55.03% (5,018
hatchlings) from 1998 to 2013. The density of visitors was not uniformly
distributed among the years, and shows a sign of decline especially from 2006
onwards. This publication provides basic knowledge of green turtle nesting
population in Perak, and would be helpful in upgrading the conservation program
in Malaysia. In future, we hope 1) for an increase in manpower to obtain accurate
nesting records along the nesting beaches during nocturnal survey and, 2) to
include the breeding biology data such as nest placement, emergence hour, and
morphological characteristics of green turtle.
PMID- 29644023
TI - Use of Pleurotus eous Strain P-31 Spent Mushroom Compost (SMC) as Soil
Conditioner on the Growth and Yield Performance of Capsicum annuum L. and Solanum
lycopersicon L. Seedlings under Greenhouse Conditions in Ghana.
AB - The objective of this study was to investigate the influence of spent mushroom
compost of Pleurotus eous strain P-31 on the growth and yield performance of
pepper and tomato seedlings under greenhouse conditions. Sandy loam soil was
combined with different percentages of SMC to obtain the following combinations
(0, 5, 10, 15, 20, 25 and 30) %. Lower concentrations SMC5, SMC10 and SMC15
promoted vegetative growth (plant height, leaf area, chlorophyll content, number
of leaves and axillary branches) of the two test plants. Tomato seedlings grown
in SMC10 recorded the highest plant height (50.3 +/- 7.2cm); leaf area (378.8 +/-
1.2cm2); number of floral buds (51) and flowers (28) whereas SMC5 recorded the
highest chlorophyll content 34.1 +/- 0.9CCI though SMC15 recorded the highest
number of leaves (8). Tomato seedlings grown in SMC30 produced both the maximum
number of fruits (8) with corresponding high weight (34.2 +/- 7.7g). Pepper
seedlings grown in lower concentrations (SMC5-15) recorded the highest plant
heights (29.8-30.8cm), chlorophyll content (20.3CCI) and leaf area (53.5-66.2
cm2). Although the different combinations of sandy loam soil and SMC did not
significantly (p >= 0.05) affect the number of axillary branches developed;
different combinations significantly (p <= 0.05) affected the number of floral
bud, flower and fruit, weight of fruits formed and value of each of these
increased with increasing percentage of SMC. Pepper seedlings grown on SMC30
recorded the maximum number of floral buds (32.0 +/- 3.6), number of flowers
(19.4 +/- 1.3), number of fruits (10.8 +/- 1.2) and weight of fruits (31.9 +/-
3.4g). Tomato seedlings raised on SMC100 (spent mushroom compost only) and soil
only did not significantly (p >= 0.05) differ from each other however, was
statistically significant (p <= 0.05) from amended sandy loam soil by all
criteria investigated. The study shows that SMC provide favourable soil
conditioners for the cultivation of fruits, vegetables and foliage crops as it
improved growth and yield of tomato and pepper seedlings.
PMID- 29644024
TI - A Comparative Study on Physicochemical Characteristics of Raw Goat Milk Collected
from Different Farms in Malaysia.
AB - This study was conducted to determine the physical and chemical composition of
goat milk produced by eight local farms located in the central region of
Malaysia. Farms 1 to 4 (F1-SC, F2-SP, F3-SP, F4-SBC) reared Saanen-type goats
while farms 5 to 8 (F5-JK, F6-JPEC, F7-JTC, F8-JC), Jamnapari-type goats. The
common feedstuffs used in all farms comprised of fresh or silage from Napier
grass, feed pellets, and brans while two farms, F5-JK and F6-JPEC supplemented
the feeds with soybean-based product. The total solid content, dry matter, and
proximate composition of goat milk and feedstuffs from the different farms were
determined and the results analysed using principal component analysis. Total
solid content of goat milk from the Jamnapari crossbreed had the highest solid
content ranging from 11.81% to 17.54% compared to milk from farms with Saanen and
Saanen crossbreed (10.95% to 14.63%). Jamnapari-type goats from F5-JK, F6-JPEC,
and F8-JC had significantly higher (p < 0.05) milk fat and protein contents
(7.36%, 7.14%, and 6.59% fat; 5.08%, 6.19%, and 4.23% protein, respectively) than
milk from other farms but, milk produced by Saanen-type goats from F4-SBC
contained similar protein content (4.34%) to that from F8-JC. Total ash and
carbohydrate contents in milk ranged between 0.67% to 0.86% and 3.26% to 4.71%,
respectively, regardless of goat breed. Feeding soybean-based products appear to
have a positive influence on milk fat and protein content in Jamnaparitype goats.
PMID- 29644025
TI - Co-breeding Association of Aedes albopictus (Skuse) and Aedes aegypti (Linnaeus)
(Diptera: Culicidae) in Relation to Location and Container Size.
AB - The occurrence of major outbreaks of dengue, and other vector borne diseases such
as chikungunya and zika in tropical and subtropical regions has rendered control
of the diseases a top-priority for many affected countries including Malaysia.
Control of the mosquito vectors Aedes aegypti and Aedes albopictus through the
reduction of breeding sites and the application of insecticides to kill immature
forms and adults are the main control efforts to combat these diseases. The
present study describes the association between Ae. albopictus and Ae. aegypti in
shared breeding sites. This study is important given that any measure taken
against one species may affect the other. A yearlong larval survey was conducted
in four dengue endemic areas of Penang Island. Sorenson's coefficient index
indicated that no association between number of the immatures of the two species
regardless of container size and study location. Therefore, the mean number Ae.
albopictus immature was not decreased in the presence of Ae. aegypti in shared
breeding container. However Ae. aegypti appeared to prefer breeding in habitats
not occupied by Ae. albopictus, the two species sharing breeding sites only where
available containers were limited. In control efforts, eliminating the preferred
breeding containers for one species might not affect or reduce the population of
the other species.
PMID- 29644026
TI - Synergistic Apoptotic Effects of Tocotrienol Isomers and Acalypha wilkesiana on
A549 and U87MG Cancer Cells.
AB - Recent studies suggested that combined treatment approaches can be used to
improve anticancer potency and circumvent the limitations of high-dose
tocotrienols administration. Acalypha wilkesiana is a medicinal plant that has
been used as an adjunct treatment for cancers in traditional medicine. Herein,
the effects of single and combined treatments of beta-, gamma- and delta
tocotrienols and ethyl acetate extract (9EA) of Acalypha wilkesiana on lung
(A549) and brain (U87MG) cancer cells were investigated. gamma- and delta
tocotrienols exhibited higher potent antiproliferative effects against A549 (12.1
MUg/ml and 13.6 MUg/ml) and U87MG cells (3.3 MUg/ml and 5.2 MUg/ml) compared to
beta-tocotrienols (9.4 MUg/ml and 92.4 MUg/ml), respectively. Whereas, 9EA
induced potent antiproliferative effects against U87MG cells only (2.0 MUg/ml).
Combined treatments of tocotrienols and 9EA induced a synergistic growth
inhibition with up to 8.4-fold reduction in potent doses of beta-, gamma- and
delta-tocotrienols on A549 cells. Apoptotic features were also evidenced on A549
cells receiving single and combined treatments. The synergism may greatly improve
the therapeutic outcome for lung cancer.
PMID- 29644027
TI - Facilitation influences patterns of perennial species abundance and richness in a
subtropical dune system.
AB - Positive interactions in plant communities are under-reported in subtropical
systems most likely because they are not identified as stressful environments.
However, environmental factors or disturbance can limit plant growth in any
system and lead to stressful conditions. For instance, salinity and low nutrient
and water availability generate a gradient of stressful conditions in coastal
systems depending on distance to shore. In a tropical coastal system in SE
Brazil, we aimed to assess whether Guapira opposita, a shrub common in restinga
environments, acted as nurse involved in ecological succession and which factors
influenced its facilitation process. We sampled perennial species above 10 cm in
height under the canopy of 35 G. opposita individuals and in neighbouring open
areas. Shrub height, canopy area and distance to freshwater bodies were measured
in the field, and distance to the ocean was obtained from aerial images. In
addition, we measured the distance to the closest forest patch as a potential
source of seeds. Plant abundance and species richness were higher under the
canopy of G. opposita than in open areas. Facilitation by G. opposita was mainly
determined by shrub height, which had a positive relationship with woody and
bromeliads abundance and species richness while there was no relationship with
the other factors. Overall, our data evidence that tropical environments may be
highly stressful for plants and that nurse species play a key role in the
regeneration of restinga environments, where their presence is critical to
maintain ecosystem diversity and function.
PMID- 29644028
TI - Relative contribution of reproductive attributes to the density-dependent effects
on fruit-set.
AB - Reproductive success of a plant species can be affected by the distribution
pattern of its conspecifics in a small population. Besides the low mate
availability, the dynamics of breeding system and pollination mechanism may also
contribute to low fruit-set in such populations. We examined the relative
contribution of these reproductive attributes on fruit-set across the contrasting
distribution pattern (denser vs. sparser plots) in two isolated natural
populations of a near-threatened tree species, Anogeissus sericea var.
nummularia. Although flowers in the species are of generalist type, the narrow
stigmatic surface appears to impose a requirement for a specialist pollinator.
Pollination in the tree species is mediated only by the flies. The trees exhibit
partial selfing and suffer from strong inbreeding depression at the early life
history stages of the selfed progeny. We recorded significant difference between
the denser and sparser plots in terms of inflorescence visits per tree, and the
number of trees covered in a bout by the pollinators. Moreover, tree density
showed a strong positive correlation with fruit-set. Besides the requirement of
having proximity among the conspecifics to facilitate pollinator movement, pollen
quality also seemed to be a crucial attribute in the reproductive success of the
tree species. It is inferred that the mating pattern and fecundity of plants in
small and isolated populations are significantly influenced by the extent of
sexual incompatibility and magnitude of their dependence on pollinators.
PMID- 29644030
TI - Proof of concept: liver splitting during normothermic machine perfusion.
AB - Introduction: Despite utilizing extended criteria donors, there remains a
shortage of livers for transplantation. No data exists on splitting donor livers
with concurrent NMP-L. Methods: A liver recovered from a donor after circulatory
death was subjected to NMP-L using a red cell based fluid. During NMP-L, a
'classical' left lateral + right trisegmentectomy split was performed using an
integrated bipolar/ultrasonic device. After splitting, blood flow was confirmed
using Doppler ultrasound in each lobe. Results: Prior to splitting, flow rates
were maintained physiologically. Lactate decreased from 13.9 to 3.0 mmol/L.
Lactate before and after splitting were similar in the hepatic arteries, portal
veins and IVC. Doppler ultrasound demonstrated arterial and venous waveforms in
both lobes after splitting. Conclusions: 'Classical' liver splitting during NMP-L
is feasible, maintaining viability of both lobes. Establishing this procedure may
attenuate cold ischaemic injury, allow pre-implantation monitoring of both grafts
and facilitate logistics of transplanting two grafts.
PMID- 29644032
TI - Severe acute gallstone pancreatitis with diffuse hemorrhagic gastritis.
AB - A 67-year-old male presented with acute pancreatitis secondary to gallstones,
also known as acute biliary pancreatitis, and subsequently developed gastric
outlet obstruction and was transferred to our hospital. A gastro-jejunal feeding
tube was placed and an open cholecystectomy was performed. The patient had a
pancreatic drain placed for interval increase in pancreatic necrosis and then
nearly exsanguinated from gastroduodenal artery pseudoaneurysm bleed. This was
managed by coiling the gastroduodenal artery. The patient underwent a pancreatic
necrosectomy with malencot drain placement and developed a post-operative upper
gastrointestinal bleeding. An EGD showed diffuse gastritis, but no varices. And
18 days later the patient rebled, with the same diffuse gastritis. After further
complications the patient elected to receive palliative care at a hospice
facility. We are presenting this unusual case of diffuse, hemorrhagic gastritis
after acute necrotizing pancreatitis.
PMID- 29644031
TI - The use of magnetically controlled growing rods in paediatric Osteogenesis
Imperfecta with early onset, progressive scoliosis.
AB - Osteogenesis Imperfecta (OI) is a condition of bone fragility and can present
with early onset scoliosis that can cause respiratory complications in later
life. The fear of instrumenting the spine in OI is the possibility of fracture
either on primary insertion or subsequent lengthening. Magnetically controlled
growing rods were inserted to control a scoliosis in a 6-year old with OI type
IV. Fixation was obtained using pedicle screws proximally and distally with
sublaminar bands around the ribs proximally. These rods have been remotely
lengthened on multiple occasions over a 2-year period. This has controlled the
scoliosis whilst also allowing the spine to grow. There are no complications to
report. This case reports the use of magnetically controlled growth rods used to
manage early onset scoliosis in OI. Frequent lengthening, achieving small
increases in length on every occasion protects against the risk of fracture
during the lengthening procedure.
PMID- 29644029
TI - Molecular, phylogenetic and developmental analyses of Sall proteins in
bilaterians.
AB - Background: Sall (Spalt-like) proteins are zinc-finger transcription factors
involved in a number of biological processes. They have only been studied in a
few model organisms, such as Drosophila melanogaster, Caenorhabditis elegans,
Schmidtea mediterranea and some vertebrates. Further taxon sampling is critical
to understand the evolution and diversification of this protein and its
functional roles in animals. Results: Using genome and transcriptome mining, we
confirmed the presence of sall genes in a range of additional animal taxa, for
which their presence had not yet been described. We show that sall genes are
broadly conserved across the Bilateria, and likely appeared in the bilaterian
stem lineage. Our analysis of the protein domains shows that the characteristic
arrangement of the multiple zinc-finger domains is conserved in bilaterians and
may represent the ancient arrangement of this family of transcription factors. We
also show the existence of a previously unknown zinc-finger domain. In situ
hybridization was used to describe the gene expression patterns in embryonic and
larval stages in two species of snails: Crepidula fornicata and Lottia gigantea.
In L. gigantea, sall presents maternal expression, although later on the
expression is restricted to the A and B quadrants during gastrulation and larval
stage. In C. fornicata, sall has no maternal expression and it is expressed
mainly in the A, C and D quadrants during blastula stages and in an asymmetric
fashion during the larval stage. Discussion: Our results suggest that the
bilaterian common ancestor had a Sall protein with at least six zinc-finger
domains. The evolution of Sall proteins in bilaterians might have occurred mostly
as a result of the loss of protein domains and gene duplications leading to
diversification. The new evidence complements previous studies in highlighting an
important role of Sall proteins in bilaterian development. Our results show
maternal expression of sall in the snail L. gigantea, but not C. fornicata. The
asymmetric expression shown in the ectoderm of the trochophore larva of snails is
probably related to shell/mantle development. The observed sall expression in
cephalic tissue in snails and some other bilaterians suggests a possible
ancestral role of sall in neural development in bilaterians.
PMID- 29644033
TI - A case of Dupuytren's disease managed with viable cryopreserved placental
membrane adjunct to open palmar fasciectomy.
AB - Dupuytren's disease (DD) is a rare connective tissue disorder resulting in
progressive fibrosis and thickening of the palmar fascia, and contracture of the
fingers due to excessive collagen deposition. Staged surgical interventions are
reserved for severe cases, yet worsening of fibrosis and contracture of fingers
post-surgery, has been reported to have a recurrence rate as high as 85%. Here,
the authors report on use of viable cryopreserved placental membrane (vCPM)
allograft as an adjunct to open fasciectomy. In a patient with debilitating
bilateral DD contractures of >20 years duration, this novel approach resulted in
a 34.8% range of motion (ROM) improvement and ability to fully extend all digits
of the right hand. No adverse events were recorded. At 1 year post-surgery, the
patient has no decrease in ROM. Results indicate that vCPM incorporation in open
fasciectomy may provide benefit in reducing contracture recurrence in DD
patients.
PMID- 29644034
TI - Food ileus secondary to citrus fruit associated with a Meckel's diverticulum: a
case report and review of the literature.
AB - The case of an 11-year-old boy with an orange-associated ileus in the setting of
a mesodiverticular band from a Meckel's diverticulum is reported herein. Computed
tomography showed a small bowel feces sign. He underwent laparoscopic-assisted
surgery, and intraoperative findings revealed a Meckel's diverticulum associated
with a mesodiverticular band distal to dilated small bowel. After resection of
the Meckel's diverticulum and inspection of the intestinal contents proximal to
the obstruction, it was apparent that undigested food material was impacted
proximal to the obstruction. Upon questioning postoperatively, it was revealed
that the patient ate two citrus fruits with locular membranes several days prior
to his clinical presentation. It was speculated that the combination of the
citrus fruit impaction with the Meckel's band led to his obstruction. He was
discharged uneventfully on postoperative Day 13. It is important to avoid eating
large quantities of fruit rich in fiber and also to masticate well.
PMID- 29644035
TI - Appendiceal intussusception in the setting of ulcerative colitis.
AB - Appendiceal intussusception is a very rare condition with an estimated incidence
of 0.01%. Most cases present in adults with chronic waxing and waning of symptoms
over a period of weeks to months. We report a case of a 39-year-old Caucasian
female with a 5-week history of worsening right-sided abdominal pain. Computed
tomography revealed cecal thickening without visualization of the appendix. A
colonoscopy revealed mild diffuse erythema and edema in the ascending colon as
well as a mass within the lumen of the cecum. Biopsies of the colon were
suggestive of mild ulcerative colitis (UC). The patient's symptoms continued and
laparoscopic assisted ileocecectomy was performed revealing an inverted appendix
protruding into the cecal lumen. The patient was discharged without any
complications and began mesalamine therapy for her UC.
PMID- 29644036
TI - Pulmonary paraganglioma in a 10-year-old: a case report and review of the
literature.
AB - Paraganglioma is a rare extra-adrenal tumor of the paraganglia often found in
association with sympathetic and parasympathetic nerves. The case presented is of
a 10-year-old boy with hemoptysis who was found to have an obstructive bronchial
mass. He underwent surgical resection and biopsy confirmed primary pulmonary
paraganglioma. He was subsequently found to have an associated genetic syndrome.
This is the first case report describing a primary pulmonary paraganglioma in a
child.
PMID- 29644037
TI - Obstructive hydrocephalus secondary to odontoid pannus: case report and review of
literature.
AB - Odontoid pannus or periodontoid pseudotumour is associated with a variety of
rheumatological conditions. We report a case of an 80-year old man who presented
with acute hydrocephalus following an emergency operation to amputate his
infected left big toe. Imaging revealed a large tissue mass causing severe
compression at the cervico-medullary junction and obstruction of CSF flow. The
acute hydrocephalus was presumed to be related to neck manoeuvring during general
anaesthesia. Following an initial emergency CSF diversion through external
ventricular drainage catheter insertion, the patient subsequently underwent
ventriculo-peritoneal insertion and posterior spinal decompression and fixation.
To our knowledge, there have been no reported cases of tophaceous gout of the
odontoid pannus causing acute hydrocephalus.
PMID- 29644038
TI - Calcific tendonitis of the quadriceps tendon.
AB - A 61-year-old woman presented with chronic anterior pain and stiffness in the
distal left thigh. Examination revealed swelling and tenderness immediately
proximal to the patella. Radiographs showed opacities in the distal anterior
thigh whilst MRI identified enlargement of the distal quadriceps tendon with
splayed fibres separated by solid conglomerates. Dystrophic calcification of the
quadriceps tendon was diagnosed. Blood tests revealed no systemic abnormalities
in calcium handling. Arthroscopy of the left knee identified calcific deposits in
the supra-patella pouch with surrounding synovitis. An open debridement of the
tendon was performed; biopsy of the excised tendon showed chronic tenosynovitis
with dystrophic calcification without evidence of malignancy. Post-operatively
her knee was braced in extension enabling progressive flexion over 4 weeks.
Anterior knee pain diminished post-operatively and 10 months following surgery
the patient was pain free, able to walk normally and scored 99/100 on the
Fulkerson modification of the Lysholm score.
PMID- 29644039
TI - Giant anorectal condyloma acuminatum of Buschke-Lowenstein presents difficult
management decisions.
AB - Condyloma acuminata, or anogenital warts, caused by human papillomavirus are the
most common sexually transmitted disease. In rare cases, the disease could
progress to an extensive neoplasm called Buschke-Lowenstein tumor (BLT), also
known as giant condyloma acuminatum. BLT differs from normal condyloma acuminata
by presenting with locally invasive growth, lack of spontaneous resolution,
tendency for recurrence after treatment and potential for malignant
transformation. We examine a BLT case reaching large dimensions in the anorectal
region treated with neoadjuvant chemoradiation therapy and surgical excision of
residual lesions. Furthermore, continuous follow-up care can help identify and
prevent recurrence or malignant transformation of the tumor.
PMID- 29644040
TI - A rare case of a lingual mass in a neonate.
AB - A large lingual cyst was noted on the 22-week antenatal ultrasound, which grew in
proportion to the foetus on serial imaging. An elective c-section was performed
in view of possible airway obstruction, and the neonate initially required oxygen
to maintain saturations. Aged 1 month, the baby developed significant
breastfeeding difficulties secondary to rapid cyst and secondary tongue
enlargement, completely filling the oral cavity. Fifteen millilitres of fluid
were aspirated in clinic, resulting in reduction of tongue size and immediate
improvement in feeding. The patient subsequently underwent surgical excision of
the cyst, which filled most of the anterior two-thirds of the tongue up to the
foramen caecum. Histology supported the diagnosis of lingual thyroglossal duct
cyst. A rare variant of thyroglossal duct cysts, these cysts can be potentially
difficult to manage. More commonly located in the tongue base, we present an even
rarer variant, that of an anterior tongue cyst.
PMID- 29644041
TI - Paraganglioma or pheochromocytoma? A peculiar diagnosis.
AB - Paragangliomas and pheochromocytomas are rare catecholamine secreting neoplasms
that arise in the extra-adrenal autonomic paraganglia and adrenal medulla,
respectively. Although typically presenting with paroxysms of headaches,
palpitations, diaphoresis and hypertension, a broad spectrum of clinical
manifestations may occur. Diagnosis relies on biochemical studies followed by
adequate imaging investigation. Cross sectional morphological and functional
imaging modalities have improved diagnostic accuracy and are crucial in the
surgical planning. The authors report on a case of a 64-year-old female that
presented with severe hypertension, palpitations and fatigue as the
manifestations of a catecholamine secreting neoplasm. Abdominal contrast enhanced
computer tomography revealed a right sided 78 mm adrenal medullary tumor
suggestive of a pheochromocytoma. Standard therapeutical strategies were
initially unsuccessful, and additional investigation and therapy were required to
cure the patient. The challenges faced by the multidisciplinary team in the pre
operative evaluation, medical management and surgical treatment are reported.
PMID- 29644042
TI - Laparoscopic approach to non-communicating intestinal duplication cyst in adult.
AB - Intestinal duplication is rare congenital pathology generally diagnosed in
infancy or early childhood. Presentation in adults is extremely rare. We present
a case of a 36-year-old otherwise healthy female patient without any past medical
history. She presented with a month of lower abdominal pain, clinical examination
revealed pain and a palpable mass in the lower abdomen. CT scan confirmed a
cystic mass dependent of the cecum wall and colonoscopy showed an extrinsic
compression of the lateral wall of the ascending colon. However, the mucosa and
the rest of the colon appeared normal. Since pain became more intense laparoscopy
was performed and a cystic mass dependent on the cecum was discovered.
Laparoscopic hemicolectomy was performed. Patient underwent full recovery. On
follow up controls, pathology described intestinal cystic duplication of the
colonic wall.
PMID- 29644043
TI - Inguinal hernia causing extrinsic compression of bilateral ureters leading to
chronic obstructive uropathy.
AB - Ureteral inguinal hernias are a well-documented cause of obstructive uropathy
with ureteric involvement in the hernia sac. In this unique case, the left-sided
inguinal hernia causes extrinsic compression of bilateral ureters outside of the
hernia sac leading to chronic obstructive uropathy, which is demonstrated on non
contrast CT and cystogram. This patient was managed with nephrostomy and
subsequently antegrade stenting with nephrostomy removal. Prior to nephrostomy
removal, nephrostogram demonstrated tapering of the left ureter in the pelvis.
The patient's renal function continues to improve and is awaiting repair if his
inguinal hernia after which he will have his ureteric stent removed.
PMID- 29644044
TI - Abdominal wall extra-adrenal myelolipoma, a case report and review of the
literature.
AB - Extra-adrenal myelolipomas (EAMLs) are extremely rare soft tissue tumours that
constitute <15% of all myelolipomas. We present a 70-year-old patient with a
midline swelling of the anterior abdominal wall. It was clinically diagnosed as
an incisional hernia, though the computerized scan indicated an internal hernia.
During laparoscopy a soft tissue tumour of the abdominal wall was identified and
excised. Pathology confirmed an extra-adrenal myelolipoma of the anterior
abdominal wall through the presence of adipocytes and trilineage haematopoetic
cell lines. EAMLs are rare mesenchymal soft tissue tumours with less than a
hundred cases reported in the English literature. Pathological diagnosis shows
the presence of mature adipocytes as well as myeloid and erythroid cell lines.
This is the first case report of an EAML of the anterior abdominal wall. This
case report is made even more rare as it is present in a male patient.
PMID- 29644045
TI - Massive chronic irreducible rectal prolapse successfully treated with Altemeier's
procedure.
AB - The guideline for the treatment of rectal prolapse recommends that surgeons
select appropriate surgical procedures individually based on each patient's
overall status. However, in cases of irreducible or incarcerated rectal prolapse,
surgical options are quite limited. Here we present a case of an elderly woman
with massive chronic irreducible rectal prolapse. An 87-year-old woman presented
to our hospital with a complaint of massive rectal prolapse. The prolapsed rectum
was over 20 cm long, and completely irreducible. She underwent perineal
rectosigmoidectomy with levatorplasty known as Altemeier's procedure. More than
30 cm of rectosigmoid colon was resected. After the operation, rectal prolapse
was resolved completely. Her postoperative course was uneventful, and her quality
of life and bowel movements improved. She has since been healthy without
recurrence for over 2 years. Altemeier's procedure is applicable even in cases of
irreducible rectal prolapse and features acceptable safety and a satisfactory
outcome.
PMID- 29644046
TI - Mitral valve replacement for Libman-Sacks endocarditis in a patient with
antiphospholipid syndrome secondary to systemic lupus erythematosus.
AB - Libman-Sacks endocarditis is a relatively rare sterile verrucous vegetative
lesion observed in systemic lupus erythematosus (SLE)/antiphospholipid syndrome
(APLS) patients. Most patients with this condition are asymptomatic. Here we
report a case of a 46-year-old woman with APLS secondary to SLE complicated with
frequent thromboembolic events due to a mitral valve mass. We performed minimally
invasive mitral valve replacement with a mechanical prosthetic valve, and she was
successfully discharged 14 days after surgery. Thus, Libman-Sacks endocarditis
may be an indication for mitral valve replacement.
PMID- 29644047
TI - Two patients with spontaneous transomental hernia treated with laparoscopic
surgery: a review.
AB - Here, we report two patients with transomental hernia who were successfully
treated with laparoscopic surgery. The first patient was a 58-year-old female who
presented to our hospital with abdominal pain and vomiting; she had no history of
abdominal surgery. Enhanced computed tomography revealed strangulation ileus due
to an internal hernia. The second patient was a 36-year-old male who presented to
our hospital with abdominal pain and no history of abdominal surgery. Enhanced
computed tomography indicated transomental hernia. Emergency laparoscopic surgery
in both patients revealed incarcerated bowel loops through defects in the greater
omentum. The bowel segments were laparoscopically released, and the patients were
uneventfully discharged on postoperative Days 4 and 8. Laparoscopic surgery is
useful for the diagnosis and treatment of small bowel obstruction due to
transomental hernia through the greater omentum.
PMID- 29636897
TI - Monocytes isolated by positive and negative magnetic sorting techniques show
different molecular characteristics and immunophenotypic behaviour.
AB - Background: Magnetic sorting of cells, based on microbead conjugated antibodies
(Abs), employs positive as well as negative immunomagnetic separation methods,
for isolation of a specific cell population. These microbeads are suggested to be
nontoxic, biodegradable carriers conjugated to various antibodies. Isolation of
cells through positive selection involves the attachment of antibody conjugated
microbeads to the cells of interest, followed by their isolation in the presence
of a strong magnetic field to obtain higher purity. Negative selection involves
attachment of microbead conjugated antibodies to all other cell populations
except the cells of interest, which remain untagged. In the present study, we
compared the two methods for their effect on functional and immunophenotypic
behavior of isolated CD14+ monocytes. Methods: Peripheral blood mononuclear cells
(PBMCs) were isolated from blood collected from healthy volunteers by density
gradient centrifugation. Human blood derived monocytes were isolated through
positive selection and negative selection, making use of the appropriate monocyte
isolation kit. Monocytes were then stimulated with lipopolysaccharide (LPS) and
their activation and proliferation capacity were examined. The degradation or
dissociation of cell-bound microbeads was also investigated. Results: We observed
an impaired LPS sensitivity as well as poor activation and proliferation capacity
upon stimulation by LPS in positively sorted CD14+ monocytes as compared to
negatively sorted CD14+ monocytes. The attached microbeads did not degrade and
remained attached to the cells even after 6 days of culture. Conclusions: Our
results suggest that positively sorted CD14+ cells exhibit hampered functionality
and may result in inaccurate analysis and observations in downstream
applications. However, these cells can be used for immediate analytical
procedures.
PMID- 29636901
TI - Case Report: III degrees atrioventricular block due to fulminant myocarditis
managed with non-invasive transcutaneous pacing.
AB - Fulminant myocarditis is a life-threatening clinical condition. It is the
inflammation of myocardium leading to acute heart failure, cardiogenic shock and
cardiac arrhythmias. Incidence of fulminant myocarditis is low and mortality is
high. Most grievous complications of fulminant myocarditis is mainly cardiac
arrhythmias; if there is delay on active management of the patient, it may be
fatal. Here, we describe a case of III degrees atrioventricular block due to
fulminant myocarditis that was managed with non-invasive transcutaneous cardiac
pacing in the absence of ECMO. The non-invasive transcutaneous pacemaker is a
safe, effective and convenient device to revert arrhythmias.
PMID- 29644050
TI - Correction to: American Society for Enhanced Recovery (ASER) and Perioperative
Quality Initiative (POQI) Joint Consensus Statement on Optimal Analgesia within
an Enhanced Recovery Pathway for Colorectal Surgery: Part 2-From PACU to the
Transition Home.
AB - [This corrects the article DOI: 10.1186/s13741-017-0063-6.].
PMID- 29644051
TI - Correction to: American Society for Enhanced Recovery (ASER) and Perioperative
Quality Initiative (POQI) joint consensus statement on perioperative fluid
management within an enhanced recovery pathway for colorectal surgery.
AB - [This corrects the article DOI: 10.1186/s13741-016-0049-9.].
PMID- 29644052
TI - Environmental colonization and onward clonal transmission of carbapenem-resistant
Acinetobacter baumannii (CRAB) in a medical intensive care unit: the case for
environmental hygiene.
AB - Background: In May 2015, we noticed an increase in carbapenem-resistant
Acinetobacter baumannii (CRAB) infections in the Medical Intensive Care Unit
(MICU). To investigate this, we studied the extent of environmental contamination
and subsequent onward clonal transmission of CRAB. Methods: We conducted a one
day point prevalence screening (PPS) of the patients and environment in the MICU.
We screened patients using endotracheal tube aspirates and swabs from nares,
axillae, groin, rectum, wounds, and exit sites of drains. We collected
environmental samples from patients' rooms and environment outside the patients'
rooms. CRAB isolates from the PPS and clinical samples over the subsequent one
month were studied for genetic relatedness by whole genome sequencing (WGS).
Results: We collected 34 samples from seven patients and 244 samples from the
environment. On the day of PPS, we identified 8 CRAB carriers: 3 who screened
positive and 5 previously known clinical infections. We detected environmental
contamination in nearly two-thirds of the rooms housing patients with CRAB. WGS
demonstrated genetic clustering of isolates within rooms but not across rooms. We
analysed 4 CRAB isolates from clinical samples following the PPS. One genetically
related CRAB was identified in the respiratory sample of a patient with
nosocomial pneumonia, who was admitted to the MICU five days after the PPS.
Conclusion: The extensive environmental colonization of CRAB by patients
highlights the importance of environmental hygiene. The transmission dynamics of
CRAB needs further investigation.
PMID- 29644053
TI - The dialysis orders objective structured clinical examination (OSCE): a formative
assessment for nephrology fellows.
AB - Background: Few quantitative nephrology-specific simulations assess fellow
competency. We describe the development and initial validation of a formative
objective structured clinical examination (OSCE) assessing fellow competence in
ordering acute dialysis. Methods: The three test scenarios were acute continuous
renal replacement therapy, chronic dialysis initiation in moderate uremia and
acute dialysis in end-stage renal disease-associated hyperkalemia. The test
committee included five academic nephrologists and four clinically practicing
nephrologists outside of academia. There were 49 test items (58 points). A
passing score was 46/58 points. No item had median relevance less than
'important'. The content validity index was 0.91. Ninety-five percent of positive
point items were easy-medium difficulty. Preliminary validation was by 10 board
certified volunteers, not test committee members, a median of 3.5 years from
graduation. The mean score was 49 [95% confidence interval (CI) 46-51], kappa =
0.68 (95% CI 0.59-0.77), Cronbach's alpha = 0.84. Results: We subsequently
administered the test to 25 fellows. The mean score was 44 (95% CI 43-45); 36%
passed the test. Fellows scored significantly less than validators (P < 0.001).
Of evidence-based questions, 72% were answered correctly by validators and 54% by
fellows (P = 0.018). Fellows and validators scored least well on the acute
hyperkalemia question. In self-assessing proficiency, 71% of fellows surveyed
agreed or strongly agreed that the OSCE was useful. Conclusions: The OSCE may be
used to formatively assess fellow proficiency in three common areas of acute
dialysis practice. Further validation studies are in progress.
PMID- 29644054
TI - Exploring the uncharted territory of social media: the next frontier of medical
education in nephrology.
AB - Social media is gaining popularity amongst both medical educators and life-long
learners. One of the most popular social media platforms used by the medical
community is Twitter, which is popular amongst physicians, students and patients,
and particularly in medical societies. Major international and regional societies
commonly use Twitter to amplify their reach beyond what their live annual
meetings can achieve. There has been a unique and notable effort by Nephrology
societies to craft a structured social media strategy that results in the
broadest reach to the community of nephrology providers. We report on the first
three such experiments performed by three separate nephrology organizations.
PMID- 29644055
TI - Pretreatment of enteral nutrition with sodium polystyrene sulfonate: effective,
but beware the high prevalence of electrolyte derangements in clinical practice.
AB - Background: Current treatment options for chronic hyperkalemia in children with
chronic kidney disease include dietary restrictions or enteral sodium polystyrene
sulfonate (SPS); however, dietary restrictions may compromise adequate nutrition
and enteral SPS may be limited by palatability, adverse effects and feeding tube
obstruction. A potentially safer alternative is to pretreat enteral nutrition
(EN) with SPS prior to consumption. The purpose of this study was to evaluate the
efficacy and safety of pretreating EN with SPS in pediatric patients with
hyperkalemia. Methods: We performed a retrospective cohort study between
September 2012 and May 2016 at the Children's Hospital of Philadelphia. In all,
14 patients (age range 0.5-53.2 months) who received 19 courses of SPS
pretreatment of EN were evaluated. Serum electrolytes were evaluated at baseline
and within 1 week of initiating therapy. The primary endpoint was mean change in
potassium at 7 days. Secondary endpoints included the mean change in serum
sodium, chloride, bicarbonate, calcium, phosphorous and magnesium, as well as the
percentage of patients who developed electrolyte abnormalities within the first
week of treatment. Results: Serum potassium levels decreased from 6.0 to 4.4
mmol/L (P < 0.001) and serum sodium levels increased from 135.8 to 141.3 mmol/L
(P = 0.008) 1 week after initiating SPS pretreatment. No significant differences
in mean serum calcium or magnesium levels were noted. Nevertheless, more than
half of the courses resulted in at least one electrolyte abnormality, with
hypokalemia (31.6%), hypernatremia (26.3%) and hypocalcemia (21.1%) occurring
most frequently. Conclusions: Pretreatment of EN with SPS is an effective method
for treating chronic hyperkalemia in pediatric patients; however, close
monitoring of electrolytes is warranted.
PMID- 29644056
TI - Lung ultrasonography in end-stage renal disease: moving from evidence to practice
a narrative review.
AB - Traditionally, point of care ultrasonography in nephrology has been used for
renal biopsies and dialysis line placement. However, there is an emerging
literature supporting the value of point of care lung ultrasonography in the
assessment of volume status for dialysis patients. We conducted a review and
identified 12 studies that examined the utility of lung ultrasonography in
assessing volume status in patients with end-stage renal disease. We conclude
that lung ultrasonography can be used to determine volume status in chronic
dialysis patients by identifying lung congestion using the B-line score.
Incorporating this technique into practice may have significant diagnostic and
prognostic value for this high-risk population, as it provides the nephrologist
with a useful bedside technique to assess extravascular lung water. Developing
competence in lung ultrasonography is straightforward. The nephrology community
should consider adding this useful tool into fellowship training, paralleling its
broader use in other internal medicine specialties.
PMID- 29644057
TI - Clinical and pathological phenotype of genetic causes of focal segmental
glomerulosclerosis in adults.
AB - Focal segmental glomerulosclerosis (FSGS) is a histologic lesion resulting from a
variety of pathogenic processes that cause injury to the podocytes. Recently,
mutations in more than 50 genes expressed in podocyte or glomerular basement
membrane were identified as causing genetic forms of FSGS, the majority of which
are characterized by onset in childhood. The prevalence of adult-onset genetic
FSGS is likely to be underestimated and its clinical and histological features
have not been clearly described. A small number of studies of adult-onset genetic
FSGS showed that there is heterogeneity in clinical and histological findings,
with a presentation ranging from sub-nephrotic proteinuria to full nephrotic
syndrome. A careful evaluation of adult-onset FSGS that do not have typical
features of primary or secondary FSGS (familial cases, resistance to
immunosuppression and absence of evident cause of secondary FSGS) should include
a genetic evaluation. Indeed, recognizing genetic forms of adult-onset FSGS is of
the utmost importance, given that this diagnosis will have major implications on
treatment strategies, selecting of living-related kidney donor and renal
transplantation success.
PMID- 29644058
TI - Porphyria and kidney diseases.
AB - The kidneys, after the bone marrow and liver, are third in terms of the amounts
of haem synthesized daily. Haem is incorporated into haemoproteins that are
critical to renal physiology. In turn, disturbances in haem metabolism interfere
with renal physiology and are tightly interrelated with kidney diseases. Acute
intermittent porphyria causes kidney injury, whereas medical situations
associated with end-stage renal disease, such as porphyrin accumulation, iron
overload and hepatitis C, participate in the inhibition of uroporphyrinogen
decarboxylase and predispose the individual to porphyria cutanea tarda. Even if
some of these interactions have been known for a long time, the clinical
situations associated with these interrelations have strikingly evolved over time
with the advent of new therapeutic strategies for dialysis therapy and a better
understanding of the pathophysiological mechanisms of porphyria-associated kidney
disease. Physicians should be aware of these interactions. The aim of this review
is to summarize the complex interactions between kidney physiology and pathology
in the settings of porphyria and to emphasize their often-underestimated
importance.
PMID- 29644059
TI - Mutations in membrane cofactor protein (CD46) gene in Indian children with
hemolytic uremic syndrome.
AB - Background: Mutations in the CD46 gene account for an important proportion of
patients with atypical hemolytic uremic syndrome (aHUS) who characteristically
show multiple relapses, no response to plasma exchange and low recurrence risk in
allograft. We screened for mutations in CD46 in patients with and without
circulating anti-factor H (FH) antibodies-associated aHUS. Methods: We estimated
CD46 surface expression by flow cytometry and sequenced the CD46 gene in 23 and
56 patients with and without circulating anti-FH antibodies, respectively. Human
Splicing Finder and PolyPhen2 were used for in silico prediction of
pathogenicity. Results: Two novel and three known (c.286 +2T > G, c.104G > A and
c.565T > G) mutations in CD46 were found in nine (11.4%) patients; one patient
had a variant of unknown significance and two patients presented during the first
year of life. Novel intronic (c.1127 + 46C > G) and exonic (c.911C > T) mutations
are proposed to activate cryptic splicing sites or alter protein conformation.
Markedly reduced CD46 surface expression was found in homozygous states in five
patients. Conclusion: Patients with mutations in CD46 present at all ages,
including the first year of life. Mutations in intron 2, (c.286 +2T > G) may be a
potential hot spot in Indian children. Flow cytometry for CD46 expression is a
satisfactory screening tool enabling early diagnosis.
PMID- 29644060
TI - Infected renal cyst presented with pleural effusion in a woman with autosomal
dominant polycystic kidney disease.
AB - We present a 64-year-old woman with autosomal dominant polycystic kidney disease
and hepatic cysts admitted to our hospital for high fever, intense coughing and
right abdominal pain. The chest X-ray showed right pleural effusion suggestive of
pneumonia. An abdominal ultrasound and computed tomography (CT) were done but did
not show evidence of cyst infection or other abdominal complications. A gallium
67-citrate single-photon emission CT/CT, a relatively cheaper technique than
positron emission tomography/CT was performed. This revealed an infected kidney
cyst that was the cause of the right pleural effusion and fever.
PMID- 29644061
TI - Interstitial inflammation and interstitial fibrosis and tubular atrophy predict
renal survival in lupus nephritis.
AB - Background: This study examines the effect of interstitial inflammation and
interstitial fibrosis and tubular atrophy on renal survival in lupus nephritis.
Methods: Baseline characteristics, initial (n = 301) and repeat biopsies (n = 94)
and clinical outcomes for patients with biopsy-proven lupus nephritis from 1998
to 2014 were retrospectively collected from the medical record. Clinical and
morphologic variables were evaluated using a Cox proportional hazards model and
multiple imputation to address missing data. Renal survival was defined as the
time from initial biopsy to end-stage renal disease [estimated glomerular
filtration rate (eGFR) <15 mL/min/1.73 m2], dialysis or transplant. Results: A
total of 218 patients had follow-up and Class IV had worse renal survival,
especially in patients with active and chronic glomerular lesions {relative to
non-IV; Class IV-A: hazard ratio [HR] 0.92 [95% confidence interval (CI) 0.41
2.04], Class IV-AC: HR 5.02 [95% CI 2.70-9.36]}. Interstitial inflammation grade
[relative to interstitial inflammation <5%; interstitial inflammation 5-25%: HR
2.36 (95% CI 1.13-4.91), interstitial inflammation 25-50%: HR 3.84 (95% CI 1.53
9.62), interstitial inflammation >50%: HR 7.67 (95% CI 3.75-15.67)] and increased
interstitial fibrosis and tubular atrophy (IFTA) category [relative to IFTA <5%;
IFTA 5-25%: HR 3.93 (95% CI 1.58-9.75), IFTA 25-50%: HR 4.01 (95% CI 1.37-11.70),
IFTA >50%: HR 13.99 (95% CI 4.91-39.83)] predicted worse renal survival among all
patients and those with Class IV on initial and repeat biopsy (n = 94) in a dose
dependent manner. Interstitial inflammation grade and IFTA category were
significant predictors of renal survival in a multivariable model adjusted for
age, gender, race, ethnicity and serum creatinine. Conclusions: Interstitial
inflammation and IFTA independently affect renal survival and grading these
lesions stratifies risk within the International Society of Nephrology and Renal
Pathology Society classification of lupus nephritis.
PMID- 29644062
TI - Granulomatous interstitial nephritis secondary to adalimumab therapy.
AB - Tumour necrosis factor alpha (TNF-alpha) inhibitors are frequently used for the
treatment of immune-mediated diseases. Conversely, cytokine therapy has the
potential to paradoxically induce autoimmunity. A number of case reports have
emerged concerning sarcoid-like granulomatosis secondary to TNF-alpha therapy, an
adverse effect that typically affects the pulmonary and cutaneous systems.
Granulomatous interstitial nephritis (GIN) is a relatively unknown, relatively
under-reported consequence of adalimumab therapy that can have important clinical
implications. To our knowledge, this is the first case report of GIN secondary to
anti-TNF-alpha therapy necessitating a prolonged period of dialysis and the first
report demonstrating the successful use of secukinumab as an alternative
immunomodulatory agent.
PMID- 29644063
TI - Metabolic adaptability in hexavalent chromium-treated renal tissue: an in vivo
study.
AB - Background: Hexavalent chromium [Cr(VI)], an environmental pollutant that
originates mostly from anthropogenic sources, is a serious threat to human
health. After entering into cells, Cr(VI) is capable of producing excessive free
radicals and causing tissue damage. The present study aims to reveal the toxic
manifestation of Cr(VI) on the metabolic activity of renal tissue. Methods: Male
Swiss albino mice were treated orally with potassium dichromate (K2Cr2O7) at a
dose of 10 mg/kg body weight for a period of 30 days. Important tricarboxylic
acid (TCA) cycle enzyme activities like isocitrate dehydrogenase, succinate
dehydrogenase and malate dehydrogenase, as well as the activities of enzymes
involved in oxidative phosphorylation such as Nicotinamide adenine dinucleotide
(NADH) dehydrogenase, were measured. Additionally, transaminase and protease
(pronase, cathepsin and trypsin) activities, tissue protein and free amino
nitrogen were estimated in renal tissue. Glucose-6-phosphatase, glucose-6
phosphate dehydrogenase and alkaline phosphatase activities, as well as lactic
acid, pyruvic acid and chromium contents, of kidneys were determined following
standard protocols. Kidney histology was performed by hematoxylin and eosin
staining. Results: Cr(VI) suppresses the rate-limiting enzymes of the TCA cycle
and oxidative phosphorylation indicating an inhibition of renal ATP production.
It decreases protease activity by eliminating the protein substrates and alters
the gluconeogenic pathway. Cr(VI) worsens the normophysiological attributes of
renal tissue by enhancing the activity of alkaline phosphatase, pointing towards
kidney disease. Histopathological observations confirmed these biochemical
results through the presence of chronic tubular nephritis and altered glomerular
structure. Cr(VI) retention occurs to a greater extent in renal tissue, which
intensifies the toxic manifestation of this pollutant in the kidney. Conclusions:
Cr(VI) disrupts the metabolic interaction between carbohydrates and proteins in
mammalian renal tissue.
PMID- 29644064
TI - Intensive haemodialysis using PMMA dialyser does not increase renal response rate
in multiple myeloma patients with acute kidney injury.
AB - Background: Intensive haemodialysis (IHD) in addition to bortezomib-based
chemotherapy might be efficient to rapidly decrease serum immunoglobulin-free
light chains removal in patients with multiple myeloma (MM) and to improve renal
prognosis and survival. Methods: The aim of this retrospective multi-centre study
was to compare the efficacy (renal recovery rate) of IHD and of standard
haemodialysis (SHD) in patients with MM and dialysis-dependent acute kidney
injury (AKI), concomitantly treated with bortezomib-based chemotherapy. Results:
We selected 41 patients with MM and dialysis-dependent AKI, most likely due to
myeloma cast nephropathy (MCN), and who were treated in eight French hospitals
between January 2007 and June 2011. Patients were classified in two groups
according to dialysis regimen: IHD [n = 21, with a mean of 11.3 dialysis sessions
all with poly(methyl methacrylate) (PMMA) membranes for 13.2 days] and SHD (n =
20 patients, mostly three times per week, 31% with PMMA membrane). The main
outcome was dialysis-independence at 3 months. At 3 months, 15 patients could
stop dialysis: 8 (38.1%) in the IHD and 7 (35%) in the SHD group (P = 1).
Moreover, 14 (56%) of the 25 patients who did show haematological response and
only one of the 16 patients who did not were dialysis-independent (P = 0.002) at
3 months. Conclusions: The results of this retrospective study did not show any
clear renal benefit of IHD in patients with MM and MCN compared with SHD.
Conversely, they underline the importance of the haematological response to
chemotherapy for the renal response and patient prognosis.
PMID- 29644066
TI - Patterns of progression of chronic kidney disease at later stages.
AB - Background: At later stages of chronic kidney disease (CKD), a pattern of linear
and irreversible renal function decline is thought to be the most common. The
objective of this study was to describe the characteristics of the different
patterns of CKD progression, and to investigate potentially modifiable factors
associated with the rate of decline of renal function. Methods: This was a
retrospective, observational study in a cohort of adult patients with CKD Stage 4
or 5 not on dialysis. Decline in renal function was estimated as the slope of the
individual linear regression line of estimated glomerular filtration rate (eGFR)
over time. The following patterns of CKD progression were considered:
unidentifiable, linear, nonlinear (curvilinear) and positive (improvement of
renal function). Results: The study group consisted of 915 patients (mean +/-SD
age 65 +/- 14 years, 48% females, median follow-up time 16 months). A linear
pattern was observed in 38%, unidentifiable in 23%, nonlinear in 24% and positive
in 15% of the study patients. The mean eGFR slope was: -3.35 +/- 4.45
mL/min/year. Linear and unidentifiable patterns were associated with more rapid
loss of renal function. By multiple linear and logistic regression analysis, the
magnitude of proteinuria, the systolic blood pressure and the treatment with dual
renin-angiotensin system blockade were associated with more rapid CKD
progression. On the contrary, older age and discontinuation of commonly
prescribed medication with potential influence on renal function or eGFR
measurements were associated with slower CKD progression. Conclusions: A majority
of patients with advanced CKD show patterns of renal function decline different
from linear, and several of the main determinants of CKD progression are
potentially modifiable.
PMID- 29644067
TI - A scheme based on ICD-10 diagnoses and drug prescriptions to stage chronic kidney
disease severity in healthcare administrative records.
AB - Background: Information about renal function is important for drug safety studies
using administrative health databases. However, serum creatinine values are
seldom available in these registries. Our aim was to develop and test a simple
scheme for stratification of renal function without access to laboratory test
results. Methods: Our scheme uses registry data about diagnoses, contacts,
dialysis and drug use. We validated the scheme in the Stockholm CREAtinine
Measurements (SCREAM) project using information on approximately 1.1 million
individuals residing in the Stockholm County who underwent calibrated creatinine
testing during 2006-11, linked with data about health care contacts and filled
drug prescriptions. Estimated glomerular filtration rate (eGFR) was calculated
with the CKD-EPI formula and used as the gold standard for validation of the
scheme. Results: When the scheme classified patients as having eGFR <30
mL/min/1.73 m2, it was correct in 93.5% of cases. The specificity of the scheme
was close to 100% in all age groups. The sensitivity was poor, ranging from 68.2%
in the youngest age quartile, down to 10.7% in the oldest age quartile. Age
related decline in renal function makes a large proportion of elderly patients
fall into the chronic kidney disease (CKD) range without receiving CKD diagnoses,
as this often is seen as part of normal ageing. Conclusions: In the absence of
renal function tests, our scheme may be of value for identifying patients with
moderate and severe CKD on the basis of diagnostic and prescription data for use
in studies of large healthcare databases.
PMID- 29644065
TI - Frailty and chronic kidney disease: current evidence and continuing
uncertainties.
AB - Frailty, the state of increased vulnerability to physical stressors as a result
of progressive and sustained degeneration in multiple physiological systems, is
common in those with chronic kidney disease (CKD). In fact, the prevalence of
frailty in the older adult population is reported to be 11%, whereas the
prevalence of frailty has been reported to be greater than 60% in dialysis
dependent CKD patients. Frailty is independently linked with adverse clinical
outcomes in all stages of CKD and has been repeatedly shown to be associated with
an increased risk of mortality and hospitalization. In recent years there have
been efforts to create an operationalized definition of frailty to aid its
diagnosis and to categorize its severity. Two principal concepts are described,
namely the Fried Phenotype Model of Physical Frailty and the Cumulative Deficit
Model of Frailty. There is no agreement on which frailty assessment approach is
superior, therefore, for the time being, emphasis should be placed on any efforts
to identify frailty. Recognizing frailty should prompt a holistic assessment of
the patient to address risk factors that may exacerbate its progression and to
ensure that the patient has appropriate psychological and social support.
Adequate nutritional intake is essential and individualized exercise programmes
should be offered. The acknowledgement of frailty should prompt discussions that
explore the future care wishes of these vulnerable patients. With further study,
nephrologists may be able to use frailty assessments to inform discussions with
patients about the initiation of renal replacement therapy.
PMID- 29644068
TI - The relationship between kidney function and quality of life among community
dwelling adults varies by age and filtration marker.
AB - Background: The impact of a diminished level of kidney function on the well-being
of an older individual is poorly understood. We sought to determine the
association between estimated glomerular filtration rate (eGFR) and overall
quality of life (QoL) among older adults. Methods: Cross-sectional analysis of
4293 participants from the Irish Longitudinal Study on Ageing, a population-based
study of community-dwelling adults >=50 years of age. We used multivariable
negative binomial regression to model the relationship between categories of
cystatin C eGFR (eGFRcys) or creatinine eGFR (eGFRcr) and the number of QoL
deficits from the Control, Autonomy, Self-realization and Pleasure (CASP-19)
scale, a holistic measure of QoL among older adults (range 0-57). We further
explored this relationship across age strata. Results: Median age was 61
[interquartile range (IQR) 55-68] years, 53% were female, mean (SD) CASP-19 score
was 44.8 (7.4) and median eGFRcys was 81 (IQR 68-93) mL/min/1.73 m2. After
multivariable adjustment, participants with eGFRcys <45 mL/min/1.73 m2 had 14%
greater QoL deficits {incidence rate ratio 1.14 (95% confidence interval 1.03
1.25)] relative to the reference group (eGFRcys >=90 mL/min/1.73 m2). This
relationship appeared linear across eGFRcys categories and was more pronounced in
younger (50-64 years) compared with older participants (65-74 or >=75 years).
There was no substantive relationship between eGFRcr and CASP-19. Conclusions:
Cystatin C but not creatinine eGFR was associated with clinically modest declines
in QoL among a large sample of community-dwelling older adults. This relationship
varied by age, suggesting that a diminished eGFR contributes little to overall
QoL beyond middle age in this population.
PMID- 29644069
TI - Clinical course after parathyroidectomy in adults with end-stage renal disease on
maintenance dialysis.
AB - Background: Parathyroidectomy (PTX) is done in cases of secondary
hyperparathyroidism from chronic kidney disease to improve renal osteodystrophy.
Despite this widespread practice, clinical outcomes regarding the benefits of
this procedure are still lacking. Most studies in the literature have opted to
report the laboratory outcome instead. Our study aimed to evaluate the
postoperative clinical course for patients who had undergone total PTX without
autoimplantation. Methods and results: All patients who underwent PTX between
January 2010 and February 2014 in a tertiary referral center were included in
this study and followed up for 12 months. Laboratory outcome parameters include
various preoperative and postoperative serial measurements of laboratory
parameters. Patients' hospitalizations and mortality records post-PTX were also
retrieved and recorded. In all, 90 patients were included in this study. The mean
age was 48 +/- 18 years. The majority of the patients (54.4%) were male and 90%
were on hemodialysis. The mean duration of dialysis was 8.0 +/- 5.0 years.
Indications for PTX were symptomatic bone pain (95.6%), fractures (3.3%) and
calciphylaxis (1.1%). Mean preoperative values for serum calcium, phosphate,
alkaline phosphatase and intact parathyroid hormone (iPTH) were 2.40 +/-
0.23mmol/L, 1.92 +/- 0.51 mmol/L, 689.60 +/- 708.50 U/L and 311.90 +/- 171.94
pmol/L, respectively. The majority (92.2%) had all four glands removed and 92.2%
of the glands showed hyperplasic changes. One year after PTX, 90 patients (100%)
had serum iPTH <8 pmol/L and 28 patients (31%) had unmeasurable iPTH levels. A
total of 15% of patients had hospitalizations for various reasons and of these,
50% were within 90 days. The mean hospital stay was 14.4 +/- 18.6 days. The
mortality rate was 4.4% and of these, 25% were in first 30 days. Causes of death
were mainly from sepsis (75%) and acute coronary syndrome (25%). One patient
(1.1%) had a relapse. Conclusions: Even though PTX markedly reduces postoperative
serum iPTH levels, it carries with it significant risk of morbidity and
mortality.
PMID- 29644070
TI - Intravenous drug users who require dialysis: causes of renal failure and
outcomes.
AB - Background: Intravenous drug use is associated with progressive kidney disease of
several aetiologies. It is associated with behavioural and lifestyle
characteristics that make the provision of renal replacement therapies (RRTs)
challenging. We observed that patients who use intravenous drugs [people who
inject drugs (PWID)] present late to renal services and struggle to engage with
treatment. We describe the experience of a UK centre providing renal services to
a mixed city and rural population. Methods: A review of electronic patient
records (2003-16) was performed to identify patients actively using intravenous
drugs at the time of dialysis initiation. Descriptive statistics were used to
describe aetiology, treatment, complications and prognosis. Results: Twenty-three
patients were identified; 15 had a biopsy-proven diagnosis of AA amyloidosis. The
median time from presentation to dialysis initiation was 47 days [interquartile
range (IQR) 8-147.5]. Hepatitis C infection, venous thromboembolism and mental
health disorders were common comorbidities. Eight patients attempted peritoneal
dialysis; all failed after a median of 30 days (IQR 21.75-83). One-year survival
was 65% (95% confidence interval 42-80), significantly lower than 2013 UK renal
registry statistics for incident haemodialysis patients <65 years of age (94.2%).
Conclusions: PWID who develop end-stage kidney disease in our region
predominantly have AA amyloidosis. Most present late to renal services and have
poor outcomes on all forms of RRT. Rates of transplantation are low. Management
challenges include coexisting alcohol and mental health problems, low socio
economic status, contamination of intravenous dialysis access and chaotic
lifestyles. Multidisciplinary management with enhanced social support may be
beneficial in improving outcomes for this patient group.
PMID- 29644071
TI - Clinical management of patients on peritoneal dialysis in Italy: results from the
ATENA study.
AB - Background: In Italy, few studies have examined the clinical management of
peritoneal dialysis (PD) patients, resulting in a lack of information and
awareness. Methods: A total of 378 PD patients (64.7 +/- 14.3 years, 58.9% males)
were enrolled across 15 centres in a 12-month retrospective and 6-month
prospective study. The primary objective was to evaluate the achievement of
Kidney Disease Outcomes Quality Initiative and Kidney Disease Improving Global
Outcomes guidelines on recommended target values for anaemia, high blood pressure
and mineral metabolism. Comorbidities, hospitalizations, treatment and quality of
life were also assessed. Results: Frequent comorbidities included hypertension
(87.8%) and cardiovascular disease (39.7%). Peritonitis was the leading cause of
hospitalization [12 admissions per 100 person-years (95% confidence interval 9.3
15.2)]. At 6 months, anaemia corrected by erythropoiesis-stimulating agents was
observed in 30% of patients and 73% received erythropoiesis-stimulating agents.
Systolic and diastolic blood pressures were recorded in 50% and 20% of patients,
respectively. Sixty-four percent of echocardiograms revealed left ventricular
hypertrophy and 30% of patients had vitamin D <10 ng/mL. Medication to treat
intact parathyroid hormone (PTH) included calcitriol (36.3%), paricalcitol
(29.2%), cholecalciferol (23.6%) and cinacalcet (21.5%). In a subgroup of
patients matched for baseline PTH treated for 1 year, a significant reduction in
PTH with paricalcitol (-41%; P < 0.001) but not cinacalcet (+2%; P = 0.63) was
observed. Comparison of quality of life domains revealed significant differences
for symptoms (P = 0.049), cognitive function (P = 0.019) and social support (P =
0.04) (baseline versus 6 months). Conclusions: Hypertension and cardiovascular
diseases were frequent comorbidities and peritonitis was the leading cause of
hospitalization. Secondary hyperparathyroidism and anaemia were common, thus
necessitating frequent monitoring of PTH, calcium, phosphorus and haemoglobin.
PMID- 29644072
TI - Do kidney transplantations save money? A study using a before-after design and
multiple register-based data from Sweden.
AB - Background: The health care costs of kidney transplantation and dialysis are
generally unknown. This study estimates the Swedish health care costs of kidney
transplantation and dialysis over 10 years from a health care perspective.
Method: A before-after design was used, in which the patients served as their own
controls. Health care costs the year before transplantation were assumed to
continue in the absence of a transplant and the cost savings was therefore
calculated as the difference between the expected costs and the actual costs
during the 10-year follow-up period. Factors associated with the size of the cost
savings were studied using ordinary least-squares regression. Results: Altogether
66-79% of the expected health care costs over 10 years were avoided through
kidney transplantation, resulting in a cost savings of ?380 000 (2012 price-year)
per patient. Savings were the highest for successful transplantations, but on
average the treatment was cost-saving also for patients who returned to dialysis.
No gender or age differences could be found, with the exception of a higher cost
of transplantation for children and a generally higher cost for younger compared
with older patients on dialysis. A negative association was also found between
age at the time of transplantation and the size of the cost savings for the
younger part of the sample. Conclusion: Kidney transplantations have led to
substantial cost savings for the Swedish health care system. An increase in
donated kidneys has the potential to further reduce the cost of renal replacement
therapy.
PMID- 29644073
TI - First UK case report of kidney transplantation from an HIV-infected deceased
donor to two HIV-infected recipients.
AB - Kidney transplantation is now considered the treatment of choice for many human
immunodeficiency virus (HIV)-infected patients with end-stage renal disease
(ESRD). Graft survival rates using HIV-negative donors and carefully selected HIV
positive ESRD patients are similar to those observed in HIV-uninfected kidney
transplant recipients. To address the relative shortfall in donated organs it has
been proposed that organs from HIV-infected deceased donors might be allocated to
HIV-infected patients on the transplant waiting list. Preliminary experience in
South Africa reports promising short-term outcomes in a small number of HIV
infected recipients of kidney transplants from HIV-infected donors. We sought to
replicate this experience in the UK by accepting kidney offers from HIV infected
deceased donors for patients with HIV-infection on the kidney transplant waiting
list. Here we report the UK's first cases of kidney transplantation between HIV
positive donors and recipients.
PMID- 29644074
TI - Acquisition of pluripotency in the chick embryo occurs during intrauterine
embryonic development via a unique transcriptional network.
AB - Background: Acquisition of pluripotency by transcriptional regulatory factors is
an initial developmental event that is required for regulation of cell fate and
lineage specification during early embryonic development. The evolutionarily
conserved core transcriptional factors regulating the pluripotency network in
fishes, amphibians, and mammals have been elucidated. There are also species
specific maternally inherited transcriptional factors and their intricate
transcriptional networks important in the acquisition of pluripotency. In avian
species, however, the core transcriptional network that governs the acquisition
of pluripotency during early embryonic development is not well understood.
Results: We found that chicken NANOG (cNANOG) was expressed in the stages between
the pre-ovulatory follicle and oocyte and was continuously detected in Eyal
Giladi and Kochav stage I (EGK.I) to X. However, cPOUV was not expressed during
folliculogenesis, but began to be detectable between EGK.V and VI. Unexpectedly,
cSOX2 could not be detected during folliculogenesis and intrauterine embryonic
development. Instead of cSOX2, cSOX3 was maternally inherited and continuously
expressed during chicken intrauterine development. In addition, we found that the
pluripotency-related genes such as cENS-1, cKIT, cLIN28A, cMYC, cPRDM14, and
cSALL4 began to be dramatically upregulated between EGK.VI and VIII. Conclusion:
These results suggest that chickens have a unique pluripotent circuitry since
maternally inherited cNANOG and cSOX3 may play an important role in the initial
acquisition of pluripotency. Moreover, the acquisition of pluripotency in chicken
embryos occurs at around EGK.VI to VIII.
PMID- 29644075
TI - Regulation of amino acid transporters in the mammary gland from late pregnancy to
peak lactation in the sow.
AB - Background: Milk protein is crucial for milk quality in sows and health of
newborn piglets. Plasma amino acids (AA) in sows are important precursors for
milk protein synthesis in the mammary gland. In order to study the regulation of
AA transported in sow mammary glands and possible underlying mechanisms, we
measured the expression of genes coding for milk proteins, AA transporter
expressions, and plasma AA concentrations in sows at three different
physiological stages (D-17, D1 and D17 of lactation), and then further
investigated the regulation of AA transport across the cell membrane by adaptive
mechanisms using pig mammary epithelial cells (PMEC) as an in vitro model. PMEC
were cultured in DMEM:F12 with 4 amino acid concentrations (0 * AA complex, 1 *
AA complex, 5 * AA complex, and 25 * AA complex). Classes of AA complexes
evaluated in this study included neutral AAs (L-Ala + L-Ser + L-Cys), acidic AAs
(L-Asp, L-Glu) and neutral + basic AAs (L-Ala + L-Ser + L-Cys + L-Lys). Results:
Our results indicated that mRNA expression of genes coding for milk protein
(alphas1-casein, alphas2-casein, beta-casein and kappa-casein) increased
significantly with the advance of physiological stage (P < 0.05), and plasma
concentrations of most AAs including threonine, serine, glutamate, alanine,
valine, cysteine, methionine, isoleucine and tyrosine were greater at D1 of
lactation compared with D-17 and D17 of lactation (P < 0.05). Additionally,
protein and gene expressions of AA transporters including excitatory AA
transporter 3 (EAAT3), alanine/serine/cysteine/threonine transporter (ASCT1) and
sodium-coupled neutral AA transporter 1 (SNAT2) were greater in lactating sow
mammary glands compared with sow mammary glands in late pregnancy (P < 0.05). The
mRNA expressions of SLC38A2, SLC1A1, SLC6A14 increased significantly in the cell
mediums supplemented with 5 * and 25 * of AA complexes compared with those cells
cultured in DMEM/F12 cell medium (P < 0.05). The mRNA expressions of SLC38A,
SLC1A4, and SLC6A14 also increased in EBSS cell medium compared to DMEM/F12.
However, only mRNA expression of SLC38A decreased when AA complex was added into
EBSS (P < 0.05). Conclusion: AA transportation was positively regulated in sow
mammary glands with the advance of physiological stage from late pregnancy to
peak of lactation and AA transporters in PMECs were adaptively regulated by
changed AA concentrations.
PMID- 29644077
TI - Unusual presentation of pheochromocytoma: thirteen years of anxiety requiring
psychiatric treatment.
AB - : Pheochromocytoma is a rare tumor of the adrenal gland. It often presents with
the classic triad of headache, palpitations and generalized sweating. Although
not described as a typical symptom of pheochromocytoma, anxiety is the fourth
most common symptom reported by patients suffering of pheochromocytoma. We report
the case of a 64 year old man who had severe anxiety and panic disorder as
presenting symptoms of pheochromocytoma. After 13 years of psychiatric follow-up,
the patient was diagnosed with malignant pheochromocytoma. After surgical
resection of his pheochromocytoma and his hepatic metastases, the major panic
attacks completely disappeared, the anxiety symptoms improved significantly and
the psychiatric medications were stopped except for a very low maintenance dose
of venlafaxine. We found in our cohort of 160 patients with pheochromocytoma 2
others cases of apparently benign tumors with severe anxiety that resolved after
pheochromocytoma resection. These cases highlight that pheochromocytoma should be
included in the differential diagnosis of refractory anxiety disorder. Learning
points: Anxiety and panic disorder may be the main presenting symptoms of
pheochromocytoma.The diagnosis of pheochromocytoma should be excluded in cases of
long-term panic disorder refractory to medications since the anxiety may be
secondary to a catecholamine-secreting tumor.Surgical treatment of
pheochromocytoma leads to significant improvement of anxiety disorders.
PMID- 29644076
TI - Lost in translation: The 3'-UTR of IGF1R as an ancient long noncoding RNA.
AB - Background and objectives: The insulin-like growth factor (IGF) signaling system
is a major arena of intragenomic conflict over embryonic growth between imprinted
genes of maternal and paternal origin and the IGF type 1 receptor (IGF1R)
promotes proliferation of many human cancers. The 3'-untranslated region (3'-UTR)
of the mouse Igf1r mRNA is targeted by miR-675-3p derived from the imprinted H19
long noncoding RNA. We undertook a comparative sequence analysis of vertebrate
IGF1R 3'-UTRs to determine the evolutionary history of miR-675 target sequences
and to identify conserved features that are likely to be involved in post
transcriptional regulation of IGF1R translation. Methodology: Sequences of IGF1R
3'-UTRs were obtained from public databases and analyzed using publicly available
algorithms. Results: A very long 3'-UTR is a conserved feature of vertebrate
IGF1R mRNAs. We found that some ancient microRNAs, such as let-7 and mir-182,
have predicted binding sites that are conserved between cartilaginous fish and
mammals. One very conserved region is targeted by multiple, maternally expressed
imprinted microRNAs that appear to have evolved more recently than the targeted
sequences. Conclusions and implications: The conserved structures we identify in
the IGF1R 3'-UTR are strong candidates for regulating cell proliferation during
development and carcinogenesis. These conserved structures are now targeted by
multiple imprinted microRNAs. These observations emphasize the central importance
of IGF signaling pathways in the mediation of intragenomic conflicts over
embryonic growth and identify possible targets for therapeutic interventions in
cancer.
PMID- 29644078
TI - Increased risk of thyroid cancer in female residents nearby nuclear power plants
in Korea: was it due to detection bias?
AB - Background: The Korea Radiation Effect & Epidemiology Cohort - The resident
cohort (KREEC-R) study concluded that there is no epidemiological or causal
evidence supporting any increase in cancer risks resulting from radiation from
Korean nuclear power plants (NPPs). But the risks of thyroid cancer in women were
significantly higher in residents living near NPPs than control. Debate about the
cause of the pattern of thyroid cancer incidence in women is ongoing and some
researchers argue that detection bias influenced the result of KREEC-R study.
Therefore there was a need to investigate whether residents living near NPPs who
were assessed in the KREEC-R were actually tested more often for thyroid cancer.
We evaluated the possibility of detection bias in the finding of the KREEC-R
study based on materials available at this time. Methods: Using the KREEC-R raw
data, we calculated age standardized rates (ASRs) of female thyroid cancer and re
analyzed the results of survey on the use of medical services. We also marked the
administrative districts of residents who received the Radiation Health Research
Institute (RHRI) health examinations and those in which thyroid cancer case
occurred as per the Chonnam National University Research Institute of Medical
Sciences (RIMS) final report on maps where the locations of NPPs and 5 km-radii
around them were also indicated. And we compared the incidence rates of Radiation
induced cancer measured between the first period when RHRI health examinations
were not yet implemented, and the second period when the RHRI health examinations
were implemented. Results: The ASR for the far-distance group, which comprised
residents living in areas outside the 30 km radius of the NPPs, increased rapidly
after 2000; however, that of the exposed group, which comprised residents living
within a 5 km radius of the NPPs, started to increase rapidly even before 1995.
The frequencies of the use of medical services were significantly higher in the
intermediate proximate group, which comprised residents living within a 5-30 km
radius of the NPPs, than in the exposed group in women. In case of female thyroid
cancer, the second period ASR was higher than the first period ASR, but in case
of female liver cancer and female stomach cancer no significant difference were
observed between the periods. On map, many administrative districts of residents
who received RHRI health examinations and most administrative districts in which
thyroid cancer case occurred on RIMS final report were outside 5 km-radii around
NPPs. Conclusions: We could not find any evidence supporting the assertion that
detection bias influenced the increased risks of female thyroid cancer observed
in the exposed group of the KREEC-R study, as opposed to the control group.
PMID- 29644080
TI - Safety, tolerability and pharmacokinetics of subcutaneous and intravenous
anifrolumab in healthy volunteers.
AB - Objectives: To compare the pharmacokinetics (PK), safety and tolerability of
subcutaneous (SC) and intravenous anifrolumab, an anti-type I interferon receptor
monoclonal antibody in development for SLE, in healthy volunteers. Methods: In
this Phase I randomised, placebo-controlled study, 30 adults were assigned to
three treatment cohorts (anifrolumab 300 mg SC (n=6), anifrolumab 300 mg
intravenous (n=6), anifrolumab 600 mg SC (n=6)) and placebo (n=4/cohort). Serial
blood samples were collected up to Day 84 to measure anifrolumab concentrations
and antidrug antibodies (ADAs). PK parameters were estimated by noncompartmental
analysis. Results: Maximum serum concentrations in SC cohorts occurred after 4-7
days. Anifrolumab serum concentrations were below the limit of detection in all
individuals by Day 84. Exposure to SC anifrolumab increased dose proportionally
from 300 mg to 600 mg based on area under the serum concentration-time curve.
Anifrolumab 300 mg SC exposure reached 87% of the intravenous exposure.
Anifrolumab 300 mg SC and placebo administration elicited minimal injection-site
reactions. Transient injection-site induration occurred in five of six
individuals after anifrolumab 600 mg SC and two of four individuals after
placebo. Transient, mild to moderate injection-site induration and pruritus
occurred simultaneously in two of six individuals after anifrolumab 600 mg SC.
Adverse events were reported by 50% (n=9) of anifrolumab-treated individuals and
33% (n=4) of placebo-treated individuals. ADAs were detected in only one
individual in the anifrolumab 300-mg intravenous group at the Day 84 assessment.
Conclusion: Anifrolumab 300-mg SC exposure was 87% of intravenous administration,
with single SC anifrolumab administrations well tolerated in healthy volunteers.
PMID- 29644081
TI - Risk of adverse events from different drugs for SLE: a systematic review and
network meta-analysis.
AB - Objective: The comparative safety of immunosuppressive drugs, biologicals and
glucocorticoids (GC) for patients with SLE remains controversial. We aimed to
investigate the specific side effects of the available SLE drugs in this
population of patients. Methods: Electronic databases were systematically
searched through September 2017 for randomised trials in patients with SLE. The
primary outcomes were all-cause mortality and withdrawal related to adverse
events (AEs). We performed a random-effects network meta-analysis to obtain
estimates for primary and secondary outcomes and presented these estimates as ORs
with 95% CIs. Results: Forty-four studies comprising 9898 participants were
included in the network meta-analysis. No drug regimen was considered to be safer
for reducing all-cause mortality. However, compared with cyclophosphamide,
azathioprine (OR 3.04, 95% CI (1.44 to 6.42)) and cyclosporine (OR 3.28, 95% CI
(1.04 to 10.35)) were significantly less safety in AE-related withdrawals, and GC
was ranked lowest and led to higher withdrawal rates. Tacrolimus (TAC) was ranked
high and showed a benefit in many outcomes. Biologicals and chloroquine also
showed good safety in all of the available outcomes, while the beneficial effects
of other immunosuppressive drugs were not substantial in different types of
serious adverse events. Conclusions: TAC is the safest strategy for patients with
SLE. Biologicals and chloroquine are also fairly safe for patients with SLE. The
use of other immunosuppressive drugs and GC needs to be balanced against the
potential harms of different types of AEs, and the practical safety of drug
combinations still requires further trials to evaluate.
PMID- 29644083
TI - Acute interstitial pneumonia as first presentation of anti-synthetase syndrome:
an atypical case.
AB - Anti-synthetase syndrome is characterized by myositis associated with
interstitial lung disease (ILD), the usual pattern of ILD being non-specific
interstitial pneumonia type or usual interstitial pneumonia. We report a case of
anti-synthetase syndrome presenting as acute interstitial pneumonia which is
reported only once before. With this case, we emphasize the need to consider anti
synthetase syndrome even in patients presenting with acute onset ILD. Physicians
should raise their index of suspicion in this clinical context as timely
diagnosis, early treatment, and a multidisciplinary approach is paramount for
optimal care of these patients.
PMID- 29644082
TI - Characterisation of anifrolumab, a fully human anti-interferon receptor
antagonist antibody for the treatment of systemic lupus erythematosus.
AB - Objective: We investigated the mechanistic and pharmacological properties of
anifrolumab, a fully human, effector-null, anti-type I interferon (IFN) alpha
receptor 1 (IFNAR1) monoclonal antibody in development for SLE. Methods: IFNAR1
surface expression and internalisation on human monocytes before and after
exposure to anifrolumab were assessed using confocal microscopy and flow
cytometry. The effects of anifrolumab on type I IFN pathway activation were
assessed using signal transducer and activator of transcription 1 (STAT1)
phosphorylation, IFN-stimulated response element-luciferase reporter cell assays
and type I IFN gene signature induction. The ability of anifrolumab to inhibit
plasmacytoid dendritic cell (pDC) function and plasma cell differentiation was
assessed by flow cytometry and ELISA. Effector-null properties of anifrolumab
were assessed in antibody-dependent cell-mediated cytotoxicity (ADCC) and
complement-dependent cytotoxicity (CDC) assays with B cells. Results: Anifrolumab
reduced cell surface IFNAR1 by eliciting IFNAR1 internalisation. Anifrolumab
blocked type I IFN-dependent STAT1 phosphorylation and IFN-dependent signalling
induced by recombinant and pDC-derived type I IFNs and serum of patients with
SLE. Anifrolumab suppressed type I IFN production by blocking the type I IFN
autoamplification loop and inhibited proinflammatory cytokine induction and the
upregulation of costimulatory molecules on stimulated pDCs. Blockade of IFNAR1
suppressed plasma cell differentiation in pDC/B cell co-cultures. Anifrolumab did
not exhibit CDC or ADCC activity. Conclusions: Anifrolumab potently inhibits type
I IFN-dependent signalling, including the type I IFN autoamplification loop, and
is a promising therapeutic for patients with SLE and other diseases that exhibit
chronic dysfunctional type I IFN signalling.
PMID- 29644084
TI - Primary microcephaly caused by novel compound heterozygous mutations in ASPM.
AB - Autosomal recessive primary microcephaly (microcephaly primary hereditary, MCPH)
is a genetically heterogeneous rare developmental disorder that is characterized
by prenatal onset of abnormal brain growth, which leads to intellectual
disability of variable severity. We report a 5-year-old male who presented with a
severe form of primary microcephaly. Targeted panel sequencing revealed compound
heterozygous truncating mutations of the abnormal spindle-like microcephaly
associated (ASPM) gene, which confirmed the MCPH5 diagnosis. A novel NM_018136.4:
c.9742_9745del (p.Lys3248Serfs*13) deletion mutation was identified.
PMID- 29644085
TI - Protein molecular modeling shows residue T599 is critical to wild-type function
of POLG and description of a novel variant associated with the SANDO phenotype.
AB - Sensory ataxic neuropathy with dysarthria and ophthalmoparesis (SANDO) is a rare
phenotype resulting from pathogenic variants of mitochondrial DNA polymerase
gamma (POLG). We modeled a novel POLG variant, T599P, that causes the SANDO
phenotype and another variant at the same residue, p.T599E, to observe their
effect on protein function and confirm the pathogenicity of T599P. Through
neoteric molecular modeling techniques, we show that changes at the T599 residue
position introduce extra rigidity into the surrounding helix-loop-helix, which
places steric pressure on nearby nucleotides. We also provide a clinical
description of the T599P variant, which was found in a 42-year-old female
proband. The proband presented a 1-year history of progressive gait instability,
dysarthria and foot numbness. Her neurologic examination revealed ataxic
dysarthria, restricted eye movements, head and palatal tremors, reduced lower
limb reflexes, distal multimodal sensory loss and a wide, unsteady ataxic gait.
Electromyography studies indicated a sensory neuropathy. Whole-exome sequencing
was pursued after tests for infectious, inflammatory and paraneoplastic causes
were negative.
PMID- 29644086
TI - Is recreational running associated with earlier delivery and lower birth weight
in women who continue to run during pregnancy? An international retrospective
cohort study of running habits of 1293 female runners during pregnancy.
AB - Background: Increasingly, women of reproductive age participate in recreational
running, but its impact on pregnancy outcome is unknown. We investigated whether
running affects gestational age at delivery and birth weight as indicators of
cervical integrity and placental function, respectively. Methods: 1293 female
participants were recruited from parkrun, which organises weekly runs involving
1.25 million runners across 450 parks worldwide. Those under 16 or unable to
provide outcome data were excluded. Women were categorised according to whether
they continued to run during pregnancy or not. Those who continued were further
stratified dependent on average weekly kilometres, and which trimester they ran
until. Retrospectively collected primary outcomes were gestational age at
delivery and birthweight centile. Other outcomes included assisted vaginal
delivery rate and prematurity at clinically important gestations. Results: There
was no significant difference in gestational age at delivery: 279.0 vs 279.6 days
(mean difference 0.6 days, CI -1.3 to 2.4 days; P=0.55) or birthweight centile:
46.9%vs 44.9% (mean difference 2.0%, CI -1.3% to -5.3%; P=0.22) in women who
stopped running and those who continued, respectively. Assisted vaginal delivery
rate was increased in women who ran: 195/714 (27%) vs 128/579 (22%) (OR 1.32; CI
1.02 to 1.71; P=0.03). Conclusion: Continuing to run during pregnancy does not
appear to affect gestational age or birthweight centile, regardless of mean
weekly distance or stage of pregnancy. Assisted vaginal delivery rates were
higher in women who ran, possibly due to increased pelvic floor muscle tone.
Randomised prospective analysis is necessary to further explore these findings.
PMID- 29644087
TI - Clinical observation of biomimetic mineralized collagen artificial bone putty for
bone reconstruction of calcaneus fracture.
AB - This study investigated clinical outcomes of biomimetic mineralized collagen
artificial bone putty for bone reconstruction in the treatment of calcaneus
fracture. Sixty cases of calcaneal fractures surgically treated with open
reduction and internal fixation in our hospital from June 2014-2015 were chosen
and randomly divided into two groups, including 30 cases treated with biomimetic
mineralized collagen artificial bone putty as treatment group, and 30 cases
treated with autogenous ilia as control group. The average follow-up time was
17.2 +/- 3.0 months. The results showed that the surgery duration and
postoperative drainage volume of treatment group were significantly lower than
control group; there were no statistically significant differences in the
fracture healing time, American Orthopaedic Foot and Ankle Society scores at 3
and 12 months after surgery, Bohler's angle, Gissane's angle and height of
calcaneus between the two groups. There were no significant differences in wound
complication and reject reaction between the two groups, while significant
difference in donor site complication. As a conclusion, the implantation of
biomimetic mineralized collagen artificial bone putty in the open reduction of
calcaneal fracture resulted in reliable effect and less complications, which is
suitable for clinical applications in the treatment of bone defect in calcaneal
fractures.
PMID- 29644088
TI - Anti-biofilm and remineralization effects of chitosan hydrogel containing
amelogenin-derived peptide on initial caries lesions.
AB - In this study, we have designed a more clinically powerful anti-caries treatment
by applying the amelogenin-derived peptide QP5 to the antibacterial carrier
material chitosan in a hydrogel (CS-QP5 hydrogel), and characterized its effects
on the inhibition of a cariogenic biofilm and the promotion of the
remineralization of the initial caries lesions. The results indicated that the CS
QP5 hydrogel sustainably inhibited the growth of the Streptococcus mutans
biofilm, lactic acid production and the metabolic activity over a prolonged
period of time. Moreover, the CS-QP5 hydrogel promoted the remineralization of
early enamel lesions, which were indicated by surface micro-hardness (, polarized
light microscopy and transverse microradiography. In conclusion, the CS-QP5
hydrogel shows good potential for caries control in the clinic because of its
antibacterial effects as well as the remineralization of initial enamel carious
lesions even in a biofilm model over a prolonged period of time.
PMID- 29644089
TI - Heterotopic bone formation in the musculus latissimus dorsi of sheep using beta
tricalcium phosphate scaffolds: evaluation of different seeding techniques.
AB - Osseous reconstruction of large bone defects remains a challenge in oral and
maxillofacial surgery. In addition to autogenous bone grafts, which despite
potential donor-site mobility still represent the gold standard in reconstructive
surgery, many studies have investigated less invasive alternatives such as in
vitro cultivation techniques. This study compared different types of seeding
techniques on pure beta-tricalcium phosphate scaffolds in terms of bone formation
and ceramic resorption in vivo. Cylindrical scaffolds loaded with autologous
cancellous bone, venous blood, bone marrow aspirate concentrate or extracorporeal
in vitro cultivated bone marrow stromal cells were cultured in sheep on a
perforator vessel of the musculus latissimus dorsi over a 6-month period.
Histological and histomorphometric analyses revealed that scaffolds loaded with
cancellous bone were superior at promoting heterotopic bone formation and ceramic
degradation, with autogenous bone and bone marrow aspirate concentrate inducing
in vivo formation of vital bone tissue. These results confirm that autologous
bone constitutes the preferred source of osteoinductive and osteogenic material
that can reliably induce heterotopic bone formation in vivo.
PMID- 29644090
TI - Human bone graft cytocompatibility with mesenchymal stromal cells is comparable
after thermal sterilization and washing followed by gamma-irradiation: an in
vitro study.
AB - Human bone allografts present a better alternative to autografts in terms of
minimization of the harvesting procedure complications. Prior to the use in
clinical applications, they require sterilization which aims to reduce bioburden.
This often comes at the expense of their biological properties as carriers of
cells. In this study, we evaluated the cytocompatibility of human bone allografts
processed and sterilized by three different methods with mesenchymal stromal
cells. Bone morphology, biological and biochemical properties of the extracted
bone-conditioned medium and viability of cells were assessed. We found that
chemical sterilization had a strong negative effect on cell viability, whereas
thermal sterilization and washing with subsequent gamma-irradiation both resulted
in a bone graft compatible with the progenitor cells. Moreover, washing of the
bone prior to sterilization allowed solid removal of cell debris and other bone
marrow components. Taken together, our findings demonstrate the importance of a
proper choice of the bone graft processing method for the production of the
biomaterial suitable for tissue engineering.
PMID- 29644091
TI - Comparison of the osteogenic capability of rat bone mesenchymal stem cells on
collagen, collagen/hydroxyapatite, hydroxyapatite and biphasic calcium phosphate.
AB - Collagen (COL), collagen/hydroxyapatite (COL/HA), HA and biphasic calcium
phosphate were prepared as representative bone grafting materials with
composition analogous to bone, and their structural characteristics were
analyzed. The rat bone mesenchymal stem cells (BMSCs) were further seeded onto
four groups of materials, and BMSCs grown in basic medium and standard osteogenic
medium were set as controls of a reference model to show the basic and osteogenic
behavior of cells without the intervention of materials. Cellular behaviors were
characterized, including proliferation, spreading morphology and expression of
osteogenesis factors. The rat BMSCs proliferated properly with time on four
groups of materials as well on two groups of controls, and typical cuboidal,
polygonal and extremely-elongated morphologies of cells were observed. According
to the real-time polymerase chain reaction data, a higher osteogenic gene
expression level was dependent upon the growing morphology but not the
proliferation rate of cells, and the osteogenic differentiation capacity of cells
onto four groups of materials varied in specific genes. In general, BMSCs
exhibited the highest osteogenic capacity onto COL/HA, but the poorest onto HA.
The growing behaviors of cells on materials were further discussed in comparison
with the cases of OC and BC of the reference model. The present attempt to
comparatively analyze cell experimental data with a reference model is expected
to be useful for revealing the difference in the osteogenic capability of MSCs
onto materials or even the bioactivity of materials.
PMID- 29644092
TI - The grafts modified by heparinization and catalytic nitric oxide generation used
for vascular implantation in rats.
AB - Small-diameter (<6 mm) vascular grafts are increasingly needed in peripheral
vascular surgery but have few successes because of acute thrombosis, incomplete
endothelialization and intimal hyperplasia after implantation. This study used
electrospun poly(epsilon-caprolactone) as the matrix material. Heparin and
selenium-containing catalyst-organoselenium modified polyethyleneimine were
introduced through layer-by-layer assembly in order to build a vascular graft
with in situ nitric oxide (NO) generation. The aim of this study was to explore
the application of the graft with improved histocompatibility and biological
function for vascular implantation in rats. After implantation in rats, compared
to poly(epsilon-caprolactone), the modified grafts could promote the adhesion and
proliferation of endothelial cells, and inhibit the adhesion of smooth muscle
cells. The modified grafts remarkably promoted endothelialization, inhibited
intimal hyperplasia and increased the ratio of alternatively activated
macrophages (M2) to classical activated macrophages (M1). This work constructed a
vascular graft with heparinization and catalytic NO generation for improving the
vascularization, and accelerating the tissue regeneration by regulating the
inflammatory response. The present study indicates that it is a promising method
for regulating response and tissue regeneration of small diameter vascular grafts
by a novel approach of combining heparinization and catalytic NO generation.
PMID- 29644093
TI - Effect of microporosity on scaffolds for bone tissue engineering.
AB - Microporosity has a critical role in improving the osteogenesis of scaffolds for
bone tissue engineering. Although the exact mechanism, by which it promotes new
bone formation, is not well recognized yet, the related hypothesis can be found
in many previous studies. This review presents those possible mechanisms about
how the microporosity enhances the osteogenic-related functions of cells in vitro
and the osteogenic activity of scaffolds in vivo. In summary, the increased
specific surface areas by microporosity can offer more protein adsorption sites
and accelerate the release of degradation products, which facilitate the
interactions between scaffolds and cells. Meanwhile, the unique surface
properties of microporous scaffolds have a considerable effect on the protein
adsorption. Moreover, capillary force generated by the microporosity can improve
the attachment of bone-related cells on the scaffolds surface, and even make the
cells achieve penetration into the micropores smaller than them. This review also
pays attention to the relationship between the biological and mechanical
properties of microporous scaffolds. Although lots of achievements have been
obtained, there is still a lot of work to do, some of which has been proposed in
the conclusions and perspectives part.
PMID- 29644094
TI - Insufficiency of DNA repair enzyme ATM promotes naive CD4 T-cell loss in chronic
hepatitis C virus infection.
AB - T cells have a crucial role in viral clearance and vaccine response; however, the
mechanisms regulating their responses to viral infections or vaccinations remain
elusive. In this study, we investigated T-cell homeostasis, apoptosis, DNA
damage, and repair machineries in a large cohort of subjects with hepatitis C
virus (HCV) infection. We found that naive CD4 T cells in chronically HCV
infected individuals (HCV T cells) were significantly reduced compared with age
matched healthy subjects. In addition, HCV T cells were prone to apoptosis and
DNA damage, as evidenced by increased 8-oxoguanine expression and gammaH2AX/53BP1
formed DNA damage foci-hallmarks of DNA damage responses. Mechanistically, the
activation of DNA repair enzyme ataxia telangiectasia mutated (ATM) was dampened
in HCV T cells. ATM activation was also diminished in healthy T cells exposed to
ATM inhibitor or to HCV (core protein) that inhibits the phosphoinositide 3
kinase pathway, mimicking the biological effects in HCV T cells. Importantly,
ectopic expression of ATM was sufficient to repair the DNA damage, survival
deficit, and cell dysfunctions in HCV T cells. Our results demonstrate that
insufficient DNA repair enzyme ATM leads to increased DNA damage and renders HCV
T cells prone to apoptotic death, which contribute to the loss of naive T cells
in HCV infection. Our study reveals a novel mechanism for T-cell dysregulation
and viral persistence, providing a new strategy to improve immunotherapy and
vaccine responses against human viral diseases.
PMID- 29644095
TI - Rapid whole-genome sequencing decreases infant morbidity and cost of
hospitalization.
AB - Genetic disorders are a leading cause of morbidity and mortality in infants.
Rapid whole-genome sequencing (rWGS) can diagnose genetic disorders in time to
change acute medical or surgical management (clinical utility) and improve
outcomes in acutely ill infants. We report a retrospective cohort study of
acutely ill inpatient infants in a regional children's hospital from July 2016
March 2017. Forty-two families received rWGS for etiologic diagnosis of genetic
disorders. Probands also received standard genetic testing as clinically
indicated. Primary end-points were rate of diagnosis, clinical utility, and
healthcare utilization. The latter was modelled in six infants by comparing
actual utilization with matched historical controls and/or counterfactual
utilization had rWGS been performed at different time points. The diagnostic
sensitivity of rWGS was 43% (eighteen of 42 infants) and 10% (four of 42 infants)
for standard genetic tests (P = .0005). The rate of clinical utility of rWGS
(31%, thirteen of 42 infants) was significantly greater than for standard genetic
tests (2%, one of 42; P = .0015). Eleven (26%) infants with diagnostic rWGS
avoided morbidity, one had a 43% reduction in likelihood of mortality, and one
started palliative care. In six of the eleven infants, the changes in management
reduced inpatient cost by $800,000-$2,000,000. These findings replicate a prior
study of the clinical utility of rWGS in acutely ill inpatient infants, and
demonstrate improved outcomes and net healthcare savings. rWGS merits
consideration as a first tier test in this setting.
PMID- 29644096
TI - Cameroonian fruit bats harbor divergent viruses, including rotavirus H,
bastroviruses, and picobirnaviruses using an alternative genetic code.
AB - Most human emerging infectious diseases originate from wildlife and bats are a
major reservoir of viruses, a few of which have been highly pathogenic to humans.
In some regions of Cameroon, bats are hunted and eaten as a delicacy. This close
proximity between human and bats provides ample opportunity for zoonotic events.
To elucidate the viral diversity of Cameroonian fruit bats, we collected and
metagenomically screened eighty-seven fecal samples of Eidolon helvum and
Epomophorus gambianus fruit bats. The results showed a plethora of known and
novel viruses. Phylogenetic analyses of the eleven gene segments of the first
complete bat rotavirus H genome, showed clearly separated clusters of human,
porcine, and bat rotavirus H strains, not indicating any recent interspecies
transmission events. Additionally, we identified and analyzed a bat bastrovirus
genome (a novel group of recently described viruses, related to astroviruses and
hepatitis E viruses), confirming their recombinant nature, and provide further
evidence of additional recombination events among bat bastroviruses.
Interestingly, picobirnavirus-like RNA-dependent RNA polymerase gene segments
were identified using an alternative mitochondrial genetic code, and further
principal component analyses suggested that they may have a similar lifestyle to
mitoviruses, a group of virus-like elements known to infect the mitochondria of
fungi. Although identified bat coronavirus, parvovirus, and cyclovirus strains
belong to established genera, most of the identified partitiviruses and
densoviruses constitute putative novel genera in their respective families.
Finally, the results of the phage community analyses of these bats indicate a
very diverse geographically distinct bat phage population, probably reflecting
different diets and gut bacterial ecosystems.
PMID- 29644097
TI - The virome of Drosophila suzukii, an invasive pest of soft fruit.
AB - Drosophila suzukii (Matsumura) is one of the most damaging and costly pests to
invade temperate horticultural regions in recent history. Conventional control of
this pest is challenging, and an environmentally benign microbial biopesticide is
highly desirable. A thorough exploration of the pathogens infecting this pest is
not only the first step on the road to the development of an effective
biopesticide, but also provides a valuable comparative dataset for the study of
viruses in the model family Drosophilidae. Here we use a metatransciptomic
approach to identify viruses infecting this fly in both its native (Japanese) and
invasive (British and French) ranges. We describe eighteen new RNA viruses,
including members of the Picornavirales, Mononegavirales, Bunyavirales,
Chuviruses, Nodaviridae, Tombusviridae, Reoviridae, and Nidovirales, and discuss
their phylogenetic relationships with previously known viruses. We also detect 18
previously described viruses of other Drosophila species that appear to be
associated with D. suzukii in the wild.
PMID- 29644099
TI - Dartos reflex as autonomic assessment in persons with spinal cord injury.
AB - Study design: Prospective clinical series. Objectives: The dartos reflex (DR)
produces unilateral elevation of the testis with vermicular contraction of the
scrotal skin and penile retraction. It is a somato-autonomic reflex that depends
on the T11-L2 sympathetic segment. Its presence was analysed in men with various
levels and severities of spinal cord injury (SCI). Setting: France. Methods: Male
patients with SCI undergoing urodynamic or sexual assessment were included. DR of
the scrotum and penis were systematically assessed in addition to the usual
neurological examination that included assessment of the autonomic system,
cremaster, and sacral reflexes. The DR was evoked by applying a small ice pack on
the scrotum, separately to each side. Results: Forty-six patients were assessed.
DRs were always present when the T11-L2 segment was intact above the lesion (low
paraplegia below L2) or below the lesion in an upper motor neuron (UMN) syndrome
(spastic paraplegia above T10). They were more pronounced in the case of UMN
syndrome. In the case of lower motor neuron (LMN) syndrome that included the T11
L2 segment, DRs disappeared in all but one patient. Patients with a LMN lesion
were more prone to retrograde or absent ejaculation, loss of psychogenic
erection, and open bladder neck. Conclusions: The DR is a valuable test for the
assessment of the integrity and excitability of the T11-L2 spinal segment. It is
easy to perform and is predictive of some aspects of sexual and bladder neck
function in men. DR should be considered as an addition to the autonomic
standards.
PMID- 29644100
TI - Persistent urine leakage around a suprapubic catheter: the experience of a person
with chronic tetraplegia.
AB - Introduction: Persistent urine leakage after suprapubic cystostomy in tetraplegic
subjects occurs due to shrinkage of the urinary bladder and bladder spasms. The
patient's social life is adversely affected as clothes become wet, smelly, and
require frequent changing, thus increasing the workload of carers. Case
presentation: A 48-year-old male sustained C-4 complete (AIS:A) tetraplegia while
swimming in 2007. Suprapubic cystostomy was performed in 2009. From 2012, this
patient had urine leakage around the suprapubic catheter, which became
progressively more frequent. Propiverine, then oxybutynin tablets instead of
propiverine, oxybutynin transdermal patches, and mirabegron in addition to
oxybutynin were tried. An indwelling urethral catheter was used in addition to
the suprapubic catheter to alleviate urine leakage when the bladder was
undergoing spasms. This patient continued to have leakage around the suprapubic
catheter. Leakage of urine was occasionally accompanied by autonomic dysreflexia.
Leakage of urine caused huge amounts of extra work for carers, and family.
Furthermore, leakage of urine had a significant impact on quality of life, and
going out with friends and family. Bladder wall injection of Botox was performed
in 2015 and in 2016, which reduced urine leakage. Discussion: Bladder wall
injection of Botox to treat persistent urine leakage around the suprapubic
catheter in spinal cord injury patients with suprapubic cystostomy has not been
mentioned in NICE guidelines or publications indexed in PubMed. While
recommending suprapubic cystostomy to subjects with tetraplegia, leakage of urine
around the suprapubic catheter, which may occur sometime after suprapubic
cystostomy, should be included in the conversation so that patients and carers
become aware of this potential complication.
PMID- 29644098
TI - Hydrogel-based scaffolds to support intrathecal stem cell transplantation as a
gateway to the spinal cord: clinical needs, biomaterials, and imaging
technologies.
AB - The prospects for cell replacement in spinal cord diseases are impeded by
inefficient stem cell delivery. The deep location of the spinal cord and complex
surgical access, as well as densely packed vital structures, question the
feasibility of the widespread use of multiple spinal cord punctures to inject
stem cells. Disorders characterized by disseminated pathology are particularly
appealing for the distribution of cells globally throughout the spinal cord in a
minimally invasive fashion. The intrathecal space, with access to a relatively
large surface area along the spinal cord, is an attractive route for global stem
cell delivery, and, indeed, is highly promising, but the success of this approach
relies on the ability of cells (1) to survive in the cerebrospinal fluid (CSF),
(2) to adhere to the spinal cord surface, and (3) to migrate, ultimately, into
the parenchyma. Intrathecal infusion of cell suspension, however, has been
insufficient and we postulate that embedding transplanted cells within hydrogel
scaffolds will facilitate reaching these goals. In this review, we focus on
practical considerations that render the intrathecal approach clinically viable,
and then discuss the characteristics of various biomaterials that are suitable to
serve as scaffolds. We also propose strategies to modulate the local
microenvironment with nanoparticle carriers to improve the functionality of
cellular grafts. Finally, we provide an overview of imaging modalities for in
vivo monitoring and characterization of biomaterials and stem cells. This
comprehensive review should serve as a guide for those planning preclinical and
clinical studies on intrathecal stem cell transplantation.
PMID- 29644101
TI - Spinal cord injury epidemiological profile in the Sarah Network of Rehabilitation
Hospitals-a Brazilian population sample.
AB - Study design: Retrospective cross-sectional study. Objective: To describe the
epidemiological profile of individuals with spinal cord injury (SCI), who visited
the Sarah Network in 2014. Setting: Brazil, Brasilia-Federal District. Methods: A
review of the electronic medical records of persons with SCI, aged over 15 years,
was carried out. Results: The medical records of 2076 persons were evaluated: 83%
were male, the mean age was 31 years, 40% did not complete elementary school,
67.7% had paraplegia, and 74.4% had complete SCI. The primary etiologies were
gunshot wounds (28.4%), motorcycle accidents (24.6%), and motor vehicle accidents
(19.1%). In the comparative analysis between genders, differences were observed
regarding the level of education and completeness of injury. Conclusion: Gunshot
wounds were the main cause of SCI in our population, comprising mostly male
individuals with thoracic injury, resulting in complete SCI. Data show a high
incidence of SCI in young adults owing to violence in Brazil.
PMID- 29644102
TI - Extrapolation concept at work with biosimilar: a decade of experience in
oncology.
PMID- 29644103
TI - Morphometric study of the semitendinosus muscle and its neurovascular pedicles in
South Indian cadavers.
AB - The purpose of this study was to determine the length of the semitendinosus
muscle belly and its tendon in South Indian population and to study the
topography and diameter of its neurovascular pedicles. The study included 46
formalin fixed human cadaveric lower limbs. The length of the semitendinosus
muscle belly and its tendon were measured. The neurovascular pedicles of the
semitendinosus muscle were identified and counted. The diameter of the
neurovascular pedicle was measured just before its entrance into the
semitendinosus muscle. The topographical distance of the neurovascular pedicles
from the origin of the semitendinosus muscle were determined. The mean length of
the semitendinosus muscle belly was 332.3+/-36.1 mm and its tendon measured
154.8+/-31.9 mm. The mean diameter of the vascular pedicle was measuring 11.4+/
9.1 mm, just before its entrance into the semitendinosus muscle. The
neurovascular pedicles, of the semitendinosus muscle were ranging between 1 and
7. The distance of the entrance of neurovascular pedicle to the semitendinosus
muscle from its origin was ranging between 46 and 272 mm. It was observed that
the neurovascular pedicles were highest (31%) at the range of 151-200 mm away
from the ischial tuberosity. The morphometric data obtained in the present study
is enlightening to the orthopedic and plastic surgeons. The topographical
knowledge of the neurovascular pedicles has its implications during the
harvesting of the grafts and pedicle flaps. The diameter of vessels in the
pedicles is enlightening because of new method of anterior cruciate ligament
reconstruction.
PMID- 29644104
TI - Homepage to distribute the anatomy learning contents including Visible Korean
products, comics, and books.
AB - The authors have operated the homepage (http://anatomy.co.kr) to provide the
learning contents of anatomy. From the homepage, sectioned images, volume models,
and surface models-all Visible Korean products-can be downloaded. The realistic
images can be interactively manipulated, which will give rise to the interest in
anatomy. The various anatomy comics (learning comics, comic strips, plastination
comics, etc.) are approachable. Visitors can obtain the regional anatomy book
with concise contents, mnemonics, and schematics as well as the simplified
dissection manual and the pleasant anatomy essay. Medical students, health allied
professional students, and even laypeople are expected to utilize the easy and
comforting anatomy contents. It is hoped that other anatomists successively
produce and distribute their own informative contents.
PMID- 29644105
TI - Drawing method can improve musculoskeletal anatomy comprehension in medical
faculty student.
AB - The Chinese philosophy of Confucianism said "What I heard I forgot, what I see, I
remember, what I do, I understand." During this time, most of the teaching and
learning process relies on viewing and listening modalities only. As a result,
much information does not last long in memory as well as the material
understanding achieves became less deep. In studying anatomy science, drawing is
one of effective important methods because it is an integration of ideas and
knowledge of vision thereby increasing comprehension and learning motivation of
college students. The purpose of this research is to know the musculoskeletal
anatomy comprehension by drawing learning method in Medical Faculty student. This
research uses observational analytic design with the cross-sectional design.
Total sampling was done to the entire student of Physiotherapy Study Program in
2012, 2013, and 2014, Medical Faculty of Udayana University. The average value of
musculoskeletal anatomy of the student in 2012, 2013, and 2014 sequentially are
31.67, 33.57, and 45.00, respectively. Normality test with Shapiro-Wilk and
homogeneity with Levene's test showed normal results and homogeneous. One-way
ANOVA test between groups showed a significant result that is 11.00 (P<0.05). It
is concluded that the drawing method can improve the musculoskeletal anatomy
comprehension in Medical Faculty student.
PMID- 29644106
TI - Supraorbital nerve exits: positional variations and localization relative to
surgical landmarks.
AB - Significant variations exist in the occurrence, form, and position of
supraorbital nerve exits through the frontal bone. Detailed knowledge of the
positional variations of supraorbital exits is important to ensure safe and
successful regional anesthesia, and to avoid iatrogenic nerve injuries during
surgery of the orbitofacial region. Supraorbital nerve exits from 116 sides of 58
dry intact adult skulls (37 male and 21 female) in a Sri Lankan population were
examined to determine the morphological features and the precise position in
relation to the facial midline, temporal crest of frontal bone, and
frontozygomatic suture. A majority of supraorbital nerve exits existed as notches
(73.8%) and the rest as foramina (26.2%). Accessory exits were seen in 18.9%
skulls. Of the skulls examined, 55.1% displayed bilateral supraorbital notches,
8.6% had bilateral supraorbital foramina, and 36.3% had a notch on one side and a
foramen on the contralateral side. In males, the supraorbital nerve exit was
located 23.64+/-3.49 mm laterally from the facial midline, 27.86+/-2.76 mm
medially from the temporal crest of the frontal bone, 28.66+/-2.56 mm from the
frontozygomatic suture, and 2.12+/-1.07 mm above the supraorbital margin in the
case of a foramen, and in females 22.69+/-3.28 mm laterally from the facial
midline, 26.32+/-3.02 medially from temporal crest of frontal bone, 27.29+/-3.05
from the frontozygomatic suture, and 2.99+/-1.49 mm above the supraorbital margin
when it existed as a foramen. The observations made in this study will be useful
when planning a supraorbital nerve block and surgery in the supraorbital region.
PMID- 29644107
TI - Cranial index in a modern people of Thai ancestry.
AB - The present research aims to examine the cranial index in a modern people of Thai
ancestry. Ultimately, this study will help to create a databank containing a
cranial index for the classifications of the people from Asia. In this study, 185
modern crania of people of supposed Thai ancestry were examined. They were
collected from the Department of Anatomy at Chulalongkorn University in Bangkok,
Thailand. The maximum cranial length and breadth were measured using standard
anthropometric instruments based on Martin's methods. The cranial index was
calculated using the equation ([maximum cranial breadth/maximum cranial
length]*100). The mean cranial indices for the male and female skulls examined
were 81.81+/-4.23 and 82.99+/-4.37, respectively. The most common type of skull
in the modern Thai people in this study was the brachycranic type with a
frequency of 42.7%, followed by the mesocranic (27.03%) and hyperbrachycranic
types (25.59%). The rarest type observed in this study was the dolichocranic type
(4.32%). The present study provides valuable data pertaining to the cranial index
in a modern Thai population and reveals that modern Thai males and females belong
to the brachycranic group. The results of this study will be of forensic
anthropological importance to populations in close proximity to the location
where the skulls studied here were sourced.
PMID- 29644108
TI - Nutrition and growth: assessing the impact of regional nutritional intake on
childhood development and metacarpal parameters.
AB - Measuring skeletal development throughout juvenile growth can provide a greater
understanding into the health, hormonal function and genetics of children. The
metacarpals have been of interest for their potential to provide insights into
healthy juvenile skeletal development. This study investigated the growth
patterns of developing females from isolated communities who had varied diets.
Anthropometrical measurements and hand-wrist X-rays were taken of 353 juvenile
females from three populations: Pari Coastal Village and Bundi Highlands Village,
Papua New Guinea (PNG); and Brisbane, Australia between 1968 to 1983. Radiographs
were digitized, and the length and width of the second and third metacarpals
compared to each subject's height and weight. As subject heights increased,
metacarpal length and width increased. However, stature and second metacarpal
length indicated the strongest correlation (P<0.01), compared to third metacarpal
length (P<0.01) or width. From 11 to 13 years of age, Brisbane subjects were
significantly heavier and taller in comparison to subjects from PNG, and coastal
females were heavier and taller than the highland females. A prominent difference
between the two PNG populations was the regional intake of protein in their
diets. The second metacarpal presents particularly accurate measurements when
determining the height or development of a child. Nutritional intake appears to
have a major influence normal childhood growth, with a potential for protein
deficiency to strongly inhibit growth. Any delayed growth is particularly evident
in the child's stature, as well as in the development of the metacarpal long
bones of the hand.
PMID- 29644109
TI - Morphine-alcohol treatment impairs cognitive functions and increases neuro
inflammatory responses in the medial prefrontal cortex of juvenile male rats.
AB - In the developed and developing world, opioid consumption in combination with
alcohol has become one of the substances abused. In this experiment, we examined
the effects of alcohol, morphine, and morphine+alcohol combination on cognitive
functions and neuroinflammatory responses in the medial prefrontal cortex (mPFC)
of juvenile male rats. Alcohol (1.0 ml of 15% v/v ethanol twice daily,
subcutaneously, 7 hours apart), morphine (0.5 ml/kg of 0.4 mg/kg morphine
chlorate twice daily, subcutaneously, 7 hours apart), morphine+alcohol co
treatment (0.5 ml/kg of 0.4 mg/kg morphine chlorate+1.0 ml of 15% v/v ethanol
twice daily, subcutaneously, 7 hours apart) were administered for 21 days.
Treatment with morphine+alcohol significantly impairs cognition functions in the
Morris water maze, passive avoidance, and novel object recognition tests,
furthermore, the treatment significantly increased the quantitative count of
astrocytic cells and also conferred marked neuronal cell death in the mPFC, which
were studied by glial fibrillary acidic protein immunochemistry for astrocytes
and Cresyl violet for Nissl's substance distribution in neurons respectively.
These results suggest that alcohol, morphine, and morphine+alcohol co-treatment
may trigger cognitive deficits and neuroinflammatory responses in the brain.
PMID- 29644110
TI - Ameliorative effect of vitamin B12 on seminiferous epithelium of cimetidine
treated rats: a histopathological, immunohistochemical and ultrastructural study.
AB - Cimetidine is an H2 receptor antagonist that has an antiandrogenic effect. It
intervenes with the conversion of testosterone into estrogen in the Sertoli cells
with accompanying testicular structural changes. In the present study, the
microscopic and the ultrastructural changes induced by cimetidine and the effect
of vitamin B12 as a protective agent on rat testes were studied. Immunoexpression
of estrogen receptor beta (ERbeta) in testes was evaluated. Twenty-four adult
male rats were divided into four groups: control, cimetidine-treated, vitamin B12
treated, and combined cimetidine and vitamin B12 treated. The experimental rats
were administered with cimetidine and/or vitamin B12 for 52 days. Group II rats
showed marked atrophy of the seminiferous tubules with a significant increase in
tubular diameter and decrease in the tubular luminal and epithelial areas.
Ultrastructure of this group showed irregular Sertoli cells with basal
cytoplasmic vacuolation and significantly thickened basement membrane. ERbeta
immunoexpression was similar to controls. Group III rats showed near normal
seminiferous tubular structures with minimal cellular alterations and the
immunoreactivity of the testicular sections was very close to normal. However,
group IV rats showed markedly immunopositive detached cells, spermatids, and
primary spermatocytes. Cimetidine interferes with the control of spermatogenesis
as evidenced by microscopic and ultrastructural studies and affection of ERbeta
receptors and vitamin B12 has a protective action against this harmful effect.
PMID- 29644111
TI - A rare combined variation of the coeliac trunk, renal and testicular vasculature.
AB - The authors report a rare variation of the coeliac trunk, renal and testicular
vasculature in a 27-year-old male cadaver. In the present case, the coeliac trunk
and superior mesenteric artery was replaced by a modified coeliacomesenteric
trunk formed by hepato-gastric and superior mesenteric arteries. Here the hepato
gastric artery or trunk contributed towards the total hepatic inflow as well as a
gastro-duodenal artery. A separate right gastric artery and an additional
superior pancreatico-duodenal artery was also found in addition with a retro
aortic left renal vein and a bilateral double renal arterial supply. The
aforementioned coeliac trunk variation, to our knowledge, has never been reported
before and this variation combined with the renal vasculature requires careful
surgical consideration.
PMID- 29644112
TI - Unusual bilateral sensory innervation of the dorsum of hand by lateral
antebrachial cutaneous nerve: a case report.
AB - A 68-year-old male cadaver showed bilateral variation in the sensory innervation
of the dorsum of hand. On the dorsum of right hand, first digit and lateral half
of second digit were supplied by lateral antebrachial cutaneous nerve (LABCN);
medial side of second digit and lateral side of third digit were supplied by
superficial branch of radial nerve (SBRN) and medial side of third digit, the
fourth and fifth digits were supplied by dorsal cutaneous branch of ulnar nerve
(DBUN). On the dorsum of the left hand, lateral side of first digit was supplied
by LABCN, medial side of first digit, the second and third digits as well as the
lateral side of fourth digit were supplied by SBRN; medial side of fourth digit
and fifth digit were supplied by DBUN. These variations would be helpful in
understanding peripheral neuropathy, in interpretation of conduction velocity
studies and in reconstructive surgery of hand.
PMID- 29644113
TI - Analysis of monitored ground support and rock mass response in a longwall
tailgate entry.
AB - A comprehensive monitoring program was conducted to measure the rock mass
displacements, support response, and stress changes at a longwall tailgate entry
in West Virginia. Monitoring was initiated a few days after development of the
gateroad entries and continued during passage of the longwall panels on both
sides of the entry. Monitoring included overcore stress measurements of the
initial stress within the rock mass, changes in cable bolt loading, standing
support pressure, roof deformation, rib deformation, stress changes in the coal
pillar, and changes in the full three-dimensional stress tensor within the rock
mass at six locations around the monitoring site. During the passage of the first
longwall, stress measurements in the rock and coal detected minor changes in
loading while minor changes were detected in roof deformation. As a result of the
relatively favorable stress and geological conditions, the support systems did
not experience severe loading or rock deformation until the second panel
approached within 10-15 m of the instrumented locations. After reaching the peak
loading at about 50-75 mm of roof sag, the cable bolts started to unload, and
load was transferred to the standing supports. The standing support system was
able to maintain an adequate opening inby the shields to provide ventilation to
the first crosscut inby the face, as designed. The results were used to calibrate
modeled cable bolt response to field data, and to validate numerical modeling
procedures that have been developed to evaluate entry support systems. It is
concluded that the support system was more than adequate to control the roof of
the tailgate up to the longwall face location. The monitoring results have
provided valuable data for the development and validation of support design
strategies for longwall tailgate entries.
PMID- 29644114
TI - Super enhancer inhibitors suppress MYC driven transcriptional amplification and
tumor progression in osteosarcoma.
AB - Osteosarcoma is the most common primary bone sarcoma that mostly occurs in young
adults. The causes of osteosarcoma are heterogeneous and still not fully
understood. Identification of novel, important oncogenic factors in osteosarcoma
and development of better, effective therapeutic approaches are in urgent need
for better treatment of osteosarcoma patients. In this study, we uncovered that
the oncogene MYC is significantly upregulated in metastastic osteosarcoma
samples. In addition, high MYC expression is associated with poor survival of
osteosarcoma patients. Analysis of MYC targets in osteosarcoma revealed that most
of the osteosarcoma super enhancer genes are bound by MYC. Treatment of
osteosarcoma cells with super enhancer inhibitors THZ1 and JQ1 effectively
suppresses the proliferation, migration, and invasion of osteosarcoma cells.
Mechanistically, THZ1 treatment suppresses a large group of super enhancer
containing MYC target genes including CDK6 and TGFB2. These findings revealed
that the MYC-driven super enhancer signaling is crucial for the osteosarcoma
tumorigenesis and targeting the MYC/super enhancer axis represents as a promising
therapeutic strategy for treatment of osteosarcoma patients.
PMID- 29644116
TI - Obesity and Pediatric Psoriatic Arthritis.
AB - Objective: Studies in adults have shown a significant association between obesity
and psoriatic arthritis, however the association of obesity with pediatric
psoriatic arthritis is unknown. We aimed to evaluate obesity in pediatric
psoriatic arthritis. Methods: We conducted a cross-sectional study of children
with psoriasis and psoriatic arthritis evaluated at a single center between
6/2010 and 9/2014. Two healthy reference populations were utilized: 1) local
reference population from the surrounding community and 2) a national reference
population derived from NHANES. Age and sex-specific z-scores for weight, height,
and body mass index (BMI) were calculated. Differences in clinical and
demographic characteristics between groups were assessed. Results: During the
study period, 48 children with psoriatic arthritis and 231 patients with
psoriasis were evaluated. Three (6.2%) and 5 (10.4%) of the children with
psoriatic arthritis were overweight or obese, respectively. In comparison to the
reference healthy groups and psoriasis patients, the mean BMI z-score of children
with psoriatic arthritis was not statistically different. However, patients with
psoriasis were more likely to be obese than the community reference population (p
value <0.001). Conclusions: Children with psoriasis were more obese than the
healthy reference population, however there was no statistically significant
difference in obesity between children with psoriatic arthritis and psoriasis or
psoriatic arthritis and the reference population. This lack of association may be
reflective of true differences in pediatric and adult-onset disease and warrants
further investigation.
PMID- 29644115
TI - SHP2 regulates skeletal cell fate by modifying SOX9 expression and
transcriptional activity.
AB - Chondrocytes and osteoblasts differentiate from a common mesenchymal precursor,
the osteochondroprogenitor (OCP), and help build the vertebrate skeleton. The
signaling pathways that control lineage commitment for OCPs are incompletely
understood. We asked whether the ubiquitously expressed protein-tyrosine
phosphatase SHP2 (encoded by Ptpn11) affects skeletal lineage commitment by
conditionally deleting Ptpn11 in mouse limb and head mesenchyme using "Cre-loxP"
mediated gene excision. SHP2-deficient mice have increased cartilage mass and
deficient ossification, suggesting that SHP2-deficient OCPs become chondrocytes
and not osteoblasts. Consistent with these observations, the expression of the
master chondrogenic transcription factor SOX9 and its target genes Acan, Col2a1,
and Col10a1 were increased in SHP2-deficient chondrocytes, as revealed by gene
expression arrays, qRT-PCR, in situ hybridization, and immunostaining.
Mechanistic studies demonstrate that SHP2 regulates OCP fate determination via
the phosphorylation and SUMOylation of SOX9, mediated at least in part via the
PKA signaling pathway. Our data indicate that SHP2 is critical for skeletal cell
lineage differentiation and could thus be a pharmacologic target for bone and
cartilage regeneration.
PMID- 29644118
TI - Increasing Diversity in the Health Professions: Reflections on Student Pipeline
Programs.
AB - Despite major advances and technological improvements in public health and
medicine, health disparities persist by race and ethnicity, income and
educational attainment, and in some cases are increasing (Jackson & Garcia,
2014). These health disparities among these populations have even worsened or
remained about the same since the landmark 1985 Report of the Secretary's Task
Force on Black & Minority Health released by then Secretary Margaret M. Heckler.
Ensuring diverse public health and healthcare workforces to provide services to
diverse populations, in combination with other strategies, can increase access to
and quality of healthcare for vulnerable populations and decrease healthcare
disparities. One mechanism for achieving a diverse public health and healthcare
workforce is to establish, promote, and conduct student training programs in
public health. The Office of Minority Health and Health Equity, Centers for
Disease Control and Prevention (CDC), has partnered with institutions, colleges,
universities, foundations, national organizations and associations to form and
implement student training programs. This paper highlights a session "Public
Health Professions Enhancement Programs" that was held during the 2015 symposium
titled "National Negro Health Week to National Minority Health Month: 100 Years
of Moving Public Health Forward" in Atlanta, Georgia. Presenters at the symposium
consisted of interns and fellows who had participated in student programs in the
Office of Minority Health and Health Equity at the CDC.
PMID- 29644117
TI - Identifying Links Between Sexual Violence and Youth Violence Perpetration: New
Opportunities for Sexual Violence Prevention.
AB - Objective: One promising opportunity for advancing sexual violence (SV) research
and identifying new avenues for prevention involves examining other forms of
violence that may share risk factors with SV. Youth violence (YV) is ideal for
consideration given evidence of overlap in SV and YV risk factors, a large set of
established YV risk factors across the social ecology, and the number of evidence
based YV prevention strategies available. The current paper identifies shared and
unique risk factors for SV and YV and highlights evidence-based YV prevention
strategies that impact these shared risk factors. Conclusions: Researchers and
program developers should consider adapting and evaluating evidence-based YV
prevention strategies to prevent SV. Modifying these programs to address SV's
unique risk factors may maximize their potential effectiveness. In addition,
expanding SV research at the outer levels of the social ecology is critical to
developing community-level prevention strategies. The YV literature suggests
several potential risk factors at these levels in need of research for SV,
including school connectedness, social disorganization, and availability of
alcohol and drugs. Using the YV literature as a starting point for expanding SV
research leverages prior investments in YV research, may help identify new SV
prevention strategies at a limited cost, and moves the field more quickly toward
implementation of cost-effective, multidomain violence prevention strategies in
communities.
PMID- 29644119
TI - European Board for Accreditation in Cardiology (EBAC) 2015 CME/CPD Survey
Summary.
AB - In the spring of 2015, the European Board for Accreditation in Cardiology (EBAC)
collaborated with International CME/CPD Consulting to design and administer a
survey to approximately 1,171 professionals active in the field of European
CME/CPD, with a focus on cardiology. With a nearly 5% response rate, the results
herewith are non-representative, but do express current behaviours and attitudes
of those active in European accredited CME/CPD.
PMID- 29644120
TI - Assessment of a high-fidelity mobile simulator for intrauterine contraception
training in ambulatory reproductive health centres.
AB - OBJECTIVES: Little is known about the utility of simulation-based training in
office gynaecology. The objective of this cross-sectional study was to evaluate
the self-reported effectiveness and acceptability of the PelvicSimTM (VirtaMed),
a high-fidelity mobile simulator, to train clinicians in intrauterine device
(IUD) insertion. METHODS: Clinicians at ambulatory healthcare centres
participated in a PelvicSim IUD training programme and completed a self
administered survey. The survey assessed prior experience with IUD insertion, pre
and post-training competency and comfort and opinions regarding the
acceptability of the PelvicSim. RESULTS: The 237 participants were primarily
female (97.5%) nurse practitioners (71.3%). Most had experience inserting the
levonorgestrel LNG20 IUD and the copper T380A device, but only 4.1% had ever
inserted the LNG14 IUD. For all three devices, participants felt more competent
following training, with the most striking change reported for insertion of the
LNG14 IUD. The majority of participants reported increased comfort with uterine
sounding (57.7%), IUD insertion on a live patient (69.8%), and minimizing patient
pain (72.8%) following training. Of the respondents, 89.6% reported the PelvicSim
IUD insertion activities as "valuable" or "very valuable." All participants would
recommend the PelvicSim for IUD training, and nearly all (97.2%) reported that
the PelvicSim was a better method to teach IUD insertion than the simple plastic
models supplied by IUD manufacturers. CONCLUSIONS: These findings support the use
of the PelvicSim for IUD training, though whether it is superior to traditional
methods and improves patient outcomes requires evaluation.
PMID- 29644121
TI - Education, training, and professional issues of radiographers in six European
countries: a comparative review.
AB - Radiographers constitute an important part of a multidisciplinary radiation-based
imaging and therapy chain. However, is there a common framework for assuring high
education, training, and subsequent practice of profession among European
countries? A study was conducted, based on a questionnaire that consisted of
three parts, concerning education and training (Part A), national registry (Part
B), and professional issues (Part C). Analysis of the collected data suggested
that a common policy is generally followed in the countries investigated;
however, differences were not negligible. A common framework of educational
programmes among European countries could form the basis for overall
standardisation at national and international level.
PMID- 29644122
TI - Cologne Consensus Conference: providers in accredited CME/CPD 11-12 September
2015, Cologne, Germany.
AB - On 11-12 September 2015, the fourth annual Cologne Consensus Conference (CCC) was
held in Cologne, Germany. The 2-day educational event was organised by the
European Cardiology Section Foundation (ECSF) and the European Board for
Accreditation in Cardiology (EBAC), a specialty continuing medical education
continuing professional development (CME-CPD) accreditation board of the European
Union of Medical Specialists (UEMS). The conference was again planned in
cooperation with an impressive group of international organisations and faculty
members representing leading European and North American institutions. Each year,
the CCC is organised around a specific topic area. For the conference's fourth
iteration, the providers in accredited CME/CPD were the focus. The CCC 2015 set
out to share ideas, discuss concepts, and increase collaborations amongst the
various groups. This report provides a summary of the presentations and
discussions from the educational event.
PMID- 29644123
TI - Report on Proceedings of the Eighth Annual European CME Forum, Manchester, UK,
November 2015.
AB - Delegates from Europe, Asia, and North America attended the Eighth European CME
Forum in Manchester, UK, on 12 and 13 November 2015. A new format that included
three separate workshop tracks was introduced. The workshops focused on standards
and accreditation, education and partnerships, funding and practice in CME/CPD.
Discussion and interactive sessions on accreditation issues, inter-professional
education, backwards planning, and patient engagement were among the topics
addressed. Facilitated conversations were conducted with European leaders in the
medical profession and a representative from a European commercial supporters'
organisation. Panel discussions on current and future trends and the views of
local junior doctors representing the learner community were also conducted.
PMID- 29644124
TI - State of play of CME in Europe in 2015: Proceedings from the Eighth Annual
European CME Forum.
AB - European CME Forum is a not-for-profit organisation that brings together all
stakeholder groups with an interest in European continuing medical education
(CME) and promote multichannel discussion in an independent and neutral
environment. This report summarises the discussions that took place at the 8th
Annual European CME Forum in Manchester on 11-12 November 2015. Held at a time of
increased scrutiny on the quality and value of the CME, the forum provided a
space for attendees to share perspectives on trends, challenges, and
opportunities related to European CME accreditation, funding, and regulation.
Discussions focused on specific "hot topics" identified through a pre-meeting
survey and needs assessment conducted among CME stakeholders in Europe and
beyond. Chief among these were issues related to managing the transparency of
relationships between industry and healthcare professionals, evolving systems of
European CME accreditation, and the future of CME funding. The programme
structure included multiple workshops conducted by leaders in the CME field, and
plenary sessions that facilitated multidisciplinary interactions with invited
guests, including the very learners the CME field is designed to serve. Attendee
feedback was gathered to begin shaping the programme for the 9th Annual European
CME Forum (#9ECF), which will take place in Amsterdam, The Netherlands, on 9-11
November 2016.
PMID- 29644125
TI - Proceedings of second Indian GAME conference, Mumbai, February, 2016.
AB - The second Indian Global Alliance for Medical Education (GAME) conference on
continuing medical education-continuing professional development (CME-CPD) was
held in Mumbai in February 2016. The main aim of the meeting was to create a
blueprint for further development of CME in India based on best practices from
around the world. To that end, delegates had been invited from the USA, the UK
and Australasia, who engaged in productive discussions with the major
stakeholders of the CME community in India. The latter included clinicians,
medical communications representatives and delegates from the pharmaceutical
industry. The mandatory CME system already established in Maharashtra was
described as an example, which could be exported to other states. The various
types of accreditation were discussed, including provider and activity
accreditation along with hybrid systems. Recommendations for future development
were proposed from workshops comprising clinicians, industry representatives and
medical communications agencies.
PMID- 29644126
TI - Collaborative diabetes training in outpatient primary care.
AB - Two Universities from Oulu, Finland organised integrated and interprofessional
(IP) type 2 diabetes training periods for undergraduate medical and nursing
students in collaboration with the University Hospital and Health and Wellbeing
Centre of Oulu. There is an ongoing health, social services and regional
government reform in Finland. The services will be organised in a customer
orientated way and the reform will combine the primary and secondary services.
The training was tailored to reflect the real life future setting in Finnish
primary care, and this model fits well with the principles of collaborative
education. The study aimed at investigating students' attitudes and readiness for
inter professional learning and their learning experience in combined primary and
secondary care settings. The second aim was to strengthen students' professional
skills by working with patients in a patient-centred manner. The "Readiness for
Interprofessional Learning Scale" was used with added questions about pair
training. Students' perceptions of their clinical skills were evaluated. The
students valued the mutual learning experience in outpatient primary care. They
felt comfortable with working together and complemented each other. Students
performed well with IP competencies such as patient centredness, communication
and team functioning. Patients in general were very satisfied with the visit.
Teamwork and collaboration, professional identity and pair work were highly
scored in both student groups while roles and responsibilities were evaluated a
little less positively. Collaboration between different levels of care and health
policies is important when developing health professionals' education. This IP
teamwork experience helps both future and current health-care professionals to
better organise the care of chronic illnesses.
PMID- 29644127
TI - Cologne Consensus Conference 2016: assessment in accredited CME/CPD, 16 and 17
September 2016, Cologne, Germany.
AB - Participants and faculty members from around Europe and North America met in
Cologne Germany on 16 and 17 September 2016, for the fifth annual Cologne
Consensus Conference under the auspices of the European Cardiology Section
Foundation (ECSF) in cooperation with various European and North American
professional medical associations, accrediting bodies and CME providers. The
conference was preceded by an afternoon workshop, organised by the European Board
for Accreditation in Cardiology (EBAC) that allowed participants to observe a
range of current e-learning modules in CME and to discuss implications for the
accreditation of micro-e-learning. The conference theme was Assessment and the
first day's presentations covered Assessment Methods, Needs Assessment,
Assessment of Interprofessional Teams, and Assessment of Providers. The second
day's topics were Assessment of Knowledge, Assessment of Practical Skills,
Evaluation methods and the link between Assessment and Licensure. A diverse range
of professional expertise among participants from both sides of the Atlantic
provided stimulating discussion to make the conference a rewarding experience for
all concerned.
PMID- 29644128
TI - Report on Proceedings of the Ninth Annual European CME Forum, Amsterdam, the
Netherlands, November 2016.
AB - Participants from as far afield as Australia and North America convened in
Amsterdam, the Netherlands between 9 and 11 November 2016 to attend the Ninth
European CME Forum (ECF). The meeting combined panel discussions with a range of
presentations on topics such as high quality learning, current challenges in CME
and future trends. However, the bulk of the forum was taken up with interactive
workshops under three different track headings: Development, Educational Design
and the CME Environment. Attendees also heard from a group of CME pioneers in a
pre-conference session on the history of CME on both sides of the Atlantic Ocean.
PMID- 29644129
TI - Oral rehydration therapy training and clinical simulation experience to improve
students' confidence in preparation for international medical mission trip to
Nicaragua.
AB - In order to better prepare the medical, graduate and undergraduate students
participating in our international medical mission trip to Nicaragua, we prepared
and presented a discussion on Oral Rehydration Therapy (ORT) prior to the
international experience. Additionally, a clinical simulation experience was
incorporated into our pre-departure training to instruct the students on basic
clinical skills, medical interviewing and teamwork. As a tool to measure the
students' prior knowledge on the topic of ORT, we designed a questionnaire, which
was distributed and collected prior to the training and simulation experience.
Finally, one day after the ORT training and simulation experience, we distributed
the same questionnaire and collected the results in order to measure the efficacy
of the training and simulation on the students' perspective of confidence, as
well as the students' retention of the information and skills taught. Our study
population consisted of 9 first-year medical students, 1 graduate student, and 16
pre-medical students, all of whom participated in all aspects of the study. In
the pre-training survey, only five of the students indicated that they could
confidently and effectively explain or administer ORT to someone else. After
collecting the results from the post-training survey, all of the students
indicated that they could confidently and effectively explain or administer ORT
to someone else. We concluded that the ORT training and clinical simulation
experience, wherein students could actively apply the knowledge they gained on
the administration of ORT to patients, are effective tools to aid in the
preparation of medical, graduate and undergraduate students by way of increasing
students' level of confidence in the subject material prior to embarking on
international medical mission trips.
PMID- 29644130
TI - Position paper on current aspects of sponsoring in accredited CME.
AB - This position paper is the result of a collaborative approach of several European
Specialty Accreditation Boards (ESABs) and, has been stimulated by their current
experience in accreditation regarding roles and responsibilities assumed by
sponsors of accredited continuing medical education (CME). The suggestions made
in this paper aim to preserve the fundamental principle in CME accreditation that
the physician in charge of the programme has sole responsibility for the
selection of topics, speakers, content and format, as well as mode of
presentation, and that sponsors will under no circumstances interfere with this
principle. This is considered as a responsibility of an individual physician (or
physicians), which cannot be delegated, even in part, to third parties. This
responsibility has been extended to include all communication before and after
the event. The paper also identifies undecided issues, about which ESABs are
committed to elaborate proposals in the future.
PMID- 29644131
TI - Roles and Responsibilities in the Provision of Accredited Continuing Medical
Education/Continuing Professional Development.
AB - The Cologne Consensus Conference 2015 has focused on "Providers in accredited
CME[continuing medical education]/CPD [continuing professional development]". As
an outcome of the CCC 2015, the authors of this paper, who were part of the
faculty, propose a contemporary definition of the roles and responsibilities of
stakeholders involved in the different stages of planning, delivery and
evaluation of CME/CPD.
PMID- 29644132
TI - European CME needs the European Specialist Societies.
PMID- 29644133
TI - Preferences and attitudes of physicians in India towards continuing medical
education.
AB - Introduction: Physicians in India display an enthusiasm for continuing medical
education (CME), however a proper system for facilitation and organisation of CME
activities is yet to evolve in the country. Methods: A questionnaire-based survey
was conducted among 751 physicians from eight medical specialties across India
and the data retrieved was analysed at individual physician and collective
specialty-specific levels. Results: The surveyed physicians considered case
presentations (73%), live speaker programmes (70%) and round-table meetings/focus
group meetings (70%) as the most effective CME activities. They preferred a
duration of <2 h for CME activities such as webcasts (89%) and lectures (86%).
Most of them considered scientific agenda (78%) and stature of speakers (77%) as
the most important determinants of the quality of a CME event. Most physicians
wanted topics such as disease guidelines (88%) and new
drugs/devices/interventions (86%) for discussion in CME activities. Medical
associations (87%) were the most desirable organisations for holding the CME
activities and face-to-face modules appealed to majority of the physicians (64%).
Conclusions: This study indicates that Indian physicians prefer live,
interactive, short, specialty-specific educational sessions for CME activities,
delivered by Indian experts and organised by medical associations at domestic
destinations.
PMID- 29644135
TI - Framework for industry engagement and quality principles for industry-provided
medical education in Europe.
AB - Lifelong learning through continuing professional development (CPD) and medical
education is critical for healthcare professionals to stay abreast of knowledge
and skills and provide an optimal standard of care to patients. In Europe, CPD
and medical education are fragmented as there are numerous models, providers and
national regulations and a lack of harmonisation of qualitative criteria. There
is continued debate on the appropriate role of pharmaceutical companies in the
context of medical education. Accrediting bodies such as European Accreditation
Council for Continuing Medical Education do not permit active involvement of the
pharmaceutical industry due to concerns around conflicts of interest and
potential for bias. However, many examples of active collaboration between
pharmaceutical companies and medical societies and scientific experts exist,
demonstrating high integrity, clear roles and responsibilities, and fair and
balanced content. Medical education experts from 16 pharmaceutical companies met
to develop a set of quality principles similar to standards that have been
established for clinical trials and in alignment with existing principles of
accrediting bodies. This paper outlines their proposal for a framework to improve
and harmonise medical education quality standards in Europe, and is also an
invitation for all stakeholders to join a discussion on this integrative model.
PMID- 29644134
TI - Identifying the needs for competency-based education in Europe: a needs
assessment of cardiologists across 52 countries.
AB - Objective: This international needs assessment was mandated by the European
Society of Cardiology (ESC) to obtain an in-depth understanding of the current
gaps and challenges of European cardiology professionals, with the aim to provide
evidence for the development of needs-driven educational and professional
development activities. Methods: This ethics-approved needs assessment was
conducted among cardiologists from all sub-specialties across 56 countries of
Europe and the Mediterranean basin. A mixed-methods research approach was used,
combining qualitative in-depth interviews and focus groups with a quantitative
survey. Results: Seventy-four (74) cardiologists participated in the qualitative
phase and 866 completed the survey. Respondents represented 52 of the 56 targeted
countries. Three themes were identified: 1) Challenges in the clinical decision
making process, 2) Challenges in establishing the patient-physician relationship,
and 3) Sub-optimal team communication and collaboration. Specific gaps and
causalities related to each challenge were found. Although most of the gaps were
common across countries and sub-specialties, some significant differences were
noted. Conclusion: The findings of this needs assessment indicate gaps and
challenges in clinical practice across countries and across sub-specialities.
Taking cardiology as an example, this study identifies clear areas of focus,
especially around issues of collaboration and communication, for targeted
competency-based education in Europe.
PMID- 29644136
TI - Letter To The Editor: The challenges faced by providers of CME in Europe.
PMID- 29644137
TI - CIS (change impact score) - a novel outcome measurement tool to quantify the
relevance of medical education interventions on professional performance.
AB - Outcome measurements play a key role in professional CME (Continuing Medical
Education). While assessment of delegate satisfaction and knowledge transfer is a
common standard, it appears desirable to address higher levels of evidence.
However, measurement of competence and performance is considered complex,
difficult and expensive. The CIS (Change Impact Score) is a novel instrument to
predict the relevance of the educational intervention to the professional
performance of the physician, based on a standardised on-site self-assessment.
PMID- 29644138
TI - Independence from industry cannot be compromised.
PMID- 29644139
TI - Industry - from sponsor to provider?
PMID- 29644140
TI - International needs analysis in orthopaedic trauma for practising surgeons with a
3-year review of resulting actions.
AB - Introduction: To ensure best-quality education in orthopaedic trauma, the
AOTrauma Education Commission conducted a Global Needs Analysis with practising
surgeons worldwide. Material and methods: During July to November 2012, an email
invitation to complete an online set of 30 questions in eight languages was sent
to our members and associates in all countries through AOTrauma's regional
networks. Non-members were invited to participate through collaboration with
orthopaedic societies. Results: A total of 3,790 surgeons practising orthopaedic
trauma (49%), orthopaedic (15%), general trauma (15%) and specialty orthopaedic
(13%) surgeons responded worldwide. Seventy per cent completed all questions, and
the top 10 countries accounted for half the responses. The top 3 areas of
educational need were orthopaedic trauma, joint replacement and preservation, and
pelvis and acetabulum. Aspects influencing likelihood to attend face-to-face
courses were: expert faculty, focus on a specific topic, clear objectives, and
discussion and feedback from experts. Barriers to attending courses were time
away from practice, cost and lack of availability or access. Conclusion: The
Global Needs Analysis helped our educational committees to identify short- and
mid-term priorities over recent years. Adjustments in our planning have helped
meet the needs of our audience on a global, regional and national level.
PMID- 29644141
TI - Learnings and challenges to deploy an interprofessional and independent medical
education programme to a new audience.
AB - The importance of interprofessional education (IPE) in continuing medical
education and professional development has long been recognised by health
organisations and academic societies, benefiting not only patient outcomes and
interprofessional relationships but also overall health systems and workforce
shortage. We report on the outcomes of an Australian IPE activity on medication
overuse headache (MOH) with general practitioners (GPs) and community pharmacists
as learners. The design of the activity, which followed the predisposing-enabling
reinforcing instructional framework by Green and Kreuter, aimed to: (1) improve
knowledge and foster a willingness in GPs and pharmacists to work collaboratively
to enhance the prevention, diagnosis and management of MOH; and (2) address their
educational gap by demonstrating the utility of a blended learning IPE strategy
on MOH. Integrated into the activity was an assessment of its effectiveness and
impact to instil change in the participants' knowledge of MOH, attitude and
willingness to treat, and clinical practice behaviours of GPs and pharmacists to
work together. The learners gained knowledge and confidence in diagnosing and
managing MOH and in their ability to educate patients. The IPE approach suited
the activity and was valued by the participating GPs and pharmacists, who seldom
experience such learning formats. However, for educational providers in
Australia, developing and deploying an independent medical education (IME)
programme can be challenging. Providers of IMEs need to be aware of the potential
pitfalls when competing with pharmaceutical-company-sponsored and delivered
programmes.
PMID- 29644142
TI - Report on Proceedings of the Tenth Annual European CME Forum, Dublin, Ireland,
November 2017.
AB - The august setting of the Royal College of Physicians of Ireland saw participants
from 17 different countries assemble for the Tenth European CME Forum between 8th
and 10th November 2017. The main themes of the meeting were classified under the
headings Inward (Educational design), Outward (Listening to others) and Onward
(Collaborations and partnerships) addressed via a combination of presentations,
interactive workshops, posters, and panel discussions. Topics explored included
team engagement, the voice of the patient, harmonisation in European
accreditation, competencies for CME professionals, and publishing in CME.
Discussion evoked both consensus and contention and provided participants with
excellent networking opportunities moving forward to the next decade of Forum
meetings.
PMID- 29644143
TI - "Certified ... now what?" On the Challenges of Lifelong Learning: Report from an
AMEE 2017 Symposium.
AB - The increasing mobility of patients and healthcare professionals across the
countries of Europe has highlighted the wide variations in both medical training,
and provision of medical competency and skills. The maintenance of the standards
defining competency and skills have national and international implications and
have proved challenging for national regulatory bodies. Thus each nation has
introduced different types of Continuing Professional Development (CPD),
recertification and relicensing systems. At the Symposium entitled: " 'Certified
... now what?' On the Challenges of Lifelong Learning" in August 2017 at the
Association for Medical Education in Europe (AMEE) annual conference, we reviewed
differing European national relicensing systems were reviewed. The review
highlighted various lifelong learning and competence assessment approaches using
examples from different medical specialties across several European countries.
PMID- 29644144
TI - Comparative Effectiveness of a Bioengineered Living Cellular Construct and
Cryopreserved Cadaveric Skin Allograft for the Treatment of Venous Leg Ulcers in
a Real-World Setting.
AB - Objective: A variety of advanced biological therapies are available for the
treatment of chronic wounds such as venous leg ulcers (VLUs), but real-world
comparative effectiveness data that can help guide decisions around treatments
are currently lacking. Approach: This analysis was designed to compare the
effectiveness of a bioengineered living cellular construct (BLCC) to a
cryopreserved cadaveric skin allograft (CCSA) for the treatment of VLUs.
Treatment records were collected from a large wound care-specific electronic
medical record database on 717 patients (799 VLUs) receiving treatment at 177
wound care centers. Ulcers >=28 days duration, between >=1 and < 40 cm2 that
closed <=40% within the 28 days before treatment were included. Results: Patient
baseline demographics and wound characteristics were comparable between groups.
The median time to wound closure was 52% faster with BLCC compared with CCSA (15
weeks vs. 31 weeks). In addition, the proportion of wounds healed were
significantly higher for BLCC by 12 weeks (42% vs. 24%) and 24 weeks (65% vs.
41%) (p = 0.0002). Treatment with BLCC increased the probability of healing by
97% compared with CCSA (hazard ratio = 1.97 [95% confidence interval 1.39-2.79],
p = 0.0002). Innovation: This is the first real-world comparative effectiveness
analysis to evaluate BLCC and CCSA for the treatment of VLUs. Conclusion:
Treatment with a bioengineered cellular technology significantly improved the
incidence and speed of wound closure compared with a CCSA.
PMID- 29644145
TI - Publicly Reported Wound Healing Rates: The Fantasy and the Reality.
AB - Significance: We compare real-world data from the U.S. Wound Registry (USWR) with
randomized controlled trials and publicly reported wound outcomes and develop
criteria for honest reporting of wound outcomes, a requirement of the new Quality
Payment Program (QPP). Recent Advances: Because no method has existed by which
wounds could be stratified according to their likelihood of healing among real
world patients, practitioners have reported fantastically high healing rates. The
USWR has developed several risk-stratified wound healing quality measures for
diabetic foot ulcers (DFUs) and venous leg ulcers (VLUs) as part of its Qualified
Clinical Data Registry (QCDR). This allows practitioners to report DFU and VLU
healing rates in comparison to the likelihood of whether the wound would have
healed. Critical Issues: Under the new QPP, practitioners must report at least
one practice-relevant outcome measure, and it must be risk adjusted so that
clinicians caring for the sickest patients do not appear to have worse outcomes
than their peers. The Wound Healing Index is a validated risk-stratification
method that can predict whether a DFU or VLU will heal, leveling the playing
field for outcome reporting and removing the need to artificially inflate healing
rates. Wound care practitioners can report the USWR DFU and VLU risk-stratified
outcome measure to satisfy the quality reporting requirements of the QPP. Future
Directions: Per the requirements of the QPP, the USWR will begin publicly
reporting of risk-stratified healing rates once quality measure data have met the
reporting standards of the Centers for Medicare and Medicaid Services. Some basic
rules for data censoring are proposed for public reporting of healing rates, and
others are needed, which should be decided by consensus among the wound care
community.
PMID- 29644146
TI - Xanthine Oxidoreductase: A Novel Therapeutic Target for the Treatment of Chronic
Wounds?
AB - Significance: Chronic wounds are a major burden to patients and to healthcare
systems worldwide. These wounds are difficult to heal and treatment is often
lengthy and expensive. This has led to research efforts focussed on the wound
environment attempting to understand the underlying pathological mechanisms of
impaired wound healing. While some of this research has translated to
advancements in wound therapies and implementation of new treatment options,
chronic wounds remain a significant challenge to treat. Thus, identification of
effective, low-cost, advanced wound therapies that enhance healing rates of these
problematic wounds is still essential. Recent Advances and Critical Issues:
Xanthine oxidoreductase (XOR), a molybdoflavin enzyme, is emerging as an
important source of reactive oxygen species (ROS) in various pathologies,
including diabetes and chronic wounds. XOR has recently been shown to be
upregulated in chronic wounds, stimulating the overproduction of ROS during
dysfunctional wound healing. XOR-induced ROS can amplify and potentiate
inflammation in the wound environment further delaying wound closure. Future
Directions: The detrimental role of XOR in impaired healing indicates it may be a
therapeutic target. Targeted inhibition of XOR has been shown to reduce the
expression and activity of this enzyme in diabetic wound models. In turn, this
resulted in a significant decrease in ROS levels in the wound environment and
improved wound healing. Therefore, repurposing existing XOR inhibitors that are
approved for human use may be able to restore homeostasis at the wound site and
enable damaged tissue to return to normal healing.
PMID- 29644147
TI - Food Insecurity, Not Stress is Associated with Three Measures of Obesity in Low
Income, Mexican-American Women in South Texas.
AB - Objective: To determine the relationship between obesity, food insecurity and
perceived stress in very low income Mexican American women. Design: Cross
sectional baseline data analysis of a randomized clinical trial. Setting: Texas
Mexico border region of South Texas. Subjects: Very Low Income Mexican American
Women. Results: The relationship between obesity and food insecurity in a sample
of very low income Hispanic women living in South Texas depends on the measure of
obesity and the dimension of food insecurity. The only measure of food insecurity
associated with all measures of obesity was often not having enough money to
afford to eat balanced meals. Waist circumference was associated with the most
dimensions of food insecurity, while BMI had the least associations. Finally,
perceived stress was not significantly associated with BMI, waist circumference
or percent body fat when adjusted for other covariates. Conclusions: We have
found a strong and significant relationship between food insecurity related to
having enough resources to eat a balanced diet and BMI, waist circumference, and
percent body fat in low-income Mexican American women. While behavioural change
is an important strategy for reducing obesity, consideration may need to be made
as to how food access with high nutritional value, may be in and of itself a
contributing factor in obesity in low income populations.
PMID- 29644148
TI - Differential Deposition of Fluorescently Tagged Cholesterol on Commercial Contact
Lenses Using a Novel In Vitro Eye Model.
AB - Purpose: We evaluate the differences in lipid uptake and penetration in daily
disposable (DD) contact lenses (CL) using a conventional "in-vial" method
compared to a novel in vitro eye model. Methods: The penetration of fluorescently
labelled 22-(N-(7-Nitrobenz-2-Oxa-1,3-Diazol-4-yl)Amino)-23,24-Bisnor-5-Cholen
3beta-Ol (NBD)-cholesterol on three silicone hydrogel (SH) and four conventional
hydrogel (CH) DD CLs were investigated. CLs were incubated for 4 and 12 hours in
a vial, containing 3.5 mL artificial tear solution (ATS), or were mounted on an
in vitro eye-blink platform designed to simulate physiologic tear flow (2 mL/24
hours), tear volume and "simulated" blinking. Subsequently, CLs were analyzed
using laser scanning confocal microscopy and ImageJ. Results: Penetration depth
and fluorescence intensities of NBD-cholesterol varied between the incubation
methods as well as lens materials. Using the traditional vial incubation method,
NBD-cholesterol uptake occurred equally on both sides of all lens materials.
However, using our eye-blink model, cholesterol penetration was observed
primarily on the anterior surface of the CLs. In general, SH lenses showed higher
intensities of NBD-cholesterol than CH materials. Conclusions: The traditional
"in-vial" incubation method exposes the CLs to an excessively high amount of ATS,
which results in an overestimation for cholesterol deposition. Our model, which
incorporates important ocular factors, such as intermittent air exposure, small
tear volume, and physiological tear flow between blinks, provides a more natural
environment for in vitro lens incubation. Translational Relevance: In vitro
measurements of CLs are a common approach to predict their interactions and
performance on the eye. Traditional methods, however, are rudimentary. Therefore,
this study presents a novel in vitro model to evaluate CLs, which consequently
will enhance elucidations of the interactions between CLs and the eye.
PMID- 29644149
TI - Cardiovascular Disease Risk in Obstructive Sleep apnea: An Update.
PMID- 29644150
TI - It's About Time: Examining the Effect of Interviewer-Quoted Survey Completion
Time Estimates on Survey Efficiency.
AB - Declining response rates may introduce bias into survey results and increase
costs. Two national surveys, the National Immunization Survey (NIS) and the NIS
Teen, were used to study the impact of survey length, as stated by the
interviewer, and inclusion of a topic of interest to respondents on response
rates. The two studies included comparisons of the standard survey instruments to
revised, condensed instruments. The NIS study also included variations of the
standard survey with sections considered of interest for parental respondents,
the Parental Concerns Module (PCM), which contained questions about parents'
thoughts and beliefs about vaccinations. The outcomes of interest were
differences in the response rates and resulting survey costs in each of the study
conditions. The shortened instruments resulted in higher response rates compared
to both the standard instruments and the instruments including the PCM and
reduced the overall time needed to complete an interview. Based on these results,
the NIS and NIS-Teen questionnaires were both shortened.
PMID- 29644151
TI - Trans-cranial Doppler as an Ancillary Study Supporting Irreversible Brain Injury
in a Post Cardiac Arrest Patient on Extracorporeal Membrane Oxygenation.
AB - Obtaining neuroimaging in patients on cardiopulmonary support devices such as
extracorporeal membrane oxygenation (ECMO) can be challenging, given the
complexities in monitoring, instrumentation, and associated hemodynamic lability.
Transcranial Doppler (TCD) is used as an ancillary test for the assessment of
cerebral circulatory arrest, but its use in non-pulsatile blood flow in
venoarterial (VA) ECMO is not well described. We report the use of TCD in a
patient on VA ECMO post-cardiac arrest for evaluation of death by neurological
criteria. A 72-year-old female was admitted for elective trans-catheter aortic
valve replacement. Her postoperative course was complicated by hemo-pericardium
evolving into pulseless electrical activity causing cardiac arrest. She was
resuscitated with return of spontaneous circulation and initiated on VA ECMO and
intra-aortic balloon pump for cardiogenic shock. Over the next few days, serial
evaluations persistently showed a poor neurological examination. She was too
unstable to transport for neuroimaging. Evaluation for death by neurological
criteria was performed with a clinical examination, apnea testing, and TCD as an
ancillary study. TCD showed systolic spikes supporting an impression of cerebral
circulatory arrest consistent with an irreversible brain injury.
PMID- 29644152
TI - The Fight Against Cancer: Nitrobenzaldehyde as the Potential Warrior.
AB - New milestones have been reached in oncology with the advent of a noninvasive,
photodynamic therapy which aims to eradicate cancer cells rapidly. A chemical
compound, Nitrobenzaldehyde, injected into the tumor, activates by ultraviolet
(UV) light and disrupts the cancer cells' internal and external dynamics. This
technique could be of enormous therapeutic value in destroying numerous cancer
lines including breast, prostate, pancreatic cancers, etc., without causing
unwanted systemic side effects.
PMID- 29644153
TI - Acute Fatty Liver of Pregnancy: A Thorough Examination of a Harmful Obstetrical
Syndrome and Its Counterparts.
AB - Diagnosed in one of every 20,000 deliveries, acute fatty liver of pregnancy
(AFLP) was considered to be a deadly disease for many years. However,
advancements in the clinical and surgical management of pregnant mothers have
lead to a drastic decrease in maternal morbidity and mortality. The corresponding
case recounts a 23-year-old gravida 2 para 1 (G2P1) at 38 weeks gestational age
(GA) with no relevant past medical or family medical history that presented to
the emergency department (ED) with a five-day history of nausea, protracted
vomiting, hypertension, and new-onset headache. Being late in the third
trimester, preeclampsia was the top differential diagnosis while awaiting
additional laboratory work-up. The work-up later revealed elevated liver function
tests and bilirubin plus an abnormal coagulation profile with low fibrinogen. The
differential was then shifted to AFLP versus hemolysis-elevated liver enzymes-low
platelets (HELLP) syndrome. The patient was promptly transferred to the labor and
delivery unit for close monitoring and delivery planning. Upon cervical
examination, the patient was not dilated and was therefore determined to be
remote from delivery. A cesarean section was performed and the mother was
transferred to the intensive care unit (ICU) post-operatively to optimize
management of her coagulopathy. Her abnormal laboratory studies normalized by
post-operative day four and she was discharged home with her newborn.
PMID- 29644154
TI - What Do Patients Think About Their Radiation Oncologists? An Assessment of Online
Patient Reviews on Healthgrades.
AB - Introduction An increasing number of patients search for their physicians online.
Many hospital systems utilize Press-Ganey studies as internal tools to analyze
patient satisfaction, but independent third-party websites have a large presence
online. Patients' trust in these third-party sites may occur despite a low number
of reviews and a lack of validity of patients' entries. Healthgrades.com has been
shown as the most popular site to appear on Google searches for radiation
oncologists (ROs) in the United States (US). The aim of this study was to analyze
patient satisfaction scores and the factors that influence those scores for
American ROs on Healthgrades. Methods The physician ratings website Healthgrades
was manually queried to obtain reviews from all Medicare-participating ROs with
reviews (n=2,679). Patient Review Satisfaction Scores (PRSS) were recorded in
response to a variety of questions. All information in the survey was scored from
1 (poor) to 5 (excellent) for the following characteristics: likelihood to
recommend (LTR), office environment, ease of scheduling, trust in the physician's
decision, staff friendliness, ability of the physician to listen and answer
questions, ability of the physician to explain the condition, and whether the
physician spent sufficient time with the patients. Associations amongst these
factors were considered by computing Spearman correlation coefficients and
utilizing Mann-Whitney and Kruskal-Wallis tests. Results The ROs' mean LTR score
was 4.51+/-0.9 (median 5.0, 66% received the highest possible score of 5; 95%
received a score>2). Patient reviews per RO ranged from 1 to 242 (4.50+/-0.9,
median 2.0). LTR scores correlated very strongly with physician-related factors,
ranging from r=0.85 (with appropriate time spent with patients) to r=0.89 (with
level of trust in physician). LTR scores were not statistically significantly
associated with gender, wait time, ROs' years since graduation, academic status,
or geographic region. Conclusion Satisfaction scores for ROs on a leading
physician ratings website are very strong, and most patients leaving reviews are
likely to recommend their own ROs to their friends and family. Understanding
online ratings and identifying factors associated with positive ratings are
important for both patients and ROs due to the recent growth in physician-rating
third-party sites. ROs should have increased awareness regarding sites like
Healthgrades and their online reputation.
PMID- 29644155
TI - Stereotactic Body Radiation Therapy for Pulmonary Oligometastases Arising from
Non-lung Primaries in Patients Without Extrapulmonary Disease.
AB - Purpose Stereotactic body radiation therapy (SBRT) is increasingly used in the
management of patients with oligometastatic cancers and is under prospective
evaluation by the Radiation Therapy Oncology Group (RTOG). Here we report
outcomes from a high-volume institution of patients treated with SBRT for
pulmonary oligometastases. Materials and methods We conducted a retrospective
review of 105 patients who had one to five pulmonary oligometastases (185
lesions) without extrapulmonary disease treated with SBRT from 2002-2014. Target
failure-free survival (TFFS), progression-free survival (PFS), and overall
survival (OS) were calculated. Univariate and multivariate Cox regression
analyses were performed on factors predictive of outcomes. Results The median age
at first SBRT was 68 years and the median follow-up was 29.5 months. The median
time from initial diagnosis of primary to SBRT was 42.7 months; 14.3% had
synchronous oligometastases and 76.7% had one to two pulmonary lesions at first
SBRT. The distribution of primaries was as follows: 36.2% colorectal, 16.2%
head/neck, 9.5% genitourinary, 9.5% sarcoma, 7.6% gynecologic, 6.7% other, 5.7%
breast, 5% melanoma, and 4% esophageal. The median lesion size was 1.6 cm and the
most common regimen was 60 Gy in three fractions (range: 12-60 Gy in one to five
fractions). TFFS was 94.4% and 90.8% at two and three years, respectively. Two
and three year OS were 87.9% and 60.2%, respectively. Median PFS and OS were 16.2
and 45.3 months, respectively. In multivariate analysis, age at primary cancer
diagnosis and biologically effective dose with an alpha-beta ratio of 10 (BED10)
were identified as factors significantly affecting OS (p<0.05). Conclusions
Comprehensive treatment of pulmonary oligometastases with SBRT in the absence of
extrapulmonary disease results in excellent target control and modest survival
outcomes.
PMID- 29644156
TI - Group A Streptococcal Pyomyositis in a Previously Healthy Six-year-old Girl.
AB - A six-year-old previously healthy girl was seen in an outpatient pediatric clinic
in the western United States for thigh pain. The pain was accompanied by an
initial fever and was most severe after periods of prolonged rest. During the
evaluation, her exam rapidly progressed with severe episodic pain and tenderness
of the right anteromedial thigh. Magnetic resonance imaging (MRI) demonstrated
signal enhancement at the insertion of the right obturator externus muscle. Blood
culture was positive for Group A Streptococcus. She was diagnosed with
pyomyositis of the right obturator externus and was successfully treated with
antimicrobials. This case demonstrates a rare case of streptococcal pyomyosits,
in a temperate climate, without known predisposing factor or injury. We review
the epidemiology of streptococcal pyomyositis in temperate climates and discuss
the presentation of pyomyositis in children.
PMID- 29644157
TI - Persistent Bradycardia with the Long-term Use of Phenytoin and Oxycodone: First
Case Report.
AB - Phenytoin is a medication that is used primarily in the treatment of epilepsy as
well as generalized tonic-clonic seizures and status epilepticus. Phenytoin is
also considered a class IB antiarrhythmic medication by shortening the duration
of the action potential and increasing myocardial conduction. The neurologic
adverse effects of phenytoin are well-documented and include altered mental
status, ataxia, and nystagmus. Some adverse cardiac manifestations have also been
reported, including arrhythmias, hypotension, and respiratory arrest. Oxycodone
is an opioid that exerts its effects by binding to Mu opioid receptors located in
the central nervous system. This selective binding results in the opening of
potassium (k+) channels and the closing of calcium channels, decreasing synaptic
transmission. Oxycodone, unlike phenytoin, has not been observed to elicit
cardiotoxicity independent of other medications. However, in combination with
other medications, bradycardia and hypotension have been observed. We report the
case of a 62-year-old male who developed persistent bradycardia following
treatment with phenytoin and oxycodone for seizure disorder and pain,
respectively. To our knowledge, this is the first case report where bradycardia
was induced by a combination of these medications.
PMID- 29644158
TI - Bilateral Simultaneous Temporal Relapses of Medulloblastoma.
AB - Supratentorial relapses are a common component of medulloblastoma after failure
of treatment. Craniospinal irradiation (CSI) to cerebrospinal fluid-bearing areas
is an essential part of the management of these tumors both in adults and
children. Failure of treatment in specific anatomical regions can be attributable
to technical inaccuracies in CSI technique leading to radiation underdosing in
such areas. We present two cases of patients with bilateral simultaneous
metastasis of a primary medulloblastoma treated, in both cases, four years before
the recurrence. In both patients the tumors were mirror images, at the right and
left temporal pole. Radiotherapeutic plans were analyzed in both cases, and a
possible mechanism determining the pattern of relapse is discussed. We consider,
in agreement with the literature, that a prone position during treatment,
shielding blocks at the cribiform/subfrontal region, and anatomic inadequacies in
the CSI fields could have contributed to the presented pattern of relapse.
PMID- 29644159
TI - Cranial Nerve Foramina Part I: A Review of the Anatomy and Pathology of Cranial
Nerve Foramina of the Anterior and Middle Fossa.
AB - Cranial nerve foramina are integral exits from the confines of the skull. Despite
their significance in cranial nerve pathologies, there has been no comprehensive
anatomical review of these structures. Owing to the extensive nature of this
topic, Part I of our review, presented here, focuses on the foramina of the
anterior and middle cranial fossae, discussing each foramen's shape, orientation,
size, surrounding structures, and structures that traverse them. Furthermore, by
comparing the size of each foramen against the cross-sectional areas of its
contents, we estimate the amount of free space in each. We also review lesions
that can obstruct the foramina and discuss their clinical consequences.
PMID- 29644161
TI - Sleep Deprivation Therapy Enhanced Via Repetitive Transcranial Magnetic
Stimulation in Major Depression.
AB - Transcranial magnetic stimulation (rTMS) and sleep deprivation (SD) are two of
the latest advances made in the field of psychiatric research. Though yet in
development, they present unique opportunities to achieve significant clinical
outcomes particularly in major depression disorder (MDD). A limited set of
studies have been done in the combined use of rTMS-SD in treating MDD. While
promising, these studies have been hampered by the limited knowledge of rTMS and
SD themselves due to their relatively recent use as viable therapeutic options.
This review is aimed at an analysis of the limitations observed in the studies
conducted to date involving rTMS and SD. In addition, it explores the potential
new avenues for future research in the deployment of rTMS-SD as a viable
treatment option.
PMID- 29644160
TI - Wilson's Disease: Expect the Unexpected.
AB - A 64-year-old woman, presented with abdominal distention, jaundice and resting
tremor, was found to have liver injury and abnormal liver enzymes. A computed
tomography (CT) scan of the abdomen and pelvis showed abdominopelvic ascites and
signs of liver cirrhosis. An extensive liver disease workup was performed and
came back negative; therefore, a liver biopsy was obtained and showed evidence of
cirrhosis with elevated liver copper consistent with Wilson's disease (WD). We
report a unique case of late-onset WD in which the ceruloplasmin level and 24-h
urinary copper excretion were all normal.
PMID- 29644162
TI - Clinical Study on Middle Ear Diseases in Children with Orofacial Clefts.
AB - Introduction Orofacial clefts are associated with middle ear diseases, but the
magnitude of this problem is not generally well appreciated. The aim of this
study was to describe the middle ear findings and audiological profile in
children with orofacial clefts. Materials and methods Children with orofacial
clefts attending plastic surgery and otorhinolaryngology departments of a
tertiary hospital over one-year duration were included in this study. Ninety-six
children with orofacial clefts were identified. They were categorized age-wise as
zero to five years, more than five years to 10 years, and more than 10 years to
15 years. They underwent a detailed ear, nose, and throat examination followed by
audiological tests, including brainstem evoked response audiogram (BERA), pure
tone audiogram (PTA), and tympanometry. Results Among 96 children with orofacial
clefts, only 24 children (25%) had symptomatic ear problems, whereas on ear
examination, 56 children (58.3%) had abnormal ear findings. Middle ear effusion
(MEE) was the most common ear condition, and it was seen in 94 ears (48.9%).
Cholesteatoma was noted in six children (3.1%). Out of 73 children in the zero to
five age group, 58 children (79.5%) did not have any history of ear problem but
55.5% (81 ears) had features of MEE such as a dull tympanic membrane (TM). In the
age group of more than five years to 10 years, only four children (28.5%) were
symptomatic. Five children (55.5%) out of nine in the age group of more than 10
years had a symptomatic ear problem of which four children (44.4%) had chronic
otitis media squamosal disease. The earlier age groups showed a trend of ear
disease being asymptomatic compared to older children. Normal hearing was present
in only 40 children (41.7%) and various degrees of hearing loss were seen in 56
children (58.3%). The mean air conduction threshold in the age group zero to five
years, more than five years to 10 years, and more than 10 years were 33+/-8.3
decibels (dB), 25+/-6.2 dB, and 31.5+/-14 dB, respectively. Out of 144 ears (72
children) in the asymptomatic group, 67 ears (46.5%) had normal hearing. Seventy
seven ears (53.5%) had some degree of hearing loss. Mean air conduction hearing
loss in the asymptomatic group was 29.8+/-7.3 dB. Conclusion This study
highlights the higher incidence of middle ear diseases compared to the presenting
symptoms in children with orofacial clefts. This stresses on the need for a
detailed otological evaluation to identify any middle ear pathology so that
timely intervention can be taken.
PMID- 29644164
TI - The extra-tunical grafting procedure for Peyronie's disease hourglass and indent
deformities.
AB - Background: To describe a novel, tunica-sparing surgical technique-extra-tunical
grafting (ETG)-for the treatment of penile indent and hourglass (HG) deformities
and to describe patient-reported outcomes after the ETG procedure. Methods: An
IRB-approved, retrospective chart review of ETG patients was performed to collect
data including pre-operative deformity, operation performed, and post-operative
patient-reported perception of deformity, erectile function, penile sensation,
and overall satisfaction with the ETG operation. The indications for surgery were
difficulty with sexual intercourse due to deformity and/or poor cosmesis of the
penis. Pre-operatively all patients had erections adequate for intercourse with
or without medications. The ETG procedure is performed through a ventral
longitudinal penile skin incision. The dissection is continued to the level
between Dartos and Bucks fascia, circumferentially for HG deformity and focally
for indent deformity. The neurovascular bundle (NVB) is left undisturbed. A
cadaveric fascia graft is applied, singly or in multiple layers, to fill the
exposed tunical depressions. Our preferred graft material is Tutoplast Suspend(r)
(Coloplast, Minneapolis, MN, USA). The graft is sutured into position with
multiple interrupted, long-lasting absorbable sutures to achieve the desired
penile shaft contour. The urethra is excluded from the graft. Results: From
October 2013 to June 2017, 36 patients had the ETG procedure for HG and/or indent
with or without penile curvature. Results with a minimum of 6 months of follow-up
could be extracted for 18 of the patients. One was excluded as he required
concurrent excision of a large calcified tunical plaque, which necessitated
incision into the tunica albuginea (TA). Follow-up was between 6 and 44 months
(average 21 months). All patients reported satisfactory resolution of the HG or
indent. No patient reported worsened erectile function. Two patients (11.8%)
reported slight penile hypoesthesia, with one of these having had multiple
previous penile degloving surgeries for trauma. Ten of the patients reported
being "very satisfied" and six reported being "satisfied" with the procedure. One
was neutral. All reported that they would recommend the ETG procedure to a
friend, and all would repeat the same surgery again. Conclusions: The ETG
procedure is a straightforward approach to HG and indent deformities to correct
the penile deformity and to provide structural support to prevent penile buckling
during intercourse. The procedure does not violate the TA and does not require
dissection of the NVB. Thus, ETG carries a very low risk of de novo impotence or
hypoesthesia as highlighted by this patient series. With such low risk and high
patient-reported satisfaction rates, the ETG procedure is a valuable surgical
technique for the treatment of complex penile deformities.
PMID- 29644163
TI - Artificial Antigen Presenting Cells: An Off the Shelf Approach for Generation of
Desirable T-Cell Populations for Broad Application of Adoptive Immunotherapy.
AB - Adoptive transfer of antigen specific T-cells can lead to eradication of cancer
and viral infections. The broad application of this approach has further been
hampered by the limited availability of adequate numbers of T-cells for treatment
in a timely manner. This has led to efforts for the development of efficient
methods to generate large numbers of T-cells with specificity for tumor or viral
antigens that can be harnessed for use in cancer therapy. Recent studies have
demonstrated that during encounter with tumor antigen, the signals delivered to T
cells by professional antigen-presenting cells can affect T-cell programming and
their subsequent therapeutic efficacy. This has stimulated efforts to develop
artificial antigen-presenting cells that allow optimal control over the signals
provided to T-cells. In this review, we will discuss the cellular artificial
antigen-presenting cell systems and their use in T-cell adoptive immunotherapy
for cancer and infections.
PMID- 29644165
TI - Treatment of stress urinary incontinence with low-intensity extracorporeal shock
wave therapy in a vaginal balloon dilation induced rat model.
AB - Background: To investigate the outcomes and mechanisms of low-intensity
extracorporeal shock wave therapy (Li-ESWT) on stress urinary incontinence (SUI)
in a vaginal balloon dilation (VBD) rat model. Methods: Thirty Sprague-Dawley
rats were randomly grouped into normal controls, VBD only, and VBD with Li-ESWT.
Li-ESWT was administered twice per week for 3 weeks. Afterward, all 30 rats were
assessed with functional and histological studies. To explore the acute effect of
Li-ESWT, another 25 rats, given intraperitoneal 5-ethynyl-2-deoxyuridine (EdU) at
birth, were treated with Li-ESWT followed by assessment of vascular endothelial
growth factor (VEGF) expression and endogenous progenitor cells distribution at
24 hours or 1 week after the last Li-ESWT therapy. Additionally, rat myoblast L6
cells were used for myotube formation assay in vitro. Results: Functional
analysis with leak-point pressure (LPP) testing showed that rats treated with Li
ESWT following VBD had significantly higher LPP relative to those receiving VBD
only (44.8+/-3.2 versus 27.0+/-2.9 cmH2O, P<0.01). Histological examinations
showed increased urethral sphincter regeneration in Li-ESWT group. The rats
treated with Li-ESWT also had increased vascularity, which was confirmed by
immunohistochemistry of rat endothelial cell antigen, while reverse-transcriptase
polymerase chain reaction (RT-PCR) showed VEGF expression was significantly
enhanced. Additionally, there were significantly increased EdU+ cells in Li-ESWT
treated rats at 24 hours. In vitro, Li-ESWT promoted myotube formation from L6
cells. Conclusions: Li-ESWT ameliorated SUI by promoting angiogenesis, progenitor
cell recruitment, and urethral sphincter regeneration in a rat model induced by
VBD. Li-ESWT represents a potential novel non-invasive therapy for SUI.
PMID- 29644166
TI - Electroejaculation combined with assisted reproductive technology in psychogenic
anejaculation patients refractory to penile vibratory stimulation.
AB - Background: Psychogenic anejaculation (PAE) is a rare disease in clinic seriously
affecting quality of life, especially fertility of patients. However, there is
not an effective treatment for these patients. The aim of the study was to
evaluate the results of treatment with electroejaculation (EEJ), intrauterine
insemination (IUI), and intracytoplasmic sperm injection (ICSI) in patients with
PAE who were refractory to penile vibratory stimulation (PVS). Methods: Twenty
PVS-refractory patients with PAE were included in the retrospective study. Semen
was retrieved through EEJ procedures. According to the semen quality and patient
preference, IUI or ICSI was selected. Results: A total of 23 procedures of EEJ
were performed in 20 patients. Sperm was successfully retrieved in all of the
cases. Yielded semen was used in a total of 16 ICSI procedures in 6 couples. The
fertilization rate was 54.0%. The ICSI cycles resulted in three pregnancies; of
these one resulted in a live birth. Fourteen couples were treated with 26 IUI
cycles, which resulted in live births in five pregnancies. Conclusions: EEJ
offers an encouraging pregnancy opportunity for men with PAE who were refractory
to PVS. The combined use of EEJ and assisted reproductive techniques is excellent
management for PAE infertility. In our study, EEJ resulted in pregnancies and the
birth of six healthy children.
PMID- 29644167
TI - Chronic scrotal pain may lead to reduced sexual function and interest, while
sexual activity may worsen chronic scrotal pain: "double jeopardy".
AB - Background: While it is recognized that chronic pain may adversely impact sexual
function and activity in men and we also recognize that sexual activity may
worsen the pain for men with chronic scrotal pain (CSP), the effect on sexual
functioning and conversely the impact of sex on CSP has not previously been
documented. Methods: Retrospective analysis of a prospectively collected
database. Results: From Feb 2014 to Sep 2015, a total of 128 men presenting for
assessment of CSP completed all or parts of a standardized questionnaire. Overall
60% (69/116) of the men felt that the CSP had kept them either a "lot" or "some"
of the time from sexual activity and 64% (27/43) had evidence of decreased
erectile performance. Those with more severe pain (analog pain scores 7-10/10)
had more significant sexual dysfunction than those with less severe pain, with
54% (45/83) vs. 0% (0/33, P<0.01: Fisher's exact test) noting that the pain kept
them from sexual activity "a lot" and 70% (23/33) vs. 40% (4/10, P<0.01) noting
sexual dysfunction. Diminished libido was also common in the men [43% (55/128)]
as were the symptoms of testosterone deficiency [76% (97/128)]. Conversely,
sexual activity often exacerbated the pain, with 37% (47/128) finding that
ejaculation aggravated their pain and another 38% (48/128) patients found sexual
activity aggravated their pain. Conclusions: Men with severe CSP have
significantly reduced sexual function and interest compared to men with moderate
or minor levels of pain. The majority of men with CSP who were sexually active
were faced with worsening pain with sex and ejaculation. Clinicians should be
aware of the twin risks of sexual dysfunction occurring in men with CSP and
sexual activity worsening the severity of the CSP.
PMID- 29644169
TI - Testosterone replacement therapy for physician assistants and nurse
practitioners.
AB - Physician assistants (PA) and nurse practitioners have been moving toward
specialty practices, like urology. With increased training and education, they
manage more complex conditions independently. Whether they are the primary
provider or the follow up to a specialist, physician extenders can play a vital
role in managing patients undergoing testosterone therapy. Physician extenders
should be able to understand the indications, risks and associated adverse
effects of administering testosterone in order to proficiently take care of
patients with low testosterone. The goal of this review is to recognize the role
and the limits to which physician extenders should manage hypogonadism, and when
physician collaboration or referral is necessary.
PMID- 29644170
TI - Factors affecting urinary incontinence during robotic radical prostatectomy.
PMID- 29644171
TI - A novel bladder cancer urinary biomarker: can it go where no marker has gone
before?
PMID- 29644173
TI - Identification of hypoxic gene-signature as a prognostic and predictive biomarker
to determine effective therapy in high risk bladder cancer patients.
PMID- 29644172
TI - Detection of circulating tumor DNA for advanced bladder cancer: where are we
going?
PMID- 29644174
TI - Expansion of clinical application of laparoscopic single-site surgery through
natural orifice transluminal endoscopic surgery.
PMID- 29644175
TI - Urinary biomarker for the detection of recurrence following non-muscle invasive
bladder cancer: are we there yet?
PMID- 29644176
TI - Robot-assisted laparoscopic implantation of brachytherapy catheters in bladder
cancer recent interests and prospective.
PMID- 29644168
TI - Pelvic fracture urethral injury in males-mechanisms of injury, management options
and outcomes.
AB - Pelvic fracture urethral injury (PFUI) management in male adults and children is
controversial. The jury is still out on the best way to manage these injuries in
the short and long-term to minimise complications and optimise outcomes. There is
also little in the urological literature about pelvic fractures themselves, their
causes, grading systems, associated injuries and the mechanism of PFUI. A review
of pelvic fracture and male PFUI literature since 1757 was performed to determine
pelvic fracture classification, associated injuries and, PFUI classification and
management. The outcomes of; suprapubic catheter (SPC) insertion alone, primary
open surgical repair (POSR), delayed primary open surgical repair (DPOSR),
primary open realignment (POR), primary endoscopic realignment (PER), delayed
endoscopic treatment (DET) and delayed urethroplasty (DU) in male adults and
children in all major series have been reviewed and collated for rates of
restricture (RS), erectile dysfunction (ED) and urinary incontinence (UI). For
SPC, POSR, DPOSR, POR, PER, DET and DU; (I) mean RS rate was 97.9%, 53.9%, 18%,
58.3%, 62.0%, 80.2%, 14.4%; (II) mean ED rate was 25.6%, 22.5%, 71%, 37.2%,
23.6%, 31.9%, 12.7%; (III) mean UI rate was 6.7%, 13.6%, 0%, 14.5%, 4.1%, 4.1%,
6.8%; (IV) mean FU in months was 46.3, 29.4, 12, 61, 31.4, 31.8, 54.9. For males
with PFUI restricture and new onset ED is lowest following DU whilst UI is lowest
following DPOSR. On balance DU offers the best overall outcomes and should be the
treatment of choice for PFUI.
PMID- 29644177
TI - Editorial on "Erectile function and oncologic outcomes following open retropubic
and robot-assisted radical prostatectomy: results from the LAParoscopic
Prostatectomy Robot Open Trial".
PMID- 29644178
TI - Robotic-assisted vs. open radical prostatectomy: an update to the never-ending
debate.
PMID- 29644179
TI - Who benefits most from early salvage radiation therapy after prostatectomy?
PMID- 29644180
TI - Balancing the benefits and harms of radiotherapy post-radical prostatectomy.
PMID- 29644181
TI - Radium-223 for metastatic castration-resistant prostate cancer: results and
remaining open issues after the ALSYMPCA trial.
PMID- 29644182
TI - Editorial on the value of an immediate intravesical instillation of mitomycin C
in patients with non-muscle-invasive bladder cancer.
PMID- 29644183
TI - A single immediate instillation of chemotherapy for non-muscle invasive bladder
cancer: in all patients?
PMID- 29644185
TI - Dr. Stephen J. Freedland: treating prostate cancer-a healthy lifestyle is what
matters!
PMID- 29644186
TI - Dr. Run Wang: penile implant as the first line treatment for severe erectile
dysfunction.
PMID- 29644184
TI - Anastomotic leaks and catheter time after salvage robot-assisted radical
prostatectomy.
PMID- 29644187
TI - Prof. Steven Patierno: perseverance, creativity and collaboration are the keys to
successful research.
PMID- 29644188
TI - Dr. Philippe E. Spiess: right treatments offer patients best chance of cure.
PMID- 29644189
TI - Dr. Takeshi Arimura: The present and future of proton therapy in Japan.
PMID- 29644190
TI - Dr. John W. Davis: don't be afraid to start over and learn new things.
PMID- 29644191
TI - Current Situation of Leprosy in India and its Future Implications.
AB - The global leprosy situation has changed significantly over the last four decades
after the introduction of multidrug therapy (MDT) in 1982 with a reduction in
prevalence from over 5 million cases in the mid-1980s to less than 200,000 at the
end of 2016. The programme in India also saw a reduction from a prevalence rate
of 57.8/10,000 in 1983 to less than 1/10,000 by the end of 2005 when India
declared to have reached the World Health Organization (WHO) target of
elimination as a public health problem. Post 2005, major changes in the programme
were made by the National leprosy eradication programme (NLEP) and the global
leprosy programme, which may have affected the new case detection (NCD),
disability, and child leprosy trends, which continue to show no appreciable
regression. This article reviews the current global and Indian leprosy scenario
to bring out its achievements and successes, including the impact of Leprosy Case
Detection Campaigns (LCDC) on leprosy numbers. The basis and expected benefits of
recent introduction of chemo and immune-prophylaxis in the programme are also
discussed. It also discusses the shortcomings, the areas of concern, and the need
for an inclusive strategy in the Indian leprosy programme that includes an
intersectoral collaboration within the country for reaching the desired goal of
leprosy eradication.
PMID- 29644192
TI - Is Antifungal Resistance a Cause for Treatment Failure in Dermatophytosis: A
Study Focused on Tinea Corporis and Cruris from a Tertiary Centre?
AB - Background: Dermatophytoses are one of the most common skin diseases that have
been largely simple to treat. However, in recent years, these infections have
become recalcitrant to treatment which can possibly be due to antifungal
resistance. Aim: To analyze the resistance pattern of patients with recalcitrant
dermatophytoses. Materials and Methods: A cross-sectional evaluation was
undertaken of 40 consecutive patients with recalcitrant tinea
corporis/cruris/both who had taken systemic antifungal treatment and did not
respond completely to therapy or had recurrent lesion within 1 month of stopping
the therapy. Terbinafine, fluconazole, itraconazole, ketoconazole, amphotericin
B, and voriconazole were the antifungals tested using broth microdilution assay
for antifungal susceptibility testing of dermatophytes, and MIC50, 90 values were
recorded. Results: KOH mount was positive in 18 (45%) patients, culture was
positive in 28 (70%) patients. Trichophyton mentagrophytes (35%) and T. rubrum
(27.5%) were the predominant isolates. Overall, activity of terbinafine and
itraconazole were significantly higher than the other drugs tested. For
terbinafine, both T. mentagrophytes and T. rubrum were inhibited at MIC90 of
0.125 MUg/ml. Itraconazole-inhibited T. mentagrophytes and T. rubrum at MIC90 of
0.0625 and 0.25 MUg/ml, respectively. All isolates had reduced susceptibility to
fluconazole. Conclusion: While MIC seen were higher than western data, in-vitro
resistance (>1 MUg/ml) to antifungals was not seen and probably may not be a
cause of treatment failure. Possibly, treatment failure lies in the intricate
host fungal interaction and virulence of species which help it to evade host
immune response.
PMID- 29644193
TI - Nonvenereal Penile Dermatoses: A Retrospective Study.
AB - Context: A variety of nonvenereal diseases can affect the penis and diminish
quality of life. Many present similar clinical features and a cutaneous biopsy
may be necessary to clarify the diagnosis. Aims: To characterize nonvenereal
penile dermatoses with histological confirmation in a southwestern Europe
hospital during a 9-year period. Materials and Methods: A retrospective study was
conducted. We reviewed all penile biopsies performed between January 1, 2007 and
December 31, 2015 and studied the causes of the nonvenereal penile dermatoses.
Results: The sample included a total of 108 patients, aged 62.9 (+/-17.8) years,
between 16 years and 96 years of age. Eighteen dermatoses were identified.
Inflammatory diseases were present in 65. 7% of patients (71/108) and neoplastic
dermatoses in 34. 3% (37/108). Concerning inflammatory dermatoses, the most
frequent were Zoon balanitis (27.8%, 30/108), followed by lichen sclerosus
(15.7%, 17/108), psoriasis (11.1%, 12/108), and lichen planus (4.6%, 5/108). In
patients with psoriasis, 10 had lesions only in the penis, similarly to all
patients with lichen planus. The most frequent malignant tumor was squamous cell
carcinoma (SCC) (15.7%, 17/108). The most common in-situ tumor was erythroplasia
of Queyrat (8.3%, 9/108). A case of basal cell carcinoma (BCC) was found. Kaposi
sarcoma and mycosis fungoides on penis were also diagnosed, as an additional form
of presentation to their generalized disease. Conclusions: In this study,
inflammatory diseases were the most frequently diagnosed dermatoses, while SCC
was the most common malignant tumor found. In the majority of psoriasis and
lichen planus cases, clinical lesions were only present in the genital area.
PMID- 29644194
TI - Safety and Efficacy of Different Systemic Treatment Modalities for Acute Pain of
Herpes Zoster: A Pilot Study.
AB - Background: Herpes zoster is a viral infection of skin caused by Varicella Zoster
virus. The most important symptom for which the patient seeks medical advice is
pain, which is perceived before the development of rash and lasts even after its
resolution. The pain during the first 30 days after onset of herpes zoster is
known as acute herpetic neuralgia. The aim of this study was to compare the
efficacy and side-effects of different systemic treatment modalities for acute
herpes zoster neuralgia. Materials and Methods: This was a randomized, single
blind, parallel control study. Forty-five patients of herpes zoster within 72
hours of onset were enrolled after considering various inclusion and exclusion
criteria over a duration of 1 year. Pain severity was assessed after sequential
distribution and allotment of patients in three groups using verbal rating scale
(VRS). Patients in Group A (control group), were treated with Tab.valacyclovir (1
g tds * 7 days), Group B-Tab.valacyclovir (1 g tds * 7 days) + Cap. Pregabalin
(75 mg bd * 1 month), and Group C -Tab.valacyclovir (1 g tds * 7 days) +Cap.
Pregabalin (75 mg bd * 1 month) + Tab.methylprednisolone (0.64 mg/kg body weight
in two divided doses * 7 days). Patients were followed up at 1, 4, 6 weeks.
Complete resolution of acute pain and side-effects were noted. Results: At the
end of 4 weeks, reduction in acute pain was statistically significant (P < 0.05)
in all the three groups individually compared to the baseline value. At the end
of 6 weeks, percentage of patients with persistence of pain was more in Group A
and B compared to Group C, which was statistically significant (P = 0.0001). In
group A, postherpetic neuralgia was observed in more patients compared to group B
and C. No significant side-effects were observed in any group except vomiting,
somnolence, and dizziness. Limitations: Sample size of this study was limited.
Further studies with large sample size are required to further validate the
findings of the present study. Conclusions: Combination therapy with
valacyclovir, methylprednisolone, and pregabalin has better efficacy compared to
valacyclovir and pregabalin and valacyclovir alone in the management of acute
herpes zoster neuralgia. No significant side-effects were observed.
PMID- 29644195
TI - Nail Transillumination Combined with Dermoscopy for Enhancing Diagnosis of
Subungual Hematoma.
PMID- 29644196
TI - Multispectral Dermatoscopic Features of Chemical Leucoderma with Pigmented
Contact Dermatitis.
AB - Chemical leukoderma is characterized by pigment loss on constant exposure to
chemical agents. Its association with pigmented contact dermatitis is rare. Here,
we report a 40-year-old female presenting with depigmented macule with
surrounding hyperpigmentation over the upper forehead. We used a multispectral
dermatoscope by which decreased pigment network was better visualized with blue
light, and gray granular dots were better appreciated with yellow light. Shorter
wavelengths delineate epidermal features better whereas longer wavelengths
highlight dermal features in multispectral dermatoscopy.
PMID- 29644197
TI - Clinical Spectrum of Autoerythrocyte Sensitization Syndrome: A Series of Five
Cases.
AB - Autoerythrocyte sensitization syndrome (Gardner Diamond syndrome or GDS) is a
rare syndrome characterized by painful and spontaneous purpura commonly affecting
adult women, and is mostly associated with psychiatric illness. Diagnosis is
mainly based on clinical presentation, exclusion of other simulating diseases,
and psychiatric evaluation. Only few cases have been reported till date. We
report five cases of spontaneous purpura with a normal investigation profile,
except for iron deficiency anemia in 1 patient, of which three had associated
underlying psychiatric illness. Autoerythrocyte sensitization test was positive
in all our cases. Patients presenting with painful bruises without significant
medical history such as underlying bleeding disorder or drug history or history
of trauma should be considered for autoerythrocyte sensitization syndrome, and
managed accordingly. The present study is a case series of patients with
characteristic features of autoerythrocyte sensitization syndrome, considering
the rarity of the reports on its clinical spectra.
PMID- 29644198
TI - Olmsted Syndrome with Lateral Supraciliary Madarosis and Clubbing: A Rare Case
Report.
AB - Olmsted syndrome (OS) is a rare congenital, mutilating palmoplantar keratoderma
first described by Olmsted in 1927. It starts in the neonatal period or in
childhood, and has a slow but progressive disabling course. We report the case of
a 16-year-old boy who presented with keratoderma of the palm and soles since
childhood with lateral supraciliary madarosis and clubbing. The patient was
started on oral retinoids and topical keratolytics and had partial improvement in
2 months. Keratoderma of the palms and soles along with lateral supraciliary
madarosis and clubbing in our case is a very rare finding, and to the best of our
knowledge, has not been reported so far.
PMID- 29644199
TI - Granuloma Annulare Skin Lesions in a Case of Sarcoidosis.
AB - We report the case of a 32-year- old man with a short 3-week history of
erythematous, annular, non scaly plaques on palmar and dorsal aspect of his
hands, who was concurrently diagnosed as a case of sarcoidosis on the basis of
findings of generalized lymphadenopathy and radiological and histological
features of pulmonary sarcoidosis. His skin biopsy was consistent with the
diagnosis of granuloma annulare. Sarcoidosis and granuloma annulare are two
separate diseases, which involve the skin and have a mononuclear histiocytic
cellular reaction, although their aetiology is still unknown. Granuloma annulare
has been associated with the concomitant diagnosis of sarcoidosis in only two
more case reports and this association can be evaluated further to study a common
link in the aetipathogenesis of these two granulomatous skin diseases.
PMID- 29644200
TI - Antifungal Efficacy of Amphotericin B against Dermatophytes and its Relevance in
Recalcitrant Dermatophytoses: A Commentary.
PMID- 29644201
TI - Behcet Disease in a Child: A Rare Disorder with an Unusual Complication and
Favorable Outcome.
PMID- 29644202
TI - Nasal Mucosal Dysplasia Induced by Topical Corticosteroids with Benzalkonium
Chloride.
PMID- 29644203
TI - Blaschkoid Angioma Serpiginosum: A Dermoscopic Diagnosis.
PMID- 29644204
TI - Verrucous Disseminated Discoid Lupus Erythematosus with Plantar and Oral Lesions
in the Absence of Systemic Lupus Erthyematosus.
PMID- 29644205
TI - Pityriasis Rosea in a Mother and her Daughter: A Case Report.
PMID- 29644206
TI - Growing Asymptomatic Nodule on the Ear.
PMID- 29644207
TI - Through the Lens: Cryoglobulinemia.
PMID- 29644208
TI - An "Abnormal" Hair Through the Dermoscope: Arthropod Body Part Mimicking a Hair.
PMID- 29644209
TI - Use of Filters in Dermatoscopy to Capture Better Images.
PMID- 29644210
TI - Dermoscopy of Hailey Hailey Disease.
PMID- 29644211
TI - Dermoscopy of Angiokeratoma.
PMID- 29644212
TI - Pigmentary Disorders and Their Management-Analyzing Current Evidence: Conference
Proceedings and Learning Points. Pigmentarycon 2017. November 10-12, Indore,
India.
PMID- 29644213
TI - Immunotherapy Plus Cryotherapy: Potential Augmented Abscopal Effect for Advanced
Cancers.
AB - Since the 1920s the gold standard for treating cancer has been surgery, which is
typically preceded or followed with chemotherapy and/or radiation, a process that
perhaps contributes to the destruction of a patient's immune defense system.
Cryosurgery ablation of a solid tumor is mechanistically similar to a vaccination
where hundreds of unique antigens from a heterogeneous population of tumor cells
derived from the invading cancer are released. However, releasing tumor-derived
self-antigens into circulation may not be sufficient enough to overcome the
checkpoint escape mechanisms some cancers have evolved to avoid immune responses.
The potentiated immune response caused by blocking tumor checkpoints designed to
prevent programmed cell death may be the optimal treatment method for the immune
system to recognize these new circulating cryoablated self-antigens. Preclinical
and clinical evidence exists for the complementary roles for Cytotoxic T
lymphocyte-associated protein (CTLA-4) and PD-1 antagonists in regulating
adaptive immunity, demonstrating that combination immunotherapy followed by
cryosurgery provides a more targeted immune response to distant lesions, a
phenomenon known as the abscopal effect. We propose that when the host's immune
system has been "primed" with combined anti-CTLA-4 and anti-PD-1 adjuvants prior
to cryosurgery, the preserved cryoablated tumor antigens will be presented and
processed by the host's immune system resulting in a robust cytotoxic CD8+ T-cell
response. Based on recent investigations and well-described biochemical
mechanisms presented herein, a polyvalent autoinoculation of many tumor-specific
antigens, derived from a heterogeneous population of tumor cancer cells, would
present to an unhindered yet pre-sensitized immune system yielding a superior
advantage in locating, recognizing, and destroying tumor cells throughout the
body.
PMID- 29644215
TI - Theoretical and NMR Conformational Studies of beta-Proline Oligopeptides With
Alternating Chirality of Pyrrolidine Units.
AB - Synthetic beta-peptides are potential functional mimetics of native alpha
proteins. A recently developed, novel, synthetic approach provides an effective
route to the broad group of beta-proline oligomers with alternating patterns of
stereogenic centers. Conformation of the pyrrolidine ring, Z/E isomerism of beta
peptide bonds, and hindered rotation of the neighboring monomers determine the
spatial structure of this group of beta-proline oligopeptides. Preferences in
their structural organization and corresponding thermodynamic properties are
determined by NMR spectroscopy, restrained molecular dynamics and quantum
mechanics. The studied beta-proline oligopeptides exist in dimethyl sulfoxide
solution in a limited number of conformers, with compatible energy of formation
and different spatial organization. In the beta-proline tetrapeptide with
alternating chirality of composing pyrrolidine units, one of three peptide bonds
may exist in an E configuration. For the alternating beta-proline pentapeptide,
the presence of an E configuration for at least of one beta-peptide bond is
mandatory. In this case, three peptide bonds synchronously change their
configurations. Larger polypeptides may only exist in the presence of several E
configurations of beta-peptide bonds forming a wave-like extended structure.
PMID- 29644216
TI - Assessing Security Implications of Genome Editing: Emerging Points From an
International Workshop.
PMID- 29644214
TI - Anti-PD-1 and Anti-CTLA-4 Therapies in Cancer: Mechanisms of Action, Efficacy,
and Limitations.
AB - Melanoma, a skin cancer associated with high mortality rates, is highly radio-
and chemotherapy resistant but can also be very immunogenic. These circumstances
have led to a recent surge in research into therapies aiming to boost anti-tumor
immune responses in cancer patients. Among these immunotherapies, neutralizing
antibodies targeting the immune checkpoints T-lymphocyte-associated protein 4
(CTLA-4) and programmed cell death protein 1 (PD-1) are being hailed as
particularly successful. These antibodies have resulted in dramatic improvements
in disease outcome and are now clinically approved in many countries. However,
the majority of advanced stage melanoma patients do not respond or will relapse,
and the hunt for the "magic bullet" to treat the disease continues. This review
examines the mechanisms of action and the limitations of anti-PD-1/PD-L1 and anti
CTLA-4 antibodies which are the two types of checkpoint inhibitors currently
available to patients and further explores the future avenues of their use in
melanoma and other cancers.
PMID- 29644218
TI - Nutrition in the Bin: A Nutritional and Environmental Assessment of Food Wasted
in the UK.
AB - The UK currently has the most detailed, directly measured data for food wasted in
the home. This includes information on the exact types of food wasted. These data
allow calculation of the nutrients within that waste, as well as its
environmental impact. The results progress the conversation beyond how much food
is wasted or its energy content; it permits the implications for nutrition and
sustainability to be assessed in detail. Data for UK household food waste were
expressed as an average waste per capita for each type of food. Each food type
was matched with an item (or group of items) from the UK Composition of Foods
(7th Ed). The level of nutrients wasted was compared to UK Reference Nutrient
Intakes (RNIs) for adult women (19-50 years, used as a proxy for general
population requirements). The data were normalized into "nutrient days" wasted
per capita per year, then into the number of complete diet days (for 21 nutrients
plus energy). Results show that approximately 42 daily diets were discarded per
capita per year. By individual nutrient, the highest losses were vitamin B12,
vitamin C, and thiamin (160, 140, and 130 nutrient days/capita/year,
respectively). For protein, dietary energy and carbohydrates, 88, 59, and 53
nutrient days/capita/year, respectively, were lost. Substantial losses were also
found for under-consumed nutrients in the UK: calcium, which was mostly lost via
bakery (27%) and dairy/eggs (27%). Food folate was mainly lost through fresh
vegetables/salads (40%) and bakery (18%), as was dietary fiber (31 and 29%,
respectively). Environmental impacts were distributed over the food groups, with
wasted meat and fish the single largest contribution. For all environmental
impacts studied, the largest contribution came from agricultural production. This
paper shows that there are areas where interventions preventing food waste and
promoting healthy eating could work together (e.g., encouraging consumption of
vegetables or tackling overbuying, especially of unhealthy foods). Food
manufacturers and retailers, alongside governments and NGOs, have a key role to
minimize waste of environmentally impactful, nutrient-dense foods, for instance,
by helping influence people's behaviors with appropriate formulation of products,
packaging, portioning, use of promotions, or public education.
PMID- 29644217
TI - Sensing the Stress: A Role for the UPRmt and UPRam in the Quality Control of
Mitochondria.
AB - Mitochondria exist as compartmentalized units, surrounded by a selectively
permeable double membrane. Within is contained the mitochondrial genome and
protein synthesis machinery, required for the synthesis of OXPHOS components and
ultimately, ATP production. Despite their physical barrier, mitochondria are
tightly integrated into the cellular environment. A constant flow of information
must be maintained to and from the mitochondria and the nucleus, to ensure
mitochondria are amenable to cell metabolic requirements and also to feedback on
their functional state. This review highlights the pathways by which
mitochondrial stress is signaled to the nucleus, with a particular focus on the
mitochondrial unfolded protein response (UPRmt) and the unfolded protein response
activated by the mistargeting of proteins (UPRam). Although these pathways were
originally discovered to alleviate proteotoxic stress from the accumulation of
mitochondrial-targeted proteins that are misfolded or unimported, we review
recent findings indicating that the UPRmt can also sense defects in mitochondrial
translation. We further discuss the regulation of OXPHOS assembly and speculate
on a possible role for mitochondrial stress pathways in sensing OXPHOS
biogenesis.
PMID- 29644219
TI - Management of Chemotherapy-Induced Left Ventricular Dysfunction and Heart Failure
in Patients With Cancer While Undergoing Cancer Treatment: The MD Anderson
Practice.
AB - Chemotherapy-induced cardiotoxicity resulting in heart failure (HF) is one of the
most dreaded complications of cancer therapy that can significantly impact
morbidity and mortality. With a high prevalence of cardiovascular disease in
cancer patients, the risk of developing HF is significantly increased. A new
discipline of Onco-Cardiology has evolved to address the cardiovascular needs of
patients with cancer, however, there is limited evidence-based data to guide
clinical decision-making in the management of the cardiovascular complications of
cancer therapy. The department of cardiology at MD Anderson Cancer Center
initiated the MD Anderson Practice (MAP) project and developed algorithms to
guide the management of the cardiovascular complications of cancer therapy. For
chemotherapy-induced HF, we initiated the Heart Success Program (HSP), a patient
centered program that promotes interdisciplinary collaboration for the management
of concurrent HF resulting from chemotherapy-induced cardiotoxicity. After one
year of HSP implementation, compliance with the Center for Medicare and Medicaid
Services HF core measures has significantly improved. The measurement of LVEF and
initiation of recommended pharmacologic therapy for HF (angiotensin converting
enzyme inhibitor [ACE-I] or angiotensin receptor blocker for ACE-I intolerant
patients) has improved to 100%; provision of discharge instruction has improved
from 50 to 94%; and the 30-day hospital readmission rate decreased from 40 to
27%. This article will describe the MD Anderson Practice in the management of
chemotherapy-induced cardiomyopathy and HF in cancer patients through the HSP.
The novelty of the HSP has raised clinician's awareness of the magnitude of the
clinical problem of HF in cancer and the.
PMID- 29644220
TI - Can Blood Biomarkers Help Predicting Outcome in Transcatheter Aortic Valve
Implantation?
AB - Transcatheter aortic valve implantation (TAVI) has become the method of choice
for patients with severe aortic valve stenosis, who are ineligible or at high
risk for surgery. In this high risk patient population, early and late mortality
and rehospitalization rates after TAVI are still relatively high. In spite of
recent improvements in procedural TAVI, and establishment of risk models for poor
outcome, determining individual risk remains challenging. In this context,
current data from several small studies strongly suggest that blood biomarkers of
myocardial injury, cardiac mechanical stretch, inflammation, and hemostasis
imbalance might play an important role by providing informations on patient risk
at baseline, and postprocedural progression of patient clinical conditions from
days up to years post-TAVI. Although the role of biomarkers for predicting
survival post-TAVI remains to be validated in large randomized studies,
implementing biomarkers in clinical practice might improve risk stratification,
thereby further reducing TAVI-associated morbidity and mortality.
PMID- 29644222
TI - Galileo Galilei: Science vs. faith.
PMID- 29644223
TI - Torsade de pointes and systemic azole antifungal agents: Analysis of global
spontaneous safety reports.
AB - Background: Literature about torsade de pointes induced by azole antifungal
agents is scarce, despite the well-known association. Furthermore, little is
known about the latency time between commencing an azole antifungal agent and
developing torsade de pointes. The objectives of the present study were therefore
to identify all cases of torsade de pointes associated with systemic azole
antifungal use reported to the WHO monitoring centre (Uppsala, Sweden) and to
determine the latency times between commencing the azole and developing torsade
de pointes. Methods: Investigator-driven, retrospective, descriptive analysis of
post-marketing pharmacovigilance data regarding systemic azole antifungal agents
and the development of torsade de pointes reported to the WHO monitoring centre
1995-2015. Results: 191 cases were reported as follows: fluconazole 130,
itraconazole 22, ketoconazole 5, posaconazole 1, voriconazole 33. More than half
of all cases involved concomitant suspected or interacting drugs. The median
latency times between starting the azole and developing torsade de pointes ranged
from 1 (posaconazole) - 9.5 days (itraconazole), range <1-250). Conclusions:
Clinicians should be aware of these features of azole-associated torsade de
pointes, avoid interacting drugs if at all possible and monitor at-risk patients.
PMID- 29644221
TI - Physiologic Factors Influencing the Arterial-To-End-Tidal CO2 Difference and the
Alveolar Dead Space Fraction in Spontaneously Breathing Anesthetised Horses.
AB - The arterial to end-tidal CO2 difference (P(a-ET)CO2) and alveolar dead space
fraction (VDalvfrac = P(a-ET)CO2/PaCO2), are used to estimate Enghoff's
"pulmonary dead space" (V/QEng), a factor which is also influenced by venous
admixture and other pulmonary perfusion abnormalities and thus is not just a
measure of dead space as the name suggests. The aim of this experimental study
was to evaluate which factors influence these CO2 indices in anesthetized
spontaneously breathing horses. Six healthy adult horses were anesthetized in
dorsal recumbency breathing spontaneously for 3 h. Data to calculate the CO2
indices (response variables) and dead space variables were measured every 30 min.
Bohr's physiological and alveolar dead space variables, cardiac output (CO), mean
pulmonary pressure (MPP), venous admixture [Formula: see text], airway dead
space, tidal volume, oxygen consumption, and slope III of the volumetric
capnogram were evaluated (explanatory variables). Univariate Pearson correlation
was first explored for both CO2 indices before V/QEng and the explanatory
variables with rho were reported. Multiple linear regression analysis was
performed on P(a-ET)CO2 and VDalvfrac assessing which explanatory variables best
explained the variance in each response. The simplest, best-fit model was
selected based on the maximum adjusted R2 and smallest Mallow's p (Cp). The R2 of
the selected model, representing how much of the variance in the response could
be explained by the selected variables, was reported. The highest correlation was
found with the alveolar part of V/QEng to alveolar tidal volume ratio for both,
P(a-ET)CO2 (r = 0.899) and VDalvfrac (r = 0.938). Venous admixture and CO best
explained P(a-ET)CO2 (R2 = 0.752; Cp = 4.372) and VDalvfrac (R2 = 0.711; Cp =
9.915). Adding MPP (P(a-ET)CO2) and airway dead space (VDalvfrac) to the models
improved them only marginally. No "real" dead space variables from Bohr's
equation contributed to the explanation of the variance of the two CO2 indices.
P(a-ET)CO2 and VDalvfrac were closely associated with the alveolar part of V/QEng
and as such, were also influenced by variables representing a dysfunctional
pulmonary perfusion. Neither P(a-ET)CO2 nor VDalvfrac should be considered
pulmonary dead space, but used as global indices of V/Q mismatching under the
described conditions.
PMID- 29644224
TI - Pharmacological management of perioperative bleeding in cardiac surgery.
PMID- 29644225
TI - FOURIER & PCSK9 RNAi: Towards enhancing durability and efficacy of PCSK9
inhibitors.
PMID- 29644227
TI - Pathologic confirmation of valve thrombosis detected by four-dimensional computed
tomography following valve-in-valve transcatheter aortic valve replacement.
AB - A major concern regarding transcatheter aortic valve replacement (TAVR) is
leaflet thrombosis. Four-dimensional computed tomography (4D-CT) is the preferred
imaging modality to evaluate patients with suspected valve thrombosis. To date,
the abnormal findings visualized by 4D-CT suggestive of leaflet thrombosis have
lacked pathologic confirmation from a surgically explanted valve in a surviving
patient. Herein, we provide pathologic confirmation of thrombus formation
following surgical explantation of a thrombosed TAVR prosthesis that was
initially identified by 4D-CT.
PMID- 29644228
TI - How are countries dealing with their current cardio-vascular disease burden? A
snapshot from the WHO Eastern Mediterranean Region (EMR).
AB - In recent years, a number of global commitments have been made in the area of
noncommunicable diseases (NCD). These include the UN NCD Political Declaration in
2011, and the UN Comprehensive Review on NCDs and Outcome Document in 2014. Nine
global targets have been agreed in the area of NCDs, and NCDs have been addressed
in the Sustainable Development Goals (SDG). Another UN high-level meeting will
take place in September 2018 to assess country progress across the globe. At the
regional level, a number of initiates have taken place to deliver on these global
commitments. One of the guiding documents is the Regional Framework for Action on
Noncommunicable Diseases. This framework was endorsed at the WHO EM Regional
Committee in 2012, and includes 17 strategic interventions and 10 monitoring
indicators, covering the areas of NCD governance, prevention, surveillance and
healthcare. Progress is being monitored on an annual basis through the
development of country progress factsheets and biennial WHO Country Capacity
Survey on NCDs. To date however, progress has been insufficient and uneven.
Moreover, is has been slowest in the areas of planning and surveillance, and
tobacco control. No uniform approach or model exists for all EMR countries, but a
number of countries have advanced their national NCD agenda through original and
innovative initiatives. Perceived challenges include the uneven progress and
needs across the WHO EM region, humanitarian emergencies and political
instability, vertical approaches, a lack of human and financial resources and
other health systems weaknesses. Opportunities however exist through the global
SDG and universal health coverage (UHC) agendas offering an opportunity to
revisit essential health services package until 2030. Overall, there has been
political commitment to NCD governance, as evidenced by the EM Regional
Committee's endorsement of the regional framework for action. However, despite
the clear roadmap, progress has been slow and scattered, differing vastly by
country and by topic. We recommend that countries urgently scale up their efforts
in all four areas of the EM Regional Framework of Action to be able to achieve
their national and international targets.
PMID- 29644226
TI - Nitric oxide and pulmonary arterial hypertension.
AB - The pathogenesis of pulmonary arterial hypertension remains undefined. Changes in
the expression and effects mediated by a number of vasoactive factors have been
implicated to play a role in the onset and progression of the disease. The source
of many of these mediators, such as nitric oxide (NO), prostacyclin and
endothelin-1 (ET-1), is the pulmonary endothelium. This article focus in the role
of nitric oxide in PAH, reviewing the evidence for its involvement in regulation
of pulmonary a vascular tone under physiological conditions, the mechanisms by
which it can contribute to the pathological changes seen in PAH and strategies
for the use of NO as a therapy for treatment of the disease.
PMID- 29644229
TI - CANTOS: A breakthrough that proves the inflammatory hypothesis of
atherosclerosis.
AB - Atherosclerosis is no longer considered solely a disorder of subintimal
deposition of modified low-density lipoprotein particles in the arterial wall.
Rather, it is known to be a chronic inflammatory disorder. No evidence has shown
that reducing vascular inflammation in the absence of concomitant lowering of
lipoproteins levels reduces the rates of adverse cardiovascular (CV) events.
Canakinumab, a fully human monoclonal antibody that neutralizes interleukin (IL)
1beta, significantly reduced the rate of recurrent CV events in patients with
prior myocardial infarction in the Canakinumab Anti-inflammatory Thrombosis
Outcome Study (CANTOS). Canakinumab has no effect on CV or all-cause mortality,
however it was associated with high incidence of fatal infections. Thus, the net
benefit needs to be properly addressed in future studies that evaluate the
potential benefit of the anti-inflammatory therapies and whether it can change
clinical practice in the near future.
PMID- 29644230
TI - NOBLE and EXCEL: The debate for excellence in dealing with left main stenosis.
AB - Left main coronary artery (LMCA) disease is associated with increased morbidity
and mortality. Coronary artery bypass grafting surgery (CABG) has always been the
standard revascularization strategy for this group of patients. However, with the
recent developments in stents design and medical therapy over the past decade,
several trials have been designed to evaluate the safety and efficacy of
percutaneous coronary intervention (PCI) as an alternative to CABG surgery in
patients with LMCA disease. Recently, the results of two major trials, EXCEL and
NOBLE, comparing CABG versus PCI in this patient population have been released.
In fact, the results of both trials might appear contradictory at first glance.
While the EXCEL trial showed that PCI was non-inferior to CABG surgery, the NOBLE
trial suggested that CABG surgery is a better option. In the following review, we
will discuss some of the similarities and contrasts between these two trials and
conclude with lessons to be learned to our daily practice.
PMID- 29644231
TI - Mechanistic insights of the left ventricle structure and fibrosis in the
arrhythmogenic mitral valve prolapse.
AB - Mitral valve prolapse (MVP) is a common and benign condition. However, some
anatomic forms have been recently associated with life-threatening ventricular
arrhythmias and sudden cardiac death. Imaging MVP holds the promise of
individualized MVP risk assessment. Noninvasive imaging techniques available
today are playing an increasingly important role in the diagnosis, prognosis and
monitoring of MVP. In this article, we will review the current evidence on
arrhythmogenic MVP, with special focus on the utility of echocardiography and CMR
for identifying benign and "malignant" forms of MVP. The clinical relevance of
this manuscript lies in the value of imaging technology to improve MVP risk
prediction, including those arrhythmic-MVP cases with a higher risk of sudden
cardiac death.
PMID- 29644233
TI - Prevalence, awareness, treatment, control and risk factors associated with
hypertension in Lebanese adults: A cross sectional study.
AB - Background: The prevention and control of hypertension is an essential component
for reducing cardiovascular disease burden. Hypertension is an important public
health issue, yet few studies have examined its current status among the Lebanese
population. Objective: To examine the prevalence, awareness, treatment and
control of hypertension and its associated risk factors among Lebanese adults.
Methods: A cross-sectional study was conducted between December 2014, and May
2015, on adults from the five districts of Lebanon. Multistage sampling was used
to enroll participants. Hypertension was defined as an average of two blood
pressure (BP) measurements with systolic/diastolic blood pressure of at least
140/90 mm Hg, using an automated digital device, or the use of antihypertensive
medication. A questionnaire was used to assess hypertension risk factors,
awareness, treatment and control. Results: Of the 1362 Lebanese adults
interviewed, 399 (29.3%) had hypertension. Of these, 106 (26.5%) were aware of
their condition. Sixty-nine patients (65%) of those aware, were receiving
treatment, and 38 (55%) participants from those treated were controlled. The
significant risk factors were sex, gender, age, family history of hypertension,
obesity, and a low level of education. Conclusions: Hypertension is prevalent
among the Lebanese adult population and is multifactorial, but remains
incompletely recognized, leading to insufficient control. Hypertension was highly
prevalent in males in the age category 18-29 years. These findings show that
improvements in detection, treatment, and control of hypertension among Lebanese
adults, is much needed.
PMID- 29644232
TI - Individualizing immunosuppression in lung transplantation.
AB - Immunosuppression management after lung transplantation continues to evolve, with
an increasing number of agents available for use in various combinations allowing
for more choice and individualization of immunosuppressive therapy. Therapeutic
developments have led to improved outcomes including lower acute rejection rates
and improved survival. However, a one size fits all approach for any
immunosuppressive strategy may not be best suited to the individual patient and
ultimately patient specific factors must be considered when designing the
immunosuppressive regimen. Recipient factors including age, race, co-morbidities,
immunologic risk, genetic polymorphisms, concomitant and previous
pharmacotherapy, and overall immunosuppression burden should be considered. There
are several significant drug-drug interactions with select immunosuppressive
agents utilized in lung transplant pharmacotherapy that must be considered when
choosing and devising a dosing strategy for an individual immunosuppressive
agent. Herein, considerations for immunosuppression management in the individual
patient will be reviewed.
PMID- 29644234
TI - Modelling coronary flow after the Norwood operation: Influence of a suggested
novel technique for coronary transfer.
AB - Background: The dynamic behavior of the aortic sinuses has an important function
in the specific characteristics of coronary blood flow. Several publications have
confirmed suboptimal myocardial perfusion after the Norwood procedure. Our study
was undertaken to confirm four hypotheses. First, we hypothesized that there is
more resistance to coronary flow due to coronary attachments to hypoplastic
aortic root and sinuses. Also, as the amalgamation of the ascending aorta with
the pulmonary artery occurs above the aortic root, the coronary blood flow is not
fully in antegrade pattern. Second, performing the Norwood with our modification
i.e., coronary transfer to the well-developed sinuses of the pulmonary root will
result in less resistance to flow and a full antegrade flow pattern. This may
eventually improve the long term ventricular and survival outcomes. Third, our
modification is applicable to all procedures where the pulmonary root supplies
the systemic circulation e.g., Norwood, Damus-Kaye-Stansel (DKS), and Yasui
operations, whether applied to single or biventricular repair. Fourth, with our
modification, the effect of the type of shunt; Sano vs. Blalock Taussig (BT
shunt) on the coronary flow after the Norwood will be mitigated. This will give
the surgeon more freedom to which shunt to use, and may make the surgeon keener
to perform the BT shunt in order to avoid the ventricular scar associated with
the Sano shunt which will negatively impact the ventricular function. Methods:
Computational fluid dynamic (CFD) simulations were performed to evaluate flow
streamlines and to quantify flow distribution and total pressure drop in the
coronary branches in both Norwood (pre-transfer) and modified Norwood (post
transfer) models. Comparisons between the two models were performed. Results: The
systolic flow rate in all coronary branches was higher in the post-transfer model
in the proportions of: left main 5%, left anterior descending (LAD) 6%, left
circumflex (LCx) 3.5%, and right coronary artery (RCA) 7.2% higher flow rates. In
diastole, pressure drop from the aortic inlet to distal left main and distal
right main was substantially less in the post-transfer model. Conclusion: Post
transfer model has produced more favorable coronary hemodynamics in all coronary
branches. As a result, performing our modification could potentially improve the
long term ventricular and survival outcomes.
PMID- 29644235
TI - Endograft rescue of compromised interposition aortic graft in an adult patient
with congenital heart disease.
AB - In a 19-year-old male with interrupted aortic arch and complex congenital heart
disease, we report percutaneous repair of a compromised aortic conduit. The
patient had aortic arch repair in childhood utilizing a 12 mm Hemashield Dacron
conduit. CT angiography showed multiple segments of this conduit were dilated to
16 mm suggesting conduit degeneration and failure with pseudoaneurysm formation.
We utilized a self-expanding aortic endograft supported by internal placement of
bare metal stents to repair the conduit. Our repair was guided by 3D rotational
angiography. This adult patient with complex congenital heart disease and
interrupted aortic arch is an example of patients in whom endograft repair of
compromised aortic conduits presents a much lower risk alternative than surgical
revision.
PMID- 29644236
TI - Hypertrophic cardiomyopathy with a large apical ventricular aneurysm and mural
thrombus.
AB - Hypertrophic cardiomyopathy (HCM) is characterized by increased left ventricular
wall thickness in the absence of any other identifiable cause of thickness. It
predisposes the patient to increased risk of sudden cardiac death (SCD) due to
fatal arrhythmias. Approximately 2% of the HCM patients have left ventricular
apical aneurysm. CMR imaging is better in identifying this apical aneurysm as
compared to echocardiogram. This apical aneurysm, which can be akinetic or
dyskinetic, increases the risk of disease-related adverse events as compared to
general HCM. These adverse disease-related events include SCD, thromboembolism,
and symptoms of heart failure. We report a rare case of hypertrophic
cardiomyopathy in association with Williams-Beuren Syndrome. On CMR imaging,
patient was found to have a large apical aneurysm and mid-ventricular obstruction
with underlying thrombus. He was started on oral anticoagulation, and ICD was
recommended.
PMID- 29644237
TI - Stress, Depression, and Occupational Injury among Migrant Farmworkers in
Nebraska.
AB - Agriculture is one of the most dangerous industries in the United States.
Farmworkers, including migrant farmworkers, are at risk for work-related
injuries. This study explores the association between stress, depression, and
occupational injury among migrant farmworkers in Nebraska. Occupational injury
was hypothesized to significantly increase the odds of farmworkers being stressed
and depressed. Two hundred migrant farmworkers (mean age = 33.5 years, standard
deviation (SD) = 12.53; 93.0% men, 92.9% of Mexican descent) were interviewed. In
bivariate analyses, results indicated that stress and depression were positively
associated with occupational injury. Two logistic regression models were
developed. Occupational injury was a significant factor for depression, but not
for stress. Participants who had been injured on the job were over seven times
more likely to be depressed. These results highlight the interconnection between
the work environment and mental health. More must be done to foster well-being in
rural, agricultural communities. Improving occupational health and safety
information and training, integrating behavioral health services into primary
care settings, and strengthening the protections of the Migrant and Seasonal
Agricultural Worker Protection Act may improve conditions for migrant farmworkers
in the rural Midwest.
PMID- 29644238
TI - Mechanisms of Optical Regression Following Corneal Laser Refractive Surgery:
Epithelial and Stromal Responses.
AB - Laser vision correction is a safe and effective method of reducing spectacle
dependence. Photorefractive Keratectomy (PRK), Laser In Situ Keratomileusis
(LASIK), and Small-Incision Lenticule Extraction (SMILE) can accurately correct
myopia, hyperopia, and astigmatism. Although these procedures are nearing
optimization in terms of their ability to produce a desired refractive target,
the long term cellular responses of the cornea to these procedures can cause
patients to regress from the their ideal postoperative refraction. In many cases,
refractive regression requires follow up enhancement surgeries, presenting
additional risks to patients. Although some risk factors underlying refractive
regression have been identified, the exact mechanisms have not been elucidated.
It is clear that cellular proliferation events are important mediators of optical
regression. This review focused specifically on cellular changes to the corneal
epithelium and stroma, which may influence postoperative visual regression
following LASIK, PRK, and SMILE procedures.
PMID- 29644239
TI - The Correlation between Changes in Biochemical Parameters and Central Macular
Thickness in Patients with Non-Proliferative Diabetic Retinopathy.
AB - This study aimed at evaluating the correlation between changes in Hemoglobin A1c
(HbA1c) and fasting serum lipids, and Central Macular Thickness (CMT) in patients
with Non-Proliferative Diabetic Retinopathy (NPDR). In the current research, both
eyes of 68 patients with mild or moderate NPDR, without clinically significant
macular edema, were studied. Levels of fasting serum lipids, HbAlc, and CMT were
measured during the first visit and at the end of the follow-up period (3
months). For statistical analysis, CMTs of each eye were studied and the
correlation of changes was investigated. Additionally, the direction of changes
in CMT for each eye was determined, and whether the changes in both eyes were
symmetrical was investigated. Out of 68 patients, 24 were male and 44 were
female. The mean CMT of all eyes was 290.05 +/- 48.90 um during the first visit
and 286.80 +/- 37.57 um on the 3rd month follow-up. The mean HbAlc was 8.71 +/-
1.82% at first visit to the hospital and the mean HbAlc was 8.39 +/- 1.65% at the
final visit. Although the changes in HbA1c and CMT during the follow-up period
were statistically insignificant, the correlation of these 2 values was
statistically significant (p=0.01). However, amongst l3 patients, the CMTs were
asymmetrically changed in each eye during the follow-up period. To the best of
the author's knowledge, this was the first study, which indicated a significant
correlation in changes of CMT and HbA1c, even amongst patients with low-grade
diabetic retinopathy. Demonstration of asymmetric changes in CMT of each
treatment-naive eye of the same patient, during changes in systemic conditions,
was another important finding of this study.
PMID- 29644240
TI - Consanguineous Marriage as a Risk Factor for Developing Keratoconus.
AB - Heredity plays an important role in keratoconus (KC). Consanguineous marriage
(CM) can affect the transmission of recessively inherited conditions. We aimed to
investigate the role of consanguineous marriage in the development of KC. This
study included two groups: the first group comprised 415 patients who underwent
surgery for KC for the first time at Khalili University Hospital (Shiraz, Iran),
between 2010 and 2014; the second group comprised 415 healthy individuals who
served as age- and sex-matched controls for the patient group. All study subjects
were from the Fars province in Iran. CM type was evaluated by a standard
checklist in both groups. The mean inbreeding coefficient (alpha) was evaluated
and compared between the two groups. The percentage of parental first-cousin
marriages was 35.4% in the patient group and 18.3% in the control group. The mean
inbreeding coefficient (alpha) was 0.0291 in the patient group and 0.0135 in the
control group. Patients with KC had a significantly higher mean inbreeding
coefficient (alpha) than controls (T = 8, df = 828, P < 0.001). Our study
suggests that CM can play a role in the pathogenesis of KC. As this disease is
among the most frequent ocular disorders in our country, CM should be considered
by health care systems within their screening programs.
PMID- 29644241
TI - Striped Circle Visual Acuity Chart; A Novel Visual Acuity Chart Based on the
Landolt-C Chart.
AB - Measurement of Visual Acuity (VA) has been used for eye examinations under
various clinical conditions. In addition, because of changes in VA with a range
of eye diseases, its measurement is used to screen, diagnose, and evaluate
response to treatment in numerous eye diseases and predict the patient's visual
function. VA and the likelihood of astigmatism could be evaluated simultaneously
using a newly designed Stripped Circle (SC) VA chart. This chart has been
developed based on the standard VA measurement scale chart, and the Landolt-C
(LC) VA chart and all the standards for a VA chart design were implemented during
its development. However, to publicize the clinical application of this VA
measurement chart, further studies are required to evaluate its sensitivity,
specificity, and repeatability compared with a similar standard VA chart.
PMID- 29644242
TI - The Association between TGF-beta1 G915C (Arg25Pro) Polymorphism and the
Development of Primary Open Angle Glaucoma: A Case-Control Study.
AB - The purpose of the current study was to identify the potential association
between Single Nucleotide Polymorphism (SNP) TGFbeta1 +915 (C or G) in codon 25
and Primary Open Angle Glaucoma (POAG). Overall, 88 cases with POAG and a control
group of 52 healthy individuals were recruited from the First Ophthalmology
Department of Athens University. DNA was isolated from whole blood samples and
genotype frequencies for the polymorphism rs1800471 (G915C, Arg25Pro) of the TGF
beta1 gene were assessed. Genotype distribution frequencies for the polymorphism
rs1800471 (G915C, Arg25Pro) of the TGF-beta1 gene were not statistically
different between patients with POAG and control subjects. The present study
failed to determine any significant genotypic association with POAG, despite the
fact that the presence of the C allele was scarcely increased in the POAG when
compared with the control group.
PMID- 29644243
TI - Comparison of Visual, Refractive and Aberration Measurements of INTACS versus
Toric ICL Lens Implantation; A Four-year Follow-up.
AB - This study was performed to evaluate the visual, refractive, and aberration
measurement results of 2 implants, including Intacs Intracorneal Ring Segments
(ICRS) and phakic Toric Implantable Collamer Lens (TICL), in patients with
moderate Keratoconus (KCN). In this retrospective cross-sectional study, 30
patients with KCN with a mean age of 29.83 years were included in 2 groups,
including the Intacs Intracorneal Ring Segments (ICRS) group and the phakic Toric
Implantable Collamer Lens (TICL) group. Preoperative data as well as 6-month, 1-,
2-, 3- and 4-year follow-up data after the operation were collected and analyzed
with the SPSS software (ver. 23.0, SPSS, Inc., Chicago, IL), using the paired t
test, independent t-test, repeated measures Analysis of Variance (ANOVA), and one
way ANOVA. This study included 30 patients with KCN with a mean age of 29.83
years and range of 25 to 35 years, including 17 males with a mean age of 30.11
years and 13 female with a mean age of 29.25 years. Except for preoperative
Uncorrected Distance Visual Acuity (UCDVA), Spherical Equivalent (SE) and
astigmatism, there was a significant difference between the 2 groups regarding
other variables. The TICL group had a significantly better UCDVA and Best
Corrected Distance Visual Acuity (BCDVA) in all post-operative follow-ups, and SE
and astigmatism values were significantly lower in all post-operative follow-ups
when compared with the ICRS group. There was a significant reduction in corneal
and total coma as well as internal trefoil aberrations (P<0.01, P<0.01, and
P=0.014, respectively) in the ICRS group, and TICL led to a significant reduction
in internal trefoil aberration with P<0.03. Comparison of the 2 groups revealed a
significant difference in corneal spherical (P<0.01) and total coma (P=0.02)
aberrations and no significant differences in other HOA. Both ICRS and TICL are
useful in patients with moderate KCN. However, TICL appears to have more stable
and predictable vision results.
PMID- 29644244
TI - Knowledge and Awareness of Glaucoma in Subjects with Glaucoma and their Normal
First-Degree Relatives.
AB - The objective of this study was to assess knowledge and awareness of glaucoma in
subjects with glaucoma and their normal first-degree relatives. Awareness and
knowledge of glaucoma were compared between each patient and his/her relative. We
designed a questionnaire containing a set of brief and structured questions to
gather information regarding the participants' demographics and knowledge and
awareness of glaucoma. There were two groups in this study. The first group
("glaucoma" group) comprised patients diagnosed with glaucoma, while the second
group ("relatives" group) consisted of the first-degree relatives of the
patients. Ninety-one participants aged over 40 years (mean, 48.5 years) filled
the questionnaire. Thirty-four participants belonged to the glaucoma group, while
the remaining were first-degree relatives of the patients. According to the
responses obtained, 26 (76%) participants in the glaucoma group and 47 (82%)
participants in the relatives group had heard of the term "glaucoma." There were
no statistically significant differences related to age or gender in glaucoma
awareness. Glaucoma awareness was positively associated with education level in
both groups (p < 0.0001). The definition of "glaucoma" in the glaucoma group and
the relatives group was known to 20 (58.8%) and 17 (29.8%) participants,
respectively, and the difference was statistically significant (p < 0.0001). The
adjusted odds ratios for awareness and knowledge of glaucoma were 75.2 (95%
confidence interval [CI], 11.80 to 366.65) and 148.7 (95% CI, 14.07 to 1646.52),
respectively, for individuals with a university graduate level of education. In
our study, education level was the only demographic indicator that was a
predictor of knowledge and awareness of glaucoma. While more scientific evidence
is obtained, community education with focus on the promotion of knowledge and
awareness of glaucoma would be a practical public health strategy, especially for
individuals aged more than 40 years with a family history of glaucoma.
PMID- 29644245
TI - Acute Onset of Pneumococcal Pneumonia Following Instrumentation of the
Respiratory Tract.
AB - We describe 22 patients who developed pneumococcal pneumonia within 96 hours of
respiratory tract instrumentation. In 59% of cases, the time to onset of symptoms
was <24 hours. Instrumentation bypasses normal protective barriers and carries
organisms directly to the lower airways, leading to the rapid development of
pneumonia.
PMID- 29644246
TI - Hepatitis C Treatment Outcomes for People Who Inject Drugs Treated in an
Accessible Care Program Located at a Syringe Service Program.
AB - Hepatitis C virus (HCV) is a significant public health problem that
disproportionately afflicts people who inject drugs. We describe outcomes of HCV
treatment co-located within a syringe services program (SSP). Fifty-three
participants started therapy, and 91% achieved sustained virologic response. SSPs
provide an effective venue for HCV treatment.
PMID- 29644248
TI - MRI and PET-CT Failed to Differentiate Between Hepatic Malignancy and Brucelloma.
AB - Brucellosis is a common, worldwide zoonosis. Clinical presentation is protean and
often goes unrecognized. Hepatic brucelloma is a rare local complication of
chronic brucellosis. We report a case in which magnetic resonance imaging and
positron emission tomography imaging prompted suspicion of a hepatic malignancy.
Diagnosis was ultimately made by serology and polymerase chain reaction of
resected liver tissue.
PMID- 29644247
TI - Quantification of Torque Teno Virus and Epstein-Barr Virus Is of Limited Value
for Predicting the Net State of Immunosuppression After Lung Transplantation.
AB - Background: Major hurdles for survival after lung transplantation are rejections
and infectious complications. Adequate methods for monitoring immune suppression
status are lacking. Here, we evaluated quantification of torque teno virus (TTV)
and Epstein-Barr virus (EBV) as biomarkers for defining the net state of
immunosuppression in lung-transplanted patients. Methods: This prospective single
center study included 98 patients followed for 2 years after transplantation.
Bacterial infections, fungal infections, viral respiratory infections (VRTI),
cytomegalovirus (CMV) viremia, and acute rejections, as well as TTV and EBV
levels, were monitored. Results: The levels of torque teno virus DNA increased
rapidly after transplantation, likely due to immunosuppressive treatment. A
modest increase in levels of Epstein-Barr virus DNA was also observed after
transplantation. There were no associations between either TTV or EBV and
infectious events or acute rejection, respectively, during follow-up. When
Tacrolimus was the main immunosuppressive treatment, TTV DNA levels were
significantly elevated 6-24 months after transplantation as compared with
Cyclosporine treatment. Conclusions: Although replication of TTV, but not EBV,
appears to reflect the functionality of the immune system, depending on the type
of immunosuppressive treatment, quantification of TTV or EBV as biomarkers has
limited potential for defining the net state of immune suppression.
PMID- 29644249
TI - Schistosomiasis Presenting as Recurring Sigmoid Volvulus in a Danish Man With an
Inconspicuous Travel History-A Case Report.
AB - A healthy 72-year-old Danish male presenting with recurring sigmoid volvulus was
found to be infested with Schistosoma mansoni. No other explanation for recurring
volvulus was found. A travel history 12 years ago, which included bathing in the
Botswana Okavango delta for 10 minutes, revealed the likely time and place of
infection. To our knowledge, this is the first reported case of recurrent sigmoid
volvulus and chronic intestinal schistosomiasis in a patient from a nonendemic
area.
PMID- 29644250
TI - Symptomatic Acute Toxoplasmosis in Returning Travelers.
AB - We report a family who acquired acute toxoplasmosis after a trip to Central
America. One member developed severe clinical manifestations including bilateral
chorioretinitis, hepatitis, and myocarditis requiring therapy. Symptomatic acute
toxoplasmosis is unusual and possesses a diagnostic challenge. We discuss the
clinical and epidemiological implications, laboratory diagnosis, and treatment
plan.
PMID- 29644252
TI - Strategies for Successful Treatment of Active Tuberculosis in the Setting of
DRESS on RIPE.
AB - We describe 2 young, female patients who developed drug reaction with
eosinophilia and systemic symptoms (DRESS) syndrome while on treatment for
pulmonary tuberculosis (TB). Active TB was treated successfully with second-line
TB medications, including moxifloxacin, ethambutol, linezolid, and amikacin for
18 months.
PMID- 29644251
TI - Twenty-Year Evolution of Hepatitis B Virus and Human Immunodeficiency Virus
Prevalence and Incidence in Voluntary Blood Donors in Cote d'Ivoire.
AB - Background: Hepatitis B virus (HBV) and human immunodeficiency virus (HIV) share
common risk factors. The parallel description of their frequency over time may
help capture their similarities and differences. Methods: Using data from the
National Transfusion Center of Abidjan, we estimated the following over a 20-year
period: (1) the prevalence of HIV and hepatitis B surface antigen (HBsAg)
positivity at first contact; and (2) the incidence of HIV and HBsAg
seroconversion in negative first-time blood donors. Results: Between 1992 and
2012, 422319 donors (men [M] = 74%) provided 1063825 blood donations. For first
time donors, HIV prevalence decreased from 7.1% (M = 5.9%, women [W] =11.0%) in
1992-1994 to 1.1% (M = 0.8%, W = 2.0%) in 2010-2012. Prevalence of HBsAg
positivity remained stable at 10.8% (M = 11.7%, W = 7.3%) in 1992-1994 to 11.1%
(M = 12.5%, W = 7.1%) in 2010-2012. Among regular donors (N = 129256), the
incidence of becoming HIV or HBsAg positive, respectively, decreased from 4.9 per
100 (M = 4.5, W = 8.6) and 7.3 per 100 person-years (M = 7.8, W = 2.3) in 1992
1994 to 0.07 (M = 0.06, W = 0.11) and 0.2 per 100 person-years (M = 0.2, W = 0.2)
in 2010-2012. Conclusions: Human immunodeficiency virus prevalence and incidence
decreased dramatically over time, whereas HBV prevalence remained stable.
Incidence of HBsAg seroconversion, although decreasing, still reached unexpected
levels, suggesting that the risk of HBV infection in adults may be higher than
expected. Hepatitis B surface antigen-negative blood-donors should be offered HBV
vaccination.
PMID- 29644253
TI - Lectin Binding Analysis of Streptococcus mutans Glycoproteins.
AB - Bacterial glycoproteins are of increasing interest due to their abundance in
nature and importance in health and infectious diseases. However, only a very
small fraction of bacterial glycoproteins have been characterized and its post
translational modification machinery identified. While analysis of glycoproteins
can be achieved through various techniques, this is often limited by the specific
characteristics of individual proteins such as type and level of glycosylation.
Lectins are sugar-binding proteins that recognize specific glycoconjugates in a
manner similar to antigen-antibody interactions. Here, we describe a simple
method for the detection of glycoproteins using lectin-based Western blot
analysis, which can be applied to different organisms and coupled with various
other strategies for complementary analysis.
PMID- 29644254
TI - FRET-based Stoichiometry Measurements of Protein Complexes in vitro.
AB - For a complete understanding of biochemical reactions, information on complex
stoichiometry is essential. However, measuring stoichiometry is experimentally
challenging. Our lab has developed a FRET-based assay to study protein complex
stoichiometry in vitro. This assay, also known as Job plot, is set up as a
continuous variation of the molar ratio between the two species, kept at constant
total concentration. The FRET (Fluorescence Resonance Energy Transfer) between
the two fluorescently-labeled proteins is measured and the stoichiometry is
inferred from the sample with highest FRET signal. This approach allows us to
assess complex stoichiometry in solution.
PMID- 29644255
TI - Conditional Knockdown of Proteins Using Auxin-inducible Degron (AID) Fusions in
Toxoplasma gondii.
AB - Toxoplasma gondii is a member of the deadly phylum of protozoan parasites called
Apicomplexa. As a model apicomplexan, there is a great wealth of information
regarding T. gondii's 8,000+ protein coding genes including sequence variation,
expression, and relative contribution to parasite fitness. However, new tools are
needed to functionally investigate hundreds of putative essential protein coding
genes. Accordingly, we recently implemented the auxin-inducible degron (AID)
system for studying essential proteins in T. gondii. Here we provide a step-by
step protocol for examining protein function in T. gondii using the AID system in
a tissue culture setting.
PMID- 29644256
TI - Registration and Alignment Between in vivo Functional and Cytoarchitectonic Maps
of Mouse Visual Cortex.
AB - This protocol describes a method for registration of in vivo cortical retinotopic
map with cytochrome c oxidase (CO) labeled architectonic maps of the same mouse
brain through the alignment of vascular fiducials. By recording surface blood
vessel pattern and sequential alignment at each step, this method overcomes the
challenge imposed by tissue distortion during perfusion, mounting, sectioning and
histology procedures. This method can also be generalized to register and align
other types of in vivo functional maps like ocular dominance map and
spatial/temporal frequency tuning map with various anatomical maps of mouse
cortex.
PMID- 29644257
TI - Intravenous Labeling and Analysis of the Content of Thymic Perivascular Spaces.
AB - Following development in the thymus, T cells are thought to exit into the
periphery predominantly through perivascular spaces (PVS). This exit route is
used by conventional T cells, and likely also applies to unconventional T cell
subsets, such as precursors of CD8alphaalpha and TCRgammadelta intraepithelial
lymphocytes, regulatory T cells and natural killer T cells. Additional cell types
might also be found in the PVS and initiate interactions with exiting T cells.
The exact content of the PVS, and the processes within, are not well studied. To
distinguish vascular from resident cells within various tissues by flow
cytometry, intravenous (i.v.) labeling is becoming a commonly employed method. We
recently used anti-CD45.2 antibodies and magnetic enrichment to further evaluate
this technique, and compared labeled and unlabeled cells in the thymus and blood.
This assay can be used to specifically investigate hematopoietic cell subsets
within the PVS of the thymus.
PMID- 29644258
TI - CRISPR-mediated Tagging with BirA Allows Proximity Labeling in Toxoplasma gondii.
AB - Defining protein interaction networks can provide key insights into how protein
complexes govern complex biological problems. Here we define a method for
proximity based labeling using permissive biotin ligase to define protein
networks in the intracellular parasite Toxoplasma gondii. When combined with
CRISPR/Cas9 based tagging, this method provides a robust approach to defining
protein networks. This approach detects interaction within intact cells, it is
applicable to both soluble and insoluble components, including large proteins
complexes that interact with the cytoskeleton and unique microtubule organizing
center that comprises the apical complex in apicomplexan parasites.
PMID- 29644259
TI - RNA Cap Methyltransferase Activity Assay.
AB - Methyltransferases that methylate the guanine-N7 position of the mRNA 5' cap
structure are ubiquitous among eukaryotes and commonly encoded by viruses. Here
we provide a detailed protocol for the biochemical analysis of RNA cap
methyltransferase activity of biological samples. This assay involves incubation
of cap-methyltransferase-containing samples with a [32P]G-capped RNA substrate
and S-adenosylmethionine (SAM) to produce RNAs with N7-methylated caps. The
extent of cap methylation is then determined by P1 nuclease digestion, thin-layer
chromatography (TLC), and phosphorimaging. The protocol described here includes
additional steps for generating the [32P]G-capped RNA substrate and for preparing
nuclear and cytoplasmic extracts from mammalian cells. This assay is also
applicable to analyzing the cap methyltransferase activity of other biological
samples, including recombinant protein preparations and fractions from analytical
separations and immunoprecipitation/pulldown experiments.
PMID- 29644260
TI - Biochemical Analysis of Dimethyl Suberimidate-crosslinked Yeast Nucleosomes.
AB - Nucleosomes are the fundamental unit of eukaryotic chromosome packaging,
comprised of 147 bp of DNA wrapped around two molecules of each of the core
histone proteins H2A, H2B, H3, and H4. Nucleosomes are symmetrical, with one axis
of symmetry centered on the homodimeric interaction between the C-termini of the
H3 molecules. To explore the functional consequences of nucleosome symmetry, we
designed an obligate pair of H3 heterodimers, termed H3X and H3Y, allowing us to
compare cells with single or double H3 alterations. Our biochemical validation of
the heterodimeric X-Y interaction included intra-nucleosomal H3 crosslinking
using dimethyl suberimidate (DMS). Here, we provide a detailed protocol for the
use of DMS to analyze yeast nucleosomes.
PMID- 29644261
TI - Detection and Analysis of Circular RNAs by RT-PCR.
AB - Gene expression in eukaryotic cells is tightly regulated at the transcriptional
and posttranscriptional levels. Posttranscriptional processes, including pre-mRNA
splicing, mRNA export, mRNA turnover, and mRNA translation, are controlled by RNA
binding proteins (RBPs) and noncoding (nc)RNAs. The vast family of ncRNAs
comprises diverse regulatory RNAs, such as microRNAs and long noncoding
(lnc)RNAs, but also the poorly explored class of circular (circ)RNAs. Although
first discovered more than three decades ago by electron microscopy, only the
advent of high-throughput RNA-sequencing (RNA-seq) and the development of
innovative bioinformatic pipelines have begun to allow the systematic
identification of circRNAs (Szabo and Salzman, 2016; Panda et al., 2017b; Panda
et al., 2017c). However, the validation of true circRNAs identified by RNA
sequencing requires other molecular biology techniques including reverse
transcription (RT) followed by conventional or quantitative (q) polymerase chain
reaction (PCR), and Northern blot analysis (Jeck and Sharpless, 2014). RT-qPCR
analysis of circular RNAs using divergent primers has been widely used for the
detection, validation, and sometimes quantification of circRNAs (Abdelmohsen et
al., 2015 and 2017; Panda et al., 2017b). As detailed here, divergent primers
designed to span the circRNA backsplice junction sequence can specifically
amplify the circRNAs and not the counterpart linear RNA. In sum, RT-PCR analysis
using divergent primers allows direct detection and quantification of circRNAs.
PMID- 29644262
TI - The Stress Acceleration Hypothesis: Effects of early-life adversity on emotion
circuits and behavior.
AB - The importance of early experiences for mental health across the lifespan is well
recognized. In particular, there is a strong association between adverse
caregiving experiences and mental illness. However, relative to studies assessing
outcomes in adults, there are far fewer studies assessing the earlier emerging
manifestations of caregiving adversity during development. This lack of
developmental research limits an understanding of the mechanisms that link
adversity with mental illness. Adoption of a developmental approach to research
in this field will yield greater insights into the factors that tie adversity to
poor emotion function across a lifespan. In this review, we focus on recent
findings that have used a developmental approach in the examination of mental
health and early adversity. These studies are notable in that, across numerous
species, they converge on the idea that early adversity leads to accelerated
maturation of emotion circuits in the brain and in the behaviors supported by
these regions. We propose that these 'stress acceleration' effects are evidence
of early system adaptation.
PMID- 29644263
TI - Treatment for unstable pulmonary sequestration injury in patient with severe
blunt trauma: A case report.
AB - Case: Pulmonary sequestration is a congenital malformation characterized by
nonfunctioning tissue not communicating with the tracheobronchial tree. As the
blood pressure in the artery feeding the sequestrated lung tissue is higher than
that in the normal pulmonary artery, the risk of massive hemorrhage in pulmonary
sequestration is high. We herein present the first case of a severe blunt trauma
patient with unstable pulmonary sequestration injury. Outcome and conclusion: The
mechanism of pulmonary sequestration injury is vastly different than that of
injury to normal lung. We suggest that proximal feeding artery embolization
should be performed before surgical intervention in patients with massive
hemorrhage of pulmonary sequestration due to severe chest trauma.
PMID- 29644264
TI - Laparoscopic approach to the management of penetrating traumatic diaphragmatic
injury.
AB - Background: Traditionally, laparotomy/thoracotomy is the standard approach for
thoracoabdominal injuries. However, it has a non-therapeutic rate of 12-40% and
40% morbidity. Laparoscopy, as a diagnostic and therapeutic modality, has evolved
to be integral to general and subspecialty surgeons in the management of
patients. However, its use in the field of trauma surgery has been limited. We
present a case of traumatic diaphragmatic injury from a low velocity penetrating
wound successfully repaired through laparoscopic approach. Case presentation: A
20 year old male, presented with a traumatic diaphragmatic injury secondary to a
low velocity penetrating injury. A computed tomographic scan revealed a tear on
the left diaphragm with the superior pole of the spleen and omentum eviscerating
through. He subsequently underwent diagnostic laparoscopy and primary repair of
the diaphragmatic injury. His recovery was uneventful and he was discharged on
the third postoperative day. Conclusion: A review of current literature and our
case suggest that the use of laparoscopy for the management of penetrating
thoracoabdominal injuries is continually evolving and has shown to be a promising
approach compared to traditional laparotomy in carefully selected patients.
Laparoscopic repair of penetrating traumatic diaphragmatic injuries is a safe and
expedient option for hemodynamically stable patients.
PMID- 29644265
TI - Gastric perforation following blunt abdominal trauma.
AB - Gastric perforations following blunt abdominal trauma are rare, accounting for <
2% of all blunt abdominal injuries. Isolated blunt gastric ruptures are uncommon.
They are usually associated with other solid visceral injuries. Injuries to the
stomach are associated with the highest mortality of all hollow viscus injuries.
Severity of the injury, timing of presentation and presentation following the
last meal as well as concomitant injuries are important prognostic factors.
Imaging modalities may be unreliable in making a diagnosis and thus clinical
vigilance is mandatory. We present a patient with gastric perforation following
blunt abdominal trauma and review the literature.
PMID- 29644266
TI - Ureteric transection secondary to penetrating handlebar injury.
AB - Ureteric trauma is rare, occurring in < 1% of all traumas. We present a unique
case of a 13 year old female who sustained a penetrating abdominal injury from a
bicycle handlebar. Upon initial examination there was herniation of bowel through
the abdominal wound, so exploratory laparotomy was performed. A serosal injury of
the colon and bleeding mesenteric veins were encountered; the retroperitoneum was
not explored at that time. Postoperative course was remarkable for a doubling of
the serum creatinine, increasing abdominal distention and pain. Computed
tomography on postoperative day five demonstrated a large amount of intra
abdominal fluid. The patient was taken for re-exploration. The left ureter was
found to be completely transected. It was repaired over a double-J stent. This
case demonstrates the need for a high index of suspicion in the diagnosis of
ureteric injury.
PMID- 29644267
TI - Double-plate fixation in double clavicle fractures: A case report.
AB - Bilateral clavicle fractures are rare; Double clavicle fractures are particularly
rare. We report a case of a 23-year-old woman presenting with double fractures
(middle and distal end) of the right clavicle and single fracture of the left
clavicle after she suffered a road traffic accident. Four days after the injury,
the right clavicle was fixed with a reconstruction locking plate and a hook
plate, the left clavicle was fixed by a S-shaped locking plate. Bone union was
achieved 3 months after surgery. The patient regained full range of shoulder
motion with a mild pain in the right acromion 21 months after the operation. To
our knowledge, treating double clavicle fractures using double-plate fixation has
not been described. Our case shows that double-plate fixation was successful in
achieving an excellent long-term outcome.
PMID- 29644268
TI - Well leg compartment syndrome.
AB - Well leg compartment syndrome refers to the occurrence of compartment syndrome in
an uninjured limb in the absence of an underlying systemic predisposing cause. It
is a rare phenomenon with devastating clinical consequences resulting from
commonly utilized operative positions and requires a high index of suspicion for
diagnoses. There are only a few reports in the literature and a lack of awareness
of the condition. Identifying patients with at risk predisposition and increasing
surveillance in these patients may allow for early detection and decrease the
morbidity and potential mortality associated with well-leg compartment syndrome.
PMID- 29644269
TI - Blunt traumatic celiac artery avulsion managed with celiac artery ligation and
open aorto-celiac bypass.
AB - Traumatic celiac artery injuries are rare and highly lethal with reported
mortality rates of 38-62%. The vast majority are caused by penetrating trauma
with only 11 reported cases due to blunt trauma (Graham et al., 1978; Asensio et
al., 2000, 2002). Only 3 of these cases were complete celiac artery avulsions.
Management options described depend upon the type of injury and have included
medical therapy with anti-platelet agents or anti-coagulants, endovascular
stenting, and open ligation. We report a case of a survivor of complete celiac
artery avulsion from blunt trauma managed by open bypass.
PMID- 29644270
TI - Importance of the capability for complete resuscitative treatment combining
surgery and interventional radiology for potentially lethal multiple injuries: A
case report.
AB - Background: Recently, trauma management has been complicated owing to the
introduction of damage-control strategies and interventional radiology. Here, we
discuss important aspects regarding survival of patients with severe trauma. Case
presentation: A 74-year-old Japanese woman experienced a traffic accident on a
highway. On arrival, paramedics were unable to measure her blood pressure, and
her condition deteriorated. The patient was immediately transferred to our
hospital in a physician-staffed emergency helicopter, during which she was
administered emergency blood transfusions. On admission, her systolic blood
pressure was 44 mmHg, and focused assessment with sonography for trauma yielded
positive findings at the anterior mediastinum, right thoracic cavity, and intra
abdominal cavity. Plain radiography revealed a partial unstable-type pelvic
fracture. Immediately, cardiac tamponade caused by the massive anterior
mediastinal hematoma with internal thoracic vessel injuries was diagnosed through
a median sternotomy, while a diaphragmatic rupture and hemorrhage from the intra
abdominal cavity were diagnosed through right anterior-lateral thoracotomy.
Furthermore, massive bowel and mesenteric vessel injuries were diagnosed through
laparotomy; all of these injuries were treated sequentially as a simplified
process. The patient then underwent transcatheter arterial embolization for the
retroperitoneal hematoma and the pelvic fracture. Reestablishing intestinal
continuity was performed after intensive care. All procedures were seamlessly
performed by trained emergency physicians, and the postoperative course was
uneventful, with the patient recovering completely after rehabilitation.
Conclusions: The capability to perform complete resuscitative treatments that
seamlessly combine surgery and interventional radiology in the appropriate order
is important for the survival of patients with multiple traumatic injuries.
PMID- 29644271
TI - Venlafaxine-induced REM sleep behavioral disorder presenting as two fractures.
AB - Rapid eye movement (REM) sleep behavioral disorder is characterized by the
absence of muscular atonia during REM sleep. In this disorder, patients can
violently act out their dreams, placing them at risk for traumatic fractures
during these episodes. REM sleep behavioral disorder (RBD) can be a sign of
future neurodegenerative disease and has also been found to be a side effect of
certain psychiatric medications. We present a case of venlafaxine-induced RBD in
a 55 year old female who presented with a 13 year history of intermittent
parasomnia and dream enactment in addition to a recent history of two fractures
requiring intervention.
PMID- 29644272
TI - Bilateral perforating eye injury with metallic foreign bodies caused by tire
explosion: Case report.
PMID- 29644273
TI - Emergency department repair of blunt right atrial rupture utilizing
cardiopulmonary bypass.
AB - Blunt cardiac injury (BCI) with free wall rupture carries a high risk of pre
hospital death. Cardiopulmonary bypass (CPB) has been utilized as a bridge to
repair of cardiac lesions in select patients. We present an interesting case of
emergency department repair of right atrial rupture with cardiopulmonary bypass.
PMID- 29644274
TI - Ocular manifestations of TASER-induced trauma.
AB - A young adult male experienced penetrating globe injury due to a Thomas A. Swift
Electric Rifle (TASER). Despite successful repair of the globe, the damage was
profound. This case report explores the complex ways in which mechanical and
electrical forces from a TASER may impact the structural integrity and the
neurosensory structures of the eye.
PMID- 29644275
TI - Delayed identification of an isolated paediatric capitate fracture.
AB - Fractures of the capitate bone are the second most common injury to a child's
wrist but are rarely encountered in isolation. This is reflected in the paucity
of described cases in the literature. Their true incidence is unknown as they
often do not appear on plain radiographs and may heal without immobilisation. The
easiest way to detect these fractures is with an MRI scan. The authors present a
rare case of isolated capitate fracture that was initially missed on plain films.
This case is important as it highlights the need for a high index of suspicion in
paediatric patients with unresolving wrist pain.
PMID- 29644276
TI - Symptomatic sacrococcygeal joint dislocation treated using closed manual
reduction: A case report with 36-month follow-up and review of literature.
AB - Dislocation of the sacrococcygeal joint is a rare injury from trauma to the
buttocks, most often from falling backwards. Standard of care for this injury has
not been determined because it is rare. Left untreated this can cause coccydynia
in the long-term. Here we present a case report to describe the treatment of an
anterior sacrococcygeal dislocation with closed manual reduction. A 13-year-old
female presented to the emergency department with buttock pain after slipping
backwards down the stairs. On X-ray the coccyx was in bayonette apposition to the
anterior distal sacrum and shortened by 6 mm. To manage the injury, closed manual
reduction of the sacrococcygeal joint was performed. To our knowledge, this is
the first successful case of sacrococcygeal dislocation treated with closed
manual reduction, resulting in complete relief of symptoms at 36 months follow
up. Sacrococcygeal dislocations can be treated with closed manual reduction,
resulting in lower morbidity and faster recovery compared to surgical treatment.
PMID- 29644277
TI - Isolated orbital roof blow-in fracture.
AB - We report a rare case of a right orbital roof blow-in fracture in a 40-year-old
male with concomitant basal skull fracture and intracranial hemorrhage after a
fall backward. Trauma, neurosurgery, ophthalmology, and maxillofacial surgery
consultations were obtained. Conservative, non-surgical management was
recommended for all injuries, and outpatient follow up for orbital fracture with
no surgery offered.
PMID- 29644278
TI - Fabella fracture with radiological imaging: A case report.
AB - The fabella is a kind of sesamoid bone which is located in the lateral head of
the gastrocnemius muscle. A slice of studies demonstrated that fabella is
involved in the knee joint stabilization. Fabella fracture may cause knee pain or
functional impairment as the previous reports pointed. It is extremely rare,
which leads to its high rate of missed diagnosis in clinical and radiography to
some extent. We report a case of a 38-year-old female who was struck by an
electromobile. The fabella fracture was confirmed on X-ray plain films. After
that, the degree of injury was evaluated with the magnetic resonance imaging
(MRI).
PMID- 29644279
TI - Blunt innominate artery trauma requiring repair and carotid ligation.
AB - Traumatic dissection of the innominate artery is a rare clinical entity.
Management of a patient with motorsensory compromise and dissection extending to
the subclavian and right common carotid arteries is quite rare and can be quite
involved. Here we present such a case and discuss the unique peri-operative
decision-making in the context of what is reported in the literature. Restoration
of motorsensory function is critical and in this case, requiring a multi
disciplinary team.
PMID- 29644280
TI - Atypical cardioversion in unstable arrhythmia caused by clavicle surgery.
AB - We report on a 54-year old male with traumatic brain injury, flail chest and
floating shoulder undergoing intramedullary stabilization of a midshaft clavicle
fracture in beach chair position. Intraoperatively the patient developed instable
atrial fibrillation triggered by implantation of intramedullary nail. Secondary
this case shows feasibility of cardioversion in latero-lateral electrode-position
due to inaccessible standard positions and patient fixation between the operation
table and the X-ray apparatus.
PMID- 29644281
TI - Thyrohyoid membrane transection caused by a stab injury.
AB - Background: The thyrohyoid membrane is located between the hyoid bone and thyroid
cartilage. Transection of the thyrohyoid membrane can be fatal. Case
presentation: A 54-year-old man presented to the emergency room after attempting
to commit suicide by cutting his neck. An endotracheal tube was inserted through
the thyrohyoid membrane, which had been cut almost completely. After a
tracheostomy, temporary wound closure was performed due to coagulopathy caused by
hemorrhagic shock. Several hours later, a hyoidothyroidopexy was performed.
Damage control surgery was applied to this patient's neck injury. The
tracheostomy tube was removed postoperatively and he was discharged without
complications. Conclusion: Damage control surgery could be an option for airway
injury with blood clotting problems due to massive bleeding after securing a
patent airway.
PMID- 29644282
TI - Teriparatide and vertebral fracture healing in Ankylosing Spondylitis.
AB - Patients with Ankylosing Spondylitis (AS) are four times more likely to sustain
spinal fractures. Due to the associated risk of neurological complications
treatment is complex. We present the case of a 56-year-old Caucasian gentleman
with AS who sustained a fracture of T2 vertebra following a traumatic
hyperextension injury. He declined surgery in fear of complications and started
treatment with subcutaneous Teriparatide at a dose of 20 mg daily for six months.
There was complete healing of the vertebral fracture at 6 months without any
complications. This case is unique as complete healing was achieved without
preceding surgical intervention. Further exploration of the use of Teriparatide
in spinal fractures in patients with AS is recommended to support the theories
generated by this and other existing cases in the literature.
PMID- 29644283
TI - Plating of an isolated fracture of shaft of ulna under local anaesthesia and
periosteal nerve block.
AB - Isolated fractures of shaft of ulna are common. Plate fixation with anatomic
reduction is thought to produce the best functional results in closed or open
fractures. Surgery can be done under general and various types of regional
anaesthesia. We report a case of fracture shaft of ulna treated by plating under
a combination of WALANT (wide awake, local anaesthesia, no tourniquet) using
tumescent anaesthesia and periosteal nerve block as a day care procedure.
PMID- 29644284
TI - Primary repair of a delayed presentation thoracic oesophageal gunshot injury: A
report of two cases.
AB - Thoracic oesophageal gunshot injuries are uncommon, and the morbidity and
mortality rates are extremely high and depend on the elapsed time, injury
severity, and concomitant organ damage. Thus, early diagnosis is paramount to
avoid delays, which in turn confer poorer outcomes. Current management strategies
are still controversial and depend on the patient's physiologic state. We
experienced two cases of thoracic oesophageal gunshot injury, both of whom were
treated by primary repair and were successfully discharged. Decision-making
strategies should be based on the patient's physiologic reserve, experience of
the attending surgical team, and ancillary services available at the facility.
PMID- 29644285
TI - Initial experience with the treatment of concomitant aortic pseudoaneurysm and
thoracolumbar spinal fracture: Case report.
AB - One blunt abdominal aortic disruption (BAAD) and one blunt thoracic aortic injury
(BTAI) case are presented. Both aortic injuries were combined with spinal
fractures. In the BAAD case the aortic pseudoaneurysm manifested just above the
lumbar fracture while in the BTAI case the aortic injury appeared several
vertebras below the thoracal fracture site, suggesting different mechanisms in
the aortic wall damage. In both cases the aortic wall first was sealed,
successfully, by endovascularly-placed stents, meaning the risks of open aortic
reconstructive surgery could be avoided. The adjacent crucial vessel's
preservation, despite the stent covering the left subclavian artery and the left
common carotid artery in one of the cases was verified by post-operative computed
tomography angiography (CTA) examination. In second stage those spinal fractures
which were deemed unstable were stabilized by the fixateur interne (a
transpedicular screw-rod system). With this treatment sequence we wanted to avoid
the unnecessary risk of a possible rupture of the unsealed aortic wall during
positioning for the spinal procedure and during the spinal surgery. Both patients
recovered from their aortic and spinal injuries.
PMID- 29644286
TI - Jahss type one posterior first metatarsophalangeal dislocation treated with open
reduction without fixation: A case report.
PMID- 29644287
TI - Successful use of intrapelvic Quikclot in life-threatening blast injury.
AB - Patients that suffer multiple traumatic injuries often present with
uncontrollable haemorrhage and rapidly descend into a viscous death triad
consisting of hypothermia, coagulopathy and acidosis. Initial surgical
intervention does not aim to provide conclusive repair, but instead strives to
stop blood loss while priority is given to correct the patient's metabolic state
(Duchesne et al., 2010). However in some cases of massive polytrauma, gaining
surgical control of bleeding can be incredibly difficult. As a result a number of
topical haemostatic agents were developed for use in military and civilian
settings. This case details a successful intracavity use of the granular
haemostatic agent, QuikclotTM (Z-Medica), in halting massive haemorrhage in a
patient who sustained major blast injuries. Although not officially recommended,
intracorporeal uses of QuikclotTM can be effective as a last resort in preventing
loss of life in cases of severe polytrauma. However, users need to remain wary of
complications which may arise due to its application.
PMID- 29644288
TI - Massive bilateral chylothorax post blunt trauma.
AB - Chylothorax caused by blunt trauma is extremely rare. We present a case of
bilateral massive chylothorax post blunt trauma and a review of the literature
regarding the identification and management of this rare diagnosis. An eighteen
year-old male was involved in a motor vehicle crash where he sustained multiple
injuries including a right, moderate to large, haemopneumothorax, a small left
haemopneumothorax, left T8, T9, L1 and L2 acute transverse process fractures and
fractures of bilateral 11th ribs. An intercostal catheter was inserted on the
right side which initially drained blood-stained fluid however milky colour fluid
was noted to be draining 11 h post insertion. Further imaging revealed a left
pleural effusion causing a mediastinal shift where, once drained, also revealed a
chylothorax. The patient was managed conservatively with bilateral intercostal
catheters and a no fat/low-fat diet. The patient was discharged day seven post
removal of bilateral intercostal catheters.
PMID- 29644289
TI - Transpedicular direct osteosynthesis of hangman's fracture from a mini-open
exposure as a less invasive procedure: A technical note.
AB - This surgical technical case report presents initial clinical experience and
preliminary results with a less invasive surgical solution for selected hangman's
fracture. A well-known stabilization technique (i.e. direct transpedicular
osteosynthesis) was applied through a minimally invasive small incision
transmuscular posterior approach guided by a standard C-arm fluoroscopy. This
mini-open approach to C2 vertebra allows similar dissection, visualization of the
bony landmarks, visual control of the transpedicular screw path drilling, tapping
and screw insertion to the standard posterior cervical spine approach. At the
same time it has the benefits of less invasive procedures.
PMID- 29644290
TI - Posterior dislocation of a native hip joint associated with ipsilateral per
trochanteric fracture: A rare case report.
AB - We describe a case of traumatic posterior dislocation of a native hip joint
associated with ipsilateral comminuted inter-trochanteric femoral fracture. In
our case, closed reduction was attempted but proved unsuccessful. Taking into
account the planned subsequent intra-medullary femoral nail, open reduction
through a lateral incision was undertaken. Post-operatively, the planned mobility
was for a non-weight bearing period of 6 weeks followed by a partial-weight
bearing period of 6 weeks before progressing to full-weight bearing. Post
operatively, the patient completed a comprehensive course of physiotherapy. At
five months, he was able to walk for five miles over the course of a weekend. At
six months, the patient was looking at phased return to work as a fire fighter.
Radiographs taken at the time demonstrated evidence of healing to his inter
trochanteric fracture. At ten months, the patient was back to normal duties at
work as a fire fighter.
PMID- 29644291
TI - Profunda femoris artery injury caused by lesser trochanter fragment in
intertrochanteric fracture: A case report.
AB - Vascular injuries following intertrochanteric fracture have been sporadically
reported. Despite its rare occurrence, this complication can be potentially life
and limb threatening. The authors report an unusual presentation of false
aneurysm of profunda femoris artery following an intertrochanteric fracture with
marked displacement of lesser trochanter fragment. The patient presented with
thigh swelling and unexplainable dropped hematocrit. Surgical exploration and
vascular repair were done. It is essential for the surgeon to be aware of
possible associated vascular injuries in intertrochanteric fracture, particularly
in fracture with lesser trochanter fragment.
PMID- 29644292
TI - Serious phlegmonous lesion of the hand following an injury by vegetal thorn:
Never forget Pasteurella multocida!
AB - Pasteurella multocida can cause serious infections after dog or cat bite. We
report here a rare case of hand infection caused by P. multocida consecutive to
an injury by a thorn of the prickly pear. It caused an amputation of the distal
phalanx of the thumb in a trisomic patient. It is about a 27-year-old man who was
admitted to the hospital with swelling and intense pain of the left hand. He
reported a sting by a thorn of prickly pear 15 days before. The patient was
admitted to proceed with operative irrigation and debridement. The pus was
collected for microbiological examination. Microscopic examination after Gram
staining revealed small Gram-negative coccobacilli, associated to
polymorphonuclear reaction. Culture have objectivated Pasteurella multocida. The
isolated strain was susceptible to betalactamins. Patient was treated with
ampicillin. Well-conducted antibiotics and repetitive local cares have not
prevented local lesions from progressing to necrosis of the soft parts of the
thumb and osteitis of the distal phalanx of the thumb. The patient underwent a
necrosectomy and an amputation of the distal phalanx. Ampicillin was replaced by
amoxicillin/clavulanic acid and after 15 days, progression was clinically and
microbiologically favorable. In the case we report, since the patient does not
report any exposure or contact with animals, the thorn prick is the source of
infection. It was contaminated from the animal reservoir. Taking into account the
monomicrobism of the infection, treatment with aminopenicillins was sufficient.
Our propositus came to the hospital 15 days after the inoculation of the
bacterium. This duration appears to be very late in relation to the acute
character of pasteurellosis. This was probably the main reason why the local
infection evolved towards osteoarticular complications. That's why, we should
consider Pasteurella multocida in case of infection by inoculation, even in the
absence of contact with the animals.
PMID- 29644293
TI - Luxatio erecta with greater tuberosity fracture: A case report.
AB - Luxatio erecta is an unusual humeral dislocation. It is frequently associated
with neurovascular injuries and concomitant fracture. As such, they require a
thorough clinical and imaging evaluation. The vast majority of cases may be
treated with closed reduction alone, but infrequently, some may require an open
procedure. The authors report a case of luxatio erecta with fracture of greater
tuberosity to underline the rarity of this entity, and to describe the mechanism
of this injury and the therapeutic modalities.
PMID- 29644294
TI - Isolated right atrial appendage rupture following blunt chest trauma.
AB - Background: Right sided tears or rupture are the most common injury to the heart
after blunt chest trauma. The majority of these injuries are to the thin walled
atrium. Reports of localized right atrial appendage rupture are rare. The
classical features of Beck's triad are unreliable in the trauma bay. With the
advent of EFAST (Focused assessment with sonography for trauma extended to
thorax), Beck's triad should be considered but not used as the primary clinical
tool for diagnosis of cardiac tamponade [1]. EFAST aids in rapid diagnosis and
definitive care [3]. Case presentation: Our patient was a 17 year old male who
presented with hypotension after a rollover motor vehicle accident. He presented
with a grossly negative physical exam and positive EFAST for pericardial effusion
with tamponade physiology. We performed an emergency pericardiocentesis and
expedited transportation for operative exploration. A Right atrial appendage
injury was identified and repaired and patient recovered uneventfully.
Conclusion: EFAST examination aids in rapid diagnosis of cardiac tamponade in the
trauma setting. Pericardiocentesis facilitates temporizing the hemodynamics in
preparation for operative exploration.
PMID- 29644295
TI - Successful management of suicidal cut throat injury with internal jugular,
tracheal and esophageal transection: A case report.
PMID- 29644296
TI - Bilateral posterior fracture-dislocation of the shoulder after epileptic seizure.
AB - We present a case of a 61 year-old woman who suffered a bilateral posterior
fracture-dislocation of the shoulder after an isolated episode of epileptic
seizure. The patient was diagnosed at our Emergency department with x-rays and CT
scans after being found unconscious. An indication for bilateral shoulder
hemiprosthesis implant was initially given. However, given the peculiar pattern
of the fracture, the hemiprosthesis was implanted on one side only, while the
other side was treated with ORIF with four cannulated screws. After the one year,
the patient had resumed her previous activities and had no complaints. We
performed a review of similar cases in literature and provided a rationale for
our choice of treatment and the reasons for its success.
PMID- 29644297
TI - Negative-pressure in treatment of persistent post-traumatic subcutaneous
emphysema with respiratory failure: Case report and literature review.
AB - Subcutaneous emphysema may aggravate traumatic pneumothorax treatment, especially
when mechanical ventilation is required. Expectative management usually suffices,
but when respiratory function is impaired surgical treatment might be indicated.
Historically relevant methods are blowhole incisions and placement of various
drains, often with related wound complications. Since the first report of
negative pressure wound therapy for the treatment of severe subcutaneous
emphysema in 2009, only few publications on use of commercially available sets
were published. We report on patient injured in a motor vehicle accident who had
serial rib fractures and bilateral pneumothorax managed initially in another
hospital. Due to respiratory deterioration, haemodynamic instability and renal
failure patient was transferred to our Intensive Care Unit. Massive and
persistent subcutaneous emphysema despite adequate thoracic drainage with
respiratory deterioration and potentially injurious mechanical ventilation with
high airway pressures was the indication for active surgical treatment. Negative
pressure wound therapy dressing was applied on typical blowhole incisions which
resulted in swift emphysema regression and respiratory improvement. Negative
pressure wound therapy for decompression of severe subcutaneous emphysema
represents simple, effective and relatively unknown technique that deserves wider
attention.
PMID- 29644298
TI - Use of Idarucizumab for dabigatran reversal: Emergency department experience in
two cases with subdural haematoma.
AB - Introduction: Idarucizumab is the first effective humanized monoclonal antibody
fragment developed specifically as a reversal agent for dabigatran, a Direct Oral
Anticoagulant. Despite recent trials demonstrating reversal of clinically
relevant bleeding, there is a paucity of data on use outside the trial setting.
This manuscript describes the use of Idarucizumab to reverse dabigatran in two
patients presenting to the emergency department of a major tertiary hospital with
acute traumatic subdural haematomas (SDH). Methods: Patients were identified
through retrospective review of medication dispensing systems and electronic
medical records. Results: Two cases of Idarucizumab use were identified. Case 1
was of a 63-year-old male who presented following a motorcycle crash. Case 2 was
of a 77-year-old male who presented with a 3-week history of ataxia and recurrent
falls. Both patients were taking dabigatran for atrial fibrillation (AF). CT
Brain revealed acute SDH with clinical indications for urgent surgical
evacuation. Serum dabigatran levels were obtained on arrival in the emergency
department with levels of 155 ng/ml and 110 ng/ml (reference range 117-275
ng/ml). Idarucizumab for dabigatran reversal was commenced; Case 1 received 5 g
Idarucizumab as an intravenous bolus dose, while Case 2 received 5 g Idarucizumab
as two 2.5 g intravenous infusions. Serum dabigatran levels for Cases 1 and 2
were 0 ng/ml at 75 min and 340 min post Idarucizumab administration respectively.
Both patients proceeded to craniotomy with evacuation of the SDH. There was no
extension of the SDH in either case. Anticoagulation was withheld until
outpatient clinic review, and both patients transferred for rehabilitation prior
to discharge home. Conclusion: Idarucizumab was clinically effective for
reversing dabigatran, resulting in undetectable serum levels, and should be
considered in patients presenting to hospital with clinically significant
bleeding associated with dabigatran therapy.
PMID- 29644299
TI - Surgical management of compartment syndrome and the gradual closure of a
fasciotomy wound using a DermaClose device.
AB - The immediate recognition and timely response of compartment syndrome due to
damage caused by penetrating trauma has immense clinical outcomes. The following
is a case report of a complicated fasciotomy in a 33-year-old male who suffered a
gunshot wound to his left lower extremity and the surgical management leading to
adequate limb salvage and recovery.
PMID- 29644300
TI - A rare case of a superior lumbar hernia secondary to penetrating injury.
AB - Lumbar hernias are rare occurrences, with only 300 cases reported in the
literature. We present a unique case of a superior lumbar hernia secondary to
penetrating trauma to the right flank. We performed a herniorrhaphy using porcine
mesh, and provided additional support by mobilizing the external oblique and
latissimus dorsi into the defect. At follow up three months after repair, the
patient was asymptomatic and exam revealed an intact lumbar abdominal wall with
normal contour. Although literature displays a consensus on the need for lumbar
hernia repair, specific repair techniques must be tailored to defect etiology,
size, location, and contents.
PMID- 29644301
TI - Pseudoaneurysm of brachial artery: A rare cause of median nerve compression.
AB - Background: The authors present an unusual clinical case of high median nerve
compression caused by an iatrogenic pseudoaneurysm of the brachial artery after
an angiography with a follow up of 9 months. Methods: A 73-year-old male was seen
with progressive numbness, loss of opponency and diminution of strength of finger
flexion in the left hand after an angiography with direct puncture of the left
humeral artery. Physical examination revealed a hard consistency internal distal
arm swelling with the size of a walnut, non-pulsatile and with a Tinel sign on
percussion. Upper extremity arterial Doppler ultrasonography and magnetic
resonance imaging didn't point to a pseudoaneurysm. Given the persistence and
progressive worsening of symptoms, the patient was operated at 4 months after the
beginning of symptoms through an anterior approach of the left elbow. The
tumefaction corresponded to a brachial artery pseudoaneurysm completely
thrombosed causing severe compression of the median nerve. Microsurgical
neurolysis of the median nerve was performed and the pseudoaneurysm was removed.
Results: At 9 months of follow-up the patient had total recovery of flexion of
the thumb and forefinger and recovery of opposition with slight hypoesthesia on
the volar surface of the radial fingers of the hand. Conclusions: This case
represents a rare form of high median nerve compression after angiography. The
increased use of endovascular procedures may cause a higher frequency of these
neurological injuries, and if confirmed, the patient should be operated as soon
as possible to avoid serious neurological sequelae.
PMID- 29644302
TI - Perforating head injury with iron rod and its miraculous escape: Case report and
review of literature.
AB - Civilian perforating head injury is rare. Because rarity of this injury, there is
no standard management protocol. We report a case of perforating head injury with
iron rod, review the literature on the subject and discuss the challenges in the
management of such case. We have not found similar case in the literature.
Civilian perforating head injury is rare. A 25-year-male brought to the emergency
department with approximately two feet perforating iron rod in the head, entering
via frontal region, left side of midline and coming out of the occipital region.
He developed right sided hemiplegia and global aphasia. He underwent series of
imaging for the evaluation of the course of the iron rod and injury sustained
because of it. Under strict aseptic precaution, iron rod removed in the operation
theater. His clinical condition improved over a period of three weeks. At one
year follow up- he had almost normal speech and language functions and was able
to walk without support. This case illustrates the possibility of bizarre type of
such injury in the presence of protective helmet and challenges in the
management. Preoperative planning on the basis of images, prophylactic
antibiotics and anticonvulsant medications, cleaning of the objects with
antiseptic solutions, anterograde extraction after adequate exposure around entry
and exit points resulted in good clinical outcome after successful removal of the
rod.
PMID- 29644303
TI - Hoffa's fracture of the medial femoral condyle in a child treated with open
reduction and internal fixation: A case report.
AB - Background: Hoffa's fracture is a coronal oriented fracture of the femoral
condyles. Isolated coronal fracture of medial femoral condyle with intact lateral
femoral condyle is extremely rare in the pediatric patients. There are only few
cases of a medial femoral condyle Hoffa's fracture in a skeletally immature
patient that have been reported in the literature. The Case: In this case report;
we present a case of a 12-year-old boy with Hoffa's fracture of the medial
femoral condyle, successfully managed by open reduction and internal fixation.
Conclusion: Pediatric Hoffa's fractures are very rare and can be missed.
Treatment should be with open reduction and internal fixation to prevent further
complications in the long term.
PMID- 29644304
TI - Trans-triquetral Perilunate fracture dislocation.
AB - Perilunate dislocations and perilunate fracture dislocations are rare and serious
injuries. Perilunate dislocations represent less than 10% of all carpal injuries
of which 61% represent transcaphoid fractures. Because of their rarity, up to 25%
of perilunate dislocations are initially missed on first assessment. We present
the case of a 66-year-old-gentleman who sustained an isolated trans-triquetral
perilunate fracture dislocation while walking his dog. This was diagnosed in the
emergency department and he underwent open reduction internal fixation after
failed attempts at closed reduction in the ED and in theatre under general
anaesthesia. After further removal of his Kirschner wires and physiotherapy he is
noted to have had a successful outcome with his treatment at 9 months follow up
post operatively. We found that this is the first case of it's kind reported in
the literature highlighting the rarity of this injury pattern.
PMID- 29644305
TI - Horner's syndrome in traumatic first rib fracture without carotid injury; review
of anatomy and pathophysiology.
AB - Case report of a 51 year old man involved in a motor vehicle accident presenting
with multiple thoracic wall injury, including bilateral first rib fractures. He
slowly developed a right sided Horner's syndrome due to a right paravertebral
haematoma. The initial imaging did not display any carotid injury, however the
developing right paravertebral haematoma was not initially reported. We review
the anatomy and pathophysiology of this well-known but rare condition to show how
first rib fractures should raise suspicion of Horner's syndrome irrespective of
the presence or absence of any underlying blunt carotid injury.
PMID- 29644306
TI - Axillary artery injury after an anterior shoulder fracture dislocation and
"periosteal sleeve avulsion of the rotator cuff" (SARC). Case report and review
of the literature.
AB - We present the rare complication of an axillary artery injury associated with an
anterior dislocation of the humerus and what we believe to be the first reported
periosteal sleeve avulsion of the entire rotator cuff (SARC). We review the
literature and discuss the cause of this unusual injury pattern.
PMID- 29644307
TI - Bioabsorbable metal screws in traumatology: A promising innovation.
AB - MAGNEZIX(r) CS (Syntellix AG, Hanover, Germany) is a bioabsorbable compression
screw made of a magnesium alloy (MgYREZr). Currently there are only two clinical
studies reporting on a limited number of elective patients who received this
screw in a hallux valgus operation. We applied MAGNEZIX(r) CS for fixation of
distal fibular fracture in a trauma patient who had sustained a bimalleolar
fracture type AO 44-B2.3. Clinical course was uneventful, fracture healing
occurred within three months. Follow-up X-rays showed a radiolucent area around
the implant for some months, yet this radiolucent area had disappeared in the 17
months follow-up X-ray.
PMID- 29644308
TI - Neurovascular complications after supracondylar humerus fractures in children.
AB - Background: Supracondylar fractures of the humerus are a common injury in
pediatric traumatology. The most common operative therapy is closed reduction and
percutaneous pinning using K-wires. Common complications associated with this
entity are neurovascular lesions, especially of the brachial artery and the
median nerve. Methods: We report two cases of patients treated in our trauma
center with supracondylar fracture of the humerus (AO IV degrees ) and
neurovascular complications. Results: Both patients underwent open revision and
recovered completely in their further course. Conclusion: We recommend detailed
neurovascular examination initially and after reposition of the fracture. The
threshold for open reduction in cases of irreducible fractures should be low. In
the presence of neurovascular impairment an open revision is mandatory, even
months after the initial Trauma.Level of evidence: Level V (case report).
PMID- 29644309
TI - Open ankle dislocation without associated malleolar fracture: Review of the
literature.
AB - Open ankle dislocation without an associated fracture is an extremely rare injury
[1]. We present a case of a 17-years old male who sustained a serious injury of
his right ankle during jumping downstairs. He twisted his ankle and sustained an
open posteromedial dislocation of his right ankle, without an associated
malleolar fracture. The treatment consisted of wound debridement and irrigation
open relocation with repair of anterior talo-fibular ligament, and primary
closure of the skin post operatively the limb was immobilised in short leg cast
for six weeks in a neutral position allowing partial weight bearing. At the 3
month follow-up visit, the patient reported that both ankle and feet had regained
full, pain-free range of motion and he returned to full activity.
PMID- 29644310
TI - Literature review and case report: Current concepts for concomitant intra and
extracapsular fractures of neck of femur in elderly patients.
AB - Though the incidence of concomitant ipsilateral intracapsular and extracapsular
fracture neck of femur is still a rare presentation in day to day fracture hip
admissions. Cases of simultaneous ipsilateral intra- and extra-capsular neck of
femur fractures are forestalled with problems relating to diagnosing this injury
as well as debate regarding optimal methods of fixation versus arthroplasty. We
did a literature review to assess frequency of such fracture incidence, highlight
methods of treatment applied, current practice for management as well as case
report presentation.
PMID- 29644311
TI - Transection of the anterior tibial artery during minimally invasive plate
osteosynthesis of the proximal tibia.
AB - : Minimally invasive plate osteosynthesis (MIPO) techniques have been developed
to protect the blood flow to tissues surrounding fractures and reduce the risk of
non-union. Typically, MIPO involves the insertion of a plate through a small
incision and fixation with percutaneously applied screws targeted
fluoroscopically. Here we present a case of a transected anterior tibial artery
during routine minimally invasive plate osteosynthesis of a tibial plateau
fracture to highlight a rare complication of this type of surgery and discuss
relevant anatomical considerations. Level of evidence: According to the OCEBM
Levels of Evidence Working Group, this study is graded as a Level of Evidence IV.
PMID- 29644312
TI - Femoral neck pseudoarthrosis in a polio patient treated with closed reduction and
cell therapy.
AB - Poliomyelitis disease affects the anterior horns cells of the spinal cord and
certain motor nuclei of the brain stem. Paralysis type is flaccid and
asymmetrical and result in muscular imbalance. Due to this, in case of having a
hip muscles involvement, degenerative or posttraumatic, total hip arthroplasty is
normally contraindicated because of the excessive risk of hip dislocation. In
cases of subcapital femoral neck fractures the femoral head vascularization is a
main concern, and in cases of neglected fracture with pseudoarthrosis the
vascular status to the head must be investigated prior to further decisions. We
report the case of a femoral neck fracture non-union after a missed femoral neck
fracture in a polio affected leg treated with cannulated screws and percutaneous
autologous injection of processed total nuclear cells (TNC) mixed with putty
demineralized bone matrix.
PMID- 29644313
TI - Hafnia alvei: A new pathogen in open fractures.
AB - Background: Deep infection following open both bone forearm fractures is a rare
complication. Prophylactic antibiotic regimens are targeted at the most common
pathogens, which include primarily Staph aureus followed by gram-negative
bacteria. Hafnia alvei is an unusual pathogen that is rarely pathogenic in humans
and has never been reported as a cause of infection following open fracture.
Methods: We present a 12-year-old male with an open forearm fracture who
developed a late deep infection. Cultures grew only Hafnia alvei. The patient was
treated with debridement, placement of antibiotic beads, and ciprofloxacin.
Results: At 6 months following the initial debridement, the patient had no
clinical evidence of infection and regained full function of the affected forearm
without any residual deficits. Conclusions: This is the first report of deep
infection following an open forearm fracture owing to Hafnia alvei, a pathogen
rarely responsible for human infection.
PMID- 29644314
TI - Complete femoral artery transection following handlebar trauma.
AB - Complete transection of the common femoral artery is more frequent after
penetrating injuries than after blunt trauma, with most of the cases occurring in
combination with fractures. Contusion from a bicycle handlebar is a rare form of
injury to the femoral vessels. Hereby, we present a case of complete common
femoral artery transection caused by a direct bicycle handlebar trauma. The
patient suffered severe hypovolemia during his transfer to the Emergency room. He
required an emergency intervention and a bypass reconstruction.
PMID- 29644315
TI - Limb salvage and reconstruction following a zebra attack.
AB - Animal bites are fairly rare events but can cause devastating traumatic injuries
to the victim. In addition to the soft tissue, vascular, and orthopedic trauma
inflicted by these occurrences, bite injuries also have the potential to
introduce an inoculum of microbes, which may progress to an infection if not
treated properly and expeditiously. We present the case of a healthy male who
sustained multiple bite wounds from a domestic zebra to his left upper extremity.
This attack caused severe damage, including devascularization of the arm at the
brachial artery, disruption of the distal biceps and brachialis, stripping of the
forearm nerves, and shearing of the overlying soft tissue. The patient was taken
emergently to the operating room for revascularization of the extremity utilizing
a vein bypass graft. The soft tissue injuries were addressed with numerous
irrigation and debridement procedures, during which coverage of the vein bypass
graft was obtained using a variety of techniques, including skin flaps,
musculocutaneous advancements, and the application of an acellular dermal matrix
(AlloDerm) and a collagen-glycosaminoglycan matrix (Integra). Wound cultures
obtained intra-operatively during the irrigation and debridement procedures were
notable for the growth of multiple microbes, including Rhodococcus spp., which
have been documented to cause infection in immunocompromised patients. The
patient in this case was treated with a prolonged course of antibiotics, and
wound cultures negative for microbial growth were eventually obtained prior to
final closure of his wound. The patient then underwent successful biceps
reconstruction with a pedicled latissimus dorsi muscle transfer. This case
documents the extraordinary multidisciplinary approach provided in the salvage,
management, and eventual reconstruction of a mangled left upper extremity that
had sustained devastating traumatic injuries resulting from a rather unusual
source.
PMID- 29644316
TI - Managing a grossly comminuted and infected mandibular fracture using a maxillary
extra-oral distractor as stabilizing agent: A clinical case report.
AB - Facial fracture management dates as early as Hippocratic era. Comminuted
mandibular fractures are one of the challenging clinical condition requiring high
surgical expertise to achieve a good functional and esthetic outcome. In presence
of infection and other facial fractures managing comminuted mandibular fracture
becomes more challenging. Here we present a case of grossly comminuted and
infected mandibular fracture with delayed presentation managed by using maxillary
distractor as stabilizing agent. Using a maxillary distractor for managing a
fractured mandible has been seldom reported in literature. Current case report
gives idea to practicing clinician about the possibility of treatment beyond the
established principles.
PMID- 29644317
TI - A rare case of Hoffa fracture combined with lateral patellar dislocation.
AB - The coronal unicondylar fracture of the distal femur (AO 33-B3) is a rare
intraarticular injury within the weight bearing area of the knee, initially
described by Albert Hoffa in 1904. We report an unusual combination of a Hoffa
fracture with lateral patellar dislocation in a young adult. Our patient
sustained the injury by a sudden twist of his leg during sports. He presented
clinically with knee swelling, dislocation of the patella, and localized
tenderness; unable to bare weight. After plane radiograph confirmed the injury,
manual reduction of the patella was done by hyperextension of the knee and
medialward pressure. Afterwards, a CT scan and MRI were conducted. The injury was
surgically treated with lag-screws, locking-plate and MPFL-reconstruction.
PMID- 29644318
TI - Radiographically occult perforation and dissection of the common carotid artery
following stab injury to the neck.
AB - In recent years, many diagnostic algorithms have been devised to reduce the rate
of negative explorations associated with indiscriminate surgical management of
penetrating neck injuries. In hemodynamically stable patients, the need for
surgical intervention is usually determined by integrating both clinical signs
and radiological findings; if such investigations remain unremarkable,
recommended treatment consists in close observation and sequential physical
examinations. We report on a 29-year-old male who was admitted to a Swiss
tertiary care hospital after sustaining a penetrating injury to his left neck
following a knife attack. Disregarding a pre-hospital account of hemorrhage from
the wound and slight dysphagia, no manifest symptoms or signs of internal organ
damage were present on primary survey. Moreover, there was no evidence of
vascular or aerodigestive tract injury on initial CT angiography. We nonetheless
proceeded with immediate surgical exploration, exposing a significant perforation
of the left common carotid artery with concomitant dissection of the said vessel.
Surgical repair was successfully performed and the patient suffered no long-term
sequelae. We thus recommend that a high level of suspicion be upheld in both
asymptomatic and oligosymptomatic patients with PNI and that clinical
practitioners remain cautious in the face of deceptively reassuring radiologic
findings.
PMID- 29644320
TI - Isolated and stable gallbladder perforation in a 5 year old child after blunt
abdominal trauma.
AB - Isolated gallbladder injury, secondary to a blunt abdominal trauma, is a rare
finding in children. The presence of vague symptoms and the unknown dynamic of
the trauma may increase the diagnostic challenge especially in pediatrics. A
conservative management has been proposed in some particular cases in adults, but
remains controversial in children. We report a case of a 5 year old boy who
presented an isolated gallbladder lesion secondary to a blunt abdominal trauma.
The surgical treatment was delayed for non-medical reasons, which gave us the
possibility to try a conservative approach.
PMID- 29644319
TI - Traumatic pediatric shoulder fracture dislocation treated with closed reduction
and intramedullary nailing: A case report.
AB - Background: Although rare, pediatric proximal humerus fractures may coexist with
glenohumeral dislocations. Most of these injuries are Salter -Harris type of
injuries. The treatment usually consists of closed or open reduction and k- wires
fixation. The case: In this case report; a 10-year-old girl presented with a rare
traumatic humeral surgical neck fracture with a posterior shoulder dislocation
that was treated by closed reduction and flexible intramedullary nailing.
Conclusion: Pediatric humerus fracture dislocations are rare. Treatment should
keep in mind preservation of the growth plate.
PMID- 29644321
TI - Delayed traumatic parasternal hernia causes jejunal necrosis: A case report.
AB - Diaphragmatic injury is a common clinical condition, and it may be difficult to
avoid diaphragmatic hernia if detection and treatment is not timely. Parasternal
hernia is mostly congenital. It is relatively rare in adults, and intestinal
obstruction as a complication of intrathoracic intestinal herniation occurs only
rarely. We treated a patient in whom parasternal hernia occurred 2 years after
thoracic injuries. We present the plain radiography and computed tomography
findings of this adult patient with acute abdomen symptoms caused by parasternal
hernia.
PMID- 29644322
TI - Conservative treatment of periprosthetic femur fractures around metaphyseal short
stems - A feasible option?
AB - Periprosthetic femoral fractures are rare but significant events following total
hip replacement. Metaphyseal short stems have recently been popularized as a bone
preserving alternative to conventional uncemented total hip replacement. We
present two periprosthetic femur fractures which occurred around two different
metaphyseal uncemented stem designs. Successful conservative treatment was
possible in both cases achieving bony union and excellent clinical results.
PMID- 29644323
TI - Stuffing the nail: A simple technique for the extraction of a broken femoral
nail.
AB - The extraction of broken femoral nails can be a challenging procedure and
surgeons should be familiar with many different techniques. This paper
demonstrates a case study and new, simple and cost effective technique for the
removal of broken cannulated femoral nails. Our technique uses two guide wires of
variable diameter and had several key points of differentiation from previous
methods. Firstly, stuffing the nail with guide wires of greater total diameter
than the cannula; secondly, reversing the second guide wire and finally, bending
the tips of the wires. These innovations allow the technique to be used for
narrow cannulated nails, superior purchase along the length of the nail, easy
wire insertion and limited soft tissue damage. Our technique for the removal of
broken femoral nails is quick, effective, cheap and easy to replicate and can be
used by any generalist orthopaedic surgeon with basic equipment.
PMID- 29644324
TI - Gunshot wounds to the buttock and contralateral thigh presenting with intestinal
injury without history of abdominal trauma. Case report.
AB - We present a clinical case of a patient who sustained a gunshot wounds to his
right buttock (entrance), left thigh (exit), and right thumb, as well as
intestinal injuries without history of abdominal trauma. Initially the abdominal
injuries were not recognised and the patient did not mention an injury to the
abdomen. For this reason he was unfortunately admitted to the orthopaedic ward
first for further treatment of his thumb injury.
PMID- 29644325
TI - One-third tubular 3.5 mm Locking Compression Plate: A simple technique for
increasing mechanical stability and reducing complications associated with
lateral malleolar fracture surgery.
AB - The disadvantages of the one-third-tubular plate construct to stabilize lateral
malleolar fractures include; difficulty achieving adequate cancellous screw
thread purchase in the bone of the distal fragment, with subsequent risk of the
screws backing out. This can cause irritation and pain, and may require further
surgery to remove the screw. We describe a variation of the standard technique
that eliminates the risk of the distal lateral malleolar screws backing out and
provides a lower profile construct. This has the potential advantages of reduced
wound related problems and less postoperative pain and irritation.
PMID- 29644326
TI - Trans-colonic foreign body penetration of the retro-hepatic vena cava. Report of
a case and review of the literature.
AB - Foreign body ingestion is common in mentally impaired adults. Fortunately, the
vast majority of all swallowed objects pass through the gastrointestinal tract
uneventfully. For patients in whom conservative treatment fails, early endoscopic
intervention is required. Surgery is seldom indicated and reports of perforation
or penetration of the GI tract are anecdotal. We present a case of a 32 years old
mentally impaired patient with a trans-colonic penetration of a foreign body into
the retro-hepatic vena cava.
PMID- 29644327
TI - Regional tract-specific white matter hyperintensities are associated with
patterns to aging-related brain atrophy via vascular risk factors, but also
independently.
AB - Introduction: We sought to investigate associations of regional white matter
hyperintensities (WMHs) within white matter (WM) tracts with cardiovascular risk
and brain aging-related atrophy throughout adulthood in the general population,
leveraging state of the art pattern analysis methods. Methods: We analyzed a
large sample (n = 2367) from the Study of Health in Pomerania, Germany (range 20
90 years). WMHs were automatically segmented on T1-weighted and fluid-attenuated
inversion recovery magnetic resonance images, and WMH volumes were calculated in
WM regions defined using the John Hopkins University WM tractography atlas.
Regions with the highest average WMH volume were selected. We calculated a
subject-specific index, Spatial Pattern of Alteration for Recognition of Brain
Aging, to measure age-related atrophy patterns. The Framingham cardiovascular
disease risk score summarized the individual cardiovascular risk profile. We used
structural equation models, independently for each region, using Spatial Pattern
of Alteration for Recognition of Brain Aging as a dependent variable, age as an
independent variable, and cardiovascular disease risk score and regional WMH
volumes as mediators. Results: Selected 12 WM regions included 75% of the total
WMH burden in average. Structural equation models showed that the age effect on
Spatial Pattern of Alteration for Recognition of Brain Aging was mediated by WMHs
to a different extent in the superior frontal WM, anterior corona radiata,
inferior frontal WM, superior corona radiata, superior longitudinal fasciculus,
middle temporal WM, posterior corona radiata, superior parietal WM, splenium of
corpus callosum, posterior thalamic radiation, and middle occipital WM (variance
explained between 2.8% and 10.3%, P < .0001 Bonferroni corrected), but not in
precentral WM. Conclusions: Our results indicate that WMHs, in most WM tracts,
might accelerate the brain aging process throughout adulthood in the general
population as a result of vascular risk factors, but also independent of them.
Preventive strategies against WMHs (such as controlling vascular risk factors or
microglia depletion) could delay brain aging.
PMID- 29644328
TI - Techniques for ovarian tissue transplantation and results.
AB - Fertility preservation has emerged as a field of growing interest thanks to
increasingly effective cancer treatments in women. For young women with good
prospects of surviving cancer, fertility preservation counseling before
gonadotoxic treatment is imperative to offer them a potential chance of future
childbearing. Ovarian tissue cryopreservation and transplantation emerges as a
strategy for fertility preservation, especially for prepubertal girls,
adolescents and women in whom cancer treatment cannot be delayed. Numerous
surgical techniques have been reported and are currently being used for frozen
thawed ovarian tissue reimplantation, but successful approaches all adhere to the
same fundamental micro-surgical principles: 1) finding a well vascularized
orthotopic transplantation site, and 2) fixing the ovarian tissue by means of
stitches, Interceed(r) and/or fibrin glue. Orthotopic reimplantation has proved
to be the most effective technique in terms of resumption of ovarian endocrine
function in more than 95% of all reported cases, and fertility restoration in
over than 40% of patients in our series.
PMID- 29644329
TI - Ovarian endometriosis and fertility preservation: a challenge in 2018.
AB - Endometriosis is a benign, estrogen-dependent gynecological disorder. It is now
well established that three different forms of endometriosis can occur in the
pelvic cavity, namely peritoneal endometriosis, ovarian endometriosis and deep
endometriotic nodules of the rectovaginal septum. Treatment of endometriosis
associated infertility has been investigated using both medical and surgical
modalities. Surgery for ovarian endometriosis can lead to premature ovarian
insufficiency (POI) and further infertility, so one of the most important goals
of therapy should be preserving fertility. Procedures combining stripping and
ablation should be considered the first-line approach for ovarian endometrioma
related infertility, in order to protect the ovarian reserve, which may already
be depleted even before surgery. Autotransplantation of ovarian tissue should be
considered in case of mandatory radical treatment (oophorectomy), or if
conservative treatment poses a risk. For patients at risk of POI, particularly
those undergoing gonadotoxic treatment, two main fertility preservation options
exist: oocyte vitrification or reimplantation of fresh or frozen ovarian tissue.
Both have advantages and disadvantages.
PMID- 29644330
TI - Pregnancy complications in infertile patients with polycystic ovary syndrome:
updated evidence.
AB - Polycystic ovary syndrome (PCOS) is a common female disorder with a pathogenesis
still today not completely known. To the present, PCOS is considered more than
just a reproductive disorder since several metabolic consequences that could
affect women's health during different stages of reproductive and post
reproductive life were reported. The aim of the current review was to evaluate
present evidence-based data regarding the pregnancy complications in infertile
patients with PCOS. An extensive literature search until February 2018 was
performed in PubMed, Medline, the Cochrane Library and Web of Science. Outcomes
were classified in: early pregnancy complications, late pregnancy complications,
perinatal complications, offspring health and long-term offspring and maternal
health. Even if the exact mechanisms involved are still unclear, women with PCOS
have an increased risk of pregnancy-related complications, such as gestational
diabetes mellitus (GDM), pregnancy-induced hypertension (PIH), preeclampsia (PE),
premature delivery and caesarean section. Moreover, the offspring of women with
PCOS are also at increased risk of congenital anomalies and hospitalization in
childhood. Further studies are needed to study the mechanism underlying pregnancy
complications in PCOS and to identify any interventions to reduce the risk of
obstetric and neonatal risks in women affected by PCOS and in their offspring.
PMID- 29644331
TI - From isolation of human ovarian follicles to the artificial ovary: tips and
tricks.
AB - Cryopreservation and transplantation of ovarian tissue has proved to restore both
endocrine and reproductive functions in cancer patients. However, women with a
high risk of ovarian involvement cannot undergo ovarian tissue transplantation
due to possible recurrence of the disease. Therefore, different teams worldwide
are now working on the development of experimental strategies, like the
transplantable artificial ovary (TAO), with the noble goal to offer to these
patients hope for future conception. This article discusses some essential points
for the creation of a TAO and reviews the latest progress made.
PMID- 29644332
TI - Indications for fertility preservation in women from malignant diseases to benign
conditions to age-related fertility decline.
AB - Oocyte vitrification and ovarian tissue cryopreservation are two common fertility
preservation options. Oocyte vitrification provides the highest yield for women
with benign diseases or those seeking fertility preservation for age-related
fertility decline. This technique is also indicated for cancer women if cancer
treatment can be postponed. Ovarian tissue cryopreservation has some specific
indications. There is indeed no other alternative for fertility preservation in
prepubertal girls, and in cancer women in whom cancer treatment cannot be
postponed. This review focuses on the indications for fertility preservation from
malignant diseases to benign conditions to age-related fertility decline.
PMID- 29644333
TI - Risk of transplanting malignant cells in cryopreserved ovarian tissue.
AB - Improvements in cancer treatments have increased the chances of survival of young
cancer patients, but have given rise to other issues, like premature ovarian
insufficiency and infertility. Preservation and subsequent restoration of ovarian
function in these patients is now possible thanks to ovarian tissue
cryopreservation and transplantation. However, safety concerns about the possible
presence of cancerous cells in the tissue to be cryopreserved must be addressed.
Indeed, reimplantation of malignant cell-contaminated ovarian tissue could
potentially lead to recurrence of the primary disease. This review of the
existing literature aims to evaluate the risk of reintroducing malignant cells in
pathologies that represent the main indications for ovarian tissue
cryopreservation. Experimental studies applying molecular analyses as well as
xenografting investigation have proved that hematologic and ovarian malignancies
are those at greatest risk of ovarian involvement, and hence recurrence upon
reimplantation of frozen-thawed ovarian tissue. Preimplantation analysis using
highly sensitive techniques and disease-specific markers is therefore vital in
these patients to detect minimal disseminate disease.
PMID- 29644334
TI - Objective measurement in routine care of people with Parkinson's disease improves
outcomes.
AB - It is common in medicine to titrate therapy according to target ranges of
objectively measured parameters. Objective measurement of motor function is
available for Parkinson's Disease (PD), making it possible to optimise therapy
and clinical outcomes. In this study, an accelerometry based measurement and
predefined target ranges were used to assess motor function in a Northern
Tasmania PD cohort managed by a Movement Disorder clinic. Approximately 40% (n =
103) of the total PD population participated in this study and motor scores were
within target in 22%. In the 78% above target, changes in oral therapy were
recommended in 74%, Advanced Therapy in 12% and treatment was contraindicated in
9%. Following changes in oral therapy, there was a further objective measurement
and clinical consultation to establish whether scores had reached target range:
if so subjects left the study, otherwise further changes of therapy were
recommended (unless contraindications were present). Seventy-seven cases
completed the study, with 48% achieving target (including 22% at outset),
Advanced Therapy recommended in 19% and contraindications preventing any change
in therapy in 17%. In the 43% of cases in whom oral therapy was changed, total
UPDRS improved significantly (effect size = 8) as did the PDQ39 in cases reaching
target. NMS Quest and MOCA scores also improved significantly. This study shows
that many people in a representative cohort of PD would benefit from objective
assessment and treatment of their PD features against a target.
PMID- 29644337
TI - Novel, Moon and Mars, partial gravity simulation paradigms and their effects on
the balance between cell growth and cell proliferation during early plant
development.
AB - Clinostats and Random Positioning Machine (RPM) are used to simulate
microgravity, but, for space exploration, we need to know the response of living
systems to fractional levels of gravity (partial gravity) as they exist on Moon
and Mars. We have developed and compared two different paradigms to simulate
partial gravity using the RPM, one by implementing a centrifuge on the RPM
(RPMHW), the other by applying specific software protocols to driving the RPM
motors (RPMSW). The effects of the simulated partial gravity were tested in plant
root meristematic cells, a system with known response to real and simulated
microgravity. Seeds of Arabidopsis thaliana were germinated under simulated Moon
(0.17 g) and Mars (0.38 g) gravity. In parallel, seeds germinated under simulated
microgravity (RPM), or at 1 g control conditions. Fixed root meristematic cells
from 4-day grown seedlings were analyzed for cell proliferation rate and rate of
ribosome biogenesis using morphometrical methods and molecular markers of the
regulation of cell cycle and nucleolar activity. Cell proliferation appeared
increased and cell growth was depleted under Moon gravity, compared with the 1 g
control. The effects were even higher at the Moon level than at simulated
microgravity, indicating that meristematic competence (balance between cell
growth and proliferation) is also affected at this gravity level. However, the
results at the simulated Mars level were close to the 1 g static control. This
suggests that the threshold for sensing and responding to gravity alteration in
the root would be at a level intermediate between Moon and Mars gravity. Both
partial g simulation strategies seem valid and show similar results at Moon g
levels, but further research is needed, in spaceflight and simulation facilities,
especially around and beyond Mars g levels to better understand more precisely
the differences and constrains in the use of these facilities for the space
biology community.
PMID- 29644336
TI - Limitations in predicting the space radiation health risk for exploration
astronauts.
AB - Despite years of research, understanding of the space radiation environment and
the risk it poses to long-duration astronauts remains limited. There is a
disparity between research results and observed empirical effects seen in human
astronaut crews, likely due to the numerous factors that limit terrestrial
simulation of the complex space environment and extrapolation of human clinical
consequences from varied animal models. Given the intended future of human
spaceflight, with efforts now to rapidly expand capabilities for human missions
to the moon and Mars, there is a pressing need to improve upon the understanding
of the space radiation risk, predict likely clinical outcomes of interplanetary
radiation exposure, and develop appropriate and effective mitigation strategies
for future missions. To achieve this goal, the space radiation and aerospace
community must recognize the historical limitations of radiation research and how
such limitations could be addressed in future research endeavors. We have sought
to highlight the numerous factors that limit understanding of the risk of space
radiation for human crews and to identify ways in which these limitations could
be addressed for improved understanding and appropriate risk posture regarding
future human spaceflight.
PMID- 29644338
TI - Hypothesis: can the abscopal effect explain the impact of adjuvant radiotherapy
on breast cancer mortality?
AB - Radiotherapy is an integral component of loco-regional therapy for breast cancer.
Randomized controlled trials indicate that increasing the extent of extirpative
surgery primarily reduces the risk of local recurrences, while the addition of
radiotherapy to surgery can also reduce the risk of distant recurrences, thereby
lowering breast cancer-specific mortality. This may suggest an "abscopal" effect
beyond the immediate zone of loco-regional irradiation that favorably perturbs
the natural history of distant micrometastases. Immunological phenomena such as
"immunogenic cell death" provide a plausible mechanistic link between the local
and systemic effects of radiation. Radiotherapy treatment can stimulate both pro
immunogenic and immunosuppressive pathways with a potential net beneficial effect
on anti-tumor immune activity. Upregulation of programmed cell death ligand (PD
L1) by radiotherapy is an immunosuppressive pathway that could be approached with
anti-PD-L1 therapy with potential further improvement in survival. The world
overview of randomized trials indicates that the breast cancer mortality
reduction from adjuvant radiotherapy is delayed relative to that of adjuvant
systemic treatments, and similar delays in the separation of survival curves are
evident in the majority of randomized immunotherapy trials demonstrating
treatment efficacy. In this article, we hypothesize that an abscopal effect may
explain the benefit of radiotherapy in reducing breast cancer mortality, and that
It might be possible to harness and augment this effect with systemic agents to
reduce the risk of late recurrences.
PMID- 29644335
TI - Neuromelanin detection by magnetic resonance imaging (MRI) and its promise as a
biomarker for Parkinson's disease.
AB - The diagnosis of Parkinson's disease (PD) occurs after pathogenesis is advanced
and many substantia nigra (SN) dopamine neurons have already died. Now that
therapies to block this neuronal loss are under development, it is imperative
that the disease be diagnosed at earlier stages and that the response to
therapies is monitored. Recent studies suggest this can be accomplished by
magnetic resonance imaging (MRI) detection of neuromelanin (NM), the
characteristic pigment of SN dopaminergic, and locus coeruleus (LC) noradrenergic
neurons. NM is an autophagic product synthesized via oxidation of catecholamines
and subsequent reactions, and in the SN and LC it increases linearly during
normal aging. In PD, however, the pigment is lost when SN and LC neurons die. As
shown nearly 25 years ago by Zecca and colleagues, NM's avid binding of iron
provides a paramagnetic source to enable electron and nuclear magnetic resonance
detection, and thus a means for safe and noninvasive measure in living human
brain. Recent technical improvements now provide a means for MRI to differentiate
between PD patients and age-matched healthy controls, and should be able to
identify changes in SN NM with age in individuals. We discuss how MRI detects NM
and how this approach might be improved. We suggest that MRI of NM can be used to
confirm PD diagnosis and monitor disease progression. We recommend that for
subjects at risk for PD, and perhaps generally for older people, that MRI
sequences performed at regular intervals can provide a pre-clinical means to
detect presymptomatic PD.
PMID- 29644339
TI - Molecular characterization of two sub-family specific monoclonal antibodies to
meningococcal Factor H binding protein.
AB - Factor H binding protein (FHbp) is a component of two licensed vaccines for
prevention of sepsis and meningitis caused by serogroup B meningococci. FHbp
binds human Factor H (FH), which contributes to evasion of host immunity and FHbp
sequence variants can be classified into two sub-families. Antibodies against
FHbp elicit complement-mediated killing and can inhibit recruitment of FH to the
bacterial surface. We report epitope mapping studies of two murine IgG mAbs,
designated JAR 31 and JAR 36, isolated from a mouse immunized with FHbp in sub
family A, which is present in ~30-40% of invasive isolates. In the present study,
we tested the reactivity of mAbs JAR 31 and JAR 36 with seven natural FHbp
sequence variants from different phylogenic groups. We screened bacteriophage
displayed peptide libraries to identify amino acid residues contributing to the
JAR 36 epitope. Based on the reactivities of mAbs JAR 31 and JAR 36 with the
seven FHbp variants, and the frequent occurrences of aspartate (D) and lysine (K)
residues in the JAR 36-bound phage peptides, we selected six residues in the
carboxyl-terminal region of FHbp for replacement with alanine (A). The D201A and
K203A substitutions respectively eliminated and decreased binding of mAbs JAR 31
and JAR 36 to FHbp. These substitutions did not affect binding of the control mAb
JAR 33 or of human FH. JAR 31 or JAR 36 mediated cooperative complement-mediated
bactericidal activity with other anti-FHbp mAbs. The identification of two amino
acid residues involved in the epitopes recognized by these anti-FHbp mAbs may
contribute to a more complete understanding of the spatial requirements for
cooperative anti-FHbp mAb bactericidal activity.
PMID- 29644340
TI - The Lateralizing Asymmetry of Adrenal Adenomas.
AB - Context: It is presumed that the incidence of adrenal adenomas is symmetric
between the left and right adrenal gland; however, anecdotal observations suggest
a potential lateralizing asymmetry. Objective: To investigate the symmetry in
detection of adrenal adenomas and relevance to patient care. Design: Cross
sectional and longitudinal studies. Population and Setting: One thousand three
hundred seventy-six patients with abdominal computed tomography or magnetic
resonance imaging demonstrating benign-appearing adrenal adenomas. Main Outcome:
Location and size of adrenal adenomas. Results: Left-sided adenomas were
discovered in 65% of patients, right-sided in 21%, and bilateral adenomas in 14%.
Among unilateral adenomas, 75% were left-sided. Left-sided adenomas were more
prevalent than right-sided adenomas in each size category except the largest: <10
mm, 87%; 10 to 19 mm, 74%; 20 to 29 mm, 72%; >=30 mm, 56% (P < 0.0001 for each
category, except P = 0.19 when >=30 mm). Among those with bilateral adenomas, the
left-sided adenoma was significantly larger than the right one in 61% of patients
(P < 0.001). There were no significant differences in the baseline prevalence or
incidence of cardiometabolic diseases between patients with left-sided vs right
sided adenomas during 5.10 (4.2) years of follow-up. Conclusions: Adrenal
adenomas are substantially more likely to be identified on the left adrenal than
the right. This observation may be due to detection bias attributed to the
location of the right adrenal, which may preclude identification of right-sided
adenomas until they are substantially larger. These findings suggest the
potential for an underrecognition of right-sided adenomas that may also impair
the accurate detection of bilateral adrenal diseases.
PMID- 29644341
TI - Uptake of 99mTc-MIBI by Sclerosing Pneumocytoma Raising a False Suspicion of
Metastasis From Medullary Thyroid Carcinoma.
AB - Technetium-99m methoxy isobutyl isonitrile (99mTc-MIBI; sestamibi) single-photon
emission computed tomography (SPECT)/computed tomography (CT) performed for
preoperative localization of parathyroid adenomas or for other indications can
reveal incidentalomas. Interpretation of such findings can be challenging,
particularly when thyroid or other endocrine tumors are also present.
Preoperative staging of a 59-year-old female patient with medullary thyroid
carcinoma (MTC) showing moderate hypermetabolism on 18F-fluorodeoxyglucose
positron emission tomography/CT also detected a slightly hypermetabolic pulmonary
nodule (standardized uptake value normalized by body weight max = 2.0 g/mL). A
sestamibi SPECT/CT performed because of concomitant primary hyperparathyroidism
showed increased uptake by both the MTC and the pulmonary nodule, raising
suspicion of MTC metastasis. Lung wedge resection biopsy revealed a sclerosing
pneumocytoma (SPC), a rare benign pulmonary tumor not previously known to retain
sestamibi. In contrast to classical knowledge that sestamibi uptake by tumors is
associated with its retention by mitochondria, immunohistochemical analyses
showed that the mitochondrial content of the patient's SPC was low. This case
illustrates the behavior of SPC in sestamibi scintigraphy and indicates that SPC
is a potential cancer mimicker in this setting.
PMID- 29644343
TI - Reaching Out to Residents of Remote Islands of Maine.
PMID- 29644342
TI - Epigenetic Basis of Clozapine Action.
PMID- 29644344
TI - Patient Centered Hazard Ratio Estimation Using Principal Stratification Weights:
Application to the NORCCAP Randomized Trial of Colorectal Cancer Screening.
AB - In randomized trials, the most commonly reported method of effect estimation is
intention-to-treat (ITT), and to a lesser extent the per-protocol. The ITT is
preferred because it is an unbiased estimator of the effect of treatment
assignment. However, if there is any non-adherence the ITT is a biased estimate
of the treatment effect, defined as the contrast between the potential outcome if
treated versus the potential outcome if not treated. The treatment effect is most
relevant to patients. Principal stratification is a framework for estimating
treatment effects that combines potential outcomes and latent adherence strata.
It yields an unbiased estimator of the complier average causal effect (CACE) for
a difference in means or proportions, in the setting of all-or-nothing adherence.
This paper addresses estimation of the causal hazard ratio for the compliers in a
setting of right censoring of a time-to-event. We propose a novel approach to
operationalizing principal stratification using weights. We report the results of
simulations that vary the amount of adherence and selection bias that show the
hazard ratio estimators we propose have minimal bias compared to the ITT, and per
protocol estimators. We demonstrate the approach using a population based
randomized controlled trial of colorectal cancer screening subject to a high
frequency of nonadherence in the screening arm.
PMID- 29644345
TI - Online Conditional Outlier Detection in Nonstationary Time Series.
AB - The objective of this work is to develop methods for detecting outliers in time
series data. Such methods can become the key component of various monitoring and
alerting systems, where an outlier may be equal to some adverse condition that
needs human attention. However, real-world time series are often affected by
various sources of variability present in the environment that may influence the
quality of detection; they may (1) explain some of the changes in the signal that
would otherwise lead to false positive detections, as well as, (2) reduce the
sensitivity of the detection algorithm leading to increase in false negatives. To
alleviate these problems, we propose a new two-layer outlier detection approach
that first tries to model and account for the nonstationarity and periodic
variation in the time series, and then tries to use other observable variables in
the environment to explain any additional signal variation. Our experiments on
several data sets in different domains show that our method provides more
accurate modeling of the time series, and that it is able to significantly
improve outlier detection performance.
PMID- 29644346
TI - Detoxifying symbiosis: microbe-mediated detoxification of phytotoxins and
pesticides in insects.
AB - Covering: up to 2018 Insects live in a world full of toxic compounds such as
plant toxins and manmade pesticides. To overcome the effects of these toxins,
herbivorous insects have evolved diverse, elaborate mechanisms of resistance,
such as toxin avoidance, target-site alteration, and detoxification. These
resistance mechanisms are thought to be encoded by the insects' own genomes, and
in many cases, this holds true. However, recent omics analyses, in conjunction
with classic culture-dependent analyses, have revealed that a number of insects
possess specific gut microorganisms, some of which significantly contribute to
resistance against phytotoxins and pesticides by degrading such chemical
compounds. Here, we review recent advances in our understanding on the symbiont
mediated degradation of natural and artificial toxins, with a special emphasis on
their underlying genetic basis, focus on the importance of environmental
microbiota as a resource of toxin-degrading microorganisms, and discuss the
ecological and evolutionary significance of these symbiotic associations.
PMID- 29644348
TI - Degradation of thin-film lithium batteries characterised by improved
potentiometric measurement of entropy change.
AB - The degradation phenomena of thin-film solid state batteries caused by cycling at
a high cut-off voltage and different temperatures were studied using an improved
potentiometric measurement of entropy change combined with electrochemical
impedance analysis and incremental capacity analysis. Entropy profiling is
demonstrated as a viable non-destructive technique for solid state batteries that
is sensitive to structural changes in electrodes during galvanostatic cycling,
and is complementary to other techniques for studying degradation. The
characteristic peaks and valleys in the entropy profile as a function of the
state-of-charge could be closely correlated to theories of phase transitions in
the cathode material. This technique is therefore a useful technique to help
understand and diagnose the degradation mechanism, and specify the state-of
health in a promising new battery technology.
PMID- 29644347
TI - Bioaccessible selenium sourced from Se-rich mustard cake facilitates protection
from TBHP induced cytotoxicity in melanoma cells.
AB - Selenium (Se) is an essential dietary supplement that resolves inflammatory
responses and offers antioxidant cytoprotection. In this study, we present the
data on the cytoprotective effect of Se-rich mustard protein isolated from
mustard cultivated in seleniferous soils in Punjab, India. The concentrations of
total Se in mustard seed, oil-free mustard cake, and mustard protein were 110.0
+/- 3.04, 143.0 +/- 5.18, and 582.3 +/- 6.23 MUg g-1, respectively. The
cytoprotective effect of Se-rich mustard protein was studied on tert-butyl
hydroperoxide (TBHP)-induced cytotoxicity in a mouse melanoma cell line (B16
F10). When compared with TBHP treated cells (where no viable cells were found),
Se-rich protein made bioaccessible through simulated gastrointestinal digestion
protected melanoma cells from cytotoxicity with decreased levels of oxidative
stress resulting in 73% cell viability. Such an effect was associated with a
significant increase in glutathione peroxidase activity as a function of
bioaccessible Se and its response towards cytoprotection.
PMID- 29644354
TI - In situ synthesis of metal clusters encapsulated within small-pore zeolites via a
dry gel conversion method.
AB - We report here a facile strategy for encapsulating Pt clusters into MFI crystals
via a dry gel conversion (DGC) method, by introducing Pt-immobilized Schiff-SiO2
as the silicon resource. This protocol involves a solid phase transformation
process for crystallization which occurs without significant deconstruction of
the structure of the Pt-containing precursor. High-angle annular dark-field
scanning transmission electron microscopy images indicate that most of the Pt
clusters are less than 1 nm in size and located in defective channels or voids of
MFI. Thanks to the encapsulation role, these Pt clusters are stable against
sintering even after calcination at 500 degrees C and only grow up to ~2.8 nm
after calcination at 800 degrees C. The uniform pore structure of a zeolite
endows the Pt@zeolite catalyst with shape-selectivity for hydrogenation and
oxidation reactions over size differentiated analog substrates. This synthesis
method is attainable for the embedment of stable metal clusters inside the small
pore MFI zeolite.
PMID- 29644351
TI - Cellular uptake efficiency of nanoparticles investigated by three-dimensional
imaging.
AB - Understanding the interaction of nanoparticles with living cells on the basis of
cellular uptake efficiency is a fundamental requisite in biomedical research.
Cellular internalization of nanoparticles takes place by mechanisms like ATP
hydrolysis-driven endocytosis that deliver nanoparticles to the cytoplasm,
organelles and nuclei. Despite its importance in nanomedicine, this uptake
procedure is not understood in-depth because of the complexity of the biochemical
mechanisms and the lack of available experimental methods for quantitative
analysis. The only breakthrough is likely to be the development of imaging
techniques that can visualize, monitor and even count the number of nanoparticles
inside the cell. To this end, we report here a new, fast and background-free
three-dimensional (3-D) imaging technique with quantitative evaluation of the
uptake efficiency for NaYF4:Yb3+,Er3+/NaYF4 core/shell upconversion nanoparticles
(UCNPs) functionalized with different chemical and biological groups.
Furthermore, the multiple 3-D trajectories of the UCNPs have been analyzed to
investigate the cellular dynamics. This study reveals the nuclear uptake of UCNPs
to be dependent on the specific chemical groups conjugated to the UCNPs. The
developed 3-D imaging technique is of great significance for exploring complex
biological systems.
PMID- 29644355
TI - Carbon nanodot-induced gelation of a histidine-based amphiphile: application as a
fluorescent ink, and modulation of gel stiffness.
AB - This is a unique example of fluorescent carbon dot-induced hydrogelation of an
amino acid-based amphiphile. The carbon dot-to-amphiphile ratio dictates the gel
stiffness. Moreover, this hydrogel can be used as a prominent fluorescent ink and
the dried gel shows a remarkable, unusual green fluorescence in the solid state.
PMID- 29644357
TI - The inhibitory effect of Manuka honey on human colon cancer HCT-116 and LoVo cell
growth. Part 2: Induction of oxidative stress, alteration of mitochondrial
respiration and glycolysis, and suppression of metastatic ability.
AB - Despite its high content of phenolic compounds, the chemopreventive activity of
Manuka honey (MH) is still elusive. The aim of the present work was to evaluate
the effects of MH on oxidative stress, antioxidant enzymes, cellular metabolism
and the metastatic ability in HCT-116 and LoVo cells, paying particular attention
to the molecular mechanisms involved. We observed a strong induction of oxidative
stress after MH treatment since it augmented the accumulation of reactive oxygen
species and increased the damage to proteins, lipids and DNA. Furthermore, MH
suppressed the Nrf2-dependent antioxidant enzyme expression (superoxide dismutase
(SOD), catalase and heme oxygenase-1) and the activity of SOD, catalase,
glutathione peroxidase and glutathione reductase. Cell metabolisms were markedly
disrupted after MH treatment. It decreased maximal oxygen consumption and spare
respiratory capacity, which could reduce the mitochondrial function that is
correlated with cell survival potential. Simultaneously, MH decreased the
extracellular acidification rate (glycolysis) of HCT-116 and LoVo cells.
Furthermore, MH suppressed the p-AMPK/AMPK, PGC1alpha and SIRT1 activation,
involved in the survival of HCT-116 and LoVo cells under metabolic stress
conditions. Dose-dependently, MH reduced the migration and invasion (MMP-2 and
MMP-9) ability, and concurrently regulated EMT-related markers (E cadherin, N
cadherin, and beta-catenin) in both cell types. The above findings indicate that
MH induces HCT-116 and LoVo cell death partly by enhancing oxidative stress, as
well as by regulating the energy metabolism in both aerobic and anaerobic
pathways and suppressing the metastatic ability.
PMID- 29644358
TI - Raman-encoded, multivalent glycan-nanoconjugates for traceable specific binding
and killing of bacteria.
AB - Glycan recognition plays key roles in cell-cell and host-pathogen interactions,
stimulating widespread interest in developing multivalent glycoconjugates with
superior binding affinity for biological and medical uses. Here, we explore the
use of Raman-encoded silver coated gold nanorods (GNRs) as scaffolds to form
multivalent glycoconjugates. The plasmonic scaffolds afford high-loading of
glycan density and their optical properties offer the possibilities of monitoring
and quantitative analysis of glycan recognition. Using E. coli strains with
tailored on/off of the FimH receptors, we have demonstrated that Raman-encoded
GNRs not only allow for real-time imaging and spectroscopic detection of specific
binding of the glycan-GNR conjugates with bacteria of interest, but also cause
rapid eradication of the bacteria due to the efficient photothermal conversion of
GNRs in the near-infrared spectral window. We envision that optically active
plasmonic glycoconjugates hold great potential for screening multivalent glycan
ligands for therapeutic and diagnostic applications.
PMID- 29644359
TI - Effects of methyl substitution on DNA binding enthalpies of enantiopure
Ru(phenanthroline)2dipyridophenazine2+ complexes.
AB - Isothermal titration calorimetry (ITC) has been utilized to investigate the
effect of methyl substituents on the intercalating dppz ligand of the enantiomers
of the parent complex Ru(phen)2dppz2+ (phen = 1,10-phenanthroline; dppz =
dipyrido[3,2-a:2',3'-c]phenazine) on DNA binding thermodynamics. The methylated
complexes (10-methyl-dppz and 11,12-dimethyl-dppz) have large, concentration
dependent, positive heats of dilution, and a strong endothermic background is
also apparent in the ITC-profiles from titration of methylated complexes into
poly(dAdT)2, which make direct comparison between complexes difficult. By
augmenting a simple cooperative binding model with one equilibrium for complex
self-aggregation in solution and one equilibrium for complex aggregation on
saturated DNA, it was possible to find an excellent global fit to the
experimental data with DNA affinity parameters restricted to be equal for all
Delta-enantiomers as well as for all Lambda-enantiomers. In general, enthalpic
differences, compared to the unsubstituted complex, were small and less than 4 kJ
mol-1, except for the heat of intercalation of Delta-10-methyl-dppz (-11,6 kJ mol
1) and Lambda-11,12-dimethyl-dppz (+4.3 kJ mol-1).
PMID- 29644360
TI - Asymmetric current-driven switching of synthetic antiferromagnets with Pt insert
layers.
AB - A perpendicularly magnetized synthetic antiferromagnetic structure is a promising
alternative to a single ferromagnetic layer in spintronic applications because of
its low net magnetization and high thermal stability. In this work, the
ferromagnetic layers in the synthetic antiferromagnetic structure are simplified
to 'soft' Co70Fe30 layers with the aid of ultrathin Pt insert layers between the
ferromagnetic layers and the exchange coupling Ru layer to lower the energy
consumption. In the current-driven manipulation of the magnetization, asymmetric
switching loops are observed, which originate from the edge domain walls induced
by the growth of the electrode pads. The edge domain walls preserved beneath the
electrode pad help the switching process skipping the nucleation stage, lowering
the critical current density to the order of 106 A cm-2. The present work
broadens the choice of ferromagnetic layers for building an SAF structure and
highlights a new way to utilize the synthetic antiferromagnetic structure as a
building block in low-energy-consuming spintronic devices.
PMID- 29644361
TI - Preparation of carbon-based AuAg alloy nanoparticles by using the heterometallic
[Au4Ag4] cluster for efficient oxidative coupling of anilines.
AB - We herein report the preparation of unique heteroatom-doped and carbon-based AuAg
alloy nanoparticles (NPs) via the pyrolysis of a structurally defined octanuclear
heterometallic Au(i)-Ag(i) cluster [Au4Ag4(Dppy)4(Tab)4(MeCN)4](PF6)8 (2, Dppy =
diphenylphosphine-2-pyridine and Tab = 4-(trimethylammonio)benzenethiolate). This
cluster-precursor approach exerts a fine control over the spatial arrangement,
size and uniformity of the AuAg alloy NPs as well as the doped heteroatoms (P, N,
F and S). The optimized material prepared at 450 degrees C efficiently catalyzes
the oxidative coupling of anilines to yield azobenzenes under mild conditions.
PMID- 29644362
TI - Synergetic enhancement of the electronic/ionic conductivity of a Li-ion battery
by fabrication of a carbon-coated nanoporous SnOxSb alloy anode.
AB - The major obstacles which prohibit the practical applications of alloy-type
anodes include insufficient ionic/electronic transportations and structural
failures. Herein, we report the fabrication of a carbon-coated nanoporous SnSb
alloy (NP-SnOxSb@C) and its application as an anode in Li-ion batteries (LIBs).
The as-fabricated NP-SnOxSb@C is characterized by SEM and TEM and demonstrates a
bi-continuous nanoporous structure. Amorphous carbon is found to be uniformly
coated on the alloy surface. When used as an anode for LIB, NP-SnOxSb@C displays
a high capacity (850 mA h g-1 after the 50th cycle) and good rate performance of
664 mA h g-1 at 2000 mA g-1. The improved electrochemical performance is mainly
due to a high Li+ diffusion coefficient and low charge transfer resistance
between the nanoporous structure and conductive carbon layer. The facile material
fabrication process and good electrochemical performance enable the practical
utilization of this anode for high-performance LIBs.
PMID- 29644363
TI - Quantum mechanical determination of atomic polarizabilities of ionic liquids.
AB - The distribution of a molecule's polarizability to individual atomic sites is
inevitable to develop accurate polarizable force fields. We present the direct
quantum mechanical calculation of atomic polarizabilities of 27 common ionic
liquids. The method is superior to previously published distribution routines
based on large databases of the molecular polarizability, and enables the correct
description of any ionic liquid and its peculiarities within the quantum
mechanical framework.
PMID- 29644364
TI - Silicene and germanene on InSe substrates: structures and tunable electronic
properties.
AB - Using first-principles calculations, we show that the recently synthesized two
dimensional (2D) van der Waals layered material indium selenide (InSe) nanosheets
can serve as a suitable substrate for silicene and germanene, which form
commensurate and stable silicene/InSe (Si/InSe) and germanene/InSe (Ge/InSe)
heterolayers (HLs). The buckled honeycomb geometries and Dirac-cone-like band
structures of silicene and germanene are well preserved in these HLs. The
interaction between silicene (or germanene) and the InSe substrate opens up a
band gap of 141 meV (or 149 meV) at the Dirac points, while electron effective
masses (EEM) remain as small as 0.059 and 0.067 times the free-electron mass
(m0). The band gap and the EEM of the HLs can be further modulated effectively by
applying an external electric field or strain. These features are attributed to
the built-in electric field due to the interlayer charge transfer of the HLs
which breaks the equivalence of the two sublattices of silicene and germanene.
Multilayer (ML) InSe substrates have also been considered. We also proposed a
parallel plate capacitor model to describe the interaction between silicene (or
germanene) and the InSe substrate as well as the electronic band structure
modification in response to an external field. This work is expected to offer an
ideal substrate material for the growth of silicene and germanene and a promising
van der Waals (vdW) layered heterostructure for electronic devices.
PMID- 29644365
TI - Efficient peptide ligation between allyl-protected Asp and Cys followed by
palladium-mediated deprotection.
AB - An efficient method for peptide ligation between C-terminal Asp(OAllyl) and N
terminal Cys has been developed. Peptide ligation and removal of the allyl group
at the Asp carboxylate side chain proceeded in one pot by adding a small amount
of Pd/TPPTS complex. Based on this efficient synthetic method, PEP-19 (61 amino
acids), which is highly expressed in Purkinje cells, was synthesized.
PMID- 29644366
TI - Cp*Co(iii)-catalyzed amidation of olefinic and aryl C-H bonds: highly selective
synthesis of enamides and pyrimidones.
AB - A highly efficient and selective synthesis of enamides via C-H amidation of N
methoxy acrylamides with dioxazolones is realized under [Cp*CoIII] catalysis. The
resulting enamide can further selectively cyclize to form pyrimidones, which can
also act as a directing group for a second C-H amidation. All these three classes
of products were selectively delivered under controlled conditions.
PMID- 29644367
TI - Three cooperative diffusion coefficients describing dynamics of polymer gels.
AB - Cooperative diffusion coefficient (Dcoop) describes the dynamics of a polymer
network in a gel, and is estimated by three independent methods. We measured
three Dcoop's of a model polymer network system (Tetra-PEG gels), and obtained
the experimental evidence to fundamentally understand the dynamics of polymer
gels.
PMID- 29644369
TI - Photoluminescence properties of tetrahedral zinc(ii) complexes supported by
calix[4]arene-based salicylaldiminato ligands.
AB - The synthesis and photophysical properties of four new hybrid salicylaldiminato
calix[4]arene ligands and their corresponding zinc(ii) complexes are described.
The Schiff bases were obtained from condensation reactions between cone-25,27
di(aminoethoxy)-26,28-dihydroxy-calix[4]arene and salicylaldehyde (H2L1) or o
vanillin (H2L2) and 1,3-alt-25,27-di(aminoethoxy)-26,28-di(n-propyloxy)
calix[4]arene and 3,5-di-tert-butyl-salicylaldehyde (H2L3) or o-vanillin (H2L4).
Complexation reactions were investigated by ESI-MS, IR, NMR, UV-vis absorption
and steady-state and time resolved fluorescence spectroscopy, and X-ray
crystallography. All ligands support 1 : 1 complexes (ZnL1-ZnL4), with
equilibrium constants derived from absorption spectrophotometry in the range log
K11 = 5.5-8.2 (MeCN or MeOH/CH2Cl2, I = 0.01 M). The zinc complexes show blue
fluorescence, both in solution as well as in the solid state, with lambdaem,
Phif, and tau ranging from 472-504 nm, 0.11-0.60, and 2-9 ns, respectively. The
nature of the substituents on the salicylaldiminato fragments was found to be the
main parameter that influences the photophysical properties of the zinc
complexes. Insights into the electronic nature of the UV-vis transitions were
obtained with time dependent density functional theory (TD-DFT) calculations.
PMID- 29644368
TI - Antinutritional factors and hypocholesterolemic effect of wild apricot kernel
(Prunus armeniaca L.) as affected by detoxification.
AB - The present investigation was aimed to study the effect of detoxification on the
nutrients and antinutrients of wild apricot kernel followed by its
hypocholesterolemic effect in male Wistar albino rats. The results revealed a non
significant (p > 0.05) effect of detoxification on the proximate composition
except total carbohydrates and protein content. However, detoxification led to a
significant (p < 0.05) decrease in l-ascorbic acid (76.82%), beta-carotene
(25.90%), dietary fiber constituents (10.51-28.92%), minerals (4.76-31.08%) and
antinutritional factors (23.92-77.05%) (phenolics, tannins, trypsin inhibitor
activity, saponins, phytic acid, alkaloids, flavonoids, oxalates) along with the
complete removal (100%) of bitter and potentially toxic hydrocyanic acid (HCN).
The quality parameters of kernel oil indicated no adverse effects of
detoxification on free fatty acids, lipase activity, acid value and peroxide
value, which remained well below the maximum permissible limit. Blood lipid
profile demonstrated that the detoxified apricot kernel group exhibited
significantly (p < 0.05) increased levels of HDL-cholesterol (48.79%) and
triglycerides (15.09%), and decreased levels of total blood cholesterol (6.99%),
LDL-C (22.95%) and VLDL-C (7.90%) compared to that of the raw (untreated) kernel
group. Overall, it can be concluded that wild apricot kernel flour could be
detoxified efficiently by employing a simple, safe, domestic and cost-effective
method, which further has the potential for formulating protein supplements and
value-added food products.
PMID- 29644370
TI - Electrospun ZrO2 nanofibers: precursor controlled mesopore ordering and evolution
of garland-like nanocrystal arrays.
AB - We observed that the hydrolysis-condensation reaction of precursors makes a
significant difference in constructing ordered mesopores in electrospun ZrO2
nanofibers. Transmission-SAXS studies confirm the generation of uniform clusters
of size ~1.44 nm in the ZrOCl2.8H2O (inorganic salt) derived sol due to its
relatively slow hydrolysis-condensation process. These initial -Zr-O-Zr- clusters
acted as building blocks to form uniform 3D ordered cubic (Pm3[combining
macron]m) mesopores in the presence of Pluronic F127 surfactant. In contrast, the
commonly used Zr-alkoxide (zirconium n-propoxide) precursor, which is highly
hydrolysable even after the use of a controlling agent, generates larger clusters
with broad size distributions due to the uncontrolled hydrolysis-condensation of
alkoxy groups. Accordingly, in the presence of F127, the alkoxide derived sol
yielded disordered mesopores in the resultant fibers. XRD under dynamic heating
conditions (up to 900 degrees C) and the corresponding TEM studies of the
ZrOCl2.8H2O derived nanofibers confirmed the retention of mesopores even in the
extremely thin nanofibers (diameter ~15-25 nm) after the amorphous to crystal
phase transformation (cubic/tetragonal). An interesting morphological
transformation has been observed in the nanofibers at 900 degrees C where the
fibers have been uniformly segmented by distinct single nanocrystals (width ~15
65 nm) with mesopores. Further heat-treatment at 1100 degrees C made these
segmented nanofibers nonporous, and a garland-like appearance with monoclinic
nanocrystal arrays was formed.
PMID- 29644371
TI - Ferumoxytol of ultrahigh magnetization produced by hydrocooling and magnetically
internal heating co-precipitation.
AB - Ferumoxytol, which is originally intended for MRI and anemia treatment, is
currently the only inorganic nanodrug approved by FDA for clinical application in
vivo. Common ferumoxytol seems incapable of meeting the requirements for diverse
applications. Thus, the development of a novel strategy based on co-precipitation
to produce ferumoxytol with high quality is an imminent task. Herein, we proposed
a physically assisted strategy, namely hydrocooling and magnetically internal
heating co-precipitation, to optimize the properties of ferumoxytol and thus
significantly enhance its magnetic performance. Magnetization of the newly
developed ferumoxytol can reach 104-105 emu g-1 Fe, which is the highest value
among the reported results. It has been found that the crystalline structures of
the newly developed ferumoxytol have been greatly improved on the basis of
pharmaceutical quality criteria.
PMID- 29644372
TI - Fullerene size controls the selective complexation of [11]CPP with pristine and
endohedral fullerenes.
AB - The ability of the carbon nanoring [11]cycloparaphenylene ([11]CPP) for
coordinating fullerenes has been tested using a series of hosts, including the
pristine fullerenes C60, C70, C76 and C78, the clusterfullerene Sc3N@C80,
monometallic endofullerenes Y@C82 and Tm@C82, and dimetallic endofullerenes
Y2@C82 and Lu2@C82. A systematic theoretical study employing dispersion corrected
density functional methods has been carried out in order to explore the
characteristics of the complexes and the strength of the interaction. Depending
on the dimer, complexation energies span from around -36 kcal mol-1 with C60 to
53 kcal mol-1 with the C82 derivatives. Dispersion is the main stabilizing
contribution in these dimers, so the molecules arrange to maximize the number of
close interatomic contacts. Since most fullerenes can properly fill the cavity of
the nanoring the stability of the complexes is pretty similar, with the exception
of the smallest fullerenes. The complexes with endohedral fullerenes show similar
stabilities in all cases studied, with no noticeable dependence on the nature of
the endohedral species. The results obtained suggest that fullerenes larger than
C76 could be selectively encapsulated by [11]CPP compared to smaller fullerenes.
PMID- 29644373
TI - Photochromism and the fluorescence properties of bisbenzothienylethene and
S,S,S',S'-tetraoxide derivatives with dual conjugated fluorescent groups on their
side chains.
AB - Two photochromic bisbenzothienylethenes with two fluorescent (4-((2,5
bis(dodecyloxy)-4-(phenylethynyl)phenyl)ethynyl)phenyl) units and their disulfone
derivatives were synthesized, and their photochromic and fluorescence properties
were examined. Bisbenzothienylethenes showed photochromism and turn-off type
fluorescence by UV-light irradiation, while their disulfone derivatives showed
turn-on type fluorescence and the one-way isomerization to the closed form.
PMID- 29644374
TI - Application of 3D hierarchical monoclinic-type structural Sb-doped WO3 towards
NO2 gas detection at low temperature.
AB - Currently, the development of semiconducting metal oxide (SMO)-based gas sensors
with innovative modification and three-dimensional (3D) structural designs has
become a significant scientific interest due to their potential for addressing
key technological challenges. Herein, gas sensing devices based on the 3D
hierarchical monoclinic-type structural Sb-doped WO3 (HMSW) gas sensing material
were successfully constructed by ordered assembly of urchin-like monoclinic-type
structural (P2/m) Sb-doped WO2.72 (W18O49) (UMSW) nanocrystals and nanowires. The
crystalline microstructure, composition, morphological characteristics, and
possible growth mechanisms were systematically investigated. The results of the
gas sensor measurements, performed simultaneously on multiple samples, indicated
that the 3D HMSW material has superior sensitivity (S = 122) and high selectivity
to ppm-level NO2 at 30 degrees C with a significantly larger response than the
best-reported values from other WO3-based gas sensors fabricated so far. All the
results clearly demonstrate that the combined effects of abundant structural
defects derived from Sb doping modification, reduced band gap, and 3D
hierarchical microstructure synergistically play a key role in the NO2 gas
sensing performance. Such excellent gas sensing performance foresees the great
potential application of 3D hierarchical structural WO3-based sensors for fast
and effective detection of toxic gases that can aid in human health and public
safety.
PMID- 29644375
TI - Ultrasmall fluorescent nanoparticles derived from roast duck: their
physicochemical characteristics and interaction with human serum albumin.
AB - Fluorescent nanoparticles (FNPs) produced from roast meat have drawn widespread
attention due to their potential hazards to human health. In this paper, the
presence of ultrasmall FNPs in roast duck and their interaction with human serum
albumin (HSA) were reported. The processing-induced FNPs have an average size of
1.3 nm with a relative fluorescence quantum yield of 4.4%. X-ray photoelectron
spectroscopy showed that the FNPs are composed of carbon (70.48%), nitrogen
(6.25%), oxygen (22.17%) and sulfur (1.11%), with hydroxyl, carboxyl and amino
groups present on their surface. The presence of FNPs could cause fluorescence
quenching of HSA, which was ascribed to the static quenching mechanism via the
electrostatic interaction as analyzed by isothermal titration calorimetry. The
alpha-helix contents of HSA decreased after the addition of FNPs, demonstrating
that these processing-induced FNPs could cause structural alteration of HSA.
These results provided insights into the formation of nanoparticles in roast
duck, and offered important information about the binding mechanism of these
nanoparticles with HSA, which may have physiological implications.
PMID- 29644376
TI - Sr5Os3O13: a mixed valence osmium(v,vi) layered perovskite variant exhibiting
temperature dependent charge distribution.
AB - New Sr5Os3O13, as synthesized from binary constituents, exhibits several uncommon
features. Its crystal structure is dominated by quasi-2D poly-oxoanions that
correspond to unprecedented cutouts of the perovskite type of structure, where
corner sharing (OsO6) octahedra aggregate to form terraced slabs. The Os5+/Os6+
mixed valence oxide displays a particular charge ordering scheme. One osmium atom
(Os1) per formula unit is in the valence state of 5+ in the whole temperature
range studied, while the two remaining sites (Os2A and Os2B) show full charge
disorder at high temperatures, resulting in an average charge of 5.5+. The
latter, however, apparently undergo a process of continuous charge ordering at
cooling. Full charge order appears to be established concomitantly with a phase
transition to an antiferromagnetically ordered state at T(Neel) = 170 K. This
kind of temperature dependent continuous charge ordering is reflected by
structural changes with temperature as well as by changes in paramagnetic
response above T(Neel). Disentangling the intimate interplay between magnetic and
charge ordering degrees of freedom will require applying sophisticated
spectroscopy and (neutron) diffraction techniques.
PMID- 29644377
TI - Scaling up rehabilitation - Towards an international policy agenda.
AB - No Abstract (Guest Editorial).
PMID- 29644378
TI - A simple and ultrasensitive fluorescence assay for single-nucleotide
polymorphism.
AB - In this report, a simple, label-free and highly efficient nucleic acid
amplification technique is developed for ultrasensitive detection of single
nucleotide polymorphism (SNP). Briefly, a designed padlock probe is first
circularized by a DNA ligase when it perfectly complements to a mutant gene.
Then, the mutant gene functions as a primer to initiate branched rolling circle
amplification reaction (BRCA), generating a large number of branched DNA strands
and a lot of pyrophosphate molecules which is equivalent to the number of
nucleotides consumed. With the addition of a terpyridine-Zn(II) complex,
pyrophosphate molecules can be sensitively detected owing to the formation of a
fluorescent terpyridine-Zn(II)-pyrophosphate complex. The fluorescence intensity
is directly associated with the content of the mutant gene in a sample solution.
On the other hand, the circulation of the padlock probe is prohibited when it
hybridizes with the wild-type gene. In this assay, the accumulative nature of the
BRCA process produces a detection limit of 0.1 pM and an excellent selectivity
factor of 1000 toward SNP. As little as 0.1% mutant in the wild-type gene can be
successfully detected. The simple procedure, high sensitivity, and high
selectivity of this assay offer a potentially viable alternative for routine SNP
analysis. Graphical abstract A simple and label-free fluorescence assay for SNP
detection by coupling BRCA with selective fluorescence detection of pyrophosphate
using the terpyridine-Zn(II) complex.
PMID- 29644379
TI - Cyanotrophic and arsenic oxidizing activities of Pseudomonas mendocina P6115
isolated from mine tailings containing high cyanide concentration.
AB - Mine tailings and wastewater generate man-made environments with several
selective pressures, including the presence of heavy metals, arsenic and high
cyanide concentrations, but severe nutritional limitations. Some oligotrophic and
pioneer bacteria can colonise and grow in mine wastes containing a low
concentration of organic matter and combined nitrogen sources. In this study,
Pseudomonas mendocina P6115 was isolated from mine tailings in Durango, Mexico,
and identified through a phylogenetic approach of 16S rRNA, gyrB, rpoB, and rpoD
genes. Cell growth, cyanide consumption, and ammonia production kinetics in a
medium with cyanide as sole nitrogen source showed that at the beginning, the
strain grew assimilating cyanide, when cyanide was removed, ammonium was produced
and accumulated in the culture medium. However, no clear stoichiometric
relationship between both nitrogen sources was observed. Also, cyanide complexes
were assimilated as nitrogen sources. Other phenotypic tasks that contribute to
the strain's adaptation to a mine tailing environment included siderophores
production in media with moderate amounts of heavy metals, arsenite and arsenate
tolerance, and the capacity of oxidizing arsenite. P. mendocina P6115 harbours
cioA/cioB and aoxB genes encoding for a cyanide-insensitive oxidase and an
arsenite oxidase, respectively. This is the first report where P. mendocina is
described as a cyanotrophic and arsenic oxidizing species. Genotypic and
phenotypic tasks of P. mendocina P6115 autochthonous from mine wastes are
potentially relevant for biological treatment of residues contaminated with
cyanide and arsenic.
PMID- 29644381
TI - Autologous rectus fascia sling placement in the management of female stress
urinary incontinence.
AB - INTRODUCTION AND HYPOTHESIS: Autologous pubovaginal sling placement remains a
treatment option in index patients, given high, long-term success rates. This
video reviews the technical considerations for performing an autologous rectus
fascia sling. METHODS: The patient is a 47-year-old woman with stress urinary
incontinence (SUI) refractory to conservative management. First, a 10-cm rectus
fascial segment is harvested and prepped with placement of nonabsorbable stay
sutures for later sling passage. Then, an inverted U-shaped incision is made in
the anterior vaginal wall based on the bladder neck, and perforation of the
endopelvic fascia is performed. Following passage of the sling in the retropubic
space, it is secured to periurethral tissue. Cystoscopy is then used to evaluate
for bladder perforation and to confirm sling tensioning. RESULTS: The patient was
discharged on the same day of surgery with a suprapubic tube in place, which was
removed on postoperative day 7 after passing a capping trial. At 6 weeks' follow
up, the patient had complete resolution of SUI, with no de novo urgency symptoms,
and could empty her bladder to completion. CONCLUSION: Autologous pubovaginal
sling placement remains an effective treatment option for the management of
female SUI. This video highlights important technical considerations for this
procedure.
PMID- 29644380
TI - Entry into the anterior cul-de-sac during vaginal hysterectomy.
AB - INTRODUCTION AND HYPOTHESIS: We present a video reviewing the key steps involved
in safe anterior cul-de-sac entry during vaginal hysterectomy, including tips for
troubleshooting difficult cases such as: uterine procidentia, cervical
elongation, and multiple prior cesarean sections. METHODS: Anterior cul-de-sac
entry is a critical step in performing a vaginal hysterectomy. In this video, we
review our approach to anterior entry in patients with normal anatomy, followed
by a discussion of techniques that may be useful in cases with challenging
anatomy. To start, we drain the bladder, set up exposure with Deaver retractors,
and make a circumferential incision at the cervicovaginal junction. In cases with
normal anatomy, using sharp, followed by broad blunt finger dissection, the
vesicocervical space is opened, and the peritoneal reflection is identified and
sharply entered. If this is not possible, additional techniques such cystoscopic
bladder illumination, posterior entry first, securing pedicles with
extraperitoneal ties, or additional sharp dissection may be utilized. With all
techniques, proper intraperitoneal entry should be verified by the visualization
of small bowel or fat. CONCLUSION: This video reviews technical considerations
for anterior cul-de-sac entry during vaginal hysterectomy in patients with normal
anatomy and provides tips for troubleshooting challenging cases.
PMID- 29644382
TI - Prolapse reduction deteriorates the urethral closure mechanism.
AB - INTRODUCTION AND HYPOTHESIS: Pelvic organ prolapse (POP) reduction is often
performed in the preoperative assessment of women before POP surgery. Using
urethral pressure reflectometry (UPR), we sought to investigate how POP reduction
affects the urethral closure mechanism. METHODS: Women with anterior or posterior
vaginal wall prolapse stage >=II with and without POP reduction were examined
with a speculum. We performed prolapse staging according to the Pelvic Organ
Prolapse Quantification system, UPR measurements at rest and during squeezing,
and standardized stress tests with 300 ml saline. All examinations were repeated
after insertion of a speculum. RESULTS: We included 38 women: 22 with anterior
and 16 with posterior vaginal wall prolapse POP-Q stage >=II. During POP
reduction, resting and squeezing urethral pressures decreased by 2.5 cmH2O (p =
0.007) and 5.1 cmH2O (p < 0.0001), respectively, in all women. During POP
reduction, the number of positive stress tests increased from four (18%) to eight
(36%) in women with anterior vaginal wall prolapse and from one (6%) to nine
(56%) in women with posterior vaginal wall prolapse. CONCLUSIONS: POP reduction
decreases urethral pressure, especially during squeezing, and consequently
increases the number of positive stress tests. The test itself artificially
deteriorates the urethral closure mechanism.
PMID- 29644383
TI - Optimizing resident operative self-confidence through competency-based surgical
education modules: are we there yet?
AB - INTRODUCTION AND HYPOTHESIS: Self-confidence is the belief in one's ability to
perform and can be enhanced by training. Surgical education should aim to
optimize trainee confidence. We designed three procedure-specific competency
based modules to teach vaginal hysterectomy (VH), anterior (AR) and posterior
repair (PR) to novice gynecology residents. We hypothesized each module would
improve self-confidence and satisfaction during index procedure performance in
the operating room. METHODS: This was an ancillary analysis of a larger
randomized-controlled trial of gynecologic educational interventions. Residents
at three Canadian universities were included if they had previously performed
fewer than five index procedures independently. Intervention residents received
educational modules; controls engaged in self-directed learning. All residents
performed one or more of the three surgeries and filled out a validated Self
Confidence Scale and a Satisfaction Scale. Scores were compared between groups.
Correlations were sought between self-confidence and various variables. RESULTS:
Forty-six residents at three Canadian universities were randomized (21
intervention, 25 control). Most residents had never performed the index
procedure. Overall, self-confidence was significantly higher (p = 0.021) in the
intervention group for VH, but not for AR and PR (p = 0.94 and p = 0.12,
respectively). Compared with controls, self-confidence was also significantly
higher in intervention residents who had never performed VH (p = 0.026) or PR (p
= 0.027) and in first and second year intervention residents. There was a
positive correlation between self-confidence and satisfaction. CONCLUSIONS:
Surgical modules improved self-confidence preferentially in the most junior
residents and for more complicated procedures. The wide self-confidence ranges
observed suggest that optimization should be an important goal for surgical
educators.
PMID- 29644384
TI - Efficacy of pelvic floor muscle training in women with overactive bladder
syndrome: a systematic review.
AB - INTRODUCTION AND HYPOTHESIS: Our objective was to evaluate the effectiveness of
pelvic floor muscle training (PFMT) in reducing overactive bladder (OAB) symptoms
in women. METHODS: Searches were performed at MEDLINE, PubMed, Physiotherapy
Evidence Database (PEDro), Scielo, and Central Cochrane Library PubMed until
January 2017. Controlled trials were researched by two independent reviewers.
Eligible studies were restricted to random and controlled clinical trials that
investigated the effectiveness of PFMT in decreasing OAB symptoms. Qualitative
methodology was evaluated using the PEDro scale. Data was analyzed and
interpreted qualitatively. RESULTS: The final search retrieved eight studies (n =
1161 women with urgency symptoms), which were published between 2002 and 2016.
The methodological scores varied between 4 and 7 in the PEDro scale. PFMT, with
the objective of controlling urgent micturition, demonstrated improvements in
quality of life in women with OAB. Most data in this revision came from small- to
moderate-sized trials, with different and inconsistent outcome measures, which
could have impacted the end results. CONCLUSIONS: The literature regarding the
effectiveness of PFMT in OAB remains heterogeneous and inconclusive.
PMID- 29644385
TI - Postoperative alpha angle not associated with patient-centered midterm outcomes
following hip arthroscopy for FAI.
AB - PURPOSE: The most commonly used parameter for defining cam-type femoroacetabular
impingement(FAI) has been the alpha angle. The purpose of this study was to
determine if patient-reported outcomes 5 years following hip arthroscopy for FAI
were associated with postoperative alpha angle. We hypothesized that patient
reported outcomes would not be influenced by postoperative alpha angle in
patients with FAI. METHODS: 230 patients had primary hip arthroscopy for FAI and
chondrolabral dysfunction. The median age was 40 years (range 18-69). All
patients had preoperative and 1 day postoperative alpha angles recorded. At
minimum 5 years following arthroscopy, all patients completed an online
questionnaire that included the modified Harris Hip score(MHHS), WOMAC, HOS ADL,
HOS Sport, SF12 and patient satisfaction. This study was IRB approved. Patients
were grouped into two, based on their postoperative alpha angle: <55 degrees (n
= 158) and >= 55 degrees (n = 56). RESULTS: The median preoperative alpha angle
was 72 degrees (range 50 degrees -105 degrees ) and the median postoperative
alpha angle was 45 degrees (range 30 degrees -100 degrees ). The postoperative
alpha angle did not correlate with any outcome measure. The median preoperative
alpha angle in the < 55 degrees group was 71 degrees and in >= 55 degrees
group the median was 74 degrees (p = 0.044). The median follow-up was 5.1 years
(range 5-7). The median mHHS was 85 (range 47-100) in the < 55 degrees and 85
(range 54-100) in the >= 55 degrees group (n.s); WOMAC was 5 (range 0-73) in the
< 55 degrees and 4.5 (range 1-57) in the >= 55 degrees group(n.s); HOS ADL was
95 (range 31-100) in the < 55 degrees and 96 (range 50-100) in the >= 55 degrees
group (n.s); HOS Sport was 88 (range 0-100) in the < 55 degrees and 88 (range
13-100) in the >= 55 degrees group (n.s) Median patient satisfaction was 9
(range 1-10) in both groups. CONCLUSION: This study shows no statistically
significant differences between the investigated patient-reported outcome scores
at a 5 years postoperatively in relation to a correction of the alpha angle to 55
degrees . While alpha angle has been shown to be an excellent preoperative
diagnostic tool, the postoperative angle does not correlate with midterm outcomes
or the development of osteoarthritis based on patient symptoms. The amount of
osteoplasty should be based on dynamic examination at arthroscopy, and not by
alpha angle. LEVEL OF EVIDENCE: III Case-control, retrospective comparative
study.
PMID- 29644386
TI - Correction to: The WOMAC score can be reliably used to classify patient
satisfaction after total knee arthroplasty.
AB - Unfortunately, Fig. 1 in the original article contained incorrect information.
Hereby, the correct figure is published and we apologise for the inconvenience.
PMID- 29644387
TI - Personalized cancer vaccines: adjuvants are important, too.
AB - Therapeutic cancer vaccines have shown limited clinical efficacy so far.
Nevertheless, in the meantime, our understanding of immune cell function and the
interactions of immune cells with growing tumors has advanced considerably. We
are now in a position to invest this knowledge into the design of more powerful
vaccines and therapy combinations aimed at increasing immunogenicity and
decreasing tumor-induced immunosuppression. This review focuses essentially on
peptide-based human vaccines. We will discuss two aspects that are critical for
increasing their intrinsic immunogenicity: the selection of the antigen(s) to be
targeted, and the as yet unmet need for strong adjuvants.
PMID- 29644388
TI - The relation between obesity and depressed mood in a multi-ethnic population. The
HELIUS study.
AB - PURPOSE: To examine the association between obesity and depressed mood in a large
multi-ethnic population and check for consistency in this association across six
ethnic groups. METHODS: Data of 21,030 persons (18-70 years) were sourced from
the HELIUS study. Cross-sectional relationships between obesity measures [body
mass index (kg/m2) and waist circumference (cm)] and depressed mood (PHQ-9 score
>= 10) were analysed. Consistency of associations was investigated across ethnic
groups by interaction terms (ethnicity*obesity measures) in basic (age, sex,
education) and fully (health behaviours and somatic health) adjusted models.
RESULTS: Obesity was prevalent in all ethnic groups, but varied substantially.
After sociodemographic adjustment, obesity measures were associated with
increased odds of depressed mood but this was inconsistent across ethnic groups.
Obesity (BMI >= 30 or highest waist circumference quartile) was strongly and
significantly associated with depressed mood in the Dutch [Odds Ratio (OR) =
1.72; 95% Confidence intervals (CI) 1.24-2.40, and OR = 1.86; 95% CI 1.38-2.50],
respectively, and African Surinamese (OR = 1.60; 95% CI 1.29-1.98 and OR = 1.59;
95% CI 1.27-2.00, respectively) but had a weaker, non-significant association in
other ethnic groups (South-Asian Surinamese, Ghanaian, Moroccan, Turkish groups).
Adjustment for health behaviours and somatic health had limited effect on this
pattern. CONCLUSION: Obesity was associated with a higher risk of depressed mood.
However, ethnic differences were found: the obesity-depressed mood association
was strong in the Dutch and African Surinamese populations, but not in other
ethnic groups. Future studies should explore whether differential normative
values or pathophysiology across ethnic groups explain why the obesity-depression
association is inconsistent across ethnic groups.
PMID- 29644390
TI - Evaluation of crown inclination and angulation after orthodontic treatment using
digital models : Comparison to the prescription of the brackets used.
AB - PURPOSE: To compare crown inclination and angulation results obtained after
orthodontic treatment to the Roth prescription. METHODS: The study design was
based on files and documents obtained from a database of 26 patients who had
undergone orthodontic treatment using the straight-wire technique and the Roth
prescription. The crown inclination and angulation were measured using a three
dimensional (3D) cephalometric module (VistaDent, Dentsply, New York, NY, USA) by
an orthodontist. A coordinate system (x, y, z) was developed for each tooth that
used the Andrews plane as a para-axial reference. Descriptive statistical
analysis provided the mean and standard deviation (SD) of crown inclination and
angulation obtained after orthodontic treatment, which were compared to the Roth
prescription. RESULTS: Method reproducibility is an important test to investigate
the margin of error and to verify the reliability of results. The results at time
1 (1.6 degrees +/- 1.1 degrees ) and time 2 (1.7 degrees +/- 1.2 degrees ) of
the pilot study were not statistically different (p = 0.99). Maxillary lateral
and central incisors presented significant differences in crown angulation (p <
0.05) compared to the Roth prescription. The crown angulation of maxillary second
premolars with regard to the occlusal plane presented a similar value to the Roth
prescription. CONCLUSION: Crown inclination and angulation found at the end of
orthodontic treatment did not match the prescription of the brackets for most
teeth, as measured using digital models.
PMID- 29644389
TI - Is there an optimal initial amount of activation for midpalatal suture expansion?
: A histomorphometric and immunohistochemical study in a rabbit model.
AB - OBJECTIVE: Accelerated bone-borne expansion protocols on sutural separation and
sutural bone formation were evaluated via histomorphometry and
immunohistochemistry to determine the optimal initial activation without
disruption of bone formation. MATERIALS AND METHODS: Sixteen New Zealand white
rabbits were randomly divided into four groups. Modified Hyrax expanders were
placed across the midsagittal sutures and secured with miniscrew implants with
the following activations: group 1 (control), 0.5 mm expansion/day for 12 days;
group 2, 1 mm instant expansion followed by 0.5 mm expansion/day for 10 days;
group 3, 2.5 mm instant expansion followed by 0.5 mm expansion/day for 7 days;
and group 4, 4 mm instant expansion followed by 0.5 mm expansion/day for 4 days.
After 6 weeks, sutural expansion and new bone formation were evaluated
histomorphometrically. Statistical analysis was performed using Kruskal
Wallis/Mann-Whitney U tests and Spearman's rho correlation (p < 0.05). RESULTS:
The smallest median sutural separation was observed in group 1 (3.05 mm) and the
greatest in group 4 (4.57 mm). The lowest and highest amount of bone formation
were observed in group 4 (55.82%) and in group 3 (66.93%), respectively.
Immunohistochemical analysis revealed significant differences in median levels of
alkaline phosphatase and osteopontin expression between all experimental groups.
The highest level of these proteins was attained in group 3, followed by groups
2, 1, and 4, respectively. CONCLUSIONS: Sutural appositional bone formation
corresponded with the amount of initial expansion to a point. When initial
expansion was increased to 4 mm, sutural bone remodeling was disturbed and new
bone formation was decreased. The most effective sutural expansion was achieved
with 2.5 mm initial activation followed by 0.5 mm expansion/day for 7 days.
PMID- 29644392
TI - Effect of a rest-pause vs. traditional squat on electromyography and lifting
volume in trained women.
AB - PURPOSE: Rest-pause (4 s unloaded rest between repetitions) single session
training effects on lifting volume, and muscle activity via electromyography
(EMG) are currently vague in the literature and can benefit strength and
conditioning professionals for resistance training program design. This study
compared differences in volume lifted and muscle activity between a rest-pause
vs. traditional protocol. METHODS: Trained females (N = 13) completed both a rest
pause and traditional squat protocol consisting of four sets to movement failure
at 80% pretest 1 repetition maximum load with 2-min rest between sets. Total
volume and muscle activity of the vastus lateralis, vastus medialis, rectus
femoris, and gluteus maximus were measured on both training days. Differences in
muscle activity were viewed as a percent change (%?). RESULTS: A paired samples t
test indicated total volume lifted was higher in the rest-pause compared to the
traditional protocol (2532 vs. 2036 kg; p < .05). Furthermore, paired samples t
tests showed muscle activity %? of the gluteus maximus was greater in the
traditional protocol compared to the rest-pause protocol (p < .05). No other
muscle activity differences were observed in the remaining muscles. CONCLUSIONS:
The rest-pause allows for greater volume lifted via increased repetitions
compared to a traditional protocol in trained women. The rest-pause method may be
superior to a traditional method of training during a hypertrophy mesocycle,
where a primary focus is total volume lifted. Furthermore, %? muscle activity in
the GM will be greater while performing a traditional back squat protocol in
comparison to a rest-pause.
PMID- 29644391
TI - Analysis on sarcoglycans expression as markers of septic cardiomyopathy in sepsis
related death.
AB - The post-mortem assessment of sepsis-related death can be carry out by many
methods recently suggested as microbiological and biochemical investigations. In
these cases, the cause of death is a multiple organ dysfunction due to a
dysregulated inflammatory response occurring after the failure of infection
control process. It was highlighted also that the heart can be a target organ in
sepsis which determines the so-called septic cardiomyopathy characterized by
myocardial depression. Several mechanisms to explain the pathophysiology of
septic cardiomyopathy were suggested, but very few studies about the structural
alterations of cardiac cells responsible for myocardial depression were carried
out. The aim of this study was to evaluate whether sarcoglycans (SG) were
involved in septic cardiac damage analyzing their expression in sepsis-related
deaths and, particularly, if these proteins can be used as markers of septic
myocardial dysfunction. Cases of septic-related death confirmed by clinical and
autopsy records were investigated and compared to a control group of traumatic
deaths. Indirect immunofluorescence analysis was performed to analyze alpha-SG,
beta-SG, delta-SG, zeta-SG, epsilon-SG, and gamma-SG. Decrease of fluorescence
staining pattern for all tested sarcoglycans was observed in the septic-related
deaths compared to normal fluorescence staining pattern of control group. These
results provide new findings about the myocytes structural alterations due to
sepsis and suggest that these proteins could be used in forensic assessment of
septic cardiomyopathy.
PMID- 29644393
TI - Treatment of carcinoma in situ of the urinary bladder with an alpha-emitter
immunoconjugate targeting the epidermal growth factor receptor: a pilot study.
AB - PURPOSE: Patients with carcinoma in situ (CIS) of the bladder refractory to
bacillus Calmette-Guerin (BCG) treatment are usually treated with cystectomy.
Therefore, new treatment options with preservation of the urinary bladder are
needed. The objective of the study was to investigate the feasibility, safety and
efficacy of a novel targeted alpha-emitter immunotherapy for CIS after BCG
treatment failure. METHODS: A pilot study was conducted in 12 patients (age range
64-86 years, ten men, two women) with biopsy-proven CIS of the bladder refractory
to BCG treatment. The patients were treated intravesically with a single
instillation (one patient was treated twice) of the alpha-emitter 213Bi coupled
to an anti-EGFR antibody (366-821 MBq). The primary aims of the study were to
determine the feasibility of treatment with the 213Bi-immunoconjugate and
evaluation of adverse effects. Therapeutic efficacy was monitored by histological
mapping of the urinary bladder 8 weeks after treatment and at different time
points thereafter. RESULTS: The study proved that intravesical instillation of
the 213Bi-immunoconjugate targeting EGFR is feasible. No adverse effects were
observed and all blood and urine parameters determined remained in their normal
ranges. Therapeutic efficacy was considered satisfactory, in that three of the 12
patients showed no signs of CIS 44, 30 and 3 months after treatment. CONCLUSION:
Intravesical instillation of 213Bi-anti-EGFR monoclonal antibody was well
tolerated and showed therapeutic efficacy. Repeated instillation and/or
instillation of higher activities of the 213Bi-immunoconjugate might lead to
better therapeutic outcomes. A phase I clinical trial is planned.
PMID- 29644394
TI - Genomic analysis reveals secondary glioblastoma after radiotherapy in a subset of
recurrent medulloblastomas.
AB - Despite great advances in understanding of molecular pathogenesis and achievement
of a high cure rate in medulloblastoma, recurrent medulloblastomas are still
dismal. Additionally, misidentification of secondary malignancies due to
histological ambiguity leads to misdiagnosis and eventually to inappropriate
treatment. Nevertheless, the genomic characteristics of recurrent
medulloblastomas are poorly understood, largely due to a lack of matched primary
and recurrent tumor tissues. We performed a genomic analysis of recurrent tumors
from 17 pediatric medulloblastoma patients. Whole transcriptome sequencing
revealed that a subset of recurrent tumors initially diagnosed as locally
recurrent medulloblastomas are secondary glioblastomas after radiotherapy,
showing high similarity to the non-G-CIMP proneural subtype of glioblastoma.
Further analysis, including whole exome sequencing, revealed missense mutations
or complex gene fusion events in PDGFRA with augmented expression in the
secondary glioblastomas after radiotherapy, implicating PDGFRA as a putative
driver in the development of secondary glioblastomas after treatment exposure.
This result provides insight into the possible application of PDGFRA-targeted
therapy in these second malignancies. Furthermore, genomic alterations of TP53
including 17p loss or germline/somatic mutations were also found in most of the
secondary glioblastomas after radiotherapy, indicating a crucial role of TP53
alteration in the process. On the other hand, analysis of recurrent
medulloblastomas revealed that the most prevalent alterations are the loss of 17p
region including TP53 and gain of 7q region containing EZH2 which already exist
in primary tumors. The 7q gain events are frequently accompanied by high
expression levels of EZH2 in both primary and recurrent medulloblastomas, which
provides a clue to a new therapeutic target to prevent recurrence. Considering
the fact that it is often challenging to differentiate between recurrent
medulloblastomas and secondary glioblastomas after radiotherapy, our findings
have major clinical implications both for correct diagnosis and for potential
therapeutic interventions in these devastating diseases.
PMID- 29644395
TI - Metabolic phenotyping of malnutrition during the first 1000 days of life.
AB - Nutritional restrictions during the first 1000 days of life can impair or delay
the physical and cognitive development of the individual and have long-term
consequences for their health. Metabolic phenotyping (metabolomics/metabonomics)
simultaneously measures a diverse range of low molecular weight metabolites in a
sample providing a comprehensive assessment of the individual's biochemical
status. There are a growing number of studies applying such approaches to
characterize the metabolic derangements induced by various forms of early-life
malnutrition. This includes acute and chronic undernutrition and specific
micronutrient deficiencies. Collectively, these studies highlight the diverse and
dynamic metabolic disruptions resulting from various forms of nutritional
deficiencies. Perturbations were observed in many pathways including those
involved in energy, amino acid, and bile acid metabolism, the metabolic
interactions between the gut microbiota and the host, and changes in metabolites
associated with gut health. The information gleaned from such studies provides
novel insights into the mechanisms linking malnutrition with developmental
impairments and assists in the elucidation of candidate biomarkers to identify
individuals at risk of developmental shortfalls. As the metabolic profile
represents a snapshot of the biochemical status of an individual at a given time,
there is great potential to use this information to tailor interventional
strategies specifically to the metabolic needs of the individual.
PMID- 29644396
TI - Correction to: Pupillometry as an indicator of L-DOPA dosages in Parkinson's
disease patients.
AB - Unfortunately, original article has been published without acknowledgement
section.
PMID- 29644397
TI - COQ2 variants in Parkinson's disease and multiple system atrophy.
AB - Coenzyme Q2, polyprenyltransferase (COQ2) variants have been reported to be
associated with multiple system atrophy (MSA). However, the relationship between
COQ2 variants and familial Parkinson's disease (PD) remains unclear. We
investigated the frequency of COQ2 variants and clinical symptoms among familial
PD and MSA. We screened COQ2 using the Sanger method in 123 patients with
familial PD, 52 patients with sporadic PD, and 39 patients with clinically
diagnosed MSA. Clinical information was collected from medical records for the
patients with COQ2 variants. Allele frequencies of detected rare non-synonymous
variants were compared by public database of the Exome Aggregation Consortium
(ExAC) and Japanese genetic variation database, using Fisher's exact test. We
detected two probands with rare variants in COQ2, the p.P157S from Family A,
whose patient was clinically diagnosed as having juvenile PD, and the p.H15
N/p.G331S from Family B, whose patients shared common symptoms of PD.
Furthermore, in an association study comparing these familial PD and MSA cases
with a public variant database, eight non synonymous variants were detected in
COQ2. Three of these were very rare variants, namely, p.P157S, p.L261Qfs*4, and
p.G331S, and one variant, p.G21S, was found to show a significant association
with familial PD. COQ2 variants rarely may associate with the disease onset of
familial PD. Our findings contribute to an understanding of COQ2 variants in
neurodegenerative disorders.
PMID- 29644398
TI - Stent placement in patients with acute subarachnoid haemorrhage: when is it
justified?
AB - PURPOSE: Endovascular stents are widely used for the elective treatment of
cerebral aneurysms. Acute stenting is performed in the management of dissections,
pseudo-aneurysms, broad-based aneurysms or as a 'bail out' measure after coil
migration. The purpose of this study is to review the safety of using stents in
acute subarachnoid haemorrhage. METHODS: The stent registry of our institution
was reviewed for procedures in patients with acute subarachnoid haemorrhage.
Imaging studies were reviewed on the hospital's PACS system and the patients'
notes were retrieved to assess complications and clinical outcomes. Procedures
were analysed according to the type of stent, treatment indication, antiplatelet
regime, complications and outcomes. RESULTS: Between 2008 and 2016, 51 stents
were placed during 50 stenting procedures in 49 patients with acute subarachnoid
haemorrhage. This included 24 patients with saccular aneurysms, 10 with blister
aneurysms, 10 dissections and five fusiform aneurysms. Stents were deployed in
'bail out' situations on eight occasions. In six cases, flow-diverting stents
were used. Eighteen patients (37%) in the cohort suffered a stroke. Nine patients
(18%) suffered persistent clinical deficits as a result of the stenting
procedure, all but one of which occurred within 24 h. Two patients had a
transient ischaemic episode, and there was evidence of asymptomatic ischaemia on
imaging in four cases (8%). Five patients died, three (6%) as a result of
procedural complications. Twelve patients (25%) required a further embolisation
procedure. CONCLUSION: The use of stents in acute subarachnoid haemorrhage incurs
a considerable complication risk and should be reserved for exceptional
circumstances.
PMID- 29644399
TI - High-resolution MRI of the inner ear enables syndrome differentiation and
specific treatment of cerebellar downbeat nystagmus and secondary endolymphatic
hydrops in a postoperative ELST patient.
PMID- 29644400
TI - [Erratum to: Hot topic: management of delirium].
PMID- 29644401
TI - [Skill retention using extraglottic airways in out-of-hospital emergencies:
efficacy and long-term results of simulator-based medical education : A
prospective follow-up study].
AB - OBJECTIVE: For emergency medicine personnel (EMP), there is little evidence
concerning the adequate timing for refresher courses to maintain routine in the
application of extraglottic airways. The aim of this study was to evaluate the
efficacy and long-term results of a simulator-based education concept teaching
the basic airway management skills with extraglottic airways for EMP and also to
draw conclusions concerning the adequate time interval for refresher courses.
METHODS: By use of an explorative, prospective simulator-study with nonphysician
EMP, airway management skills using the Larynxmaske Supreme(r) (LMA-S) after an
introduction lecture were examined. The application of an endotracheal tube (ETT)
served as control. Time for preparation of the airway devices, insertion success,
and resulting apnea time were assessed immediately after the first introduction
lecture (t1) and unannounced 9-12 months thereafter (t2). RESULTS: Comparison of
the times for preparation of the LMA-S at t1 and t2 demonstrated similar results.
After the introduction lecture, all paramedics were able to insert the LMA-S
successfully after maximal 2 attempts; 9-12 months later success rates with the
LMA-S were unchanged. Apnea time during airway management was shorter with the
LMA-S compared to the ETT (p < 0.01). Times needed for preparation of the airway
devices were similar. CONCLUSION: The results of this simulator study indicate
that a standardized introduction lecture is appropriate to ensure long-lasting
procedural skills up to 12 months, so that subsequent refresher courses in basic
airway management with the LMA-S once a year may be adequate. A simulator-based
education in basic airway management skills with extraglottic airways is
recommended for facilitation of further clinical education according to the
current guidelines.
PMID- 29644402
TI - [A case of sole supportive care of severe neuroparalytic foodborne botulism due
to homemade pesto].
AB - In this article, a case of severe foodborne botulism in a 78-year-old man due to
homemade pesto is presented. His initial symptoms were gastrointestinal problems.
Later he suffered of cranial nerve palsies, muscle weakness of the upper
extremities and respiratory failure, so he had to be admitted to the intensive
care unit for mechanical ventilation. The botulism was confirmed by serology and
culture. Because of spontaneously improving neurological deficits, we decided not
to treat with the botulism antitoxin and the patient had complete clinical
remission.
PMID- 29644403
TI - The putative pectin methylesterase gene, BcMF23a, is required for microspore
development and pollen tube growth in Brassica campestris.
AB - KEY MESSAGE: BcMF23a contributes to pollen wall development via influencing
intine construction, which, in turn, influences pollen tube growth. Pollen wall,
the morphological out face of pollen, surrounds male gametophyte and plays an
important role in plant reproduction. Pectin methylesterases (PMEs) are involved
in pollen wall construction by de-esterifying pectin of the intine. In this
study, the function of a putative pectin methylesterase gene, Brassica campestris
Male Fertility 23a (BcMF23a), was investigated. Knockdown of BcMF23a by
artificial microRNA (amiRNA) technology resulted in abnormal pollen intine
formation outside of the germinal furrows at the binucleate stage. At the
trinucleate stage, 20.69% of pollen possessed the degradation of nuclei,
cytoplasm and the intine, resulting in shrunken pollen, whereas the remaining
75.86% were wall-disrupted with degrading cytoplasm and broken exine inside the
germinal furrows. In addition, pollen abortion in transgenic plants caused
germination percentage reduction by 19% in vitro and pollen tube growth
disruption in natural stigma in vivo. Taken together, BcMF23a is involved in
pollen development and pollen tube growth, possibly via participating in intine
construction. This study may contribute towards understanding the function of
pollen-specific PMEs and the molecular regulatory network of pollen wall
development.
PMID- 29644406
TI - The Wessex modified Richmond Sedation Scale as a novel tool for monitoring
patients at risk of malignant MCA syndrome.
AB - BACKGROUND: The Wessex Modified Richmond Sedation Scale (WMRSS) has been
developed with the aim of improving the early identification of patients
requiring decompressive hemicraniectomy for malignant middle cerebral artery
syndrome (MMS). The objective of this study was to evaluate the WMRSS against the
Glasgow Coma Scale (GCS). METHODS: A retrospective study was conducted of
patients admitted to our unit for observation of MMS. Data were obtained on WMRSS
and GCS recordings from admission up to 120-h post-ictus. Patients' meeting
inclusion criteria were recommended for theatre based on subsequent
deteriorations in consciousness on either WMRSS or GCS from a 6-h post-stroke
baseline, after ruling out non-neurological causes. RESULTS: Approximately, 60%
of those eligible for monitoring were not recommended for theatre, and none died;
however, these patients continued to demonstrate some variability in recorded
conscious level. Patients requiring surgical intervention showed earlier drops in
WMRSS compared to GCS. Neither the GCS nor the WMRSS on admission predicted the
subsequent need for decompressive surgery. There was no increase in mortality
with the introduction of WMRSS. CONCLUSIONS: WMRSS adds value to monitoring MMS
by indicating need for surgery prior to GCS. Early reduction in consciousness may
not be sufficient for proceeding to surgical intervention, but subsequent
reduction in consciousness may be a more appropriate criterion for surgery.
PMID- 29644405
TI - Abnormalities in Left Ventricular Rotation Are Inherent in Young Children with
Repaired Tetralogy of Fallot and Are Independent of Right Ventricular Dilation.
AB - Left ventricular (LV) dysfunction is a risk factor for adverse outcomes in older
children and adults with repaired Tetralogy of Fallot (rToF). Pulmonary
regurgitation (PR), right ventricular (RV) dilation, and dysfunction have been
shown to result in abnormal LV myocardial mechanics and dysfunction. The aim of
our study was to evaluate LV rotational mechanics, especially apical rotation in
young children with rToF with and without RV dilation. This is a retrospective,
single center study in 28 asymptomatic young children with rToF (16 with RV
dilation; 12 without RV dilation); 29 age-matched normal controls. RV and LV
systolic and diastolic function was studied using conventional two-dimensional
echocardiography (2DE) and speckle tracking echocardiography (STE). Rotational
mechanics studied included basal and apical rotation (BR, AR), peak twist
(calculated by difference between the apical and basal rotation), twist rate
(TR), and untwist rate (UnTR). The mean age of the cohort was 4.7 years (+/-
2.3). Abnormal AR, BR, TR, and UnTR were noted in patients with rToF. The
abnormalities were significant in magnitude as well as the direction of rotation;
more pronounced in the absence of RV dilation. LV systolic and diastolic
dysfunction as evidenced by abnormal AR and degree of untwist is inherent in rToF
and not associated with RV dilation in rToF children. Abnormal BR may reflect a
lack of maturation to adult type of rotational mechanics. Further longitudinal
studies are required to study the progression of these abnormalities and their
correlation with clinical outcomes.
PMID- 29644407
TI - Different Recovery Processes of Soil Ammonia Oxidizers from Flooding Disturbance.
AB - Understanding how microorganisms respond to environmental disturbance is one of
the key focuses in microbial ecology. Ammonia-oxidizing bacteria (AOB) and
archaea (AOA) are responsible for ammonia oxidation which is a crucial step in
the nitrogen cycle. Although the physiology, distribution, and activity of AOA
and AOB in soil have been extensively investigated, their recovery from a natural
disturbance remains largely unknown. To assess the recovery capacities, including
resistance and resilience, of AOA and AOB, soil samples were taken from a
reservoir riparian zone which experienced periodically water flooding. The
samples were classified into three groups (flooding, recovery, and control) for a
high-throughput sequencing and quantitative PCR analysis. We used a relative
quantitative index of both the resistance (RS) and resilience (RL) to assess the
variation of gene abundance, alpha-diversity, and community composition. The AOA
generally demonstrated a better recovery capability after the flooding
disturbance compared to AOB. In particular, AOA were more resilient after the
flooding disturbance. Taxa within the AOA and AOB showed different RS and RL
values, with the most abundant taxa showing in general the highest RS indices.
Soil NH4+ and Fe2+/Fe3+ were the main variables controlling the key taxa of AOA
and AOB and probably influenced the resistance and resilience properties of AOA
and AOB communities. The distinct mechanisms of AOA and AOB in maintaining
community stability against the flooding disturbance might be linked to the
different life-history strategies: the AOA community was more likely to represent
r-strategists in contrast to the AOB community following a K-life strategy. Our
results indicated that the AOA may play a vital role in ammonia oxidation in a
fluctuating habitat and contribute to the stability of riparian ecosystem.
PMID- 29644409
TI - Paroxetine ameliorates whole-body allodynia.
PMID- 29644408
TI - MODUL-a multicenter randomized clinical trial of biomarker-driven maintenance
therapy following first-line standard induction treatment of metastatic
colorectal cancer: an adaptable signal-seeking approach.
AB - PURPOSE: The old approach of one therapeutic for all patients with mCRC is
evolving with a need to target specific molecular aberrations or cell-signalling
pathways. Molecular screening approaches and new biomarkers are required to fully
characterize tumours, identify patients most likely to benefit, and predict
treatment response. METHODS: MODUL is a signal-seeking trial with a design that
is highly adaptable, permitting modification of different treatment cohorts and
inclusion of further additional cohorts based on novel evidence on new
compounds/combinations that emerge during the study. RESULTS: MODUL is ongoing
and its adaptable nature permits timely and efficient recruitment of patients
into the most appropriate cohort. Recruitment will take place over approximately
5 years in Europe, Asia, Africa, and South America. The design of MODUL with
ongoing parallel/sequential treatment cohorts means that the overall size and
duration of the trial can be modified/prolonged based on accumulation of new
data. CONCLUSIONS: The early success of the current trial suggests that the
design may provide definitive leads in a patient-friendly and relatively
economical trial structure. Along with other biomarker-driven trials that are
currently underway, it is hoped that MODUL will contribute to the continuing
evolution of clinical trial design and permit a more 'tailored' approach to the
treatment of patients with mCRC.
PMID- 29644410
TI - Author Correction to: The transgluteal approach to shockwave lithotripsy to treat
distal ureter stones: a prospective, randomized, and multicenter study.
AB - The funding number was incorrect in the original published article. The correct
funding number should read as follows.
PMID- 29644411
TI - NOAC monotherapy in patients with concomitant indications for oral
anticoagulation undergoing transcatheter aortic valve implantation.
AB - AIMS: Antithrombotic therapy after transcatheter aortic valve implantation (TAVI)
is highly controversial and guideline recommendations are not evidence based. We
assessed efficacy and safety of non-vitamin K antagonist oral anticoagulant
(NOAC) monotherapy in patients with concomitant indications for OAC undergoing
TAVI. METHODS: Among more than 1300 TAVI patients since 2008, 154 patients were
identified who received postinterventional NOAC monotherapy. Outcomes were
compared to 172 patients treated with vitamin K antagonist (VKA) monotherapy.
Thromboembolic as well as bleeding complications were analysed for 6 months after
TAVI. RESULTS: Despite high CHA2DS2-Vasc (4.6 +/- 1.2), HEMORR2HAGES (4.7 +/-
1.9) and HASBLED (2.7 +/- 0.8) scores only three major/life-threatening bleedings
and four thromboembolic events occurred after NOAC therapy had been initiated
post-TAVI. 12 patients (7.8%) died within 6 months after the procedure. Compared
to patients being treated with VKA monotherapy, analysis of a combined end-point
of post-procedural death, stroke, embolism and severe bleeding revealed no
significant differences (17/154 vs. 14/172; p = 0.45). CONCLUSIONS: The results
of this study suggest that NOAC therapy without additional antiplatelet treatment
is effective and safe in patients with concomitant indications for OAC undergoing
TAVI.
PMID- 29644413
TI - Flow-mediated dilation, nitroglycerin-mediated dilation and their ratio predict
successful renal denervation in mild resistant hypertension.
PMID- 29644415
TI - Does Simultaneous Liposuction Adversely Affect the Outcome of Thread Lifts? A
Preliminary Result.
AB - BACKGROUND: Along with advances in thread lift techniques and materials,
ancillary procedures such as fat grafting, liposuction, or filler injections have
been performed simultaneously. Some surgeons think that these ancillary
procedures might affect the aesthetic outcomes of thread lifting possibly due to
inadvertent injury to threads or loosening of soft tissue via passing the cannula
in the surgical plane of the thread lifts. The purpose of the current study is to
determine the effect of such ancillary procedures on the outcome of thread lifts
in the human and cadaveric setting. METHODS: We used human abdominal tissue after
abdominoplasty and cadaveric faces. In the abdominal tissue, liposuction parallel
to the parallel axis was performed in one area for 5 min. We counted 30 passes
when liposuction was performed in one direction. This was repeated as we changed
the direction of passages. The plane of thread lifts (dermal vs subcutaneous) and
angle between liposuction and thread lifts (parallel vs perpendicular) were
differentiated in this abdominal tissue study group. Then, we performed parallel
or perpendicular thread lifts using a small slit incision. Using a tensiometer,
the maximum holding strength was measured when pulling the thread out of the skin
as much as possible. We also used faces of cadavers to prove whether the finding
in human abdominal tissue is really valid with corresponding techniques. RESULTS:
Our pilot study using abdominal tissue showed that liposuction after thread lifts
adversely affects it regardless of the vector of thread lifts. In the cadaveric
study, however, liposuction prior to thread lifting does not significantly affect
the holding strength of thread lifts. CONCLUSIONS: Liposuction or fat grafting in
the appropriate layer would not be a hurdle to safely performing simultaneous
thread lifts if the target lift tissue is intra-SMAS or just above the SMAS
layer. LEVEL OF EVIDENCE V: This journal requires that authors assign a level of
evidence to each article. For a full description of these Evidence-Based Medicine
ratings, please refer to the Table of Contents or the online Instructions to
Authors www.springer.com/00266 .
PMID- 29644414
TI - Bone and Soft Tissue Nasal Angles Discrepancies and Overlying Skin Thickness: A
Computed Tomography Study.
AB - BACKGROUND: The aim of this study was to derive the normal values for bone and
soft tissue nasal angles as well as the overlying skin thickness and to attempt
to determine the correlation between differences in bone and soft tissue angles
and overlying skin thickness in Middle Eastern patients. MATERIALS AND METHODS:
Three-dimensional cephalometric analysis was performed for 100 patients who
underwent computed tomography of the paranasal sinuses. The nasofrontal angle,
pyramidal angle-nasal root, pyramidal angle-tip of the nasal bone, and overlying
skin thickness were measured, and the results were analyzed according to sex,
age, and body mass index (BMI). RESULTS: All soft tissue angles were
significantly larger than the bone angles, with the mean difference being 11.62
degrees , 30.80 degrees , and 27.05 degrees for the nasofrontal angle (P =
0.000), pyramidal angle-nasal root (P = 0.000), and pyramidal angle-tip of the
nasal bone (P = 0.000), respectively. The mean overlying skin thickness was 3.89
+/- 1.48 mm at the nasion, 1.16 +/- 0.6 mm at the rhinion, and 2.93 +/- .97 mm at
the nasal tip. Differences in the nasofrontal angle were strongly correlated with
the skin thickness at the nasion (P = 0.001). CONCLUSION: A simple clinical exam
of the soft tissue nasal angles does not reflect the underlying bone angles that
will be encountered during rhinoplasty. BMI does not influence nasal shape, and
rhinoplasty surgery should take into account the ethnic group, age, and sex of
the patient. Surgeons should leave a minor skeletal hump at the end of the nasal
bone for Middle Eastern patients. LEVEL OF EVIDENCE IV: This journal requires
that authors assign a level of evidence to each article. For a full description
of these Evidence-Based Medicine ratings, please refer to the Table of Contents
or the online Instructions to Authors www.springer.com/00266 .
PMID- 29644412
TI - Patent foramen ovale closure versus medical therapy for prevention of recurrent
cryptogenic embolism: updated meta-analysis of randomized clinical trials.
AB - BACKGROUND: We performed an updated meta-analysis of all randomized-controlled
trials (RCTs) comparing patent foramen ovale (PFO) closure with medical therapy
for prevention of recurrent ischemic stroke. METHODS AND RESULTS: We searched
Medline, EMBASE, and Cochrane databases, and proceedings of international
meetings for RCTs of patients with cryptogenic stroke and PFO comparing
percutaneous PFO closure versus medical therapy for prevention of recurrent
ischemic stroke. The primary outcome was a composite ischemic/embolic endpoint
comprising stroke, transient ischemic attack (TIA), peripheral embolism, and
early death in the intention-to-treat population. Secondary outcomes were all
cause death, stroke, TIA, atrial fibrillation (AF), and major bleeding. Of 3440
enrolled patients across five RCTs, 1829 were allocated to PFO closure and 1611
to medical therapy. The follow-up ranged from 2 to 5.9 years. PFO closure reduced
the risk of the composite outcome [HR 0.52, (0.36-0.77); p < 0.01], and stroke,
[HR 0.39, (0.19-0.83); p < 0.01], and increased the risk of AF [OR 3.75, (2.44
5.78); p < 0.01] as compared to medical therapy. NNT for stroke was 37 and NNH
for AF 49, indicating a net clinical benefit of PFO closure. The meta-analysis
had 95% power to detect a 50% relative risk reduction (RRR) in the primary
outcome and 89% power to detect a 70% RRR in ischemic stroke. The risk of all
cause death (HR 1.08, p = 0.90), TIA [HR 0.73, (0.49-1.09); p = 0.12], and major
bleeding [OR 0.97, (0.44-2.17); p = 0.95] was comparable between the groups.
CONCLUSIONS: Among patients with cryptogenic stroke and PFO, percutaneous closure
of PFO is superior to medical therapy in preventing recurrent ischemic/embolic
events and stroke but is associated with an increased risk of AF.
PMID- 29644416
TI - Shape, Position and Dimension of the Nipple Areola Complex in the Ideal Male
Chest: A Quick and Simple Operating Room Technique.
AB - INTRODUCTION: The anatomical features of the chest identify an individual as male
or female and even the smallest details of these features determine the
appropriate appearance for each gender. In female-to-male patients, the creation
of an aesthetically pleasing male chest is the most important step. Incorrect
positioning of the nipple areola complex (NAC) on the chest wall and suboptimal
shaping and sizing of the NAC are common pitfalls in male NAC creation. PATIENTS
AND METHODS: We have analyzed the anatomical chest features of 26 water polo
players, to verify our hypothesis of the relationship between the pectoralis
major muscle and NAC and to create a method for repositioning the NAC that is
applicable in the operating room, is easy, practical and reproducible without the
use of formulas and based on an easily identifiable landmark. RESULTS: In our
reference group, the NAC has a constant relationship with the pectoralis major
muscle, positioned on average 3 cm medial to the lateral border of the pectoralis
muscle and 2.5 cm above the inferior pectoralis major insertion. This supports
our hypothesis and our surgical technique. We use the index finger to find a
vertical axis and a line 2.5 cm above the inferior pectoralis shadow to find the
horizontal axis. We also introduce a modification to the receiving site to
recreate an oval areola more similar to that of an ideal male chest. CONCLUSIONS:
Our anatomical study and statistical analysis support a consistent relationship
between the position and shape of the NAC and the borders of the pectoral muscle.
We have used this relationship to develop our "trick," which is easily applicable
in the operating room to find the NAC position without using formulas and
numbers. This method allowed us to place the NAC in a position very close to that
of a typical male subject, and it permitted us to reduce the surgery time. LEVEL
OF EVIDENCE IV: This journal requires that authors assign a level of evidence to
each article. For a full description of these Evidence-Based Medicine ratings,
please refer to the Table of Contents or the online Instructions to Authors
www.springer.com/00266 .
PMID- 29644417
TI - Longitudinal Analysis of Superficial Midfacial Fat Volumes Over a 10-Year Period.
AB - BACKGROUND: Volumetric changes to facial fat that occur with aging remain poorly
understood. The aim of this study was to evaluate for longitudinal changes to
midfacial fat volumes in a group of individuals. METHODS: We conducted a
retrospective longitudinal study of adult subjects who underwent multiple facial
computed tomographic (CT) scans timed at least 8 years apart. Subjects who
underwent facial surgery or suffered facial trauma were excluded. Facial CT scans
were analyzed, and superficial cheek fat volumes were measured and compared to
track changes that occurred with aging. RESULTS: Fourteen subjects were included
in our analysis of facial aging (5 male, 9 female; mean initial age 50.9 years;
mean final age 60.4 years). In the right superficial cheek there was an increase
in mean (SD) superficial fat volume from 10.33 (2.01) to 10.50 (1.80) cc, which
was not statistically significant (P = 0.75). Similar results were observed in
the left cheek. There were no statistically significant longitudinal changes to
caudal, middle, or cephalad subdivisions of bilateral superficial cheek fat. A
simple linear regression was performed to predict superficial cheek fat pad
volume based on age which did not reach statistical significance (P = 0.31), with
an R2 of 0.039. CONCLUSIONS: This study is the first to quantitatively assess for
longitudinal changes to midfacial fat in a group of individuals. Superficial
cheek fat remained stable as subjects aged from approximately 50 to 60 years old,
with no change in total volume or redistribution within a radiographically
defined compartment. LEVEL OF EVIDENCE IV: This journal requires that authors
assign a level of evidence to each article. For a full description of these
Evidence-Based Medicine ratings, please refer to the Table of Contents or the
online Instructions to Authors www.springer.com/00266 .
PMID- 29644418
TI - Glandular Liposculpture in the Treatment of Gynecomastia.
PMID- 29644419
TI - Lower Lateral Cartilage Cephalic Malposition: An Over-Diagnosed Entity.
AB - BACKGROUND: Lower lateral cartilage malposition is represented by anterior
convexity of the lower lateral cartilage (LLC) dome with posterior pinch, as
defined by Sheen and Constantian. This anatomic variation consists of cephalic,
or upward and inward, rotation of lateral crura, particularly in bulbous tip
patients. In most cases, "bulbous pinch" LLC is positioned toward the medial
canthus, not laterally, so it is referred to as cephalic displacement.
Accordingly, it is recommended to caudally displace cartilage in the majority of
rhinoplasty cases in which variation is seen. OBJECTIVES: The purpose of this
paper is to measure the exact angle of lateral crura with fixed reference points
on the face. METHODS: We drew and marked LLC contours and vertical/horizontal
lines in 40 consecutive rhinoplasty cases. We then divided them into two groups:
(1) bulbous pinch and (2) flat LLCs. The right- and left-sided LLC angles to
midline and horizontal lines were measured and compared to assess whether there
was any significant difference between the two subgroups. RESULTS: There was no
significant difference between the angles of LLC rotation in the bulbous and flat
LLCs groups, measured both vertically and horizontally. CONCLUSION: Based on our
findings, although cephalic malposition of LLCs may be present in some patients
but in the majority of cases the etiology of nasal lateral wall pinching is not
cephalic displacement of lateral crura but most probably is due, rather, to
severe convexity of the posterior and lateral crura. According to our findings,
cephalic malposition is an uncommon anatomic variation of LLCs that has been
reported at high frequency (60-70% of their rhinoplasty cases). This finding may
help to correct this deformity into a normal anatomic configuration. LEVEL OF
EVIDENCE IV: This journal requires that authors assign a level of evidence to
each article. For a full description of these Evidence-Based Medicine ratings,
please refer to the Table of Contents or the online Instructions to Authors
www.springer.com/00266 .
PMID- 29644420
TI - Soluble TREM-1 as a predictive factor of neonatal sepsis: a meta-analysis.
AB - BACKGROUND: The efficacy of soluble triggering receptor expressed on myeloid cell
1 (TREM-1) in detecting sepsis in adults has already been proven. To date,
however, consensus in the field of neonatal sepsis is lacking. The purpose of the
present systematic review is to accumulate current evidence in this field. SEARCH
STRATEGY: We systematically searched Medline (1966-2017), Scopus (2004-2017),
Clinicaltrials.gov (2008-2017), EMBASE (1980-2017), Cochrane Central Register of
Controlled Trials CENTRAL (1999-2017) and Google Scholar (2004-2017) along with
reference lists from included studies. MAIN RESULTS: Eight studies were finally
included in the present analysis, with a total number of 667 neonates. The
estimated sensitivity for the summary point was 0.95 [95% CI (0.81-0.99)] and the
specificity was 0.87 [95% CI (0.56-0.97)]. The diagnostic odds ratio was
calculated at 132.49 [95% CI (6.85-2560.70)]. Fagan's nomogram demonstrated that
the post-test probability increased to 71% and decreased to 2%, when the pre-test
probability was set at 25%. However, significant discrepancy was observed in
terms of the used cut-offs; therefore, the sensitivity and specificity presented
in our meta-analysis should be reviewed with caution, as they may present an
overestimation of the actual predictive efficacy of this protein. CONCLUSION:
Current evidence suggests that sTREM-1 may become a useful biomarker for the
prediction of neonatal sepsis. However, the small number of studies and the
variation of the threshold values limit its implementation in clinical practice.
Future large-scale studies are needed to determine the optimal cut-off value that
may discriminate normal levels from those suggestive of the presence of neonatal
sepsis.
PMID- 29644421
TI - [Infections after bite wounds : For example rat bite fever due to Streptobacillus
moniliformis].
AB - Rat bite fever due to Streptobacillus moniliformis induces typical but not
pathognomonic clinical signs, such as local purulent wound infection followed by
maculopapular exanthema, myalgia as well as purulent joint infections. Severe
complications, such as osteomyelitis and endocarditis are possible. it seems that
this infection is rarely diagnosed but this infection could be much more common
because the final diagnostic proof is difficult to achieve. Firstly, the culture
of these bacteria is critical because the bacteria are fastidious and secondly
the exact differentiation of the isolates is hardly possible by standard
laboratory methods. Modern techniques such as mass spectroscopy (MALDI-TOF) and
molecular biology allow a precise clarification. Surgical cleansing of infection
sites in combination with a rational antibiotic therapy, for example with beta
lactam antibiotics, are generally able to cure the infection if treatment is
started early enough. In addition, vaccinations, for example against tetanus and
rabies have to be considered in this situation as for all other bite wound
infections.
PMID- 29644422
TI - [Etiology, diagnostics and classification of lunate bone necrosis].
AB - Kienbock's disease, also known as avascular necrosis of the lunate bone describes
the slowly progressive osteonecrosis of the lunate bone with secondary
development of osteoarthritis and carpal collapse. In order to emphasize the
atraumatic origin of the disease, this is normally referred to as aseptic,
idiopathic or avascular lunate necrosis. There are thought to be a number of
factors predisposing to Kienbock's disease, such as ulnar negative variance, the
shape of the lunate itself and various types of vascular anatomy. The ongoing
development of radiographic techniques in recent years has contributed to a
better understanding of the progression of the disease and led to a modification
of the standard classifications. New sophisticated classification methods provide
the basis for a differentiated treatment. This article provides an overview of
the current state of knowledge about the etiology and pathogenesis as well as the
clinically relevant diagnostic procedures and classifications.
PMID- 29644425
TI - Turbidity Responses from Timber Harvesting, Wildfire, and Post-Fire Logging in
the Battle Creek Watershed, Northern California.
AB - The Battle Creek watershed in northern California was historically important for
its Chinook salmon populations, now at remnant levels due to land and water uses.
Privately owned portions of the watershed are managed primarily for timber
production, which has intensified since 1998, when clearcutting became
widespread. Turbidity has been monitored by citizen volunteers at 13 locations in
the watershed. Approximately 2000 grab samples were collected in the 5-year
analysis period as harvesting progressed, a severe wildfire burned 11,200 ha, and
most of the burned area was salvage logged. The data reveal strong associations
of turbidity with the proportion of area harvested in watersheds draining to the
measurement sites. Turbidity increased significantly over the measurement period
in 10 watersheds and decreased at one. Some of these increases may be due to the
influence of wildfire, logging roads and haul roads. However, turbidity continued
trending upwards in six burned watersheds that were logged after the fire, while
decreasing or remaining the same in two that escaped the fire and post-fire
logging. Unusually high turbidity measurements (more than seven times the average
value for a given flow condition) were very rare (0.0% of measurements) before
the fire but began to appear in the first year after the fire (5.0% of
measurements) and were most frequent (11.6% of measurements) in the first 9
months after salvage logging. Results suggest that harvesting contributes to road
erosion and that current management practices do not fully protect water quality.
PMID- 29644423
TI - Detection of Echinococcus multilocularis in domestic dogs of Shiqu County in the
summer herding.
AB - Alveolar echinococcosis (AE) is one of the most serious parasitic zoonosis in
Asia. Shiqu County is the most important endemic area of AE in China. Our primary
objective is to find out the risk factors for Echinococcus multilocularis
infection in domestic dogs in Shiqu County during the summer herding period. A
total of 120 fecal samples were collected from 60 ranchers in October 2016.
Nested PCR (nPCR) was performed to amplify regions of the mitochondrial12S rRNA
gene of E. multilocularis. The results showed that the infection rates of AE in
dogs from Qiwu, Yiniu, Changshaganma, Derongma, Mengyi, and Xiazha villages were
5, 5, 10, 20, 10, and 5%, respectively. It should be stressed that the infected
dogs will shed eggs through feces and may have a habit of preying on rodents, the
intermediate host of the parasite, and become re-infected. This investigation
confirmed the presence of E. multilocularis infection in dogs in Shiqu and
revealed the risk factors associated with the infection during summer herding.
PMID- 29644426
TI - [CA19-9 in intrahepatic cholangiocarcinoma : A diagnostic and prognostic
armamentarium?]
AB - BACKGROUND: Intrahepatic cholangiocarcinomas are the second most common malignant
tumors of the liver with an unfavorable prognosis. The role of CA19-9 in terms of
patient prognosis is still under debate in the literature. OBJECTIVE: The aim of
the present study was to investigate the prognostic value of preoperatively
assessed CA19-9 levels in patients with intrahepatic cholangiocarcinoma after
surgery. MATERIAL AND METHODS: A total of 63 patients suffering from intrahepatic
cholangiocarcinoma underwent surgery between March 2001 and February 2013 at the
West German Cancer Center in Essen, Germany. The follow-up ended in December
2017. The UICC stages, clinicopathological parameters and postoperative tumor
specific survival rates were analyzed with respect to preoperatively measured
CA19-9 serum levels. RESULTS: Increased CA19-9 serum levels correlated with
higher UICC tumor stages and other unfavorable clinicopathological parameters.
Moreover, patients with preoperative elevated CA19-9 serum levels displayed
significantly reduced overall survival rates (especially >1000 U/ml vs. <=1000
U/ml; median overall survival: 14.05 months vs. 42.40 months; p = 0.0003).
CONCLUSION: Preoperatively assessed CA19-9 levels >1000 U/ml are a strong
negative prognostic factor of postoperative disease-specific survival in patients
suffering from intrahepatic cholangiocarcinoma. Future studies are necessary to
evaluate if patients with highly elevated CA19-9 serum levels should be
considered for modified treatment strategies (e. g. neoadjuvant or adjuvant
therapy).
PMID- 29644427
TI - [Gender-specific differences of the early postoperative and oncosurgical long
term outcome in rectal cancer-data obtained in a prospective multicenter
observational study].
AB - BACKGROUND: Gender-specific aspects have been increasingly considered in clinical
medicine, also in oncological surgery. AIM: To analyze gender-specific
differences of early postoperative and oncological outcomes after rectal cancer
resection based on data obtained in a prospective multicenter observational
study. PATIENTS AND METHODS: As part of the multicenter prospective observational
study "Quality assurance in primary rectal cancer", data on tumor site, exogenic
and endogenic risk factors, neoadjuvant treatment, surgical procedures, tumor
stage, intraoperative and postoperative complications of patients with the
histological diagnosis of rectal cancer were registered. Data from the years 2005
2006 and 2010-2011 were investigated with respect to gender-specific differences
of postoperative morbidity, hospital mortality, local recurrency rate, disease
free and overall survival by univariable and multivariable analyses. RESULTS:
Overall, data from 10,657 patients were evaluated: 60.9% of the patients were
male, who were significantly younger (p < 0.001). Men had a significantly higher
rate of alcohol (p < 0.001) and nicotine abuse (p < 0.001) as well as a trend to
a higher body mass index (BMI) compared with women. Although, there was no
significant difference in the distribution of various tumor stages comparing men
and women, neoadjuvant radiochemotherapy was used significantly more often in
male patients (p < 0.001). In addition, male patients underwent an
abdominoperineal rectum exstirpation more often, whereas creation of an
enterostoma and Hartmann's procedure were more frequently used in women (p <
0.001 each). Multivariate analysis revealed that male patients developed a higher
overall morbidity (odds ratio, OR: 1.5; p < 0.001) during both study periods and
from 2010-2011 a higher hospital mortality (OR: 1.8; p < 0.001). After a median
follow-up period of 36 months, gender did not have a significant impact on
overall survival, disease-free survival or on the local tumor recurrency. The 5
year overall survival was 60.5%, disease-free survival 63.8% and local recurrency
rate was 5%. CONCLUSION: Independent of other variables, gender differences were
found with respect to early postoperative outcome but not to oncological long
term results after surgery of rectal cancer.
PMID- 29644428
TI - Assessment of the scalability of a microtiter plate system for screening of
oleaginous microorganisms.
AB - Recent developments in molecular biology and metabolic engineering have resulted
in a large increase in the number of strains that need to be tested, positioning
high-throughput screening of microorganisms as an important step in bioprocess
development. Scalability is crucial for performing reliable screening of
microorganisms. Most of the scalability studies from microplate screening systems
to controlled stirred-tank bioreactors have been performed so far with
unicellular microorganisms. We have compared cultivation of industrially relevant
oleaginous filamentous fungi and microalga in a Duetz-microtiter plate system to
benchtop and pre-pilot bioreactors. Maximal glucose consumption rate, biomass
concentration, lipid content of the biomass, biomass, and lipid yield values
showed good scalability for Mucor circinelloides (less than 20% differences) and
Mortierella alpina (less than 30% differences) filamentous fungi. Maximal glucose
consumption and biomass production rates were identical for Crypthecodinium
cohnii in microtiter plate and benchtop bioreactor. Most likely due to shear
stress sensitivity of this microalga in stirred bioreactor, biomass concentration
and lipid content of biomass were significantly higher in the microtiter plate
system than in the benchtop bioreactor. Still, fermentation results obtained in
the Duetz-microtiter plate system for Crypthecodinium cohnii are encouraging
compared to what has been reported in literature. Good reproducibility
(coefficient of variation less than 15% for biomass growth, glucose consumption,
lipid content, and pH) were achieved in the Duetz-microtiter plate system for
Mucor circinelloides and Crypthecodinium cohnii. Mortierella alpina cultivation
reproducibility might be improved with inoculation optimization. In conclusion,
we have presented suitability of the Duetz-microtiter plate system for the
reproducible, scalable, and cost-efficient high-throughput screening of
oleaginous microorganisms.
PMID- 29644429
TI - High diversity of potential nitrate-reducing Fe(II)-oxidizing bacteria enriched
from activated sludge.
AB - Nitrate-dependent Fe(II) oxidation (NDFO) has been discovered in various
environments including activated sludge and can potentially be used to remove
nitrate from wastewater. In this study, NDFO sludge was successfully enriched
from activated sludge under high Fe(II) concentrations over 100 days and the
denitrification rate achieved 1.37 mmol N/(gVSS day). High-throughput sequencing
of the bacterial 16S rRNA gene was used to investigate the microbial community
structure dynamics during the enrichment process. The results showed that the
microbial community changed significantly and high diversity of potential Fe(II)
oxidizing bacteria (FeOB) was observed in the enriched sludge. Thermomonas and
Gallionella were the dominant bacterial genera in the enriched sludge and their
relative abundances accounted for 9.49 and 4.08%, respectively. Furthermore, it
was found that potential FeOB were also abundantly present in activated sludge
samples of common municipal wastewater treatment plants. Collectively, this study
demonstrated that NDFO could be successfully performed by enriched activated
sludge and high diversity of bacteria is involved in this process, and the
results also provide baseline information for future research and engineering
application of NDFO process.
PMID- 29644431
TI - Machine learning modeling of plant phenology based on coupling satellite and
gridded meteorological dataset.
AB - Changes in the timing of plant phenological phases are important proxies in
contemporary climate research. However, most of the commonly used traditional
phenological observations do not give any coherent spatial information. While
consistent spatial data can be obtained from airborne sensors and preprocessed
gridded meteorological data, not many studies robustly benefit from these data
sources. Therefore, the main aim of this study is to create and evaluate
different statistical models for reconstructing, predicting, and improving
quality of phenological phases monitoring with the use of satellite and
meteorological products. A quality-controlled dataset of the 13 BBCH plant
phenophases in Poland was collected for the period 2007-2014. For each
phenophase, statistical models were built using the most commonly applied
regression-based machine learning techniques, such as multiple linear regression,
lasso, principal component regression, generalized boosted models, and random
forest. The quality of the models was estimated using a k-fold cross-validation.
The obtained results showed varying potential for coupling meteorological derived
indices with remote sensing products in terms of phenological modeling; however,
application of both data sources improves models' accuracy from 0.6 to 4.6 day in
terms of obtained RMSE. It is shown that a robust prediction of early
phenological phases is mostly related to meteorological indices, whereas for
autumn phenophases, there is a stronger information signal provided by satellite
derived vegetation metrics. Choosing a specific set of predictors and applying a
robust preprocessing procedures is more important for final results than the
selection of a particular statistical model. The average RMSE for the best models
of all phenophases is 6.3, while the individual RMSE vary seasonally from 3.5 to
10 days. Models give reliable proxy for ground observations with RMSE below 5
days for early spring and late spring phenophases. For other phenophases, RMSE
are higher and rise up to 9-10 days in the case of the earliest spring
phenophases.
PMID- 29644430
TI - Pathology of idiopathic non-cirrhotic portal hypertension.
AB - Idiopathic non-cirrhotic portal hypertension is an under-recognized vascular
liver disease of unknown etiology, characterized by clinical signs of portal
hypertension in the absence of cirrhosis. By definition, any disorder known to
cause portal hypertension in the absence of cirrhosis and any cause of chronic
liver disease must be excluded to make a diagnosis of idiopathic non-cirrhotic
portal hypertension. However, the diagnosis is often difficult because the
disease resembles cirrhosis and there is no gold standard test. Liver biopsy is
an essential tool: it is able to exclude cirrhosis and other causes of portal
hypertension and it allows the identification of the characteristic lesions.
Nonetheless, the histological diagnosis of idiopathic non-cirrhotic portal
hypertension is not always straightforward, in particular by needle biopsy
samples, because there is no pathognomonic lesion, but rather a variety of
vascular changes which are unevenly distributed, very subtle, and not all
necessarily identified in a single specimen. Pathologists should be able to
recognize several patterns of injury, involving portal/periportal areas as well
as parenchymal structures.The histological features of idiopathic non-cirrhotic
portal hypertension are described in this review, focusing on their
interpretation in needle biopsy specimens.
PMID- 29644432
TI - Development and application of artificial neural network models to estimate
values of a complex human thermal comfort index associated with urban heat and
cool island patterns using air temperature data from a standard meteorological
station.
AB - The present study deals with the development and application of artificial neural
network models (ANNs) to estimate the values of a complex human thermal comfort
discomfort index associated with urban heat and cool island conditions inside
various urban clusters using as only inputs air temperature data from a standard
meteorological station. The index used in the study is the Physiologically
Equivalent Temperature (PET) index which requires as inputs, among others, air
temperature, relative humidity, wind speed, and radiation (short- and long-wave
components). For the estimation of PET hourly values, ANN models were developed,
appropriately trained, and tested. Model results are compared to values
calculated by the PET index based on field monitoring data for various urban
clusters (street, square, park, courtyard, and gallery) in the city of Athens
(Greece) during an extreme hot weather summer period. For the evaluation of the
predictive ability of the developed ANN models, several statistical evaluation
indices were applied: the mean bias error, the root mean square error, the index
of agreement, the coefficient of determination, the true predictive rate, the
false alarm rate, and the Success Index. According to the results, it seems that
ANNs present a remarkable ability to estimate hourly PET values within various
urban clusters using only hourly values of air temperature. This is very
important in cases where the human thermal comfort-discomfort conditions have to
be analyzed and the only available parameter is air temperature.
PMID- 29644433
TI - Boron application improves yield of rice cultivars under high temperature stress
during vegetative and reproductive stages.
AB - It is reported that high temperatures (HT) would cause a marked decrease in world
rice production. In tropical regions, high temperatures are a constraint to rice
production and the most damaging effect is on spikelet sterility. Boron (B) plays
a very important role in the cell wall formation, sugar translocation, and
reproduction of the rice crop and could play an important role in alleviating
high temperature stress. A pot culture experiment was conducted to study the
effect of B application on high temperature tolerance of rice cultivars in B
deficient soil. The treatments comprised of four boron application treatments
viz. control (B0), soil application of 1 kg B ha-1 (B1), soil application of 2 kg
B ha-1 (B2), and foliar spray of 0.2% B (Bfs); three rice cultivars viz.
Annapurna (HT stress tolerant), Naveen, and Shatabdi (both HT stress
susceptible); and three temperature regimes viz. ambient (AT), HT at vegetative
stage (HTV), and HT at reproductive stage (HTR). The results revealed that high
temperature stress during vegetative or flowering stage reduced grain yield of
rice cultivars mainly because of low pollen viability and spikelet fertility. The
effects of high temperature on the spikelet fertility and grain filling varied
among cultivars and the growth stages of plant when exposed to the high
temperature stress. Under high temperature stress, the tolerant cultivar displays
higher cell membrane stability, less accumulation of osmolytes, more antioxidant
enzyme activities, and higher pollen viability and spikelet fertility than the
susceptible cultivars. In the present work, soil application of boron was
effective in reducing the negative effects of high temperature both at vegetative
and reproductive stages. Application of B results into higher grain yield under
both ambient and high temperature condition over control for all the three
cultivars; however, more increase was observed for the susceptible cultivar over
the tolerant one. The results suggest that the exogenous application of boron had
a substantial effect on cell membrane stability, sugar mobilization, pollen
viability, and spikelet fertility, hence the yield. The cultivars due to their
variation in the tolerance level for high temperature stress behaved differently,
and at high temperature stress, more response of the application of boron was
seen in susceptible cultivars.
PMID- 29644434
TI - Successful treatment of antisynthetase syndrome presenting as rhabdomyolysis with
rituximab.
AB - Rhabdomyolysis is a syndrome of muscle necrosis with subsequent release of
intracellular content into the blood. There are various causes for rhabdomyolysis
that include trauma, medications and rarely autoimmune conditions such as
autoimmune myositis. Antisynthetase syndrome is an autoimmune condition
characterized by positive antisynthetase antibody, myopathy, lung disease and
arthritis. To our knowledge, rhabdomyolysis in antisynthetase syndrome has not
been reported in the literature. In this report, we present a patient who
presented with features of rhabdomyolysis and was diagnosed with antisynthetase
syndrome. This patient was treated with systemic steroids with partial
improvement, followed by rituximab, which led to significant improvement in his
condition. In addition, we summarize all cases reported in the literature of
inflammatory myopathy-associated rhabdomyolysis.
PMID- 29644435
TI - Sexual health in patients with rheumatoid arthritis and the association between
physical fitness and sexual function: a cross-sectional study.
AB - The aim of this study was to examine sexual health in patients with rheumatoid
arthritis (RA), and to analyse factors associated with sexual health with a focus
on physical fitness. One hundred RA patients aged between 18 and 65 years were
included in a cross-sectional study. Handgrip strength and knee extensor strength
were measured with a dynamometer, and physical performance with the Short
Physical Performance Battery (SPPB). Fifty-four patients, mean age 47.8 (SD 10.6)
years, 61% female, answered a questionnaire about sexual health. Fifty-seven
percent reported, at least, sometimes having difficulty with sexual intercourse
(27.8% due to joint stiffness, 24.1% due to fatigue, 18.5% due to pain). Handgrip
strength and knee extensor strength significantly correlated with the desire to
engage in sexual intercourse, frequency of sexual contact and satisfaction with
overall sex life. The SPPB total score correlated with satisfaction with overall
sex life, and the SPPB repeated chair stands test with the desire to have sexual
intercourse and satisfaction with overall sex life. After adjusting for age,
gender, disease activity, comorbidity, co-medication and pain intensity, the
repeated chair stands test remained significantly associated with the frequency
of sexual contact (0.53; 0.01-1.05) and with satisfaction with overall sex life
(1.39; 0.28-2.51). The results of this study show that problems with sexual
health are highly prevalent in patients with RA. The ability to rise from a chair
is associated with sexual function, independent of disease activity and pain
intensity.
PMID- 29644436
TI - The neural effects of positively and negatively re-experiencing mental fatigue
sensation: a magnetoencephalography study.
AB - Fatigue sensation is an essential biological alarm that urges us to take rest to
avoid disrupting homeostasis and thus plays an important role in maintaining well
being. However, there are situations in which the anticipation of unpleasant
fatigue sensation undesirably reduces motivation for activity. The aim of this
study was to examine whether thinking positively about the fatigue sensation
would increase motivation to accomplish the workload. Fourteen healthy male
volunteers participated in this study and performed a two-back test for 30 min to
induce mental fatigue sensation. After their subjective level of fatigue had
recovered to the baseline level, they re-experienced the fatigue sensation
experienced in the two-back test positively, negatively, and without any
modification (i.e., re-experienced the fatigue sensation as it was). The level of
motivation to perform another two-back test they felt during the re-experiencing
was assessed. The neural activity related to the re-experiencing was recorded
using magnetoencephalography. The level of the motivation to perform another two
back test was increased by positively re-experiencing the fatigue sensation. The
increase in delta band power in Brodmann area 7 was positively associated with
the increase in motivation. These results show that positive thinking about
fatigue sensation can enhance motivation and suggest that this enhanced
motivation may have some effects on visual attention system.
PMID- 29644437
TI - A paperfluidic platform to detect Neisseria gonorrhoeae in clinical samples.
AB - Globally, the microbe Neisseria gonorrhoeae (NG) causes 106 million newly
documented sexually transmitted infections each year. Once appropriately
diagnosed, NG infections can be readily treated with antibiotics, but high-risk
patients often do not return to the clinic for treatment if results are not
provided at the point of care. A rapid, sensitive molecular diagnostic would help
increase NG treatment and reduce the prevalence of this sexually transmitted
disease. Here, we report on the design and development of a rapid, highly
sensitive, paperfluidic device for point-of-care diagnosis of NG. The device
integrates patient swab sample lysis, nucleic acid extraction, thermophilic
helicase-dependent amplification (tHDA), an internal amplification control
(NGIC), and visual lateral flow detection within an 80 min run time. Limits of NG
detection for the NG/NGIC multiplex tHDA assay were determined within the device,
and clinical performance was validated retroactively against qPCR-quantified
patient samples in a proof-of-concept study. This paperfluidic diagnostic has a
clinically relevant limit of detection of 500 NG cells per device with analytical
sensitivity down to 10 NG cells per device. In triplicate testing of 40 total
urethral and vaginal swab samples, the device had 95% overall sensitivity and
100% specificity, approaching current laboratory-based molecular NG diagnostics.
This diagnostic platform could increase access to accurate NG diagnoses to those
most in need.
PMID- 29644438
TI - Comparative phosphoproteomic analysis reveals differentially phosphorylated
proteins regulate anther and pollen development in kenaf cytoplasmic male
sterility line.
AB - Cytoplasmic male sterility (CMS) is widely used in plant breeding and represents
a perfect model to understand cyto-nuclear interactions and pollen development
research. Protein phosphorylation is ubiquitous and is involved in the regulation
of diverse cellular processes. To reveal the possible mechanism of CMS and pollen
development in kenaf, we performed an iTRAQ-based comparative phosphoproteome
analysis in the anthers of a CMS line and wild-type plant (Wt). Whole
transcriptome unigenes of kenaf as the reference genome, we identified a total of
3045 phosphorylated sites on 1640 peptides corresponding to 974 unique proteins.
292 of the peptides which corresponding to 247 unique proteins were
differentially phosphorylated (fold change >= 1.20 with P value< 0.05) between
these two materials. 113 and 134 proteins were characterized as up-regulated or
down-regulated phosphorylated, respectively. An evaluation of the phosphoproteome
and proteomic results indicated that the most significantly phosphorylated
proteins were not associated with abundant changes at the protein level.
Bioinformatics analysis demonstrated that many of these proteins were involved in
various biological processes which may play key roles in pollen development,
including carbohydrate metabolism, energy metabolism, transport, gene expression
regulation, signal transduction, and cell cycle control. Our results provide
insight into the CMS mechanism and pollen development in kenaf from a protein
phosphorylation perspective.
PMID- 29644439
TI - Comparative effects of antidepressant medications and untreated major depression
on pregnancy outcomes: a systematic review.
AB - Psychopharmacological treatment of pregnant women is an area of continued
controversy; extensive observational research on the use of antidepressant
medications in pregnancy has found these medications to be associated with
increased risk of spontaneous abortion, preterm delivery, and low birth weight.
However, depression itself has also been associated with increased risk of those
same outcomes, and only recently have researchers begun trying to compare treated
with untreated depression. The purpose of this study was to conduct a systematic
review of the literature to integrate those comparative studies and compare risks
and benefits. PubMed, PsycInfo, and CINAHL searches; study selection; and data
extraction were carried out using PRISMA guidelines. Eleven prospective
observational and case-control studies were selected for final inclusion. Risk of
low birth weight and related outcomes do not differ between antidepressant
treated pregnant women and untreated depressed women. Average gestational lengths
tend to be slightly shorter with antidepressant use but it is unclear whether
these differences are clinically meaningful or extend to preterm delivery. Very
limited research on spontaneous abortion did not allow conclusions to be drawn
regarding that outcome. The low number of studies meeting criteria highlights the
need for further research to aid in risk-benefit analysis for women considering
antidepressant use in pregnancy. While further research is necessary,
discontinuing antidepressant treatment of major depression in pregnancy due to
concerns about length of gestation, birth weight, or spontaneous abortion is not
supported by the evidence available at this time.
PMID- 29644440
TI - A liquid biopsy in primary lung cancer.
AB - A tissue biopsy is the "golden standard" for molecular profiling that is
essential in decision-making regarding treatment for malignant tumors, including
primary lung cancer. However, tumor biopsies are associated with several
limitations, including invasiveness and difficulty in achieving access. Liquid
biopsies have several potential advantages over tissue biopsies, and recent
advances in molecular technologies have enabled liquid biopsies to be introduced
into daily clinical practice. Cell-free blood-based liquid biopsies to detect
mutations in the epidermal growth factor receptor (EGFR) gene in the plasma have
been approved and may be useful in selecting patients for treatment with tyrosine
kinase inhibitors of EGFR. We herein describe blood-based liquid biopsies and
review the current status and future perspectives of plasma genotyping in primary
lung cancer.
PMID- 29644441
TI - [11C]acetate PET as a tool for diagnosis of liver steatosis.
AB - PURPOSE: To investigate [11C]acetate PET-surrogate parameter of fatty acid
synthase activity-as suitable tool for diagnosis and monitoring of liver
steatosis. METHODS: In this retrospective study, data were obtained from 83
prostatic carcinoma patients from 1/2008 to 1/2014. Mean HU was calculated from
unenhanced CT of all patients from liver with liver HU less than 40 as threshold
for liver steatosis. SUVmax of the liver and of the blood pool in thoracic aorta
(as background for calculation of a liver/background ratio [SUVl/b]) was
measured. t test was used with a P < 0.05 considered as statistically significant
difference and ROC analysis was used for calculating specificity and sensitivity.
RESULTS: 19/83 patients (20%) had diagnosis of hepatic steatosis according to CT.
Uptake of [11C]acetate was significantly higher in patients with hepatic
steatosis as compared to control group (SUVmax 7.96 +/- 2.0 vs. 5.48 +/- 2.3 [P <
0.001]). There was also a significant correlation between both SUVmax (r = -
0.52, P < 0.001) and SUVl/b (r = - 0.59, P < 0.001) with the density (HU) of the
liver. In ROC analysis for detection of liver steatosis SUVmax (threshold: 5.86)
had a sensitivity of 94% and specificity of 69% with an AUC of 0.81. Increasing
body mass index is correlated with the severity of steatosis. CONCLUSION: We
showed for the first time that hepatic steatosis associates with increased
[11C]acetate uptake. Also, severity of steatosis correlates with [11C]acetate
uptake. [11C]acetate uptake PET seems promising for the assessment of liver
steatosis.
PMID- 29644442
TI - [Current aspects of the definition and diagnosis of sepsis and antibiotic
resistance].
AB - Hospital mortality of patients with secondary sepsis remains high at around 40%.
Because of the methodological deficiencies of the definitions used so far, valid
epidemiological data on secondary sepsis that allow for national and
international comparisons are lacking. Since 2016, new clinical diagnostic tools
that are also suitable for sepsis screening outside of intensive care units have
been available. To counteract the high mortality of nosocomial sepsis, new
approaches to the early identification of at-risk patients are needed. An
adequate blood culture sampling rate and a high preanalytical quality should be
established as a basis for quality assurance, especially in the field of
nosocomial bloodstream infections; otherwise, there is a risk of surveillance
bias. Data from laboratory-based antibiotic resistance surveillance on MRSA in
blood culture isolates have shown a downward trend over the last 4 years. In Gram
negative pathogens, a relatively stable resistance situation has been observed
over this period for many of the pathogen-antibiotic combinations.
PMID- 29644443
TI - In-Situ Molecular Vapor Composition Measurements During Lyophilization.
AB - PURPOSE: Monitoring process conditions during lyophilization is essential to
ensuring product quality for lyophilized pharmaceutical products. Residual gas
analysis has been applied previously in lyophilization applications for leak
detection, determination of endpoint in primary and secondary drying, monitoring
sterilization processes, and measuring complex solvents. The purpose of this
study is to investigate the temporal evolution of the process gas for various
formulations during lyophilization to better understand the relative extraction
rates of various molecular compounds over the course of primary drying. METHODS:
In this study, residual gas analysis is used to monitor molecular composition of
gases in the product chamber during lyophilization of aqueous formulations
typical for pharmaceuticals. Residual gas analysis is also used in the
determination of the primary drying endpoint and compared to the results obtained
using the comparative pressure measurement technique. RESULTS: The dynamics of
solvent vapors, those species dissolved therein, and the ballast gas (the gas
supplied to maintain a set-point pressure in the product chamber) are observed
throughout the course of lyophilization. In addition to water vapor and nitrogen,
the two most abundant gases for all considered aqueous formulations are oxygen
and carbon dioxide. In particular, it is observed that the relative
concentrations of carbon dioxide and oxygen vary depending on the formulation, an
observation which stems from the varying solubility of these species. This result
has implications on product shelf life and stability during the lyophilization
process. CONCLUSIONS: Chamber process gas composition during lyophilization is
quantified for several representative formulations using residual gas analysis.
The advantages of the technique lie in its ability to measure the relative
concentration of various species during the lyophilization process. This feature
gives residual gas analysis utility in a host of applications from endpoint
determination to quality assurance. In contrast to other methods, residual gas
analysis is able to determine oxygen and water vapor content in the process gas.
These compounds have been shown to directly influence product shelf life. With
these results, residual gas analysis technique presents a potential new method
for real-time lyophilization process control and improved understanding of
formulation and processing effects for lyophilized pharmaceutical products.
PMID- 29644445
TI - [Perioperative onset of sarcoidosis : Rare differential diagnosis of a difficult
airway].
AB - Sarcoidosis is a rare multisystemic chronic inflammatory condition. Typically,
there is a big discrepancy between the patient's subjectively perceived symptoms
and the presence of clinical signs. Due to the high number of potential sites of
onset (e. g. larynx, lungs, heart, liver, kidneys) the affected organs may show
functional restrictions that are relevant for decisions related to anesthesia.
Therefore, an anesthetists working in a clinical setting should be familiar with
the special needs of this patient collective. This article describes the onset of
sarcoidosis in a case of an unexpected difficult airway.
PMID- 29644444
TI - [S3 guidelines on intensive medical care of cardiac surgery patients :
Hemodynamic monitoring and cardiovascular system-an update].
AB - An update of the S3- guidelines for treatment of cardiac surgery patients in the
intensive care unit, hemodynamic monitoring and cardiovascular system was
published by the Association of Scientific Medical Societies in Germany (AWMF) in
January 2018. This publication updates the guidelines from 2006 and 2011. The
guidelines include nine sections that in addition to different methods of
hemodynamic monitoring also reviews the topic of volume therapy as well as
vasoactive and inotropic drugs. Furthermore, the guidelines also define the goals
for cardiovascular treatment. This article describes the most important
innovations of these comprehensive guidelines.
PMID- 29644446
TI - Effective Identification of Similar Patients Through Sequential Matching over ICD
Code Embedding.
AB - Evidence-based medicine often involves the identification of patients with
similar conditions, which are often captured in ICD (International Classification
of Diseases (World Health Organization 2013)) code sequences. With no satisfying
prior solutions for matching ICD-10 code sequences, this paper presents a method
which effectively captures the clinical similarity among routine patients who
have multiple comorbidities and complex care needs. Our method leverages the
recent progress in representation learning of individual ICD-10 codes, and it
explicitly uses the sequential order of codes for matching. Empirical evaluation
on a state-wide cancer data collection shows that our proposed method achieves
significantly higher matching performance compared with state-of-the-art methods
ignoring the sequential order. Our method better identifies similar patients in a
number of clinical outcomes including readmission and mortality outlook. Although
this paper focuses on ICD-10 diagnosis code sequences, our method can be adapted
to work with other codified sequence data.
PMID- 29644447
TI - Genome-wide identification of hexokinase gene family in Brassica napus:
structure, phylogenetic analysis, expression, and functional characterization.
AB - MAIN CONCLUSION: Genome-wide identification, expression analysis, and functional
characterization of previously uncharacterized hexokinase family of oil crop,
Brassica napus, underscore the importance of this gene family in plant growth and
development. In plants, the multi-gene family of dual-function hexokinases (HXKs)
plays important roles in sugar metabolism and sensing that affect growth and
development. Rapeseed (Brassica napus L.) is an important oil crop; however,
little is known about the B. napus HXK gene family. We identified 19 putative
HXKs in B. napus genome. B. rapa and B. oleracea, the two diploid progenitors of
B. napus, contributed almost equally to the BnHXK genes. Phylogenetic analysis
divided the 19 BnHXKs into four groups. The exon-intron structures of BnHXKs
share high similarity to those of HXKs in Arabidopsis and rice. The group III and
IV BnHXKs are highly expressed in roots, whereas group I members preferentially
express in leaves. Analysis of seed transcriptomes at different developmental
stages showed that most of group I and IV HXKs are highly expressed 2-weeks after
pollination (2WAP), compared to 4WAP for group III. BnHKXs are differentially
expressed in susceptible and tolerant B. napus cultivars after fungal infection,
suggesting the possible involvement in defense response. We generated rapeseed
RNAi lines for BnHXK9, a member of relatively less characterized group IV, by
pollen-mediated gene transformation. The seedlings of BnHXK9-RNAi lines showed
delayed growth compared to the wild type. The RNAi plants were dwarf with curly
leaves, suggesting the involvement of BnHXK9 in plant development. Collectively,
our findings provides a comprehensive account of BnHXK gene family in an
important crop and a starting point for further elucidation of their roles in
sugar metabolism and sensing, as well as plant growth and development.
PMID- 29644448
TI - Total mercury, methyl mercury, and heavy metal concentrations in Hyeongsan River
and its tributaries in Pohang city, South Korea.
AB - Heavy metal contamination in aquatic systems is a big problem in many areas
around the world. In 2016, high mercury concentrations were reported in bivalves
(Corbicula leana) and sediments near the confluence of the Hyeongsan River and
Chilseong Creek located in Pohang, a steel industrial city in the south-east
coast of the Korean peninsula. Given that both the Chilseong and Gumu creeks run
through the Pohang industrial complex and ultimately flow to the Hyeongsan River,
it is imperative to determine if the industrial effluents have any impact on the
mercury contamination in these two streams and the Hyeongsan River. In this work,
we investigated the concentration levels of different heavy metals using cold
vapor atomic fluorescence spectroscopy and inductively coupled plasma-mass
spectroscopy. The metal concentration in the water samples from the Hyeongsan
River, Gumu Creek, and Chilseong Creek did not exceed the limits for drinking
water quality set by the US EPA and World Health Organization. However, the
sediment samples were found to be heavily contaminated by Hg with levels
exceeding the toxic effect threshold. Gumu Creek was found to be heavily
contaminated. The concentrations of the different heavy metals increased
downstream, and the samples collected from the sites in the Hyeongsan River near
the Gumu Creek, an open channel for wastewater discharge of companies in the
Pohang Industrial Complex, showed higher contamination levels, indicating that
the effluents from the industrial complex are a possible source of contamination
in the river.
PMID- 29644449
TI - Predictive performance of dual modality of computed tomography angiography and
intravascular ultrasound for no-reflow phenomenon after percutaneous coronary
stenting in stable coronary artery disease.
AB - Attenuated plaque on intravascular ultrasound (IVUS) and low attenuation plaque
on computed tomography angiography (CTA) are associated with no-reflow phenomenon
during percutaneous coronary intervention (PCI). However, evaluation by a single
modality has been unable to satisfactorily predict this phenomenon. We
investigated whether the combination of IVUS and CTA findings can ameliorate the
predictive potential for no-reflow phenomenon after stent implantation during PCI
in stable coronary artery disease (CAD). A total of 988 lesions of 707 stable CAD
patients who underwent coronary CTA before PCI were enrolled. PCI was performed
with preprocedural IVUS and stent implantation. As for plaque characters, very
low attenuation plaque (CTA v-LAP) whose minimum density was < 0 Hounsfield units
on CTA and attenuated plaque (IVUS AP) on IVUS were evaluated. No-reflow
phenomenon was observed in 22 lesions (2.2%) of 19 patients (2.7%). Both CTA v
LAP and IVUS AP were much more frequently observed in patients with no-reflow
phenomenon. Positive (PPV) and negative predictive values (NPV) and accuracy for
prediction of no-reflow were almost equivalent between CTA v-LAP (13.2, 99.6, and
87.0%) and IVUS AP (15.7, 99.8, and 89.0%). The combination of CTA v-LAP and IVUS
AP markedly ameliorated PPV (31.7%) without deterioration of NPV (99.7%) and
increased the diagnostic accuracy (95.5%). These findings showed that the
combination of CTA v-LAP and IVUS AP improved the predictive power for no-reflow
phenomenon after coronary stenting in stable CAD patients, suggesting the
usefulness of combined estimation by using CTA and IVUS for predicting no-reflow
phenomenon during PCI in clinical practice.
PMID- 29644450
TI - Monitoring and Management of Toxicities of Novel B Cell Signaling Agents.
AB - PURPOSE REVIEW: B cell signaling agents, including ibrutinib, idelalisib, and the
BCL-2 inhibitor venetoclax have become an integral part of therapy for patients
with non-Hodgkin's lymphomas. The toxicity profiles of these medications is
distinct from chemoimmunotherapy. Here, we will review the mechanism of action of
these drugs, their efficacy, and toxicity management. RECENT FINDINGS: Ibrutinib
use is associated with increased risk of atrial fibrillation and bleeding which
can be managed using dose interruptions and modifications. Patients on idelalisib
require close clinical and frequent laboratory monitoring, particularly of liver
function tests to ensure there are no serious adverse events. Monitoring for
infections is important in patients on both idelalisib and ibrutinib. Venetoclax
requires close clinical and laboratory monitoring to prevent significant tumor
lysis. Targeted B cell receptor therapies each have unique side effect profiles
which require careful clinical monitoring. As we continue to use these therapies,
optimal management strategies will continue to be elucidated.
PMID- 29644451
TI - Emerging Therapies in Metastatic Prostate Cancer.
AB - PURPOSE OF REVIEW: In the last decade, there have been multiple landmark
therapeutic advances for the treatment of metastatic prostate cancer, both in the
castration-resistant and hormone-sensitive setting. In this review, we highlight
recent progress and ongoing trials for metastatic prostate cancer, including
advances in chemotherapy, androgen receptor-directed therapy, targeted therapies,
and immunotherapy. RECENT FINDINGS: Several landmark studies for men with
metastatic hormone-sensitive prostate cancer demonstrated improvement in overall
survival with the addition of docetaxel chemotherapy or abiraterone acetate to
standard androgen deprivation therapy. A single-arm phase 2 study of the PARP
inhibitor olaparib demonstrated high response rates and more favorable
progression-free and overall survival for men with metastatic castration
resistant prostate cancer and DNA repair defects treated with olaparib compared
with men without DNA repair defects. Multiple ongoing clinical trials are
investigating novel hormonal therapies and combinations of chemotherapy, targeted
small molecules, immunotherapy, and radiopharmaceuticals. Progress continues to
be made in the treatment of metastatic prostate cancer, and ongoing clinical
trials continue to investigate novel agents and approaches to treatment.
PMID- 29644452
TI - Implications of non-accidental trauma on resource utilization and outcomes.
AB - PURPOSE: The purpose was to compare the resource utilization and outcomes between
patients with suspected (SUSP) and confirmed (CONF) non-accidental trauma (NAT).
METHODS: The institutional trauma registry was reviewed for patients aged 0-18
years presenting from 2007 to 2012 with a diagnosis of suspicion for NAT.
Patients with suspected and confirmed NAT were compared. RESULTS: There were 281
patients included. CONF presented with a higher heart rate (142 +/- 27 vs 128 +/-
23 bpm, p < 0.01), lower systolic blood pressure (100 +/- 18 vs 105 +/- 16 mm Hg,
p = 0.03), and higher Injury Severity Score (15 +/- 11 vs 9 +/- 5, p < 0.01).
SUSP received fewer consultations (1.6 +/- 0.7 vs 2.4 +/- 1.1, 95% CI - 0.58 to -
0.09, p < 0.01) and had a shorter length of stay (1.6 +/- 1.3 vs 7.8 +/- 9.8
days, 95% CI - 4.58 to - 0.72, p < 0.01). SUSP were more often discharged home
(OR 94.22, 95% CI: 21.26-417.476, p < 0.01). CONF had a higher mortality rate
(8.2 vs 0%, p < 0.01). CONCLUSIONS: Patients with confirmed NAT present with more
severe injuries and require more hospital resources compared to patients in whom
NAT is suspected and ruled out.
PMID- 29644454
TI - Letter to the Editor concerning: "Results of pectus excavatum correction using a
minimally invasive approach with subxyphoid incision and three-point fixation".
PMID- 29644453
TI - Analysis of factors predicting surgical intervention and associated costs in
pediatric breast masses: a single center study.
AB - PURPOSE: Finding a breast mass in a child provokes apprehension in parents,
especially in those with a family history of breast cancer. Clinicians must
decide between serial imaging or biopsy of the mass. Herein, we identify
management differences in those with and without a positive family history, as
well as identify cost differences. METHODS: An institutional retrospective review
was performed of patients (2-18 years of age) with a diagnosis of breast mass.
Patient demographics, presentation, medical and surgical history, physical exam,
imaging, and pathologic diagnosis were collected. Cost data were acquired from
the pediatric health information system (PHIS). Costs were compared between
patients managed by biopsy versus serial ultrasounds. Bivariate analyses
including Pearson's Chi-square, student's t tests, and logistic regression were
performed. RESULTS: The probability of biopsy increases with age (p = 0.0001) and
female gender (p = 0.006). Biopsy rate is higher for larger masses (p < 0.0001),
growing size (p < 0.0001), and in patients with a positive family history of
breast cancer (p < 0.0001). The average cost of care for management with initial
excisional biopsy was $4491 versus those with serial ultrasounds ($986) (p <
0.0001). CONCLUSIONS: In patients with small lesions, even with a family history
of breast cancer, non-operative monitoring is a safe and cost-effective
alternative to invasive biopsy.
PMID- 29644455
TI - Neonatal independent predictors of severe NEC.
AB - PURPOSE: Necrotizing enterocolitis (NEC) is a severe neonatal disease. The
present study aimed to identify factors predisposing the development of severe
forms of NEC. METHODS: This retrospective study examined NEC patients in a single
centre between 2002 and 2015. Data concerning clinical characteristics,
therapeutic management as well as short-term outcomes were collected. We compared
the patients receiving successful medical treatment and those requiring surgical
intervention. Patients who underwent surgery were distinguished in three
subcategories. Bivariate and multivariate analyses were used for the statistical
analysis. RESULTS: We identified 155 patients in the study period. 102 were
treated conservatively and 53 required surgery. 8 received a primary peritoneal
drainage, 31 received a drainage and a subsequent laparotomy and 14 received a
laparotomy. Multivariate regression analysis identified a lower risk for surgery
with a later onset and higher serum pH values, whereas an increased risk with
higher C reactive Protein (CRP) levels at the onset. Pneumatosis intestinalis was
identified as a protective factor. Overall mortality was 6.4%, with higher
percentage in surgical NEC. CONCLUSION: This study suggests that a later onset is
a protective sign for the progression to surgery, whereas lower pH values and
higher CRP levels are prognostic factors associated with the need for surgery.
The line of treatment involving explorative laparotomy in case of perforation
seems to be rewarded by low morbidity and mortality rate.
PMID- 29644456
TI - Genetic background effects in quantitative genetics: gene-by-system interactions.
AB - Proper cell function depends on networks of proteins that interact physically and
functionally to carry out physiological processes. Thus, it seems logical that
the impact of sequence variation in one protein could be significantly influenced
by genetic variants at other loci in a genome. Nonetheless, the importance of
such genetic interactions, known as epistasis, in explaining phenotypic variation
remains a matter of debate in genetics. Recent work from our lab revealed that
genes implicated from an association study of toxin tolerance in Saccharomyces
cerevisiae show extensive interactions with the genetic background: most
implicated genes, regardless of allele, are important for toxin tolerance in only
one of two tested strains. The prevalence of background effects in our study adds
to other reports of widespread genetic-background interactions in model
organisms. We suggest that these effects represent many-way interactions with
myriad features of the cellular system that vary across classes of individuals.
Such gene-by-system interactions may influence diverse traits and require new
modeling approaches to accurately represent genotype-phenotype relationships
across individuals.
PMID- 29644458
TI - Erratum to: Development and Diseases of the Collecting Duct System.
PMID- 29644457
TI - An interplay between Shugoshin and Spo13 for centromeric cohesin protection and
sister kinetochore mono-orientation during meiosis I in Saccharomyces cerevisiae.
AB - Meiosis is a specialized cell division process by which haploid gametes are
produced from a diploid mother cell. Reductional chromosome segregation during
meiosis I (MI) is achieved by two unique and conserved events: centromeric
cohesin protection (CCP) and sister kinetochore mono-orientation (SKM). In
Saccharomyces cerevisiae, a meiosis-specific protein Spo13 plays a role in both
these centromere-specific events. Despite genome-wide association of Spo13, we
failed to detect its function in global processes such as cohesin loading,
cohesion establishment and homologs pairing. While Shugoshin (Sgo1) and protein
phosphatase 2A (PP2ARts1) play a central role in CCP, it is not fully understood
whether Spo13 functions in the process through a Sgo1- PP2ARts1-dependent or
independent mechanism. To delineate this and to find the relative contribution of
each of these proteins in CCP and SKM, we meticulously observed the sister
chromatid segregation pattern in the wild type, sgo1Delta, rts1Delta and
spo13Delta single mutants and in their respective double mutants. We found that
Spo13 protects centromeric cohesin through a Sgo1- PP2ARts1-independent
mechanism. To our surprise, we observed a hitherto unknown role of Sgo1 in SKM.
Further investigation revealed that Sgo1-mediated recruitment of aurora kinase
Ipl1 to the centromere facilitates monopolin loading at the kinetochore during
MI. Hence, this study uncovers the role of Sgo1 in SKM and demonstartes how the
regulators (Sgo1, PP2ARts1, Spo13) work in a coordinated manner to achieve
faithful chromosome segregation during meiosis, the failure of which leads to
aneuploidy and birth defects.
PMID- 29644459
TI - A phase II study of bevacizumab and irinotecan plus alternate-day S-1 as a second
line therapy in patients with metastatic colorectal cancer: the AIRS study.
AB - BACKGROUND: The aim of this single-arm phase II clinical trial was to evaluate
whether the alternate-day administration of S-1 plus irinotecan would reduce the
incidence of severe diarrhea in comparison to consecutive-day S-1 administration
(standard IRIS regimen) in second-line treatment for patients with metastatic
colorectal cancer. METHODS: Patients with metastatic colorectal cancer after
failure with first-line treatment of oxaliplatin and fluoropyrimidine were
enrolled. Irinotecan (150 mg/m2) and bevacizumab (5 mg/kg) were given
intravenously on day 1. Oral S-1 was administered on alternate days at a dose of
40-60 mg twice a day. Cycles were repeated every 2 weeks. The primary endpoint
was the incidence of grade >= 3 diarrhea. Our hypothesis set 21% as a threshold
incidence and 10% as an expected incidence from previous studies with one-sided
alpha 0.05. The secondary endpoints included the relative dose intensity,
progression-free survival, overall survival and other adverse events. RESULTS: A
total of 51 patients were enrolled. The incidence of grade >= 3 diarrhea was
15.7% (8/51). Other common grade >= 3 adverse events were neutropenia, anemia,
thrombocytopenia and fatigue were 13.7% (7/51), 5.9% (3/51), 2.0% (1/51) and 5.9%
(3/51), respectively. The relative dose intensities of irinotecan, bevacizumab,
and S-1 were 80.0, 86.8, and 77.7%, respectively. The median progression-free
survival and overall survival were 8.4 months (5.8-9.8) and 17.1 months (11.8
22.3). CONCLUSIONS: The alternate-day S-1 administration does not have
significant effectiveness to reduce diarrhea in patients who received second-line
treatment for metastatic colorectal cancer.
PMID- 29644460
TI - Phase II study of cisplatin/pemetrexed combined with bevacizumab followed by
pemetrexed/bevacizumab maintenance therapy in patients with EGFR-wild advanced
non-squamous non-small cell lung cancer.
AB - PURPOSE: This phase II study was performed to evaluate the efficacy and safety of
cisplatin/pemetrexed combined with 15 mg/kg of bevacizumab followed by
pemetrexed/bevacizumab maintenance therapy as first-line chemotherapy in advanced
non-squamous non-small cell lung cancer (NSCLC) limited to epidermal growth
factor receptor (EGFR)-wild type. PATIENTS AND METHODS: Fifty patients with
advanced or metastatic EGFR-wild type NSCLC aged < 75 years old were enrolled in
the study. The patients were treated with four cycles of cisplatin (75 mg/m2, day
1), pemetrexed (500 mg/m2, days 1), and bevacizumab (15 mg/kg, day 1), every 3
weeks, followed by pemetrexed plus bevacizumab maintenance until progression for
achieving a response over stable disease after induction chemotherapy. RESULTS:
Partial response and stable disease were observed in 35 (objective response rate:
70, 95% CI: 55.4-82.1%) and 9 patients, respectively, and 39 (78%) patients
received pemetrexed plus bevacizumab maintenance therapy. Median progression-free
survival and overall survival periods were 12.0 months (95% CI: 7.5-16.5 months)
and 31.0 months (95% CI: 22.2-39.8 months), respectively. Grade 3 adverse events
included neutropenia (14%), nausea (10%), anorexia (18%), and hypertension (8%).
Coagulation disorder was observed in one patient, but all of these events were
reversible and resulted in no treatment-related deaths. CONCLUSION: The
combination of cisplatin/pemetrexed/bevacizumab followed by
pemetrexed/bevacizumab maintenance therapy exhibited favorable efficacy and
manageable toxicity profiles in patients with EGFR-wild type non-squamous NSCLC
(UMIN-CTR number: UMIN000003645).
PMID- 29644461
TI - 26th Congress of the European Society for Pediatric Neurosurgery (ESPN) Bonn
Germany, 6-9 May 2018.
PMID- 29644464
TI - Heavy metal enrichment and ecological risk assessment of surface sediments in
Khorramabad River, West Iran.
AB - The ecological health of rivers has often been threatened in urbanized catchments
due to the expansion of industrial activities and the population growth.
Khorramabad River which flows through Khorramabad city, west of Iran, is an
example of such settings. The river water is used for agricultural purposes
downstream. In this study, the effect of Khorramabad city on heavy metal and
metalloid (Cu, Pb, Zn, Ni, Cr, and As) loads in Khorramabad River sediments was
investigated. To evaluate sediment pollution and potential adverse biological
effects, surface sediment samples were collected at selected locations along the
river and were characterized for their geochemical properties. Contamination
factor (CF), pollution load index (PLI), and ecological risk assessment (RI) were
calculated. Also, sediment quality guidelines (SQGs) were used to screen
contaminants of concern in the study area. The results showed that sediments were
moderately polluted, with stations located in more densely populated areas
showing higher pollution indicators. Copper, Zn, and Pb sources could be
attributed to urban wastewater, whereas Ni, Cr, and As had both natural and
anthropogenic sources. Moreover, ecological risk assessments showed that
sediments could be classified in the category of low risk. The results of the
present study showed the effect of anthropogenic activities on heavy metal loads
of the river sediments and these findings can be used to mitigate potential
impacts on the environment and human health.
PMID- 29644465
TI - Impact of one-to-one tutoring on fundamentals of laparoscopic surgery (FLS)
passing rate in a single center experience outside the United States: a
randomized controlled trial.
AB - BACKGROUND: Outside the US, FLS certification is not required and its teaching
methods are not well standardized. Even if the FLS was designed as "stand alone"
training system, most of Academic Institution offer support to residents during
training. We present the first systematic application of FLS in Italy. Our aim
was to evaluate the role of mentoring/coaching on FLS training in terms of the
passing rate and global performance in the search for resource optimization.
METHODS: Sixty residents in general surgery, obstetrics & gynecology, and urology
were selected to be enrolled in a randomized controlled trial, practicing FLS
with the goal of passing a simulated final exam. The control group practiced
exclusively with video material from SAGES, whereas the interventional group was
supported by a mentor. RESULTS: Forty-six subjects met the requirements and
completed the trial. For the other 14 subjects no results are available for
comparison. One subject for each group failed the exam, resulting in a passing
rate of 95.7%, with no obvious differences between groups. Subgroup analysis did
not reveal any difference between the groups for FLS tasks. CONCLUSION: We
confirm that methods other than video instruction and deliberate FLS practice are
not essential to pass the final exam. Based on these results, we suggest the
introduction of the FLS system even where a trained tutor is not available. This
trial is the first single institution application of the FLS in Italy and one of
the few experiences outside the US. Trial Number: NCT02486575 (
https://www.clinicaltrials.gov ).
PMID- 29644466
TI - Minimally invasive esophagectomy attenuates the postoperative inflammatory
response and improves survival compared with open esophagectomy in patients with
esophageal cancer: a propensity score matched analysis.
AB - BACKGROUND: Minimally invasive esophagectomy (MIE) for patients with esophageal
cancer has recently spread worldwide. However, whether MIE is less invasive has
not yet been fully evaluated. METHODS: We retrospectively analyzed data from 551
patients who underwent curative esophagectomy for esophageal cancer from 2005 to
2014: 145 patients underwent minimally invasive esophagectomy (MIE) and 406
patients underwent open transthoracic esophagectomy (OE). We compared
postoperative CRP levels with propensity score matching. In addition, long-term
outcomes were also compared between the groups. RESULTS: Operative time was
significantly longer, and intraoperative blood loss was significantly less in the
MIE group compared with the OE group. Although the incidence of postoperative
complications was similar between the 2 groups, postoperative serum CRP levels
during the first 3 and 5 postoperative days and peak postoperative CRP levels
were significantly lower after MIE versus OE (MIE vs. OE, median, 15.21 vs. 19.50
mg/dl; P < 0.001). The MIE group had significantly more favorable disease-free
survival (DFS) and overall survival (OS) rates than the OE group (3-year DFS
rate, 81.7 vs. 69.3%, log-rank P = 0.021; 3-year OS rate, 89.9 vs. 79.2%, log
rank P = 0.007). MIE was an independent prognostic factor for patients with
esophageal cancer. The incidence of regional lymph node recurrence was lower in
the MIE group. CONCLUSIONS: MIE significantly attenuated postoperative serum CRP
levels compared with OE. MIE could contribute to improved survival.
PMID- 29644467
TI - Proficiency-based preparation significantly improves FES certification
performance.
AB - BACKGROUND: The Fundamentals of Endoscopic Surgery (FES) certification has
recently been mandated by the American Board of Surgery but best methods for
preparing for the exam are lacking. Our previous work demonstrated a 40% pass
rate for PGY5 residents in our program. The purpose of this study was to
determine the effectiveness of a proficiency-based skills and cognitive
curriculum for FES certification. METHODS: Residents who agreed to participate (n
= 15) underwent an orientation session, followed by skills pre-testing using
three previously described models (Trus, Operation targeting task, and Kyoto) as
well as the actual FES skills exam (vouchers provided by the FES committee).
Participants then trained to proficiency on all three models for the skills
curriculum and completed the FES online didactic material for the cognitive
curriculum. Finally, participants post-tested on the models and took the actual
FES certification exam. Values are mean +/- SD; p < 0.05 was considered
significant. RESULTS: Of 15 residents who participated, 8 (53%) passed the FES
skills exam at baseline. Participants required 2.7 +/- 1.3 h to achieve
proficiency on the models and approximately 3 h to complete the cognitive
curriculum. At post-test, 14 (93%, vs. pre-test 53%, p = 0.041) passed the FES
skills exam. 14 (93%) passed the FES cognitive exam and 13/15 (87%) passed both
the skills and cognitive exam and achieved FES certification. CONCLUSIONS: Our
traditional clinical endoscopy curricula were not sufficient for senior residents
to pass the FES exam. Implementation of a proficiency-based flexible endoscopy
curriculum using bench-top models and the FES online materials was feasible and
effective for the majority of learners. Importantly, with a modest amount of
additional training, 87% of our trainees were able to pass the FES examination,
which represents a significant improvement for our program. We expect that
additional refinements of this curriculum may yield even better results for
preparing future residents for the FES examination.
PMID- 29644468
TI - [Pharmacological treatment of osteoarthritis-related pain].
AB - Joint pain due to osteoarthritis (OA) is often severe and disabling and affects a
large proportion of the aging population impairing daily living and quality of
life. Numerous pharmacological treatment approaches are available. Including
major OA guidelines this review presents the current evidence of pharmacological
therapies in OA-related pain and covers topical, oral and intraarticular
treatment approaches. In patients with mild OA topical nonsteroidal
antiinflammatory drugs (NSAIDs) can be recommended. Topical capsaicin can be used
when other treatments are ineffective or contraindicated. In patients with
moderate to severe OA oral NSAIDs are suggested at the lowest effective dose for
the shortest possible duration to control symptoms. Importantly, drug-related
side effects and gastrointestinal, cardiovascular and renal comorbidities need to
be taken into account. In patients with multiple-joint OA and high risk of NSAID
induced adverse events duloxetine can be considered. The evidence of metamizole,
symptomatic slow-acting drugs in osteoarthritis and other nutritional supplements
in the treatment of OA pain is uncertain and the use of opioids is not routinely
recommended. In patients suffering from severe OA-related pain intraarticular
injections with glucocorticoids can be suggested to achieve short-term pain
relief. Evidence for interventional approaches using hyaluronic acid or platelet
rich plasma is uncertain. Yet, the efficacy of pharmacological therapies in OA
related pain is often inconsistent and severe adverse events might occur. Thus,
critical use of the different treatment options considering patient-related
comorbidities and nonpharmacological therapies is of major importance.
PMID- 29644469
TI - [Cognitive bias research and depression in chronic pain].
AB - Chronic back pain is of enormous health and economic relevance for industrialized
countries because a small group of patients with chronic disease is responsible
for a large proportion of medical costs. The prevention of chronic disease is
therefore a primary goal in the treatment of patients with acute low back pain.
Psychological factors are the central risk factors for later chronification.
Above all, especially depressive symptoms and occupational distress, as well as
various maladaptive forms of pain management are central risk factors. It remains
unclear which exact cognitive mechanisms are involved in the maintenance and
reinforcement of depression and pain-related disability. In this review, the
current results of cognitive bias research and theoretical models are presented
and summarized regarding the relationship between chronic pain and depression.
Finally, the clinical implications and recommendations for clinical research will
be presented.
PMID- 29644471
TI - Assessment of psychological distress among Asian adolescents and young adults
(AYA) cancer patients using the distress thermometer: a prospective, longitudinal
study.
AB - PURPOSE: Since few studies have investigated whether the Distress Thermometer
(DT) in Asian adolescent and young adult (AYA) cancer patients (between 15 and 39
years), we investigated the appropriateness of the DT as a screening tool for
psychological symptom burden in these AYA patients and to evaluate AYA patients'
distress across a trajectory of three time points longitudinally over a 6-month
period. METHODS: This was a prospective, longitudinal study. Recruited Asian AYA
patients were diagnosed with lymphomas, sarcomas, primary brain malignancies, or
germ cell tumors. Patients completed the DT, PedsQL Generic Core Scales, and the
Rotterdam Symptom Checklist. Data were analyzed using STATA version 15. RESULTS:
Approximately half of the patients experienced clinically significant DT distress
(distress score >= 4) early in their cancer journey with 43.1% patients
presenting with distress at time of diagnosis and 47.7% patients 1 month after
diagnosis. Among AYA patients > 24 years old, worry (68.3%), insurance/financial
issues (61%), treatment decisions (43.9%), work/school issues (41.5%),
nervousness (41.5%), and sadness (41.5%) were the top five identified problems.
On the other hand, the top five identified problems among AYA <= 24 years were
worry (54.2%), nervousness (41.7%), bathing/dressing problems (37.5%),
work/school issues (33.3%), and fatigue (33.3%). DT scores were significantly
associated with certain psychological symptom burden items such as worry (p <
0.001), depressed mood (p = 0.020), and nervousness (p = 0.015). CONCLUSION: The
DT is a useful screening tool for psychological distress in AYA cancer patients
with clinically significant distress being identified in the early phases of the
cancer journey.
PMID- 29644470
TI - Ruthenium(II)-N-alkyl phenothiazine complexes as potential anticancer agents.
AB - In recent years, the search for effective anticancer compounds based on
transition metal complexes has been the focus of medical investigations. The
synergy between the ruthenium(II) and N-alkylphenothiazine counter-ions
(chlorpromazine hydrochloride, thioridazine hydrochloride and trifluoperazine
dihydrochloride, respectively) through the formation of three different complexes
(1-3) was investigated. We explored whether the selected counter-ions and
complexes might affect redox homeostasis and genome integrity of normal human
blood cells, and induce an inhibition of Na+/K+-ATPase and AChE at
pharmacologically relevant doses. Our results have shown that counter-ions and
complexes did not affect the activity of Na+/K+-ATPase, while AChE activity was
inhibited in a dose-dependent manner. All investigated compounds disturbed the
viability and redox homeostasis of lymphocytes. Complexes 1 and 2 displayed
potent cytotoxic and prooxidant action while complex 3 behaved as a weaker
genotoxic inducer. Still, the tested complexes appeared to be less genotoxic and
more cytostatic than the corresponding counter-ions. The effects of selected
complexes were also tested in PC12 and U2OS cancer cells with special attention
being given to the ability of phenothiazines to affect dopamine D2 receptors.
Using the confocal laser scanning microscopy, we observed that all the complexes
reduced cell viability. Although all investigated complexes have been bound to
the dopamine receptor D2-eGFP, only complex 3 reduced its surface density and
increased its lateral mobility in investigated cell lines. Albeit the role of
alternative targets for complex 3 cannot be ruled out, its effects should be
further examined as potential treatment strategy against cancer cells that
overexpress D2.
PMID- 29644472
TI - Pictorial Representation of Illness and Self Measure-Revised 2 (PRISM-R2): an
effective tool to assess perceived burden of thyroid cancer in mainland China.
AB - PURPOSE: Thyroid cancer, especially differentiated thyroid cancer (DTC), is a
highly prevalent chronic disease that is known to cause considerable distress,
related both to the high recurrence and treatment of the disease. The Pictorial
Representation of Illness and Self Measure-Revised 2 (PRISM-R2) has been
developed as a visual measure to assess suffering. The aim of this study was to
evaluate the ability of the instrument to identify patients with DTC with
different levels of suffering who may need additional support care. METHODS:
Participants were 338 adult inpatients with DTC who were accepting the
radioiodine for remnant ablation in the nuclear medicine department. The
assessment tools included the following: (1) the PRISM-R2, yielding Self-Illness
Separation (SIS) and Illness Perception Measure (IPM); (2) distress thermometer
(DT), a measure of thyroid cancer-related distress; (3) posttraumatic growth
inventory (PTGI); (4) 12-item Short-Form health survey (SF-12); and (5) the
Supportive Care Needs Survey Short Form (SCNS-SF34). In addition, the content
validity of PRISM-R2 was tested using the patients' comments. RESULTS: SIS and
IPM showed medium intercorrelation (r = -.482; p < 0.01), and both of them showed
strongly significant associations with DT. SIS also showed significant
correlations with one factor of PTGI (personal strength), four factors of SF-12
(general health, role-emotional, mental health, and vitality), and one factor of
SCNS-SF34 (psychological needs). IPM showed significant correlations with five
factors of SF-12 and all the factors of SCNS-SF34. No correlation was found
between IPM and PTGI. CONCLUSIONS: PRISM-R2 is a well-accepted and understandable
tool to assess the psychological burden of patients with thyroid cancer in
Chinese settings. It may be useful to guide or evaluate the interventions for the
patients.
PMID- 29644473
TI - Attention and executive functions computer training for attention
deficit/hyperactivity disorder (ADHD): results from a randomized, controlled
trial.
AB - Multicenter randomized clinical superiority single-blind trial investigated the
effect of a computer training program targeting multiple cognitive functions.
Seventy children with ADHD, aged 6-13, were randomized to intervention or control
group. The intervention group used ACTIVATETM for 8 weeks and both groups
received treatment as usual and were assessed in regard to cognitive functions,
symptoms, behavioral and functional outcome measures after 8, 12 and 24 weeks.
There was no significant effect on the primary outcome, sustained attention (beta
= - 0.047; CI - 0.247 to 0.153) or the secondary outcomes [parent-rated ADHD-RS,
beta = - 0.037; CI (- 0.224 to 0.150); teacher-rated-ADHD-RS, beta = 0.093; CI (-
0.107 to 0.294); parent-rated-BRIEF, beta = - 0.119; CI (- 0.307 to 0.069); and
teacher-rated-BRIEF, beta = 0.136; CI (- 0.048 to 0.322)]. This multicenter
randomized clinical trial found no significant beneficial effects of cognitive
training using the computer program ACTIVATE on the primary or secondary outcome
measures in children with ADHD. Nevertheless, our study was likely underpowered
to detect small to moderate changes.Trial registration ClinicalTrials.gov:
NCT01752530, date of registration: December 10, 2012.
PMID- 29644474
TI - Risk factors for parental psychopathology: a study in families with children or
adolescents with psychopathology.
AB - The parents of children with psychopathology are at increased risk for
psychiatric symptoms. To investigate which parents are mostly at risk, we
assessed in a clinical sample of families with children with psychopathology,
whether parental symptom scores can be predicted by offspring psychiatric
diagnoses and other child, parent and family characteristics. Parental
depressive, anxiety, avoidant personality, attention-deficit/hyperactivity
(ADHD), and antisocial personality symptoms were measured with the Adult Self
Report in 1805 mothers and 1361 fathers of 1866 children with a psychiatric
diagnosis as assessed in a child and adolescent psychiatric outpatient clinic. In
a multivariate model, including all parental symptom scores as outcome variables,
all offspring psychiatric diagnoses, offspring comorbidity and age, parental age,
parental educational attainment, employment, and relationship status were
simultaneously tested as predictors. Both 35.7% of mothers and 32.8% of fathers
scored (sub)clinical for at least one symptom domain, mainly depressive symptoms,
ADHD symptoms or, only in fathers, avoidant personality symptoms. Parental
psychiatric symptoms were predicted by unemployment. Parental depressive and ADHD
symptoms were further predicted by offspring depression and offspring ADHD,
respectively, as well as by not living together with the other parent. Finally,
parental avoidant personality symptoms were also predicted by offspring autism
spectrum disorders. In families with children referred to child and adolescent
psychiatric outpatient clinics, parental symptom scores are associated with
adverse circumstances and with similar psychopathology in their offspring. This
signifies, without implying causality, that some families are particularly
vulnerable, with multiple family members affected and living in adverse
circumstances.
PMID- 29644475
TI - Electrophysiologic Toxicity of Chemoradiation.
AB - PURPOSE OF REVIEW: There is growing awareness of the link between oncology
treatments and cardiovascular (CV) complications. This has led to the development
of cardio-oncology, a specialty aimed at managing CV risk and disease in cancer
patients and survivors. Cardiac arrhythmias are potential adverse CV
complications of cancer treatments; however, these cardiotoxicities are often
underappreciated due to the uncertain arrhythmogenic mechanisms of various
chemotherapeutic agents. RECENT FINDINGS: Chemotherapeutic agents can induce
arrhythmias via direct electrophysiological effects on ion channels or
intracellular signaling pathways, or indirectly from cardiac tissue damage. As
more drugs are being linked to the development of arrhythmias, a deeper
understanding of the pathophysiology of their electrophysiological (EP) effects
will be necessary. Expanding research in this field has allowed for the
identification of novel agents with potential arrhythmogenic properties and the
development of preventative measures, early recognition, and closer surveillance
of patients more susceptible to these EP side effects.
PMID- 29644476
TI - Reconstructive Management Pearls for the Transgender Patient.
AB - PURPOSE OF REVIEW: A growing number of transgender patients are seeking gender
affirming genital reconstructive surgery (GRS). These complex procedures have
high complication rates. We describe common surgical pitfalls in GRS and
approaches for minimizing complications. RECENT FINDINGS: Penile inversion
vaginoplasty has been associated with excellent cosmetic and functional outcomes.
A robotic-assisted dissection may minimize risk of rectal injury. As a younger
transgender population chooses pubertal suppression, alternative sources for
lining the vaginal canal, such as enteric vaginoplasties, may be more widely
utilized. Since adoption of microvascular techniques in phalloplasty,
transmasculine individuals have potential for a sensate neophallus and
penetrative intercourse. Urethral complications are common and challenging to
manage; techniques using flap coverage may minimize ischemia-related strictures.
Innovations in prosthesis placement require adaptations to neophallus anatomy. A
growing number of transgender individuals are seeking genital reconstruction.
Ongoing innovation in surgical technique is needed to improve patient outcomes.
PMID- 29644477
TI - The Selective Use of Radiation Therapy in Rectal Cancer Patients.
AB - PURPOSE OF REVIEW: Colorectal cancer has a high global incidence, and standard
treatment employs a multimodality approach. In addition to cure, minimizing
treatment-related toxicity and improving the therapeutic ratio is a common goal.
The following article addresses the potential of omitting radiotherapy in select
rectal cancer patients. RECENT FINDINGS: Omission of radiotherapy in rectal
cancer is analyzed in the context of historical findings, as well as more recent
data describing risk stratification of stage II-III disease, surgical
optimization, imaging limitations, improvement in systemic chemotherapeutic
agents, and contemporary studies evaluating selective omission of radiotherapy. A
subset of rectal cancer patients exists that may be considered low to
intermediate risk for locoregional recurrence. With appropriate staging, surgical
technique, and possibly improved systemic therapy, it may be feasible to
selectively omit radiotherapy in these patients. Current imaging limitations as
well as evidence of increased locoregional recurrence following radiotherapy
omission lend us to continue supporting the standard treatment of approach of
neoadjuvant chemoradiation therapy followed by surgical resection until
additional improvements and prospective evidence can support otherwise.
PMID- 29644478
TI - Reconstruction of Membranous Urethral Strictures.
AB - PURPOSE OF REVIEW: Due to the proximity of the rhabdosphincter and cavernous
nerves to the membranous urethra, reconstruction of membranous urethral stricture
implies a risk of urinary incontinence and erectile dysfunction. To avoid these
complications, endoscopic management of membranous urethral strictures is
traditionally favored, and bulboprostatic anastomosis is reserved as the main
classical approach for open reconstruction of recalcitrant membranous urethral
stricture. The preference for the anastomotic urethroplasty among reconstructive
urologists is likely influenced by the familiarity and experience with trauma
related injuries. We review the literature focusing on the anatomy of membranous
urethra and on the evolution of treatments for membranous urethral strictures.
RECENT FINDINGS: Non-traumatic strictures affecting bulbomembranous urethra are
typically sequelae of instrumentation, transurethral resection of the prostate,
prostate cancer treatment, and pelvic irradiation. Being a different entity from
trauma-related injuries where urethra is not in continuity, a new understanding
of membranous urethral anatomy is necessary for the development of novel
reconstruction techniques. Although efficacious and durable to achieve urethral
patency, classical bulboprostatic anastomosis carries a risk of de-novo
incontinence and impotence. Newer and relatively less invasive reconstructive
alternatives include bulbar vessel-sparing intra-sphincteric bulboprostatic
anastomosis and buccal mucosa graft augmented membranous urethroplasty
techniques. The accumulated experience with these techniques is relatively
scarce, but several published series present promising results. These approaches
are especially indicated in patients with previous transurethral resection of the
prostate in which sparing of rhabdosphincter and the cavernous nerves is
important in attempt to preserve continence and potency. Additionally,
introduction of buccal mucosa onlay grafts could be especially beneficial in
radiation-induced strictures to avoid transection of the sphincter in continent
patients, and to preserve the blood supply to the urethra for incontinent
patients who will require artificial urinary sphincter placement. The evidence
regarding erectile functional outcomes is less solid and this item should be
furtherly investigated.
PMID- 29644480
TI - Correction to: Microleakage in class V composite and compomer restorations
following exposure to a colutory prescribed for the treatment of xerostomy.
AB - In the original publication of the article, one of the author names was published
incorrectly as Jose L. Calvo. The correct name is Jose L. Calvo-Guirado.
PMID- 29644479
TI - Design of a sustainable prepolarizing magnetic resonance imaging system for
infant hydrocephalus.
AB - OBJECTIVES: The need for affordable and appropriate medical technologies for
developing countries continues to rise as challenges such as inadequate energy
supply, limited technical expertise, and poor infrastructure persist. Low-field
magnetic resonance imaging (LF MRI) is a technology that can be tailored to meet
specific imaging needs within such countries. Its low power requirements and the
possibility of operating in minimally shielded or unshielded environments make it
especially attractive. Although the technology has been widely demonstrated over
several decades, it is yet to be shown that it can be diagnostic and improve
patient outcomes in clinical applications. We here demonstrate the robustness of
prepolarizing MRI (PMRI) technology for assembly and deployment in developing
countries for the specific application to infant hydrocephalus. Hydrocephalus
treatment planning and management requires only modest spatial resolution, such
that the brain can be distinguished from fluid-tissue contrast detail within the
brain parenchyma is not essential. MATERIALS AND METHODS: We constructed an
internally shielded PMRI system based on the Lee-Whiting coil system with a 22-cm
diameter of spherical volume. RESULTS: In an unshielded room, projection phantom
images were acquired at 113 kHz with in-plane resolution of 3 mm * 3 mm, by
introducing gradient fields of sufficient magnitude to dominate the 5000 ppm
inhomogeneity of the readout field. DISCUSSION: The low cost, straightforward
assembly, deployment potential, and maintenance requirements demonstrate the
suitability of our PMRI system for developing countries. Further improvement in
image spatial resolution and contrast of LF MRI will broaden its potential
clinical utility beyond hydrocephalus.
PMID- 29644481
TI - Efficacious Cefazolin Prophylactic Dose for Morbidly Obese Women Undergoing
Bariatric Surgery Based on Evidence from Subcutaneous Microdialysis and
Populational Pharmacokinetic Modeling.
AB - PURPOSE: To determine the efficacious cefazolin prophylactic dose for bariatric
surgery using free subcutaneous concentrations accessed by microdialysis after 2
g or 3 g i.v. bolus dosing to morbidly obese women and POPPK modeling. METHODS: A
POPPK model with variable plasma and subcutaneous tissue protein binding was
developed to simultaneously describe plasma and tissue data sets. The outcomes
was predicted for common surgical site infection (SSI) bacteria over 3, 4, 5 and
6 h periods postdose, as probability of target attainment (PTA) using Monte Carlo
simulation. RESULTS: CFZ 2 g warrant up to 5 h SSI prophylaxis for bacteria with
MICs <=1 mg/L such as Escherichia coli and Staphylococcus aureus. For species
such as Klebsiella pneumoniae, which present MIC distribution frequency of 2
mg/L, the maintenance of PTA >= 90% occurs with a 3 g dose for surgeries lasting
up to 5 h, and 2 g dose provide an adequate response up to 4 h (PTA of 89%).
CONCLUSIONS: Effectiveness of CFZ 2 g is similar to 3 g against bacteria with a
MIC up to 2 mg/L, especially if the surgery does not last for more than 4 h.
PMID- 29644483
TI - Studies of abnormalities of the lower esophageal sphincter during esophageal
emptying based on a fully coupled bolus-esophageal-gastric model.
AB - The aim of this work was to develop a fully coupled bolus-esophageal-gastric
model based on the immersed boundary-finite element method to study the process
of esophageal emptying across the esophagogastric junction (EGJ). The model
included an esophageal segment, an ellipsoid-shaped stomach, a bolus, and a
simple model of the passive and active sphincteric functions of the lower
esophageal sphincter (LES). We conducted three sets of case studies: (1) the
effect of a non-relaxing LES; (2) the influence of the tissue anisotropy in the
form of asymmetrical right- and left-sided compliance of the LES segment; and (3)
the influence of LES and gastric wall stiffness on bulge formation of the distal
esophageal wall. We found that a non-relaxing LES caused sustained high wall
stress along the LES segment and obstruction of bolus emptying. From the
simulations of tissue anisotropy, we found that the weaker side (i.e., more
compliant) of the LES segment sustained greater deformation, greater wall shear
stress, and a greater high-pressure load during bolus transit. In the third set
of studies, we found that a right-sided bulge in the esophageal wall tends to
develop during esophageal emptying when LES stiffness was decreased or gastric
wall stiffness was increased. Hence, the bulge may be partly due to the
asymmetric configuration of the gastric wall with respect to the esophageal tube.
Together, the observations from these simulations provide insight into the
genesis of epiphrenic diverticula, a complication observed with esophageal
motility disorders. Future work, with additional layers of complexity to the
model, will delve into the mechanics of gastroesophageal reflux and the effects
of hiatus hernia on EGJ function.
PMID- 29644484
TI - Intracranial control and survival outcome of tyrosine kinase inhibitor (TKI)
alone versus TKI plus radiotherapy for brain metastasis of epidermal growth
factor receptor-mutant non-small cell lung cancer.
AB - INTRODUCTION: The efficacy of tyrosine kinase inhibitors (TKIs) with and without
radiotherapy (RT) has not been determined in patients with brain metastases from
epidermal growth factor receptor-mutant TKI naive non-small cell lung cancer
(NSCLC). METHODS: Between 2008 and 2016, 586 patients were diagnosed with NSCLC
and treated with TKIs at a hospital in Seoul, South Korea; 81 of these patients
met the eligibility criteria for our study. Outcomes analyzed included
intracranial progression (ICP), neurological death, and overall survival (OS).
RESULTS: The 2-year cumulative incidence of ICP was 36.5% in the TKI plus RT
group and 62.2% in the TKI alone group (P = 0.006). The chronological pattern
analysis indicated that 64.3% of ICP developed within 12 months of the start of
TKI treatment in the TKI alone group. The multivariate analysis revealed that
treatment group (P = 0.003) and duration of TKI treatment <= 12 months (P <
0.001) were significantly associated with ICP. However, no significant
differences were observed in the 2-year OS rate (P = 0.267) or the 2-year
cumulative incidence of neurological death (P = 0.740). CONCLUSIONS: Cumulative
incidence of ICP was significantly lower with TKI plus RT than with TKI alone;
however, there was no significant difference in OS or neurological death.
Deferring brain RT may not compromise neurologic and survival outcome in selected
patients, but close magnetic resonance imaging follow-up is recommended for
patients who defer brain RT.
PMID- 29644482
TI - Metabolic syndrome and the decreased levels of uric acid by leflunomide favor
redox imbalance in patients with rheumatoid arthritis.
AB - Oxidative stress plays a role in the pathophysiology of rheumatoid arthritis
(RA). The aim of the present study was to verify the influence of metabolic
syndrome (MetS) and disease-modifying antirheumatic drugs on nitrosative and
oxidative biomarkers in patients with RA. A total of 177 patients with RA and 150
healthy volunteers participated in this study, which measured lipid
hydroperoxides, advanced oxidation protein products (AOPP), nitric oxide
metabolites (NOx), carbonyl protein, total radical-trapping antioxidant parameter
(TRAP), uric acid (UA), and C-reactive protein (CRP). NOx and the NOx/TRAP ratio
were significantly increased in RA, while no significant differences in lipid
hydroperoxides, AOPP, UA, and TRAP levels were found between both groups.
Treatment with leflunomide was associated with increased levels of carbonyl
protein, and lowered levels in TRAP and UA, while the NOx/TRAP ratio further
increased. NOx and the NOx/TRAP ratio were significantly higher in women than in
men, while TRAP and UA were significantly lower in women. MetS was accompanied by
increased AOPP and UA levels. RA was best predicted by increased NOx/TRAP ratio,
CRP, and BMI. In conclusion, our data demonstrated that NOx and NOx/TRAP are
strongly associated with RA physiopathology. Our findings suggest that inhibition
of iNOS may become an interesting therapeutic approach for the treatment of RA.
In addition, the presence of MetS and a decrease in levels of UA by leflunomide
favor redox imbalance in RA patients. More studies are needed to evaluate the
impact of antioxidant capacity reduction on RA progression.
PMID- 29644485
TI - Acceptance and compliance of TTFields treatment among high grade glioma patients.
AB - BACKGROUND: Tumor treating fields (TTFields) significantly prolong both
progression-free and overall survival in patients with newly diagnosed
glioblastoma (GBM). TTFields are delivered to the brain tumor via skin transducer
arrays and should be applied for a minimum of 18 h per day (>= 75% compliance).
This may cause limited acceptance by patients because of obstacles in daily
routine. So far, there are limited data on factors influencing therapy acceptance
and compliance. METHODS: In this retrospective study, fourty-one patients with
primary GBM or recurrent high grade glioma (rHGG) have been treated with TTFields
in our department. Compliance reports were generated at the monthly routine check
of the device. We investigated demographic data, stage of disease and therapy
duration in regard to treatment compliance. RESULTS: Thirty percent of patients
with primary diagnosis of GBM were informed about TTFields. Acceptance rate among
these patients was 36%. In this study, TTFields were prescribed in newly
diagnosed GBM patients (57%) and in rHGG. Mean treatment compliance was 87% in
the total population independent of age, sex and stage of disease. Compliance was
not negatively correlated with time on treatment. CONCLUSION: TTFields are
effective in newly diagnosed GBM, therefore acceptance and compliance is
important for GBM treatment. We experienced moderate acceptance rate for
TTFields, which is influenced by factors such as social support, comorbidities
and independence in daily life. Overall therapy compliance lies above 75% and is
not influenced by age, sex, stage of disease or duration of therapy. Improved
patient consultation strategies will increase acceptance and compliance for
better outcome.
PMID- 29644486
TI - Analysis and forecasting of municipal solid waste in Nankana City using geo
spatial techniques.
AB - The objective of this study was to analyze and forecast municipal solid waste
(MSW) in Nankana City (NC), District Nankana, Province of Punjab, Pakistan. The
study is based on primary data acquired through a questionnaire, Global
Positioning System (GPS), and direct waste sampling and analysis. Inverse
distance weighting (IDW) technique was applied to geo-visualize the spatial trend
of MSW generation. Analysis revealed that the total MSW generated was 12,419,636
kg/annum (12,419.64 t) or 34,026.4 kg/day (34.03 t), or 0.46 kg/capita/day
(kg/cap/day). The average wastes generated per day by studied households,
clinics, hospitals, and hotels were 3, 7.5, 20, and 15 kg, respectively. The
residential sector was the top producer with 95.5% (32,511 kg/day) followed by
commercial sector 1.9% (665 kg/day). On average, high-income and low-income
households were generating waste of 4.2 kg/household/day (kg/hh/day) and 1.7
kg/hh/day, respectively. Similarly, large-size families were generating more (4.4
kg/hh/day) waste than small-size families (1.8 kg/hh/day). The physical
constituents of MSW generated in the study area with a population of about 70,000
included paper (7%); compostable matter (61%); plastics (9%); fine earth, ashes,
ceramics, and stones (20.4%); and others (2.6%).The spatial trend of MSW
generation varies; city center has a high rate of generation and towards
periphery generation lowers. Based on the current population growth and MSW
generation rate, NC is expected to generate 2.8 times more waste by the year
2050.This is imperative to develop a proper solid waste management plan to reduce
the risk of environmental degradation and protect human health. This study
provides insights into MSW generation rate, physical composition, and forecasting
which are vital in its management strategies.
PMID- 29644487
TI - Therapeutic use of carbohydrate-restricted diets in an autistic child; a case
report of clinical and 18FDG PET findings.
AB - The ketogenic diet (KD) is a high-fat, adequate-protein, and low-carbohydrate
diet that has been used successfully in the treatment of refractory epilepsies
for almost 100 years. There has been accumulating evidence to show that the KD
may provide a therapeutic benefit in autism spectrum disorders, albeit by a yet
unknown mechanism. We report a case of a 6-year-old patient with high-functioning
autism and subclinical epileptic discharges who responded poorly to several
behavioural and psychopharmacological treatments. The patient was subsequently
placed on the KD due to significant glucose hypometabolism in the brain as
revealed by an 18FDG PET. As soon as one month after starting the KD, the
patient's behavior and intellect improved (in regard to hyperactivity, attention
span, abnormal reactions to visual and auditory stimuli, usage of objects,
adaptability to changes, communication skills, fear, anxiety, and emotional
reactions); these improvements continued until the end of the observation period
at 16 months on the KD. The 18FDG PET, measured at 12 months on the KD, revealed
that 18F-FDG uptake decreased markedly and diffusely in the whole cerebral cortex
with a relatively low reduction in basal ganglia in comparison to the pre-KD
assessment. It warrants further investigation if the 18FDG PET imaging could
serve as a biomarker in identifying individuals with autism who might benefit
from the KD due to underlying abnormalities related to glucose hypometabolism.
PMID- 29644488
TI - Brain ischemic preconditioning protects against moderate, not severe, transient
global cerebral ischemic injury.
AB - Ischemic preconditioning (IPC) in the brain increases ischemic tolerance to
subsequent ischemic insults. In this study, we examined whether IPC protects
neurons and attenuates microgliosis or not in the hippocampus following severe
transient global cerebral ischemia (TCI) in gerbils. Gerbils were assigned to 8
groups; 5- and 15-min sham operated groups, 5-min and 15-min TCI operated groups,
IPC plus 5- and 15-min sham operated groups, and IPC plus 5- and 15-min TCI
operated groups. IPC was induced by subjecting animals to 2-min transient
ischemia 1 day before 5-min TCI for a typical transient ischemia and 15-min TCI
for severe transient ischemia. Neuronal damage was examined by cresyl violet
staining and Fluoro-Jade B histofluorescence staining. In addition, microglial
activation was examined using immunohistochemistry for Iba-1 (a marker for
microglia). Delayed neuronal death and microgliosis was found in the CA1 alone in
the 5-min TCI operated group at 5 days post-ischemia, and, in the 15-min TCI
operated group, neuronal death and microgliosis was shown in all CA areas (CA1-3)
and the dentate gyrus. IPC displayed neuroprotection and attenuated microglial
activation in the 5-min TCI operated group. However, in the 15-min TCI operated
group, IPC did not show neuroprotection and not attenuate microglial activation.
Our present findings indicate that IPC hardly protect against severe transient
cerebral ischemic injury.
PMID- 29644489
TI - An efficient protocol for the synthesis of highly sensitive indole imines
utilizing green chemistry: optimization of reaction conditions.
AB - Novel and highly sensitive indole-based imines have been synthesized. Their
synthesis has been compared employing a variety of protocols. Ultimately, a
convenient, economical and high yielding set of conditions employing green
chemistry have been designed for their synthesis.
PMID- 29644490
TI - Emerging Role of Immunotherapy in Advanced Urothelial Carcinoma.
AB - PURPOSE OF REVIEW: Advanced urothelial carcinoma (aUC) has long been treated
preferably with cisplatin-based chemotherapy, but many patients are cisplatin
ineligible whereas for those who progress on a platinum-based regimen treatment
options are limited. We review key recent data regarding immune checkpoint
inhibitors that are changing this treatment landscape. RECENT FINDINGS: Since May
2016, five different agents targeting the PD-1/PD-L1 pathway (atezolizumab,
pembrolizumab, nivolumab, avelumab, durvalumab) have received FDA approval for
the treatment of aUC in the platinum-refractory setting, while pembrolizumab and
atezolizumab are FDA-approved for cisplatin-ineligible patients in the first-line
setting. Clinical outcomes and safety profiles of these agents appear relatively
comparable across separate trials; however, only pembrolizumab is supported by
level I evidence from a large randomized phase III trial showing overall survival
benefit over conventional cytotoxic salvage chemotherapy in the platinum
refractory setting. Pembrolizumab has the highest level of evidence in platinum
refractory aUC, whereas pembrolizumab and atezolizumab have comparable level of
evidence in the frontline setting in cisplatin-ineligible patients. Ongoing
research is evaluating novel agents, various rational combinations, and
sequences, as well as predictive and prognostic biomarkers.
PMID- 29644491
TI - Update on PARP Inhibitors in Breast Cancer.
AB - OPINION STATEMENT: The single agent activity of PARP inhibitors (PARPi) in
germline BRCA mutated (gBRCAm) breast and ovarian cancer suggests untapped
potential for this new class of drug in breast cancer. The US Food and Drug
Administration has approved three PARPi (olaparib, rucaparib, and niraparib) so
far to treat certain ovarian cancers, including those with gBRCAm and olaparib
for treatment of gBRCAm breast cancers. Several PARPi are now under clinical
development for breast cancer in the various treatment settings. Recently, two
phase III trials of olaparib (OlympiaD) and talazoparib (EMBRACA) demonstrated 3
month progression-free survival improvement with PARPi compared to physician's
choice single agent chemotherapy in metastatic gBRCAm breast cancer. To date,
PARPi seems less efficacious in metastatic breast cancer patients than those with
BRCA mutated platinum-sensitive recurrent ovarian cancer, perhaps reflecting the
biologic heterogeneity and low somatic BRCA mutation rate in breast cancer. The
use of PARPi is gradually evolving, including combination strategies with
chemotherapy, targeted agents, radiotherapy, or immunotherapy in women with and
without gBRCAm. The role of predictive biomarkers, including molecular signatures
and homologous recombination repair deficiency scores based on loss of
heterozygosity and other structural genomic aberrations, will be crucial to
identify a subgroup of patients who may have benefit from PARPi. An improved
understanding of the mechanisms underlying PARPi clinical resistance will also be
important to enable the development of new approaches to increase efficacy. This
is a field rich in opportunity, and the coming years should see a better
understanding of which breast cancer patients we should treat with PARPi and
where these agents should come in over the course of treatment.
PMID- 29644493
TI - Exploring the Correlates of Linkage to HIV Medical Care Among Persons Living with
HIV Infection (PLWH) in the Deep South: Results and Lessons Learned from the
Louisiana Positive Charge Initiative.
AB - We explored the correlates of linkage to HIV medical care and barriers to HIV
care among PLWH in Louisiana. Of the 998 participants enrolled, 85.8% were
successfully linked to HIV care within 3 months. The majority of participants
were male (66.2%), African American (81.6%), and had limited education (74.4%).
Approximately 22% of participants were Black gay and bisexual men. The most
common reported barrier to care was lack of transportation (27.1%). Multivariable
analysis revealed that compared with Black gay and bisexual men, White gay and
bisexual men were significantly more likely to be linked to HIV care (adjusted
prevalence ratio, aPR 1.08, 95% CI 1.02-1.13). Additionally, participants
reporting moderate to high levels of stigma at intake (p < 0.05) were
significantly more likely to be linked to HIV care compared with those reporting
low or no stigma at enrollment. Study findings highlight the continued importance
of client-centered interventions and multi-sector collaborations to link PLWH to
HIV medical care.
PMID- 29644492
TI - Update on the Therapeutic Management of Hepatic Encephalopathy.
AB - PURPOSE OF REVIEW: Hepatic encephalopathy (HE) is a common and devastating
complication to chronic liver disease. In this paper, we summarize the latest
research and evidence of both conventional and up-coming treatments. RECENT
FINDINGS: Meta-analyses report beneficial effects of lactulose, branched-chain
amino acids, rifaximin, and to some degree L-ornithine L-aspartate on the
manifestations of HE in patients with cirrhosis, and generally the numbers needed
to treat are low. Recent studies on newer HE treatments including ornithine
phenylacetate, spherical carbon, and fecal microbiota transplant also report
potentially beneficial effects on HE manifestations. The conventional treatments
benefit patients with HE. Newer treatments are under study and more research is
needed for their validation.
PMID- 29644494
TI - Review of CRISPR/Cas9 sgRNA Design Tools.
AB - The adaptive immunity system in bacteria and archaea, Clustered Regularly
Interspaced Short Palindromic Repeats, CRISPR-associate (CRISPR/Cas), has been
adapted as a powerful gene editing tool and got a broad application in genome
research field due to its ease of use and cost-effectiveness. The performance of
CRISPR/Cas relies on well-designed single-guide RNA (sgRNA), so a lot of
bioinformatic tools have been developed to assist the design of highly active and
specific sgRNA. These tools vary in design specifications, parameters, genomes
and so on. To help researchers to choose their proper tools, we reviewed various
sgRNA design tools, mainly focusing on their on-target efficiency prediction
model and off-target detection algorithm.
PMID- 29644495
TI - Does the Mouse Mammary Gland Arise from Unipotent or Multipotent Mammary
Stem/Progenitor Cells?
AB - The presence of long-lived lineage restricted progenitor and multipotent
progenitor cells in adult mouse mammary gland for cancer development is
compelling. Mammary cancers are phenotypically diverse This might be explained by
transformation of long-lived, lineage-limited progenitor subpopulations. Mammary
multipotent epithelial stem cells and their environmental niches must be
considered, since their niche(s), once empty might be occupied by lineage-limited
progenitors that are proximal. The existence of premalignant mammary populationst
that manifest characteristics of lineage limitation argues strongly for this
proposition.
PMID- 29644497
TI - BECTS and CAE: a possible neurobiological continuum.
PMID- 29644496
TI - Inter-subject FDG PET Brain Networks Exhibit Multi-scale Community Structure with
Different Normalization Techniques.
AB - Inter-subject networks are used to model correlations between brain regions and
are particularly useful for metabolic imaging techniques, like 18F-2-deoxy-2
(18F)fluoro-D-glucose (FDG) positron emission tomography (PET). Since FDG PET
typically produces a single image, correlations cannot be calculated over time.
Little focus has been placed on the basic properties of inter-subject networks
and if they are affected by group size and image normalization. FDG PET images
were acquired from rats (n = 18), normalized by whole brain, visual cortex, or
cerebellar FDG uptake, and used to construct correlation matrices. Group size
effects on network stability were investigated by systematically adding rats and
evaluating local network connectivity (node strength and clustering coefficient).
Modularity and community structure were also evaluated in the differently
normalized networks to assess meso-scale network relationships. Local network
properties are stable regardless of normalization region for groups of at least
10. Whole brain-normalized networks are more modular than visual cortex- or
cerebellum-normalized network (p < 0.00001); however, community structure is
similar at network resolutions where modularity differs most between brain and
randomized networks. Hierarchical analysis reveals consistent modules at
different scales and clustering of spatially-proximate brain regions. Findings
suggest inter-subject FDG PET networks are stable for reasonable group sizes and
exhibit multi-scale modularity.
PMID- 29644498
TI - The status quo and challenges of genetic diagnosis in children with steroid
resistant nephrotic syndrome.
PMID- 29644499
TI - Evaluation of prognostic models developed using standardised image features from
different PET automated segmentation methods.
AB - BACKGROUND: Prognosis in oesophageal cancer (OC) is poor. The 5-year overall
survival (OS) rate is approximately 15%. Personalised medicine is hoped to
increase the 5- and 10-year OS rates. Quantitative analysis of PET is gaining
substantial interest in prognostic research but requires the accurate definition
of the metabolic tumour volume. This study compares prognostic models developed
in the same patient cohort using individual PET segmentation algorithms and
assesses the impact on patient risk stratification. Consecutive patients (n =
427) with biopsy-proven OC were included in final analysis. All patients were
staged with PET/CT between September 2010 and July 2016. Nine automatic PET
segmentation methods were studied. All tumour contours were subjectively analysed
for accuracy, and segmentation methods with < 90% accuracy were excluded.
Standardised image features were calculated, and a series of prognostic models
were developed using identical clinical data. The proportion of patients changing
risk classification group were calculated. RESULTS: Out of nine PET segmentation
methods studied, clustering means (KM2), general clustering means (GCM3),
adaptive thresholding (AT) and watershed thresholding (WT) methods were included
for analysis. Known clinical prognostic factors (age, treatment and staging) were
significant in all of the developed prognostic models. AT and KM2 segmentation
methods developed identical prognostic models. Patient risk stratification was
dependent on the segmentation method used to develop the prognostic model with up
to 73 patients (17.1%) changing risk stratification group. CONCLUSION: Prognostic
models incorporating quantitative image features are dependent on the method used
to delineate the primary tumour. This has a subsequent effect on risk
stratification, with patients changing groups depending on the image segmentation
method used.
PMID- 29644500
TI - Administration of Probiotics Improves the Brine Shrimp Production and Prevents
Detrimental Effects of Pathogenic Vibrio Species.
AB - In this study, we evaluated a consortium of probiotic bacteria as an
environmentally-friendly strategy for controlling pathogenic Vibrio species
during the brine shrimp incubation period. Probiotic strains were initially
selected on basis of (i) their ability to colonize the cyst surfaces, (ii) their
absence of cross-inhibitory effects, and (iii) no detrimental effect on cyst
hatching. The cysts and nauplius surfaces were immediately colonized after the
application of selected probiotic strains, without detrimental effects on
survival. Ten probiotic strains were mixed at similar proportions (probiotic
consortium) and evaluated at different concentrations into brine shrimp cultures
during incubation and early stages of development. Subsequently, these cultures
were challenged with Vibrio parahaemolyticus and Vibrio harveyi. The probiotic
consortium was effective to reduce the abundance of pathogenic Vibrio species and
to prevent the mortality during Vibrio challenges; however, its effect was
concentration-dependent and was successful at a starting concentration of 1.8 *
106 CFU/ml. Our results suggest that this probiotic consortium offers an
alternative to antimicrobial agents routinely used to reduce the incidence and
prevalence of pathogenic Vibrio species in brine shrimp production.
PMID- 29644501
TI - Ethnic disparities in estimated cardiovascular disease risk in Amsterdam, the
Netherlands : The HELIUS study.
AB - BACKGROUND: Ethnic differences have been reported in cardiovascular disease (CVD)
risk factors. It is still unclear which ethnic groups are most at risk for CVD
when all traditional CVD risk factors are considered together as overall risk.
OBJECTIVES: To examine ethnic differences in overall estimated CVD risk and the
risk factors that contribute to these differences. DESIGN: Using data of the
multi-ethnic HELIUS study (HEalthy LIfe in an Urban Setting) from Amsterdam, we
examined whether estimated CVD risk and risk factors among those eligible for CVD
risk estimation differed between participants of Dutch, South Asian Surinamese,
African Surinamese, Ghanaian, Turkish and Moroccan origin. Using the Systematic
COronary Risk Evaluation (SCORE) algorithm, we estimated risk of fatal CVD and
risk of fatal plus non-fatal CVD. These risks were compared between ethnic groups
via age-adjusted linear regression analyses. RESULTS: The SCORE algorithm was
applicable to 9,128 participants. Relative to the fatal CVD risk of participants
of Dutch origin, South Asian Surinamese participants showed a higher fatal CVD
risk, Ghanaian males a lower fatal CVD risk, and participants of other ethnic
origins a similar fatal CVD risk. For fatal plus non-fatal CVD risk, African
Surinamese and Turkish men also showed a higher risk. When diabetes was
incorporated in the CVD risk algorithm, all but Ghanaian men showed a higher CVD
risk relative to the participants of Dutch origin (betas ranging from 0.98
3.10%). The CVD risk factors that contribute the most to these ethnic differences
varied between ethnic groups. CONCLUSION: Ethnic minority groups are at a greater
estimated risk of fatal plus non-fatal CVD relative to the group of native Dutch.
Further research is necessary to determine whether this will translate to ethnic
differences in CVD incidence and, if so, whether ethnic-specific CVD prevention
strategies are warranted.
PMID- 29644502
TI - Healing in the colourful HELIUS experience.
PMID- 29644503
TI - Inequalities in child immunization coverage in Ghana: evidence from a
decomposition analysis.
AB - Childhood vaccination has been promoted as a global intervention aimed at
improving child survival and health, through the reduction of vaccine preventable
deaths. However, there exist significant inequalities in achieving universal
coverage of child vaccination among and within countries. In this paper, we
examine rural-urban inequalities in child immunizations in Ghana. Using data from
the recent two waves of the Ghana Demographic and Health Survey, we examine the
probability that a child between 12 and 59 months receives the required
vaccinations and proceed to decompose the sources of inequalities in the
probability of full immunization between rural and urban areas. We find
significant child-specific, maternal and household characteristics on a child's
immunization status. The results show that children in rural areas are more
likely to complete the required vaccinations. The direction and sources of
inequalities in child immunizations have changed between the two survey waves. We
find a pro-urban advantage in 2008 arising from differences in observed
characteristics whilst a pro-rural advantage emerges in 2014 dominated by the
differences in coefficients. Health system development and campaign efforts have
focused on rural areas. There is a need to also specifically target vulnerable
children in urban areas, to maintain focus on women empowerment and pay attention
to children from high socio-economic households in less favourable economic
times.
PMID- 29644504
TI - Impact of smoking history on the outcomes of women with early-stage breast
cancer: a secondary analysis of a randomized study.
AB - To assess the impact of smoking history on the outcomes of early-stage breast
cancer patients treated with sequential anthracyclines-taxanes in a randomized
study. This is a secondary analysis of patient-level data of 1242 breast cancer
patients referred for adjuvant chemotherapy in the BCIRG005 clinical trial.
Overall survival was assessed according to smoking history through Kaplan-Meier
analysis. Univariate and multivariate Cox regression analyses of factors
affecting overall and relapse-free survival were subsequently conducted. Factors
that were evaluated included: age, performance status, number of chemotherapy
cycles, T stage, lymph node ratio, estrogen receptor status, adjuvant
radiotherapy and smoking history. Kaplan-Meier analysis of overall survival
according to smoking status (ever smoker vs. never smoker) was conducted. There
was a trend toward a better overall survival among never smokers compared to ever
smokers; however, it was not statistically significant (P = 0.098). The following
factors were associated with better overall survival in multivariate analysis:
older age (P = 0.011), complete chemotherapy course (P = 0.002), lower T stage (P
< 0.0001), lower lymph node ratio (P < 0.0001) and positive estrogen receptor
status (P = 0.006). Otherwise, the following factors were associated with better
relapse-free survival in multivariate analysis: older age (P = 0.001), never
smoking status (P = 0.021), lower T stage (P = 0.028), lower lymph node ratio (P
< 0.0001) and positive estrogen receptor status (P < 0.0001). Early-stage breast
cancer patients with a positive smoking history experienced worse relapse-free
survival compared to never smokers. Physicians managing breast cancer patients
should prioritize discussion about the benefits of smoking cessation when
counseling their patients.
PMID- 29644505
TI - Cardiotoxicity of Immunotherapy: Incidence, Diagnosis, and Management.
AB - PURPOSE OF REVIEW: This review describes cardiotoxicity associated with adoptive
T cell therapy and immune checkpoint blockade. RECENT FINDINGS: Cardiotoxicity is
a rare but potentially fatal complication associated with novel immunotherapies.
Both affinity-enhanced and chimeric antigen receptor T cells have been reported
to cause hypotension, arrhythmia, and left ventricular dysfunction, typically in
the setting of cytokine release syndrome. Immune checkpoint inhibitors are
generally well-tolerated but have the potential to cause myocarditis, with
clinical presentations ranging from asymptomatic cardiac biomarker elevation to
heart failure, arrhythmia, and cardiogenic shock. Electrocardiography, cardiac
biomarker measurement, and cardiac imaging are key components of the diagnostic
evaluation. For suspected myocarditis, endomyocardial biopsy is recommended if
the diagnosis remains unclear after initial testing. The incidence of
immunotherapy-associated cardiotoxicity is likely underestimated and may increase
as adoptive T cell therapy and immune checkpoint inhibitors are used in larger
populations and for longer durations of therapy. Baseline and serial cardiac
evaluation is recommended to facilitate early identification and treatment of
cardiotoxicity.
PMID- 29644506
TI - Uptake and accumulation of potentially toxic elements in colonized plant species
around the world's largest antimony mine area, China.
AB - To provide information on reclamation of multi-heavy metal polluted soils with
conception of phytostabilization, a field survey on the uptake and accumulation
of potentially toxic elements such as antimony (Sb), arsenic (As), lead (Pb),
cadmium (Cd), copper (Cu), and zinc (Zn) in colonized plant species around the
world's largest antimony mine area, China, was conducted. Samples including
leaves and shoots (including roots and stems) of colonized plants as well as
rhizospheric soils were collected from eight sampling zones in the studied area.
The results showed that the contents of Cu, Zn, and Pb in rhizospheric soils
below plants were comparable to the corresponding background values of Hunan
province, otherwise Sb, Cd, and As contents were extremely high (17-106, 17-87,
and 3-7 times of the corresponding background values). The highest concentration
of Sb was found in Aster subulatus (410 mg kg-1); Cd, As, and Zn were in Herba
bidentis bipinnatae (10.9, 264, and 265 mg kg-1, respectively); and Cu was in
Artemisia lavandulaefolia (27.1 mg kg-1). It also exhibited that all the contents
of As in leaves were several times of those in shoots of plants, Cd and other
heavy metals showed in a similar pattern in several studied species, implying
that the uptake route of these heavy metals via foliar might contribute to the
accumulation. With high bioconcentration factors of heavy metals (more than 1,
except for Zn), together with the growth abundance, Herba bidentis bipinnatae was
considered as the most suitable colonized species for phytostabilization of the
multi-heavy metal pollution in soils on this antimony mine area.
PMID- 29644507
TI - The Impact of Obesity on Breast Cancer.
AB - The rates of obesity are increasing worldwide and this condition is now
recognized as a leading preventable cause of cancer. Several diseases are
directly related to obesity, including diabetes, hypertension, atherosclerosis,
stroke, musculoskeletal disorders, and a diverse range of malignances-such as
breast cancer. Obesity is associated with an increased risk of postmenopausal
estrogen receptor-positive breast cancer and worse cancer-related outcomes for
all breast tumor subtypes. Several mechanisms have been proposed to contribute to
the obesity-cancer link, including high levels of circulating and local
estrogens, altered amounts of adipokines (leptin and adiponectin), disrupted
insulin/IGF signaling, modifications within the microbiome, and local and
systemic effects of inflammation. Here we will review recent advances in our
understanding of the complex signaling pathways underlying the obesity-cancer
link. An improved understanding of these processes is anticipated to propel novel
and effective intervention strategies to reduce the global obesity-cancer burden.
PMID- 29644508
TI - The first complete mitochondrial genome of a parasitic isopod supports Epicaridea
Latreille, 1825 as a suborder and reveals the less conservative genome of
isopods.
AB - The complete mitochondrial genome sequence of the holoparasitic isopod Gyge
ovalis (Shiino, 1939) has been determined. The mitogenome is 14,268 bp in length
and contains 34 genes: 13 protein-coding genes, two ribosomal RNA, 19 tRNA and a
control region. Three tRNA genes (trnE, trnI and trnS1) are missing. Most of the
tRNA genes show secondary structures which derive from the usual cloverleaf
pattern except for trnC which is characterised by the loss of the DHU-arm.
Compared to the isopod ground pattern and Eurydice pulchra Leach, 1815 (suborder
Cymothoida Wagele, 1989), the genome of G. ovalis shows few differences, with
changes only around the control region. However, the genome of G. ovalis is very
different from that of non-cymothoidan isopods and reveals that the gene order
evolution in isopods is less conservative compared to other crustaceans.
Phylogenic trees were constructed using maxiumum likelihood and Bayesian
inference analyses based on 13 protein-coding genes. The results do not support
the placement of G. ovalis with E. pulchra and Bathynomus sp. in the same
suborder; rather, G. ovalis appears to have a closer relationship to Ligia
oceanica (Linnaeus, 1767), but this result suggests a need for more data and
further analysis. Nevertheless, these results cast doubt that Epicaridea
Latreille, 1825 can be placed as an infraorder within the suborder Cymothoida,
and Epicaridea appears to also deserve subordinal rank. Further development of
robust phylogenetic relationships across Isopoda Latreille, 1817 will require
more genetic data from a greater diversity of taxa belonging to all isopod
suborders.
PMID- 29644509
TI - Applications of Colon Capsule Endoscopy.
AB - PURPOSE OF REVIEW: This is a review of colon capsule endoscopy (CCE) with a focus
on its recent developments, technological improvements, and current and potential
future indications. RECENT FINDINGS: Based on the current literature, CCE II
demonstrates comparable polyp detection rates as optical colonoscopy and CT
colonography, and improved cost-effectiveness. The main limitation to patient
acceptance is the requirement of a rigorous bowel preparation. Preliminary
studies show good correlation between CCE and optical colonoscopy for assessment
of colonic disease activity in inflammatory bowel disease (IBD). CCE II is
currently FDA, approved as an adjunctive test in patients with prior incomplete
colonoscopy, and in the evaluation of patients with suspected lower
gastrointestinal bleeding. The test is approved in Europe as one of the options
for average-risk colorectal cancer screening, and high-risk screening in patients
with contraindications or unwilling to undergo colonoscopy. CCE has a potential
role in the evaluation and monitoring of colonic disease activity in IBD. Future
technological advances should focus on minimizing bowel preparation, improvement
in reading times, and development of therapeutic capabilities. * With
technological improvements, the second-generation colon capsule has a
significantly higher sensitivity than the first-generation capsule for detection
of colon polyps. * Colon capsule endoscopy has been approved in Europe as an
option for average-risk colorectal cancer screening, and high-risk screening in
patients with contraindications or unwilling to undergo colonoscopy. * Colon
capsule endoscopy has received FDA approval as an option for colorectal cancer
screening in patients with prior incomplete colonoscopy, and in evaluation of
patients with suspected lower gastrointestinal bleeding. * Colon capsule
endoscopy may have a role in evaluation and monitoring of inflammatory bowel
disease. * Colon capsule endoscopy currently requires a bowel preparation that is
more rigorous than colonoscopy.
PMID- 29644510
TI - A Raman-spectroscopy-based approach for detection and discrimination of
Streptococcus thermophilus and Lactobacillus bulgaricus phages at low titer in
raw milk.
AB - In this study, a method combining Raman spectroscopy with chemometric analysis
was developed for detection of phage presence in raw milk and discrimination of
Streptococcus thermophilus and Lactobacillus bulgaricus phages which are among
the main phages causing problems in dairy industry. For this purpose, S.
thermophilus and L. bulgaricus phages were added into raw milk separately, and
then some pretreatments such as fat separation, removal of casein, and filtration
were applied to the raw milk samples. Raman spectra of the samples were collected
and then analyzed using principal component analysis in order to discriminate
these phages in raw milk. In the next step, dilutions of S. thermophilus phages
in pretreated raw milk were prepared, and Raman spectra were collected. These
spectra were analyzed by using partial least squares method to quantify phages in
low titer. Consequently, it has been demonstrated that S. thermophilus and L.
bulgaricus phages, which have titers sufficient to fail the fermentation (~ 107
pfu/mL) and have lower titers (102-103 pfu/mL), could be discriminated from
antibiotic and each other. Additionally, low concentrations of S. thermophilus
phages (102 pfu/mL) could be detected through Raman spectroscopy with a short
analysis time (60 min) and high coefficient of determination (R2) values for both
calibration (0.985) and validation (0.906) with a root mean square error of
calibration of 70.54 and root mean square error of prediction of 165.47. However,
a lower success was achieved with L. bulgaricus phages and the obtained
coefficient of determination values were not sufficiently high (0.649).
PMID- 29644511
TI - Virulence-associated genes and antibiotic susceptibility among vaginal and rectal
Escherichia coli isolates from healthy pregnant women in Poland.
AB - Vaginal and/or rectal Escherichia coli colonization of pregnant women is
sometimes associated with neonatal infections. Despite the relevance of these
strains, they have been rarely described before. Thus, the aim of this study was
to compare vaginal (VEC) and rectal E. coli (REC) isolates in respect of
antimicrobial susceptibility and the frequency of virulence-associated genes
(VAGs). The antimicrobial susceptibility of 50 VEC and 50 REC isolates was
performed by using the disc diffusion method, and VAGs were detected by PCR.
There were no significant differences in the antimicrobial resistance between VEC
and REC. Both VEC and REC isolates were mostly resistant to ticarcillin (36 and
30%) and ampicillin (36 and 22%). None of the tested isolates was positive for
ESBL. Gene's fimH, fimA, sfa/foc, iutA, ibeA, hlyF, and neuC were detected,
respectively, in 98, 92, 32, 28, 12, 8, and 2% of VEC and in 94, 72, 12, 34, 8,
10, and 8% of REC isolates. The co-occurrence of fimA/H and sfa/foc genes was
significantly more prevalent among VEC isolates, in comparison to REC isolates.
The study indicated that VEC and REC isolates are quite similar in terms of
antimicrobial non-susceptibility and VAGs.
PMID- 29644512
TI - Cognitive-behavioral therapy improves the quality of life of patients with
acromegaly.
AB - BACKGROUND: The delayed diagnosis, altered body image, and clinical complications
associated with acromegaly impair quality of life. PURPOSE: To assess the
efficacy of the cognitive-behavioral therapy (CBT) technique "Think Healthy" to
increase the quality of life of patients with acromegaly. METHODS: This non
randomized clinical trial examined ten patients with acromegaly (nine women and
one man; mean age, 55.5 +/- 8.4 years) from a convenience sample who received
CBT. The intervention included nine weekly group therapy sessions. The quality of
life questionnaire the 36-Item Short Form Survey (SF-36) and the Beck Depression
Inventory (BDI) were administered during the pre- and post-intervention phases.
The Wilcoxon signed-rank test was performed to assess the occurrence of
significant differences. RESULTS: According to the SF-36, the general health
domain significantly improved (d' = - 0.264; p = 0.031). The mental health
domain improved considerably (d' = - 1.123; p = 0.012). Physical functioning
showed a non-significant trend toward improvement (d' = - 0.802; p = 0.078),
although four of the five patients who showed floor effects improved and remained
at this level. Regarding emotional well-being, five patients showed floor effects
and four improved, and the condition did not change among any of the four
patients who showed ceiling effects. No significant changes were found with
regard to the other domains. No significant differences in the BDI were found
before or after the intervention. CONCLUSION: The technique presented herein
effectively improved the quality of life of patients with acromegaly with
different levels of disease activity, type, and treatment time.
PMID- 29644513
TI - Folding a viral peptide in different membrane environments: pathway and sampling
analyses.
AB - Flock House virus (FHV) is a well-characterized model system to study infection
mechanisms in non-enveloped viruses. A key stage of the infection cycle is the
disruption of the endosomal membrane by a component of the FHV capsid, the
membrane active gamma peptide. In this study, we perform all-atom molecular
dynamics simulations of the 21 N-terminal residues of the gamma peptide
interacting with membranes of differing compositions. We carry out umbrella
sampling calculations to study the folding of the peptide to a helical state in
homogenous and heterogeneous membranes consisting of neutral and anionic lipids.
From the trajectory data, we evaluate folding energetics and dissect the
mechanism of folding in the different membrane environments. We conclude the
study by analyzing the extent of configurational sampling by performing time
lagged independent component analysis.
PMID- 29644514
TI - Chemical structures of oligosaccharides in milk of the raccoon (Procyon lotor).
AB - In this study on milk saccharides of the raccoon (Procyonidae: Carnivora), free
lactose was found to be a minor constituent among a variety of neutral and acidic
oligosaccharides, which predominated over lactose. The milk oligosaccharides were
isolated from the carbohydrate fractions of each of four samples of raccoon milk
and their chemical structures determined by 1H-NMR and MALDI-TOF mass
spectroscopies. The structures of the four neutral milk oligosaccharides were
Fuc(alpha1-2)Gal(beta1-4)Glc (2'-fucosyllactose), Fuc(alpha1-2)Gal(beta1
4)GlcNAc(beta1-3)Gal(beta1-4)Glc (lacto-N-fucopentaose IV), Fuc(alpha1
2)Gal(beta1-4)GlcNAc(beta1-3)Gal(beta1-4)GlcNAc(beta1-3)Gal(beta1-4)Glc (fucosyl
para lacto-N-neohexaose) and Fuc(alpha1-2)Gal(beta1-4)GlcNAc(beta1-3)[Fuc(alpha1
2)Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)Glc (difucosyl lacto-N-neohexaose). No
type I oligosaccharides, which contain Gal(beta1-3)GlcNAc units, were detected,
but type 2 saccharides, which contain Gal(beta1-4)GlcNAc units were present. The
monosaccharide compositions of two of the acidic oligosaccharides were
[Neu5Ac]1[Hex]6[HexNAc]4[deoxy Hex]2, while those of another two were
[Neu5Ac]1[Hex]8[HexNAc]6[deoxy Hex]3. These acidic oligosaccharides contained
alpha(2-3) or alpha(2-6) linked Neu5Ac, non reducing alpha(1-2) linked Fuc, poly
N-acetyllactosamine (Gal(beta1-4)GlcNAc) and reducing lactose.
PMID- 29644515
TI - Predictive ability of perioperative atrial fibrillation risk indices in cardiac
surgery patients: a retrospective cohort study.
AB - PURPOSE: The Multicenter Study of Perioperative Ischemia (McSPI) AFRisk index
predicts postoperative atrial fibrillation (POAF) after cardiac surgery, but
requires pre-, intra-, and postoperative data. Other more abbreviated risk
indices exist, but there is no consensus on which risk index is optimal. We
compared the discriminatory capacity of the McSPI AFRisk index with three indices
containing only preoperative data (the CHA2DS2Vasc score, POAF score, and Kolek
clinical risk prediction model), hypothesizing that the McSPI AFRisk index would
have superior predictive capacity. METHODS: We retrospectively evaluated 783
patients undergoing cardiac surgery using cardiopulmonary bypass. The predictive
capacity of each index was assessed by comparing receiver-operating
characteristic (ROC) curves, scaled Brier scores, net reclassification indices,
and the integrated discrimination indices. RESULTS: The incidence of POAF was
32.6%. The area under the curve (AUC) of the ROC curve were 0.77, 0.58, 0.66, and
0.66 for the McSPI AFRisk index, CHA2DS2Vasc score, POAF score, and Kolek
clinical risk prediction model, respectively. The McSPI AFRIsk index had the
highest AUC (P < 0.0001). The scaled Brier scores for the McSPI AFRisk index,
CHA2DS2Vasc score, POAF score, and Kolek clinical risk prediction model were
0.23, 0.02, 0.08, and 0.07, respectively. Both net reclassification indices and
integrated discrimination indices showed that the McSPI AFRisk index more
appropriately identified patients at high risk of POAF. CONCLUSIONS: The McSPI
AFRisk index showed superior ability to predict POAF after cardiac surgery
compared with three other indices. When clinicians and investigators wish to
measure the risk of POAF after cardiac surgery, they should consider using the
McSPI AFRisk index.
PMID- 29644516
TI - Correction to: Hypervigilance to a Gluten-Free Diet and Decreased Quality of Life
in Teenagers and Adults with Celiac Disease.
AB - The original version of the article unfortunately contained formatting errors in
Table 3. The correct version of Table 3 is given in the Correction article.
PMID- 29644518
TI - Mathematical Modelling of the Interaction Between Cancer Cells and an Oncolytic
Virus: Insights into the Effects of Treatment Protocols.
AB - Oncolytic virotherapy is an experimental cancer treatment that uses genetically
engineered viruses to target and kill cancer cells. One major limitation of this
treatment is that virus particles are rapidly cleared by the immune system,
preventing them from arriving at the tumour site. To improve virus survival and
infectivity Kim et al. (Biomaterials 32(9):2314-2326, 2011) modified virus
particles with the polymer polyethylene glycol (PEG) and the monoclonal antibody
herceptin. Whilst PEG modification appeared to improve plasma retention and
initial infectivity, it also increased the virus particle arrival time. We derive
a mathematical model that describes the interaction between tumour cells and an
oncolytic virus. We tune our model to represent the experimental data by Kim et
al. (2011) and obtain optimised parameters. Our model provides a platform from
which predictions may be made about the response of cancer growth to other
treatment protocols beyond those in the experiments. Through model simulations,
we find that the treatment protocol affects the outcome dramatically. We quantify
the effects of dosage strategy as a function of tumour cell replication and
tumour carrying capacity on the outcome of oncolytic virotherapy as a treatment.
The relative significance of the modification of the virus and the crucial role
it plays in optimising treatment efficacy are explored.
PMID- 29644519
TI - Stochastic Dynamics of Eukaryotic Flagellar Growth.
AB - We study the dynamics of flagellar growth in eukaryotes where intraflagellar
transporters (IFT) play a crucial role. First we investigate a stochastic version
of the original balance point model where a constant number of IFT particles move
up and down the flagellum. The detailed model is a discrete event vector-valued
Markov process occurring in continuous time. First the detailed stochastic model
is compared and contrasted with a simple scalar ordinary differential equation
(ODE) model of flagellar growth. Numerical simulations reveal that the steady
state mean value of the stochastic model is well approximated by the ODE model.
Then we derive a scalar stochastic differential equation (SDE) as a first
approximation and obtain a "small noise" approximation showing flagellar length
to be Gaussian with mean and variance governed by simple ODEs. The accuracy of
the small noise model is compared favorably with the numerical simulation results
of the detailed model. Secondly, we derive a revised SDE for flagellar length
following the revised balance point model proposed in 2009 in which IFT particles
move in trains instead of in isolation. Small noise approximation of the revised
SDE yields the same approximate Gaussian distribution for the flagellar length as
the SDE corresponding to the original balance point model.
PMID- 29644517
TI - Systemic Inflammatory Responses in Ulcerative Colitis Patients and Clostridium
difficile Infection.
AB - BACKGROUND/AIMS: Finding differences in systemic inflammatory response in
ulcerative colitis (UC), UC with Clostridium difficile infection (CDI), and CDI
could lead to a better ability to differentiate between UC with symptomatic CDI
and UC with C. difficile colonization, and could identify specific inflammatory
pathways for UC or CDI, which could be therapeutic targets. METHODS: We
prospectively collected sera from symptomatic UC patients whose stools were
tested for toxigenic C. difficile, and from CDI patients who did not have UC (CDI
noUC). The UC patients with positive tests (UC-CDI) were further categorized into
responders to CDI treatment (UC-CDI-R) and non-responders (UC-CDI-NR). We
compared serum inflammatory mediators among groups using unadjusted and adjusted
multivariable statistics. RESULTS: We included 117 UC [27 UC-CDI, 90 UC without
CDI (UC-noCDI)] and 16 CDI-noUC patients. Principal component analysis (PCA) did
not reveal significant differences either between UC-CDI and UC-noCDI groups, or
between UC-CDI-R and UC-CDI-NR groups. In contrast, the PCA showed significant
separation between the UC and CDI-noUC groups (P = 0.002). In these two groups,
hepatocyte growth factor (HGF) and chemokine (C-C motif) ligand 2 (CCL2) levels
were significantly lower and IL-23 levels were higher in UC patients in
multivariable analyses. The model to distinguish UC from CDI including IL-23,
HGF, CCL2, age, gender, and HGB had an AuROC of 0.93. CONCLUSION: Inflammatory
profiles could not distinguish UC-CDI from UC-noCDI, and UC-CDI-R from UC-CDI-NR.
However, the UC and CDI-noUC groups were significantly different. Future work
should examine whether therapeutic agents inhibiting IL-23 or stimulating HGF can
treat UC.
PMID- 29644520
TI - The Linear Noise Approximation for Spatially Dependent Biochemical Networks.
AB - An algorithm for computing the linear noise approximation (LNA) of the reaction
diffusion master equation (RDME) is developed and tested. The RDME is often used
as a model for biochemical reaction networks. The LNA is derived for a general
discretization of the spatial domain of the problem. If M is the number of
chemical species in the network and N is the number of nodes in the
discretization in space, then the computational work to determine approximations
of the mean and the covariances of the probability distributions is proportional
to [Formula: see text] in a straightforward implementation. In our LNA algorithm,
the work is proportional to [Formula: see text]. Since N usually is larger than
M, this is a significant reduction. The accuracy of the approximation in the
algorithm is estimated analytically and evaluated in numerical experiments.
PMID- 29644521
TI - Functional connectivity change between posterior cingulate cortex and ventral
attention network relates to the impairment of orientation for time in
Alzheimer's disease patients.
AB - Alzheimer's disease (AD) patients exhibit various cognitive dysfunctions,
including impairment of orientation for time (OT). The brain regions underlying
OT impairment remain to be elucidated. A previous single-photon emission computed
tomography study has indicated hypoperfusion of the posterior cingulate cortex
(PCC) in relation to deterioration of OT. In this study, we investigated whole
brain functional connectivity changes of PCC using resting-state functional
magnetic resonance imaging. Voxel-based functional connectivity with PCC was
analyzed in OT-poor or OT-good AD patients, classified according to the mean OT
scores of the Mini-Mental State Examination subscale. The connectivities of
dorsal frontal lobe, and lateral parietal and lateral temporal lobes with PCC in
the right hemisphere were reduced in the OT-poor AD group compared with the OT
good AD group. A subtraction connectivity map of OT score differences (OT-good
minus OT-poor) revealed the right middle temporal gyrus near the temporo-parietal
junction as a significantly connected region with PCC. These results suggest that
the right posterior part of the middle temporal gyrus may play an important role
in OT in conjunction with PCC, and that disconnection between PCC and the right
ventral attention network may cause OT disturbance in AD patients.
PMID- 29644522
TI - Bioinformatic analysis reveals the key pathways and genes in early-onset breast
cancer.
AB - Early-onset breast cancer is the most prevalent cancer in the female. To identify
the differentially expressed genes and the key signaling pathways in early-onset
breast cancer, we have carried out the bioinformatic analysis of an RNA array
dataset in the GEO database, GSE109169, which was acquired from early-onset
breast cancer patient. A total of 118 differentially expressed genes in early
onset breast cancer were significantly changed compared with that in adjacent
normal tissues. Most of these genes are classified into three categories:
signaling molecule, enzyme modulator, and hydrolase. Gene ontology terms reveal
that most of these genes are involved in cellular and metabolic processes,
biological regulation, binding and catalytic activities, and receptor regulation.
Protein-protein interaction network was constructed and has two highly enriched
modules: one with up-regulated genes and the other with down-regulated genes. The
singling pathways are mainly enriched in the cellular immune system, lipid
metabolism and other types of metabolic pathways. Finally, we have plotted the
Kaplan-Meier curves of two up-regulated and two down-regulated genes for the
overall survival prediction in breast cancer. These results greatly expand the
current view of early-onset breast cancer and shed light on the discovery of drug
candidates and the improvement for the prognosis.
PMID- 29644523
TI - Psoriasis and risk of incident chronic kidney disease and end-stage renal
disease: a systematic review and meta-analysis.
AB - OBJECTIVES: Patients with psoriasis may have a higher risk of developing chronic
kidney (CKD) and end-stage renal disease (ESRD) compared with general population.
This systematic review and meta-analysis aimed to comprehensively investigate
this association by reviewing all available evidence. METHODS: A systematic
review was performed using MEDLINE and EMBASE database from inception to January
2018 to identify all cohort studies that compared the risk of incident CKD and/or
ESRD in patients with psoriasis versus individuals without psoriasis. Pooled risk
ratio and 95% confidence interval were calculated using random-effect, generic
inverse variance method. RESULTS: A total of four retrospective cohort studies
with 199,808 patients with psoriasis were included. The risk of incident CKD and
ESRD was significantly increased among patients with psoriasis with the pooled
risk ratio of 1.34 (95% CI, 1.14-1.57) and 1.29 (95% CI, 1.05-1.60),
respectively. CONCLUSION: A significantly increased risk of incident CKD and ESRD
among patients with psoriasis compared with individuals without psoriasis was
demonstrated in this study.
PMID- 29644524
TI - Discontinuation of antiviral prophylaxis increased the risk of hepatitis B virus
reactivation in glomerulonephritis patients under immunotherapy: a real-life
observation.
AB - PURPOSE: Antiviral prophylaxis is proved to be effective in reducing the risk of
hepatitis B virus (HBV) reactivation in hepatitis B surface antigen (HBsAg)
positive patients under immunotherapy. But outcomes referring to discontinuation
of antiviral prophylaxis in these patients are lacking. METHODS: We performed a
retrospective study of 105 HBsAg-positive patients under immunotherapy for
glomerulonephritis and evaluated the incidence and risk factors for HBV
reactivation. RESULTS: Among 105 patients, 55.24% completed antiviral
prophylaxis, while 20.00% discontinued and 24.76% rejected antiviral prophylaxis.
HBV reactivation was significantly different among completion, discontinuation,
and rejection of antiviral prophylaxis: 5.17% versus 38.10% versus 15.38% in the
incidence of HBV reactivation (P = 0.001), 3.45% versus 23.81% versus 11.54% in
HBV DNA >= 5 Log copies/ml (P = 0.023), and 0 versus 14.29% versus 3.85% in
hepatitis B e antigen seroconversion from negative to positive (P = 0.014).
Survival curve showed the median occurrence time of HBV reactivation in
discontinuation group was 32 months (95% CI 24-39 months), earlier than 69 months
(95% CI 65-72 months) of completion group and 43 months (95% CI 37-49 months) of
rejection group (chi2 = 13.780, P = 0.001). Univariate and multivariate analysis
identified two independent risk factors for HBV reactivation: baseline HBV DNA
detectable (OR 5.009, 95% CI 1.717-16.335, P = 0.012) and discontinuation of
antiviral prophylaxis (OR 5.213, 95% CI 1.688-18.105, P = 0.011). CONCLUSIONS:
Discontinuation of antiviral prophylaxis increased the risk of HBV reactivation
in HBsAg-positive patients under immunotherapy for glomerulonephritis.
PMID- 29644525
TI - Determination of NF-kappaB and RANKL levels in peripheral blood osteoclast
precursor cells in chronic kidney disease patients.
AB - PURPOSE: Chronic kidney disease (CKD) is a progressive condition characterized by
irreversible loss of functional nephron mass due to variety of causes; an
inevitable complication of CKD is metabolic bone disease, and this pathology is
called as renal osteodystrophy (ROD). In this study, we aimed to determine the
levels of serum sRANKL and intracellular NF-kappaB levels in peripheral blood
osteoclast precursor cells in patients with stage 3 CKD. MATERIALS AND METHODS:
Forty-one male patients aged 35-60 with CKD identified as stage 3 according to
GFR calculated on the basis of creatinine values and 27 healthy male subjects
with age ranging from 40 to 60 as control group were included in this study.
Levels of biochemical parameters, vitamin D3, parathyroid hormone, bone mineral
density, sRANKL and NF-kappaB were determined by using photometric,
electrochemiluminescence, HPLC, ELISA and flow cytometric methods in control and
patient groups, respectively. RESULTS: When stage 3 CKD patients were compared
with controls, patients with stage 3 CKD had statistically significantly higher
iPTH levels, but they had statistically significantly lower vitamin D3 levels.
However, the other biochemical parameters, bone mineral density, sRANKL and NF
kappaB levels did not reveal any significance. CONCLUSION: In conclusion, vitamin
D3 and iPTH levels seem to be important parameters for evaluating the early
stages of ROD. The lack of statistically significant differences in the levels of
sRANKL and NF-kappaB suggests that these parameters are not sufficient in the
evaluation of bone metabolism in the early stages of renal failure.
PMID- 29644526
TI - Upregulated expression of human cathelicidin LL-37 in hypercholesterolemia and
its relationship with serum lipid levels.
AB - Dyslipidemia in patients with hypercholesterolemia has been recently linked to
increased human cathelicidin LL-37 (LL-37) serum concentration. We tested a
hypothesis that upregulated expression of LL-37 gene in peripheral blood
leucocytes is involved in dyslipidemia in patients with hypercholesteremia.
Patients with hypercholesterolemia were used in the study. Expression of LL-37
and human glyceraldehyde-3-phosphate dehydrogenase in peripheral blood leucocytes
were quantified by real-time RT-PCR. Serum LL-37 concentration was estimated by
enzyme-linked immunosorbent assay. Serum lipid levels were assessed by
absorptiometry in all cases. Patients with hypercholesterolemia as compared to
control ones were characterized by (a) an up-regulation of LL-37 gene expression
in peripheral blood leucocytes with parallel increase of serum LL-37
concentration and (b) an increase of serum total and low-density lipoprotein
cholesterol concentrations. Patients with hypercholesterolemia after a treatment
with atorvastatin calcium 20 mg daily as compared to that patients before the
treatment: an down-regulation of LL-37 gene expression in peripheral blood
leucocytes with parallel decrease of serum LL-37 concentration. We also found
significant correlation between serum LL-37 and high-density lipoprotein
cholesterol levels (r = 0.7290, P < 0.0001). The results suggest that
hypercholesterolemia is associated with an increased LL-37 gene expression in
peripheral blood leucocytes. The correlation between serum LL-37 and high-density
lipoprotein cholesterol levels suggests that LL-37 may play a key role in
regulation of cholesterol levels in hypercholesterolemia.
PMID- 29644527
TI - Gemcabene, a first-in-class lipid-lowering agent in late-stage development, down
regulates acute-phase C-reactive protein via C/EBP-delta-mediated transcriptional
mechanism.
AB - Inflammation plays a key role in setting the stage leading to atherosclerosis
progression, and high-sensitivity C-reactive protein (CRP) has been recognized as
a predictor of cardiovascular risk. As a monotherapy and in combination with
statins, gemcabene markedly reduced CRP in humans. Present investigation was
undertaken to understand the mechanism of CRP reduction. In human hepatoma cells,
gemcabene inhibited IL-6 plus IL-1beta-induced CRP production in a concentration
dependent manner, reaching 70% inhibition at 2 mM. In TNF-alpha-stimulated
primary human coronary artery endothelial cells, both CRP and IL-6 productions
were reduced by 70% at 2 mM gemcabene concentration. To investigate the mechanism
of gemcabene-mediated reduction of CRP, transfection studies were performed with
human CRP regulatory sequences in luciferase/beta-gal system that showed 25-fold
increase in IL-6- and IL-6 plus IL-1beta-stimulated CRP transcription. Luciferase
activity was reduced by 50% by gemcabene, suggesting transcriptional down
regulation of CRP. Site-directed mutagenesis of human CRP promoter revealed that
the overlapping downstream C/EBP and NF-kappaB binding sites are important for
gemcabene-mediated CRP transcription. Gel shift assays identified the
transcription factor that binds to the downstream CRP promoter as C/EBP-delta. In
conclusion, gemcabene decreases CRP by C/EBP-delta and NF-kappaB-mediated
transcriptional mechanism and suppresses IL-6 and IL-1beta-induced CRP
production.
PMID- 29644528
TI - A novel, semi-synthetic diterpenoid 16(R and S)-phenylamino-cleroda-3,13(14), Z
dien-15,16 olide (PGEA-AN) inhibits the growth and cell survival of human
neuroblastoma cell line SH-SY5Y by modulating P53 pathway.
AB - Neuroblastoma being the most common extracranial pediatric solid tumor accounts
for 15% of overall cancer-related childhood mortalities. Resistance to
chemotherapeutic drugs is one of the limiting factors for positive prognosis for
neuroblastoma. Therefore, there is always a need for developing new therapeutic
moieties which can become a future prospect of neuroblastoma therapy. Terpenoids
being the largest natural compounds have demonstrated many biological activities
including anticancer activity. Keeping in mind the role of terpenoids in
biological system, we aimed to identify novel semi-synthetic terpenoid derived
from cleroda diterpene, 16-oxo-cleroda-3,13(14)E-diene-15-oic acid (1) as a
potential anticancer moiety against neuroblastoma. We choose gamma-amino gamma
lactone (PGEA-AN, 2) of 1 to study further because it exhibited the most potent
cytotoxic activity in preliminary screening. In comparison to cisplatin, PGEA-AN
significantly decreased the nuclear area factor which suggest the potential
apoptosis as cause of cell death. PGEA-AN demonstrated a significant increase in
the percent of late apoptosis and necrotic cell death at 48-h treatment with IC50
dose. PGEA-AN significantly increased expression of P53 and BAX with no or little
effect on BCL2 shifting BAX/BCL2 towards BAX promoting apoptosis. Increment in
mitochondrial permeability supports P53 pathway involvement. Despite similarity
in actions with cisplatin, PGEA-AN has found to have no effect on renal system.
Based on these observations, we suggest that PGEA-AN modulates P53 system which
further leads to the death of the neuroblastoma cells with no effect on renal
system in vivo owing it to be a future prospect for development of anticancer
moiety against neuroblastoma.
PMID- 29644529
TI - Effects of increased accumulation of doxorubicin due to emodin on efflux
transporter and LRP1 expression in lung adenocarcinoma and colorectal carcinoma
cells.
AB - Treatment with doxorubicin (dox) and emodin, separately and together, under
normoxic and hypoxia-like conditions induced by CoCl2, led to greater
intracellular compound accumulation over 10 h post-addition in the presence of
CoCl2 in lung adenocarcinoma (A549) and colorectal carcinoma (HCT-15) cell lines.
Confocal microscopy revealed that emodin, by itself, showed high cytosolic
distribution in both cell lines, at 40 min post-addition but had entered the
nuclei by 2 h, while dox entered the nuclei by 40 min. Both compounds modulated
the expression of the efflux transporters (PgP, ABCG2, or MRP1-4) and the
endocytic receptor, low-density lipoprotein receptor-related protein 1 (LRP1), to
different extents under the study conditions. Efflux transporter upregulation was
linked to lower intracellular compound levels due to greater efflux. Increased
dox accumulation was accompanied by unaltered expression or upregulation of LRP1
in A549 cells. In both cell lines, increased accumulation of dox and emodin was
observed whenever LRP1 and the efflux transporters known to transport dox and
emodin were all up- or downregulated concomitantly. Increased growth inhibition
was linked to co-treatment with dox and emodin and with increased ligand
accumulation. The results presented in this study raise the hypothesis that
higher production of LRP1 protein may be associated with higher endocytosis of
upregulated transporter proteins at the cell surface, and hence, increased dox
and emodin accumulation and growth inhibition. If so, elevation of LRP1
expression may be a useful target for interventions to promote the efficacy of
these and other anticancer drugs.
PMID- 29644530
TI - A posttraumatic pseudoaneurysm of the left radial artery as a result of a stab
wound in an 8-year-old girl.
AB - Pseudoaneurysms arise from a disruption of arterial wall continuity and are most
commonly related to a penetrating trauma, an arterial wall inflammation or
iatrogenic causes. They differ from real aneurysms due to a lack of one or more
layers of the arterial wall. The frequency of peripheral artery pseudoaneurysms
in the upper extremities is less than in the lower extremities and its most
common cause is a gunshot or a stab wound. The risk of a rupture is higher than
in true aneurysms due to a lack of wall layers, therefore requiring surgical
treatment in most cases. Here we describe an unusual case of an 8-year-old girl
who presented to the emergency department complaining of swelling and pain in her
left distal forearm. One month before admission she experienced a penetrating
trauma in the same area due to a self inflicted stab wound. After clinical and
duplex ultrasonography evaluation the tumefaction proved to be a posttraumatic
pseudoaneurysm of the left radial artery.
PMID- 29644531
TI - Splanchnic Vein Thrombosis in the Myeloproliferative Neoplasms.
AB - PURPOSE OF REVIEW: To review the epidemiology, diagnostic challenges,
pathogenesis, and treatment strategies for patients with myeloproliferative
neoplasm-associated splanchnic vein thrombosis. RECENT FINDINGS: The epidemiology
of myeloproliferative neoplasm-associated splanchnic vein thrombosis (MPN-SVT)
has been well characterized. While typical MPN-associated thrombosis affects
older patients and involves the arterial circulation, MPN-SVT mostly impacts
younger women. An association with JAK2 V617F is well-known; recent studies have
demonstrated only a weak association with CALR mutations. JAK inhibition may
represent a novel treatment strategy, complementing anticoagulation, and
management of portal hypertension. While the epidemiology has been well
characterized, more work is needed to identify novel contributors to disease
pathogenesis, beyond the JAK2 V617F mutation itself, and endothelial compromise.
Testing for MPN mutations in the setting of non-cirrhotic SVT is commonplace;
JAK2 V617F is the most likely to be identified. Testing for CALR or MPL mutations
requires clinical judgement, though not unreasonable. The mainstay of therapy is
indefinite anticoagulation; the role of direct oral anticoagulants is unclear.
JAK inhibition may play a role in addressing associated splenomegaly and portal
hypertension.
PMID- 29644533
TI - Systematic Review of Clinical Outcomes Following Various Treatment Options for
Patients with Extraabdominal Desmoid Tumors.
AB - BACKGROUND: Desmoid tumors (DT) are rare clonal proliferations that arise from
mesenchymal cells. These tumors do not metastasize but are locally aggressive,
and their growth may lead to significant morbidity. Their clinical course is both
variable and unpredictable; tumors may rapidly progress but in other instances
remain stable or regress without intervention. AIMS: To examine current treatment
of DT and assist with decision-making at time of presentation. METHODS: A
literature search was conducted of MEDLINE and Cochrane databases for published
studies (1995-July 2015) using the search terms fibromatosis aggressive, desmoid
with drug therapy, radiation therapy, prevention and control, radiotherapy,
surgery, and therapy. Articles were categorized as surgery, radiation, surgery +
radiation, systemic therapy, and front-line observation. Articles were included
if they reported a retrospective or prospective comparative or observational
study with an analyzed sample size of 10 patients or more with confirmed
diagnosis of desmoid tumor and described one of the following clinical outcomes:
relapse- or progression-free survival, local control rate, response rate.
RESULTS: 258 articles were reviewed; following screening for eligibility, 54 were
identified; following full-text screen, 31 were included in final evaluation. The
control rate for patients treated with a "wait and see" observational approach
compared favorably with management with surgery and resulted in disease control
rates of between 60 and 92%. CONCLUSIONS: Decision-making in this rare tumor is
complicated by the range of treatment options available. Our evidence supports
use of an upfront observational approach.
PMID- 29644532
TI - Methamphetamine Induces Apoptosis of Microglia via the Intrinsic Mitochondrial
Dependent Pathway.
AB - Methamphetamine (METH) is a drug of abuse, the acute and chronic use of which
induces neurotoxic responses in the human brain, ultimately leading to
neurocognitive disorders. Our goals were to understand the impact of METH on
microglial mitochondrial respiration and to determine whether METH induces the
activation of the mitochondrial-dependent intrinsic apoptosis pathway in
microglia. We assessed the expression of pro- apoptosis genes using qPCR of RNA
extracted from a human microglial cell line (HTHU). We examined the apoptosis
inducing effects of METH on microglial cells using digital holographic microscopy
(DHM) to quantify real-time apoptotic volume decrease (AVD) in microglia in a
noninvasive manner. METH treatment significantly increased AVD, activated Caspase
3/7, increased the gene expression levels of the pro- apoptosis proteins, APAF-1
and BAX, and decreased mitochondrial DNA content. Using immunofluorescence
analysis, we found that METH increased the expression of the mitochondrial
proteins cytochrome c and MCL-1, supporting the activation of mitochondrion
dependent (intrinsic) apoptosis pathway. Cellular bio-energetic flux analysis by
Agilent Seahorse XF Analyzer revealed that METH treatment increased both
oxidative and glycolytic respiration after 3 h, which was sustained for at least
24 h. Several events, such as oxidative stress, neuro-inflammatory responses, and
mitochondrial dysfunction, may converge to mediate METH-induced apoptosis of
microglia that may contribute to neurotoxicity of the CNS. Our study has
important implications for therapeutic strategies aimed at preserving
mitochondrial function in METH abusing patients.
PMID- 29644534
TI - Co-designing Urban Living Solutions to Improve Older People's Mobility and Well
Being.
AB - Mobility is a key aspect of active ageing enabling participation and autonomy
into later life. Remaining active brings multiple physical but also social
benefits leading to higher levels of well-being. With globally increasing levels
of urbanisation alongside demographic shifts meaning in many parts of the world
this urban population will be older people, the challenge is how cities should
evolve to enable so-called active ageing. This paper reports on a co-design study
with 117 participants investigating the interaction of existing urban spaces and
infrastructure on mobility and well-being for older residents (aged 55 + years)
in three cities. A mixed method approach was trialled to identify locations
beneficial to subjective well-being and participant-led solutions to urban
mobility challenges. Spatial analysis was used to identify key underlying factors
in locations and infrastructure that promoted or compromised mobility and well
being for participants. Co-designed solutions were assessed for acceptability or
co-benefits amongst a wider cross-section of urban residents (n = 233) using
online and face-to-face surveys in each conurbation. Our analysis identified
three critical intersecting and interacting thematic problems for urban mobility
amongst older people: The quality of physical infrastructure; issues around the
delivery, governance and quality of urban systems and services; and the attitudes
and behaviors of individuals that older people encounter. This identified
complexity reinforces the need for policy responses that may not necessarily
involve design or retrofit measures, but instead might challenge perceptions and
behaviors of use and access to urban space. Our co-design results further
highlight that solutions need to move beyond the generic and placeless, instead
embedding specific locally relevant solutions in inherently geographical spaces,
populations and processes to ensure they relate to the intricacies of place.
PMID- 29644535
TI - A Secondary Spatial Analysis of Gun Violence near Boston Schools: a Public Health
Approach.
AB - School neighborhood violence continues to be a major public health problem among
urban students. A large body of research addresses violence at school; however,
fewer studies have explored concentrations of violence in areas proximal to
schools. This study aimed to quantify the concentration of shootings near schools
to elucidate the place-based dynamics that may be focal points for violence
prevention. Geocoded databases of shooting and school locations were used to
examine locational patterns of firearm shootings and elementary, middle, and high
schools in Boston, Massachusetts. Analyses utilized spatial statistics for point
pattern data including distance matrix and K function methodology to quantify the
degree of spatial dependence of shootings around schools. Results suggested that
between 2012 and 2015, there were 678 shooting incidents in Boston; the average
density was 5.1 per square kilometer. The nearest neighbor index (NNI = 0.335 km,
p < .001, O = 0.95 km, E = 0.28 km) and G function analysis revealed a clustered
pattern of gun shooting incidents indicative of a spatially non-random process.
The mean and median distance from any school to the nearest shooting location was
0.35 and 0.33 km, respectively. A majority (56%, 74/133) of schools in Boston had
at least one shooting incident within 400 m, a distance that would take about 5
min to walk if traveling by foot. The bivariate K function indicated that a
significantly greater number of shootings were clustered within short distances
from schools than would be expected under a null hypothesis of no spatial
dependence. Implications for students attending schools in racially homogenous
neighborhoods across all income levels are discussed.
PMID- 29644536
TI - Cigarette smoke and nicotine effects on brain proinflammatory responses and
behavioral and motor function in HIV-1 transgenic rats.
AB - Cognitive impairment in HIV-1 infection is associated with the induction of
chronic proinflammatory responses in the brains of infected individuals. The risk
of HIV-related cognitive impairment is increased by cigarette smoking, which
induces brain inflammation in rodent models. To better understand the role of
smoking and the associated immune response on behavioral and motor function in
HIV infection, wild-type F344 and HIV-1 transgenic (HIV1Tg) rats were exposed to
either smoke from nicotine-containing (regular) cigarettes, smoke from nicotine
free cigarettes, or to nicotine alone. The animals were then tested using the
rotarod test (RRT), the novel object recognition test (NORT), and the open field
test (OFT). Subsequently, brain frontal cortex from the rats was analyzed for
levels of TNF-alpha, IL-1, and IL-6. On the RRT, impairment was noted for F344
rats exposed to either nicotine-free cigarette smoke or nicotine alone and for
F344 and HIV1Tg rats exposed to regular cigarette smoke. Effects from the
exposures on the OFT were seen only for HIV1Tg rats, for which function was worse
following exposure to regular cigarette smoke as compared to exposure to nicotine
alone. Expression levels for all three cytokines were overall higher for HIV1Tg
than for F344 rats. For HIV1Tg rats, TNF-alpha, IL-1, and IL-6 gene expression
levels for all exposure groups were higher than for control rats. All F344 rat
exposure groups also showed significantly increased TNF-alpha expression levels.
However, for F344 rats, IL-1 expression levels were higher only for rats exposed
to nicotine-free and nicotine-containing CS, and no increase in IL-6 gene
expression was noted with any of the exposures as compared to controls. These
studies, therefore, demonstrate that F344 and HIV1Tg rats show differential
behavioral and immune effects from these exposures. These effects may potentially
reflect differences in the responsiveness of the various brain regions in the two
animal species as well as the result of direct toxicity mediated by the
proinflammatory cytokines that are produced by HIV proteins and by other factors
that are present in regular cigarette smoke.
PMID- 29644537
TI - Drug-Drug Interaction Profile of the Fixed-Dose Combination Tablet Regimen
Ledipasvir/Sofosbuvir.
AB - Ledipasvir/sofosbuvir (Harvoni(r)), a fixed-dose combination tablet of an NS5A
inhibitor ledipasvir and an NS5B polymerase inhibitor sofosbuvir, is approved for
the treatment of chronic hepatitis C virus infection. Ledipasvir/sofosbuvir
exhibits a favorable drug-drug interaction profile and can be administered with
various medications that may be used by hepatitis C virus-infected patients,
including patients with comorbidities, such as co-infection with human
immunodeficiency virus or immunosuppression following liver transplantation.
Ledipasvir/sofosbuvir is not expected to act as a victim or perpetrator of
cytochrome P450- or UDP-glucuronosyltransferase 1A1-mediated drug-drug
interactions. With the exception of strong inducers of P-glycoprotein, such as
rifampin, ledipasvir/sofosbuvir is not expected to act as a victim of clinically
relevant drug-drug interactions. As a perpetrator of pharmacokinetic drug-drug
interactions via P-glycoprotein/BCRP, ledipasvir/sofosbuvir should not be used
with rosuvastatin and elvitegravir/cobicistat/emtricitabine/tenofovir disoproxil
fumarate, whereas its co-administration with amiodarone is not recommended
because of a pharmacodynamic interaction. This review summarizes a number of drug
interaction studies conducted in support of the clinical development of
ledipasvir/sofosbuvir.
PMID- 29644538
TI - A Review of the Clinical Usefulness of Selective Laser Trabeculoplasty in
Exfoliative Glaucoma.
AB - In the last decade, selective laser trabeculoplasty (SLT) has been commonly used
in the management of several different types of glaucoma, as either primary or
adjunct therapy. The technique has an excellent safety profile and is at least as
effective as argon laser trabeculoplasty. Although the actual mechanism of action
of SLT remains unclear, evidence has shown that it does not induce
morphologically evident trabecular meshwork alterations. SLT's non-disruptive
mode of action offers the advantage of repeatability. Exfoliation glaucoma (XFG)
is a secondary open-angle glaucoma with unfavorable intraocular pressure (IOP)
characteristics, which typically carries a poorer long-term prognosis than
primary open-angle glaucoma. Consequently, patients with XFG often need multiple
medications to achieve IOP levels that prevent disease progression. Because
complicated pharmacotherapy regimens undermine the long-term tolerability and
compliance of patients with XFG, options such as SLT may decrease the burden of
multiple therapies and ultimately improve prognosis. In fact, SLT may be a
particularly attractive option in XFG because the pigment-laden trabecular tissue
of these patients enhances the absorption of laser energy and thus augments the
biologic effects induced by this treatment. The current article reviews the
postulated mechanisms of action of SLT, discusses practical aspects of SLT
therapy, and examines selected peer-reviewed literature pertaining to the
clinical usefulness of this modality in XFG patients.
PMID- 29644539
TI - Incidence, Prevalence, and Survival of Patients with Idiopathic Pulmonary
Fibrosis in the UK.
AB - INTRODUCTION: Recent developments in the care of patients with idiopathic
pulmonary fibrosis have the potential to improve survival rates. Population-based
estimates of the current disease burden are needed to evaluate the future impact
of newly approved therapies. The objective of this study is to describe
incidence, prevalence, and survival of idiopathic pulmonary fibrosis patients in
the UK. METHODS: Between 2000 and 2012, a patient cohort (N = 9,748,108),
identified from Clinical Practice Research Datalink primary care data, was used
to identify incident and prevalent cases of idiopathic pulmonary fibrosis
clinical syndrome. Incident cases were followed up to identify deaths. Poisson
and Cox regressions were used to calculate incidence rate ratios (IRR) and hazard
ratios for mortality, respectively. Adjustments were made for age, gender, and
strategic health authority. Survival from diagnosis was estimated using Kaplan
Meier analysis. RESULTS: In total 1491 and 4527 incident cases were identified
using narrow and broad idiopathic pulmonary fibrosis-clinical syndrome
definitions, respectively. Incidence and prevalence increased during the study.
Compared with 2000, a near 80% increase in incidence was observed by 2012 [IRR
1.78 (95% CI 1.50-2.11; broad definition)], despite an observed decrease using
the narrow definition [0.50 (0.38-0.65)]. Median survival was 3.0 years (95% CI
2.8-3.1) and 2.7 years (95% CI 2.5-3.0) in broad (n = 2168) and narrow case sets
(n = 996), respectively. No significant changes in survival were observed.
CONCLUSIONS: Idiopathic pulmonary fibrosis incidence rates have increased since
2000 and survival remains poor. These results provide a benchmark against which
the effects of future treatment changes can be measured. FUNDING: InterMune UK
and Ireland (now part of F. Hoffman La Roche).
PMID- 29644541
TI - Prevalence of the systemic inflammatory response syndrome in patients who
underwent orthognathic surgery.
AB - PURPOSE: The systemic inflammatory response syndrome (SIRS) is the body's
response to an insult, such as infection, trauma, burn, and surgical stress
linked to several factors deemed potential for multiple organ failure if left
untreated. Thus, the aim of this paper was a prospective study to examine the
incidence of SIRS in postoperative patients who underwent orthognathic surgery
from June/2013 to July/2016. METHODS: The sample consisted of 80 patients who
underwent bimaxillary orthognathic surgery, with data on vital signs and white
blood cell count collected preoperatively, and the same data collected in the
immediate postoperative period, in addition to CO2 pressure in arterial blood by
blood gas analysis. The data were tabulated and cases of SIRS (2 or more signs
out of four pre-set signs) were identified within 24 h after surgery. RESULTS:
From the sample of 80 patients, 26 (32.5% of total) patients had SIRS with higher
incidence in females who are 40 years old. CONCLUSION: The incidence of patients
who develop SIRS after orthognathic surgery is relatively high and we should pay
attention to the possible complications that these cases can evolve.
PMID- 29644542
TI - Challenges in inbreeding estimation of large populations based on Polish Holstein
Friesian cattle pedigree.
AB - The aim of this study was to evaluate observed and future inbreeding level in
Polish Holstein-Friesian cattle population. In total, over 9.8 mln animals were
used in the analysis coming from the pedigree of Polish Federation of Cattle
Breeders and Dairy Farmers. Inbreeding level, as an average per birth year, was
estimated with the method accounting for missing parent information with the
assumption of year 1950 as the base year of the population. If an animal had no
ancestral records, an average inbreeding level from its birth year was assigned.
Twice the average inbreeding level served as relatedness of the animal to the
population, which enabled estimation of inbreeding in its offspring. The future
inbreeding of potential offspring was estimated as an average of animals (bulls
and cows) available for mating in a certain year. It was observed that 30-50% of
animals born between 1985 and 2015 had no relevant ancestral information, which
is caused by a high number of new animals and/or entire farms entering the
national milk recordings. For the year 2015, the observed inbreeding level was
3.30%, which was more than twice the inbreeding with the classical approach
(without missing parent information) and higher by 0.4% than the future
inbreeding. The average increase of inbreeding in years 2010-2015 was 0.10%,
which is similar to other countries monitored by World Holstein-Friesian
Federation. However, the values might be underestimated due to low pedigree
completeness. The estimates of future inbreeding suggested that observed
inbreeding could be even lower and also increase slower, which indicates a
constant need to monitor rate of increase in inbreeding over time. The most
important aspect of presented results is the necessity to advise individual
farmers to keep precise recordings of the matings on their farm in order to
improve the pedigree completeness of Polish Holstein-Friesian and to use suitable
mating programs to avoid too rapid growth of inbreeding.
PMID- 29644540
TI - Molecular epidemiology of carbapenem-resistant Pseudomonas aeruginosa in an
endemic area: comparison with global data.
AB - Carbapenem-resistant Pseudomonas aeruginosa (CRPA) is an endemic problem in
certain countries including Greece. CRPA and multidrug-resistant P. aeruginosa
(MDRPA) firstly emerged in our region during the 80s, right after the launch of
imipenem and meropenem as therapeutic agents against P. aeruginosa infections.
The role of outer membrane protein (Opr) inactivation has been known to
contribute to imipenem resistance since many years, while efflux overexpression
systems have been mainly associated with meropenem resistance. Among
carbapenemases, metallo-beta-lactamases (MBL) and mostly Verona integron-mediated
(VIM) MBL's have played the most crucial role in CRPA emergence. VIM-2 and VIM-4
producing CRPA, usually belonging to clonal complexes (CC) 111 and 235
respectively, have most frequently been isolated. BlaVIM-2 and blaVIM-4 are
usually associated with a class 1 integron. VIM-17 also has appeared in Greece.
On the other hand, other VIM subtypes detected in a global level, such as VIM-3,
VIM-5, VIM-6, VIM-7, VIM-11, VIM-14, VIM-15, VIM-16 and VIM-18 have not yet
emerged in Greece. However, new VIM subtypes will probably emerge in the future.
In addition, MBL carbapenemases other than VIM, detected worldwide have not yet
appeared. A single CRPA isolate producing KPC has emerged in our region several
years ago. The study of the molecular basis of Opr deficiency and efflux
overexpression remains a challenge for the future. In this article, we review the
molecular epidemiology of CRPA in an endemic area, compared to global data.
PMID- 29644543
TI - Bioaccumulation of sediment-associated substituted phenylamine antioxidants in
Tubifex tubifex and Lampsilis siliquoidea.
AB - Substituted phenylamine antioxidants (SPAs) are additives in a variety of
commercial polymers (e.g., lubricants, plastics, etc.). Based on their
physicochemical properties, if SPAs were to enter an aquatic system, they would
likely partition into sediment and have the capacity to bioaccumulate in biota.
This study investigated the potential of four sediment-associated SPAs,
diphenylamine (DPA), N-phenyl-1-naphthalene (PNA), N-(1,3-dimethylbutyl)-N'
phenyl-1,4-phenylenediamine (DPPDA), and 4,4'-methylene-bis[N-sec-butylaniline]
(MBA) to accumulate in the tissues of freshwater mussels (Lampsilis siliquoidea)
and oligochaete worms (Tubifex tubifex). Mussels and worms were exposed to
sediment spiked with individual SPAs for 28 d. The concentration of SPAs was
measured in the gill, gonad, and remaining viscera of the mussels and entire body
of the worms. The majority of biota-sediment accumulation factors (28-d BSAFs)
for the different tissues of mussels were < 1. The highest concentrations of SPAs
were consistently observed in the gill tissue of mussels relative to the gonad
and viscera. The 28-d BSAFs for DPPDA and MBA for worms were < 1, and for DPA and
PNA, they ranged from 0.38-2.13 and 1.54-33.24, respectively. The higher 28-d
BSAFs observed for worms compared to mussels were likely because worms are
endobenthic and feed on sediment-associated organic matter. PNA and DPPDA have
similar octanol-water partition coefficients (Kow) but greater 28-d BSAFs were
observed for PNA compared to DPPDA for both species. This observation provides
evidence that biota may be able to metabolize and/or excrete SPAs with similar
physicochemical properties at considerably different rates. The 28-d BSAFs
observed for sediment-associated SPAs are lower than those typically required for
a chemical to be classified as bioaccumulative.
PMID- 29644544
TI - Toxicity of flavor enhancers to the oriental fruit fly, Bactrocera dorsalis
(Hendel) (Diptera: Tephritidae).
AB - The objective of this study was to evaluate the toxicity of flavor enhancers to
the oriental fruit fly Bactrocera dorsalis (Hendel). The flavor enhancers
glycine, disodium guanylate, succinic acid disodium salt, monosodium glutamate
(MSG), disodium inosinate, and L-alanine significantly increased the mortality of
B. dorsalis flies. The mortality of flies that fed on glycine, disodium
guanylate, succinic acid disodium salt, and MSG was greater than 90%.
Additionally, fruit fly mortality increased with increases in both time and
concentration. Glycine not only reduced the climbing ability of B. dorsalis but
also affected the duration and frequency of its behavioral patterns (flight,
walking, grooming and inactivity). Compared with adult flies in the control
group, adult B. dorsalis flies that fed on glycine exhibited a significantly
increased duration and frequency of inactivity and a decreased duration and
frequency of both flight and walking. However, the effect of glycine on grooming
activity was not significant. These findings demonstrate the toxic effects of
flavor enhancers on B. dorsalis. Glycine also affected the behavior of adult
flies at a low dose. Therefore, glycine has potentially toxic to insects and also
likely to have a negative impact at sublethal concentrations.
PMID- 29644545
TI - Adaptive Recruitment and Parenting Interventions for Immigrant Latino Families
with Adolescents.
AB - Parenting programs are an effective strategy to prevent multiple risky outcomes
during adolescence. However, these programs usually enroll one caregiver and have
low attendance. This study evaluated the preliminary results, cost, and
satisfaction of adaptive recruitment and parenting interventions for immigrant
Latino families. A mixed methods study was conducted integrating a pre-post
design with embedded qualitative and process evaluations. Fifteen immigrant
Latino families with an adolescent child aged 10-14 were recruited. Two-caregiver
families received a home visit to increase enrollment of both caregivers. All
families participated in an adaptive parenting program that included group
sessions and a one-to-one component (online videos plus follow-up telephone
calls) for those who did not attend the group sessions. The intervention
addressed positive parenting practices using a strengths-based framework. Primary
outcomes were the proportion of two-parent families recruited and intervention
participation. Secondary outcomes were change in parenting self-efficacy,
practices, fidelity, costs, and satisfaction. Participants completed
questionnaires and interaction tasks before and after participating in the
intervention. In addition, participants and program facilitators completed
individual interviews to assess satisfaction with the program components.
Overall, 23 parents participated in the intervention; 73% of two-parent families
enrolled with both parents. Most participants completed 75% or more of the
intervention. Fathers were more likely to use the one-to-one component of the
intervention than mothers (p = .038). Participants were satisfied with program
modifications. In sum, adaptive recruitment and parenting interventions achieved
high father enrollment and high participation. These findings warrant further
evaluation in randomized trials.
PMID- 29644546
TI - Imaging of urgencies and emergencies in the lung cancer patient.
AB - : Lung cancer patients often experience potentially life-threatening medical
urgencies and emergencies, which may be a direct or indirect result of the
underlying malignancy. This pictorial review addresses the most common thoracic,
neurological and musculoskeletal medical emergencies in lung cancer patients,
including superior vena cava syndrome, pulmonary embolism, spontaneous
pneumothorax, cardiac tamponade, massive haemoptysis, central airway obstruction,
oesophagorespiratory fistula, malignant spinal cord compression, carcinomatous
meningitis, cerebral herniation and pathological fracture. Emphasis is placed on
imaging findings, the role of different imaging techniques and a brief discussion
of epidemiology, pathophysiology and therapeutic options. Since early diagnosis
is important for adequate patient management and prognosis, radiologists have a
crucial role in recognising and communicating these urgencies and emergencies.
TEACHING POINTS: * Multiplanar multidetector computed tomography is the imaging
examination of choice for thoracic urgencies and emergencies. * Magnetic
resonance imaging is the imaging modality of choice for investigating central
nervous system emergencies. * Urgencies and emergencies can be the initial
manifestation of lung cancer. * Radiologists have a crucial role in recognising
and in communicating these urgencies/emergencies.
PMID- 29644547
TI - SACMDA: MiRNA-Disease Association Prediction with Short Acyclic Connections in
Heterogeneous Graph.
AB - MiRNA-disease association is important to disease diagnosis and treatment.
Prediction of miRNA-disease associations is receiving increasing attention. Using
the huge number of known databases to predict potential associations between
miRNAs and diseases is an important topic in the field of biology and medicine.
In this paper, we propose a novel computational method of with Short Acyclic
Connections in Heterogeneous Graph (SACMDA). SACMDA obtains AUCs of 0.8770 and
0.8368 during global and local leave-one-out cross validation, respectively.
Furthermore, SACMDA has been applied to three important human cancers for
performance evaluation. As a result, 92% (Colon Neoplasms), 96% (Carcinoma
Hepatocellular) and 94% (Esophageal Neoplasms) of top 50 predicted miRNAs are
confirmed by recent experimental reports. What's more, SACMDA could be
effectively applied to new diseases and new miRNAs without any known
associations, which overcomes the limitations of many previous methods.
PMID- 29644548
TI - Rheology of granular materials composed of crushable particles.
AB - We investigate sheared granular materials composed of crushable particles by
means of contact dynamics simulations and the bonded-cell model for particle
breakage. Each particle is paved by irregular cells interacting via cohesive
forces. In each simulation, the ratio of the internal cohesion of particles to
the confining pressure, the relative cohesion, is kept constant and the packing
is subjected to biaxial shearing. The particles can break into two or more
fragments when the internal cohesive forces are overcome by the action of
compressive force chains between particles. The particle size distribution
evolves during shear as the particles continue to break. We find that the
breakage process is highly inhomogeneous both in the fragment sizes and their
locations inside the packing. In particular, a number of large particles never
break whereas a large number of particles are fully shattered. As a result, the
packing keeps the memory of its initial particle size distribution, whereas a
power-law distribution is observed for particles of intermediate size due to
consecutive fragmentation events whereby the memory of the initial state is lost.
Due to growing polydispersity, dense shear bands are formed inside the packings
and the usual dilatant behavior is reduced or cancelled. Hence, the stress-strain
curve no longer passes through a peak stress, and a progressive monotonic
evolution towards a pseudo-steady state is observed instead. We find that the
crushing rate is controlled by the confining pressure. We also show that the
shear strength of the packing is well expressed in terms of contact anisotropies
and force anisotropies. The force anisotropy increases while the contact
orientation anisotropy declines for increasing internal cohesion of the
particles. These two effects compensate each other so that the shear strength is
nearly independent of the internal cohesion of particles.
PMID- 29644550
TI - Distinctive and Complementary MS2 Fragmentation Characteristics for
Identification of Sulfated Sialylated N-Glycopeptides by nanoLC-MS/MS Workflow.
AB - High sensitivity identification of sulfated glycans carried on specific sites of
glycoproteins is an important requisite for investigation of molecular
recognition events involved in diverse biological processes. However, aiming for
resolving site-specific glycosylation of sulfated glycopeptides by direct LC-MS2
sequencing is technically most challenging. Other than the usual limiting factors
such as lower abundance and ionization efficiency compared to analysis of non
glycosylated peptides, confident identification of sulfated glycopeptides among
the more abundant non-sulfated glycopeptides requires additional considerations
in the selective enrichment and detection strategies. Metal oxide has been
applied to enrich phosphopeptides and sialylated glycopeptides, but its use to
capture sulfated glycopeptides has not been investigated. Likewise, various
complementary MS2 fragmentation modes have yet to be tested against sialylated
and non-sialylated sulfoglycopeptides due to limited appropriate sample
availability. In this study, we have investigated the feasibility of sequencing
tryptic sulfated N-glycopeptide and its MS2 fragmentation characteristics by
first optimizing the enrichment methods to allow efficient LC-MS detection and
MS2 analysis by a combination of CID, HCD, ETD, and EThcD on hybrid and tribrid
Orbitrap instruments. Characteristic sulfated glyco-oxonium ions and direct loss
of sulfite from precursors were detected as evidences of sulfate modification. It
is anticipated that the technical advances demonstrated in this study would allow
a feasible extension of our sulfoglycomic analysis to sulfoglycoproteomics.
Graphical Abstract ?.
PMID- 29644549
TI - Cracking the Sugar Code by Mass Spectrometry : An Invited Perspective in Honor of
Dr. Catherine E. Costello, Recipient of the 2017 ASMS Distinguished Contribution
Award.
AB - The structural study of glycans and glycoconjugates is essential to assign their
roles in homeostasis, health, and disease. Once dominated by nuclear magnetic
resonance spectroscopy, mass spectrometric methods have become the preferred
toolbox for the determination of glycan structures at high sensitivity. The
patterns of such structures in different cellular states now allow us to
interpret the sugar codes in health and disease, based on structure-function
relationships. Dr. Catherine E. Costello was the 2017 recipient of the American
Society for Mass Spectrometry's Distinguished Contribution Award. In this
Perspective article, we describe her seminal work in a historical and
geographical context and review the impact of her research accomplishments in the
field.8 ? Graphical abstract.
PMID- 29644551
TI - Lipase catalyzed epoxidation of fatty acid methyl esters derived from unsaturated
vegetable oils in absence of carboxylic acid.
AB - Nowadays the industrial chemistry reactions rely on green technologies. Enzymes
as lipases are increasing its use in diverse chemical processes. Epoxidized fatty
acid methyl esters obtained from transesterification of vegetable oils have
recently found applications as polymer plasticizer, agrochemical, cosmetics,
pharmaceuticals and food additives. In this research article, grapeseed, avocado
and olive oils naturally containing high percents of mono and poly unsaturations
were used as starting materials for the production of unsaturated fatty acid
methyl esters. The effect of lauric acid as an active oxygen carrier was studied
on epoxidation reactions where unsaturated fatty acid methyl esters were
converted to epoxy fatty acid methyl esters using immobilized Candida antarctica
Lipase type B as catalyst and hydrogen peroxide as oxygen donor at mild
temperature and pressure conditions. After this study it was confirmed by 1H NMR,
13C NMR and GC-MS that the addition of lauric acid to the enzymatic reaction is
unnecessary to transform the alkenes in to epoxides. It was found that
quantitative conversions were possible in despite of a carboxylic acid absence.
PMID- 29644552
TI - Healthcare Services Utilization Among Migrants in Portugal: Results From the
National Health Survey 2014.
AB - Migrants' health is attracting substantial global interest. We aimed to identify
barriers and differences in healthcare services utilization between migrants and
natives in a nationally representative sample using data from the National Health
Survey 2014. A total of 18,165 participants providing information on country of
birth and nationality were included, and comparison of healthcare services
utilization was made by using participants born in Portugal and with Portuguese
nationality as the reference group. Migrants reported a lower frequency of
medical visits, a higher consumption of medication without a prescription and
less use of preventive care services. The main reasons for not attending medical
consultations among migrants were the absence of need and financial difficulties.
This study illustrates inequalities in healthcare use among migrants in Portugal,
and provides useful information for enlightening policymakers and healthcare
providers to develop health policies that can address migrants' needs.
PMID- 29644553
TI - Theory and methodology: essential tools that can become dangerous belief systems.
PMID- 29644554
TI - Curcumin ameliorates palmitate-induced inflammation in skeletal muscle cells by
regulating JNK/NF-kB pathway and ROS production.
AB - Curcumin, a natural polyphenol compound, has the beneficial effects on several
diseases such as metabolic syndrome, cancer, and diabetes. The anti-inflammatory
property of curcumin has been demonstrated in different cells; however, its role
in prevention of palmitate-induced inflammation in skeletal muscle C2C12 cells is
not known. In this study, we examined the effect of curcumin on the inflammatory
responses stimulated by palmitate in C2C2 cells. The results showed that
palmitate upregulated the mRNA expression and protein release of IL-6 and TNF
alpha cytokines in C2C12 cells, while pretreatment with curcumin was able to
attenuate the effect of palmitate on inflammatory cytokines. The anti
inflammatory effect of curcumin was associated with the repression of
phosphorylation of IKKalpha-IKKbeta, and JNK. Palmitate also caused an increase
in reactive oxygen species (ROS) level that curcumin abrogated it. Collectively,
these findings suggest that curcumin may represent a promising therapy for
prevention of inflammation in skeletal muscle cells.
PMID- 29644555
TI - A mechanistic approach to explore the neuroprotective potential of zonisamide in
seizures.
AB - BACKGROUND: Epilepsy, a disease of the brain, is one of the most common serious
neurological conditions. It is associated with a group of processes which alter
energy metabolism, interrupt cellular ionic homeostasis, cause receptor
dysfunction, activate inflammatory cascade, alter neurotransmitter uptake and
result in neuronal damage. The increasing knowledge and understanding about the
basis of neuronal changes in epilepsy lead to investigate the mechanistic pathway
of neuroprotective agents in epilepsy. With this background, the present study is
designed to reveal the molecular and biochemical mechanisms involved in the
neuroprotective potential of zonisamide in epilepsy. METHODS: Seizure-induced
neuronal damage was produced by maximal electroshock seizures in animals. The
oxidative stress and neuroinflammatory and apoptotic markers were assessed in the
brain tissue of animals. RESULTS AND DISCUSSION: The present findings revealed
that zonisamide treatment prevented the development of seizures in animals.
Seizures-induced free radicals production and neuroinflammation were markedly
ameliorated by zonisamide administration. In conclusion, the present study
demonstrated the mechanisms behind the strong neuroprotective potential of
zonisamide against seizures by attenuating the oxidative stress, inflammatory
cascade and neuronal death associated with progression of seizures. It can be
further developed as a neuroprotective agent for epilepsy and other
neurodegenerative disorders.
PMID- 29644556
TI - Postoperative Pain and Opioid Consumption in the Acute Postoperative Period after
Laparoscopic Gastrectomy.
PMID- 29644558
TI - Finger and forehead photoplethysmography-derived pulse-pressure variation and the
benefits of baseline correction.
AB - To non-invasively predict fluid responsiveness, respiration-induced pulse
amplitude variation (PAV) in the photoplethysmographic (PPG) signal has been
proposed as an alternative to pulse pressure variation (PPV) in the arterial
blood pressure (ABP) signal. However, it is still unclear how the performance of
the PPG-derived PAV is site-dependent during surgery. The aim of this study is to
compare finger- and forehead-PPG derived PAV in their ability to approach the
value and trend of ABP-derived PPV. Furthermore, this study investigates four
potential confounding factors, (1) baseline variation, (2) PPV, (3) ratio of
respiration and heart rate, and (4) perfusion index, which might affect the
agreement between PPV and PAV. In this work, ABP, finger PPG, and forehead PPG
were continuously recorded in 29 patients undergoing major surgery in the
operating room. A total of 91.2 h data were used for analysis, from which PAV and
PPV were calculated and compared. We analyzed the impact of the four factors
using a multiple linear regression (MLR) analysis. The results show that compared
with the ABP-derived PPV, finger-derived PAV had an agreement of 3.2 +/- 5.1%,
whereas forehead-PAV had an agreement of 12.0 +/- 9.1%. From the MLR analysis, we
found that baseline variation was a factor significantly affecting the agreement
between PPV and PAV. After correcting for respiration-induced baseline variation,
the agreements for finger- and forehead-derived PAV were improved to reach an
agreement of - 1.2 +/- 3.8% and 3.3 +/- 4.8%, respectively. To conclude, finger
derived PAV showed better agreement with ABP-derived PPV compared to forehead
derived PAV. Baseline variation was a factor that significantly affected the
agreement between PPV and PAV. By correcting for the baseline variation, improved
agreements were obtained for both the finger and forehead, and the difference
between these two agreements was diminished. The tracking abilities for both
finger- and forehead-derived PAV still warrant improvement for wide use in
clinical practice. Overall, our results show that baseline-corrected finger- and
forehead-derived PAV may provide a non-invasive alternative for PPV.
PMID- 29644557
TI - Colorectal Liver Metastases: Does the Future of Precision Medicine Lie in Genetic
Testing?
AB - Colorectal liver metastases (CRLM) present an important clinical challenge in
both surgical and medical oncology. Despite improvements in management, survival
among patients undergoing resection of CRLM is still very variable and there is a
paucity of clinical trial data and reliable biomarkers that could guide
prognostic forecasts, treatment selection, and follow-up. Fortunately, recent
advances in molecular biology and tumor sequencing have identified a number of
critical genetic loci and proliferation markers that may hold the key to
understanding the biologic behavior of CRLM; specifically, mutations of KRAS,
BRAF, TP53, PIK3CA, APC, expression of Ki-67, and the presence of microsatellite
instability appear to have a decisive impact on prognosis and response to
treatment in patients with CRLM. While the applicability of genetic biomarkers in
everyday clinical practice remains conditional on the development of inexpensive
bedside sequencing, targeted therapies, and the conduct of appropriate clinical
trials, the promise of personalized treatment may be closer to realization than
ever before.
PMID- 29644559
TI - A versatile Trichoderma reesei expression system for the production of
heterologous proteins.
AB - OBJECTIVES: To develop a versatile Trichoderma reesei (teleomorph Hypocrea
jecorina) expression system for the high-purity production of heterologous
proteins. RESULTS: The versatile T. reesei expression system is based on xyn1 and
xyn2 promoters, A824V transition in XYRI, and a bicomponent carbon source
strategy. Red fluorescent protein gene rfp and alkaline endoglucanase EGV gene
egv3 from Humicola insolens were used as reporter genes to test our versatile
expression system CONCLUSIONS: The versatile T. reesei expression system can be
applied to produce heterologous proteins with high purity and high yield.
PMID- 29644560
TI - Correction to: Association between lifestyle, menstrual/reproductive history, and
histological factors and risk of breast cancer in women biopsied for benign
breast disease.
AB - The third category for extent of involution in Table 4 was published incorrectly
in the original publication. The correct classification is >= 75% and the
corrected Table 4 is given in the Correction article.
PMID- 29644562
TI - Exacerbating Inequalities? Health Policy and the Behavioural Sciences.
AB - There have been calls for some time for a new approach to public health in the
United Kingdom and beyond. This is consequent on the recognition and acceptance
that health problems often have a complex and multi-faceted aetiology. At the
same time, policies which utilise insights from research in behavioural economics
and psychology ('behavioural science') have gained prominence on the political
agenda. The relationship between the social determinants of health (SDoH) and
behavioural science in health policy has not hitherto been explored. Given the on
going presence of strategies based on findings from behavioural science in policy
making on the political agenda, an examination of this is warranted. This paper
begins by looking at the place of the SDoH within public health, before
outlining, in brief, the recent drive towards utilising behavioural science to
formulate law and public policy. We then examine the relationship between this
and the SDoH. We argue that behavioural public health policy is, to a certain
extent, blind to the social and other determinants of health. In section three,
we examine ways in which such policies may perpetuate and/or exacerbate health
inequities and social injustices. We argue that problems in this respect may be
compounded by assumptions and practices which are built into some behavioural
science methodologies. We also argue that incremental individual gains may not be
enough. As such, population-level measures are sometimes necessary. In section
four we defend this contention, arguing that an equitable and justifiable public
health requires such measures.
PMID- 29644561
TI - Osteoprotegerin deficiency causes morphological and quantitative damage in
epithelial rests of Malassez.
AB - Epithelial rests of Malassez (ERM), the only odontogenic epithelial structures in
periodontal tissue, are proposed to correlate with root resorption, but the
detailed mechanism remains unclear. Osteoprotegerin (OPG), the main inhibitor of
osteoclastogenesis, plays a pivotal role in inhibiting root resorption, and ERM
cells express OPG mRNA in vitro. Thus, in this study, we aimed to clarify OPG
expression in ERM in vivo and to explore the role of OPG in ERM to determine
whether ERM are associated with root resorption via OPG. We established Opg
knockout (Opg-KO) mice and detected the OPG expression in ERM by
immunohistochemical staining in 4-, 6-, 10-, 26- and 52-week-old mice. The ERM of
wild-type (WT) mice and Opg-KO mice were evaluated histologically at 4, 10 and 26
weeks of age. Orthodontic root resorption models were established, maxillae were
collected after 4 weeks, and ERM were analysed by histomorphometric analysis. In
our study, OPG displayed sustained expression in ERM, and OPG deficiency caused
the destruction of ERM, characterized by irregular morphology and reduced
numbers. Moreover, after orthodontic treatment, the loss of OPG severely damaged
ERM, aggravating root resorption. Together, our results demonstrated that ERM
expressed the OPG protein in vivo and that OPG deficiency resulted in
morphological and quantitative damage to ERM. Furthermore, ERM may be associated
with root resorption via OPG, thus helping to explain the mechanism underlying
root resorption.
PMID- 29644563
TI - Heat acclimation increases mitochondrial respiration capacity of C2C12 myotubes
and protects against LPS-mediated energy deficit.
AB - This work investigated the effect of a 6-day heat acclimation (HA) protocol on
myotube metabolic responses at baseline and in response to a subsequent
lipopolysaccharide (LPS) challenge. C2C12 myotubes were incubated for 2 h/day at
40 degrees C for 6 days (HA) or maintained at 37 degrees C (C). Following 24-h
recovery, myotubes were challenged with 500 ng/ml LPS for 2 h, then collected for
analysis of protein markers of mitochondrial biogenesis and macronutrient
storage. Functional significance of these changes was confirmed with
mitochondrial respiration and glycolytic measurements on a Seahorse XF-96
analyzer. HA stimulated mitochondrial biogenesis and increased indicators of
mitochondrial content [SIRT1 (+ 62%); PGC-1alpha (+ 57%); NRF-1 (+ 40%); TFAM (+
141%); CS (+ 25%); CytC (+ 38%); all p < 0.05]. Altered lipid biosynthesis
enzymes [p-ACCa:ACC (+ 59%; p = 0.04) and FAS (- 86%; p < 0.01)] suggest fatty
acid generation may have been downregulated, whereas increased GLUT4 (+ 69%; p <
0.01) and LDH-B (+ 366%; p < 0.01) suggest aerobic glycolytic capacity may have
been improved. Mitochondrial biogenesis signaling in HA myotubes was suppressed
by 500 ng/ml LPS (PGC-1alpha, NRF-1, TFAM; all p > 0.05) but increased LDH-B (+
30%; p = 0.02) and CPT-1 (+ 55%; p < 0.01) suggesting improved catabolic
function. Basal respiration was increased in HA myotubes (+ 8%; p < 0.01) and HA
myotubes maintained elevated basal respiration during LPS challenge (+ 8%; p <
0.01). LPS reduced peak respiration in C myotubes (- 6%; p < 0.01) but did not
impair peak respiration in HA myotubes (p > 0.05). Oxidative reliance was
elevated in HA over that in control (+ 25%; p < 0.01) and in HA + LPS over C +
LPS (+ 30%; p < 0.01). In summary, HA stimulated mitochondrial biogenesis in
C2C12 myotubes. HA myotubes exhibited (1) elevated basal/peak mitochondrial
respiration capacities; (2) greater oxidative reliance; and (3) protection
against LPS-mediated respiration impairment. Collectively, these data suggest HA
may improve aerobic metabolism in skeletal muscle and protect against LPS
mediated energy deficit.
PMID- 29644564
TI - Semi-quantitative Cough Strength Score as a Predictor for Extubation Outcome in
Traumatic Brain Injury: A Prospective Observational Study.
AB - BACKGROUND: Between 25 and 40% of extubated patients with traumatic brain injury
(TBI) in the intensive care unit at our hospital (Assiut University Hospital
Assiut-Egypt) require reintubation. This reflects the importance of developing
better criteria for predicting successful extubation in TBI. We evaluated the
accuracy of semi-quantitative cough strength score (SCSS) and Glasgow coma scale
(GCS) in predicting extubation outcomes in TBI. METHODS: This prospective
observational study included patients (18-65 years), with TBI on mechanical
ventilation more than 24 h who were ready to be weaned off. Three tools were
used. Tool I: Patient assessment sheet, this tool used to assess socio
demographic and clinical data of patients. Tool II: Semi-quantitative cough
strength score (0-5). Tool III: Factors affecting successful extubation, this
tool used to confirm the presence or absence of factors that can interfere with
the results of extubation outcomes. After extubation, patient was followed up for
72 h to check for extubation success. Multivariate logistic binary regression
test was used to calculate odds ratio for different clinical data collected
before extubation as independent factors and successful extubation as a dependent
factor. RESULTS: Among 80 patients of mean age 40.6 (+/- 16.1), 34% were female,
median admission GCS was 8 (4-13), extubation occurred on mean post-injury day
6.5 (+/- 4), and 46.3% required reintubation. Successfully extubated patients had
higher semi-quantitative cough scores and GCS. 81.3% patients with SCSS 5 were
successfully extubated, while all patients with SCSS 0 were reintubated. All
patients with GCS 15 were successfully extubated, and all patients with GCS < 12
required intubation. CONCLUSION: SCSS has shown promise in predicting successful
extubation in TBI.
PMID- 29644565
TI - Genetic evaluation of growth in farmers' flocks of Madras Red sheep under long
term selection in a group breeding scheme.
AB - The Network Project on Sheep Improvement (NWPSI)-Madras Red field unit is a group
breeding scheme involving 198 farmers' flocks of Madras Red sheep in which
selection for growth traits and rotation of rams have been practised for over two
decades. Growth data collected from these flocks were used to evaluate the
performance and understand the direct and expected responses to selection based
on genetic parameters. The body weight at birth (BW), weaning weight (WW), 6
month weight (6W), 9-month weight (9W), 12-month weight (YW), pre-weaning average
daily gain (ADG1, birth to 3 months), post-weaning ADG2 (3-6 months), ADG3 (6-9
months), ADG4 (9-12 months) and ADG5 (3-12 months) were 2.67, 10.05, 14.56,
18.36, 21.36, 80.13, 49.05, 43.00, 34.21 and 41.18 g, respectively. Univariate
analyses were carried out using animal and sire models to estimate variance
components. Heritability obtained from animal model for BW was 0.36 and the
values for other body weight traits were almost unity. Heritability estimate for
pre-weaning ADG1 was 0.31. Very high genetic variability was observed in spite of
long-term selection and this sustenance of variability is one of the main
advantages of a group breeding scheme, combining several flocks of smaller size.
An increasing genetic and phenotypic trend was noticed for almost all the traits
studied. The expected responses calculated based on genetic parameters also
indicated scope for improvement.
PMID- 29644566
TI - Effects of enzyme supplementation on the nutrient, amino acid, and energy
utilization efficiency of citrus pulp and hawthorn pulp in Linwu ducks.
AB - The objective of this study was to evaluate the effects of enzyme supplementation
on the nutrient, amino acid, and energy utilization efficiency of citrus pulp and
hawthorn pulp as unusual feedstuffs in Linwu ducks. Forty ducks were assigned to
each treatment group and fed diets with or without complex enzyme
supplementation. All birds received the same quantity of raw material (60 g) via
the force-feeding procedure. With the exception of leucine and phenylalanine,
amino acid concentrations in hawthorn pulp were twice those in citrus pulp.
Enzyme supplementation significantly increased apparent dry matter digestibility
(ADM) of citrus pulp (P < 0.05), but had no significant effects (P > 0.05) on the
apparent and true utilization rates of other nutrients, apparent metabolizable
energy (AME), or true metabolizable energy (TME), from citrus pulp and hawthorn
pulp by Linwu ducks. However, enzyme supplementation significantly increased (P <
0.05) apparent gross energy, true gross energy, AME, and TME of hawthorn pulp for
Linwu ducks. There were no differences in the apparent and true utilization rates
of amino acids from citrus pulp (P > 0.56) between the groups, with the exception
of arginine (P < 0.05). There was an increasing trend in the apparent and true
utilization rates of alanine (P = 0.06) and tyrosine (P = 0.074) from citrus pulp
with enzyme supplementation. The apparent and true utilization rates of threonine
in hawthorn pulp were increased significantly (P < 0.05) following enzyme
supplementation. The addition of exogenous enzymes improved the forage quality of
citrus pulp and hawthorn pulp, which represent potential feed resources for
husbandry production.
PMID- 29644567
TI - How accurate is the data provided to the Irish hip fracture database?
AB - National databases, such as the Irish Hip Fracture Database (IHFD), are known to
contain inaccuracies. The coordination of services, national funding and future
research rely on the integrity of the IHFD so as to avoid policy and budget
planning being based on misrepresentative data. An audit was performed to assess
the accuracy of the 2016 IHFD data collected in our trauma unit. The Hospital In
Patient Enquiry (HIPE)-recorded fracture classification, IHFD-recorded fracture
classification and IHFD-recorded operation performed for each hip fracture
patient was identified. Each variable was compared with the classifications and
procedures derived by the authors. Two hundred fifty-two cases were identified.
The HIPE-recorded fracture classification was accurate in 29% of cases, and the
IHFD-recorded fracture classification in 61% (p < 0.001). The IHFD-recorded
operation performed was accurate in 76% of cases. Thirty-six cases (14%) were
omitted by HIPE, and eight (3%) from the local IHFD (p < 0.001). Errors resulted
from poor documentation, in determining the presence of fracture displacement,
prosthesis coating and intramedullary nail length. Diagnoses and procedures were
identified from ward and theatre logbooks that the data coordinator was unable to
record. The data coordinator in our unit doubled the accuracy of the data, and
reduced the rate of omitted cases by a factor of 4.5. Accuracy levels would be
significantly improved with clear, thorough documentation by the medical team
following education of junior surgical trainees on hip fracture classification
and procedural coding. Inaccurate data has a negative effect on hospital
reimbursement and compromises the integrity of the IHFD.
PMID- 29644568
TI - Smiling faces and cash bonuses: Exploring common affective coding across positive
and negative emotional and motivational stimuli using fMRI.
AB - Although it is clear that emotional and motivational manipulations yield a strong
influence on cognition and behaviour, these domains have mostly been investigated
in independent research lines. Therefore, it remains poorly understood how far
these affective manipulations overlap in terms of their underlying neural
activations, especially in light of previous findings that suggest a shared
valence mechanism across multiple affective processing domains (e.g., monetary
incentives, primary rewards, emotional events). This is particularly interesting
considering the commonality between emotional and motivational constructs in
terms of their basic affective nature (positive vs. negative), but dissociations
in terms of instrumentality, in that only reward-related stimuli are typically
associated with performance-contingent outcomes. Here, we aimed to examine
potential common neural processes triggered by emotional and motivational stimuli
in matched tasks within participants using functional magnetic resonance imaging
(fMRI). Across tasks, we found shared valence effects in the ventromedial
prefrontal cortex and left inferior frontal gyrus (part of dorsolateral
prefrontal cortex), with increased activity for positive and negative stimuli,
respectively. Despite this commonality, emotion and reward tasks featured
differential behavioural patterns in that negative valence effects (performance
costs) were exclusive to emotional stimuli, while positive valence effects
(performance benefits) were only observed for reward-related stimuli. Overall,
our data suggest a common affective coding mechanism across different task
domains and support the idea that monetary incentives entail signed basic valence
signals, above and beyond the instruction to perform both gain and loss trials as
accurately as possible to maximise the outcome.
PMID- 29644569
TI - Evaluation and breeding application of six brown planthopper resistance genes in
rice maintainer line Jin 23B.
AB - BACKGROUND: The brown planthopper (BPH), an insect species that feeds on rice
plants (Oryza sativa L.), is one of the most destructive insect pests of rice.
BPH can be controlled with chemical pesticides, but they are expensive in terms
of the cost and environmental hazards. Hence, utilization of resistance genes in
resistant varieties is considered as a more economical and eco-friendly effective
method for control. RESULTS: In this study, six dominant BPH-resistance genes
(Bph3, Bph14, Bph15, Bph18, Bph20 and Bph21) were introduced into an elite indica
rice cultivar Jin 23B using the marker-assisted selection (MAS) method of
breeding. One line combining Bph14 and Bph15 and six single gene introgression
lines were used to evaluate the gene effects based on three parameters: seedling
and tillering resistance of the rice genotypes, honeydew weight, and BPH survival
rate. Among all improved lines, combination of Bph14 and Bph15 had the largest
effect in conferring resistance to BPH. Bioassays showed that the order of the
gene effects was Bph14/Bph15 > Bph15 >= Bph14 >= Bph20 >= Bph21 >= Bph3 > Bph18 >
none at the rice seedling stage. The pyramided or single-gene introgression lines
showed enhanced resistance relative to the control. Furthermore, field trial data
demonstrated that yields of improved Jin 23B lines were similar to the control
under BPH-free field conditions. CONCLUSIONS: Each of the BPH resistance genes
reduced BPH growth and development, and was effective at both the seedling and
tillering growth stages. These lines can be used in rice hybrid and production in
China.
PMID- 29644570
TI - Psoriatic disease treatment nowadays: unmet needs among the "jungle of biologic
drugs and small molecules".
PMID- 29644571
TI - Potential Human Health Risk Assessment of Heavy Metals via Consumption of Root
Tubers from Ogoniland, Rivers State, Nigeria.
AB - This study was designed to investigate the concentration of heavy metals and
their associated human health risk via consumption of root tubers cultivated in
three major communities in Ogoniland, Rivers State, Nigeria. The concentration of
heavy metals, especially, Cr and Pb in soil, indicates a moderate contamination
(? 1.00 mg/kg) level. The concentrations of heavy metals in the root tubers
Manihot esculenta, Colocasia esculenta, and Dioscorea alata harvested from Choba,
Kpean, and Bodo City ranged from 0.20 +/- 0.01-0.84 +/- 0.04, 0.01 +/- 0.001-0.09
+/- 0.02, 0.01 +/- 0.002-0.63 +/- 0.04, 2.11 +/- 0.03-11.8 +/- 2.12, and 6.30 +/-
1.18-118.6 +/- 0.19 mg/kg for Cr, Pb, Ni, Mn, and Fe respectively, while Cd had
the same value of 0.01 +/- 0.001 mg/kg in the three samples across the three
sites. The bio-accumulation factor (BAF) for Cd was ? 1. The estimated daily
intake were below the FAO/WHO permissible limit, while the target hazard quotient
(THQ) has a trend of Mn> Fe> Pb> Cd> Ni> Cr, and were ? 1 for all the sampling
areas. The HI values for M. esculenta for children in Choba, Kpean, and Bodo City
were ? 1, indicating that children among the local populace were susceptible to
heavy metals health risks. The carcinogenic risk (CR) value of Cr indicates that
there was appreciable lifetime cancer risk for Cr as the CR value in all the
sampling sites were ? 10-4. Furthermore, CR values of Ni and Cd were also within
the predicted lifetime risks of carcinogens. Heavy metal contamination of root
tubers cultivated in Ogoniland may posed a great health risk to local populace
especially for children via consumption of root tubers.
PMID- 29644572
TI - Low-Magnitude Forces for Bone Modeling and Remodeling in Dentofacial Orthopedics.
AB - PURPOSE OF REVIEW: To examine the evidence in support of light continuous forces
for enhancing bone adaptation (modeling and remodeling) in orthodontics and
dentofacial orthopedics. RECENT FINDINGS: Clinical evidence suggests that light
continuous orthodontic force can achieve physiologic expansion of the maxillary
arch, but the long-term stability and the biological effects of the procedure are
unclear. Compared to conventional orthodontic appliances that deliver heavy
interrupted forces for tooth movement, the application of low-magnitude forces in
animal models leads to anabolic modeling and remodeling of the alveolar bone in
the path of orthodontic tooth movement. This results in dental translation and
expansion of the alveolar process. Light continuous forces are preferable to
heavy forces for more physiologic dentofacial orthopedics. The interaction of low
magnitude loads with soft tissue posture achieves therapeutic adaptation of the
craniofacial skeleton. The increasing emphasis on genomic medicine and
personalized treatment planning should focus on low-magnitude loads in
orthodontics and dentofacial orthopedics.
PMID- 29644573
TI - Myeloid-specific targeting of Notch ameliorates murine renal fibrosis via reduced
infiltration and activation of bone marrow-derived macrophage.
AB - Macrophages play critical roles in renal fibrosis. However, macrophages exhibit
ontogenic and functional heterogeneities, and which population of macrophages
contributes to renal fibrosis and the underlying mechanisms remain unclear. In
this study, we genetically targeted Notch signaling by disrupting the
transcription factor recombination signal binding protein-Jkappa (RBP-J), to
reveal its role in regulation of macrophages during the unilateral ureteral
obstruction (UUO)-induced murine renal fibrosis. Myeloid-specific disruption of
RBP-J attenuated renal fibrosis with reduced extracellular matrix deposition and
myofibroblast activation, as well as attenuated epithelial-mesenchymal
transition, likely owing to the reduced expression of TGF-beta. Meanwhile, RBP-J
deletion significantly hampered macrophage infiltration and activation in
fibrotic kidney, although their proliferation appeared unaltered. By using
macrophage clearance experiment, we found that kidney resident macrophages made
negligible contribution, but bone marrow (BM)-derived macrophages played a major
role in renal fibrogenesis. Further mechanistic analyses showed that Notch
blockade reduced monocyte emigration from BM by down-regulating CCR2 expression.
Finally, we found that myeloid-specific Notch activation aggravated renal
fibrosis, which was mediated by CCR2+ macrophages infiltration. In summary, our
data have unveiled that myeloid-specific targeting of Notch could ameliorate
renal fibrosis by regulating BM-derived macrophages recruitment and activation,
providing a novel strategy for intervention of this disease.
PMID- 29644574
TI - Erratum to: Percutaneous Mitral Valve Interventions and Heart Failure.
PMID- 29644575
TI - Erratum to: Management of Bradyarrhythmias in Heart Failure: A Tailored Approach.
PMID- 29644577
TI - The Impact of Dose and Simultaneous Use of Acid-Reducing Agents on the
Effectiveness of Vemurafenib in Metastatic BRAF V600 Mutated Melanoma: a
Retrospective Cohort Study.
AB - BACKGROUND: The impact of dose and simultaneous use of acid-reducing agents
(ARAs) on the effectiveness of vemurafenib is unknown. OBJECTIVES: To determine
the association between progression of metastatic BRAF V600 mutated melanoma and
(1) dose reductions of vemurafenib and (2) simultaneous use of vemurafenib and
ARAs. PATIENT AND METHODS: A retrospective cohort study of 112 first-line
vemurafenib users for melanoma was conducted (March 2012-March 2016), using
electronic patient records and pharmacy dispensing records of a Dutch academic
hospital. Cox regression analysis was used to estimate the risk of progression
with full-dose (n = 64) versus reduced-dose vemurafenib (n = 48) and with
simultaneous use of vemurafenib and ARAs (n = 35) versus vemurafenib alone (n =
77). Analyses were adjusted for age and sex. RESULTS: In total, disease
progression occurred in 55% of treated patients on vemurafenib, with a median
progression-free survival of 6.0 (95% confidence interval [CI] 5.0-6.9) months.
Compared to patients on vemurafenib alone, there was no increased risk of
progression among patients requiring vemurafenib at a reduced dose or among
patients receiving simultaneous therapy with vemurafenib and ARAs. In addition,
there was no increased risk of progression among patients who used reduced-dose
vemurafenib and ARAs versus those receiving full-dose vemurafenib as sole
therapy. However, a tendency for progression was observed among patients who used
full-dose vemurafenib and ARAs versus full-dose vemurafenib alone (adjusted
hazard ratio [HRa] 2.37; 95% CI 0.97-5.76), which became statistically
significant in a sensitivity analysis (HRa 4.56; 95% CI 1.51-13.75). CONCLUSIONS:
There was no association between the use of vemurafenib in a reduced dose or the
simultaneous use of vemurafenib and ARAs and the risk of progression. In
addition, there was no association between the simultaneous use of vemurafenib in
a reduced dose and ARAs and the risk of progression. However, patients tolerating
full-dose vemurafenib simultaneously with ARAs might have an increased risk of
progression. This finding requires prospective validation.
PMID- 29644576
TI - The State of the Summer: a Review of Child Summer Weight Gain and Efforts to
Prevent It.
AB - PURPOSE OF REVIEW: Accumulating evidence shows that children in the USA gain
weight more rapidly during the summer, when school is not in session. This
narrative review spanning 2007 to 2017 summarizes efforts to characterize the
problem, identify key determinants, and intervene to prevent excess summer weight
gain. RECENT FINDINGS: Summer weight gain remains a concern for elementary-age
youth. Few studies have examined its determinants, but unfavorable summertime
shifts in diet, physical activity, sedentary time, screen media use, and sleep
have been reported. Increased structure is thought to protect against summer
weight gain. Interventions to support physical activity and nutrition during the
summer show promise, though large-scale impact on weight outcomes remains to be
seen. Supporting health behaviors during the summer remains a priority for
obesity prevention researchers, practitioners, and policymakers. Strategies to
expand access to structured programs and reach beyond such programs to improve
behaviors at home are of particular importance.
PMID- 29644578
TI - Functional MRI and laser-evoked potentials evaluation in Charcot-Marie-Tooth
syndrome.
AB - Charcot-Marie-Tooth (CMT) disease is a genetically heterogeneous group of
disorders. Pain is a less common symptom complained by CMT patients. We described
a case of a 39-year-old male patient affect by Charcot-Marie-Tooth (CMT) disease
compared to five healthy controls (HC), to assess the sensory and the nociceptive
pathways by using LEPs recording associated to fMRI examination, to find an
"objective" marker which could be used in the management of CMT patient. The
nociceptive system was evaluated by laser-evoked potentials (LEPs). Moreover,
fMRI (functional magnetic resonance imaging) examination, by using laser stimuli,
was performed. LEPs' examination showed an increase of latency and an amplitude
reduction respect to HC. The laser stimulation during fMRI showed a decreased
cortical activations if compared to HC. The originality of this paper, although
limited to a single case, resides in a detailed evaluation of CMT1 patient
performed by using neurophysiologic and neuroimaging methods to investigate
extensively the sensory nociceptive pathways.
PMID- 29644579
TI - Comparison of Data on Serious Adverse Events and Mortality in ClinicalTrials.gov,
Corresponding Journal Articles, and FDA Medical Reviews: Cross-Sectional
Analysis.
AB - INTRODUCTION: Inconsistencies in data on serious adverse events (SAEs) and
mortality in ClinicalTrials.gov and corresponding journal articles pose a
challenge to research transparency. OBJECTIVE: The objective of this study was to
compare data on SAEs and mortality from clinical trials reported in
ClinicalTrials.gov and corresponding journal articles with US Food and Drug
Administration (FDA) medical reviews. METHODS: We conducted a cross-sectional
study of a randomly selected sample of new molecular entities approved during the
study period 1 January 2013 to 31 December 2015. We extracted data on SAEs and
mortality from 15 pivotal trials from ClinicalTrials.gov and corresponding
journal articles (the two index resources), and FDA medical reviews (reference
standard). We estimated the magnitude of deviations in rates of SAEs and
mortality between the index resources and the reference standard. RESULTS: We
found deviations in rates of SAEs (30% in ClinicalTrials.gov and 30% in
corresponding journal articles) and mortality (72% in ClinicalTrials.gov and 53%
in corresponding journal articles) when compared with the reference standard. The
intra-class correlation coefficient between the three resources was 0.99 (95%
confidence interval [CI] 0.98-0.99) for SAE rates and 0.99 (95% CI 0.97-0.99) for
mortality rates. CONCLUSION: There are differences in data on rates of SAEs and
mortality in randomized clinical trials in both ClinicalTrials.gov and journal
articles compared with FDA reviews. Further efforts should focus on decreasing
existing discrepancies to enhance the transparency and reproducibility of data
reporting in clinical trials.
PMID- 29644580
TI - On the Efficacy of Cardio-Pulmonary Resuscitation and Epinephrine Following
Cyanide- and H2S Intoxication-Induced Cardiac Asystole.
AB - This study was aimed at determining the efficacy of epinephrine, followed by
chest compressions, in producing a return of spontaneous circulation (ROSC)
during cyanide (CN)- or hydrogen sulfide (H2S)-induced toxic cardiac pulseless
electrical activity (PEA) in the rat. Thirty-nine anesthetized rats were exposed
to either intravenous KCN (n = 27) or H2S solutions (n = 12), at a rate that led
to a PEA within less than 10 min. In the group intoxicated by CN, 20 rats were
mechanically ventilated and received either epinephrine (0.1 mg/kg i.v. n = 10)
followed by chest compressions or saline (n = 10, "control CN") when in PEA. PEA
was defined as a systolic pressure below 20 mmHg and a pulse pressure of less
than 5 mmHg for 1 min. In addition, seven spontaneously breathing rats were also
exposed to the same CN protocol, but infusion was stopped when a central apnea
occurred; then, as soon as a PEA occurred, epinephrine (0.1 mg/kg IV) was
administered while providing manual chest compressions and mechanical ventilation
(CPR). Finally, 12 rats were intoxicated with H2S, while mechanically ventilated,
and received either saline (n = 6, "control H2S") or epinephrine (n = 6) with CPR
when in PEA. None of the control-intoxicated animals resuscitated (10 rats in the
control CN group and 6 in the control H2S group). In contrast, all the animals
intoxicated with CN or H2S that received epinephrine followed by chest
compressions, returned to effective circulation. In addition, half of the
spontaneously breathing CN-intoxicated animals that achieved ROSC after
epinephrine resumed spontaneous breathing. In all the animals achieving ROSC,
blood pressure, cardiac output, peripheral blood flow and [Formula: see text]O2
returned toward baseline, but remained lower than the pre-intoxication levels (p
< 0.01) with a persistent lactic acidosis. Epinephrine, along with CPR maneuvers,
was highly effective in resuscitating rodents intoxicated with CN or H2S. Since
epinephrine is readily available in any ambulance, its place as an important
countermeasure against mitochondrial poisons should be advocated. It remains
critical to determine whether the systematic administration of epinephrine to any
victims found hypotensive following CN or H2S intoxication could prevent PEA,
decrease post-ischemic brain injury and increase the efficacy of current
antidotes by improving the circulatory status.
PMID- 29644581
TI - Exploring Health Beliefs Among Hispanic Adults with Prediabetes.
AB - Diabetes and prediabetes are increasing in prevalence, corresponding to epidemic
rates of obesity. Hispanic adults with prediabetes are 1.7 times more likely than
non-Hispanic whites to progress to diabetes. We set out to understand health
beliefs of Hispanic adults and, with that knowledge, facilitate tailored
messaging to promote patient activation and lifestyle change. Using the Risk
Perception Survey for Developing Diabetes along with demographic and lifestyle
intervention interest questions, a 34-question survey was mailed to a registry of
Hispanic adults with a diagnosis of prediabetes and an HbA1c between 5.7 and 6.4%
(N = 414). Despite more than three-quarters of respondents (n = 92; 77%)
indicating they had prior knowledge of their diagnosis, overall diabetes risk
knowledge was low. A significant difference in diabetes risk knowledge was found
between groups stratified by education level. High scores in personal control and
worry were reported. Respondents overwhelmingly reported interest in exercise (n
= 92; 77%) and healthy eating interventions (n = 60; 50%) over technology-based
interventions. High levels of worry and personal control, combined with low to
intermediate levels of risk knowledge, indicate an opportunity for education and
activation in this community. Healthy eating and exercise programs are possible
interventions that may slow the progression from prediabetes to diabetes.
PMID- 29644582
TI - A Randomized Placebo-Controlled Cross-Over Pilot Study of Riluzole for Drug
Refractory Irritability in Autism Spectrum Disorder.
AB - Riluzole is a glutamatergic modulator of particular interest in autism spectrum
disorder (ASD). In this 12-week randomized, double-blind, placebo-controlled,
crossover pilot study we evaluated the safety and tolerability of 5-week of
adjunctive riluzole treatment (vs. 5-week of placebo, with 2-week washout period)
targeting ASD-associated drug-refractory irritability in eight individuals age 12
25 years. All participants tolerated riluzole 200 mg per day, however there were
no statistically significant findings for the overall treatment effect, the
treatment effect by week within period of the study, or a cross-over effect
across the periods of the study on the Clinical Global Impression Improvement
Scale or the Aberrant Behavior Checklist Irritability subscale. The results of
this trial indicate that 5-week of riluzole treatment was well tolerated, but had
no significant effect on the target symptoms. Trial Registration
ClinicalTrials.gov Identifier NCT02081027, Registered 5 August 2013, First
participant enrolled 19 September 2013.
PMID- 29644583
TI - Construction of Past and Future Events in Children and Adolescents with ASD: Role
of Self-relatedness and Relevance to Decision-Making.
AB - We studied episodic memory and future thinking for self-relevant and other
relevant events at different levels of retrieval support, theory of mind, and
delay discounting in ASD children and adolescents (ASDs). Compared to typically
developing controls, ASDs produced fewer internal (episodic) but a similar number
of external (semantic) details while remembering past events, imagining future
events, and imagining future events happening to others, indicating a general
impairment of event construction. This deficit was driven by group differences
under high retrieval support, and therefore unlikely to depend on self-initiated
retrieval/construction deficits. ASDs' event construction impairment related to
the severity of ASD symptoms, and to theory of mind deficits. ASDs, however,
showed normal delay discounting, highlighting preserved forms of future-based
decision-making in ASD.
PMID- 29644584
TI - Cost-Effectiveness of Universal or High-Risk Screening Compared to Surveillance
Monitoring in Autism Spectrum Disorder.
AB - The American Academy of Pediatrics recommends universal screening for autism
spectrum disorder at 18 and 24 months. This study compared the cost-effectiveness
of universal or high-risk screening to surveillance monitoring. Simulation models
estimated the costs and outcomes from birth to age 6 years. The incremental cost
per child diagnosed by 36 months was $41,651.6 for high-risk screening and
$757,116.9 for universal screening from the societal perspective. Universal
screening may not be a cost-effective approach to increase earlier treatment
initiation, as most children initiated treatment after age 60 months. Eliminating
wait times resulted in more children initiated treatment by 48 months, but at a
high initial cost that may be offset by future cost-savings related to better
outcomes.
PMID- 29644585
TI - Non-reciprocal Friendships in a School-Age Boy with Autism: The Ties that Build?
AB - This mixed-methods study examined differences in social interaction patterns
between a school-age boy with autism and his friends, non-reciprocal friends, and
non-friends during recess time at a mainstream school (third grade of elementary
school). Through a combination of observational methodology and social network
analysis with an idiographic, follow-up and multidimensional design approach, we
used lag sequential and polar coordinate analysis to ascertain the associations
between various interactive behaviors as a function of type of friendship
relation. After 40 sessions, we found that the non-reciprocal friendship
relations of the boy with autism could have significantly greater potential than
his reciprocal friendships to increase active engagement and reduce the time he
spent alone during recess.
PMID- 29644586
TI - Brief Report: Autism Spectrum Disorder: A Comprehensive Survey of Randomized
Controlled Trials.
AB - We searched the Cochrane Central Register of Controlled Trials to provide an
overview of evidence from randomized controlled trials (RCTs) of therapeutic
interventions for autism spectrum disorders. From the final survey (529 RCTs),
the mean size was 49 participants (standard deviation 50, range 1-479, median 36,
mode 40), with a sharp increase in the number of RCTs from 2008. The most
frequently evaluated intervention was antipsychotic treatment (n = 44, 3006
participants). The journal with the most RCTs was the Journal of Autism and
Developmental Disorders (N = 104). Most trials were small in size, emphasising
the need for research groups to collaborate to generate higher quality data with
greater applicability to clinical practice.
PMID- 29644592
TI - Keyword Index to Volume 28.
PMID- 29644587
TI - The Feeling of Me Feeling for You: Interoception, Alexithymia and Empathy in
Autism.
AB - Following recent evidence for a link between interoception, emotion and empathy,
we investigated relationships between these factors in autism spectrum disorder
(ASD). 26 adults with ASD and 26 healthy participants completed tasks measuring
interoception, alexithymia and empathy. ASD participants with alexithymia
demonstrated lower cognitive and affective empathy than ASD participants without
alexithymia. ASD participants showed reduced interoceptive sensitivity (IS), and
also reduced interoceptive awareness (IA). IA was correlated with empathy and
alexithymia, but IS was related to neither. Alexithymia fulfilled a mediating
role between IA and empathy. Our findings are suggestive of an alexithymic
subgroup in ASD, with distinct interoceptive processing abilities, and have
implications for diagnosis and interventions.
PMID- 29644588
TI - Meta-analysis of Tablet-Mediated Interventions for Teaching Academic Skills to
Individuals with Autism.
AB - Portable touch-screen devices have been the focus of a notable amount of
intervention research involving individuals with autism. Additionally, popular
media has widely circulated claims that such devices and academic software
applications offer tremendous educational benefits. A systematic search
identified 19 studies that targeted academic skills for individuals with autism.
Most studies used the device's built-in video recording or camera function to
create customized teaching materials, rather than commercially-available
applications. Analysis of potential moderating variables indicated that
participants' age and functioning level did not influence outcomes. However,
participant operation of the device, as opposed to operation by an instructor,
produced significantly larger effect size estimates. Results are discussed in
terms of recommendations for practitioners and future research.
PMID- 29644593
TI - Valorization of the Crude Glycerol for Propionic Acid Production Using an
Anaerobic Fluidized Bed Reactor with Grounded Tires as Support Material.
AB - This study evaluated the propionic acid (HPr) production from crude glycerol (CG)
(5000 mg L-1) in an anaerobic fluidized bed reactor (AFBR). Grounded tire
particles (2.8-3.35 mm) were used as support material for microbial adhesion. The
reactor was operated with hydraulic retention times (HRT) varying from 8 to 0.5 h
under mesophilic (30 degrees C) conditions. The HPr was the main metabolite
produced, increasing in composition from 66.5 to 99.6% by decreasing the HRT from
8 to 0.5 h. Other metabolic products were 1,3-propanediol, with a maximum of
29.4% with an HRT of 6 h, ethanol, acetic, and butyric acids. The decrease in HRT
from 8 to 0.5 h decreased the HPr yield, with a maximum of 0.48 +/- 0.06 g HPr g
COD-1 and an HRT of 6 h, and favored HPr productivity, with a maximum of 4.09 +/-
1.24 g L-1 h-1 and HRT of 0.5 h. In the biogas, the H2 content increased from
12.5 to 81.2% by decreasing the HRT from 8 to 0.5 h. These results indicate the
potential application of the AFBR for HPr production using an immobilized mixed
culture.
PMID- 29644594
TI - Hydrothermal Carbonization of Microalgae (Chlorococcum sp.) for Porous Carbons
With High Cr(VI) Adsorption Performance.
AB - Porous carbon adsorbents were prepared from microalgae (Chlorococcum sp.) via
directly hydrothermal carbonization coupled with KOH or NH3 activation for Cr(VI)
adsorption. KOH-activated porous carbons exhibit high Cr(VI) adsorption
capacities than those obtained via NH3 modification (370.37 > 95.70 mg/g). The
superior Cr(VI) adsorption capacity is due to high surface areas (1784 m2/g) and
pore volumes of porous carbon with mesoporous and macroporous structures. The
Cr(VI) adsorption result was well fitted to the Langmuir model, showing that the
removal of Cr(VI) was attributed to the monolayer adsorption of activity site on
carbon surface.
PMID- 29644595
TI - Enhanced Bioethanol Production from Potato Peel Waste Via Consolidated
Bioprocessing with Statistically Optimized Medium.
AB - In this study, an extensive screening was undertaken to isolate some amylolytic
microorganisms capable of producing bioethanol from starchy biomass through
Consolidated Bioprocessing (CBP). A total of 28 amylolytic microorganisms were
isolated, from which 5 isolates were selected based on high alpha-amylase and
glucoamylase activities and identified as Candida wangnamkhiaoensis, Hyphopichia
pseudoburtonii (2 isolates), Wickerhamia sp., and Streptomyces drozdowiczii based
on 26S rDNA and 16S rDNA sequencing. Wickerhamia sp. showed the highest ethanol
production (30.4 g/L) with fermentation yield of 0.3 g ethanol/g starch. Then, a
low cost starchy waste, potato peel waste (PPW) was used as a carbon source to
produce ethanol by Wickerhamia sp. Finally, in order to obtain maximum ethanol
production from PPW, a fermentation medium was statistically designed. The effect
of various medium ingredients was evaluated initially by Plackett-Burman design
(PBD), where malt extracts, tryptone, and KH2PO4 showed significantly positive
effect (p value < 0.05). Using Response Surface Modeling (RSM), 40 g/L (dry
basis) PPW and 25 g/L malt extract were found optimum and yielded 21.7 g/L
ethanol. This study strongly suggests Wickerhamia sp. as a promising candidate
for bioethanol production from starchy biomass, in particular, PPW through CBP.
PMID- 29644596
TI - Nanofibrous Tubular Membrane for Blood Hemodialysis.
AB - As the most important components of a hemodialysis device, nanofibrous membranes
enjoy high interconnected porosity and specific surface area as well as excellect
permeability. In this study, a tubular nanofibrous membrane of polysulfone
nanofibers was produced via electrospinning method to remove urea and creatinine
from urine and blood serums of dialysis patients. Nanofibrous membranes were
electrospun at a concentration of 11.5 wt% of polysulfone (PS) and
dimethylformamide (DMF)/tetrahydrofuran (THF) with a ratio of 70/30. The effects
of the rotational speed of collectors, electrospinning duration, and inner
diameter of the tubular nanofibrous membrane on the urea and creatinine removal
efficiency of the tubular membrane were investigated through the hemodialysis
simulation experiments. It was found that the tubular membrane with an inner
diameter of 3 mm elecrospun at shorter duration with lower collecting speed had
the highest urea and creatinine removal efficiency. The hemodialysis simulation
experiment showed that the urea and creatinine removal efficiency of the tubular
membrane with a diameter of 3 mm were 90.4 and 100%, respectively. Also, three
patients' blood serums were tested with the nanofibrous membrane. The results
showed that the creatinine and urea removal rates were 93.2 and 90.3%,
respectively.
PMID- 29644597
TI - Plant-borne compounds and nanoparticles: challenges for medicine, parasitology
and entomology.
PMID- 29644598
TI - Essential Roles of Lactate in Muller Cell Survival and Function.
AB - Muller cells are pivotal in sustaining retinal ganglion cells, and an intact
energy metabolism is essential for upholding Muller cell functions. The present
study aimed to investigate the impact of lactate on Muller cell survival and
function. Primary mice Muller cells and human Muller cell lines (MIO-M1) were
treated with or without lactate (10 or 20 mM) for 2 and 24 hours. Simultaneously,
Muller cells were incubated with or without 6 mM of glucose. L-lactate exposure
increased Muller cell survival independently of the presence of glucose. This
effect was abolished by the addition of the monocarboxylate inhibitor 4-cinnamic
acid to the treatment media, whereas survival continued to increase in response
to addition of D-lactate during glucose restriction. ATP levels decreased over
time in MIO-M1 cells and remained stable over time in primary Muller cells.
Lactate was preferably metabolized in MIO-M1 cells compared to glucose, and 10 mM
of L-Lactate exposure prevented complete glycogen depletion in MIO-M1 cells.
Glutamate uptake increased after 2 hours and decreased after 24 hours in glucose
restricted Muller cells compared to cells with glucose supplement. The addition
of 10 mM of lactate to the treatment media increased glutamate uptake in glucose
supplemented and restricted cells. In conclusion, lactate is a key component in
maintaining Muller cell survival and function. Hence, lactate administration may
be of great future interest, ultimately leading to novel therapies to rescue
retinal ganglion cells.
PMID- 29644599
TI - Long-term effectiveness and safety of metreleptin in the treatment of patients
with generalized lipodystrophy.
AB - PURPOSE: The purpose of this study is to summarize the effectiveness and safety
of metreleptin in patients with congenital or acquired generalized lipodystrophy.
METHODS: Patients (n = 66) aged >=6 months had lipodystrophy, low circulating
leptin, and >=1 metabolic abnormality (diabetes mellitus, insulin resistance, or
hypertriglyceridemia). Metreleptin dose (once or twice daily) was titrated to a
mean dose of 0.10 mg/kg/day with a maximum of 0.24 mg/kg/day. Means and changes
from baseline to month 12 were assessed for glycated hemoglobin (HbA1c), fasting
triglycerides (TGs), and fasting plasma glucose (FPG). Additional assessments
included the proportions of patients achieving target decreases in HbA1c or
fasting TGs at months 4, 12, and 36, medication changes, and estimates of liver
size. Treatment-emergent adverse events (TEAEs) were recorded. RESULTS:
Significant mean reductions from baseline were seen at month 12 for HbA1c (-2.2%,
n = 59) and FPG (-3.0 mmol/L, n = 59) and mean percent change in fasting TGs (
32.1%, n = 57) (all p <= 0.001). Reductions from baseline over time in these
parameters were also significant at month 36 (all p < 0.001, n = 14). At month 4,
34.8% of patients had a >=1% reduction in HbA1c and 62.5% had a >=30% reduction
in fasting TGs; at month 12, 80% of patients had a >=1% decrease in HbA1c or
>=30% decrease in TGs, and 66% had a decrease of >=2% in HbA1c or >=40% decrease
in TGs. Of those on medications, 41%, 22%, and 24% discontinued insulin, oral
antidiabetic medications, or lipid-lowering medications, respectively. Mean
decrease in liver volume at month 12 was 33.8% (p < 0.001, n = 12). Most TEAEs
were of mild/moderate severity. CONCLUSIONS: In patients with generalized
lipodystrophy, long-term treatment with metreleptin was well tolerated and
resulted in sustained improvements in hypertriglyceridemia, glycemic control, and
liver volume.
PMID- 29644601
TI - The Michigan Bright Nights Community Forum Series: a 10-Year Experience with
Public Mental Health Education.
PMID- 29644600
TI - Schwann-Cell Autophagy, Functional Recovery, and Scar Reduction After Peripheral
Nerve Repair.
AB - The functional outcome after peripheral nerve repair is often unpredictable for
many reasons, e.g., the severity of neuronal death and scarring. Axonal
degeneration significantly affects outcomes. Post-injury axonal degeneration in
peripheral nerves is accompanied by myelin degradation initiated by Schwann cells
(SCs), which activate autophagy, a ubiquitous cytoprotective process essential
for degrading and recycling cellular constituents. Scar formation occurs
concomitantly with nerve insult and axonal degeneration. The association between
SC autophagy and the mechanisms of nerve scar formation is still unknown. A rat
model of peripheral nerve lesions induced by sciatic nerve transection injuries
was used to examine the function of autophagy in fibrosis reduction during the
early phase of nerve repair. Rats were treated with rapamycin (autophagy inducer)
or 3-methyladenine (autophagy inhibitor). One week after the nerve damage,
fibrosis was potently inhibited in rapamycin-treated rats and, based on gait
analysis, yielded a better functional outcome. Immunohistochemistry showed that
the autophagic activity of SCs and the accumulation of neurofilaments were
upregulated in rapamycin-treated rats. A deficiency of SC autophagic activity
might be an early event in nerve scar formation, and modulating autophagy might
be a powerful pharmacological approach for improving functional outcomes.
PMID- 29644602
TI - Work Related Post Traumatic Stress Disorder in Medicine Residents.
AB - OBJECTIVE: This study sought to screen for the burden of work-related
posttraumatic stress disorder (PTSD) symptoms in internal medicine residents.
METHODS: A cross-sectional survey of internal medicine residents from three
academic institutions was conducted using the PCL-5 screening tool. RESULTS: Off
all residents surveyed, 5.2% screened positive for PTSD symptoms (N = 194). 86.1%
of all trainees identified stressors during training. Positive PTSD screens were
significantly higher in PGY3 residents (X2 = 15.24, p = 0.0005). Of all PGY3
residents, 9.8% (N = 4) and 14.6% (N = 6) of residents screened positive for PTSD
symptoms based on absolute and cluster score criteria, respectively.
Verbal/physical assault by patients/families/colleagues were triggers for the
most cases of positive screens. CONCLUSIONS: Self-reported stressors are highly
prevalent in internal medicine trainees. Verbal/physical assault by patients and
families appear to be the triggering event for most positive screens. These
observations will help with future study designs to quantify the burden of work
related PTSD in internal medicine trainee physicians so that appropriate
supportive measures can be provided.
PMID- 29644603
TI - Assessment of intake of copper and lead by sheep grazing on a shooting range for
small arms: a case study.
AB - The Norwegian Armed Forces' shooting ranges contain contamination by metals such
as lead (Pb) and copper (Cu) and are often used as grazing pastures for
livestock. To determine whether the sheep were at risk from grazing at a shooting
range in Nord-Trondelag (the Leksdalen shooting field), a study was conducted
wherein the aim was to determine the amount of soil the sheep were eating, the
accumulation of Cu and Pb in the livers of lambs grazing on the shooting ranges,
and the accumulation of Pb and Cu in the grass. The grazing behavior of the sheep
was mapped using GPS tracking and wildlife cameras. Soil, grass, feces, and liver
samples were collected. All the samples were analyzed for Pb, Cu, and molybdenum
(Mo), and soil and feces were also analyzed for titanium (Ti). Mean
concentrations in grass, soil, feces, and liver was 41-7189, 1.3-29, 4-5, and 0.3
mg/kg Pb, respectively, and 42-580, 4.2-11.9, 19-23, and 273 mg/kg Cu,
respectively. The soil ingestion rate was calculated using Ti in feces and soil.
From these results, the theoretical dose of Cu and Pb ingested by grazing sheep
was calculated. The soil ingestion rate was found to be 0.1-0.4%, significantly
lower than the soil ingestion rate of 5-30% usually used for sheep. Little or no
accumulation of Cu and Pb in the grass was found. There was no difference between
the metal concentrations in the washed and unwashed grass. According to the
calculated dose, the sheep were at little or no risk of acute or chronic Pb and
Cu poisoning from grazing on the Leksdalen shooting range. The analysis of liver
samples showed that lambs grazing on the shooting range did not have higher
levels of Cu or Pb than lambs grazing elsewhere. None of the lambs had
concentrations of Cu or Pb in their livers indicating poisoning.
PMID- 29644604
TI - Groundwater nitrate pollution and climate change: learnings from a water balance
based analysis of several aquifers in a western Mediterranean region (Catalonia).
AB - Climate change will affect the dynamics of the hydrogeological systems and their
water resources quality; in particular nitrate, which is herein taken as a
paradigmatic pollutant to illustrate the effects of climate change on groundwater
quality. Based on climatic predictions of temperature and precipitation for the
horizon of 2021 and 2050, as well as on land use distribution, water balances are
recalculated for the hydrological basins of distinct aquifer systems in a western
Mediterranean region as Catalonia (NE Spain) in order to determine the reduction
of available water resources. Besides the fact that climate change will represent
a decrease of water availability, we qualitatively discuss the modifications that
will result from the future climatic scenarios and their impact on nitrate
pollution according to the geological setting of the selected aquifers. Climate
effects in groundwater quality are described according to hydrological,
environmental, socio-economic, and political concerns. Water reduction stands as
a major issue that will control stream-aquifer interactions and subsurface
recharge, leading to a general modification of nitrate in groundwater as dilution
varies. A nitrate mass balance model provides a gross estimation of potential
nitrate evolution in these aquifers, and it points out that the control of the
fertilizer load will be crucial to achieve adequate nitrate content in
groundwater. Reclaimed wastewater stands as local reliable resource, yet its
amount will only satisfy a fraction of the loss of available resources due to
climate change. Finally, an integrated management perspective is necessary to
avoid unplanned actions from private initiatives that will jeopardize the
achievement of sustainable water resources exploitation under distinct
hydrological scenarios.
PMID- 29644605
TI - A new marine sediment certified reference material (CRM) for the determination of
persistent organic contaminants: IAEA-459.
AB - A new marine sediment certified reference material (IAEA 459) with very low
concentrations (MUg kg-1) for a variety of persistent organic contaminants (POPs)
listed by the Stockholm Convention, as well as other POPs and priority substances
(PSs) listed in many environmental monitoring programs was developed by the IAEA.
The sediment material was collected from the Ham River estuary in South Korea,
and the assigned final values were derived from robust statistics on the results
provided by selected laboratories which demonstrated technical and quality
competence, following the guidance given in ISO Guide 35. The robust mean of the
laboratory means was assigned as certified values, for those compounds where the
assigned value was derived from at least five datasets and its relative expanded
uncertainty was less than 40% of the assigned value (most of the values ranging
from 8 to 20%). All the datasets were derived from at least two different
analytical techniques which have allowed the assignment of certified
concentrations for 22 polychlorinated biphenyl (PCB) congeners, 6
organochlorinated (OC) pesticides, 5 polybrominated diphenyl ethers (PBDEs), and
18 polycyclic aromatic hydrocarbon (PAHs). Mass fractions of compounds that did
not fulfill the criteria of certification are considered information values,
which include 29 PAHs, 11 PCBs, 16 OC pesticides, and 5 PBDEs. The extensive
characterization and associated uncertainties at concentration levels close to
the marine sediment quality guidelines will make CRM 459 a valuable matrix
reference material for use in marine environmental monitoring programs.
PMID- 29644606
TI - River habitat assessment for ecological restoration of Wei River Basin, China.
AB - As an important composition component of river ecosystems, river habitats must
undergo quality assessment to potentially provide scientific basis for river
ecological restoration. Substrate composition, habitat complexity, bank erosion
degree, river meandering degree, human activity intensity, vegetation buffer
width, water quality, and water condition were determined as indicators for river
habitat assessment. The comprehensive habitat quality index (CHQI) was
established for the Wei River Basin. In addition, the indicator values were
determined on the basis of a field investigation at 12 national hydrological
stations distributed across the Wei, Jing, and Beiluo Rivers. The analytic
hierarchy process was used to determine the indicator weights and thus
distinguish the relative importance of the assessment indicator system. Results
indicated that the average CHQIs for the Wei, Jing, and Beiluo Rivers were 0.417,
0.508, and 0.304, respectively. The river habitat quality for the three rivers
was well. As for the whole river basin, the river habitat quality for 25% of the
cross section was very well, the other 25% was well, and the 50% remaining was in
critical state. The river habitat quality of the Jing River was better than that
of the Wei and Beiluo Rivers.
PMID- 29644607
TI - Thermal effects from the release of selenium from a coal combustion during high
temperature processing: a review.
AB - The release of selenium (Se) during coal combustion can have serious impacts on
the ecological environment and human health. Therefore, it is very important to
study the factors that concern the release of Se from coal combustion. In this
paper, the characteristics of the release of Se from coal combustion, pyrolysis,
and gasification of different coal species under different conditions are
studied. The results show that the amount of released Se increases at higher
combustion temperatures. There are obvious increases in the amount of released Se
especially in the temperature range of 300 to 800 degrees C. In addition, more
Se is released from the coal gasification than coal combustion process, but more
Se is released from coal combustion than pyrolysis. The type of coal, rate of
heating, type of mineral ions, and combustion atmosphere have different effects
on the released percentage of Se. Therefore, having a good understanding of the
factors that surround the release of Se during coal combustion, and then
establishing the combustion conditions can reduce the impacts of this toxic
element to humans and the environment.
PMID- 29644608
TI - Reclamation of a lignite combustion waste disposal site with alders (Alnus sp.):
assessment of tree growth and nutrient status within 10 years of the experiment.
AB - Combustion wastes are characterised by extremely low N contents. Therefore,
introduction of nitrogen-fixing species at the first stage of their biological
reclamation is required. This paper presents an assessment of the growth
parameters of alders (Alnus sp.) 10 years after their introduction to a disposal
site of lignite combustion waste in Central Poland. Black (Alnus glutinosa) and
grey alders (Alnus incana) were planted directly in the combustion waste. The
soil amendment included three variants: control with pure combustion waste,
admixture of lignite culm and addition of acid sand. Both alder species displayed
good growth parameters comparable to those of alders in natural habitats.
However, black alder had better growth parameters, such as stand density index
(SDI), diameter at breast height (DBH) and height (H) than grey alder. The
lignite amendment exerted a positive effect on tree growth, reflected in a higher
SDI and H, whereas the acid sand amendment did not affect any of the growth
parameters of the studied alder species. Despite the good growth parameters, the
measured N:P and N:K ratios in the alder leaves largely differed from the optimal
values indicating insufficient P and K supply at the combustion waste disposal
site. This may pose a threat to further development of the introduced tree
plantings. The introduction of alders along with the lignite addition into the
planting holes seems to be a successful method of combustion waste revegetation.
PMID- 29644609
TI - Mercury species accumulation and distribution in Typha domingensis under real
field conditions (Almaden, Spain).
AB - Monomethylmercury (MeHg) is one of the most toxic and the most commonly occurring
organomercury compound and the wetlands are one of the main areas of generation
of this Hg form. Concretely, it is in the macrophyte root system where better
conditions are given for its generation. However, the knowledge of absorption and
subsequent distribution of mercury (Hg) and monomethylmercury in aquatic plants
is still limited. Mercury mining district such as Almaden (Ciudad Real, Spain) is
a natural laboratory where different rivers flow and the species Typha
domingensis Pers. is a common macrophyte which grows in their riverbanks. The aim
of the present work is to apply a recently developed method specially designed to
analyze Hg species in plant tissues to the different fractions of T. domingensis
under real field conditions and to study the accumulation and distribution of Hg
species (inorganic Hg and MeHg) within the plant. The results proved that
whatever Hg species has preference to be accumulated in the belowground fractions
and demonstrated a high efficiency in the accumulation of MeHg.
PMID- 29644610
TI - X-ray fluorescence spectrometry characteristics of oily waste water from steel
processing and an evaluation of its impact on the environment.
AB - Metal-cutting fluids, one of the most consumed materials in the metallurgy
industry, turn into oily wastewater after being used in the metalworking
processes. The amount of cutting fluids used can reach up to millions of tons.
And these invaluable fluids are difficult to distil and expensive, and impossible
to store. Even after it is disposed and recaptured, the end product has no
commercial value. In this study, the effect of this mixture was examined on the
ecosystem using the Allium cepa test system in which onion root tips were treated
with three different concentrations of waste-cutting fluid, based on a 24- and 48
h cell cycle. The oily wastewater exhibited a mechanism which triggered the
chromosomal and nuclear abnormalities in the onion root-tip meristem and reduced
the mitotic index. Common abnormalities observed in the experimental groups based
on the water concentration were chromosome stickiness, c-mitosis, and micronuclei
formation. In the experimental group with the lowest water concentration, budding
nuclei were observed at a different level than all of the other experimental
groups. The x-ray fluorescence analysis showed that the concentrations of
elements, such as silicon, calcium, iron, and zinc, were higher in the oily
wastewater than those in the unused cutting oil.
PMID- 29644611
TI - Atmospheric fossil fuel CO2 traced by 14CO2 and air quality index pollutant
observations in Beijing and Xiamen, China.
AB - Radiocarbon (14C) is the most accurate tracer available for quantifying
atmospheric CO2 derived from fossil fuel (CO2ff), but it is expensive and time
consuming to measure. Here, we used common hourly Air Quality Index (AQI)
pollutants (AQI, PM2.5, PM10, and CO) to indirectly trace diurnal CO2ff
variations during certain days at the urban sites in Beijing and Xiamen, China,
based on linear relationships between AQI pollutants and CO2ff traced by 14C
([Formula: see text]) for semimonthly samples obtained in 2014. We validated
these indirectly traced CO2ff (CO2ff-in) concentrations against [Formula: see
text] concentrations traced by simultaneous diurnal 14CO2 observations.
Significant (p < 0.05) strong correlations were observed between each of the
separate AQI pollutants and [Formula: see text] for the semimonthly samples.
Diurnal variations in CO2ff traced by each of the AQI pollutants generally showed
similar trends to those of [Formula: see text], with high agreement at the
sampling site in Beijing and relatively poor agreement at the sampling site in
Xiamen. AQI pollutant tracers showed high normalized root-mean-square (NRMS)
errors for the summer diurnal samples due to low [Formula: see text]
concentrations. After the removal of these summer samples, the NRMS errors for
AQI pollutant tracers were in the range of 31.6-64.2%. CO generally showed a high
agreement and low NRMS errors among these indirect tracers. Based on these linear
relationships, monthly CO2ff averages at the sampling sites in Beijing and Xiamen
were traced using CO concentration as a tracer. The monthly CO2ff averages at the
Beijing site showed a shallow U-type variation. These results indicate that CO
can be used to trace CO2ff variations in Chinese cities with CO2ff concentrations
above 5 ppm.
PMID- 29644612
TI - Tissue distribution and oral exposure risk assessment of heavy metals in an urban
bird: magpie from Central Iran.
AB - Direct ingestion of soil and/or soil attached to the food items is a potential
rout for wildlife exposure to contaminants. In this study, bioaccumulation of
five heavy metals (HMs) in internal tissues of an urban bird (Pica pica)
collected from Aran-O-Bidgol City, Central Iran and their related soil were
investigated. A total of 15 magpie specimens were collected in autumn 2013 and
then their internal tissues were digested using a mixture of HNO3 and H2O2, and
finally, concentrations of HMs were detected by ICP-OES. In addition, in order to
show level of HM exposure risk to magpie, an exposure risk assessment was
modeled. Results indicated that HMs were accumulated as follows: liver > kidney >
muscle. Zn and Cu were significantly higher in magpie's tissues collected from
agricultural site; on the other hand, Pb and Cd were significantly higher in
industrial site (p < 0.05). Level of Cd in male's livers (2.11 MUg/g dw) was
significantly higher than in females (1.85 MUg/g dw) (p < 0.05). Levels of Cd,
Pb, and Ni in liver, muscle, and kidney, respectively, were significantly higher
in adults than in subadults (p < 0.05). Soil exposure doses of all HMs were lower
than tolerable daily intake (Zn 4.35, Cu 1.34, Ni 5.65, Pb 0.35, and Cd 0.53).
The calculated hazard quotations (HQs) for HMs were as follows: Pb > Zn > Cu > Ni
> Cd and for all HMs were at no risk level (HQ < 1). The amounts of hazard index
for three sites were as follows: urban (1.032) > agriculture (0.943) >= industry
(0.941) and only for urban area was at low risk (1 < HQ < 2). It seemed that
birds living in a safe environment and/or HM contaminations in soil separately
had no negative effects on magpies. We can also suggest that low levels of HMs in
magpie's tissues can be due to low levels of HMs in soil.
PMID- 29644613
TI - Low-level concentrations of aminoglycoside antibiotics induce the aggregation of
cyanobacteria.
AB - The interactions between antibiotics and microorganisms have attracted enormous
research attentions. In this study, we investigated the effects of two typical
aminoglycoside antibiotics on the aggregation of the model cyanobacterium,
Synechococcus elongatus, and the dominating strain in algal blooms, Microcystis
aeruginosa, via the analysis of zeta potentials, hydrophobicity, and
extracellular polymeric substances (EPS) secretion. The results showed that low
level antibiotics promoted the aggregation of S. elongatus and M. aeruginosa by
40 and 18% under 0.10 and 0.02 MUg/mL of kanamycin, respectively, which was
mainly attributed to the combined effects of increased zeta potentials and the
ratio between extracellular proteins and polysaccharides. Tobramycin exerted
similar effects. Additionally, we discovered that at low pH (pH 5) and ionic
strength (1 mM Na+ and 2 mM Mg2+), the inducing effects of antibiotics would be
even larger than those with higher pH and ionic strength. As aggregation is
important to cyanobacteria in either the basic physiology of biofilm formation or
the algal bloom, our study demonstrated that low-level antibiotics exert
ecological impacts via interfered aggregation. We believe this study will shed
light on the mechanisms underlying antibiotic-induced biofilm formation and help
with the evaluation of the environmental and ecological risks of antibiotics and
other emerging pollutants.
PMID- 29644614
TI - Comparison of pathogen-derived 'total risk' with indicator-based correlations for
recreational (swimming) exposure.
AB - Typical recreational water risk to swimmers is assessed using epidemiologically
derived correlations by means of fecal indicator bacteria (FIB). It has been
documented that concentrations of FIB do not necessarily correlate well with
protozoa and viral pathogens, which pose an actual threat of illness and thus
sometimes may not adequately assess the overall microbial risks from water
resources. Many of the known pathogens have dose-response relationships; however,
measuring water quality for all possible pathogens is impossible. In
consideration of a typical freshwater receiving secondarily treated effluent, we
investigated the level of consistency between the indicator-derived correlations
and the sum of risks from six reference pathogens using a quantitative microbial
risk assessment (QMRA) approach. Enterococci and E. coli were selected as the
benchmark FIBs, and norovirus, human adenovirus (HAdV), Campylobacter jejuni,
Salmonella enterica, Cryptosporidium spp., and Giardia spp. were selected as the
reference pathogens. Microbial decay rates in freshwater and uncertainties in
exposure relationships were considered in developing our analysis. Based on our
exploratory assessment, the total risk was found within the range of risk
estimated by the indicator organisms, with viral pathogens as dominant risk
agents, followed by protozoan and bacterial pathogens. The risk evaluated in this
study captured the likelihood of gastrointestinal illnesses only, and did not
address the overall health risk potential of recreational waters with respect to
other disease endpoints. Since other highly infectious pathogens like hepatitis A
and Legionella spp. were not included in our analysis, these estimates should be
interpreted with caution.
PMID- 29644615
TI - Assessment of the inhalation risks associated with working in printing rooms: a
study on the staff of eight printing rooms in Beijing, China.
AB - The concentration of pollution directly determines the occupational health risk,
and the exposure time is an important influencing factor. We evaluated the
inhalation risks of working in a printing room. Eight units with centralized
printing rooms were randomly selected. Formaldehyde, ozone, benzene, toluene,
xylene, and fine particulate matter were detected by spectrophotometry, gas
chromatography, and direct reading instruments, respectively. The U.S. EPA
inhalation risk assessment model was used to assess cancer and non-cancer risks.
The formaldehyde inhalation cancer risk value was 1.35-3.45 * 10-6, which is
greater than the limit of 1 * 10-6, suggesting a risk of squamous cell carcinoma.
The benzene inhalation cancer risk in five of the rooms was 1.09-4.65 * 10-6,
which is greater than the limit of 1 * 10-6, suggesting a risk of leukemia. In
terms of non-cancer risk, in five of the rooms, the hazard quotient (HQ) was > 1
(range 1.99-4.69) due to benzene pollution, suggesting a risk of reduced
lymphocyte count. In one room, due to benzene and xylene pollution, the HQ was >
1, suggesting a risk of lymphocyte count drop and motor coordination impairment.
Collectively, the study concludes that staff members of printing rooms are
exposed to both cancer and non-cancer occupational health risks.
PMID- 29644616
TI - Genetic aberrations of the K-ras proto-oncogene in bladder cancer in relation to
pesticide exposure.
AB - In Egypt, bladder cancer is one of the most popular cancers, accounting for 31%
of all cancer cases. It ranks first in males about 16.2% of male cancer. The
incidence in rural areas among males is near 32 per 100,000. The exact etiology
of bladder cancer is still unknown; K-ras gene is known as a critical DNA target
for chemical carcinogens such as pesticide. Some occupational hazard exposure is
thought to be directly genotoxic, while others might enhance the mutagenicity and
carcinogenicity of directly acting genotoxic agents. Analysis of the relationship
between pesticide exposure and mutation in the K-ras gene in human bladder
cancer. One hundred patients were diagnosed with bladder cancer and two hundred
controls attended the outpatient clinic; after taking consent and filling a
questionnaire for age, sex, occupation and pesticide exposure, surgically
resected specimens were collected and the samples were used to determine the k
ras mutation. Blood samples were taken to analyze the level of
acetylcholinesterase enzyme and level of P53. The present study indicated that
pesticide exposure may play a great role in malignant transformation of the
bladder cells through mutation in the K-ras gene; there was a significant
correlation between the acetylcholinesterase enzyme level and k-ras mutation (p <
0.001). The results revealed that the level of P53 was significantly high in
comparison with the control group (p < 0.001). These findings give an alarm to
decrease the amount of pesticides used in our area; also, p53 may be used as an
indicator to bladder cancer.
PMID- 29644617
TI - Study on sandstorm PM10 exposure assessment in the large-scale region: a case
study in Inner Mongolia.
AB - The current exposure-effect curves describing sandstorm PM10 exposure and the
health effects are drawn roughly by the outdoor concentration (OC), which ignored
the exposure levels of people's practical activity sites. The main objective of
this work is to develop a novel approach to quantify human PM10 exposure by their
socio-categorized micro-environment activities-time weighed (SCMEATW) in strong
sandstorm period, which can be used to assess the exposure profiles in the large
scale region. Types of people's SCMEATW were obtained by questionnaire
investigation. Different types of representatives were trackly recorded during
the big sandstorm. The average exposure levels were estimated by SCMEATW.
Furthermore, the geographic information system (GIS) technique was taken not only
to simulate the outdoor concentration spatially but also to create human exposure
outlines in a visualized map simultaneously, which could help to understand the
risk to different types of people. Additionally, exposure-response curves
describing the acute outpatient rate odds by sandstorm were formed by SCMEATW,
and the differences between SCMEATW and OC were compared. Results indicated that
acute outpatient rate odds had relationships with PM10 exposure from SCMEATW,
with a level less than that of OC. Some types of people, such as herdsmen and
those people walking outdoors during a strong sandstorm, have more risk than
office men. Our findings provide more understanding of human practical activities
on their exposure levels; they especially provide a tool to understand sandstorm
PM10 exposure in large scale spatially, which might help to perform the different
categories population's risk assessment regionally.
PMID- 29644618
TI - Adherence to Basal Insulin Therapy Among People with Type 2 Diabetes: A
Retrospective Cohort Study of Costs and Patient Outcomes.
AB - INTRODUCTION: This research compares costs, resource utilization, and
complications between adherent and nonadherent patients over the 3-year period
post initiation on basal insulin therapy. METHODS: The study utilized the US
based Truven Health MarketScan(r) Research Databases from 2011 through 2015.
Adults aged 18 years or older and identified with type 2 diabetes (T2D) who
initiated therapy on basal insulin in 2012 were included. Patients were excluded
if they were pregnant, filled their index basal insulin prescription via mail
order, or were not continuously insured from 1 year before through 3 years
following initiation of treatment with basal insulin. Instrumental variables were
used to control for selection bias, and multivariable analyses were used to
examine the associations between adherence to basal insulin therapy and costs,
resource utilization, and acute complications. RESULTS: A total of 21,363
individuals were included in the study. Three years after initiating therapy on
basal insulin, patients who were adherent over time to basal insulin treatment
therapy (33.8% of patients) had significantly higher diabetes-related drug costs.
However, patients' adherence was associated with significantly lower diabetes
related outpatient, acute care, and total costs. Results for all-cause costs were
similar. Adherent patients also had significantly fewer all-cause and diabetes
related hospitalizations and emergency room visits and were significantly less
likely to be diagnosed with an acute complication. CONCLUSIONS: Results of this
study illustrate that despite higher drug costs, there are disease-specific and
all-cause cost offsets and improved patient outcomes associated with adherence to
basal insulin therapy for people with T2D. FUNDING: Eli Lilly and Company.
PMID- 29644619
TI - Early (<= 30 Days), Late (31-360 Days) and Very Late (> 360 Days) Stent
Thrombosis in Patients with Insulin-Treated versus Non-Insulin-Treated Type 2
Diabetes Mellitus: A Meta-Analysis.
AB - INTRODUCTION: At this time in 2018, with type 2 diabetes mellitus (T2DM) and
coronary artery disease (CAD) still on the rise, the post-percutaneous coronary
interventional (PCI) outcomes observed in patients with diabetes mellitus who are
on insulin therapy (ITDM) and those who do not require insulin (NITDM) are still
controversial and require further investigation. Considering this idea to be of
particular interest to the readers, as well as being an important issue in
interventional cardiology, we aimed to systematically assess early (<= 30 days),
late (31-360 days) and very late (> 360 days) stent thrombosis in patients with
ITDM and NITDM following drug-eluting stent (DES) implantation. METHODS: Well
known online databases (the Cochrane, EMBASE and MEDLINE databases and
http://www.ClinicalTrials.gov ) were searched for relevant English publications
based on ITDM and NITDM and stent thrombosis following PCI using specific terms.
Early stent thrombosis, late stent thrombosis and very late stent thrombosis were
the clinical outcomes. The main analysis was carried out using the latest version
of the RevMan software (version 5.3) whereby odds ratios (OR), and 95% confidence
intervals (CI) were generated. RESULTS: A total of 8524 participants with T2DM
(2273 participants were on insulin therapy and 6251 participants were not) were
included. Results of this analysis showed early stent thrombosis to be
significantly higher in patients with ITDM (OR 1.81, 95% CI 1.04-3.15; P = 0.04),
whereas late and very late stent thromboses were not significantly different
following PCI with DES in diabetic patients with versus without insulin therapy
(OR 1.44, 95% CI 0.73-2.84, P = 0.30 and OR 0.80, 95% CI 0.33-1.92, P = 0.62,
respectively). Late stent thromboses associated exclusively with everolimus
eluting stents (EES) and paclitaxel-eluting stents (PES) were not significantly
different in patients with ITDM and NITDM. CONCLUSION: Following PCI with DES,
early stent thrombosis was significantly higher in patients with ITDM. However,
late and very late stent thromboses were not significantly different in patients
with type 2 diabetes mellitus who were treated with or without insulin.
Comparison with individual DES was not sufficiently powerful to reach a
conclusion.
PMID- 29644620
TI - Mine land rehabilitation in Brazil: Goals and techniques in the context of legal
requirements.
AB - Environmental legislation in many countries demands the rehabilitation of
degraded areas to minimize environmental impacts. Brazilian laws require the
restitution of self-sustaining ecosystems to historical conditions but ignore the
emergence of novel ecosystems due to large-scale changes, such as species
invasions, extinctions, and land-use or climate changes, although these novel
ecosystems might fulfill ecosystem services in similar ways as historic
ecosystems. Thorough discussions of rehabilitation goals, target ecosystems,
applied methods, and approaches to achieving mine land rehabilitation, as well as
dialogues about the advantages and risks of chemical inputs or non-native, non
invasive species that include all political, economic, social, and academic
stakeholders are necessary to achieve biological feasibility, sociocultural
acceptance, economic viability, and institutional tractability during
environmental rehabilitation. Scientific knowledge of natural and rehabilitating
ecosystems is indispensable for advancing these discussions and achieving more
sustainable mining. Both mining companies and public institutions are responsible
for obtaining this knowledge.
PMID- 29644622
TI - Microbial metabolites, short-chain fatty acids, restrain tissue bacterial load,
chronic inflammation, and associated cancer in the colon of mice.
AB - The intestinal immune system is regulated by microbes and their metabolites. The
roles of gut microbial metabolites in regulating intestinal inflammation and
tumorigenesis are incompletely understood. We systematically studied the roles of
short-chain fatty acids (SCFAs) and their receptors (GPR43 or GPR41) in
regulating tissue bacterial load, acute versus chronic inflammatory responses,
and intestinal cancer development. SCFA receptor-, particularly GPR43-, deficient
mice were defective in mounting appropriate acute immune responses to promote
barrier immunity, and developed uncontrolled chronic inflammatory responses
following epithelial damage. Further, intestinal carcinogenesis was increased in
GPR43-deficient mice. Dietary fiber and SCFA administration suppressed intestinal
inflammation and cancer in both GPR43-dependent and independent manners. The
beneficial effect of GPR43 was not mediated by altered microbiota but by host
tissue cells and hematopoietic cells to a lesser degree. We found that inability
to suppress commensal bacterial invasion into the colonic tissue is associated
with the increased chronic Th17-driven inflammation and carcinogenesis in the
intestine of GPR43-deficient mice. In sum, our results reveal the beneficial
function of the SCFA-GPR43 axis in suppressing bacterial invasion and associated
chronic inflammation and carcinogenesis in the colon.
PMID- 29644621
TI - Exploring dynamism of cultural ecosystems services through a review of
environmental education research.
AB - The field of cultural ecosystem services (CES) explores the non-material benefits
that ecosystems provide to people. Human perceptions and valuations change, for
many reasons and in many ways; research on CES, however, rarely accounts for this
dynamism. In an almost entirely separate academic world, research on
environmental education (EE) explores how EE programming affects peoples'
attitudes and values toward the natural world. In this review of 119 EE research
publications, we explore whether CES (and the adjacent concept of relational
values) can be dynamic. We approach this via two lines of inquiry that explore
whether EE may instigate this change. First, we investigate whether the EE
community measures (and tries to affect) CES-related outcomes. Second, we ask:
Has EE research detected changes in CES-related outcomes? We find the EE programs
measure many CES outcomes (e.g., aesthetic appreciation, social connectedness),
and that in most cases studies observe increases in these outcomes after EE
experiences.
PMID- 29644623
TI - Single Pass Diafiltration Integrated into a Fully Continuous mAb Purification
Process.
AB - The concept of continuous manufacturing has gained significant interest from the
biopharmaceutical industry over the past several years. Benefits include
increased manufacturing productivity, improved quality control, reduction in
plant footprint, and more flexible management of facility capacity. There are
several technologies currently available that enable continuous processing for
chromatography and ultrafiltration. However, a single pass diafiltration design
that meets the required small molecule clearance and has been integrated into a
fully continuous monoclonal antibody purification process has not been previously
published. Here, the theory and design of a 3-stage single pass diafiltration
step is presented. Buffer exchange greater than 99.75% was experimentally
demonstrated. Several critical design aspects were incorporated to minimize
system complexity and reduce the buffer volume requirements. Lastly, single pass
diafiltration was demonstrated in a pilot scale continuous process with
uninterrupted flow from the bioreactor through the formulation step. This work
illustrates the feasibility of incorporating a single pass diafiltration step
into an end-to-end continuous protein purification process. This article is
protected by copyright. All rights reserved.
PMID- 29644624
TI - The demographic history of Atlantic salmon (Salmo salar) across its distribution
range reconstructed from approximate Bayesian computations.
AB - Understanding the dual roles of demographic and selective processes in the
buildup of population divergence is one of the most challenging tasks in
evolutionary biology. Here, we investigated the demographic history of Atlantic
salmon across the entire species range using 2035 anadromous individuals from
North America and Eurasia. By combining results from admixture graphs, geo
genetic maps, and an Approximate Bayesian Computation (ABC) framework, we
validated previous hypotheses pertaining to secondary contact between European
and Northern American populations, but also identified secondary contacts in
European populations from different glacial refugia. We further identified the
major sources of admixture from the southern range of North America into more
northern populations along with a strong signal of secondary gene flow between
genetic regional groups. We hypothesize that these patterns reflect the spatial
redistribution of ancestral variation across the entire North American range.
Results also support a role for linked selection and differential introgression
that likely played an underappreciated role in shaping the genomic landscape of
species in the Northern hemisphere. We conclude that studies between partially
isolated populations should systematically include heterogeneity in selective and
introgressive effects among loci to perform more rigorous demographic inferences
of the divergence process.
PMID- 29644629
TI - A3. Vaginal intraepithelial neoplasia (VAIN).
AB - VAIN is much rarer than the equivalent dysplastic changes found in the cervix but
may accompany cervical intraepithelial neoplasia. VAIN is also HPV in-duced and
may be an extension of a cervical lesion or a satellite lesion. VAIN lesions are
usually asymptomatic and detected by cytologic screening. Lesions can be ex
cised, vaporized or treated locally with medical therapies. The incidence of
vaginal cancer is very rare.
PMID- 29644627
TI - Routinely used immunoassays do not detect circulating anti-GBM antibodies against
native NC1 hexamer and EA epitope of the alpha3 chain of type IV collagen.
AB - Detection of circulating anti-GBM antibodies has a key role for the diagnosis of
Goodpasture syndrome but immunoassays using purified or recombinant alpha3(IV)NC1
as antigen do not recognize all anti-GBM antibodies. We show that anti-GBM
antibodies directed against epitopes in their native conformation or cryptic
epitopes are detected by indirect immunofluorescence.
PMID- 29644630
TI - CHAPTER 3 Pathology of HPV infection at the cytologic and histologic levels:
Basis for a 2-tiered morphologic classification system.
AB - Over the last 2 decades the pathogenesis and natural history of cervi-cal cancer
has become clearer. As a result, the cytologic and histologic terminol-ogy used
to refer to cervical cancer precursors has needed to change. Today we recognize
that almost all cervical cancers are due to infection with specific high-risk
types of human papillomavirus (HPV). Most women become infected with these
viruses within several years of initiating sexual intercourse and a productive
HPV in-fection frequently results in characteristic morphologic changes within
the infected cervical squamous cells. Cells demonstrating the morphologic changes
associated with a productive HPV infection are referred to as low-grade squamous
intraepi-thelial lesions (LSIL) when observed in cytologic specimens and low
grade cervical intraepithelial neoplasia (CIN 1) when observed in histologic
specimens. In some women, HPV gene expression becomes unlinked to the state of
differentiation of the infected epithelial cells and deregulated expression of
the early region of the viral genome results in a dramatic increase in expression
of two HPV oncoproteins (E6 and E7). This results in loss of normal cell cycle
control of the epithelium and genetic instability. When this occurs the
epithelium develops characteristic mor-phologic features, with immature "basaloid
type" squamous cells and mitotic fig-ures in the upper half of the cervical
epithelium. Such lesions are felt to represent "true" neoplasia and are referred
to as high-grade squamous intraepithelial lesions (HSIL) when observed in
cytologic specimens and high-grade cervical intraepithelial neoplasia (CIN 2,3)
when observed in histologic specimens.
PMID- 29644631
TI - A4. Preinvasive lesions of the cervix.
AB - Low-risk human papillomaviruses (HPVs) can cause genital warts which, although
benign, may provoke psychological distress because they are sexually transmitted.
High-risk HPVs can cause cervical intraepithelial neoplasia and cancer. Cytology
based screening programs have significantly reduced cervical cancer morbidity and
mortality where the programs have been successfully implemented.
Womenwithabnormalcervicalsmearsare referred for colposcopic assessment, which may
confirm the presence of a preinvasive lesion;allows the grade and extent of the
lesion to be defined;and guides the colposcopist as to the most appropriate site
for biopsy and histologic confirmation of the lesion. Excision of the
transformation zone of the cervix in an outpatient setting using local anesthetic
has greatly simplified the treatment of premalignant lesions, but long-term
follow-up is essential to ensure that the lesions neither persist nor recur.
Abnormal cytologic findings during adolescence and pregnancy need special
management.
PMID- 29644632
TI - CHAPTER 10 A public health approach to cervical cancer control: Considerations of
screening and vaccination strategies.
AB - Cervical cancer remains a leading cause of cancer death among women living in low
resource settings. In the last 3 decades, cytologic screening has -in theory
been available and yet more than 6 million women have died of this preventable
disease. The necessary resources, infrastructure, and technological expertise,
together with the need for repeated screenings at regular intervals, make
cytologic screening difficult to implement in poor countries. As noncytologic
approaches for the detection of HPV, simple visual screening methods for
anogenital lesions caused by HPV, and the availability of an HPV-16/18 vaccine
will enhance the linkage between screening and treatment, multiple factors will
need to be considered when designing new, or modifying existing prevention
strategies. Countryspecific decisions regarding the best strategy for cervical
cancer control will need to rely on data from many sources and take into account
complex epidemiologic, economic, social, political, and cultural factors, and be
made despite uncertainty and incomplete information. A rigorous decision analytic
approach using computerbased modeling methods enables linkage of the knowledge
gained from empirical studies to real-world situations. This chapter provides an
introduction to these methods, reviews lessons learned from cost-effectiveness
analyses of cervical cancer screening in developed and developing countries, and
emphasizes important qualitative themes to consider in designing cervical cancer
prevention policies.
PMID- 29644633
TI - CHAPTER 2 The epidemiology of human papillomavirus infection and its association
with cervical cancer.
AB - Cervical cancer has been recognized as a rare outcome of a common, sexually
transmitted infection whose etiologic association is restricted to a few human
papillomavirus (HPV) types. With optimal testing systems HPV DNA can be
identified in nearly all specimens of invasive cervical cancer, and it is claimed
that infection of the cervix with HPV is a necessary cause of cervical cancer.
The evidence is consistent worldwide for squamous cell carcinomas (SCC),
adenocarcinomas, and the vast majority (>95%) of the immediate cervical cancer
precursors, namely high-grade squamous intraepithelial lesions (HSILs)-also known
as cervical intraepithelial neoplasia 3 (CIN 3) or carcinoma in situ. Cofactors
that modify the risk for HPV DNA-positive women include the use of oral
contraceptives (OCs) for 5 or more years, smoking, high parity (5 or more full
term pregnancies), and previ-ous exposure to other sexually transmitted diseases
such as Chlamydia trachomatis and herpes simplex virus type 2 (HSV-2). Women
exposed to the human immuno-deficiency virus (HIV) are at high risk for HPV
infection, HPV DNA persistence, and progression of HPV lesions to cervical
cancer.
PMID- 29644634
TI - Section B: Malignant manifestations of HPV infection Carcinoma of the cervix,
vulva, vagina, anus, and penis.
AB - Cervical cancer remains the commonest cancer among women in developing countries,
affecting women at their peak of social and economic responsibility. In poor
countries where access to diagnosis and treatment is extremely limited, most of
the affected women present with late-stage disease. Many do not even have access
to palliative care. Outcome in women treated for cervical cancer is strongly
influenced by the stage of diagnosis. The main treatment modalities remain
surgical removal for early-stage disease and chemoradiation for late-stage
disease. Cancers of the vulva, vagina, penis, and anus are much less common than
cervical cancer although, in most cases, they also are associated with human
papillomavirus infection. Diagnosis and chief treatment modalities for cervical
and these less common cancers are discussed.
PMID- 29644635
TI - CHAPTER 4 Clinical manifestations of HPV infection Section A: Benign
manifestations of HPV infection: A1. Anogenital condylomas.
AB - The clinical manifestation of infection with HPV is determined by multi-ple
factors which include the type of HPV, the type of skin infected, the status of
host immunity and smoking. The incubation period for HPV is 3 weeks to 8 months.
While there is no specific anti-HPV therapy, local topical therapy, excision,
cautery and laser vaporization have all been used with a range of success rates.
PMID- 29644636
TI - CHAPTER 6 Secondary prevention of cervical cancer.
AB - Cervical cancer continues to be the commonest cause of death among women in
developing countries, largely due to the failure to initiate or sustain effective
cytology-based screening programs. Experience from countries with successful
screening programs indicates that target age and the extent of coverage of the
target group are key indicators of success in reducing cervical cancer.
Alternative methods for the secondary prevention of cervical cancer have been
evaluated in numerous studies over the past 10 years in different countries.
These include visual inspection with acetic acid and linking screening to
treatment. Although longitudinal data are scanty, these alternative approaches
have been shown to be feasible, acceptable, and effective in reducing cervical
cancer.
PMID- 29644637
TI - A2. Vulval intraepithelial neoplasia (VIN).
AB - VIN 3 is most likely a cancer precursor and is induced by infection with high
risk types of HPV. VIN 3 lesions may be asymptomatic or present with itching
and/or burning or the appearance of a vulval lesion (often raised and hyperpig
mented), which may be unifocal or multifocal. All vulval lesions should be
biopsied to confirm the diagnosis. Treatment options include laser vaporization,
excision or cautery. Long term follow up is essential.
PMID- 29644638
TI - CHAPTER 1 Biology of genital human papillomaviruses.
AB - Human papillomaviruses (HPVs) are small DNA viruses that infect various
epithelial tissues. The more than 100 types of HPV described share a circular DNA
genome of about 8000 base pairs organized into an early, a late, and a long
control region. The products of 2 genes from the early control region, E6 and E7,
are essential in the HPV-induced processes of cellular transformation and
immortalization, and 2 genes from the late control region, L1 and L2, encode the
viral capsid proteins. A few high-risk types of HPV types, including HPV-16 and
HPV-18, are asso-ciated with more than 99% of cervical carcinomas. Continuous
expression of the E6 and E7 oncoproteins by high-risk types of HPV often leads to
genomic aberrations, a step toward malignant conversion. Although in most cases
innate and adaptive im-mune responses control HPV infection, the high-risk types
of virus have the ability to subvert immune defenses, which explains persistent
infection and progression to neoplasia.
PMID- 29644639
TI - CHAPTER 11 How to manage and communicate with patients about HPV?
AB - The whole concept of abnormal Pap smears and cervical cancer being due to an STI
poses enormous challenges to the healthcare provider. The psychological and
psychosocial sequelae of misinformation are potentially damaging both to the
woman and her partner. There is a clear responsibility for the information around
this to be simple, relevant, reassuring and comprehensible. Written information
is invaluable.
PMID- 29644640
TI - CHAPTER 7 Methods for detection of HPV infection and its clinical utility.
AB - HPVs cannot be cultured and the detection of virus relies on a variety of
techniques used in immunology, serology, and molecular biology. Currently the
only FDA-approved commercially available method for the detection of HPV DNA is
the Hybrid Capture assay, version hc2 (Digene, Gaithersburg, MD, USA) which is
able to detect 13 high-risk types of HPV. The advantage of PCR-based methods of
HPV DNA detection is that they allow for the identification of different types of
HPV. This article discusses the advantages and disadvantages of the different
methods of HPV DNA detection. HPV DNA testing can be used in a variety of
clinical scenarios that include a primary screening test, particularly in women
older than 30 years;as an adjunctive test to cytology;to triage women who have an
equivocal cytologic finding, e.g., ASC-US, or for follow up post-treatment. In
addition, HPV DNA testing can be performed on samples obtained by women
themselves (so-called self-sampling), which may be useful in women who are
resistant to undergoing gynecologic examinations.
PMID- 29644641
TI - From the Editors.
PMID- 29644642
TI - CHAPTER 8 HPV vaccines.
AB - Vaccines to prevent infection with high-risk human papillomaviruses (HPV) will
help protect women against cervical cancer, and some are likely to be available
within the next year. One vaccine, a quadrivalent vaccine against HPV types 6,
11, 16 and 18 and known as Garadsil (c)(Merck &Co., Inc), was approved by the
Federal Drug Administration (FDA) for the prevention of cervical cancer, cervical
cancer precursors and vulval and vaginal cancer precursors associated with HPV 16
and 18 in June 2006. In addition, the vaccine has been approved for the
prevention of genital warts and low grade cervical lesions e.g. cervical
intraepithelial neoplasia1. The main vaccines components are recombinant viral
capsid proteins assembled into virus-like particles and alum-based adjuvants. If
given before HPV infection, the vaccines, which induce HPV type-specific, virus
neutralizing antibodies, have proven safe and highly effective at preventing HPV
infection and its clinical consequences, including high-grade cervical lesions.
Their use should not immediately alter existing screening programs for cervical
cancer, however. Because they incorporate only the 2 HPV types most commonly
associated with cervical cancer (HPV-16 and HPV-18), they can only prevent about
70% of cervical cancers. Vaccines to treat existing HPV infection are under
development but are unlikely to become clinically available in the near future.
PMID- 29644643
TI - Foreword.
PMID- 29644644
TI - CHAPTER 5 HPV infection and HPV-associated neoplasia in immunocompromised women.
AB - Human immunodeficiency virus (HIV)-positive women and women with transplant
associated immunocompromise are at increased risk for cervical intraepithelial
neoplasia (CIN) and cervical cancer compared with healthy, immunocompetent women.
HPV often manifests as a "field" effect in immunocompromised women who are also
at increased risk for vaginal, vulval, and anal intraepithelial neoplasia.
Immunocompromised women require careful follow-up with regular cy-tologic
screening, and there should be a low threshold for performing colposcopic
evaluation in these women. Once detected, CIN should be treated aggressively and
the patient followed up closely for recurrence. Although treatment regimens are
similar for immunocompromised and healthy women, the former may need multiple
treatment modalities. Data on the ability of highly active antiretroviral therapy
(HAART) to reduce the incidence of high-grade CIN and on the regression of
existing CIN are mixed, some studies showing no benefit and others a modest
benefit from HAART. However, the incidence of cervical cancer has not declined
since the introduction of HAART, and the use of HAART among HIV-positive women
has not changed the suggested approach to cervical cancer screening and
treatment. Finally, prophylactic HPV vaccination offers the possibility of
reducing the burden of disease among immunocompromised women, particularly if
they are vaccinated before the onset of both sexual activity and
immunocompromise. However, studies are needed to document safety and
immunogenicity, and -given their high rate of prior HPV exposure -the
effectiveness of the vaccine in these women.
PMID- 29644645
TI - CHAPTER 9 Delivering HPV vaccine in the industrial and developing world: the role
of the ob-gyn community.
AB - The development and demonstration of the safety and effectiveness of new HPV
vaccines is a major breakthrough in medical science that could prevent most cases
of cervical cancer, the first or second cause of cancer death in women in most
developing countries. This chapter discusses the delivery of HPV vaccine in the
industrial and developing world, from the point of view of what obstetricians and
gynecologists need to know to use and advocate for the use of this powerful new
tool.
PMID- 29644646
TI - A review of postoperative care for obstetric fistulas in Nigeria.
AB - Nigeria harbors an estimated 40% of all the women affected with obstetric
fistulas today, and at the current rate of treatment in this country, it would
take about 300 years to treat them if no new fistulas occurred. This situation
obviously runs contrary to the ideals of the United Nations Millennium
Development Goals and needs to be reversed. We reviewed the literature on fistula
treatment in Nigeria to identify strategies and practices that, if adopted, would
likely accelerate the pace of fistula repair and improve postoperative fistula
care in this country. A comprehensive nationwide survey, a stronger political
will and commitment of resources, a systematic postoperative care and follow-up,
more fistula centers, and more fistula campaigns are necessary. Technically, the
involvement of all surgeons trained in fistula repair and an experience-based
postoperative management protocol will relieve the disease burden carried by
women living with fistulas in Nigeria.
PMID- 29644647
TI - Free Communication (Oral) Presentations.
PMID- 29644648
TI - Invited Presentations and Presentations by Organizations and Societies.
PMID- 29644650
TI - Executive Board.
PMID- 29644649
TI - Poster Presentations.
PMID- 29644651
TI - Improving maternal and perinatal outcomes in the hypertensive disorders of
pregnancy: A vision of a community-focused approach.
AB - The hypertensive disorders of pregnancy (HDP; pre-existing hypertension,
gestational hypertension, and pre-eclampsia) remain important causes of maternal
morbidity and mortality, especially in low- and middle-income countries. The
paper summarizes the current state of evidence around possible technologies to
support community-based improvements in maternal and perinatal outcomes for women
with pre-eclampsia. Through the testing and, where proven, introduction of these
technologies, we believe that HDP-related progress toward achieving Millennium
Development Goal 5 can best be accelerated. The evidence and discussion are
presented under the following headings: (1) prediction; (2) prevention; (3)
diagnosis; (4) risk stratification; (5) decision aids; (6) treatment; (7)
geographic information systems; (8) communication; and (9) community and patient
education.
PMID- 29644654
TI - The International Federation of Gynecology and Obstetrics (FIGO) Initiative on
gestational diabetes mellitus: A pragmatic guide for diagnosis, management, and
care.
PMID- 29644658
TI - Carcinoma of the Vagina.
PMID- 29644659
TI - Cervical Cancer: The South African Perspective.
PMID- 29644660
TI - Cervical Cancer: The Indian Perspective.
AB - Cancer of the uterine cervix is the commonest gynaecologic cancer in India, with
most women presenting with disease extending beyond the cervix. The majority of
women belong to the lower socioeconomic status, are rural, aged between 35 and 64
years and highly noncompliant for complete treatment and follow-up. Opportunistic
screening with cytology, colposcopy and test for Human Papilloma Virus and
appropriate treatment are available on payment at urban private medical centres
but are not available at urban and rural government health centres that are
accessed by women of the lower socioeconomic status. The Government's investment
in health is 0.9% of the GDP. Thus cytology screening as a government health
measure is not feasible. The 'social vaccine' of health empowerment along with
visual inspection and appropriate referral by the rural and urban health
personnel (Department of Health and Family Welfare); with an additional input of
health awareness and motivation by Anganwadi Workers (Department of Women and
Child Development), elected women representatives in the Panchayats (Department
of Rural Development and Panchayati Raj) and non-governmental development
agencies could be a collaborative effort towards "downstaging" cervical cancer.
This could lay the foundation for the introduction of cytology screening when
resources are available.
PMID- 29644661
TI - Carcinoma of the Corpus Uteri.
PMID- 29644663
TI - History of the Annual Report.
PMID- 29644662
TI - Carcinoma of the Fallopian Tube.
PMID- 29644664
TI - Materials and Methods.
PMID- 29644665
TI - From the Editor.
PMID- 29644666
TI - Quality of Life Considerations in Gynecologic Cancer.
AB - Quality of life (QOL) is a fundamental consideration for patients with life
threatening diseases. Major evolving paradigms are discussed: improved QOL with
laparoscopic surgery, the impact on QOL of intraperitoneal chemotherapy for
optimally cytoreduced ovarian cancer, combination therapy, sexuality, and
survivorship. The goals of treatment for many patients with gynecologic tumors
remain largely palliative, and patient reported QOL is the primary outcome
determining the utility of treatment. Particularly in this area, QOL endpoints
are increasingly important in clinical trials. The QOL issues facing gynecologic
cancer patients, the use of validated QOL instruments, recent advances in the
evaluation of interventions, and changes in concepts related to QOL are reviewed.
PMID- 29644667
TI - FIGO: 27th volume of the ANNUAL REPORT on the Results of Treatment in
Gynecological Cancer.
PMID- 29644668
TI - Cervical Cancer: The Chilean Perspective.
PMID- 29644669
TI - Carcinoma of the Ovary.
PMID- 29644671
TI - Contributing Centers.
PMID- 29644670
TI - HPV DNA Testing for Cervical Cancer Screening.
PMID- 29644672
TI - Why Cancer Staging?
PMID- 29644673
TI - Carcinoma of the Vulva.
PMID- 29644674
TI - Overview of Cervical Cancer in the Developing World.
PMID- 29644675
TI - Gestational Trophoblastic Neoplasia.
PMID- 29644676
TI - Carcinoma of the Cervix Uteri.
PMID- 29644678
TI - The safety of misoprostol.
PMID- 29644677
TI - Preliminary progress report: Randomized double-blind trial of Zhi Byed 11, a
Tibetan traditional medicine, versus misoprostol to prevent postpartum hemorrhage
in Lhasa, Tibet.
PMID- 29644679
TI - Global availability of misoprostol.
PMID- 29644680
TI - Prevention of postpartum hemorrhage: The role of active management of the third
stage of labor.
PMID- 29644681
TI - Public-private partnership in health research.
PMID- 29644682
TI - Controlled cord traction in the third stage of labor. Systematic review.
PMID- 29644683
TI - A double-blind randomized controlled trial to compare sublingual misoprostol with
methylergometrine for prevention of postpartum hemorrhage.
PMID- 29644684
TI - Proposed guidelines for management of PPH, essential medicines, measurement of
blood loss, and issues of skilled birth attendants.
AB - The statements contained within this article reflect the consensus of the
assembled body. They do not necessarily reflect complete unanimity of all
participants.
PMID- 29644685
TI - Prevention of postpartum haemorrhage at homebirth with use of misoprostol in
Banke District, Nepal.
PMID- 29644686
TI - The pilot study of the non-pneumatic anti-shock garment (NASG) in women with
severe obstetric hemorrhage: Combined results from Egypt and Nigeria.
PMID- 29644688
TI - A global overview of ongoing misoprostol studies.
PMID- 29644687
TI - Estimating the effect of unplanned interventions in the randomized misoprostol
trial: A mixed model analysis.
PMID- 29644689
TI - Ethical issues in conducting international research studies.
PMID- 29644690
TI - The role of misoprostol for prevention of postpartum hemorrhage.
PMID- 29644691
TI - Introduction.
PMID- 29644692
TI - Creative approaches to rapid assessment and transfer of patients at risk.
PMID- 29644693
TI - Prevention of postpartum hemorrhage in the absence of uterotonics.
PMID- 29644694
TI - Training rural health care providers for prevention of PPH.
PMID- 29644695
TI - From research to practice1.
PMID- 29644696
TI - Ethical issues in health research: Historical perspectives.
PMID- 29644697
TI - Rectal misoprostol versus oxytocin in the prevention of postpartum hemorrhage - A
pilot study.
PMID- 29644698
TI - The efficacy of oral misoprostol in preventing postpartum hemorrhage in a
community setting: A randomized double-blind placebo-controlled trial.
PMID- 29644699
TI - Prevalence of daily flossing among adults by selected risk factors for
periodontal disease-United States, 2011-2014.
AB - BACKGROUND: Daily flossing prevalence was determined among adults >=30 years old
in the United States, by demographic and risk factors for periodontal disease,
including current tobacco use and diabetes. METHODS: Data from the 2011-2014
National Health and Nutrition Examination Survey were analyzed for 8,356 adults.
Flossing prevalence was estimated. Logistic regression analysis examined the
association between daily flossing and demographic and risk factors for
periodontal diseases. RESULTS: Daily flossing among adults was 31.6% (standard
error [SE] = 0.8). There were significant differences among the categories of
flossing according to age, sex, race/Hispanic origin, poverty status, education,
current tobacco use, and diabetes status. In adjusted analyses, current tobacco
users (odds ratio [OR]: 0.82; 95% confidence index [CI] 0.68, 0.99) had lower
odds of daily flossing than non-tobacco users; there was no significant
difference between adults with and without diabetes (OR 0.75; 95% CI 0.52, 1.08).
CONCLUSION: Approximately one-third of adults in the United States reported that
they floss daily. Daily flossing was higher among women, those with higher
income, and non-Hispanic Asian and Hispanic adults, but it was lower among
current tobacco users.
PMID- 29644700
TI - SNP-based susceptibility-resistance association and mRNA expression regulation
analyses of tlr7 to grass carp Ctenopharyngodon idella reovirus.
AB - Eleven single nucleotide polymorphisms (SNP) in Ctenopharyngodon idella toll-like
receptor 7 (citlr7) gene, containing two in the 5'-flanking region, three within
the single intron and six distributed in the coding sequence (CDS), were
identified. A case-control study of 73 susceptible individuals and 67 resistant
individuals was conducted to test the SNPs-based susceptibility-resistance
association and mRNA expression of citlr7 to grass carp reovirus (GCRV), showing
that both 820 A/G and 1726 A/G were significantly correlative sites in genotype
(P < 0.05). Multifactor dimensionality reduction (MDR) analysis suggested the
exertion of antiviral effects of 820 A/G might rely on SNPs interactions of
citlr7 and C. idella toll-like receptor 8 (citlr8). Combining the mortality rate
and citlr7 mRNA expression, it was suggested that 1726 GG-genotyped individuals
might be more resistant than 1726 A/G genotyped individuals, indicating the
selection on synonymous mutations in 1726 A/G might be susceptibility-resistance
type specific. In addition, haplotype analysis uncovered no significantly
correlative haplotypes in citlr7. These findings may provide an in-depth insight
for the further functional research of citlr7. The potential genetic markers
identified may contribute to the molecular and transgenic breeding of C. idella.
PMID- 29644704
TI - Close but not quite: Two cases of sex chromosome aneuploidies outside the scope
of cell free DNA screening.
PMID- 29644702
TI - Influence of group III/IV muscle afferents on small muscle mass exercise
performance: a bioenergetics perspective.
AB - KEY POINTS: This investigation assessed the influence of group III/IV muscle
afferents on small muscle mass exercise performance from a skeletal muscle
bioenergetics perspective. Group III/IV muscle afferent feedback was attenuated
with lumbar intrathecal fentanyl during intermittent isometric single-leg knee
extensor all-out exercise, while 31 P-MRS was used to assess skeletal muscle
bioenergetics. Attenuation of group III/IV muscle afferent feedback improved
exercise performance during the first minute of exercise, due to an increase in
total ATP production with no change in the ATP cost of contraction. However,
exercise performance was not altered during the remainder of the protocol,
despite a sustained increase in total ATP production, due to an exacerbated ATP
cost of contraction. These findings reveal that group III/IV muscle afferents
directly limit exercise performance during small muscle mass exercise, but, due
to their critical role in maintaining skeletal muscle contractile efficiency,
with time, the benefit of attenuating the muscle afferents is negated. ABSTRACT:
The direct influence of group III/IV muscle afferents on exercise performance
remains equivocal. Therefore, all-out intermittent isometric single-leg knee
extensor exercise and phosphorous magnetic resonance spectroscopy (31 P-MRS) were
utilized to provide a high time resolution assessment of exercise performance and
skeletal muscle bioenergetics in control conditions (CTRL) and with the
attenuation of group III/IV muscle afferent feedback via lumbar intrathecal
fentanyl (FENT). In both conditions, seven recreationally active men performed 60
maximal voluntary quadriceps contractions (MVC; 3 s contraction, 2 s relaxation),
while knee-extensor force and 31 P-MRS were assessed during each MVC. The
cumulative integrated force was significantly greater (8 +/- 6%) in FENT than
CTRL for the first minute of the all-out protocol, but was not significantly
different for the second to fifth minutes. Total ATP production was significantly
greater (16 +/- 21%) in FENT than CTRL throughout the all-out exercise protocol,
due to a significantly greater anaerobic ATP production (11 +/- 13%) in FENT than
CTRL with no significant difference in oxidative ATP production. The ATP cost of
contraction was not significantly different between FENT and CTRL for the first
minute of the all-out protocol, but was significantly greater (29 +/- 34%) in
FENT than in CTRL for the second to fifth minutes. These findings reveal that
group III/IV muscle afferents directly limit exercise performance during small
muscle mass exercise, but, due to their critical role in maintaining skeletal
muscle contractile efficiency, with time, the benefit from muscle afferent
attenuation is negated.
PMID- 29644703
TI - Evaluation of six sample preparation procedures for qualitative and quantitative
proteomics analysis of milk fat globule membrane.
AB - Proteomic analysis of membrane proteins is challenged by the proteins solubility
and detergent incompatibility with MS analysis. No single perfect protocol can be
used to comprehensively characterize the proteome of membrane fraction. Here, we
used cow milk fat globule membrane (MFGM) proteome analysis to assess six sample
preparation procedures including one in-gel and five in-solution digestion
approaches prior to LC-MS/MS analysis. The largest number of MFGM proteins were
identified by suspension trapping (S-Trap) and filter-aided sample preparation
(FASP) methods, followed by acetone precipitation without clean-up of tryptic
peptides method. Protein identifications with highest average coverage was
achieved by Chloroform/MeOH, in-gel and S-Trap methods. Most distinct proteins
were identified by FASP method, followed by S-Trap. Analyses by Venn diagram,
principal-component analysis, hierarchical clustering and the abundance ranking
of quantitative proteins highlight differences in the MFGM fraction by the all
sample preparation procedures. These results reveal the biased proteins/peptides
loss occurred in each protocol. In this study, we found several novel proteins
that were not observed previously by in-depth proteomics characterization of MFGM
fraction in milk. Thus, a combination of multiple procedures with orthologous
properties of sample preparation was demonstrated to improve the protein sequence
coverage and expression level accuracy of membrane samples.
PMID- 29644705
TI - A fully validated method for the simultaneous determination of 11 antihistamines
in breast milk by gas chromatography-mass spectrometry.
AB - Antihistamines are excreted into breast milk in small amounts; however, there are
no adequate published studies or data concerning their effects on newborns and
safety during breastfeeding. Thus, the development of sensitive and specific
methodologies for the determination of antihistamines in breast milk is critical.
A simple and sensitive GC-MS method for the simultaneous determination of 11
antihistamines (diphenhydramine, orphenadrine, chlorpheniramine, dimethindene,
meclozine, hydroxyzine, loratadine, desloratadine, cetirizine, rupatadine and
ebastine) in breast milk was developed and validated. The antihistamines were
solid-phase extracted and derivatized with acetic anhydride and n-propanol.
Diazepam-d5 , hydroxyzine-d4 and cetirizine-d8 were used as internal standards.
Absolute recovery values for all analytes ranged from 70.5 to 120.0%, while the
limits of detection and quantification for all analytes were 1.50 and 5.00 ng/mL,
respectively. All calibration curves were linear (R2 >= 0.990) within the range
5.00-1000.0 ng/mL. Accuracy (Er ) ranged between -7.6 and 7.0%, while precision
(RSD) was <12% for all antihistamines. The developed method is suitable for the
investigation of antihistamine-related clinical cases, as well as for
pharmacokinetic and breastfeeding safety studies.
PMID- 29644706
TI - Preparation and evaluation of 188 Re sulfide colloidal nanoparticles loaded
biodegradable poly (L-lactic acid) microspheres for radioembolization therapy.
AB - Radioembolization with radioactive microspheres has been an effective method for
the treatment of liver lesions. The aim of this study was to prepare carrier-free
188 Re loaded poly (L-lactic acid) (PLLA) microspheres through 188 Re sulfide
colloidal nanoparticles (188 Re-SC nanoparticles). The formation of 188 Re-SC
nanoparticles was confirmed by ultraviolet-visible spectrophotometry. The
labeling yield of 188 Re-SC nanoparticles was verified using the RTLC method.
Effects of synthesis parameters on morphology and size of prepared 188 Re-sulfide
colloidal-PLLA microspheres (188 Re-SC-PLLA microspheres) were studied by
scanning electron microscopy. In vitro stability of 188 Re-SC-PLLA microspheres
was investigated in normal saline at room temperature and in human serum at 37
degrees C. In vivo distribution studies and gamma camera imaging were performed
in healthy BALB/c mice. The microspheres could be prepared with sizes between 13
and 48 MUm (modal value 29 MUm) and radiolabeling efficiency >99%. After
incubation, the microspheres were found stable in vitro up to 72 hours. The
biodistribution after intravenous injection in healthy BALB/c mice showed high
accumulation in lung as a first capture pathway organ for microsphere followed by
great retention over 48 hours for these microspheres. These data show that 188 Re
SC-PLLA microspheres are suitable candidate for clinical studies.
PMID- 29644707
TI - Perinuclear antineutrophil cytoplasmic antibody-positive vasculitis,
oligoarthritis, tendinitis, and myositis associated with isotretinoin in a 15
year-old boy: Case report and review of literature.
AB - We present a patient in whom a combination of perinuclear antineutrophil
cytoplasmic antibody-positive vasculitis, oligoarthritis, tendinitis, and
myositis was considered to be associated with isotretinoin use. Discontinuation
of the drug resulted in complete clinical and biochemical remission
(normalization of perinuclear antineutrophil cytoplasmic antibody titer).
Although we were unable to prove causality, no other underlying cause for the
patient's course was found. We report this occurrence to bring it to the
attention of physicians prescribing isotretinoin.
PMID- 29644708
TI - Reactive oxygen species impact on sperm DNA and its role in male infertility.
AB - Reactive oxygen species (ROS) have been extensively studied as a cause of male
infertility. Excessive levels of ROS coupled with a deficiency in antioxidants
can lead to oxidative stress (OS), which in turn can lead to nuclear and
mitochondrial DNA damage, telomere shortening, epigenetic alterations and Y
chromosomal microdeletions. In this review, we discuss how OS induces DNA damage
and the types of DNA damage that can occur. We also briefly touch on the clinical
consequences of OS-induced DNA damage.
PMID- 29644709
TI - Optimizing the pretransplant regimen for autologous stem cell transplantation in
acute myelogenous leukemia: Better outcomes with busulfan and melphalan compared
with busulfan and cyclophosphamide in high risk patients autografted in first
complete remission: A study from the acute leukemia working party of the EBMT.
AB - Autologous stem cell transplantation remains a clinical option to consolidate
some adult patients with acute myelogenous leukemia (AML) in first complete
remission (CR1). In a small cohort of patients, we have previously shown better
outcomes following Busulfan and Melphalan (BUMEL) over Busulfan and
Cyclophosphamide (BUCY). To identify the subpopulations that might get the
highest benefit with BUMEL, we designed a larger study. All adult patients with
primary AML and available cytogenetics, autografted from January 2000 to December
2016 in CR1, were included: 1137 patients received BUCY and 512 BUMEL. All
factors differing in distribution between the 2 conditioning groups were
introduced in multivariate analyzes. In a primary analysis, we found an
interaction between conditioning and the poor risk group defined as poor
cytogenetics and/or presence of the FLT3-ITD mutation. During analysis of the
poor risk group, 176 patients received BUCY and 62 BUMEL. BUMEL was associated
with a lower RI at 5 years (53% versus 69%, HR: 0.52, P = .002), a better
Leukaemia-free survival (LFS) (42% versus 25%, HR: 0.54, P = .002) and a better
OS (54% versus 36%, HR: 0.61, P = .02). During analysis of the non poor risk
group, 961 patients received BUCY and 450 BUMEL. At 5 years, the RI was 50% and
47%, the LFS 45% and 48% and the OS 56% and 60% respectively, with no significant
difference. We conclude that BUMEL is the preferable conditioning regimen for the
poor risk leukemic patients, while in AML patients without poor risk cytogenetics
or FLT3 both conditioning regimens are valid.
PMID- 29644711
TI - Outlining involvement of stem cell program in regulation of O6-methylguanine DNA
methyltransferase and development of temozolomide resistance in glioblastoma: An
Editorial Highlight for 'Transcriptional control of O6 -methylguanine DNA
methyltransferase expression and temozolomide resistance in glioblastoma' on page
780.
AB - Glioblastoma is a malignant brain tumor that inevitably develops resistance to
standard of care drug temozolomide (TMZ) due to a population of cells called
cancer stem cells (CSCs). These cells utilize progenitor cell signaling programs
and develop robust DNA repair machinery. In this editorial highlight we focus on
stem cell regulation of TMZ resistance and discuss findings of Happold et al. ()
that outline direct transcriptional regulation of DNA repair enzyme O6
methylguanine DNA methyltransferase (MGMT) in glioblastoma CSCs through NFkB
activation. The authors found that cells cultured in CSC propagating conditions
exhibit increase in MGMT expression when compared to adherent differentiated
monolayer cells. This in turn increases resistance to standard of care drug
temozolomide (TMZ) in these cells. NFkB activation was found to directly activate
expression of MGMT in sphere cultured GBM CSC.
PMID- 29644713
TI - Deuterium-substituted 2-(2'-((dimethylamino)methyl)-4'-[18
F](fluoropropoxy)phenylthio)benzenamine as a serotonin transporter imaging agent.
AB - Positron emission tomography imaging of serotonin transporter (SERT) is useful
for studying brain diseases with altered serotonergic function. A deuterated
imaging agent, ([18 F]2-((2-((bis(methyl-d3 )amino)methyl)-4-(3-fluoropropoxy
1,1,2,2,3,3-d6 )phenyl)thio)aniline, [18 F]D12FPBM, [18 F]1), was prepared as a
new chemical entity. The deuterated agent, 1, showed excellent binding affinity
to SERT; Ki was 0.086 nM, comparable with the undeuterated FPBM. In vivo
biodistribution studies in rats with [18 F]1 showed good brain uptake (1.09%
dose/g at 2 min post injection) and high specific uptake into the hypothalamus
(HY) as compared with cerebellum (CB) (HY/CB = 7.55 at 120 min), suggesting a
specific localization to SERT binding sites. Regional brain distribution in rats
provided clear indication that [18 F]1 concentrated in the hypothalamus,
hippocampus, and striatum, areas with a high SERT density. Results indicate that
very little D to H substitution effect was found; [18 F]FPBM and [18 F]1 showed
very similar SERT binding. [18 F]1 might be an excellent candidate for SERT
imaging.
PMID- 29644714
TI - Design, synthesis, and biological evaluation of 2-substituted-2,3,4,9
tetrahydrospiro-beta-carboline-3-carboxylic acid derivatives as first-in-class
mast cell stabilizers.
AB - Mast cell degranulation plays a momentous role in myriad diseases like asthma,
eczema, allergic rhinitis, and conjunctivitis as well as anaphylactic shock;
hence, there is an unmet need for developing new mast cells stabilizers. The
reported mast cell stabilizers have a heterocyclic moiety and an acidic group.
Furthermore, the role of tryptophan in suppression of mast cell activation is
established. Hence, we prepared constrained analogs of tryptophan, which are
derivatives of 2,3,4,9-tetrahydrospiro-beta-carboline-3-carboxylic acid, and
evaluated them for ex vivo inhibition of compound 48/80-induced mast
degranulation activity. By comparing IC50 (MUM) values with that of the standard
drug sodium cromoglycate (IC50 = 0.489 +/- 0.003 MUM), compounds with bulky
groups like heptyl (compound 9; IC50 = 0.389 +/- 0.015 MUM) and octyl (compound
10; IC50 = 0.354 +/- 0.023 MUM) were found to be of similar potency as sodium
cromoglycate. Furthermore, the polar group-containing compounds like the
chloropropyl (compound 16; IC50 = 0.382 +/- 0.083 MUM) and benzoyl derivative
(compound 14; IC50 = 00.469 +/- 0.032 MUM) were also found to be of similar
potency as sodium cromoglycate. This is a seminal study of spiro-beta-carboline
mast cell stabilization having a wider scope in mast cell research; yet, the
mechanism of action remains elusive.
PMID- 29644715
TI - Comparison of biochemical analysis of fetal serum and fetal urine in the
prediction of postnatal renal outcome in lower urinary tract obstruction.
AB - OBJECTIVES: To compare the prognostic value of fetal serum biochemistry and fetal
urine biochemistry in predicting renal outcome in lower urinary tract obstruction
(LUTO). METHODS: We retrospectively studied renal outcome following a prenatal
diagnosis of LUTO in cases for which both fetal blood and fetal urine were
sampled. We classified the renal outcome as either "favorable," when postnatal
renal function was normal, or "adverse," in the case of postnatal chronic renal
failure or when renal histological lesions were present at autopsy in the case of
termination of pregnancy. A prognostic model was constructed for urine and serum
separately. beta2-Microglobulin was the only remaining independent predictor in
fetal urine. beta2-Microglobulin in serum and urine were compared by using
receiver operating characteristic curves. RESULTS: In the 50 cases included, the
rate of adverse outcome was 34 of 50(68%): autopsy confirmed severity of renal
disease in all 27 cases who underwent termination of pregnancy, and among the 23
live born children, 7 developed renal failure. Fetal serum and urine markers were
all significantly associated with renal outcome (P < .01). The receiver operating
characteristic curves for fetal serum and fetal urinary beta2-microglobulin were
similar (area under the curve = 0.908 versus 0.909, P = .96). CONCLUSION: Fetal
serum biochemistry and fetal urine biochemistry are of similar prognostic value
in predicting postnatal renal outcome in fetuses with LUTO.
PMID- 29644718
TI - REFEREES 2017.
PMID- 29644717
TI - Diets of reef-dwelling labrids (Choerodon species) vary with body size, season
and habitat: influence of foraging ability, specialization and opportunism.
AB - Contemporary multivariate statistics were used to test the hypotheses that the
dietary compositions of three populations of labrids on the west Australian coast
are related to body size and undergo seasonal changes and to elucidate the
relative extents and basis for any dietary differences within and between those
populations. Gut content analyses determined the dietary compositions of
Choerodon rubescens in marine waters of the outer reefs in the World Heritage
Area of Shark Bay (26 degrees S; 114 degrees E) and of Choerodon schoenleinii
in inner protected reefs of that large embayment. The dietary compositions of C.
rubescens and C. schoenleinii differed significantly among length classes,
progressed serially with increasing body size, both overall and almost invariably
in each season and were more closely related to body size than season, whose
effect was at best minimal. The size-related dietary change in C. rubescens
involved, in particular, a shift from crustaceans and non-mytilid bivalves to
mytilid bivalves and echinoid echinoderms. Although the diet of C. schoenleinii
followed similar size-related changes, it contained a greater volume of
gastropods when the fish were small and mytilids when large and only a small
volume of echinoids. The dietary composition of C. rubescens in the Abrolhos
Islands, 300 km to the south of Shark Bay, was related both to length class and
season and differed from that of this labrid in Shark Bay with the ingestion of
lesser volumes of mytilids and greater volumes of echinoids. The size-related
changes in diet imply that these species shift from foraging over soft substrata
to over reefs as their very well-developed jaws become sufficiently strong to
remove attached and larger prey. The dietary compositions of C. rubescens and C.
schoenleinii in Shark Bay and of C. rubescens at the Abrolhos Islands were
related far more to habitat-locational differences than to length class and
season. The above intraspecific and interspecific differences in diet are
consistent with qualitative accounts of the relative abundances of the main prey
in their respective environments, supporting the view that, despite
specializations in their feeding apparatus, these labrids can feed
opportunistically to a certain extent and could thus potentially respond to
moderate changes in the composition of their prey caused by climate change and
other anthropogenic effects.
PMID- 29644716
TI - Comparison between in vitro and in vivo cartilage overloading studies based on a
systematic literature review.
AB - Methodological differences between in vitro and in vivo studies on cartilage
overloading complicate the comparison of outcomes. The rationale of the current
review was to (i) identify consistencies and inconsistencies between in vitro and
in vivo studies on mechanically-induced structural damage in articular cartilage,
such that variables worth interesting to further explore using either one of
these approaches can be identified; and (ii) suggest how the methodologies of
both approaches may be adjusted to facilitate easier comparison and therewith
stimulate translation of results between in vivo and in vitro studies. This study
is anticipated to enhance our understanding of the development of osteoarthritis,
and to reduce the number of in vivo studies. Generally, results of in vitro and
in vivo studies are not contradicting. Both show subchondral bone damage and
intact cartilage above a threshold value of impact energy. At lower loading
rates, excessive loads may cause cartilage fissuring, decreased cell viability,
collagen network de-structuring, decreased GAG content, an overall damage
increase over time, and low ability to recover. This encourages further
improvement of in vitro systems, to replace, reduce, and/or refine in vivo
studies. However, differences in experimental set up and analyses complicate
comparison of results. Ways to bridge the gap include (i) bringing in vitro set
ups closer to in vivo, for example, by aligning loading protocols and overlapping
experimental timeframes; (ii) synchronizing analytical methods; and (iii) using
computational models to translate conclusions from in vitro results to the in
vivo environment and vice versa. (c) 2018 The Authors. Journal of Orthopaedic
Research(r) Published by Wiley Periodicals, Inc. J Orthop Res 9999:1-11, 2018.
PMID- 29644719
TI - The profiling and identification of chemical components, prototypes and
metabolites of Run-zao-zhi-yang capsule in rat plasma, urine and bile by an UPLC
Q-TOF/MSE -based high-throughput strategy.
AB - Run-zao-zhi-yang (RZZY) capsule, a traditional Chinese medicine formula, is
popularly used for the treatment of dermatitis and eczema. However, few studies
have been carried out on RZZY and its metabolites. In this study, we developed a
three-step strategy to rapidly characterize the chemical constituents and
metabolites of RZZY using ultra-high-performance liquid chromatography coupled
with quadrupole time-of-flight mass spectrometry. A total of 41 chemical
components were characterized from RZZY. Among these, there are 11 flavonoids,
six alkaloids, six stilbene glycosides, five anthraquinones and 13 other
compounds. In addition, 18 prototypes and 35 metabolites were detected in rat
plasma, urine and bile. This study offers an applicable approach for high
throughput profiling and identification of chemical components and metabolites
derived from traditional Chinese medicine formula in vivo, and also provides
essential data for exploring bioactive ingredients and action mechanisms of RZZY.
PMID- 29644720
TI - Glitazone in Parkinson's Disease.
PMID- 29644721
TI - Application of a sensitive and specific LC-ESI-MS/MS method for the simultaneous
quantification of twelve bioactive components in dog plasma for an intravenous
pharmacokinetic study of Yiqifumai Injection in beagle dogs.
AB - Yiqifumai Injection is a lyophilized powder preparation widely used to treat
coronary heart disease. However, its in vivo bioactive components and
pharmacokinetic behavior remain unknown. Therefore a sensitive and specific LC
MS/MS was developed and validated for the simultaneous quantification of eight
saponins and four lignans in beagle dog plasma. The plasma samples were
pretreated by protein precipitation with methanol-acetonitrile (1:1, v/v).
Chromatographic separation of all the 12 analytes and estazolam (internal
standard, IS) was successfully accomplished on an Ultimate(r) XB-C8 column (100 *
2.1 mm, 3 MUm) with a gradient elution system. The total running time was 8 min
with a flow rate of 0.40 mL/min. Acquisition of mass spectrometric data was
performed via positive electrospray ionization in multiple reaction monitoring
mode. The assay was fully validated in terms of selectivity, linear range, lower
limit of quantitation, precision, accuracy, matrix effect, recovery and
stability. This validated method was successfully applied to the pharmacokinetics
of 12 bioactive components after intravenous administration of Yiqifumai
Injection to beagle dogs at a dose of 0.541 g/kg.
PMID- 29644722
TI - Using machine learning to advance synthesis and use of conservation and
environmental evidence.
PMID- 29644723
TI - A French observational study describing the use of human polyvalent
immunoglobulins in hematological malignancy-associated secondary
immunodeficiency.
AB - OBJECTIVE: To describe the characteristics of patients suffering from secondary
immunodeficiencies (SID) associated with hematological malignancies (HM), who
started immunoglobulin replacement therapy (IgRT), physicians' expectations
regarding IgRT, and IgRT modalities. METHODS: Non-interventional, prospective
French cross-sectional study. RESULTS: The analysis included 231 patients (66 +/-
12 years old) suffering from multiple myeloma (MM) (N = 64), chronic lymphoid
leukemia (CLL) (N = 84), aggressive non-Hodgkin B-cell lymphoma (aNHL) (N = 32),
indolent NHL (N = 39), acute leukemia (N = 6), and Hodgkin disease (N = 6). Of
the HM, 47% were currently treated, 42% were relapsing or refractory, 23% of
patients had received an autologous hematopoietic stem-cell transplant, and 1%
had received an allograft. Serum immunoglobulin trough levels in 195 individuals
were less than 5 g/L in 68.7% of cases. Most patients had a history of recurrent
infections. Immunoglobulin dose was about 400 mg/kg/mo. Half of patients started
with subcutaneous infusion. When starting IgRT, physicians mainly expected to
prevent severe and moderate infections. They also anticipated improvement in
quality of life and survival which is beyond evidence-based medicine. CONCLUSION:
NHL is a frequent condition motivating IgRT besides well-recognized indications.
Physicians mainly based the decision of starting IgRT on hypogammaglobulinemia
and recurrence of infections but, irrespective of current recommendations, were
also prepared to start IgRT prophylactically even in the absence of a history of
infections.
PMID- 29644725
TI - Acid-suppressive drugs and risk of kidney disease: A systematic review and meta
analysis.
AB - BACKGROUND AND AIM: More concerns had been raised about the risk of kidney
disease (KD) associated with acid-suppressive drugs. But whether they could
directly increase such risk remained unclear. Meta-analysis was conducted to
comprehensively investigate this relationship. METHODS: PubMed, EMBASE, Cochrane
Central Register of Controlled Trials, and three Chinese databases were searched
until April 2017 for observational studies investigating the associations between
acid-suppressive drugs and KD. Pooled log (odds ratios [ORs]) or log (hazard
ratios [HRs]) with standard errors for KD risk were calculated using the generic
inverse variance method and random-effect model. RESULTS: Ten studies involving
128 020 KD patients were included. Proton pump inhibitor (PPI) therapy was
associated with higher risks of acute interstitial nephritis (OR, 2.78; 95%
confidence interval [CI], 1.25-6.17), acute kidney injury (AKI) (HR, 1.85; 95%
CI, 1.33-2.59), chronic kidney disease (CKD) (HR, 1.47; 95% CI, 1.03-2.09), and
end-stage renal disease (ESRD) (HR, 1.61; 95% CI, 1.26-2.04) than non-PPI
therapy. Additionally, PPI significantly increased the risks of AKI (HR, 1.32;
95% CI, 1.16-1.51), CKD (HR, 1.28; 95% CI, 1.24-1.33), and ESRD (HR, 1.96; 95%
CI, 1.21-3.17) compared with histamine 2 receptor antagonist (H2 RA).
Relationship between H2 RA therapy and AKI (OR, 0.98; 95% CI, 0.90-1.07) or CKD
(OR, 1.00; 95% CI, 0.89-1.11) was not found. CONCLUSIONS: Proton pump inhibitor
therapy significantly increased the risks of acute interstitial nephritis, AKI,
CKD, and ESRD. Similar risks were not identified for H2 RA therapy. More clinical
trials are needed to confirm our findings.
PMID- 29644724
TI - A novel missense mutation in GRIN2A causes a nonepileptic neurodevelopmental
disorder.
AB - BACKGROUND: Mutations in the GRIN2A gene, which encodes the GluN2A (glutamate
[NMDA] receptor subunit epsilon-1) subunit of the N-methyl-d-aspartate receptor,
have been identified in patients with epilepsy-aphasia spectrum disorders,
idiopathic focal epilepsies with centrotemporal spikes, and epileptic
encephalopathies with severe developmental delay. However, thus far, mutations in
this gene have not been associated with a nonepileptic neurodevelopmental
disorder with dystonia. OBJECTIVES: The objective of this study was to identify
the disease-causing gene in 2 siblings with neurodevelopmental and movement
disorders with no epileptiform abnormalities. METHODS: The study method was
targeted next-generation sequencing panel for neuropediatric disorders and
subsequent electrophysiological studies. RESULTS: The 2 siblings carry a novel
missense mutation in the GRIN2A gene (p.Ala643Asp) that was not detected in
genomic DNA isolated from blood cells of their parents, suggesting that the
mutation is the consequence of germinal mosaicism in 1 progenitor. In functional
studies, the GluN2A-A643D mutation increased the potency of the agonists L
glutamate and glycine and decreased the potency of endogenous negative
modulators, including protons, magnesium and zinc but reduced agonist-evoked peak
current response in mammalian cells, suggesting that this mutation has a mixed
effect on N-methyl-d-aspartate receptor function. CONCLUSION: De novo GRIN2A
mutations can give rise to a neurodevelopmental and movement disorder without
epilepsy. (c) 2018 International Parkinson and Movement Disorder Society.
PMID- 29644726
TI - Homogeneous Palladium-Catalyzed Transfer Hydrogenolysis of Benzylic Alcohols
Using Formic Acid as Reductant.
AB - We report the first homogeneous palladium-based transfer hydrogenolysis of
benzylic alcohols using an in situ formed palladium-phosphine complex and formic
acid as reducing agent. The reaction requires a catalyst loading as low as only 1
mol % of palladium and just a slight excess of reductant to obtain the
deoxygenated alkylarenes in good to excellent yields. Besides demonstrating the
broad applicability for primary, secondary and tertiary benzylic alcohols, a
reaction intermediate could be identified. Additionally, it could be shown that
partial oxidation of the applied phosphine ligand was beneficial for the course
of the reaction, presumably by stabilizing the active catalyst. Reaction profiles
and catalyst poisoning experiments were used to characterize the catalyst, the
results of which indicate a homogeneous metal complex as the active species.
PMID- 29644727
TI - Genotype-Phenotype Relations for the Parkinson's Disease Genes Parkin, PINK1,
DJ1: MDSGene Systematic Review.
AB - This first comprehensive MDSGene review is devoted to the 3 autosomal recessive
Parkinson's disease forms: PARK-Parkin, PARK-PINK1, and PARK-DJ1. It followed
MDSGene's standardized data extraction protocol and screened a total of 3652
citations and is based on fully curated phenotypic and genotypic data on >1100
patients with recessively inherited PD because of 221 different disease-causing
mutations in Parkin, PINK1, or DJ1. All these data are also available in an
easily searchable online database (www.mdsgene.org), which also provides
descriptive summary statistics on phenotypic and genetic data. Despite the high
degree of missingness of phenotypic features and unsystematic reporting of
genotype data in the original literature, the present review recapitulates many
of the previously described findings including early onset (median age at onset
of ~30 years for carriers of at least 2 mutations in any of the 3 genes) of an
overall clinically typical form of PD with excellent treatment response, dystonia
and dyskinesia being relatively common and cognitive decline relatively uncommon.
However, when comparing actual data with common expert knowledge in previously
published reviews, we detected several discrepancies. We conclude that systematic
reporting of phenotypes is a pressing need in light of increasingly available
molecular genetic testing and the emergence of first gene-specific therapies
entering clinical trials. (c) 2018 International Parkinson and Movement Disorder
Society.
PMID- 29644728
TI - 1D Self-Assembly and Ice Recrystallization Inhibition Activity of Antifreeze
Glycopeptide-Functionalized Perylene Bisimides.
AB - Antifreeze glycoproteins (AFGPs) are polymeric natural products that have drawn
considerable interest in diverse research fields owing to their potent ice
recrystallization inhibition (IRI) activity. Self-assembled materials have
emerged as a promising class of biomimetic ice growth inhibitor, yet the
development of AFGP-based supramolecular materials that emulate the aggregative
behavior of AFGPs have not yet been reported. This work reports the first example
of the 1D self-assembly and IRI activity of AFGP-functionalized perylene
bisimides (AFGP-PBIs). Glycopeptide-functionalized PBIs underwent 1D self
assembly in water and showed modest IRI activity, which could be tuned through
substitution of the PBI core. This work presents essential proof-of-principle for
the development of novel IRIs as potential supramolecular cryoprotectants and
glycoprotein mimics.
PMID- 29644729
TI - Hydration of Concrete: The First Steps.
AB - Concrete is the most important construction material used by mankind and, at the
same time, one of the most complex substances known in materials science. Since
this mineral compound is highly porous, a better understanding of its surface
chemistry, and in particular the reaction with water, is urgently required to
understand and avoid corrosion of infrastructure like buildings and bridges. We
have gained insight into proton transfer from concrete upon contact with water by
applying the so-called Surface Science approach to a well-defined mineral,
Wollastonite. Data from IR (infrared) spectroscopy reveal that exposure of this
calcium-silicate (CS) substrate to H2 O leads to dissociation and the formation
of OH-species. This proton transfer is a chemical reaction of key importance,
since on the one hand it triggers the conversion of cement into concrete (a
calcium-silicate-hydrate phase), but on the other hand also governs the corrosion
of concrete. Interestingly, we find that no proton transfer takes place when the
same surface is exposed to methanol. In order to understand this unexpected
difference, the analysis of the spectroscopic data obtained was aided by a
detailed, first-principles computational study employing density functional
theory (DFT). The combined experimental and theoretical effort allows derivation
of a consistent picture of proton transfer reactions occurring in CS and CSH
phases. Implications for strategies to protect this backbone of urban
infrastructure from corrosion in harsh, aqueous environments will be discussed.
PMID- 29644730
TI - In vitro quality of apheresis platelets divided into paediatric-sized units and
stored in PVC bags plasticised with TOTM, BTHC or DINCH.
AB - BACKGROUND AND OBJECTIVES: Two of the predictive factors of the quality of small
volumes of platelets suitable for paediatric use are bag size and material. This
study evaluated the storage properties of paediatric platelet aliquots in TOTM-,
BTHC- or DINCH-PVC bags. METHODS/MATERIALS: (i) Three apheresis platelet
concentrates (PC) were pooled and split into three units. One was retained as an
adult unit (control; polyolefin bag). The second and third units were split into
four MacoPharma TOTM-PVC and BTHC-PVC paediatric bags, respectively. (ii) Two
apheresis PC were pooled and split into two units. One PC was retained as an
adult unit, and the other was split into four Fresenius DINCH-PVC paediatric
bags. Testing was performed on storage for pH, blood gases, hypotonic shock
response, soluble CD62P, LDH, glucose and lactate, ATP, CD62P, CD63, platelet
derived microparticles and annexin V. RESULTS: The volumes, platelet yields and
pH of all paediatric units met local specifications. The TOTM-PVC bag showed no
worse quality than the adult bag up to day 7 for all parameters studied, and it
maintained pH higher than BTHC-PVC and DINCH-PVC over storage. The BTHC-PVC bag
was shown to be the most gas permeable; however, it had the highest glucose
consumption rates and the highest platelet activation. CONCLUSION: All bags
showed an acceptable in vitro quality. Overall, the TOTM-PVC paediatric bag
showed better platelet quality compared to the other storage bags, whereas
storage in the BTHC-PVC bag resulted in poorer platelet quality.
PMID- 29644732
TI - Editorial: patient-reported outcomes in chronic HCV-a PROMISing approach to an
ongoing problem. Authors' reply.
PMID- 29644731
TI - Editorial: alleviating the itch-the safety of rifampicin in the real world.
PMID- 29644733
TI - Editorial: patient-reported outcomes in chronic HCV-a PROMISing approach to an
ongoing problem.
PMID- 29644734
TI - Editorial: the sphincter of Oddi strikes again-eluxadoline illuminates a
controversial mechanism for the pathogenesis of acute pancreatitis.
PMID- 29644735
TI - Editorial: optimal dose of carvedilol in portal hypertension...nearly there.
Authors' reply.
PMID- 29644736
TI - Editorial: are the "miraculous" direct-acting antiviral (DAA) regimens really
safe?
PMID- 29644737
TI - Editorial: optimal dose of carvedilol in portal hypertension...nearly there.
PMID- 29644738
TI - Editorial: the prevalence of Helicobacter pylori infection worldwide-knowns and
unknowns. Authors' reply.
PMID- 29644739
TI - Editorial: monitoring vedolizumab trough serum concentration in inflammatory
bowel disease-following the fate of anti-TNF-alpha agents?
PMID- 29644740
TI - Editorial: isolated duodenal eosinophilia-clinical condition or just seeing
spots?
PMID- 29644741
TI - Editorial: symptom association probability during reflux testing-what is the
gain?
PMID- 29644742
TI - Editorial: ways to reduce emergency department utilisation among IBD patients.
PMID- 29644743
TI - Letter: infliximab concentrations during induction therapy-one size does not fit
all.
PMID- 29644744
TI - Editorial: the prevalence of Helicobacter pylori infection worldwide-knowns and
unknowns.
PMID- 29644745
TI - Editorial: vancomycin - a promising option for the treatment of primary
sclerosing cholangitis?
PMID- 29644746
TI - The distinct PhoPR mediated responses to phosphate limitation in Bacillus
subtilis subspecies subtilis and spizizenii stem from differences in wall
teichoic acid composition and metabolism.
AB - The PhoPR-mediated response to phosphate limitation (PHO response) in Bacillus
subtilis subsp subtilis is amplified and maintained by reducing the level of
Lipid VG composed of poly(glycerol phosphate), a wall teichoic acid (WTA)
biosynthetic intermediate that inhibits PhoR autokinase activity. However, the
reduction in Lipid VG level is effected by activated PhoP~P, raising the question
of how the PHO response is first initiated. Furthermore, that WTA is composed of
poly(ribitol phosphate) in Bacillus subtilis subsp spizizenii prompted an
investigation of how the PHO response is regulated in that bacterium. We report
that the PHO responses of B. subtilis subsp subtilis and subsp spizizenii are
distinct. The PhoR kinases of the two B. subtilis subspecies are functionally
equivalent and are activated either by the TagA/TarA or TagB/TarB enzyme product.
However, they are inhibited by Lipid VG composed of poly(glycerol phosphate) but
not by Lipid VR composed of poly(ribitol phosphate). Therefore, the distinctive
PHO responses of these B. subtilis subspecies stem from the differential
sensitivity of PhoR kinases to the polyol composition of Lipid V and from the
genomic organization of WTA biosynthetic genes and the regulation of their
expression.
PMID- 29644747
TI - Multidentate Phosphanyl Phosphinines: Synthesis and Properties.
AB - With respect to the well-developed chemistry of pyridines, that of phosphinines
is in its infancy. There are still challenges to be overcome with respect to the
development of simple syntheses and the application of phosphinine derivatives.
Here, we show that sodium phosphinin-2-olate is a valuable building block to
prepare multidentate phosphinine ligands, which in turn can be employed for the
preparation of metal complexes. These PdII and RhI complexes were fully
characterized, including by NMR spectra and single-crystal X-ray diffraction
studies, which clearly demonstrate that molecules R3-x P(O-phosphinine)x with
one, two, and three phosphinines (x=1, 2, 3) can be tethered to a central
phosphorus donor center to give bis-, tris-, and tetradentate ligands.
PMID- 29644749
TI - EQUIPTMOD as a basis for rational investment decisions in tobacco control.
PMID- 29644748
TI - Amidated Scolopin-2 inhibits proliferation and induces apoptosis of Hela cells in
vitro and in vivo.
AB - This study aimed to investigate the effect of Scolopin-2, a cationic
antimicrobial peptide from centipede venoms, and amidated Scolopin-2 on Hela cell
viability in vitro and in vivo. The cellular proliferation was investigated with
the MTT assay. Confocal laser scanning, flow cytometry, and Western blot analysis
were employed to localize Scolopin-2-NH2 in Hela cells and to study the caused
cells apoptosis. We subcutaneously injected Hela cells into BALB/c nude mice and
studied if Scolopin-2-NH2 suppressed tumor growth in the mice. Scolopin-2-NH2
inhibited Hela proliferation in vitro in a dose-dependent manner with an IC50 of
35 MUM. In addition, Scolopin-2-NH2 combined with mitochondria and regulated
caspase-related apoptosis pathways in Hela cells. Scolopin-2-NH2 significantly
suppressed tumor growth in the tumor-bearing mice without side effects, such as
weight loss or abnormal changes in tissues, including liver, spleen, kidney, and
lung. These results indicate Scolopin-2-NH2 may be a good therapeutic candidate
for the treatment of Hela cervical cancer.
PMID- 29644750
TI - Colchicine may be of therapeutic benefit in prurigo pigmentosa.
AB - Prurigo pigmentosa (PP) is a rare inflammatory skin disease. Neutrophil-mediated
inflammation is considered to be responsible for the etiopathogenesis of PP. We
consider that colchicine may be an effective agent in the treatment of PP since
it exerts an antiinflammatory effect by inhibiting neutrophil chemotaxis. Further
studies are required to verify whether colchicine is an effective treatment
option for PP.
PMID- 29644751
TI - Disease Modification in Parkinson's Disease: Current Approaches, Challenges, and
Future Considerations.
AB - The greatest unmet therapeutic need in Parkinson's disease is the development of
treatment that slows the relentless progression of the neurodegenerative process.
The concept of "disease modification" encompasses intervention types ranging from
those designed to slow the underlying degeneration to treatments directed at
regenerating or replacing lost neurons. To date all attempts to develop effective
disease-modifying therapy have failed. Many reasons have been proposed for these
failures including our rudimentary understanding of disease pathogenesis and the
assumption that each targeted mechanisms of disease apply to most patients with
the same clinical diagnosis. Here we review all aspects of this broad field
including general concepts and past challenges followed by a discussion of
treatment approaches under the following 4 categories: (1) alpha-synuclein, (2)
pathogenic mechanisms distinct from alpha-synuclein (most also potentially
triggered by alpha-synuclein toxicity), (3) non-SNCA genetic subtypes of "PD,"
and (4) possible disease-modifying interventions not directly influencing the
underlying PD pathobiology. We emphasize treatments that are currently under
active clinical development and highlight a wide range of important outstanding
questions and concerns that will need to be considered to advance the field of
disease modification in PD. Critically, it is unknown whether the dysfunctional
molecular pathways/organelles amenable to modification occur in a sequential
fashion across most clinically affected individuals or manifest differentially in
independent molecular subtypes of PD. It is possible that there is no "order of
disruption" applicable to most patients but, rather, "type of disruption"
applicable to subtypes dependent on unknown factors, including genetic
variability and other causes for heterogeneity in PD. Knowing when (early vs
late), which (eg, synaptic transmission, endosomal sorting and maturation,
lysosomal degradation, mitochondrial biogenesis), and in whom (PD subtype)
specific disrupted cell pathways are truly pathogenic versus compensatory or even
protective, will be important in considering the use of single or combined
("cocktails") putative disease-modifying therapies to selectively target these
processes. Beyond the current phase 2 or 3 studies underway evaluating treatments
directed at oxidative stress (inosine), cytosolic Ca2+ (isradipine), iron
(deferiprone), and extracellular alpha-synuclein (passive immunization), and
upcoming trials of interventions affecting c-Abl, glucagon-like peptide-1, and
glucocerebrosidase, it might be argued that further trials in populations not
enriched for the targeted pathogenic process are doomed to repeat the failures of
the past. (c) 2018 International Parkinson and Movement Disorder Society.
PMID- 29644752
TI - Studies on the local structures for the trigonal Ni3+ centers in cathode
materials LiAly Co1-y O2 (y = 0, 0.1, 0.5, and 0.8).
AB - The local angular distortions Deltatheta are theoretically studied for the
various Ni3+ centers in LiAly Co1-y O2 at different Al concentrations (y = 0,
0.1, 0.5, and 0.8) based on the perturbation calculations of electron
paramagnetic resonance g factors for a trigonally distorted octahedral 3d7
cluster with low spin (S = 1/2). Due to the Jahn-Teller effect, the [NiO6 ]9-
clusters are found to experience the local angular distortions (Deltatheta ~ 5
degrees -9 degrees ) along the C3 axis. The variation trend of Deltatheta with y
is in accordance with that of anisotropy (Deltag = g|| - g? ). As the
substitutions can weaken bond strengths between transition metal and oxygen and
the structural stability plays an important role in cathode performances,
detailed investigations on the structural properties of the cathode materials
LiAly Co1-y O2 can be practically helpful to understand the performances of these
materials. The oxy-redox properties of LiAly Co1-y O2 systems are comprehensible
in the framework of Ni3+ /Ni4+ couples, and the trigonally compressed octahedral
[NiO6 ]9- clusters are applicable to the clarification of the electrochemical
properties of lithium nickel oxide batteries. It appears that LiAl0.8 Co0.2 O2
with the largest Al concentration may correspond to the smallest distortion among
the mixing systems.
PMID- 29644753
TI - Editorial: Why should we use bivalirudin today?
PMID- 29644755
TI - Off-label utilization of monorail balloon catheters.
PMID- 29644754
TI - Editorial: Percutaneous pulmonary valve implantation: Better technology is on the
way!
PMID- 29644756
TI - Quetiapine for Parkinson's Disease psychosis: Evidence-based medicine versus
expert belief: A case study.
PMID- 29644757
TI - Does deep brain stimulation of the subthalamic nucleus prolong survival in
Parkinson's Disease?
PMID- 29644758
TI - Peripherally Cyanated Subphthalocyanines as Potential n-Type Organic
Semiconductors.
AB - A series of peripherally dicyano-, tricyano-, and tetracyano-substituted
subphthalocyanines (SubPcs) have been prepared through microwave-assisted,
palladium-mediated cyanation of iodinated precursors. The introduction of pi
accepting cyano groups in the macrocycle clearly influences its electronic and
redox properties, which are dependent on the number and relative position of
these substituents. Additional functionalization of the periphery of SubPcs with
electron-donating or -withdrawing groups allows for a further fine-tuning of
their features, leading to intensely absorbing, strongly electron-accepting
panchromatic dyes with low-lying LUMO energy levels. Flash-photolysis time
resolved microwave conductivity measurements on vapor-deposited films demonstrate
that some of these novel SubPc derivatives display remarkable intrinsic charge
carrier mobilities that are comparable to or larger than those of other known
well-performing acceptor SubPcs; thus confirming their potential as n-type
organic semiconductors for application in the fabrication of photovoltaic
devices.
PMID- 29644759
TI - Strategies associated with low-risk drinking: a population-based study.
AB - OBJECTIVE: To examine the relative frequency of use of seven strategies to
moderate drinking (SMD) among low-risk and risky drinkers. METHODS: Cross
sectional data from the 2013 National Drug Strategy Household Survey was used.
The analytical sample included 11,462 Australians aged 18-64 who had consumed
alcohol in the previous year. Logistic regression was used. RESULTS: Analyses
indicated a curvilinear relationship between use of SMD and alcohol consumption.
Across the seven SMD, constant use of a strategy, compared with never using a
strategy, was associated with low-risk drinking. Never using a strategy, compared
with using one rarely, was also associated with low-risk drinking. When used
occasionally, strategies that implied less alcohol consumed per hour (e.g. refuse
unwanted drinks) increased the likelihood of low-risk drinking, whereas less
direct strategies (e.g. counting drinks) increased the likelihood of risky
drinking. CONCLUSIONS: Adult Australians who drink at low levels use a range of
strategies to moderate their alcohol consumption. Overall, consistent use of one
or more SMD was associated with low-risk drinking patterns. IMPLICATIONS: Public
health responses to risky drinking may be enhanced by promoting the consistent
use of SMD as a way to reduce overall alcohol consumption.
PMID- 29644760
TI - Housing conditions associated with recurrent gastrointestinal infection in urban
Aboriginal children in NSW, Australia: findings from SEARCH.
AB - OBJECTIVE: To examine the associations between housing and gastrointestinal
infection in Aboriginal children in urban New South Wales. METHODS: A total of
1,398 Aboriginal children were recruited through four Aboriginal Community
Controlled Health Services. Multilevel regression modelling of survey data
estimated associations between housing conditions and recurrent gastrointestinal
infection, adjusting for sociodemographic and health factors. RESULTS: Of the
sample, 157 children (11%) had recurrent gastrointestinal infection ever and 37
(2.7%) required treatment for recurrent gastrointestinal infection in the past
month. Children in homes with 3+ housing problems were 2.51 (95% CrI 1.10, 2.49)
times as likely to have recurrent gastrointestinal infection ever and 6.79 (95%
CrI 2.11, 30.17) times as likely to have received recent treatment for it (versus
0-2 problems). For every additional housing problem, the prevalence of recurrent
gastrointestinal infection ever increased by a factor of 1.28 (95% CrI 1.14,
1.47) and the prevalence of receiving treatment for gastrointestinal infection in
the past month increased by a factor of 1.64 (95% CrI 1.20, 2.48). CONCLUSIONS:
Housing problems were independently associated with recurrent gastrointestinal
infection in a dose-dependent manner. Implications for public health: The role of
housing as a potential determinant of health in urban Aboriginal children merits
further attention in research and policy settings.
PMID- 29644761
TI - A General One-Pot Synthesis of 2H-Indazoles Using an Organophosphorus-Silane
System.
AB - A simple and direct approach for the regioselective construction of the
privileged 2H-indazole scaffold is described. The developed one-pot strategy
involves phospholene-mediated N-N bond formation to access 2H-indazoles. The
amount of organophosphorus reagent was minimized by recycling the phospholene
oxide with organosilane reductants. Starting from functionalized 2
nitrobenzaldehydes and primary amines, a mild reductive cyclization, involving
the use of commercially available phospholene oxide and silanes, delivered a wide
variety of substituted 2H-indazoles in good to excellent yields.
PMID- 29644762
TI - An outbreak of Q fever associated with parturient cat exposure at an animal
refuge and veterinary clinic in southeast Queensland.
AB - OBJECTIVE: To determine the source of a Q fever outbreak in humans at an animal
refuge and veterinary clinic in southeast Queensland from October to December
2016. METHODS: Case interviews and a retrospective cohort study of animal refuge
and veterinary clinic staff using a self-administered questionnaire related to
clinical history of Q fever, Q fever vaccination status and workplace activities
during the exposure period. RESULTS: Seven cases (six confirmed, one probable)
were identified. Forty-three questionnaires were completed (92% response rate).
Workplace activities associated with the greatest risk of illness were the
disposal of deceased cats or dogs (RR, 14.0; 95%CI, 1.9-104.1) and participating
in euthanasia of cats or dogs (RR, 4.6; 95%CI, 1.3-16.9). Five feline birthing
events occurred at the animal refuge from 25 September to 19 October 2016, each
with subsequent euthanasia of the queen cat and litter. All cases had likely
exposure to a specific queen cat and her litter that were euthanised the same day
as the birthing event. CONCLUSIONS: A parturient cat was the most likely source
of the outbreak. Implications for public health: Occupational groups and others
with regular exposure to feline or canine parturient products should receive Q
fever vaccine.
PMID- 29644763
TI - Correlation of quality of life with risk factors for first-incident hip fracture
in postmenopausal women.
AB - AIM: The aim of this study was to identify the correlation between health-related
quality of life (HRQOL) and risk factors of first-incidence hip fracture in
postmenopausal women. METHODS: This case-control study included 99 postmenopausal
women with first-incident hip fracture and 101 women without hip fracture who
were matched according to age. Evaluation consisted of clinical factors, 36-item
Short-Form Health Survey (SF-36) and dual-energy X-ray absorptiometry for bone
mineral density of hip and spine. RESULTS: The mean age of patients with an
accidental first-incident hip fracture was 78.0 years. Patients with hip
fractures had significantly lower scores for SF-36 domains at enrollment and 4
month follow up compared with the controls. Mental health also deteriorated
significantly 4 months after hip fracture. Aside from lower HRQOL, clinical
factors, including increased body height, no experience with estrogen therapy,
rheumatoid arthritis, use of walking aids, less weight-bearing exercise, and
diuretics use, were significant risk factors for hip fracture in univariate
analysis. After multivariate adjustment, only the use of walking aids and
decreased physical component summary were independent risk factors of hip
fracture. Besides aging, use of walking aids, weight-bearing exercise, and
psychological medication were the main factors affecting HRQOL when considering
their relationship with hip fractures. CONCLUSION: The occurrence of first
incidence hip fracture is highly associated with HRQOL. Aside from aging,
clinical factors affecting HRQOL correlated with hip fracture incidence. Thus, in
elderly women, exercise or physical therapy to improve physical function and
mental support is crucial and should be considered the most important factors for
first-incidence hip fracture prevention.
PMID- 29644764
TI - Effect of simultaneously started clomiphene citrate and gonadotropins in
antagonist regimes, on cumulative live births, fresh-cycle live births and cost
of stimulation in IVF cycles.
AB - AIM: The aim of the study was to compare simultaneously started clomiphene
citrate (CC) and gonadotropins (Gn) with gonadotropins alone in conventional
antagonist regimes with respect to fresh-cycle live births, cumulative live
births and cost of ovarian stimulation per started cycle. METHODS: This was a
single-center prospective cohort study conducted over 1 year. Women undergoing
autologous in vitro fertilization (IVF) treatment in antagonist protocols and who
consented to participate in the study were divided into two cohorts. The CC
cohort (n = 86) received 50 mg CC for 5 days and individualized Gn daily until
the hCG trigger, both starting from day 2 and antagonist daily from day 8 of
menstrual cycle. The Gn-only cohort (n = 349) received individualized Gn from day
2 and the antagonist from day 7 of menstrual cycle. IVF outcomes and cost of
stimulation were compared between two cohorts across expected ovarian response
categories. RESULTS: The CC cohort used a mean lower dose of Gn (1741.38 +/-
604.46 vs 1980.54 +/- 686.42; MD = -239.16; 95%CI = -348.03 to -189.24; P =
0.003) over fewer days (8.54 +/- 1.86 vs 9.25 +/- 1.97; MD =-0.71;95% CI = -1.17
to -0.25; P = 0.0026) to achieve similar retrieved oocytes, (9.19 +/- 5.92 vs
9.36 +/- 6.96; MD = -0.17; 95%CI -1.77 to + 1.43; P = 0.83), positive bhCG rates
(40% vs 29.6%, MD = 10.4%; OR = 1.65, 95%CI = 0.95-2.86; P = 0.078) and live
births in fresh cycles (32.31% vs 21.30%; MD = 11.01%; OR = 1.76; 95%CI = 0.97
3.19; P = 0.06) and cumulative live births per initiated cycle (30.23% vs 20.34%;
MD = 9.89%; OR = 1.697; 95%CI = 0.99-2.88; P = 0.0501). The dose lowering
achieved a 28-40% reduction in the cost of stimulation, which was most noticeable
in the hyper-responder category for both hMG cycles, (Rs.11 602.3 +/- 3365.9 vs
19615 +/- 2677.1; MD = -8012.7; %age reduction: 40.8%; P = 0.0007) and
recombinant FSH cycles (Rs. 22 459.6 +/- 6255.3 vs 33 022.1 +/- 9891.2; MD: -10
562; %age reduction: -32%; P = 0.0001). CONCLUSION: CC started simultaneously
with Gn in antagonist regimes helps lower the cost of stimulation without
affecting IVF outcomes.
PMID- 29644765
TI - Correlation between novel 3D high-resolution manometry esophagogastric junction
metrics and pH-metry in reflux disease patients.
AB - BACKGROUND AND AIMS: We recently developed 2 novel 3D high-resolution manometry
(HRM) metrics of esophagogastric junction (EGJ) contractility to differentiate
the lower esophageal sphincter (LES) and crural diaphragm (CD) elements of EGJ
pressure. This study aimed to compare these metrics to the EGJ-contractile
integral (EGJ-CI) and to analyze their correlation with esophageal acid exposure
time (AET) on pH-metry. METHODS: Thirty-one gastro-oesophageal reflux disease
(GERD) patients and 20 control subjects underwent 24-hour pH-metry and HRM using
a 3D-HRM catheter. EGJ metrics were calculated during 3 consecutive respiratory
cycles at rest. The EGJ-CI was calculated using the DCI tool in the ManoView
software. 3D LES pressure (3D-LESP) and 3D-DHA, a metric quantifying the CD
component of the 3D-HRM pressure topography, were calculated using a MATLAB
program. Pearson correlation was used to calculate correlations with AET. KEY
RESULTS: 3D-LESP, 3D-DHA, and EGJ-CI were all significantly lower in GERD
patients than in control subjects (P < .05) and all were significantly correlated
with AET (R = -.48, -.42, -.52, respectively, all P < .01). The 3D-DHA and EGJ-CI
also strongly correlated with each other (R = .84, P < .001). CONCLUSIONS &
INFERENCES: Both 3D-EGJ metrics were correlated with AET emphasizing the
importance of both LES and CD function as a determinant of EGJ competence. 3D-DHA
also strongly correlated with the EGJ-CI suggesting that EGJ-CI is strongly
driven by the asymmetrical CD pressure component.
PMID- 29644766
TI - Fear of recurrence among older breast, ovarian, endometrial, and colorectal
cancer survivors: Findings from the WHI LILAC study.
AB - OBJECTIVE: To examine the prevalence of and factors associated with fear of
recurrence (FCR) following treatment for breast, ovarian, endometrial, and
colorectal cancer among older women. METHODS: Participants were enrolled in the
Women's Health Initiative Life and Longevity After Cancer study. Descriptive
statistics and multivariate logistic regression models were used to assess the
association of demographic, clinical, and quality of life variables with
survivors' FCR, dichotomized as <14 (low) or >=14 (high) using the Cancer Worry
Scale. RESULTS: Out of the 4259 participants, 3124 (73.3%) were diagnosed with
breast cancer, 559 (13.1%) with colorectal cancer, 493 (11.6%) with endometrial
cancer, and 83 (2%) with ovarian cancer. There were no significant differences in
FCR by cancer type (P = .75), with a mean scale score of 10.8 +/- 2.87 for all
participants combined. Approximately 16% (n = 679) were in the high FCR group.
Multivariable analyses indicated that being younger at diagnosis, reporting a
symptom score of >=8, receipt of chemotherapy, and lower self-rated health were
significantly associated with high FCR. Women who were widowed or never married
were less likely to report high FCR. CONCLUSIONS: Fear of recurrence was
experienced by a small but important proportion of older, long-term cancer
survivors and is associated with multiple demographic and clinical variables.
These results will better inform researchers and clinicians regarding the
individuals who are at risk of FCR.
PMID- 29644767
TI - Heavy Carbene Analogues: Donor-Free Bismuthenium and Stibenium Ions.
AB - Kinetically stabilized congeners of carbenes, R2 C, possessing six valence
electrons (four bonding electrons and two non-bonding electrons) have been
restricted to Group 14 elements, R2 E (E=Si, Ge, Sn, Pb; R=alkyl or aryl) whereas
isoelectronic Group 15 cations, divalent species of type [R2 E]+ (E=P, As, Sb,
Bi; R=alkyl or aryl), were unknown. Herein, we report the first two examples,
namely the bismuthenium ion [(2,6-Mes2 C6 H3 )2 Bi][BArF4 ] (1; Mes=2,4,6-Me3 C6
H2 , ArF =3,5-(CF3 )2 C6 H3 ) and the stibenium ion [(2,6-Mes2 C6 H3 )2 Sb][B(C6
F5 )4 ] (2), which were obtained by using a combination of bulky meta-terphenyl
substituents and weakly coordinating anions.
PMID- 29644768
TI - MLCK-mediated intestinal permeability promotes immune activation and visceral
hypersensitivity in PI-IBS mice.
AB - BACKGROUND: Alterations in intestinal permeability regulated by tight junctions
(TJs) are associated with immune activation and visceral hypersensitivity in
irritable bowel syndrome (IBS). Myosin light chain kinase (MLCK) is an important
mediator of epithelial TJ. The aim of this study is to investigate the role of
MLCK in the pathogenesis of IBS using a post infectious IBS (PI-IBS) mouse model.
METHODS: Trichinella spiralis-infected PI-IBS mouse model was used. Urine
lactulose/mannitol ratio was measured to assess intestinal epithelial
permeability. Western blotting was used to evaluate intestinal TJ protein (zonula
occludens-1) and MLCK-associated protein expressions. Immune profile was assessed
by measuring Th (T helper) 1/Th2 cytokine expression. Visceral sensitivity was
determined by abdominal withdrawal reflex in response to colorectal distension.
RESULTS: Eight weeks after inoculation with T. spiralis, PI-IBS mice developed
decreased pain and volume thresholds during colorectal distention, increased
urine lactulose/mannitol ratio, elevated colonic Th1/Th2 cytokine ratio, and
decreased zonula occludens-1 expression compared to the control mice. MLCK
expression was dramatically elevated in the colonic mucosa of PI-IBS mice
compared to the control mice, alongside increased pMLC/MLC and decreased MLCP
expression. Administration of MLCK inhibitor and TJ blocker both reversed the
increased intestinal permeability, visceral hypersensitivity, and Th1-dominant
immune profile in PI-IBS mice. CONCLUSION: MLCK is a pivotal step in inducing
increased intestinal permeability promoting low-grade intestinal immune
activation and visceral hypersensitivity in PI-IBS mice. MLCK inhibitor may
provide a potential therapeutic option in the treatment of IBS.
PMID- 29644769
TI - Reactive Chemical Probes: Beyond the Kinase Cysteinome.
AB - The reaction of small-molecule chemical probes with proteins has been harnessed
to develop covalent inhibitor drugs and protein-profiling technologies. This
Essay discusses some of the recent enhancements to the chemical biology toolkit
that are enabling the study of previously unchartered areas of chemoproteomic
space. An analysis of the kinome is used to illustrate the potential for these
approaches enable the pursuit of new targets using reactive chemical probes.
PMID- 29644770
TI - TMEM55B contributes to lysosomal homeostasis and amino acid-induced mTORC1
activation.
AB - Mammalian/mechanistic target of rapamycin complex 1 (mTORC1) responds to growth
factors and nutrient availability. Amino acids induce the recruitment of mTORC1
to the lysosomal membrane and its consequent activation, but the molecular
mechanism of such activation has remained unclear. We have now examined the role
of TMEM55B, a lysosomal protein of unknown molecular function, in this process on
the basis of the results of proteomics and immunofluorescence analyses showing
that TMEM55B interacts with many proteins that participate in mTORC1 activation
including components of the vacuolar-type proton ATPase (V-ATPase) and Ragulator
complexes at the lysosomal membrane. The amino acid-induced phosphorylation of
the mTORC1 substrates S6K and 4E-BP was attenuated in TMEM55B-depleted cells
compared with control cells. Depletion of TMEM55B was also found to evoke
lysosomal stress as showed by translocation of the transcription factor TFEB to
the nucleus. Furthermore, recruitment of the V1 domain subcomplex of V-ATPase to
lipid rafts was abrogated in TMEM55B-depleted cells. Collectively, our results
suggest that TMEM55B contributes to assembly of the V-ATPase complex in lipid
rafts of the lysosomal membrane and to subsequent activation of mTORC1.
PMID- 29644771
TI - Complexing DNA Origami Frameworks through Sequential Self-Assembly Based on
Directed Docking.
AB - Ordered DNA origami arrays have the potential to compartmentalize space into
distinct periodic domains that can incorporate a variety of nanoscale objects.
Herein, we used the cavities of a preassembled 2D DNA origami framework to
incorporate square-shaped DNA origami structures (SQ-origamis). The framework was
self-assembled on a lipid bilayer membrane from cross-shaped DNA origami
structures (CR-origamis) and subsequently exposed to the SQ-origamis. High-speed
AFM revealed the dynamic adsorption/desorption behavior of the SQ-origamis, which
resulted in continuous changing of their arrangements in the framework. These
dynamic SQ-origamis were trapped in the cavities by increasing the Mg2+
concentration or by introducing sticky-ended cohesions between extended staples,
both from the SQ- and CR-origamis, which enabled the directed docking of the SQ
origamis. Our study offers a platform to create supramolecular structures or
systems consisting of multiple DNA origami components.
PMID- 29644772
TI - (2R,5S)-Theaspirane Identified as the Kairomone for the Banana Weevil,
Cosmopolites sordidus, from Attractive Senesced Leaves of the Host Banana, Musa
spp.
AB - The principal active component produced by highly attractive senesced host banana
leaves, Musa spp., for the banana weevil, Cosmopolites sordidus, is shown by
coupled gas chromatography-electroantennography (GC-EAG), coupled GC-mass
spectrometry (GC-MS), chemical synthesis and coupled enantioselective (chiral) GC
EAG to be (2R,5S)-theaspirane. In laboratory behaviour tests, the synthetic
compound is as attractive as natural host leaf material and presents a new
opportunity for pest control.
PMID- 29644773
TI - Highly Efficient Supramolecular Catalysis by Endowing the Reaction Intermediate
with Adaptive Reactivity.
AB - A new strategy of highly efficient supramolecular catalysis is developed by
endowing the reaction intermediate with adaptive reactivity. The supramolecular
catalyst, prepared by host-guest complexation between 2,2,6,6
tetramethylpiperidin-1-oxyl (TEMPO) and cucurbit[7]uril (CB[7]), was used for
biphasic oxidation of alcohols. Cationic TEMPO+ , the key intermediate, was
stabilized by the electrostatic effect of CB[7] in aqueous phase, thus promoting
the formation of TEMPO+ and inhibiting side reactions. Moreover, through the
migration into the organic phase, TEMPO+ was separated from CB[7] and recovered
the high reactivity to drive a fast oxidation of substrates. The adaptive
reactivity of TEMPO+ induced an integral optimization of the catalytic cycle and
greatly improved the conversion of the reaction. This work highlights the unique
advantages of dynamic noncovalent interactions on modulating the activity of
reaction intermediates, which may open new horizons for supramolecular catalysis.
PMID- 29644774
TI - Preparation of Cyclobutene Acetals and Tricyclic Oxetanes through Photochemical
Tandem and Cascade Reactions.
AB - We describe a photochemical reaction using two starting materials, a cyclopent-2
enone and an alkene, which are transformed in a controlled manner via the initial
[2+2]-photocycloaddition adducts into cyclobutene aldehydes (conveniently trapped
as stable acetals) or unprecedented angular tricyclic 4:4:4 oxetane-containing
skeletons. These compounds are formed through tandem or triple cascade
photochemical reaction processes, respectively. Small libraries of each compound
class were prepared, thus suggesting that this photochemistry approach opens new
opportunities for synthesis design and for widening molecular diversity.
PMID- 29644775
TI - Exploring the combination of SSKI and topical heparin in a case of erythema
nodosum migrans.
AB - Erythema nodosum migrans (subacute nodular migratory panniculitis) is an uncommon
type of panniculitis characterized by migrating subcutaneous nodules or plaque on
the lower extremity. There are a very few cases of Erythema nodosum migrans
reported and thus its appropriate treatment modality is not defined. We describe
a case of a 30-year-old male with idiopathic erythema nodosum migrans which was
manifest centrifugally spreading, slightly morpheaform erythematous plaque on the
lower left leg. The patient was diagnosed initially and treated as a case of
furunculosis with poor clinical response. The skin biopsy showed features
consistent with subacute nodular panniculitis. Saturated Solution of Potassium
Iodide along with topical Heparin successfully treated the patient, when the
conventional treatment modalities failed. In a morpheaform centrifugally expanded
plaque, erythema nodosum migrans should be kept in mind in the differential
diagnosis, especially in the lower extremities in cases of unknown etiology.
PMID- 29644776
TI - Constructing whole of population cohorts for health and social research using the
New Zealand Integrated Data Infrastructure.
AB - OBJECTIVES: To construct and compare a 2013 New Zealand population derived from
Statistics New Zealand's Integrated Data Infrastructure (IDI) with the 2013
census population and a 2013 Health Service Utilisation population, and to
ascertain the differences in cardiovascular disease prevalence estimates derived
from the three cohorts. METHODS: We constructed three national populations
through multiple linked administrative data sources in the IDI and compared the
three cohorts by age, gender, ethnicity, area-level deprivation and District
Health Board. We also estimated cardiovascular disease prevalence based on
hospitalisations using each of the populations as denominators. RESULTS: The IDI
population was the largest and most informative cohort. The percentage
differences between the IDI and the other two populations were largest for males
and for those aged 15-34 years. The percentage differences between the IDI and
Census cohorts were largest for people living in the most deprived areas. The
ethnic distribution varied across the three cohorts. Using the IDI population as
a reference, the Health Service Utilisation population generally overestimated
cardiovascular disease prevalence, while the Census population generally
underestimated it. CONCLUSIONS AND IMPLICATIONS: The New Zealand IDI population
is the most comprehensive and appropriate national cohort for use in health and
social research.
PMID- 29644777
TI - The Cooperative Effect of Both Molecular and Supramolecular Chirality on Cell
Adhesion.
AB - Although helical nanofibrous structures have great influence on cell adhesion,
the role played by chiral molecules in these structures on cells behavior has
usually been ignored. The chirality of helical nanofibers is inverted by the odd
even effect of methylene units from homochiral l-phenylalanine derivative during
assembly. An increase in cell adhesion on left-handed nanofibers and weak
influence of cell behaviors on right-handed nanofibers are observed, even though
both were derived from l-phenylalanine derivatives. Weak and negative influences
on cell behavior was also observed for left- and right-handed nanofibers derived
from d-phenylalanine, respectively. The effect on cell adhesion of single chiral
molecules and helical nanofibers may be mutually offset.
PMID- 29644778
TI - High-Performance Sodium Metal Anodes Enabled by a Bifunctional Potassium Salt.
AB - Developing Na metal anodes that can be deeply cycled with high efficiency for a
long time is a prerequisite for rechargeable Na metal batteries to be practically
useful despite their notable advantages in theoretical energy density and
potential low cost. Their high chemical reactivity with the electrolyte and
tendency for dendrite formation are two major issues limiting the reversibility
of Na metal electrodes. In this work, we introduce for the first time potassium
bis(trifluoromethylsulfonyl)imide (KTFSI) as a bifunctional electrolyte additive
to stabilize Na metal electrodes, in which the TFSI- anions decompose into
lithium nitride and oxynitrides to render a desirable solid electrolyte
interphase layer while the K+ cations preferentially adsorb onto Na protrusions
and provide electrostatic shielding to suppress dendritic deposition. Through the
cooperation of the cations and anions, we have realized Na metal electrodes that
can be deeply cycled at a capacity of 10 mAh cm-2 for hundreds of hours.
PMID- 29644779
TI - Letter on "Pregnancy after bariatric surgery: Maternal and fetal outcomes of 39
pregnancies and a literature review".
PMID- 29644780
TI - Support needs of couples with hereditary breast and ovarian cancer during
reproductive decision making.
AB - OBJECTIVE: Reproductive decision making for couples with hereditary breast and
ovarian cancer (HBOC) is complex and can result in decisional conflict or regret.
This study investigated couples' support needs and aimed to identify vulnerable
couples. Ultimately, we should strive to develop a clear standard of care
guideline regarding reproductive decision support. METHODS: Mixed methods were
used for data collection. A focus group study was conducted among 18 couples (N =
35) with HBOC who had made a reproductive decision after reproductive
counselling. Subsequently, 129 similar couples (N = 258) were invited to complete
a cross-sectional survey based on the focus group study. RESULTS: Clinical and
practical aspects of reproductive counselling were positively evaluated in the
focus group study, although couples indicated a need for additional support with
emotional and social concerns in which their relationship, social environment,
and the way they picture their desired family were key elements. The survey was
completed by 86 participants. Making a reproductive choice was experienced as
(very) difficult by 43%, and 69% showed a need for additional support during
decision making. Younger participants and those who opted for a natural pregnancy
experienced more difficulty with reproductive decision making, and partners
showed a higher need for psychological support than carriers. CONCLUSIONS:
Couples with HBOC who need to make a reproductive decision have specific needs
for guidance and support, of which the desired content and methods can vary. It
is therefore important to identify vulnerable couples and to attune counselling
to couples' needs.
PMID- 29644782
TI - The value of health damage due to sulphur dioxide emissions from coal-fired
electricity generation in NSW and implications for pollution licences.
PMID- 29644781
TI - INPP4B overexpression and c-KIT downregulation in human achalasia.
AB - BACKGROUND: Achalasia is a rare motility disorder characterized by myenteric
neuron and interstitial cells of Cajal (ICC) abnormalities leading to
deranged/absent peristalsis and lack of relaxation of the lower esophageal
sphincter. The mechanisms contributing to neuronal and ICC changes in achalasia
are only partially understood. Our goal was to identify novel molecular features
occurring in patients with primary achalasia. METHODS: Esophageal full-thickness
biopsies from 42 (22 females; age range: 16-82 years) clinically, radiologically,
and manometrically characterized patients with primary achalasia were examined
and compared to those obtained from 10 subjects (controls) undergoing surgery for
uncomplicated esophageal cancer (or upper stomach disorders). Tissue RNA
extracted from biopsies of cases and controls was used for library preparation
and sequencing. Data analysis was performed with the "edgeR" option of R
Bioconductor. Data were validated by real-time RT-PCR, western blotting and
immunohistochemistry. KEY RESULTS: Quantitative transcriptome evaluation and
cluster analysis revealed 111 differentially expressed genes, with a P <= 10-3 .
Nine genes with a P <= 10-4 were further validated. CYR61, CTGF, c-KIT, DUSP5,
EGR1 were downregulated, whereas AKAP6 and INPP4B were upregulated in patients vs
controls. Compared to controls, immunohistochemical analysis revealed a clear
increase in INPP4B, whereas c-KIT immunolabeling resulted downregulated. As
INPP4B regulates Akt pathway, we used western blot to show that phospho-Akt was
significantly reduced in achalasia patients vs controls. CONCLUSIONS &
INFERENCES: The identification of altered gene expression, including INPP4B, a
regulator of the Akt pathway, highlights novel signaling pathways involved in the
neuronal and ICC changes underlying primary achalasia.
PMID- 29644783
TI - Prevalence of mental disorders and psychosocial distress in German adolescent and
young adult cancer patients (AYA).
AB - OBJECTIVE: This study provides prevalence data of mental disorders (4-week, 1
year, lifetime) and psychological distress in Adolescent and Young Adult (AYAs)
with cancer. METHODS: We included an AYA subsample (15 to 39 year olds; diagnosed
within the last 5 years) extracted from a larger sample (4.020 cancer patients)
who had been recruited for an epidemiological study across all major tumor
entities. Participants were assessed with a depression screener (PHQ-9).
Following that, 50% of the participants who scored below the cutoff of 9 and all
patients who scored above were assessed using the Composite International
Diagnostic Interview for Oncology (CIDI-O). Patients also completed an anxiety
screener (GAD-7). RESULTS: A total of 302 AYA (167 completed CIDI-O) were
identified. With regard to psychological distress, 29.5% of the AYA had increased
depression symptoms (PHQ-9), and 20.8% had increased anxiety symptoms (GAD-7).
Gender and age were associated with psychological distress, with women and older
AYA being found to have higher distress. The 4-week prevalence of mental
disorders of any kind was 46.7% (95%-CI:39%-55%). Anxiety (24.4%; 95%-CI:20%-36%)
and adjustment disorders (14.1%; 95%-CI:9%-19%) had the highest prevalence rates.
The lowest prevalence rates were reported for alcohol dependence (0.8%; 95%-CI:0%
2%) and somatoform disorders (3.7%; 95%-CI:1%-7%). The 1-year-prevalence was
55.4% (CI:47.36-62.64), and the lifetime-prevalence was 69.5% (CI: 62.29-77.06).
CONCLUSION: Our findings may sensitize clinicians to the possible presence of
mental disorders in AYA. The results indicate that there is a strong need for
psycho-oncological interventions designed to improve mental health in AYAs with
cancer at all stages of medical care.
PMID- 29644784
TI - MINDY1 Is a Downstream Target of the Polyamines and Promotes Embryonic Stem Cell
Self-Renewal.
AB - Embryonic stem cells have the ability to self-renew or differentiate and these
processes are under tight control. We previously reported that the polyamine
regulator AMD1 is critical for embryonic stem cell self-renewal. The polyamines
putrescine, spermidine, and spermine are essential organic cations that play a
role in a wide array of cellular processes. Here, we explore the essential role
of the polyamines in the promotion of self-renewal and identify a new stem cell
regulator that acts downstream of the polyamines: MINDY1. MINDY1 protein levels
are high in embryonic stem cells (ESCs) and are dependent on high polyamine
levels. Overexpression of MINDY1 can promote ESC self-renewal in the absence of
the usually essential cytokine Leukemia Inhibitory Factor (LIF). MINDY1 protein
is prenylated and this modification is required for its ability to promote self
renewal. We go on to show that Mindy1 RNA is targeted for repression by mir-710
during Neural Precursor cell differentiation. Taken together, these data
demonstrate that high polyamine levels are required for ESC self-renewal and that
they function, in part, through promotion of high MINDY1 levels. Stem Cells
2018;36:1170-1178.
PMID- 29644785
TI - Age and gender may be the key points in hyperglycemic patients with Helicobacter
pylori infection combined colorectal adenoma-author's reply.
PMID- 29644786
TI - Potential role of the pancreatic hormone insulin in resetting human peripheral
clocks.
AB - Mammalian circadian rhythms are phase-adjusted and amplified by external cues
such as light and food. While the light input pathway via the central clock, the
suprachiasmatic nucleus, has been well defined, the mechanism of feeding-induced
circadian resetting remains undefined, particularly in humans. Animal studies
have indicated that insulin, a pancreatic hormone that is secreted rapidly in
response to feeding, is an input factor for a few peripheral clocks, such as
liver and adipose tissue. In this study, using plucked and cultured hair
follicles as a representative human peripheral clock, we examined the effect of
insulin on circadian characteristics of clock gene expression. Our results
demonstrate that insulin phase-shifts or amplifies the clock gene expression
rhythms of ex vivo cultured hair follicles in a phase-responsive manner. To
reduce the possibility that differences in species, genetic or environmental
background, and experimental methods affected experimental outcomes, we also
treated surgically extracted whisker follicles of Period2::Luciferase (Per2Luc )
mice with insulin and found that the effect of insulin on clock gene expression
was reproducible. These results suggest the possibility that feeding-induced
insulin resets peripheral circadian clocks in humans.
PMID- 29644789
TI - Construction of a Holliday Junction in Small Circular DNA Molecules for Stable
Motifs and Two-Dimensional Lattices.
AB - Design rules for DNA nanotechnology have been mostly learnt from using linear
single-stranded (ss) DNA as the source material. For example, the core structure
of a typical DAO (double crossover, antiparallel, odd half-turns) tile for
assembling 2D lattices is constructed from only two linear ss-oligonucleotide
scaffold strands, similar to two ropes making a square knot. Herein, a new type
of coupled DAO (cDAO) tile and 2D lattices of small circular ss-oligonucleotides
as scaffold strands and linear ss-oligonucleotides as staple strands are
reported. A cDAO tile of cDAO-c64nt (c64nt: circular 64 nucleotides), shaped as a
solid parallelogram, is constructed with a Holliday junction (HJ) at the center
and two HJs at both poles of a c64nt; similarly, cDAO-c84nt, shaped as a crossed
quadrilateral composed of two congruent triangles, is formed with a HJ at the
center and four three-way junctions at the corners of a c84nt. Perfect 2D
lattices were assembled from cDAO tiles: infinite nanostructures of nanoribbons,
nanotubes, and nanorings, and finite nanostructures. The structural relationship
between the visible lattices imaged by AFM and the corresponding invisible
secondary and tertiary molecular structures of HJs, inclination angle of hydrogen
bonds against the double-helix axis, and the chirality of the tile can be
interpreted very well. This work could shed new light on DNA nanotechnology with
unique circular tiles.
PMID- 29644787
TI - Impact of the Make Healthy Normal mass media campaign (Phase 1) on knowledge,
attitudes and behaviours: a cohort study.
AB - OBJECTIVE: To determine the impact of the first phase of the Make Healthy Normal
mass media campaign on NSW adults' active living and healthy eating knowledge,
attitudes, intentions and behaviour. METHODS: Cohort design with NSW adults,
followed up three times over 12 months, with n=939 participants completing all
three waves. We used generalised linear mixed models to examine campaign
awareness, knowledge, attitudes, intentions and behaviours over time. RESULTS:
Campaign recognition built to a reasonable level (45% at Wave 3), although
unprompted recall was low (9% at Wave 3). There were significant increases in
knowledge of physical activity recommendations (46% to 50%), the health effects
of obesity (52% to 64%), and weight loss benefits (53% to 65%), with stronger
effects in campaign recognisers. Conversely, we found declines in self-efficacy
and intention to increase physical activity (39% to 31%) and decrease soft drink
consumption (31% to 24%). CONCLUSIONS: Overall, there are some positives for the
campaign but intentions need to be a focus of future campaign phases. Continued
investment over the medium- to long-term is needed. IMPLICATIONS: Mass media
campaigns can play a role in obesity prevention but robust evaluations are needed
to identify the characteristics of effective campaigns.
PMID- 29644788
TI - High-intensity focused ultrasound treatment after cryolipolysis may be used to
reduce pain: Two case report.
AB - In recent years, interest in body sculpting has increased and various
technologies have been used and developed. In the present study, we assessed the
efficacy and safety of combination treatment using high-intensity focused
ultrasound (HIFU) and cryolipolysis. The findings show that not only HIFU but
also combination treatments are safe and well-tolerated options for the treatment
of central abdominal subcutaneous adipose tissue (SAT). Interestingly, the pain
associated with HIFU when it was performed after cryolipolysis was significantly
reduced.
PMID- 29644790
TI - Using chemiluminescence to determine whole blood antioxidant capacity in
rheumatoid arthritis and Parkinson's disease patients.
AB - The consequences of oxidative stress and inflammation are implicated in a wide
range of diseases, including rheumatoid arthritis and Parkinson's disease. The
status of antioxidant capacity in rheumatoid arthritis and Parkinson's disease
remains unclear, in part due to common practice of assaying erythrocytes
separately to plasma. This method removes any synergistic interactions between
plasma and erythrocyte-based antioxidants. The experiments in this report tested
antioxidant capacity in whole blood, erythrocytes and plasma by group and disease
stage. Medically diagnosed patients were recruited along with appropriate control
group participants. Fasting venous blood was assayed using chemiluminescence
methods for: time to maximum light emitted, maximum light emitted, and plasma
antioxidant capacity in vitamin E analogue units. Here we demonstrate that whole
blood exhibits higher antioxidant capacity than either plasma or erythrocytes
assayed separately. We report increased oxidative stress in the blood of
rheumatoid arthritis patients by group (p = 0.018, p = 0.049). We show increased
antioxidant capacity in Parkinson's disease patients by group (p < 0.001). For
later stage Parkinson's disease patients, we report reduced oxidative stress (p =
0.025), and increased antioxidant capacity and for erythrocytes (p < 0.001, p =
0.004) and whole blood (p < 0.001, p = 0.003). Early stage Parkinson's disease
showed higher antioxidant capacity on only one measure (p = 0.008). Whole blood
chemiluminescence is a useful technique for determining redox status in disease
and might help clarify the role of oxidative stress in rheumatoid arthritis and
Parkinson's disease.
PMID- 29644791
TI - Epigenome-Wide Association Study of Dietary Fiber Intake in African American
Adolescents.
AB - SCOPE: Low fiber intake is associated with increased risk for cardiovascular
disease (CVD) and cancer. However, the underlying mechanisms are not well
understood. Two hypotheses are tested: 1) dietary fiber would be associated with
DNA methylation levels; 2) those DNA methylation changes would be associated with
visceral adiposity and inflammation. Also the possibility that the associations
between fiber and DNA methylation levels might be confounded with folic acid
intake as sensitivity analysis are explored. METHODS AND RESULTS: An epigenome
wide association study is conducted using Illumina 450K Bead-Chip on leukocyte
DNA in 284 African American adolescents. Linear regression is performed to
identify differentially methylated CpG sites associated with fiber. The
methylation levels of 3 CpG sites (cg15200711, cg19462022, and cg07035602) in
LPCAT1 and RASA3 genes are associated with fiber (false discovery rate [FDR] <
0.05) after adjustment for covariates including folic acid. The methylation
levels of cg07035602 and cg19462022 are also associated with visceral adiposity
and inflammation. CONCLUSIONS: The data show that DNA methylation levels at
LPCAT1 and RASA3 genes are associated with dietary fiber intake as well as with
adiposity and inflammation. Future studies are warranted to determine whether
epigenetic regulation may underlie the beneficial effects of fiber intake on
adiposity and inflammation.
PMID- 29644792
TI - Synthesis of 1,1'-Spirobiindane-7,7'-Disulfonic Acid and Disulfonimide:
Application for Catalytic Asymmetric Aminalization.
AB - 1,1'-Spirobiindane-7,7'-disulfonic acid (SPISA) and 1,1'-spirobiindane-7,7'
disulfonimide were synthesized from 1,1'-spirobiindane-7,7'-diol (SPINOL) in 4
steps using a Pd-catalyzed Newman-Kwart rearrangement as a key step. These new
catalysts possessing a rigid spirocyclic backbone were evaluated in a catalytic
asymmetric aminalization reaction, and SPISA/iPr2 NEt exhibited high
enantioselectivity, demonstrating the utility of SPISA as a chiral Bronsted acid
catalyst.
PMID- 29644793
TI - Who does she think she is?
PMID- 29644794
TI - An Oxidative Bioconjugation Strategy Targeted to a Genetically Encoded 5
Hydroxytryptophan.
AB - Approaches that enable the chemoselective, covalent modification of proteins in a
site-specific manner have emerged as a powerful technology for a wide range of
applications. The electron-rich unnatural amino acid 5-hydroxytryptophan was
recently genetically encoded in both Escherichia coli and eukaryotes, thereby
allowing its site-specific incorporation into virtually any recombinant protein.
Herein, we report the chemoselective conjugation of various aromatic amines to
full-length proteins under mild, oxidative conditions that target this site
specifically incorporated 5-hydroxytryptophan residue.
PMID- 29644795
TI - Mnemonic Institutions and Residential Clustering: Jewish Residential Patterns in
Toronto.
AB - In this paper, we envisage how the sociohistorical experiences of groups are
related to their residential patterns. We posit that the residential clustering
of a group can be strongly related to the group's mnemonic institutions, which
are organizational symbols of collective identity that link the present to the
past. We present the case of Jewish residential clustering patterns in Toronto to
demonstrate our arguments. We employ 2001 Canadian Census tract-level data to
show Jewish residential clustering patterns in relation to the presence of a
synagogue or Jewish community center, the mnemonic institutions of Jews.
PMID- 29644796
TI - Hematite Photoanode with Complex Nanoarchitecture Providing Tunable Gradient
Doping and Low Onset Potential for Photoelectrochemical Water Splitting.
AB - Over the past years, alpha-Fe2 O3 (hematite) has re-emerged as a promising
photoanode material in photoelectrochemical (PEC) water splitting. In spite of
considerable success in obtaining relatively high solar conversion efficiency,
the main drawbacks hindering practical application of hematite are its
intrinsically hampered charge transport and sluggish oxygen evolution reaction
(OER) kinetics on the photoelectrode surface. In the present work, we report a
strategy that synergistically addresses both of these critical limitations. Our
approach is based on three key features that are applied simultaneously: i) a
careful nanostructuring of the hematite photoanode in the form of nanorods, ii)
doping of hematite by Sn4+ ions using a controlled gradient, and iii) surface
decoration of hematite by a new class of layered double hydroxide (LDH) OER co
catalysts based on Zn-Co LDH. All three interconnected forms of functionalization
result in an extraordinary cathodic shift of the photocurrent onset potential by
more than 300 mV and a PEC performance that reaches a photocurrent density of
2.00 mA cm-2 at 1.50 V vs. the reversible hydrogen electrode.
PMID- 29644798
TI - The Sociological Ethic and the Spirit of Power: Reflections on the Possibility of
a Value-Neutrality and Its Consequences for Sociology.
PMID- 29644797
TI - Altered gastrointestinal motility involving autoantibodies in the experimental
autoimmune encephalomyelitis model of multiple sclerosis.
AB - BACKGROUND: Multiple sclerosis (MS) is an autoimmune disease of the central
nervous system that, in addition to motor, sensory, and cognitive symptoms, also
causes constipation, which is poorly understood. Here, we characterize
gastrointestinal (GI) dysmotility in the experimental autoimmune
encephalomyelitis (EAE) mouse model of MS and evaluate whether autoantibodies
target the enteric nervous system (ENS) and cause dysmotility. METHODS: EAE was
induced in male SJL and B6 mice. GI motility was assessed in vivo and ex vivo in
wild type (WT) and B cell-deficient mice. MS and EAE serum was used to survey
potential targets in the ENS and changes in the ENS structure were characterized
using immunohistochemistry. KEY RESULTS: EAE mice developed accelerated gastric
emptying and delayed whole GI transit with reduced colonic motility. Fecal water
content was reduced, and colonic migrating myoelectrical complexes (CMMC) and
slow waves were less frequent. Colons from EAE mice exhibited decreased GFAP
levels in glia. Sera from MS patients and from EAE mice targeted ENS neurons and
glia. B-cell deficiency in EAE protected against colonic dysmotility. CONCLUSIONS
& INFERENCES: Consistent with symptoms experienced in MS, we demonstrate that EAE
mice widely exhibit features of GI dysmotility that persisted in the absence of
extrinsic innervation, suggesting direct involvement of ENS neurocircuitry. The
absence of GI dysmotility in B cell-deficient mice with EAE together with EAE and
MS serum immunoreactivity against ENS targets suggests that MS could be
classified among other diseases known to induce autoimmune GI dysmotility.
PMID- 29644799
TI - Transparent Composites Made from Tunicate Cellulose Membranes and Environmentally
Friendly Polyester.
AB - A series of optically transparent composites were made by using tunicate
cellulose membranes, in which the naturally organized cellulose microfibrillar
network structure of tunicate tunics was preserved and used as the template and a
solution of glycerol and citric acid at different molar ratios was used as the
matrix. Polymerization through ester bond formation occurred at elevated
temperatures without any catalyst, and water was released as the only byproduct.
The obtained composites had a uniform and dense structure. Thus, the produced
glycerol citrate polyester improved the transparency of the tunicate cellulose
membrane while the cellulose membrane provided rigidity and strength to the
prepared composite. The interaction between cellulose and polyester afforded the
composites high thermal stability. Additionally, the composites were optically
transparent and their shape, strength, and flexibility were adjustable by varying
the formulation and reaction conditions. These composites of cellulose, glycerol,
and citric acid are renewable and biocompatible and have many potential
applications as structural materials in packaging, flexible displays, and solar
cells.
PMID- 29644801
TI - Exosomal MicroRNAs in Milk from Mothers Delivering Preterm Infants Survive in
Vitro Digestion and Are Taken Up by Human Intestinal Cells.
AB - SCOPE: This study investigates the ability of preterm milk exosomes to survive
gastric/pancreatic digestion, internalization by intestinal epithelia, and the
microRNAs (miRNAs) contents. METHODS AND RESULTS: At average infant age 1 week
and 6 days, milk is collected from mothers who delivered preterm and term infants
(n = 10). Milk is exposed to conditions simulating infant gut digestion. Exosomes
are isolated and lysed, and the exposed miRNAs are sequenced. Preterm milk
exosomes survive in vitro digestion, and can be taken up by intestinal epithelia.
Three hundred and thirty miRNAs are identified as preterm milk exosome miRNAs,
and in vitro digestion does not have a pronounced effect on their expression. The
abundant miRNAs in preterm milk exosomes are similar to those from term milk.
Twenty-one low abundance miRNAs are specifically expressed in preterm milk
exosomes compared to early term milk in the current study and what previously is
found in mature term milk. CONCLUSION: These results for the first time reveal
the survivability of preterm milk exosomes following simulated gastric/pancreatic
digestion. The authors demonstrate the richness of the miRNAs content in these
exosomes. The results improve the knowledge of preterm milk biology and the
molecular basis by which exosome miRNAs may uniquely affect preterm infants
during early development.
PMID- 29644802
TI - Divergent Total Syntheses of Enmein-Type Natural Products: (-)-Enmein, (-)
Isodocarpin, and (-)-Sculponin R.
AB - Divergent total syntheses of the enmein-type natural products (-)-enmein, (-)
isodocarpin, and (-)-sculponin R have been achieved in a concise fashion. Key
features of the strategy include 1) an efficient early-stage cage formation to
control succeeding diastereoselectivity, 2) a one-pot
acylation/akylation/lactonization to construct the C-ring and C8 quarternary
center, 3) a reductive alkenylation approach to construct the enmain D/E rings
and 4) a flexible route to allow divergent syntheses of three natural products.
PMID- 29644803
TI - Prevalence, risk factors and adverse outcomes of anticholinergic burden in
patients with advanced chronic conditions at hospital admission.
AB - AIM: To evaluate the anticholinergic burden (ACB), the risk factors associated
with its onset and the clinical consequences for patients with advanced chronic
conditions. METHODS: A 10-month cross-sectional study was carried out in an acute
hospital care geriatric unit. Patients with advanced chronic conditions were
identified by the NECessity of PALliative care (NECPAL) test. The ACB was
established using the Anticholinergic Drug Scale and Drug Burden Index (DBI)
tools. Demographic, pharmacological and clinical patient data were collected with
the objective of determining risk factors related to ACB. Measured clinical
outcomes were the presence of acute confusional state, bone fractures, length of
stay, mortality and 12-month survival rate. RESULTS: A total of 235 patients were
recruited (mean age 86.80 years, SD 5.37 years; 65.50% women), and 82.10% (DBI)
and 93.6% (Anticholinergic Drug Scale) of the patients were treated with
anticholinergic medications. Excessive polypharmacy (>=10 drugs) was identified
as a risk factor for the presence of anticholinergic medication (Anticholinergic
Drug Scale: OR 6.26, 95% CI 1.38-28.42; DBI: OR 3.44, 95% CI 1.60-7.38). High
anticholinergic burden (by DBI >2 points) was an independent risk factor for the
presence of acute confusional state on hospital admission (OR 2.98, 95% CI 1.04
8.50). However, ACB was not related to bone fractures on admission, length of
stay, mortality or survival. CONCLUSIONS: Patients with advanced chronic
conditions are frequently treated with anticholinergic drugs, with excessive
polypharmacy as a risk factor. Anticholinergic drugs are a risk factor for the
presence of acute confusional state on hospital admission, but have no other
effect in terms of morbimortality. Geriatr Gerontol Int 2018; 18: 1159-1165.
PMID- 29644800
TI - Defining Cell Identity with Single-Cell Omics.
AB - Cells are a fundamental unit of life, and the ability to study the phenotypes and
behaviors of individual cells is crucial to understanding the workings of complex
biological systems. Cell phenotypes (epigenomic, transcriptomic, proteomic, and
metabolomic) exhibit dramatic heterogeneity between and within the different cell
types and states underlying cellular functional diversity. Cell genotypes can
also display heterogeneity throughout an organism, in the form of somatic genetic
variation-most notably in the emergence and evolution of tumors. Recent technical
advances in single-cell isolation and the development of omics approaches
sensitive enough to reveal these aspects of cell identity have enabled a
revolution in the study of multicellular systems. In this review, we discuss the
technologies available to resolve the genomes, epigenomes, transcriptomes,
proteomes, and metabolomes of single cells from a wide variety of living systems.
PMID- 29644805
TI - Role of autonomic nervous activity, as measured by heart rate variability, on the
effect of mortality in disabled older adults with low blood pressure in long-term
care.
AB - AIM: Previous studies have shown the relationship between low blood pressure and
high mortality in frail, disabled older adults in long-term care. However, the
mechanism of this relationship is still unclear. We hypothesized that autonomic
nervous activity decline is involved in the relationship between low blood
pressure and high mortality. METHODS: The present prospective cohort study
recruited 61 participants aged >=75 years. The data from 24-h Holter monitoring
and blood pressure recorded by ambulatory blood pressure monitoring were
collected. Measured data were divided into three categories: 24-h, daytime and
night-time. From power spectral density in the electrocardiogram, low frequency,
high frequency and low frequency/high frequency ratio were calculated. The
primary end-point was death. RESULTS: High blood pressure was connected to both
high daytime low frequency and high frequency (partial correlation coefficients:
0.42, P < 0.05 and 0.35, P < 0.05, respectively). In addition, the low blood
pressure group had higher mortality than the high blood pressure group, and
disabled older adults in long-term care and those with elevated daytime systolic
and diastolic blood pressure had less risk of mortality compared with those
without (systolic: hazard ratio 0.89, 95% confidence interval 0.83-0.96, P =
0.003; diastolic: hazard ratio 0.98, 95% confidence interval 0.79-1.00, P =
0.049). The average blood pressures in the high blood pressure groups were
approximately 140/80 mmHg and were connected to low mortality. CONCLUSIONS:
Attenuated autonomic nervous activity might lead to low blood pressure in the
daytime and high mortality in disabled older adults in long-term care. Geriatr
Gerontol Int 2018; 18: 1153-1158.
PMID- 29644804
TI - Relationship between the activities of daily living, oral health-related quality
of life and dementia.
AB - AIM: The aim of the present study was to investigate the association between the
activities of daily living and the oral health-related quality of life and
dementia in older adults. METHODS: Over a period of 18 months, we visited 12
elderly care facilities and recruited 375 participants, 345 of whom were selected
to undergo oral health examinations and oral health-related surveys. Each
investigation was carried out face-to-face by a professional worker. RESULTS:
Cognitive ability was found to be good when the results of the activities of
daily living and the oral health-related quality of life examinations were good.
If the results of the activities of daily living examination were bad, the odds
ratio of dementia was 2.66, and adjusted odds ratio for age, sex, residence type,
education level and denture was 2.99, representing a significant difference (P <
0.05). CONCLUSIONS: Most oral problems are not simply the outcome of aging, with
oral hygiene management being the most important determining factor. Oral health
problems can be prevented, and in order to improve the quality of life of older
adults, attention must be paid to oral healthcare. Geriatr Gerontol Int 2018; 18:
943-949.
PMID- 29644806
TI - "Committing Sociology" as a Value Commitment.
PMID- 29644807
TI - Factors influencing the uptake of a mass media intervention to improve child
feeding in Bangladesh.
AB - Mass media are increasingly used to deliver health messages to promote social and
behaviour change, but there has been little evidence of mass media use for
improving a set of child feeding practices, other than campaigns to promote
breastfeeding. This study aimed to examine the factors influencing the uptake of
infant and young child feeding messages promoted in TV spots that were launched
and aired nationwide in Bangladesh. We conducted a mixed-methods study, using
household surveys (n = 2,000) and semistructured interviews (n = 251) with
mothers of children 0-23.9 months and other household members. Factors associated
with TV spot viewing and comprehension were analysed using multivariable logistic
regression models, and interview transcripts were analysed by systematic coding
and iterative summaries. Exposure ranged from 36% to 62% across 6 TV spots, with
comprehension ranging from 33% to 96% among those who viewed the spots. Factors
associated with comprehension of TV spot messages included younger maternal age
and receipt of home visits by frontline health workers. Three direct narrative
spots showed correct message recall and strong believability, identification, and
feasibility of practicing the recommended behaviours. Two spots that used a
metaphorical and indirect narrative style were not well understood by
respondents. Understanding the differences in the uptake factors may help to
explain variability of impacts and ways to improve the design and implementation
of mass media strategies.
PMID- 29644808
TI - Prognostic Evaluation of Neurological Assessment of the Neuro-Oncology Scale in
Glioblastoma Patients.
AB - BACKGROUND: The aims of this study were to investigate the role of the
Neurological Assessment of Neuro-Oncology (NANO) scale in predicting the
prognosis of patients with glioblastoma, and compare these results to predicted
data of the Karnofsky Performance Scale (KPS), and Eastern Cooperative Oncology
Group (ECOG)/World Health Organization (WHO) performance status. Additionally, we
examined other prognostic factors in glioblastoma patients. METHODS: The medical
records of 76 patients with a new diagnosis of histologically ascertained
glioblastoma in the period from January 2002 to December 2015 at the authors'
institution were retrospectively reviewed. Clinical factors, including
epidemiologic, radiologic, and therapeutic values were reviewed as well as the
performance status assessed by the KPS, ECOG/WHO performance status, and NANO
scale. RESULTS: The mean overall survival was 19.8 months (95% confidence
interval 15.2-25.4 months). At initial diagnosis, the mean value [+/-standard
deviation (SD)] of KPS score, ECOG/WHO performance status, and NANO scale were 81
(+/-7.4), 1.3 (+/-0.6), and 7.3 (+/-3.8), respectively. Multivariate analysis for
predicting survival showed odds ratios of KPS score, ECOG/WHO performance status,
and NANO scale were 2.502 (>=80 vs. <80; p=0.024), 1.691 (0-1 vs. 2-5; p=0.047),
and 2.763 (0-7 vs. 8-23; p=0.020), respectively. At the time of progression, the
mean value (+/-SD) of KPS score, ECOG/WHO performance status, and NANO scale were
69 (+/-8.2), 1.6 (+/-0.7), and 11.4 (+/-4.2), respectively; multivariate analysis
for predicting survival showed that the odd ratios for KPS score, ECOG/WHO
performance status, and NANO scale were 2.007 (>=80 vs. <80; p=0.035), 1.321 (0-1
vs. 2-5; p=0.143), and 3.182 (0-7 vs. 8-23; p=0.002), respectively. CONCLUSION:
The NANO scale provided a more detailed and objective measure of neurologic
function than that currently used for predicting the prognosis of glioblastoma
patients, especially at the time of progression.
PMID- 29644809
TI - Optic Nerve Sheath Meningioma: Preliminary Analysis of the Role of Radiation
Therapy.
AB - BACKGROUND: The purpose of this study was to evaluate the treatment outcome of
our optic nerve sheath meningioma (ONSM) case series in terms of preventing tumor
growth and preserving vision in ONSM patients. METHODS: Between July 2003 and
March 2015, 1,398 patients with intracranial meningioma were diagnosed at Seoul
National University Bundang Hospital. Among them, only 13 patients (0.93%) were
diagnosed with ONSM and enrolled in the present study. Tumor volume changes of
ONSM patients and their visual acuity were evaluated before and after treatments.
RESULTS: The median follow-up time was 50 months (range, 12-133 months). Visual
acuity was evaluated in 12 of 13 patients, and visual acuity was found to be
preserved in 9 of 12 patients (75%). Tumor volume was reduced in all patients.
The tumor control rate was 100% in the present study. The difference in tumor
volume between pretreatment and last follow-up was statistically significant
(p=0.015). CONCLUSION: Intensity-modulated radiotherapy (IMRT) and gamma knife
radiosurgery (GKS) could maintain visual acuity and stabilize tumor volume in
ONSM patients, suggesting that IMRT and GKS may be effective therapies for ONSM.
However, which treatment is the more effective modality must be confirmed by
prospective studies and longer-term follow-up.
PMID- 29644811
TI - Nanoparticle-enabled experimentally trained wavelet-domain denoising method for
optical coherence tomography.
AB - We present the nanoparticle-enabled experimentally trained wavelet-domain
denoising method for optical coherence tomography (OCT). It employs an
experimental training algorithm based on imaging of a test-object, made of the
colloidal suspension of the monodisperse nanoparticles and contains the
microscale inclusions. The geometry and the scattering properties of the test
object are known a priori allowing us to set the criteria for the training
algorithm. Using a wide set of the wavelet kernels and the wavelet-domain
filtration approaches, the appropriate filter is constructed based on the test
object imaging. We apply the proposed approach and chose an efficient wavelet
denoising procedure by considering the combinations of the decomposition basis
from five wavelet families with eight types of the filtration threshold. We
demonstrate applicability of the wavelet-filtering for the in vitro OCT image of
human brain meningioma. The observed results prove high efficiency of the
proposed OCT image denoising technique.
PMID- 29644812
TI - Add-on Gabapentin Alleviates Paliperidone-induced Head Tremors andBoosts
Antipsychotic Response in Early-Onset Schizophrenia
PMID- 29644810
TI - Cystic Meningiomas: Correlation between Radiologic and Histopathologic Features.
AB - BACKGROUND: Tumors with cysts often correlate with gliomas, metastatic tumors, or
hemangioblastomas, which require differentiation. METHODS: Thirty-eight cases of
cyst associated-meningioma based on preoperative radiologic studies and
histologic confirmations were reviewed from November 1998 to July 2017. RESULTS:
A total of 395 cases of meningioma were observed in the 20 years, and surgical
treatment of intracranial meningioma was performed in 120 cases. Thirty-eight
(9.6%) cases of cyst associated meningiomas were analyzed. Nauta type I was the
most common type of cyst (39.5%) and the most frequent histopathological subtype
was meningothelial type (36.8%). CONCLUSION: Statistically there were no
significant associations between meningioma histopathological type and associated
cysts; however, the rate of World Health Organization grade II was higher in cyst
associated meningiomas than in unrelated meningiomas. This correlation was weak,
in accordance with the meningioma grade.
PMID- 29644813
TI - Endovascular repair of aortic arch disease with double inner branched thoracic
stent graft: the Bolton perspective.
AB - BACKGROUND: In the light of current evidence, endovascular repair of aortic arch
pathologies with custom-made devices should be considered a valid alternative to
decrease operative mortality and morbidity associated with open or hybrid repair.
Today, two double inner branch devices are available on market. We report our
single-center experience with Bolton double branch stent graft in the treatment
of aortic arch disease. METHODS: Between 2013 and 2016, 15 high-risk patients
with arch pathology were treated in our center with a custom-made branched
device. Six of these received a Cook arch branched stent graft. Nine were treated
with Bolton device. Among these, 2 with single branch model were excluded leaving
a subgroup of 7 patients object of this study. RESULTS: Out of the 7 male
patients (mean age 76, range 70-85) included in the study, 2 died in-hospital
after stroke and retrograde dissection, respectively. No other death, major
complication or secondary intervention was recorded at a mean follow-up of 24
(min-max 6-53) months, neither any aneurysmal diameter evolution nor branch
related complications. CONCLUSIONS: Despite the small sample size, our results
are in line with the early-published experiences about this technique.
Endovascular repair of aortic arch disease with custom-made branched devices
should always be considered to give high-risk patients a chance of repair.
PMID- 29644814
TI - MALARIA SELF-CARE IN NIMBORAN SUBDISTRICT, JAYAPURA DISTRICT, PAPUA PROVINCE,
INDONESIA.
AB - Papua is one of five provinces with high malaria incidence in Indonesia.In 2009,
the Indonesian Ministry of Health issued decree No 293 on malariaelimination.
Socioeconomic, culture and psychological conditions, and perceptionof malaria are
determining factors in seeking treatment. Health seeking behavioralso are
influenced by enabling factors, such as income and health insurance;and by health
providers, such as availability of health care facilities, tariffs andliving
locationss. Self-care is one form of community participation in
knowledge,prevention and early detection of malaria, and in seeking treatment and
complianceto malaria treatment, especially among inhabitants in malaria
endemicareas. This study was an observation in Nimboran Subdistrict, Jayapura
District,Papua Province, Indonesia during 2013. Thirty individuals from 'Pengurus
RukunTetangga' group were chosen randomly for the survey. Facts evaluated
wereknowledge of cause of malaria, disease transmission, symptoms and
complication,diagnosis, treatment and side effects, medical seeking behavior and
treatment,vector breeding sites, and attitude towards compliance of malaria
treatment anduse of mosquito nets. Self-care against malaria was considered
important by 65%of the respondents. All participants had visited health centers
and complied withprescribed drug regimen. All respondents with malaria-infected
neighbors visitedhealth centers. Regarding antimalarial malaria drugs, the
majority of respondentsknew of Darplex(r) and were aware that a common side
effect of antimalarials wastinnitus. The majority of respondents identified ponds
as malaria vector breedingplaces and recognized the importance of managing
vectors in malaria prevention.The study concludes that malaria self-care was
needed for awareness, preventionand treatment of this debilitating disease.
PMID- 29644815
TI - A SIMPLE AND EFFECTIVE MULTIPLEX PCR TECHNIQUE FOR DETECTING HUMAN PATHOGENIC
TAENIA EGGS IN HOUSEFLIES.
AB - Taenia solium, T. saginata, and T. asiatica are cestode pathogens
causingtaeniasis in humans. Houseflies can transfer Taenia eggs to food.
However,houseflies are thought to carry only small numbers of Taenia eggs,
sometimesfewer than 10. Although several PCR-based methods have been developed
todetect Taenia DNA, these require more than 10 eggs for adequate detection.
Wedeveloped a multiplex PCR method with high specificity for the
discriminationamong the eggs of the three Taenia species, T. solium, T. saginata,
and T. asiatica,using 18S ribosomal DNA (rDNA) as a genetic marker. This
technique was foundto be highly sensitive, capable of identifying the Taenia
species from only one egg.This multiplex PCR technique using 18S rDNA specific
primers should be suitableto diagnose Taenia eggs.
PMID- 29644816
TI - PRELIMINARY SURVEY OF ENTOMOPATHOGENIC NEMATODES IN UPPER NORTHERN THAILAND.
AB - Entomopathogenic nematodes (EPNs) of the genera Steinernema andHeterorhabditis
are used as biocontrol agents for insect pests. Survey of indigenousEPNs provides
not only the diversity aspects but also the contribution in pestmanagement in
local areas. The objective of this study was to survey EPNs inupper northern
Thailand. Nine hundred seventy soil samples were obtained from194 sites in upper
northern region of Thailand; of these 60 (6.2%) had EPNs in 2genera: Steinernema
(32 isolates) and Heterorhabditis (28 isolates). Most EPNs wereisolated from loam
with a soil temperature of 24-38 degrees C, a pH of 1.5-7.0 and a soilmoisture
content of 0.5-6.8%. Molecular identification based on sequencing of apartial
region of an internal transcribed spacer was performed for Heterorhabditisand the
28S rDNA for Steinernema. A BLASTN search of known sequence EPNsrevealed 24
isolates of S. websteri and one isolate of S. scarabaei were identified;closely
related to S. websteri (accession no. JF503100) and S. scarabaei (accessionno.
AY172023). The Heterorhabditis species identified were: H. indica (11
isolates),H. gerrardi (2 isolates) and Heterorhabditis sp (8 isolates).
Phylogenetic analysisrevealed 11 isolates of Heterorhabditis were related to H.
indica; 2 isolates were relatedto Heterorhabditis gerrardi and 8 isolates were
closely related to Heterorhabditissp SGmg3. The study results show the genetic
diversity of EPNs and describe anew observation of S. scarabaei and H. gerrardi
in Thailand. This finding is newand provides important information for further
study on using native EPNs inbiological control.
PMID- 29644817
TI - LARVICIDAL AND PUPICIDAL ACTIVITIES OF CRUDE AND FRACTIONATED EXTRACTS OF ACACIA
PENNATA (L.) WILLD. SUBSP INSUAVIS SHOOT TIPS AGAINST AEDES AEGYPTI (L.)
(DIPTERA: CULICIDAE).
AB - Acacia pennata subsp insuavis, or Cha-om in Thai, is a common vegetablefound in
Thailand. It has been used as a medicinal herb for a long time. From
theliterature, antinociceptive, anti-inflammatory, antimicrobial, and anti
helminthicactivities were reported. In this study, we investigated two new
actions of thisplant: larvicide and pupicide. The crude ethanolic and
fractionated extracts of A.pennata shoot tips were tested against aquatic stages
of the dengue virus vector,Aedes aegypti mosquito. The 1st-4th instar larvae and
pupae of Ae. aegypti weresubjected for bioassays by following the standard
protocol of WHO. The larvaland pupal mortalities were observed after 24- and 48
hour exposure times. Thebioassays demonstrated that stronger efficacy was found
from the fractionatedextracts than the crude extracts. The LC50 values against
the 3rd instar larvae were39.45-50.75 mg/l (fractionated extracts) and 244.50
mg/l (crude extracts). It alsoeffects the pupae with the LC50 values of 44.10
53.73 mg/l and 87.27 mg/l for thefractionnated and the crude extracts,
respectively. The bioassays demonstratedthe effective mosquito larvicide and
pupicide of A. pennata extracts. It could bean alternative candidate for the
development of phytotoxin for controlling mosquitovectors.
PMID- 29644818
TI - SURVEILLANCE IN 2013 OF AVIAN INFLUENZA VIRUS FROM LIVE-BIRD MARKETS IN BANGKOK,
THAILAND.
AB - Live-bird markets have been implicated in transmission of avian influenzaviruses,
most recently of influenza A (H7N9) in China. Low pathogenic avianinfluenza
(LPAI) viruses, such as H7N9, cause asymptomatic infections in poultry,and active
surveillance is required to detect infection and to prevent transmissionto
humans. Although limited numbers of live birds for consumption are sold inBangkok
live bird markets (LBM), transmission of H7N9 in nearby China hasprompted a
program of active surveillance for avian influenza in Bangkok LBM todetermine
LPAI viruses. In November 2013, Bangkok One Health team organizedavian influenza
surveillance in all nine districts of Bangkok with LBMs. Oropharyngealswabs (n =
834), sera (n = 375) and fresh feces (n = 420) were taken from400 chickens, 20
ducks, 20 geese and 394 pet birds from 75/87 shops. Additionally,drinking water
(n = 208) and waste water (n = 26) were collected. Samples weretested for
influenza A viruses using RT-PCR. In addition, samples were inoculatedin eggs and
tested by hemagglutination (HA) and hemagglutination inhibition(HI) assays using
H5N1- and H7N9-specific antigens. Sera were tested by HI assayusing similar
antigens. No sample was found positive for influenza A virus.These data provide
evidence that avian influenza viruses, including LPAI virusessuch as H7N9, were
not circulating in Bangkok LBMs during the period surveyed.
PMID- 29644819
TI - CONSTRUCTION AND EXPRESSION OF H5N1 INFLUENZA VIRUS HEMAGGLUTININ-SPECIFIC scFv
Fc MONOCLONAL ANTIBODIES IN HEK293T CELLS.
AB - Monoclonal antibody (MAb) is a key element in the development ofrapid test kits
for many infectious diseases. Our group previously developedtwo antigen-binding
fragment (Fab) MAbs, H5Fab-6 and H5Fab-9, specific tohemagglutinin (H5 HA) of
influenza A virus H5N1, but these Fabs do not have aconstant fragment (Fc)
portion with which to bind with gold particles in a striptest. In order to
overcome this impediment, we joined a single-chain variable fragment(scFv) with
an Fc region to produce a scFv-Fc MAb, which was expressed inmammalian HEK293T
cells. Specificity and sensitivity of each generated scFv-FcMAb for H5 HA was
tested using western blotting and dot-enzyme-linked immunosorbentassay (dot
ELISA), respectively. Two scFv-Fcs (designated H5scFvFc-6and H5scFvFc-9) were
constructed and purified to near homogeneity with a yieldof 12.87 mg/l and 33.56
mg/l, respectively. Western blotting indicated that bothscFv-Fcs reacted as
expected with H5 HA with a sensitivity of 60 pg of H5 HA.These scFv-Fc MAbs
should prove useful in the development of antibody-baseddiagnostic tools.
PMID- 29644820
TI - CLINICAL OUTCOMES OF CRYPTOCOCCAL MENINGITIS AMONG HIV-INFECTED PATIENTS IN THE
ERA OF ANTIRETROVIRAL THERAPY.
AB - Cryptococcal meningitis (CM) is a common opportunistic infection inHIV-infected
patients and the clinical outcome can be severe. This study aimed todetermine the
survival rate and prognostic factors among HIV-infected patientswith CM in the
era of antiretroviral therapy (ART). Understanding of these factsmay help
clinicians to manage CM patients efficiently and patients with poorprognostic
factors could be closely monitored. We conducted a retrospective cohortstudy
among new cases of HIV-associated CM who were treated at RamathibodiHospital,
Mahidol University, Thailand, during 2002-2013. Of 195 patients, 119(61%) were
male; the median (interquartile range, IQR) age was 33 (29-39) years.The median
(IQR) CD4 cell count was 20 (9-44) cells/mm3. The median survivaltime was >12
years and the 75% survival time was 5 years. Using the Cox proportionalhazard
model, the factors associated with mortality were impaired consciousness[hazard
ratio (HR)=2.38; 95% confidence interval (CI): 1.03-5.50], lowinitial
cerebrospinal fluid (CSF) protein (<=60 mg/dl) (HR=2.88; 95%CI: 1.13-7.35),low
initial CSF glucose (<=30 mg/dl) (HR=2.36; 95%CI: 1.01-5.51), high
openingpressure during induction therapy (>25 cmH2O) (HR=2.90, 95%CI: 1.21-6.94),
noART (HR=14.8; 95%CI: 5.39-40.7) and relapse of CM (HR=4.31; 95%CI: 1.42
13.1).The HIV-associated CM survival rate in the ART era is higher than it was
duringthe pre-ART era.
PMID- 29644822
TI - BURKHOLDERIA PSEUDOMALLEI BIOFILM PLAYS A KEY ROLE IN CHRONIC INFLAMMATION IN
C57BL/6 MICE.
AB - Burkholderia pseudomallei is a causative agent of melioidosis. Clinicalsigns of
melioidosis vary from acute septicemia to chronic inflammation or
subclinicalinfection. This study investigated the role of B. pseudomallei biofilm
inchronic inflammation in lungs of infected C57BL/6 mice. Low doses of B.
pseudomalleiH777 and its biofilm defective M10 mutant were fed intra-gastrically
toC57BL/6 mice and inflammatory responses were investigated by
histopathologicaltechniques. Two hundred colony forming units (CFUs) of B.
pseudomallei H777induced chronic inflammatory responses in mice on day 20 post
infection, withdiscrete interstitial infiltration by mononuclear inflammatory
cells. On day 40 postinfection,there were marked thickening of alveolar septa and
congested capillaries,which increased in severity by day 60. On the other hand,
mice infected with B.pseudomallei M10 showed less mononuclear infiltration. The
results indicate thatB. pseudomallei defective in biofilm production gave rise to
less severe pathology,resulting a higher rate of survival in infected mice; and
pulmonary melioidosiscould be developed in C57BL/6 mice by intra-gastric feeding
makes it a possibleanimal model of chronic human melioidosis.
PMID- 29644821
TI - SEROPREVALENCE OF RUBELLA ANTIBODIES AMONG TURKISH AND FOREIGN WOMEN IN TURKEY.
AB - The aims of this study were to determine seroprevalence of rubella
antibodiesamong Turkish and foreign women living in Turkey and to estimate
percentwomen susceptible to rubella infection. This retrospective study was
conductedamong 970 women (816 Turks) attending gynecology and obstetrics
outpatientclinics. Serum samples were tested for anti-rubella IgG and IgM by
chemiluminescentmicroparticle immunoassay. Eighty-eight percent of the subjects
were positiveonly for anti-rubella IgG indicating immunity to rubella infection,
none for onlyanti-rubella IgM and 1.5% for both anti-rubella IgM and IgG, the
latter having ahigh avidity of anti-rubella IgG signifying a previous infection.
Anti-rubella IgGseropositivity rate alone for Turkish women is 86.1%,
significantly higher thanthat for foreign women. A significant association
between age and seropositivitywas found only for the age group of 15-20 years
among both Turkish and foreignwomen. Anti-rubella IgG seropositivity rate of
pregnant women increased withincreasing age from 10.7% (at 15-20 years old) to
85.5% (at 36-40 years old). Amongnon-pregnant women, both anti-rubella IgM and
IgG seronegativity rates weresignificantly higher in the age group of 31-35 years
than the other age groups. Ourresults indicate that all pregnant women in Turkey
should routinely be screenedfor anti-rubella IgM and IgG at antenatal period.
Evaluation of susceptibility ofwomen in reproductive age to rubella infection is
important to setup a strategyfor preventing antenatal rubella through vaccination
of non-immune womenthroughout the country.
PMID- 29644823
TI - DISTRIBUTION OF VIRULENCE GENES IN ENVIRONMENTAL AND CLINICAL ISOLATES OF
LEGIONELLA PNEUMOPHILA IN BUSAN, SOUTH KOREA.
AB - Legionella pneumophila is the major causative agent of Legionnaires' disease.The
distribution of L. pneumophila in environmental water systems of publicfacilities
in Busan, South Korea was previously reported; however, the distributionof
virulence genes in environmental and clinical isolates in Busan is unknown.Here,
we investigated using PCR the distribution of three virulence loci (dot/icm,lvh,
and rtxA) in isolates from Busan. A high prevalence of environmental (127/254)and
clinical (3/4) isolates were observed for the simultaneous presence of all
threegenes, while environmental harboring dot/icm + rtxA, dot/icm + lvh, rtxA +
lvh, andonly one gene were 21%, 11%, 9% and <1-4%, respectively, The remaining
clinicalisolate carried dot/icm + rtxA. In addition, 68% of isolates from
serogroup 1, themain cause of legionellosis, possessed all three virulence genes
compared with40% of isolates from serogroups 2 to 15. Prevalence of isolates with
two genes was5-14% and 6-29% in serogroup 1 and serogroups 2 to 15, respectively,
whereasthat of isolates with one gene was 1-2% and 0-6%, respectively. These
results providevaluable information for epidemiological investigations of the
relationshipbetween environmental and clinical isolates in legionellosis
outbreaks in Busan.
PMID- 29644824
TI - [Post-exposure prophylaxis against HIV, the view from the emergency department -
authos's response].
PMID- 29644825
TI - INVASIVE SALMONELLOSIS PRESENTING AS A LUNG ABSCESS: A CASE REPORT.
AB - Salmonella spp are an uncommon cause of lung abscess. A 59 year oldman presented
to our hospital with a 1 month history of cough and low gradefever progressing to
high grade fever for 1 week. He had a past medical historysignificant for
diabetes mellitus type 2 and focal segmental glomerulosclerosisfor which he was
receiving prednisolone, initially at 60 mg daily tapering to 20mg daily. On
presentation he was febrile and had decreased breath sounds anddullness to
percussion over the right lower lung field. A chest X-ray showed acavitary lesion
with an air-fluid level in the right lung. Computed tomographyof the lung
revealed 2 cavitary lesions in the right upper and lower lungs. Sputumculture
revealed Salmonella spp group B. He was treated successfully withceftriaxone
intravenously for 1 month followed by oral cefdinir. A chest X-ray at1 month
showed significant improvement; he was treated conservatively withoutsurgical
drainage. Salmonella can cause lung abscesses, especially in the
immunesuppressed.
PMID- 29644826
TI - CHARACTERIZATION OF EXTRAINTESTINAL PATHOGENIC ESCHERICHIA COLI FROM MEAT IN
SOUTHERN THAILAND.
AB - Extraintestinal pathogenic Escherichia coli (ExPEC) is an E. coli group,which
causes diseases in systems outside human intestinal tract. ExPEC isolateswere
recovered from fresh chicken (25%) and pork (10%) meats, but not beef andshrimp,
from markets in southern Thailand. Among the 14 ExPEC strains isolated,all
carried iutA and fimH, coding for aerobactin and type 1 fimbriae,
respectively.Two ExPEC strains from chicken meat possessed kpsMTK1 coding for K1
capsularantigen, responsible for neonatal meningitis. Antimicrobial
susceptibility assayrevealed that all ExPEC were resistant to streptomycin and
carried blaTEM, butsusceptible to imipenem. Phylogenetic group analysis showed
that 4, 4, and 6ExPEC strains belonged to group A, B1 and D, respectively. ExPEC
strains wereclassified into four serotypes, namely, O8 (2 strains), O15 (2
strains), O25 (1 strain),and O127a (1 strain), with the remaining untypeable. DNA
profiling analysis byBOX-PCR revealed clonality of strains with the same
serotype. The existence ofExPEC in meat products should cause concern regarding
food safety and publichealth not only in southern Thailand but also throughout
the country.
PMID- 29644827
TI - ANTIBACTERIAL PROPERTIES OF SELECTED PLANTS CONSUMED BY PRIMATES AGAINST
ESCHERICHIA COLI AND BACILLUS SUBTILIS.
AB - Bacterial antimicrobial resistance is a major health problem worldwide.Plants
consumed by non-human primates are potentially safe for humans. In thisstudy, we
examined the potential antibacterial properties of plants consumed bynon-human
primates in Indonesia. We studied the antibacterial properties of theleaf
extracts of 34 primate-consumed plants against Escherichia coli and
Bacillussubtilis in vitro. The plants were collected from the Pangandaran
ConservationArea, West Java Province, Indonesia. The leaves were dried and then
powderedby crushing and the potential active ingredients were extracted with 95%
ethanolat room temperature for 24 hours. The obtained solvent was then dried at
50oCunder reduced pressure. The antibacterial properties of each product were
thentested to determine the minimum inhibitory and minimum bactericidal
concentrationsusing the broth microdilution technique and a disc diffusion test
was alsoperformed. The results show Kleinhovia hospita, Dillenia excelsa and
Garcinia celebicahad the best antibacterial properties against Escherichia coli
and Ficus benjamina,Ficus altissima, and Elaeocarpus glaber had the best
antibacterial properties againstBacillus subtilis. Some of the studied leaf
extracts in our study have the potentialto be developed into antibacterial
medications and need to be studied further.
PMID- 29644828
TI - ANTIBACTERIAL PROPERTY TESTING OF TWO SPECIES OF TROPICAL PLANT LASIANTHUS
(RUBIACEAE).
AB - Two tropical plant species, Lasianthus pilosus and Lasianthus stipularisare used
in traditional medicine but there have been no published studies of theextracts
of these plants against bacteria. In this study, we aimed to determinethe
antimicrobial activities of the above two plants against two gram-positiveand
seven gram-negative bacterial strains to determine the potential of thesetwo
plant species for possible antimicrobial drug development. The
antibacterialactivities of the lipophilic extracts of these plants were evaluated
by diskdiffusion and broth microdilution methods. The zone diameters and
minimuminhibitory concentrations (MIC) for these plant extracts exhibited their
highestantibacterial activity against Pseudomonas aeruginosa, followed by
Staphylococcusaureus and Acinetobacter baumannii, respectively. The MIC of these
extracts againstP. aeruginosa (ATCC 37166 and ATCC 27853) varied from 50 to 200
MUg/ml. Thinlayer chromatography and detection using different specific reagents
revealedthe presence of terpenoids, phenolic compounds and iridoid. Cell lysis
due tothe effect of the lipophilic extracts of these tested plants was
demonstrated usingscanning electron microscopy. In conclusion, the bioactive
compounds of theseplants should be studied further to develop potential
antimicrobial agents.
PMID- 29644829
TI - MULTIPLE-LOCUS VARIABLE-NUMBER TANDEM REPEAT ANALYSIS OF BRUCELLA ISOLATES FROM
THAILAND.
AB - Brucellosis-induced abortion can result in significant economic lossto farm
animals. Brucellosis can be transmitted to humans during slaughter ofinfected
animals or via consumption of contaminated food products. Strain identificationof
Brucella isolates can reveal the route of transmission. Brucella strainswere
isolated from vaginal swabs of farm animal, cow milk and from humanblood
cultures. Multiplex PCR was used to identify Brucella species, and owingto high
DNA homology among Brucella isolates, multiple-locus variable-numbertandem repeat
analysis (MLVA) based on the number of tandem repeats at 16 differentgenomic loci
was used for strain identification. Multiplex PCR categorizedthe isolates into B.
abortus (n = 7), B. melitensis (n = 37), B. suis (n = 3), and 5 ofunknown
Brucella spp. MLVA-16 clustering analysis differentiated the strains intovarious
genotypes, with Brucella isolates from the same geographic region beingclosely
related, and revealed that the Thai isolates were phylogenetically distinctfrom
those in other countries, including within the Southeast Asian region. Thus,MLVA
16 typing has utility in epidemiological studies.
PMID- 29644830
TI - ACUTE CHOLECYSTITIS AS AN UNUSUAL PRESENTATION OF SCRUB TYPHUS: A REPORT OF TWO
CASES AND REVIEW OF THE LITERATURE.
AB - Scrub typhus rarely presents with acute cholecystitis. We present 2 casesof scrub
typhus with cholecystitis. The first patient is a 62 year old female whopresented
to the hospital with fever and body aches for 1 week and right upperquadrant
abdominal pain for 3 days. She gave a history of an insect bite 2
weekspreviously. She was diagnosed as having acute cholecystitis and underwent
cholecystectomy.She continued with fever post-operatively and physical
examinationrevealed an eschar. She had an immunofluorescence assay (IFA)
performed thatrevealed a high IgM titer for Orientia tsutsugamushi. She was
diagnosed as havingscrub typhus, treated with doxycycline and she recovered
completely. The secondpatient also presented to the hospital with a 1 week
history of fever and upperquadrant abdominal pain. She was diagnosed with having
cholecystitis. Hersymptoms did not improve with intravenous antibiotics and
further investigationrevealed elevated titers for O. tsutsugamushi and Leptospira
interrogans. She wasdiagnosed as having a co-infection of scrub typhus and
leptospirosis and treatedwith doxycycline. She recovered completely. Patients
from scrub typhus endemicregions who present with acute cholecystitis but do not
respond to traditionaltreatment should be tested for scrub typhus and
leptospirosis and should have acareful admission physical examination looking for
eschar formation, since scrubtyphus may present with acute cholecystitis.
PMID- 29644831
TI - ANTIFUNGAL ACTIVITY OF CINNAMALDEHYDE AGAINST CANDIDA ALBICANS.
AB - Candida albicans is a common pathogen, especially among
immunocompromisedpatients. It is beginning to show resistance against the azole
druggroup, which is usually used to treat this pathogen. We studied the
antifungaleffects of cinnamaldehyde against C. albicans. Germ tube formation of
C. albicansexposed to cinnamaldehyde was determined by the crystal violet based
method.The effect of cinnamaldehyde on adhesion of C. albicans to buccal
epithelial cellswas investigated. Proteinase and phospholipase activities of C.
albicans in thepresence of cinnamaldehyde were assessed using bovine serum
albumin agar andegg yolk agar, respectively. In this study, cinnamaldehyde
possessed antifungalactivity against C. albicans with a minimum inhibitory
concentration of 125 MUg/ml.At sub-inhibitory concentrations, cinnamaldehyde
significantly reduced germ tubeformation, proteinase and phospholipase activities
in a dose dependent manner(p<0.01). Cinnamaldehyde also significantly inhibited
the adhesion of C. albicans tobuccal epithelial cells (p<0.01). In our study,
cinnamaldehyde had in vitro activityagainst C. albicans and inhibited some of its
virulence factors.
PMID- 29644832
TI - INVASIVE FUNGAL INFECTION AMONG FEBRILE PATIENTS WITH CHEMOTHERAPY-INDUCED
NEUTROPENIA IN THAILAND.
AB - Invasive fungal infections (IFI) can cause serious morbidity and mortalityamong
febrile patients with chemotherapy-induced neutropenia (CIN). Inorder to evaluate
the incidence, treatment outcome and factors associated with IFIin this patient
population in Thailand, we retrospectively reviewed the medicalrecord of patients
admitted to Siriraj Hospital from January 2008 to June 2010.Criteria used to
diagnosed IFI were those of the European Organization for Researchand Treatment
of Cancer/Invasive Fungal Infections Cooperative Groupand the National Institute
of Allergy and Infectious Diseases/Mycoses StudyGroup (EORTC/MSG) consensus 2008
criteria. Three hundred ten episodes ofchemotherapy-induced neutropenia occurred
in 233 patients. IFI were found in37 episodes (12%) and occurred only in patients
who received chemotherapy forhematological malignancies. The incidence of IFI
among patients with hematologicmalignancies was 14%. Most commonly occurred in
AML patients (17%). Patientswho received aggressive induction chemotherapy
regimens for AML had thehighest incidence of IFI (20.5%). Of the 37 episodes, 12
were candidiasis, 5 wereaspergillosis, 1 was zygomycosis, 1 was fusariosis, 10
were probable and 9 werepossible IFI. The IFI-related mortality was 35%. The
clinical factor associated withIFI was a temperature > 39 degrees C during
febrile neutropenia. A higher mortality ratewas seen in patients aged > 40 years
and those with a serum albumin level < 3 g/dl.
PMID- 29644833
TI - GROWTH-INHIBITORY EFFECTS OF FARNESOL AGAINST SCEDOSPORIUM BOYDII AND
LOMENTOSPORA PROLIFICANS.
AB - Scedosporium boydii and Lomentospora prolificans are filamentous fungireported to
cause infection in immunocompromized individuals. We studiedthe effect of
farnesol to inhibit growth of S. boydii and L. prolificans by measuringcolony
diameter and determining minimal effective concentration (MEC). S.boydii and L.
prolificans were grown on Sabouraud dextrose agar (SDA) at 37oCfor 5 days.
Conidia were collected and adjusted to a concentration of 104 conidia/ml. Twenty
microliters of conidia suspension was placed in each well of a sixwellplate
containing serial dilutions of farnesol (10 MUM, 100 MUM, 1,000 MUM, and10,000
MUM) in SDA. Colony morphology and diameter were observed on days 1,2, 3, and 4.
Farnesol at concentrations of 1,000 MUM or higher caused the colonydiameter of
both S. boydii and L. prolificans to be smaller than untreated controlsin a dose
dependent manner. The MEC of farnesol to inhibit growth of both S.boydii and L.
prolificans was 3.2 mM. This study reveals the antifungal propertyof farnesol
against S. boydii and L. prolificans, which can be used for further studyas an
alternative antifungal agent against these fungal infections.
PMID- 29644834
TI - 31P-MR spectroscopy in patients with mild and serious lower limb ischemia.
AB - BACKGROUND: 31P-MR spectroscopy is a technique for undertaking a comprehensive
evaluation of muscle metabolism. The goal of this study was to compare patients
with mild and severe lower limb ischemia measured by 31P-MR spectroscopy at rest
and during exercise. METHODS: Sixteen non-diabetic mild peripheral arterial
occlusive disease (PAOD) patients, 23 diabetic PAOD patients with severe ischemia
and 19 healthy controls were examined by rest and dynamic 31P-MR spectroscopy
with a 3T MR system equipped with an MR-compatible home-made pedal ergometer.
Signal intensity ratios of phosphorous metabolites to the sum of all 31P
intensities (Ptot) and pH were obtained at rest. The PCr drop (DeltaPCr), time
recovery constant of PCr (tauPCr), pH at the end of the exercise (pHend), and
mitochondrial capacity (Qmax) were calculated from dynamic MR spectra. RESULTS:
Diabetic PAOD patients with severe ischemia differed from controls in both rest
(PCr/Pi, betaATP/Ptot, pH) and dynamic (Qmax, pHend, tauPCr) parameters. PAOD
patients with mild ischemia differed from controls only in Qmax and pHend. Rest
parameters of the nondiabetic PAOD patients did not differ from control values
excluding rest pH which was higher in both patient groups. CONCLUSIONS: A
combination of rest and dynamic 31P-MR spectroscopy can distinguish among all
three groups of subjects. On the other hand, examination at rest is sufficient
for differentiation between patient groups and verification of severe ischemia.
PMID- 29644835
TI - Risk assessment as a guide for the prevention of cancer-associated
thromboembolism.
AB - Venous thromboembolism (VTE) is a major source of morbidity and mortality among
patients diagnosed with cancer. In addition to an increased risk of VTE, patients
with cancer are at higher risk of bleeding while receiving therapeutic
anticoagulation. Aggressive and targeted thromboprophylaxis is a crucial practice
to avoid the dreaded complications of VTE. Risk assessment models (RAM) are tools
developed to identify high-risk patients in whom thromboprophylaxis is
beneficial. This review describes the most validated VTE RAMs in patients with
cancer.
PMID- 29644836
TI - Elevated extracellular nucleosomes and their relevance to inflammation in stage 5
chronic kidney disease.
AB - BACKGROUND: Chronic kidney disease is a disorder characterized by a pro
inflammatory state that is associated with increased morbidity and mortality.
Endogenous damage-associated molecular patterns, including nucleosomes, may
contribute to this persistent inflammation. The aim of this study was to profile
and evaluate the clinical significance of circulating nucleosomes in patients
with Stage 5 chronic kidney disease (CKD5) on hemodialysis (HD). METHODS: Under
institutional review board approval, plasma samples were collected from 90 CKD5
HD patients (45 male and 45 female) prior to hemodialysis. Normal human plasma
samples (25 male and 25 female) were used as a control group. Commercial enzyme
linked immunosorbent and colorimetric assays were used to profile nucleosome
levels and biochemical markers of kidney injury, inflammation, thrombosis, and
renal function in CKD5-HD and control groups. Clinical laboratory parameters were
documented from the electronical medical record and correlated to nucleosome
levels in the CKD5-HD cohort. RESULTS: In comparison to healthy volunteers, the
plasma from CKD5-HD patients exhibited markedly elevated nucleosomes (P<0.0001).
Furthermore, nucleosome levels correlated with WBC count (P=0.025, R=0.243) and
CRP (P=0.019, R=0.266) levels. No correlation was found between nucleosomes and
the other parameters studied. CONCLUSIONS: Our findings indicate extracellular
nucleosomes are significantly elevated in CKD5-HD, suggesting increased cell
death and/or inflammation. The observed correlations between nucleosomes and
parameters of inflammation is suggestive of a complex, systemic inflammatory
process underlying renal deterioration, consistent with the literature. Thus,
nucleosomes may play a role in the pathogenesis and outcome of CKD5-HD.
PMID- 29644837
TI - RAPIDLY PROGRESSIVE PULMONARY CRYPTOCOCCOSIS WITH CAVITATION IN AN
IMMUNOCOMPETENT WOMAN: A CASE REPORT AND LITERATURE REVIEW.
AB - Pulmonary cryptococcosis with pulmonary cavitation is rare, especiallyin
immunocompetent cryptococcosis patients. We describe here a case of
rapidlyprogressive pulmonary with cavitation in an immunocompetent woman. A29
year-old woman had a routine chest X-ray as part of a routine examination.
Thechest X-ray showed pulmonary nodules. She was diagnosed as having
bacterialpneumonia even though she had no symptoms and was treated with
ampicillinorally. A chest X-ray was repeated 12 days later as follow-up which
showed anincrease in the nodules. She continued to be asymptomatic and had a
normallung examination. Her complete blood count revealed a normal white blood
cellcount and her anti-human immunodeficiency virus test was normal, as were
herimmunoglobulin levels and CD4 counts. She had a computed tomography (CT)scan
of the lungs that showed two pulmonary nodules, one with cavitation. Shethen
underwent a CT guided needle biopsy of the cavitary lesion which
revealedpulmonary cryptococcosis. A serum latex cryptococcal antigen test
revealed atiter of 1:32. She was treated with fluconazole 400 mg IV daily for 7
days, followedby oral fluconazole 200 mg daily for a year. The cavitary lesion
graduallydisappeared and the nodules decreased in size. A follow-up CT 1 year
later wasnormal. Although rare, cryptococcosis of the lungs with pulmonary
cavitation canoccur in otherwise healthy patients, requiring long term treatment
to improve.
PMID- 29644838
TI - DERMAL MYIASIS CAUSED BY THE FLESH FLY, PARASARCOPHAGA (LIOSARCOPHAGA) DUX
(THOMSON, 1869) (DIPTERA: SARCOPHAGIDAE) AT THE SITE OF A MALIGNANT MELANOMA: A
CASE REPORT.
AB - Dermal myiasis due to the flesh fly has not been commonly reportedin Thailand. A
64-year-old man came to the hospital with a 6-month history of ablack tumor on
the dorsum of the right great toe. The tumor was biopsied anddetermined to be a
malignant melanoma. Second instar larvae were also seen inthe wound and one larva
was reared until the adult stage wherein it was identifiedas the flesh fly,
Parasarcophaga (Liosarcophaga) dux (Thomson, 1869) (Diptera:Sarcophagidae). This
case shows myiasis due to synanthropic sarcophagid fliesoccurs in Thailand and
can occur in a malignant melanoma.
PMID- 29644839
TI - FATAL HONEY POISONING IN SOUTHWEST CHINA: A CASE SERIES OF 31 CASES.
AB - Honey poisoning cases occur in southwestern China. In this case series,we
attempted to determine the symptoms and causes of honey poisoning from2007 to
2012 in southwestern China. We also conducted a quantitative
melissopalynologicalanalysis of honey samples. During the study period, 31
honeypoisoning cases occurred in the study location, all during July to August.
All thecases occurred after consuming at least 100 grams of honey. The most
frequentsymptoms were nausea and vomiting (100%), abdominal pain (90.3%),
diarrhea(74.2%), palpitations (61.3%), dizziness (54.8%), chest congestion
(48.4%) anddyspnea (48.4%). Severe cases developed oliguria/anuria, twitch,
hematuria, ecchymosisor hematochezia. The median time from ingestion to onset of
symptomswas 29 hours. Eight patients died (mortality rate: 25.8%). The pollen of
Tripterygiumhypoglaucum (a plant with poisonous nectar and pollen) was detected
in 22 of 29honey samples examined (75.9%). The results of pollen analysis were
consistentwith the clinical findings of previous cases. T. hypoglaucum appears to
be the causeof honey poisoning in southwestern China. Honey poisoning should be
includedin the differential diagnosis of patients who consume honey in this
region anddevelop symptoms of food poisoning.
PMID- 29644840
TI - AN OUTBREAK OF TETRODOTOXIN POISONING FROM CONSUMING HORSESHOE CRABS IN SABAH.
AB - The horseshoe crab (Carcinoscorpius rotundicauda) is consumed by thoseresiding
near the coastal areas of Kota Marudu District in Malaysia, as it is considereda
delicacy. During June to August, 2011 thirty cases of tetrodotoxin poisoningwere
reported from Kota Marudu District following ingestion of horseshoecrabs caught
in Kota Marudu Bay. The purpose of this study is to describe thiscase series in
order to determine risk factors to prevent further outbreaks. Therewere six
confirmed and 24 probable cases of tetrodotoxin poisoning identified inthe study
area during the study period as diagnosed by clinical presentation andlaboratory
findings. Symptoms included dizziness (80%), circumoral and lingualnumbness
(80%), hand and feet numbness (63.3%), nausea and vomiting (30%)and weakness and
difficulty in breathing (26.6%). Three cases (10%) died while 27cases recovered.
Forty-seven percent of the cases had onset of symptoms within30 minutes of
ingestion and 14% 31-60 minutes after ingestion of horseshoe crabmeat. Urine
samples were collected from the cases, while horseshoe crabs, cocklesand sea
water from the epidemic area were also taken for analysis. Tetrodotoxinwas
detected in the urine of six cases; the highest concentrations recorded wereamong
the three cases who died. High tetrodotoxin concentrations were foundin the
hepatic cecum and eggs of the tested horseshoe crabs. Dinoflagellates werenot
detected in the sea water or cockle samples. Intensive health education
wasinitiated quickly to stop other members of the Marudu Bay community
fromconsuming the horseshoe crabs. This is the first documented epidemic of
tetrodotoxinpoisoning in Sabah.
PMID- 29644841
TI - HEALTHCARE-ASSOCIATED INFECTIONS AT SELECTED HOSPITALS IN THAILAND.
AB - This study aimed to determine the prevalence of healthcare-associatedinfections
(HAIs), all-cause mortality, document the bacterial pathogens isolated inHAIs,
and determine the risk factors associated with HAIs and all-cause mortalityat
selected hospitals in Thailand. A survey with a total time frame of 10 days
wasconducted at selected 50 hospitals across Thailand during January 2014: 19
primarygovernment hospitals, 15 secondary government hospitals, 13 tertiary
governmenthospitals, 2 private hospitals and 1 government university hospital. Of
15,475 casesreviewed, 688 patients had 791 HAIs (1.1 HAI per infected patient).
The rate ofHAI was 4.4% (95%CI: 4.1-4.8): 7.3% (95%CI: 4.6-9.3) at the university
hospitalsurveyed, 5.0% (95%CI: 4.6-5.4) at the tertiary hospitals surveyed, 3.9%
(95%CI:3.4-4.6) at the secondary hospitals surveyed, 2.0% (95%CI: 1.3-2.7) at the
primaryhospitals surveyed, and 1.6% (95%CI: 0.5-2.8) at the private hospitals
surveyed.The ward with the frequent number of HAI was the intensive care unit
(17%). Thetwo most commonly affected age ranges were those aged >60 years and <1
year.Of the 791 HAIs found in this survey, the 3 most frequently reported types
of HAIwere: respiratory tract infections (n=377, 48%), urinary tract infections
(n=176,22%) and surgical site infections (n=55, 7%). Of the 688 patients with a
HAI, 24%died within three months of this survey. The most frequently reported
bacterialpathogen was Acinetobacter species (17%). On multivariate analysis, HAIs
weresignificantly associated with patient age <1 year, a university hospital,
havingmajor surgery, urinary catheterization, being on a respiratory ventilator,
havinga tracheostomy, and having central venous catheterization (p <0.05). Death
wasassociated with patient age <1 year, a university hospital, being on a
surgicalor medical ward, being on a ventilator, and having a central venous
catheter (p<0.05). HAIs are major public health problems in the studied hospitals
and resultin substantial mortality.
PMID- 29644842
TI - ITO-TiN-ITO Sandwiches for Near-Infrared Plasmonic Materials.
AB - Indium tin oxide (ITO)-based sandwich structures with the insertion of ultrathin
(<10 nm) titanium nitride (TiN) are investigated as near-infrared (NIR) plasmonic
materials. The structural, electrical, and optical properties reveal the
improvement of the sandwich structures stemmed from TiN insertion. TiN is a well
established alternative to noble metals such as gold, elevating the electron
conductivity of sandwich structures as its thickness increases. Dielectric
permittivities of TiN and top ITO layers show TiN-thickness-dependent properties,
which lead to moderate and tunable effective permittivities for the sandwiches.
The surface plasmon polaritons (SPP) of the ITO-TiN-ITO sandwich at the
telecommunication window (1480-1570 nm) are activated by prism coupling using
Kretschmann configuration. Compared with pure ITO films or sandwiches with metal
insertion, the reflectivity dip for sandwiches with TiN is relatively deeper and
wider, indicating the enhanced coupling ability in plasmonic materials for
telecommunications. The SPP spatial profile, penetration depth, and degree of
confinement, as well as the quality factors, demonstrate the applicability of
such sandwiches for NIR plasmonic materials in various devices.
PMID- 29644843
TI - Noncovalently Assembled Electroconductive Hydrogel.
AB - Cross-linking biomolecules with electroconductive nanostructures through
noncovalent interactions can result in modular networks with defined biological
functions and physical properties such as electric conductivity and
viscoelasticity. Moreover, the resulting matrices can exhibit interesting
features caused by the dynamic assembly process, such as self-healing and
molecular ordering. In this paper, we present a physical hydrogel system formed
by mixing peptide-polyethylene glycol and poly(3,4
ethylenedioxythiophene):polystyrene sulfonate. This combinatorial approach, which
uses different modular building blocks, could lead to high tunability on aspects
of rheology and electrical impedance. The proposed physical hydrogel system is
characterized by both a self-healing ability and injectability. Interestingly,
the formation of hydrogels at relatively low concentrations led to a network of
closer molecular packing of poly(3,4-ethylenedioxythiophene) nanoparticles,
reflected by the enhanced conductivity. The biopolymer system can be used to
develop three-dimensional cell cultures with incorporated electric stimuli, as
evidenced by its contribution to the survival and proliferation of encapsulated
mesenchymal stromal cells and their differentiation upon electrical stimulation.
PMID- 29644844
TI - Iterative Synthetic Strategy for Azaphenalene Alkaloids. Total Synthesis of (-)
9a epi-Hippocasine.
AB - A new strategy for the stereoselective synthesis of alkaloids with perhydro-9b
azaphenalene skeleton has been developed. The starting material is the
substituted glutarimide derivative 1, readily available in either enantiomeric
form through the palladium-catalyzed asymmetric allylic alkylation of
glutarimide. The strategy relies on an iterative methodology encompassing two
nucleophilic allylations and two ring closing metathesis processes. The approach
has been used in the first synthesis of (-)-9a- epi-hippocasine.
PMID- 29644845
TI - Robust Hydrogels from Lanthanide Nucleotide Coordination with Evolving
Nanostructures for a Highly Stable Protein Encapsulation.
AB - Metal coordination with organic ligands often produce crystalline metal-organic
frameworks and sometimes amorphous nanoparticles. In this work, we explore a
different type of material from the same chemistry: hydrogels. Lanthanides are
chosen as the metal component because of their important technological
applications and continuously tunable properties. Adenosine monophosphate (AMP)
and lanthanides form two types of coordination materials: the lighter lanthanides
from La3+ to Tb3+ form nanoparticles, whereas the rest heavier ones initially
form nanoparticles but later spontaneously transform to hydrogels. This slow sol
to-gel transition is accompanied by heat release, as indicated by isothermal
titration calorimetry. The transition is also accompanied by a morphology change
from nanoparticles to nanofibers, as indicated by transmission electron
microscopy. These gels are insensitive to ionic strength or temperature with
excellent stability. Gelation is unique to AMP because other nucleotides or other
adenine derivatives only yield nanoparticles or soluble products. Entrapment of
guest molecules such as glucose oxidase is also explored, where the hydrogels
allow a better enzyme activity and stability compared to nanoparticles. Further
applications of lanthanide coordinated hydrogels might include biosensors,
imaging agents, and drug delivery.
PMID- 29644846
TI - Site-Selective Benzannulation of N-Heterocycles in Bidentate Ligands Leads to
Blue-Shifted Emission from [( P^N)Cu]2(MU-X)2 Dimers.
AB - Benzannulated bidentate pyridine/phosphine ( P^N) ligands bearing quinoline or
phenanthridine (3,4-benzoquinoline) units have been prepared, along with their
halide-bridged, dimeric Cu(I) complexes of the form [( P^N)Cu]2(MU-X)2. The
copper complexes are phosphorescent in the orange-red region of the spectrum in
the solid-state under ambient conditions. Structural characterization in solution
and the solid-state reveals a flexible conformational landscape, with both
diamond-like and butterfly motifs available to the Cu2X2 cores. Comparing the
photophysical properties of complexes of (quinolinyl)phosphine ligands with those
of pi-extended (phenanthridinyl)phosphines has revealed a counterintuitive impact
of site-selective benzannulation. Contrary to conventional assumptions regarding
pi-extension and a bathochromic shift in the lowest energy absorption maxima, a
blue shift of nearly 40 nm in the emission wavelength is observed for the
complexes with larger ligand pi-systems, which is assigned as phosphorescence on
the basis of emission energies and lifetimes. Comparison of the ground-state and
triplet excited state structures optimized from DFT and TD-DFT calculations
allows attribution of this effect to a greater rigidity for the benzannulated
complexes resulting in a higher energy emissive triplet state, rather than
significant perturbation of orbital energies. This study reveals that ligand
structure can impact photophysical properties for emissive molecules by
influencing their structural rigidity, in addition to their electronic structure.
PMID- 29644847
TI - Preparation of Microkernel-Based Mesoporous (SiO2-CdTe-SiO2)@SiO2 Fluorescent
Nanoparticles for Imaging Screening and Enrichment of Heat Shock Protein 90
Inhibitors from Tripterygium Wilfordii.
AB - The currently utilized ligand fishing for bioactive molecular screening from
complex matrixes cannot perform imaging screening. Here, we developed a new solid
phase ligand fishing coupled with an in situ imaging protocol for the specific
enrichment and identification of heat shock protein 90 (Hsp 90) inhibitors from
Tripterygium wilfordii, utilizing a multiple-layer and microkernel-based
mesoporous nanostructure composed of a protective silica coating CdTe quantum dot
(QD) core and a mesoporous silica shell, i.e., microkernel-based mesoporous (SiO2
CdTe-SiO2)@SiO2 fluorescent nanoparticles (MMFNPs) as extracting carries and
fluorescent probes. The prepared MMFNPs showed a highly uniform spherical
morphology, retention of fluorescence emission, and great chemical stability. The
fished ligands by Hsp 90alpha-MMFNPs were evaluated via the preliminary
bioactivity based on real-time cellular morphology imaging by confocal laser
scanning microscopy (CLSM) and then identified by mass spectrometry (MS).
Celastrol was successfully isolated as an Hsp 90 inhibitor, and two other
specific components screened by Hsp 90alpha-MMFNPs, i.e., demecolcine and
wilforine, were preliminarily identified as potential Hsp 90 inhibitors through
the verification of strong affinity to Hsp 90 and antitumor bioactivity. The
approach based on the MMFNPs provides a strong platform for imaging screening and
discovery of plant-derived biologically active molecules with high efficiency and
selectivity.
PMID- 29644848
TI - Preparation of N-Graphdiyne Nanosheets at Liquid/Liquid Interface for
Photocatalytic NADH Regeneration.
AB - Two-dimensional (2D) N-graphdiyne (N-GDY) nanosheets containing different number
of N were synthesized by polymerization of triazine, pyrazine, and pyridine-based
monomers at liquid/liquid interface. The configurations and nanostructures of N
GDY were well-characterized. The wettability changed to more hydrophilic as the N
contents increased. The collected N-GDY was further employed as metal-free
photocatalyst for NADH regeneration. The catalytic performance was related with
the N content in the graphdiyne. The N3-GDY demonstrated the best activity. This
strategy provided a new promising platform of designing unique 2D N-GDY with
tunable performance in biorelated catalysis.
PMID- 29644849
TI - Concurrent Cooperative J-Aggregates and Anticooperative H-Aggregates.
AB - Completely understanding the working mechanisms of sophisticated supramolecular
self-assembly exhibiting competing paths is very important for chemists en route
to acquiring the ability of constructing supramolecular systems with controlled
structures and designed functions. Here, the self-aggregation behaviors of an N
heterocyclic aromatic dicarboximide molecule 1, boasting two competing paths that
give rise to different supramolecular structures and exhibit distinct
thermodynamic features, are carefully examined. First, a group of H-aggregates
are observed when providing a medium driving force for aromatic stacking, and
their formation is manifested as an anticooperative process. When exposed to
enhanced strength of aromatic interactions, these H-aggregates are found to
transform into J-aggregates via a cooperative assembly mechanism. With the
assistance of a mathematic model accommodating two competing polymerization
pathways, calculations are conducted to simulate and explain the thermodynamic
equilibria of such a unique supramolecular system. The calculation results are
highly consistent with the experimental observations, and some important
properties are elucidated. Specifically, the anticooperative assembly mechanism
generally promotes the formation of low to medium oligomers, whereas the
cooperative path is more competent at producing high polymers. If the
anticooperative and cooperative routes coexist and compete for the same molecule,
the cooperative formations of high polymers are significantly suppressed unless a
very high degree of polymerization can be achieved. Such a unique feature of
concurring anticooperative and cooperative paths emerges to the H- and J
aggregates of molecule 1 and thus brings about the interesting sequential
appearances of the two types of aggregates under conditions of continuously
enlarged driving force for self-aggregation. Finally, based on the knowledge
acquired from this study and by analyzing the steric features of 1 that influence
its supramolecular packing motifs, a slightly modified molecular structure is
designed, with which the intermediate H-aggregation state was successfully
suppressed, and a single cooperative J-aggregation path is manifested.
PMID- 29644850
TI - The Role of Phase Separation in Heterochromatin Formation, Function, and
Regulation.
AB - In eukaryotic cells, structures called heterochromatin play critical roles in
nuclear processes ranging from gene repression to chromosome segregation.
Biochemical and in vivo studies over the past several decades have implied that
the diverse functions of heterochromatin rely on the ability of these structures
to spread across large regions of the genome, to compact the underlying DNA, and
to recruit different types of activities. Recent observations have suggested that
heterochromatin may possess liquid droplet-like properties. Here, we discuss how
these observations provide a new perspective on the mechanisms for the assembly,
regulation, and functions of heterochromatin.
PMID- 29644851
TI - Formal Total Synthesis of Actinoranone: Synthesis Approaches and Cytotoxic
Studies.
AB - This article describes our efforts toward the total synthesis of actinoranone.
Our synthesis strategies rely on a convergent route to connect the terpenoid and
polyketide fragments, employing catalysis and powerful classical reactions for
the assembly of these key fragments. A new transformation was disclosed during
this work, a domino ring-opening and esterification. Initial cytotoxic studies
for the selected synthesis intermediates are also presented.
PMID- 29644852
TI - Improving the Organoleptic Properties of a Craft Mezcal Beverage by Increasing
Fatty Acid Ethyl Ester Contents through ATF1 Expression in an Engineered
Kluyveromyces marxianus UMPe-1 Yeast.
AB - Mezcal, a traditional beverage that originated in Mexico, is produced from
species of the Agavaceae family. The esters associated with the yeasts utilized
during fermentation are important for improving the organoleptic properties of
the beverage. We improved the ester contents in a mezcal beverage by using the
yeast Kluyveromyces marxianus, which was engineered with the ATF1 gene. ATF1
expression in the recombinant yeast significantly increased compared with that in
the parental yeast, but its fermentative parameters were unchanged. Volatile
organic-compound-content analysis showed that esters had significantly increased
in the mezcal produced with the engineered yeast. In a sensory-panel test, 48% of
the panelists preferred the mezcal produced from the engineered yeast, 30%
preferred the mezcal produced from the wild type, and 15 and 7% preferred the two
mezcal types produced following the routine procedure. Correlation analysis
showed that the fruitiness/sweetness description of the mezcal produced using the
ATF1-engineered K. marxianus yeast correlated with the content of the esters,
whose presence improved the organoleptic properties of the craft mezcal beverage.
PMID- 29644853
TI - Site-Specific Functionalization of 1,3-Dioxolane with Imines: A Radical Chain
Approach to Masked alpha-Amino Aldehydes.
AB - A thiol-promoted site-specific addition of 1,3-dioxolane to imines through a
radical chain process is described. This process represents a metal-free and
redox-neutral way to convert inexpensive materials to a broad range of protected
alpha-amino aldehydes in good to excellent yields using only a catalytic amount
of radical precursor. Control experiments revealed that both the thiol and a
small amount of oxygen from air are indispensable to the success of this
reaction.
PMID- 29644854
TI - Well-Dispersed Ruthenium in Mesoporous Crystal TiO2 as an Advanced
Electrocatalyst for Hydrogen Evolution Reaction.
AB - TiO2 mesoporous crystal has been prepared by one-step corroding process via an
oriented attachment (OA) mechanism with SrTiO3 as precursor. High resolution
transmission electron microscopy (HRTEM) and nitrogen adsorption-desorption
isotherms confirm its mesoporous crystal structure. Well-dispersed ruthenium (Ru)
in the mesoporous nanocrystal TiO2 can be attained by the same process using Ru
doped precursor SrTi1- xRu xO3. Ru is doped into lattice of TiO2, which is
identified by HRTEM and super energy dispersive spectrometer (super-EDS)
elemental mapping. X-ray photoelectron spectroscopy (XPS) and electron
paramagnetic resonance spectroscopy (EPR) suggest the pentavalent Ru but not
tetravalent, while partial Ti in TiO2 accept an electron from Ru and become Ti3+,
which is observed for the first time. This Ru-doped TiO2 performs high activity
for electrocatalytic hydrogen evolution reaction (HER) in alkaline solution.
First-principles calculations simulate the HER process and prove TiO2:Ru with
Ru5+ and Ti3+ holds high HER activity with appropriate hydrogen-adsorption Gibbs
free energies (Delta GH).
PMID- 29644856
TI - Photoinduced Reactions of Benzophenone in Biaxially Oriented Polypropylene.
AB - The photoinduced reactions of benzophenone (B) in biaxially oriented
polypropylene (BOPP) were studied with nanosecond laser photolysis (N2 laser,
lambda337.1 nm). The first observed transient was a triplet state 3B*. Decay of
3B* led to formation of a radical pair (RP) of BH* and R*, where R* is a radical
formed by hydrogen abstraction from BOPP (RH) by 3B*. We studied BOPP after the
preheating for a short time in a temperature range 298-423 K, which is
essentially lower than its melting point of 453 K. All measurements with not
heated and with preheated (annealed) BOPP were made at 298 K. A radical pair (RP)
apparently decays as a contact pair 3[BH*, R*] in nonheated BOPP. A critical
phenomenon takes place: dissociation of RP with a formation of free radicals in
the polymer bulk is observed at preheating temperature Tcrit ~ 403 K and at a
higher T. The physical process of heating and cooling of BOPP apparently resulted
in the restructuring of crystallites, their agglomeration, shrinking of the
distribution of crystallites according to their sizes in BOPP. Overall BOPP
becomes softer which manifests itself in the radical kinetics. The decay kinetics
of 3B* and RP in the cage fits well the first-order law. Rate constants were
obtained. Radicals BH*, which exit into the polymer bulk at temperatures of
preheating T >= 403 K, decay by cross-termination according to the second-order
law. A relatively high rate constant ~108 M-1.s-1 for this reaction was obtained
due to diffusion of BH* enclosed in the soft amorphous phase of BOPP. Properties
of BOPP containing B were studied with ESR, DSC, IR, and WAXD.
PMID- 29644855
TI - Porphyrin-Oligopyridine Triads: Synthesis and Optical Properties.
AB - The synthesis of two triads with two porphyrinyl units linked by oligopyridine
derivatives and a new beta-functionalized porphyrin-dihydroazepine is described.
One of the porphyrin-oligopyridine triads has a quinquepyridine unit connecting
the porphyrins beta-pyrrolic positions, while the other one has an asymmetric
quaterpyridine with one of the pyridines fused to the porphyrin. All compounds
have fluorescence emission quantum yields in the range of meso
tetraphenylporphyrin (16-22%).
PMID- 29644857
TI - Catalytic Phosphite Hydrolysis under Neutral Reaction Conditions.
AB - Cationic phosphametallocene-based platinum(II) aqua complexes were used as
efficient precatalysts for the hydrolysis of aromatic and aliphatic tertiary
phosphites under neutral reaction conditions at room temperature, leading to the
selective cleavage of one P-O bond of the phosphite. NMR labeling experiments
combined with stoichiometric model reactions and theoretical density functional
theory calculations, performed with the appropriate model compounds, shed light
on the operative catalytic cycle, which comprises intramolecular water molecule
transfer to the cis-coordinated phosphite molecule.
PMID- 29644858
TI - Importance of Molecular Meshing for the Stabilization of Solvophobic Assemblies.
AB - The effect of the methyl groups in neutral gear-shaped amphiphiles (GSAs) on the
stability of nanocubes was investigated using a novel C2 v-symmetric GSA, which
was synthesized using selective alternate trilithiation of a pentabrominated
hexaphenylbenzene derivative. The lack of only one methyl group in the GSA
decreased the association constant for the assembly of the nanocube by 3 orders
of magnitude. A surface analysis recently developed by the authors (SAVPR:
surface analysis with varying probe radii) was carried out for characteristic
isomers of the nanocube consisting of C2 v-symmetric GSAs. It was found that the
methyl groups near the equator of the nanocube play a significant role in the
stabilization of the nanocubes.
PMID- 29644859
TI - The Application of 2-Benzyl-1,4-naphthoquinones as Pronucleophiles in
Aminocatalytic Synthesis of Tricyclic Derivatives.
AB - This study demonstrates an unprecedented reactivity of 2-substituted-1,4
naphthoquinones. By applying the principle of vinylogy, they have been employed
as vinylogous pronucleophiles in the organocatalytic cascade reaction for the
first time. This novel catalytic activation of 1,4-naphthoquinones enables access
to carboannulated naphthalen-1(4 H)-one derivatives of biological importance. The
site-selectivity and stereoselectivity of a process proved possible to control by
the proper choice of reaction conditions.
PMID- 29644860
TI - Up-Regulation of T-Cell Activation MicroRNAs in Drug-Specific CD4+ T-Cells from
Hypersensitive Patients.
AB - Dysregulation in the expression of microRNAs (miRNAs), single-stranded RNAs which
regulate gene expression, has been associated with diseases such as Stevens
Johnson syndrome (SJS)/toxic epidermal necrolysis (TEN), although their cellular
origin has not been explored. Thus, the focus of this work was to study
expression patterns of reported miRNAs involved in T-cell activation following
drug-specific stimulation in peripheral blood mononuclear cells (PBMCs) and drug
specific CD4+ T-cell clones (TCC) from patients with different cutaneous
manifestations of delayed-type drug hypersensitivity reactions. CD4+ T-cells from
hypersensitive patients were stimulated to proliferate, secreted cytokines (IFN
gamma and IL-22), cytolytic molecules (Granzyme B) and up-regulate miRNAs 24 to
48 h after drug exposure. Carbamazepine-specific CD4+ T-cells that proliferated
to the greatest extent and secreted the highest levels of IFN-gamma showed an up
regulation of miR-18a and miR-155. In contrast, piperacillin-specific CD4+ T
cells displaying high expression of miR-9 and miR-21 showed an association with
the extent of proliferation, but not IFN-gamma secretion. MiR-155 up-regulation
was detected in PBMCs from all hypersensitive patients 24 h after drug treatment,
while miR-18a and miR-21 expression was up-regulated after 48 h. These findings
demonstrate that miRNAs are expressed during drug-specific CD4+ T-cell activation
and shows a new regulation path for drug hypersensitivity reactions.
PMID- 29644861
TI - Cyclic-Voltammetry-Based Solid-State Gas Sensor for Methane and Other VOC
Detection.
AB - We present the fabrication, characterization, and testing of an electrochemical
volatile organic compound (VOC) sensor operating in gaseous conditions at room
temperature. It is designed to be microfabricated and to prove the sensing
principle based on cyclic voltammetry (CV). It is composed of a working electrode
(WE), a counter electrode (CE), a reference electrode (RE), and a Nafion solid
state electrolyte. Nafion is a polymer that conducts protons (H+) generated from
redox reactions from the WE to the CE. The sensor needs to be activated prior to
exposure to gases, which consists of hydrating the Nafion layer to enable its ion
conduction properties. During testing, we have shown that our sensor is not only
capable of detecting methane, but it can also quantify its concentration in the
gas flow as well as differentiate its signal from carbon monoxide (CO). These
results have been confirmed by exposing the sensor to two different
concentrations of methane (50% and 10% of methane diluted in N2), as well as pure
CO. Although the signal is positioned in the Hads region of Pt, because of
thermodynamic reasons it cannot be directly attributed to methane oxidation into
CO2. However, its consistency suggests the presence of a methane-related
oxidation process that can be used for detection, identification, and
quantification purposes.
PMID- 29644862
TI - Direct ortho-Selective Amination of 2-Naphthol and Its Analogues with Hydrazines.
AB - Described herein is a regioselective ortho-amination of 2-naphthol and its
analogues with substituted hydrazines. It provides a direct methodology for the
synthesis of N-arylaminated naphthol derivatives without the formation of related
1,1'-biaryl-2,2'-diamine or carbazole byproducts. Specifically, using N, N
disubstituted hydrazine precursors, N-unsubstituted ortho-aminated derivatives
and related secondary amines can be formed in ethylene glycol in moderate to
excellent yields. Variation of substrates to N, N'-diarylhydrazines and N-methyl-
N, N'-diarylhydrazines led to N-aryl-1-amino-2-naphthol compounds. It is noted
that biologically interesting indazole motifs can be facilely created by the
reaction of N, N'-dialkylhydrazines with 2-naphthols. These ortho-amination
reactions have the advantage of one-pot operation without the use of transition
metal catalysts.
PMID- 29644863
TI - Reversible Cation-Selective Attachment and Self-Assembly of Human Tau on
Supported Brain Lipid Membranes.
AB - Misfolding and aggregation of the neuronal, microtubule-associated protein tau is
involved in the pathogenesis of Alzheimer's disease and tauopathies. It has been
proposed that neuronal membranes could play a role in tau release,
internalization, and aggregation and that tau aggregates could exert toxicity via
membrane permeabilization. Whether and how tau interacts with lipid membranes
remains a matter of discussion. Here, we characterize the interaction of full
length human tau (htau40) with supported lipid membranes (SLMs) made from brain
total lipid extract by time-lapse high-resolution atomic force microscopy (AFM).
We observe that tau attaches to brain lipid membranes where it self-assembles in
a cation-dependent manner. Sodium triggers the attachment, self-assembly, and
growth, whereas potassium inhibits these processes. Moreover, tau assemblies are
stable in the presence of sodium and lithium but disassemble in the presence of
potassium and rubidium. Whereas the pseudorepeat domains (R1-R4) of htau40
promote the sodium-dependent attachment to the membrane and stabilize the tau
assemblies, the N-terminal region promotes tau self-assembly and growth.
PMID- 29644864
TI - Hot Biexciton Effect on Optical Gain in CsPbI3 Perovskite Nanocrystals.
AB - Combining the superior optical properties of their bulk counterparts with quantum
confinement effects, lead halide perovskite nanocrystals are unique laser
materials with low-threshold optical gain. In such nonlinear optical regimes,
multiple excitons are generated in the nanocrystals and strongly affect the
optical gain through many-body interactions. Here, we investigate the exciton
exciton interactions in CsPbI3 nanocrystals by femtosecond transient absorption
spectroscopy. From the analysis of the induced absorption signal observed
immediately after the pump excitation, we estimated the binding energy for the
hot biexcitons that are composed of an exciton at the band edge and a hot exciton
generated by the pump pulse. We found that the exciton-exciton interaction
becomes stronger for hot excitons with greater excess energies and that the
optical gain can be controlled by changing the excess energy of the hot excitons.
PMID- 29644865
TI - Thermal-Induced Dielectric Switching with 40K Wide Hysteresis Loop Near Room
Temperature.
AB - A thermal-induced dielectric switching has been realized in two ion-pair crystal
[C2H6N5]+.[H2PO4]- (1, C2H6N5 = 3,5-diamino-1,2,4-triazolinium) through single
crystal-to-single-crystal phase transition (SCSC-PT). Upon cooling from room
temperature, the 1D cation stripes that are composed of [C2H5N5]+ cations have
undergone a 90 degrees sharp rotation around the c axis, accompanied by the
transition of crystal stacking from loose unparallel (dynamic state) to
compression parallel (static state) and reorientation of dipoles on the [C2H5N5]+
cation, which thus resulted in high dielectric state to low dielectric state
transformation. While on the warming run, the reverse process was rather
sluggish, resulting in a reversible dielectric switching with ultralarge (about
40K wide) hysteresis loop near room temperature. It is thought that the large
sized polar cation stripes have a predominant influence on the switching
properties of 1.
PMID- 29644866
TI - Diagnostic Accuracy of Symptoms, Endoscopy, and Imaging Signs of Chronic
Rhinosinusitis Without Nasal Polyps Compared to Allergic Rhinitis.
AB - Objectives The diagnosis of chronic rhinosinusitis without nasal polyps (CRSsNP)
and distinguishing it from allergic rhinitis is difficult. Yet, early detection
of CRSsNP is important to prevent progressive and severe chronic rhinosinusitis.
Our aim was to compare diagnostic accuracy of symptoms, endoscopy, and imaging
signs of CRSsNP and allergic rhinitis -only phenotypes. Setting Prospective
controlled follow-up study. Participants Forty-two nonsmoking patients visiting
tertiary care due to CRSsNP and 19 nonsmoking volunteer controls with allergic
rhinitis filled a symptoms questionnaire and underwent nasal endoscopy off
seasonally. All CRSsNP patients underwent computed tomography scans of paranasal
sinuses. All the allergic rhinitis control subjects and 14 of the CRSsNP patients
underwent sinus magnetic resonance imaging. Results Radiologic Lund-Mackay score,
duration of symptoms, visual analogue scale scores of symptoms, and Sinonasal
Outcome Test 22 were significantly higher in the CRSsNP group compared to
allergic rhinitis control group. These factors also correlated in part with each
other. Endoscopic score did not correlate with other factors, nor did it differ
between CRSsNP and allergic rhinitis groups. The highest area under curve value
was demonstrated for visual analogue scale score of facial pain/pressure (0.93)
and score >=4/10 showed 60% sensitivity and 95% specificity for detecting CRSsNP
group ( P < .001). Radiologic sign of obstructed osteomeatal complex showed 100%
specificity and 38% sensitivity for detecting CRSsNP group ( P < .001).
Conclusions CRSsNP phenotype could be primarily distinguished from allergic
rhinitis by higher facial pain/pressure score and secondarily by radiologic sings
of obstructed ostiomeatal complex and higher Lund-Mackay score. Endoscopic score
has limited value in distinguishing CRSsNP from allergic rhinitis.
PMID- 29644867
TI - Ultrasonically controlled estrone-modified liposomes for estrogen-positive breast
cancer therapy.
AB - A new modality of drug targeting to tumors has been proposed. The ligand-mediated
approach, that already increases the therapeutic index of the drug, can still be
optimized by the encapsulation of the drug into sonosensitive nanoparticles. In
this work, an endogenous ligand, estrone, was used to synthesize doxorubicin
encapsulating liposomes for estrogen receptor (ER)-positive breast cancer therapy
with cyanuric chloride (2,4,6 trichloro-1,3,5 triazine) being used as a linking
molecule to attach 3-OH group of estrone to the surface of liposomes. Then, drug
release from liposomes was studied using ultrasound waves as a triggering
mechanism with different frequencies and power densities. In addition, drug
uptake by two cell lines ER-positive (MCF-7) and ER-negative (MDA-MB-231) was
assessed, with the former cell line being examined later to study the synergetic
effect of the receptor mediator targeting and ultrasound trigger. The sizes of
the liposomes loaded with calcein (as a doxorubicin model drug) were determined
by dynamic light scattering, and they were characterized as large unilamellar
vesicles (LUVs). The release from the prepared liposomes triggered by ultrasound
(US) waves at low frequency (20 kHz) and high frequency (1.07 and 3.24 MHz), at
several power densities, was determined by monitoring the changes in calcein
fluorescence, using a spectrofluorometer. Increasing power densities showed a
significant effect on release at high frequencies and during the first two US
pulses at low frequency. The echogenicity of the liposomes was proven and
characterized at different power densities and frequencies. To confirm the
viability of the carrier as a doxorubicin carrier, doxorubicin-encapsulating
liposomes were prepared using the ammonium sulfate transmembrane gradient method.
The liposomes were LUVs and were US-sensitive, exhibiting similar behavior to
calcein-encapsulating liposomes. The calcein uptake by an ER + cell line (MCF-7)
was compared with the uptake by an ER-cell line (MDA-MB-231). The MCF-7 uptake
was significantly higher than the MDA-MB-231 uptake, which proved the targeting
potential of estrone-conjugated liposomes. The exposure to low-frequency
ultrasound (LFUS) revealed a statistically significant uptake of calcein compared
to uptake without ultrasound. The described drug delivery (DD) system, comprising
a new echogenic liposomal formulation, promises a non-immunogenic and site
specific biomedical approach to ER-positive breast cancer therapy.
PMID- 29644868
TI - CRISPR/Cas9 Inhibits Multiple Steps of HIV-1 Infection.
AB - CRISPR/Cas9 is an adaptive immune system where bacteria and archaea have evolved
to resist the invading viruses and plasmid DNA by creating site-specific double
strand breaks in DNA. This study tested this gene editing system in inhibiting
human immunodeficiency virus type 1 (HIV-1) infection by targeting the viral long
terminal repeat and the gene coding sequences. Strong inhibition of HIV-1
infection by Cas9/gRNA was observed, which resulted not only from insertions and
deletions (indels) that were introduced into viral DNA due to Cas9 cleavage, but
also from the marked decrease in the levels of the late viral DNA products and
the integrated viral DNA. This latter defect might have reflected the degradation
of viral DNA that has not been immediately repaired after Cas9 cleavage. It was
further observed that Cas9, when solely located in the cytoplasm, inhibits HIV-1
as strongly as the nuclear Cas9, except that the cytoplasmic Cas9 does not act on
the integrated HIV-1 DNA and thus cannot be used to excise the latent provirus.
Together, the results suggest that Cas9/gRNA is able to target and edit HIV-1 DNA
both in the cytoplasm and in the nucleus. The inhibitory effect of Cas9 on HIV-1
is attributed to both the indels in viral DNA and the reduction in the levels of
viral DNA.
PMID- 29644869
TI - Pearls and pitfalls in imaging of blunt traumatic thoracic aortic injury: a
pictorial review.
AB - Blunt thoracic aortic injury (TAI) occurs most frequently as a sequelae of high
impact deceleration such as high-velocity road traffic accidents and falls from
height. The burden of mortality and morbidity is high, however advances in pre
hospital care, diagnostic imaging and endovascular therapies have improved
outcomes in this group of patients. Emergent treatment depends on accurate, early
diagnosis by the radiologist. It is therefore of paramount importance that
radiologists are familiar with both the direct (intimal flap, pseudoaneurysm,
aortic contour irregularity and contrast extravasation) and indirect (periaortic
haematoma) imaging findings of TAI. Furthermore, it is critical that technical
(breathing artefact and cardiac motion artefact) as well as anatomical (ductus
diverticulum, aortic spindle and mediastinal structures which imitate periaortic
haematoma) pitfalls are recognised to avoid misdiagnosis. This pictorial review
will help the diagnostic radiologist to recognise the patterns of injury and
imaging features associated with TAI, as well as highlighting potential mimics
when interrogating CTangiography (CTA) in major trauma.
PMID- 29644870
TI - A novel polyphenolic prebiotic and probiotic formulation have synergistic effects
on the gut microbiota influencing Drosophila melanogaster physiology.
AB - The gut microbiota is a vast community of synergistic bacterial species providing
health benefits to the host. Imbalances in the gut microbiota (dysbiosis) due to
diet, antibiotic use, age and stress contribute to disease development including
diabetes, obesity, colon cancer, inflammatory bowel disease, inflammaging and
neurodegeneration. Fortunately, a probiotic regime with a diet rich in prebiotics
may reverse dysbiosis promoting health and wellness in age. The current study
designs, optimizes and tests a novel probiotic and synbiotic formulation
consisting of three metabolically active probiotics Lactobacillus plantarum,
Lactobacillus fermentum and Bifidobacteria infantis together with a novel
polyphenol-rich prebiotic, Triphala. The prebiotic action of Triphala was
characterized using in vitro batch cultures, Drosophila melanogaster and a
simulated model of the human gastrointestinal tract (SHIME) where in each model,
Triphala supported growth of beneficial bacteria while inhibiting pathogenic
species. Neither Triphala at 0.5% w/v nor the individual probiotics at 5.0 * 108
to 7.5 * 109 CFU/ml demonstrated toxicity in Drosophila. Interestingly, motility
was combinatorially enhanced by the probiotic and synbiotic formulations
reflecting the beneficial variations in the gut microbiota. Altogether, the
present study shows that probiotics and synbiotics in combination are more
effective at modulating the gut microbiota and eliciting biological effects than
their components.
PMID- 29644871
TI - Interprofessional Rounds Improve Timing of Appropriate Palliative Care
Consultation on a Hospitalist Service.
AB - Despite known benefits, palliative care (PC) consultation for hospitalized
patients remains underutilized. The objective was to improve frequency and
timeliness of appropriate inpatient PC consultation. On 2 of 11 hospitalist
teams, a PC representative attended discharge rounds twice a week. Control teams'
discharge rounds were unenhanced. Subjects were all patients admitted to a
hospitalist service in a quaternary academic medical center. The primary outcome
was change in provision of PC consultation over time; the secondary outcome was
change in time-to-consult (days). Hospitalists were surveyed regarding the
intervention. The unadjusted proportion of patients receiving PC consultation
increased from 2.7% to 5.2% on the intervention teams. Compared to control teams
over time and adjusting for multiple covariates, the intervention increased PC
consultation (difference-in-difference [DID] = 1.0 percentage-point increase [95%
CI = 0.3%-1.8%]) and decreased time to consult (DID = -5 days [95% CI = -11 to
1]) in patients admitted for noncancer diagnoses. Hospitalists thought the
intervention facilitated effective patient care without increased burden.
PMID- 29644872
TI - Harnessing the Power of Peer Influence to Improve Quality.
PMID- 29644873
TI - Social Media and New Technology: A Primer.
AB - Social media and new media are becoming increasingly important in the lives of
preteens and teens. This article reviews what is currently known about positive
and negative effects of social media, social networking, and internet use and
what safety measures should be considered.
PMID- 29644874
TI - Impact of a "No Mobile Device" Policy on Developmental Surveillance in a
Pediatric Clinic.
AB - Children commonly use mobile devices at pediatric office visits. This practice
may affect patient-provider interaction and undermine accuracy of developmental
surveillance. A randomized, provider-blinded, controlled trial examined whether a
policy prohibiting mobile device use in a pediatric clinic improved accuracy of
pediatricians' developmental surveillance. Children, aged 18 to 36 months, were
randomized to device-prohibited (intervention; n = 58) or device-allowed
(control; n = 54) groups. After a 30-minute well-visit, development was evaluated
as "normal," "borderline," or "delayed" in 5 categories using the Ages and Stages
Questionnaire (ASQ-3). ASQ-3 results were compared with providers' clinical
assessment in each category. Provider-ASQ discrepancies were more common for
intervention participants ( P = .025). Providers "missed" more ASQ-3 "delayed"
scores ( P = .005) in the intervention group, particularly in the fine motor
domain ( P = .018). Prohibiting mobile device use at well-visits did not improve
accuracy of providers' developmental surveillance. Mobile devices may entertain
children at well-visits, allowing opportunities for parent-provider discussion,
or observation of fine motor skills.
PMID- 29644875
TI - A Single Intra-Articular Injection of Gel-200 for Treatment of Symptomatic
Osteoarthritis of the Knee Is More Effective than Phosphate Buffered Saline at 6
Months: A Subgroup Analysis of a Multicenter, Randomized Controlled Trial.
AB - Objective Many clinical trials of viscosupplementation have been conducted,
although only the Gel-200 (primary) trial included a different patient
population. A subgroup analysis of a multicenter, randomized controlled trial
comparing the efficacy of single intra-articular injections of Gel-200 with
phosphate buffered saline (PBS) was performed to demonstrate its benefit as
treatment of osteoarthritis of the knee in a population similar to those of other
reported trials of viscosupplementation. Design The subgroup population was
defined as patients in the intention-to-treat (ITT) population who met the
specified criteria. Changes from baseline in Western Ontario and McMaster
Universities Arthritis Index (WOMAC) scores following treatment with Gel-200 or
PBS were derived from a longitudinal model and treatment differences compared
between groups at weeks 12 and 26, and over 26 weeks. Results The subgroup
included 311 subjects (152 Gel-200; 159 PBS). Mean improvements from baseline in
WOMAC pain subscores in the Gel-200 over PBS groups were statistically
significant at week 12 ( P = 0.031) and week 26 ( P = 0.019). Treatment group
differences in WOMAC stiffness and total scores were statistically significant at
week 26 ( P = 0.023 and P = 0.036, respectively). Conclusions The efficacy of Gel
200 following a single injection for knee osteoarthritis was demonstrated in
WOMAC pain, stiffness, and total scores as well as clinically important
improvements in pain at 26 weeks in this subset of patients with comparable
characteristics to populations evaluated in other viscosupplementation treatment
trials.
PMID- 29644877
TI - Protective effects of folic acid on DNA damage and DNA methylation levels induced
by N-methyl- N'-nitro- N-nitrosoguanidine in Kazakh esophageal epithelial cells.
AB - The protective effects of folic acid on DNA damage and DNA methylation induced by
N-methyl- N'-nitro- N-nitrosoguanidine (MNNG) in Kazakh esophageal epithelial
cells were investigated using a 3 * 3 factorial design trial. The cells were
cultured in vitro and exposed to media containing different concentrations of
folic acid and MNNG, after which growth indices were detected. DNA damage levels
were measured using comet assays, and genome-wide DNA methylation levels (MLs)
were measured using high-performance liquid chromatography. The DNA methylation
of methylenetetrahydrofolate reductase (MTHFR) and folate receptor- alpha (FR
alpha) genes was detected by bisulfite sequencing polymerase chain reaction
(PCR). The results showed significant increases in tail DNA concentration, tail
length, and Olive tail moment ( p < 0.01); a significant reduction of genome-wide
DNA MLs ( p < 0.01); and an increase in the methylation frequencies of MTHFR and
FR alpha genes. In particular, significant differences were observed in the
promoter regions of both genes ( p < 0.01). Our study indicated that a reduction
in folic acid concentration promotes DNA damage and DNA methylation in Kazakh
esophageal epithelial cells upon MNNG exposure. Thus, sufficient folic acid
levels could play a protective role against the damage induced by this compound.
PMID- 29644876
TI - The Effects of Well-Rounded Exercise Program on Systemic Biomarkers Related to
Cartilage Metabolism.
AB - Nonsurgical treatment such as exercise is the preferred method for management of
knee osteoarthritis (OA). A combination of aerobic, muscle strengthening, and
flexibility exercises is recommended for older adults. However, effects of the
exercise intervention on cartilage metabolism remain unclear. This study used
biomarkers to investigate the effects of well-rounded exercise program on
cartilage metabolism in 42 women (mean age: 59 years). Participants started a
weekly supervised exercise program and continued for 12 weeks. Before and after
the program, we measured physical performance on the Timed Up-and-Go Test, 3
Minute Walk Test, and 30-Second Chair Stand Test. We collected serum and urine
samples at the start of the program until 24 weeks and measured the
concentrations of 4 biomarkers related to type II collagen metabolism: serum
cartilage type II procollagen carboxy propeptide (sPIICP), urine C-terminal
telopeptide of collagen type II (uCTX-II), urine cleavage of type II collagen by
collagenases (uC2C), and serum cartilage oligomeric matrix protein (sCOMP).
Participants were divided into pre-OA and OA groups based on X-ray findings. The
pre-OA group showed significant increases and decreases in sPIICP and uCTX-II
concentrations with improved physical performance, respectively. sCOMP
concentrations significantly increased in both groups. The exercise also improved
physical performance with no detrimental effect on type II collagen metabolism in
the OA group. Thus, well-rounded exercise may not only improve physical capacity
but also have beneficial effects on type II collagen metabolism, especially in
people without radiological OA.
PMID- 29644878
TI - Investigation of the protective effects of crocin on acrylamide induced small and
large intestine damage in rats.
AB - We investigated repair of acrylamide (AA) induced damage in intestines by
administration of crocin. We used 40 male Wistar rats in four groups of 10
animals: control, AA, crocin, and AA + crocin groups. We investigated biochemical
and histological changes to small and large intestine. AA ingestion decreased
glutathione (GSH) levels and total antioxidant status (TAS) in the intestine
compared to the control group, while superoxide dismutase (SOD) and catalase
(CAT) activities, and total oxidant status (TOS) and malondialdehyde (MDA) levels
were increased. Villi were shortened and villus degeneration was observed in
ileum of the AA group. Degeneration of surface epithelium and Liberkuhn crypts
were observed in colon sections. GSH and TAS levels increased after
administration of AA together with crocin, while SOD and CAT levels and TOS and
MDA levels decreased; significant recovery of histological damage also was
observed. We found that crocin exhibits protective effects on AA induced small
and large intestine damage by inhibiting oxidative stress.
PMID- 29644879
TI - The executioner's shadow: Coerced sterilization and the creation of "Latin"
eugenics in Chile.
AB - Scholars such as Nancy Leys Stepan, Alexandra Minna Stern, Marius Turda and Aaron
Gillette have all argued that the rejection of coerced sterilization was a
defining feature of "Latin" eugenic theory and practice. These studies highlight
the influence of neo-Lamarckism in this development not only in Latin America but
also in parts of Europe in the first half of the twentieth century. This article
builds upon this historiographical framework to examine an often-neglected site
of Latin American eugenic knowledge production: Chile. By focusing on Chilean
eugenicists' understandings of environment and coerced sterilization, this
article argues that there was no uniquely Latin objection to the practice
initially. In fact, Chilean eugenicists echoed concerns of eugenicists from a
variety of locations, both "mainstream" and Latin, who felt that sterilization
was not the most effective way to ensure the eugenic improvement of national
populations. Instead, the article contends that it was not until the
implementation of the 1933 German racial purity laws, which included coerced
sterilization legislation, that Chilean eugenicists began to define their
objections to the practice as explicitly Latin. Using a variety of medical texts
which appeared in popular periodicals as well as professional journals, this
article reveals the complexity of eugenic thought and practice in Chile in the
early twentieth century.
PMID- 29644880
TI - Effects of mirror therapy on walking ability, balance and lower limb motor
recovery after stroke: a systematic review and meta-analysis of randomized
controlled trials.
AB - OBJECTIVE: To investigate the effects of mirror therapy on walking ability,
balance and lower limb motor recovery in patients with stroke. METHOD: MEDLINE,
EMBASE, Web of Science, CENTRAL, PEDro Database, CNKI, VIP, Wan Fang,
ClinicalTrials.gov, Current controlled trials and Open Grey were searched for
randomized controlled trials that investigated the effects of mirror therapy on
lower limb function through January 2018. The primary outcomes included were
walking speed, mobility and balance function. Secondary outcomes included lower
limb motor recovery, spasticity and range of motion. Quality assessments were
performed with the PEDro scale. RESULTS: A total of 13 studies ( n = 572) met the
inclusion criteria. A meta-analysis demonstrated a significant effect of mirror
therapy on walking speed (mean difference (MD) 0.1 m/s, 95% confidence interval
(CI): 0.08 to 0.12, P < 0.00001), balance function (standard mean difference
(SMD) 0.66, 95% CI: 0.43 to 0.88, P < 0.00001), lower limb motor recovery (SMD
0.83, 95% CI: 0.62 to 1.05, P < 0.00001) and passive range of motion of ankle
dorsiflexion (MD 2.07 degrees , 95% CI: 082 to 3.32, P = 0.001), without
improving mobility (SMD 0.43, 95% CI: -0.12 to 0.98, P = 0.12) or spasticity of
ankle muscles (MD -0.14, 95% CI: -0.43 to 0.15, P = 0.35). CONCLUSION: The
systematic review demonstrates that the use of mirror therapy in addition to some
form of rehabilitation appears promising for some areas of lower limb function,
but there is not enough evidence yet to suggest when and how to approach this
therapy.
PMID- 29644881
TI - Comment on 'Lee Silverman Voice Treatment (LSVT)-BIG to improve motor function in
people with Parkinson's disease: a systematic review and meta-analysis'.
PMID- 29644883
TI - In vitro toxicity assessment of rivaroxaban degradation products and kinetic
evaluation to decay process.
AB - Degradation kinetics of oral anticoagulant rivaroxaban (RIV) was assessed in acid
and alkaline media and while exposed to UVC radiation. Among all stress
conditions tested, kinetic degradation process was better described by a zero
order model. A stability indicating method was validated for the analysis of the
anticoagulant RIV in tablets by high-performance liquid chromatography.
Robustness was evaluated with a two-level Plackett-Burman experimental design.
The effect of acute exposition of the human hepatoblastoma HepG2 cell line to RIV
stressed samples (100 and 500 uM) was assessed through in vitro toxicity tests.
MTT reduction, neutral red uptake, mitochondrial membrane potential, and low
molecular weight DNA diffusion assays were employed for cytotoxicity evaluation
(5*104 cells/well). The genotoxic potential was assessed by comet assay (2*104
cells/well). Acute toxicity to HepG2 cells was assessed after 24 h incubation
with sample solutions, for each test. A direct relationship between the increased
amount of alkaline degradation products and higher cytotoxic potential was found.
Results obtained by viability assay investigations support the concerns on risks
associated with acute toxicity and genotoxicity of pharmaceutical samples
containing degradation products as impurities.
PMID- 29644882
TI - Estrogen-functionalized liposomes grafted with glutathione-responsive sheddable
chotooligosaccharides for the therapy of osteosarcoma.
AB - An estrogen (ES)-functionalized cationic liposomal system was developed and
exploited for targeted delivery to osteosarcoma. Natural biocompatible
chotooligosaccharides (COS, MW2-5 KDa) were covalently tethered to the liposomal
surface through a disulfate bond (-SS-) to confer reduction-responsive COS
detachment, whereas estrogen was grafted via polyethylene glycol (PEG 2 K) chain
to achieve estrogen receptor-targeting. The liposomal carriers were prepared by
the ethanol injection method and fluorescent anticancer drug doxorubicin (DOX)
was loaded with ammonium sulfate gradient. The physicochemical properties,
reduction-sensitivity, and the roles of estrogen on cellular uptake and tumor
targeting were studied. The Chol-SS-COS/ES/DOX liposomes were spherical with an
average size about 110 nm, and high encapsulation efficiency. The liposomes were
stable in physiological condition but rapidly release the payload in response to
tumoral intracellular glutathione (20 mM). MTT cytotoxicity assay confirmed that
Chol-SS-COS/ES/DOX liposomes exhibited higher cytotoxicity to MG63 osteosarcoma
cells than to liver cells (LO2). Flow cytometry (FCM) and confocal laser scanning
microscopy revealed that cellular uptake of Chol-SS-COS/ES/DOX liposomes by MG63,
than the free DOX or Chol-SS-COS/DOX. Ex vivo fluorescence distribution study
showed that the multifunctional liposomes selectively accumulated in the MG63
xenografts versus the organs. Chol-SS-COS/ES/DOX liposomes strongly inhibited the
tumor growth and enhanced the animal survival rate. Overall, the COS grafted
estrogen-functionalized cationic liposomes, fortified with glutathione
responsiveness, showed great potential for specific intracellular drug delivery
to estrogen receptor-expressing tumors such as osteosarcoma.
PMID- 29644884
TI - Prospective Analysis of Surgical Bone Margins After Partial Foot Amputation in
Diabetic Patients Admitted With Moderate to Severe Foot Infections.
AB - BACKGROUND: Osteomyelitis is common in diabetic foot infections and medical
management can lead to poor outcomes. Surgical management involves sending
histopathologic and microbiologic specimens which guides future intervention. We
examined the effect of obtainment of surgical margins in patients undergoing
forefoot amputations to identify patient characteristics associated with
outcomes. Secondary aims included evaluating interobserver reliability of
histopathologic data at both the distal-to and proximal-to surgical bone margin.
METHODS: Data were prospectively collected on 72 individuals and was pooled for
analysis. Standardized method to retrieve intraoperative bone margins was
established. A univariate analysis was performed. Negative outcomes, including
major lower extremity amputation, wound dehiscence, reulceration, reamputation,
or death were recorded. RESULTS: Viable proximal margins were obtained in 63 out
of 72 cases (87.5%). Strong interobserver reliability of histopathology was
recorded. Univariate analysis demonstrated preoperative platelets, albumin, probe
to-bone testing, absolute toe pressures, smaller wound surface area were
associated with obtaining viable margins. Residual osteomyelitis resulted in
readmission 2.6 times more often and more postoperative complications.
CONCLUSIONS: Certain patients were significantly different in the viable margin
group versus dirty margin group. High interobserver reliability was demonstrated.
Obtainment of viable margins resulted in reduced rates of readmission and
negative outcomes. LEVELS OF EVIDENCE: Prognostic, Level I: Prospective.
PMID- 29644885
TI - Short- to Mid-Term Outcomes Following the Use of an Arthroereisis Implant as an
Adjunct for Correction of Flexible, Acquired Flatfoot Deformity in Adults.
AB - BACKGROUND: The use of an arthroereisis implant for the treatment of adolescent
flatfoot deformity has been described. However, data that address the outcomes of
patients treated with an arthroereisis implant in adults are limited. The purpose
of this study was to investigate the radiographic and clinical outcomes and
complications following the use of a subtalar arthroereisis implant as an adjunct
for correction acquired flatfoot deformity secondary to posterior tibial tendon
dysfunction. METHODS: A retrospective case-control study was performed querying
all patients undergoing surgical flatfoot correction between January 1, 2010 and
January 1, 2015. The experimental group included patients undergoing
arthroereisis augmentation at the time of flatfoot correction. Patients
undergoing the same flatfoot correction without the use of an arthroereisis
implant were used as controls. Radiographic measurements were evaluated
preoperatively and at final radiographic follow-up and included talonavicular
(TN) coverage angle, and lateral talar-first metatarsal angle (T1MA). Patient
reported outcomes were assessed using preoperative visual analog scale (VAS) pain
scores and postoperative Short Form-36, VAS, and satisfaction at final orthopedic
follow-up. RESULTS: A total of 15 patients underwent flatfoot correction and were
augmented with an arthroereisis implant and were matched with 30 controls.
Postoperative, mid-term T1MA and regional analysis was found to be improved in
the experimental group versus control. Patients undergoing adjunct subtalar
arthroereisis demonstrated an increased likelihood of achieving radiographically
normal talonavicular coverage <7 degrees compared with our control group at
follow-up. CONCLUSIONS: The adjunct use of an arthroereisis implant resulted in
improved and maintained radiographic and clinical measurements in patients
undergoing stage II flatfoot. CLINICAL SIGNIFICANCE: These results suggest
utility of a subtalar arthroereisis implant as an adjunct to flatfoot correction
with little additional risk of harm to the patient. LEVELS OF EVIDENCE: Level
III: Case-control study.
PMID- 29644887
TI - Post-traumatic stress reactions among individuals with visual impairments: a
systematic review.
AB - PURPOSE: To conduct a systematic review of post-traumatic stress reactions among
individuals with visual impairment (VI). MATERIALS AND METHODS: Qualitative and
quantitative studies were identified through searches in MEDLINE, EMBASE,
PsycINFO, CINAHL, Web of Science, and Cochrane Libraries. The literature search
was limited to humans, of English and Scandinavian languages and publication year
between 1980 and 2017. Study quality was assessed for all the included studies
and extracted data were synthesized using narrative analysis. RESULTS: Of 4235
records identified through literature search, eleven were included in the
analyses. Results from the qualitative studies illustrated multiple physical,
behavioral, emotional, and cognitive manifestations of trauma. Four out of five
quantitative studies showed that various types of potentially traumatic events
were significantly associated with mental health adversities (p < 0.05). The
prevalence of post-traumatic mental disorders was 4-21.2% for depression, 0.9%
for dysthymia, and 32% for substance misuse. The quality of the reviewed studies
was considered low to moderate. CONCLUSION: Traumatic experiences appear to have
a great impact on the mental health in people with visual impairment (VI) and
these results highlight their need for mental health care. Future studies with
higher methodological rigor are recommended. Implications for rehabilitation
Visual impairment entails a greater susceptibility to some types of potentially
traumatic events, especially threats in everyday life. This calls for a greater
emphasis on safe community environments and universal design in public spaces. In
rehabilitation after serious accidents or potentially traumatic events,
professionals working with people with vision impairment should be aware of the
different manifestations of post-traumatic stress responses and that some stress
responses may cause additional disability. The high prevalence of traumatic
events and their impact on mental health in individuals with visual impairments
highlights a need of mental health care.
PMID- 29644886
TI - Effects of a Thermosensitive In Situ Gel Containing Mometasone Furoate on a Rat
Allergic Rhinitis Model.
AB - Background Mometasone furoate, one of the second generation intranasal
corticosteroids, is currently used in suspension form due to its poor solubility.
However, this is not favorable for nasal application because of the rapid
elimination of the instilled drug from the nasal cavity by mucociliary clearance
and delayed onset of action due to the slow dissolution of drug in suspension.
Objective The aim of this study was to determine the antiallergic effects of
mucoadhesive thermosensitive in situ gel containing mometasone furoate that we
developed previously to prolong the contact between the drug and nasal mucosa and
to prevent drainage of the formulation in an ovalbumin-induced rat model of
allergic rhinitis. Methods An experimental allergic rhinitis model was developed
in female Wistar albino rats by intraperitoneal injection of ovalbumin every 2
days for 14 days followed by its repeated intranasal instillation for 7
consecutive days. Intranasal instillation of ovalbumin was continued every other
day for 14 days. Mometasone furoate in situ gel (5 MUg/10 ul), mometasone furoate
suspension (5 MUg/10 ul), and physiological saline (10 ul) were administered into
the bilateral nasal cavities from day 22 to day 35. Antiallergic effects were
evaluated through histopathological evaluation, analysis of ovalbumin-specific
serum immunoglobulin E, and a symptom score. Results Mometasone furoate in situ
gel significantly decreased the nasal symptoms and ovalbumin-specific serum
immunoglobulin E level as compared with mometasone furoate suspension and
physiological saline. Additionally, inflammatory histological symptoms such as
mucosal edema, vascular dilatation, eosinophil infiltration, and loss of cilia
within the nasal mucosa of allergic rhinitis model rats were remarkably improved
with the treatment of mometasone furoate in situ gel. Conclusion These results
suggest that mometasone furoate in situ gel has a better therapeutic potential
for the treatment of allergic rhinitis compared to mometasone furoate suspension.
PMID- 29644888
TI - Motor skill training in musculoskeletal pain: a case report in chronic low back
pain.
AB - PURPOSE: Low back pain is a chronic condition that limits function. The chief
reason individuals with low back pain seek care is difficulty performing
functional activities. A novel approach to improving performance of painful and
limited functional activities is motor skill training, defined as challenging
practice of activities to learn or relearn a skill. The purpose of this report is
to describe the design and application of a motor skill training intervention in
a 26-year-old man with a 10-year history of low back pain. METHODS: A motor skill
training intervention was implemented to modify the altered alignment and
movement patterns he used during the performance of his painful and limited
activities. RESULTS: The patient was seen for six visits in 12 weeks. The patient
reported decreased pain and medication use, as well as improved function
immediately, 3-, and 9-months post-intervention. CONCLUSION: Individuals with low
back pain report limitation in ability to perform everyday functions and
demonstrate altered patterns of movement and alignment during these activities.
This case report describes an innovative motor skill training intervention that
directly addresses the performance of functional activities and the application
of motor learning principles. Implications for rehabilitation Low back pain is a
chronic condition that limits function. The chief reason individuals with chronic
low back pain seek care is difficulty performing everyday functional activities.
Motor skill training is a novel approach that directly addresses the performance
of painful and limited functional activities through challenging practice to
improve performance and decrease pain.
PMID- 29644889
TI - Comparing exercise responses to aerobic plus resistance training between
postmenopausal breast cancer survivors undergoing aromatase inhibitor therapy and
healthy women.
AB - BACKGROUND: The aim of this study was to explore whether postmenopausal breast
cancer survivors undergoing aromatase inhibitor therapy differ from healthy
postmenopausal women in their response to the same aerobic + resistance training.
METHODS: The participants were separated into two groups: postmenopausal breast
cancer survivors undergoing aromatase inhibitor therapy for an average of 20
months (18 women) and healthy postmenopausal women (24 women). We assessed
aerobic capacity (predicted maximum oxygen uptake (VO2max) and maximum running
velocity test (Vmax)) through a walking test, upper and lower body muscle
strength using an estimated one-repetition maximum test, and body composition by
dual-energy X-ray absorptiometry at baseline and at three, six, and nine months,
respectively. The exercise program was performed three times/week over nine
months and consisted of 40 min of machine-based strength training (seated cable
row, bench press, leg extension, leg press, and leg curl, as well as bridge,
abdominal, and standard plank exercises) followed by 30 min of treadmill walking.
Analysis of variance (ANOVA) with repeated measures was used to compare the
groups over time. RESULTS: Postmenopausal breast cancer survivors undergoing
aromatase inhibitor therapy and healthy postmenopausal women presented similar
improvements in estimated lower body strength, predicted VO2max and Vmax, and
body fat mass. For maximal upper body strength, there was a significant group x
time interaction after six months of training (p = 0.01). The healthy
postmenopausal women presented a significant increase in upper body strength
after six months, while postmenopausal breast cancer survivors undergoing
aromatase inhibitor therapy demonstrated an improvement only at nine months of
training. The breast cancer survivors undergoing aromatase inhibitor therapy
presented increased lean mass while healthy postmenopausal women maintained
values over time (Breast cancer: 33.7 +/- 3.9(Pre) vs. 34.1 +/- 3.4 kg (Post) and
healthy women: 36.4 +/- 5.1 (Pre) vs. 36.4 +/- 5.0 kg (Post), p = 0.004).
CONCLUSION: Our findings suggest that postmenopausal women undergoing aromatase
inhibitor therapy for breast cancer demonstrated adaptations and similar
trainability to the same regimen of resistance + aerobic training as healthy
postmenopausal women. Implications for Rehabilitation Combined exercise program
(aerobic plus resistance) is an important non-pharmacological strategies to
improve strength, aerobic capacity, and body composition in breast cancer
survivors undergoing aromatase inhibitor therapy. Furthermore, it is important to
highlight that the time of intervention seems to influence the upper body
strength response in this population. This study showed that trainers and other
specialists do not need to modify the prescription of exercise related to healthy
women, since the combined exercise program induced similar benefits in strength,
aerobic capacity, and body composition in postmenopausal breast cancer survivors
undergoing aromatase inhibitor therapy and healthy postmenopausal women.
PMID- 29644890
TI - Adapting a Sexually Transmitted Infection Prevention Intervention Among Female
Adolescents in Hawai'i.
AB - Epidemiological data suggest that Native Hawaiian, Pacific Islander, and Asian
American (NHPIA) adolescent females in Hawai'i are at elevated risk for sexually
transmitted infections (STIs). These data also indicate that teen girls in
Hawai'i are more likely to engage in high-risk sexual behaviors than boys.
Despite this compelling evidence, there is a paucity of published research on
effective STI prevention protocols that target NHPIA female adolescents. In light
of this need, the purpose of this study was to adapt an evidence-based, group
level STI behavioral prevention intervention targeting local NHPIA adolescent
girls in Hawai'i for implementation by community-based organizations (CBOs). This
article describes the adaptation of an evidence-based STI prevention intervention
in partnership with a CBO, using a locally modified ADAPT-ITT model as a basis
for the adaptation process. This research consisted of two phases: (1)
development of an initial test version of an adapted intervention and (2) the
development of the final version of the adapted intervention, Girl Power Hawai'i.
The results provide the empirical and foundational research necessary for a
tailored intervention that can be readily implemented by CBOs for local teen
girls in Hawai'i.
PMID- 29644891
TI - A survey of physiotherapists' current management and the promotion of physical
activity, in people with rheumatoid arthritis.
AB - PURPOSE: Establishing physiotherapists' management of people with rheumatoid
arthritis, in addition to their promotion of physical activity, is important to
ascertain if there are educational needs in this area. MATERIALS AND METHODS:
Physiotherapists from three Irish Chartered Physiotherapy clinical interest
groups (N = 457) were invited to participate in a cross-sectional online
questionnaire hosted on SurveyMonkey(R)TM. RESULTS: One hundred and sixty-eight
(168/457; 37%) responded. Exercise prescription and education were the most
frequent treatments, with 84% always/regularly providing same. Physical activity
is a component of treatment with 52% always/regularly advising. In addition, 69%
agree that physical activity is attainable, 68% safe, and 67% an important goal.
However, 62% never recommend the appropriate guidelines, while 14% define
physical activity according to frequently used definitions. There was a
statistically significant association between longer years qualified and more
years working with people with RA, when using guidelines to guide physical
activity and exercise prescription. Low-intensity exercises were prescribed by
however, 67% never provide high-intensity. CONCLUSIONS: Current practice is in
line with guidelines in which, exercise therapy and education are considered as
the mainstay. Promotion of physical activity is strong; however, two-thirds never
recommend the appropriate guidelines, and only a minority defined physical
activity correctly. The majority never prescribe high-intensity exercise. There
is a need to develop education and training for physiotherapists in the promotion
of physical activity in people with rheumatoid arthritis. Implications for
rehabilitation Exercise therapy and education are considered as the mainstay in
the physiotherapy management of people with rheumatoid arthritis. Those
physiotherapists' who are qualified longer and have more experience working with
people with rheumatoid arthritis use the relevant physical activity guidelines.
Overall there is a need to develop education and training for physiotherapists in
the promotion of physical activity in people with rheumatoid arthritis.
PMID- 29644892
TI - Recent developments in 3-D reconstruction and stereology to study the pulmonary
vasculature.
AB - Alterations of the pulmonary vasculature are an important feature of human lung
diseases such as chronic obstructive pulmonary disease, pulmonary hypertension,
and bronchopulmonary dysplasia. Experimental studies to investigate the
pathogenesis or a therapeutic intervention in animal models of these diseases
often require robust, meaningful, and efficient morphometric data that allow for
appropriate statistical testing. The gold standard for obtaining such data is
design-based stereology. However, certain morphological characteristics of the
pulmonary vasculature make the implementation of stereological methods
challenging. For example, the alveolar capillary network functions according to
the sheet flow principle, thus making unbiased length estimations impossible and
requiring other strategies to obtain mechanistic morphometric data. Another
example is the location of pathological changes along the branches of the
vascular tree. For developmental defects like in bronchopulmonary dysplasia or
for pulmonary hypertension, it is important to know whether certain segments of
the vascular tree are preferentially altered. This cannot be overcome by
traditional stereological methods but requires the combination of a three
dimensional data set and stereology. The present review aims at highlighting the
great potential while discussing the major challenges (such as time consumption
and data volume) of this combined approach. We hope to raise interest in the
potential of this approach and thus stimulate solutions to overcome the existing
challenges.
PMID- 29644893
TI - Activation of the NF-kappaB pathway alters the phenotype of MSCs in the tracheal
aspirates of preterm infants with severe BPD.
AB - Mesenchymal stromal cells (MSCs) are released into the airways of preterm infants
following lung injury. These cells display a proinflammatory phenotype and are
associated with development of severe bronchopulmonary dysplasia (BPD). We aimed
to characterize the functional properties of MSCs obtained from tracheal
aspirates of 50 preterm infants who required invasive ventilation. Samples were
separated by disease severity. The increased proliferative capacity of MSCs was
associated with longer duration of mechanical ventilation and higher severity of
BPD. Augmented growth depended on nuclear accumulation of NFkappaBp65 and was
accompanied by reduced expression of cytosolic alpha-smooth muscle actin (alpha
SMA). The central role of NF-kappaB signaling was confirmed by inhibition of
IkappaBalpha phosphorylation. The combined score of proliferative capacity,
accumulation of NFkappaBp65, and expression of alpha-SMA was used to predict the
development of severe BPD with an area under the curve (AUC) of 0.847. We
mimicked the clinical situation in vitro, and stimulated MSCs with IL-1beta and
TNF-alpha. Both cytokines induced similar and persistent changes as was observed
in MSCs obtained from preterm infants with severe BPD. RNA interference was
employed to investigate the mechanistic link between NFkappaBp65 accumulation and
alterations in phenotype. Our data indicate that determining the phenotype of
resident pulmonary MSCs represents a promising biomarker-based approach. The
persistent alterations in phenotype, observed in MSCs from preterm infants with
severe BPD, were induced by the pulmonary inflammatory response. NFkappaBp65
accumulation was identified as a central regulatory mechanism. Future preclinical
and clinical studies, aimed to prevent BPD, should focus on phenotype changes in
pulmonary MSCs.
PMID- 29644894
TI - Decreased epithelial and sputum miR-221-3p associates with airway eosinophilic
inflammation and CXCL17 expression in asthma.
AB - Airway eosinophilic inflammation is a key feature of type 2 high asthma. The role
of epithelial microRNA (miR) in airway eosinophilic inflammation remains unclear.
We examined the expression of miR-221-3p in bronchial brushings, induced sputum,
and plasma from 77 symptomatic, recently diagnosed, steroid-naive subjects with
asthma and 36 healthy controls by quantitative PCR and analyzed the correlation
between miR-221-3p expression and airway eosinophilia. We found that epithelial,
sputum, and plasma miR-221-3p expression was significantly decreased in subjects
with asthma. Epithelial miR-221-3p correlated with eosinophil in induced sputum
and bronchial biopsies, fraction of exhaled nitric oxide, blood eosinophil,
epithelial gene signature of type 2 status, and methacholine provocative dosage
required to cause a 20% decline in forced expiratory volume in the first second
in subjects with asthma. Sputum miR-221-3p also correlated with airway
eosinophilia and was partially restored after inhaled corticosteroid treatment.
Inhibition of miR-221-3p expression suppressed chemokine (C-C motif) ligand (CCL)
24 (eotaxin-2), CCL26 (eotaxin-3), and periostin (POSTN) expression in BEAS-2B
bronchial epithelial cells. We verified that chemokine (C-X-C motif) ligand
(CXCL) 17, an anti-inflammatory chemokine, is a target of miR-221-3p, and
epithelial CXCL17 expression significantly increased in asthma. CXCL17 inhibited
CCL24, CCL26, and POSTN expression via the p38 MAPK pathway. Airway
overexpression of miR-221-3p exacerbated airway eosinophilic inflammation,
suppressed CXCL17 expression, and enhanced CCL24, CCL26, and POSTN expression in
house dust mite-challenged mice. Taken together, epithelial and sputum miR-221-3p
are novel biomarkers for airway eosinophilic inflammation in asthma. Decreased
epithelial miR-221-3p may protect against airway eosinophilic inflammation by
upregulating anti-inflammatory chemokine CXCL17.
PMID- 29644895
TI - beta1-Subunit of the calcium-sensitive potassium channel modulates the pulmonary
vascular smooth muscle cell response to hypoxia.
AB - Accessory subunits associated with the calcium-sensitive potassium channel
(BKCa), a major determinant of vascular tone, confer functional and anatomical
diversity. The beta1 subunit increases Ca2+ and voltagesensitivity of the BKCa
channel and is expressed exclusively in smooth muscle cells. Evidence supporting
the physiological significance of the beta1 subunit includes the observations
that murine models with deletion of the beta1 subunit are hypertensive and that
humans with a gain-of-function beta1 mutation are at a decreased risk of
diastolic hypertension. However, whether the beta1 subunit of the BKCa channel
contributes to the low tone that characterizes the normal pulmonary circulation
or modulates the pulmonary vascular response to hypoxia remains unknown. To
determine the role of the BKCa channel beta1 subunit in the regulation of
pulmonary vascular tone and the response to acute and chronic hypoxia, mice with
deletion of the Kcnmb1 gene that encodes for the beta1 subunit ( Kcnmb1-/-) were
placed in chronic hypoxia (10% O2) for 21-24 days. In normoxia, right ventricular
systolic pressure (RVSP) did not differ between Kcnmb1+/+ (controls) and Kcnmb1-/
mice. After exposure to either acute or chronic hypoxia, RVSP was higher in
Kcnmb1-/- mice compared with Kcnmb1+/+ mice, without increased vascular
remodeling. beta1 subunit expression was predominantly confined to pulmonary
artery smooth muscle cells (PASMCs) from vessels <= 150 um. Peripheral PASMCs
contracted collagen gels irrespective of beta1 expression. Focal adhesion
expression and Rho kinase activity were greater in Kcnmb1-/- compared with
Kcnmb1+/+ PASMCs. Compromised PASMC beta1 function may contribute to the
heightened microvascular vasoconstriction that characterizes pulmonary
hypertension.
PMID- 29644897
TI - The feasibility, acceptability and preliminary efficacy of a low-cost, virtual
reality based, upper-limb stroke rehabilitation device: a mixed methods study.
AB - PURPOSE: To establish feasibility, acceptability, and preliminary efficacy of an
adapted version of a commercially available, virtual-reality gaming system (the
Personalised Stroke Therapy system) for upper-limb rehabilitation with community
dwelling stroke-survivors. METHOD: Twelve stroke-survivors (nine females, mean
age 58 years, [standard deviation 7.1], median stroke chronicity 42 months
[interquartile range 34.7], Motricity index 14-25 for shoulder and elbow) were
asked to complete nine, 40-min intervention sessions using two activities on the
system over 3 weeks. Feasibility and acceptability were assessed through a semi
structured interview, recording of adverse effects, adherence, enjoyment (using
an 11-point Likert scale), and perceived exertion (using the BORG scale).
Assessments of impairment (Fugl-Meyer Assessment Upper extremity), activity
(ABILHAND, Action Research Arm Test, Motor Activity Log-28), and participation
(Subjective Index of Physical and Social Outcome) were completed at baseline,
following intervention, and at 4-week follow-up. Data were analysed using
Thematic Analysis of interview and intervention field-notes and Wilcoxon Signed
Ranks. Side-by-side displays were used to integrate findings. RESULTS:
Participants received between 175 and 336 min of intervention. Thirteen non
serious adverse effects were reported by five participants. Participants reported
a high level of enjoyment (8.1 and 6.8 out of 10) and rated exertion between 11.6
and 12.9 out of 20. Themes of improvements in impairments and increased
spontaneous use in functional activities were identified and supported by
improvements in all outcome measures between baseline and post-intervention (p <
0.05 for all measures). CONCLUSIONS: Integrated findings suggested that the
system is feasible and acceptable for use with a group of community-dwelling
stroke-survivors including those with moderately-severe disability. Implications
for rehabilitation To ensure feasibility of use and maintenance of an appropriate
level of challenge, gaming technologies for use in upper-limb stroke
rehabilitation should be personalised, dependent on individual need. Through the
use of hands-free systems and personalisation, stroke survivors with moderate and
moderately-severe levels of upper-limb impairment following stroke are able to
use gaming technologies as a means of delivering upper-limb rehabilitation.
Future studies should address issues of acceptability, feasibility, and efficacy
of personalised gaming technologies for delivery of upper-limb stroke
rehabilitation in the home environment. Findings from this study can be used to
develop future games and activities suitable for use in stroke rehabilitation.
PMID- 29644896
TI - PAI-1 is a novel component of the miR-17~92 signaling that regulates pulmonary
artery smooth muscle cell phenotypes.
AB - We have previously reported that miR-17~92 is critically involved in the
pathogenesis of pulmonary hypertension (PH). We also identified two novel mR
17/20a direct targets, PDZ and LIM domain protein 5 (PDLIM5) and prolyl
hydroxylase 2 (PHD2), and elucidated the signaling pathways by which PDLIM5 and
PHD2 regulate functions of pulmonary artery smooth muscle cells (PASMCs). In
addition, we have shown that plasminogen activator inhibitor-1 (PAI-1) is also
downregulated in PASMCs that overexpress miR-17~92. However, it is unclear
whether PAI-1 is a direct target of miR-17~92 and whether it plays a role in
regulating the PASMC phenotype. In this study, we have identified PAI-1 as a
novel target of miR-19a/b, two members of the miR-17~92 cluster. We found that
the 3'-untranslated region (UTR) of PAI-1 contains a miR-19a/b binding site and
that miR-19a/b can target this site to suppress PAI-1 protein expression. MiR
17/20a, two other members of miR-17~92, may also indirectly suppress PAI-1
expression through PDLIM5. PAI-1 is a negative regulator of miR-17~92-mediated
PASMC proliferation. Silencing of PAI-1 induces Smad2/calponin signaling in
PASMCs, suggesting that PAI-1 is a negative regulator of the PASMC contractile
phenotype. We also found that PAI-1 is essential for the metabolic gene
expression in PASMCs. Furthermore, although there is no significant change in PAI
1 levels in PASMCs isolated from idiopathic pulmonary arterial hypertension and
associated pulmonary arterial hypertension patients, PAI-1 is downregulated in
hypoxia/Sugen-induced hypertensive rat lungs. These results suggest that miR
17~92 regulates the PASMC contractile phenotype and proliferation coordinately
and synergistically by direct and indirect targeting of PAI-1.
PMID- 29644898
TI - A New Chapter for the American Journal of Rhinology and Allergy.
PMID- 29644899
TI - Technical notes on the endoscopic endonasal approach to the craniovertebral
junction for odontoidectomy.
AB - INTRODUCTION: Odontoidectomy can help decompress ventral compression of the
brainstem and upper cervical spinal cord in the presence of bony abnormalities of
the craniovertebral junction (CVJ), e.g., an odontoid pannus. Endonasal
approaches have been shown to be associated with lower morbidity compared with
traditional transoral approaches. We demonstrated an entirely endonasal approach
to the CVJ. MATERIALS AND METHODS: We presented our technique for performing an
endoscopic endonasal odontoidectomy. RESULTS: The patient underwent an open
posterior cervical spinal fusion to stabilize the CVJ due to destabilization that
occurs with odontoidectomy either as part of a single procedure or in a staged
manner, depending on the surgeon's preference. By using a two-surgeon,
multihanded technique in collaboration with neurosurgery, the anterior CVJ was
safely approached endoscopically through the nasopharynx. A midline incision was
created and the soft tissue was lateralized widely. The first cervical vertebra
(C1) arch was removed with a drill exposing the odontoid process and any
associated pannus, which were then resected. Because this approach was entirely
extradural, no reconstruction was necessary. Closure was accomplished by placing
absorbable packing material in the defect and medializing the nasopharyngeal
tissues. CONCLUSION: Endoscopic endonasal odontoidectomy offers excellent
exposure and less morbidity than traditional transoral approaches. This technique
should be considered in appropriately selected patients.
PMID- 29644900
TI - Discordance in Preoperative and Postoperative Histopathology of Sinonasal Tumors.
AB - Background Head and neck surgical pathology has been shown to be prone to
histopathologic diagnostic error that can adversely impact patient care due to
inappropriate management. Sinonasal tumors, in particular, present a diagnostic
challenge given the relative rarity and diversity in histology and thus may have
higher rates of discordant histology. Objective The purpose of this study is to
determine the rate of discrepancy between preoperative and postoperative
diagnoses of sinonasal tumors. Methods Retrospective chart review was performed
on 52 patients treated for sinonasal tumors between January 2013 and December
2016. Initial diagnosis on preoperative biopsy was compared to postoperative
diagnosis rendered at a single tertiary care referral center. A discrepant
diagnosis was regarded as any change in diagnosis that resulted in further
refinement of therapy or prognosis. Results Eleven (21.2%) patients had
discrepancy between the preliminary pathology and postsurgical diagnosis. Of
these diagnoses, four involved a change from a benign to a more aggressive benign
or malignant process, three involved reclassification of a malignant tumor to a
more aggressive histology, and four involved change from an aggressive process to
benign histology. In all 11 cases, alteration in management strategy was
rendered. The majority of discordant diagnoses were of fibro-osseous lesions and
small round blue cell tumors. Conclusion Sinonasal tumors exhibit a high degree
of discordance from preoperative to postoperative diagnosis. Critical decision
making should be reserved until careful review of operative specimens is
performed to minimize patient morbidity and unnecessary interventions.
PMID- 29644901
TI - Eccentric, mature osseous cap: A distinct imaging feature of sinonasal
osteoblastoma.
AB - BACKGROUND: With the exception of osteomas, bone neoplasms that originate in the
sinonasal cavity are seldom diagnosed on preoperative imaging due to a lack of
characteristic radiographic features. Here we described the unusual occurrence of
an osteoblastoma in the paranasal sinuses, and we drew focus to its salient
imaging features. A highly unique imaging sign was indicated, and its pathologic
basis was explained, with concurrent review of the literature. METHODS: Case
series and review of the literature. RESULTS: Two cases of sinonasal
osteoblastoma were managed by definitive surgical resection. Both tumors on
preoperative computed tomography demonstrated an expansile, heterogeneous fibro
osseous lesion with an eccentric, mature osseous cap. The dense osseous cap seen
on imaging corresponded to a rim of mature bone on histopathology. A review of
existing literature revealed the presence of this imaging sign in all reported
cases. CONCLUSION: Sinonasal osteoblastoma is an extremely rare entity with
undefined imaging characteristics to guide preoperative decision-making. Here we
reported, to our knowledge, the first description of a characteristic imaging
sign of an eccentric, mature osseous cap, which corresponded histologically to a
single peripheral layer rim of osteoblasts, a unique trait of osteoblastoma.
PMID- 29644902
TI - Interleukin (IL) 36 gamma induces mucin 5AC, oligomeric mucus/gel-forming
expression via IL-36 receptor-extracellular signal regulated kinase 1 and 2, and
p38-nuclear factor kappa-light-chain-enhancer of activated B cells in human
airway epithelial cells.
AB - BACKGROUND: Mucin 5AC, oligomeric mucus/gel-forming (MUC5AC) expression is
significantly increased in allergic and inflammatory airway diseases. Interleukin
(IL) 36 gamma is predominantly expressed in airway epithelial cells and plays an
important role in innate and adaptive immune responses. IL-36 gamma is induced by
many inflammatory mediators, including cytokines and bacterial and viral
infections. However, the association between IL-36 gamma and mucin secretion in
human airway epithelial cells has not yet been fully investigated. OBJECTIVE: The
objective of this study was to determine whether IL-36 gamma might play a role in
the regulation of mucin secretion in airway epithelial cells. We investigated the
effect and brief signaling pathway of IL-36 gamma on MUC5AC expression in human
airway epithelial cells. METHODS: Enzyme immunoassay, immunoblot analysis,
immunofluorescence staining, reverse transcriptase-polymerase chain reaction
(PCR), and real-time PCR were performed in mucin-producing human airway
epithelial NCI-H292 cells and in human nasal epithelial cells after pretreatment
with IL-36 gamma, several specific inhibitors, or small interfering RNAs (siRNA).
RESULTS: IL-36 gamma induced MUC5AC expression and activated the phosphorylation
of extracellular signal regulated kinase (ERK) 1 and 2, p38, and nuclear factor
kappa-light-chain-enhancer of activated B cells (NF-kappa B). IL-36 receptor
antagonist significantly attenuated these effects. The specific inhibitor and
siRNA of ERK1, ERK2, p38, and NF-kappa B significantly attenuated IL-36 gamma
induced MUC5AC expression. CONCLUSION: These results indicated that IL-36 gamma
induced MUC5AC expression via the IL-36 receptor-mediated ERK1/2 and p38/NF-kappa
B pathway in human airway epithelial cells.
PMID- 29644903
TI - Patients with chronic rhinosinusitis and obstructive sleep apnea have increased
paroxysmal limb movement.
AB - BACKGROUND: Patients with chronic rhinosinusitis (CRS) frequently experience
sleep disruption and are at a higher than normal risk for obstructive sleep apnea
(OSA). The purpose of this study was to determine how CRS affects polysomnography
findings and sleep-related breathing in OSA. METHODS: A cohort study was
performed that included 107 adult patients with CRS and comorbid OSA (CRS+OSA
group) and 137 patients with OSA and without CRS as the control group. An
electronic medical records database was used to identify eligible subjects.
Comorbid conditions and polysomnography data were compared between the two groups
by using logistic and linear regression analyses. RESULTS: A total of 246
patients were included: 107 patients in the CRS+OSA group and 137 patients with
OSA and without CRS in the control group. After adjusting for demographic
factors, the patients in the CRS+OSA group had a lower body mass index (BMI) and
higher age at the time of diagnosis of OSA (p < 0.001). The patients in the
CRS+OSA group had higher odds of having asthma and eczema. There was an increase
in the periodic limb movement (PLM) index in the CRS+OSA group. Apnea and
hypopnea indices were similar in the two groups. CONCLUSION: Patients with CRS
developed OSA at a lower BMI; patients CRS and OSA had similar sleep-related
breathing patterns but higher risks for PLMs compared with patients with OSA and
without CRS.
PMID- 29644904
TI - Survivin and cortactin expression in sinonasal schneiderian (inverted) papilloma
and associated carcinoma.
AB - BACKGROUND: Sinonasal inverted (schneiderian) papilloma (IP) is histologically
benign but shows a propensity for malignant transformation. Survivin, a member of
the inhibitor of the apoptosis family of proteins that controls cell division,
apoptosis, metastasis, and, probably, also neoangiogenesis, is overexpressed in
essentially all human cancers. Overexpression of the multidomain protein
cortactin has also been associated with increased cell migration, invasion, and
metastatic potential in several malignancies. OBJECTIVE: The aim of the present
study was to preliminarily investigate survivin and cortactin expression in a
consecutive series of sinonasal IPs, and IP-associated squamous cell carcinomas
(SCC). METHODS: Immunohistochemical expression of nuclear survivin and cortactin
was measured in 19 consecutive sinonasal IPs and 3 IP-associated SCCs. RESULTS:
The mean +/- standard deviation nuclear survivin expression was 9.4 +/- 9.2% and
31.7% +/- 15.4% in sinonasal IPs and SCCs, respectively (p < 0.0001). Results of
cortactin immunostaining was strongly positive in the cytoplasm of both sinonasal
IPs and SCCs: no significant difference emerged between the IP and SCC epithelial
components. CONCLUSION: Nuclear survivin expression was significantly higher in
SCCs than in IPs. Prospective, multi-institutional prognostic studies, preferably
on an international scale (given the few cases treated at single institutions),
are needed to confirm the role of survivin in IP malignant transformation.
PMID- 29644905
TI - Histopathology in Chronic Rhinosinusitis Varies With Sinus Culture.
AB - Background Structured histopathology reporting facilitates better understanding
of the underlying pathophysiologic mechanisms of chronic rhinosinusitis. The
microbiology of chronic rhinosinusitis has been studied extensively; however,
distinct histopathologic changes associated with bacteria isolated in chronic
rhinosinusitis are largely unknown. Objective The goal of this study is to better
understand the relationship between culturable bacteria and histopathology in
chronic rhinosinusitis. Methods A structured histopathology report was utilized
to analyze sinus tissue removed during functional endoscopic sinus surgery in a
group of patients with chronic rhinosinusitis refractory to medical therapy.
Patients with cystic fibrosis or ciliary dysfunction were excluded. Histology
variables included eosinophil count per high-power field, neutrophil infiltrate,
basement membrane thickening, subepithelial edema, hyperplastic/papillary
changes, mucosal ulceration, squamous metaplasia, fibrosis, fungal elements,
Charcot-Leyden crystals, and eosinophil aggregates. Baseline Lund-Mackay score
and Sinonasal Outcome Test 22 score were also collected. The association of
culture data with the aforementioned variables was assessed. Results A total of
59 chronic rhinosinusitis patients who underwent functional endoscopic sinus
surgery were included. Chronic rhinosinusitis patients with Pseudomonas
aeruginosa had significantly increased neutrophil infiltrate (71.4% vs. 26.9%, p
= 0.048), subepithelial edema (28.6% vs. 3.8%, p = 0.047), and a trend toward
increased fungal elements (28.6% vs. 5.8%, p = 0.071). Chronic rhinosinusitis
patients with Staphylococcus aureus had significantly more hyperplastic changes
(20% vs. 2.3%, p = 0.050) and a trend toward increased squamous metaplasia (33.3%
vs. 14.2%, p = 0.069). Conclusion Distinct histopathologic changes were noted
based on sinus culture data for S. aureus and P. aeruginosa. These findings may
have important implications on the extent of surgical management and prognosis
after surgery.
PMID- 29644906
TI - Does Heating up Saline for Nasal Irrigation Improve Mucociliary Function in
Chronic Rhinosinusitis?
AB - Background Mucociliary function is affected by temperature. Exposure to cold air
may impair ciliary beat frequency. While saline nasal irrigation improves in
ciliary beat activity, there is no evidence supporting the use of heated saline
irrigation in treating patients with chronic rhinosinusitis. Objective To compare
the effects of heated saline to room-temperature saline nasal irrigation on
mucociliary clearance in chronic rhinosinusitis patients. Methods Adult patients
with chronic rhinosinusitis were randomized into two groups receiving either
heated saline or room-temperature saline nasal irrigation. Healthy subjects were
included as control. Saccharin transit time was measured before and after nasal
irrigation. Nasal patency was assessed by peak nasal inspiratory flow, anterior
rhinomanometry, acoustic rhinometry, nasal obstruction score, and breathe-comfort
score. Any adverse events were reported. Results Twenty-three patients with
chronic rhinosinusitis and nine healthy subjects were enrolled. Saccharin transit
time was decreased after nasal irrigation in both heated saline subgroup
(baseline 12.3 +/- 4.5 min vs. postirrigation 8.4 +/- 4.9 min, p = 0.05) and room
temperature subgroup (baseline 12.8 +/- 5.0 min vs. postirrigation 8.9 +/- 4.2
min, p = 0.01). The saccharin transit time improvement was not different between
heated saline (3.8 +/- 6.2 min) and room-temperature saline (3.8 +/- 4.0 min), p
= 0.13. Postheated saline irrigation saccharin transit time of chronic
rhinosinusitis patients (8.4 +/- 4.9 min) was not different to healthy subjects
(9.2 +/- 3.7 min), p = 0.69. Nasal patency was not different between groups.
There was no adverse event reported. Conclusion Nasal saline irrigation is
beneficial to patients with chronic rhinosinusitis on mucociliary improvement.
Warming saline is not necessary and adds no additional benefit to room
temperature saline irrigation.
PMID- 29644907
TI - Remodeling of the Paradoxical Middle Turbinate: Preserving Function While Gaining
Access.
AB - Background Endoscopic sinus surgery is performed for many reasons, most commonly
for chronic rhinosinusitis refractory to medical treatment. A paradoxical middle
turbinate is an anatomic variant that can hinder endoscopic access to the
sinuses. No publication has addressed how to surgically treat a paradoxical
middle turbinate. Method We present a basic endoscopic surgical approach to
conservatively resect a paradoxical middle turbinate in order to improve access
to the middle meatus and the sinuses while preserving support and function.
Conclusion Conservative remodeling of the paradoxical middle turbinate can
provide access to the sinuses while maintaining a significant portion of the
middle turbinate.
PMID- 29644908
TI - Life Course Stage and Social Support Mobilization for End-of-Life Caregivers.
AB - Caregivers of terminally ill patients are at risk for anxiety, depression, and
social isolation. Social support from friends, family members, neighbors, and
health care professionals can potentially prevent or mitigate caregiver strain.
While previous research documents the importance of social support in helping end
of-life caregivers cope with caregiving demands, little is known about
differences in social support experiences among caregivers at different life
course stages. Using life course theory, this study analyzes data from in-depth
interviews with 50 caregivers of patients enrolled in hospice services to compare
barriers to mobilizing social support among caregivers at two life course stages:
midlife caregivers caring for parents and older adult caregivers caring for
spouses/partners. Older adult caregivers reported different barriers to
mobilizing social support compared with midlife caregivers. Findings enhance the
understanding of how caregivers' life course stage affects their barriers to
mobilization of social support resources.
PMID- 29644909
TI - Advanced ERCP techniques for the extraction of complex biliary stones: a single
referral center's 12-year experience.
AB - OBJECTIVES: Advanced ERCP techniques (AETs) for difficult biliary stones include
peroral cholangioscopy (POC) with electrohydraulic/laser lithotripsy (EHL/LL),
endoscopic papillary large balloon dilation (EPLBD) and mechanical lithotripsy
(ML). We assess the efficacy of AETs. METHODS: A retrospective query for AETs.
PRIMARY OUTCOME: Complete duct clearance. Secondary outcome: Complete duct
clearance by technique. Statistical Analysis version 9.3 (SAS Inc., Cary, NC).
RESULTS: From 1/00 to 10/12, 349 patients were identified of which 222 (80% had
prior ERCPs) had AETs. 211 with sufficient follow-up underwent 295 ERCPs; 280 of
which were AET's. Index AETs: POC with EHL/LL (n = 46/211, 22%), ML (n = 84/211,
40%), EPLBD with mean balloon size of 11.5 +/- 1.7 mm (n = 39/211, 18%) and
combination AETs (n = 42/211, 20%). Stone characteristics: 76% had >=1 stone, 81%
extrahepatic and 32% had strictures. Number of stones (mean 2.5 and range 1-20)
did not differ among groups. EPLBD had higher percentage (95%) of extrahepatic
stones (p = .0003). The 'Combination' and 'POC' groups had larger stones (mean
17.7 mm +/-6.4 and 16.8 mm +/-6.1, respectively; p < .001). Complete clearance:
209/211 (99%) at index AET 167/211 (79%) or after mean of 2.5 +/- 0.7 AETs in
42/211 (20%). Partial clearance: 2/211 (1%). Clearance at index AETs was higher
with EPLBD (90%, p = .014). Adverse Events: 7/280 (2.5%). CONCLUSIONS: AETs
achieved clearance in 99%. EPLBD had higher clearance at index AET likely owing
to higher extrahepatic stones. Larger stones, but not number, were associated
with increased combination AETs and total ERCPs.
PMID- 29644910
TI - Encapsulation of biological active phenolic compounds extracted from wine wastes
in alginate-chitosan microbeads.
AB - Grapes (Vitis vinifera) are produced in large amounts worldwide and mostly are
used for winemaking. Their untreated wastes are rich in valuable secondary
metabolites, such as phenolics. Thus, in this study, white and red wine wastes
(Malagouzia and Syrah variety) were investigated for their added value phenolics,
which were analysed by high performance liquid chromatography (HPLC) and
electrospray ionisation-mass spectrometry (ESI/MS) and subsequently encapsulated
in several polymers. Extracts from all wastes gave high amounts of total
phenolics (13 +/- 2.72-22 +/- 2.69 mg g-1) and possessed high antioxidant
activity (67-97%). In addition to their significant antibacterial activity
against gram-negative and gram-positive bacteria, interesting results were also
obtained from their anti-inflammatory and antiplatelet activity, in vitro.
Encapsulation of the extracts was selective, leaving out most of sugars and other
organic compounds when alginate-chitosan was used. Encapsulation efficiency
recorded for all extracts ranged from 55% to 79%. Release studies were also
performed in several solutions aiming in their commercial use in food and
pharmaceutical industries.
PMID- 29644911
TI - Perceived doctor-patient relationship and satisfaction with general practitioner
care in older persons in residential homes.
AB - OBJECTIVE: Understanding patient satisfaction from the perspective of older
adults is important to improve quality of their care. Since patient and care
variables which can be influenced are of specific interest, this study examines
the relation between patient satisfaction and the perceived doctor-patient
relationship in older persons and their general practitioners (GPs). DESIGN:
Cross-sectional survey. SUBJECTS AND SETTING: Older persons (n = 653, median age
87 years; 69.4% female) living in 41 residential homes. MAIN OUTCOME MEASURES:
Patient satisfaction (report mark) and perceived doctor-patient relationship
(Leiden Perioperative care Patient Satisfaction questionnaire); relationships
were examined by comparing medians and use of regression models. RESULTS: The
median satisfaction score was 8 (interquartile range 7.5-9; range 0-10) and
doctor-patient relationship 65 (interquartile range 63-65; range 13-65). Higher
satisfaction scores were related to higher scores on doctor-patient relationship
(Jonckheere Terpstra test, p for trend <.001) independent of gender, age,
duration of stay in the residential home, functional and clinical
characteristics. Adjusted for these characteristics, per additional point for
doctor-patient relationship, satisfaction increased with 0.103 points (beta =
0.103, 95% CI 0.092-0.114; p < .001). In those with a 'low' doctor-patient
relationship rating, the percentage awarding 'sufficient or good' to their GP for
'understanding about the personal situation' was 12%, 'receiving attention as an
individual' 22%, treating the patient kindly 78%, and being polite 94%.
CONCLUSION: In older persons, perceived doctor-patient relationship and patient
satisfaction are related, irrespective of patient characteristics. GPs may
improve patient satisfaction by focusing more on the affective aspects of the
doctor-patient relationship. Key Points Examination of the perceived doctor
patient relationship as a variable might better accommodate patients'
expectations and improve satisfaction with the provided primary care.
PMID- 29644912
TI - Amalgamation of systemic inflammatory response syndrome score with C-reactive
protein level in evaluating acute pancreatitis severity in children.
AB - BACKGROUND AND AIM: Systemic inflammatory response syndrome (SIRS) has to do with
how the body reacts to injury. Herein, we analyzed the clinical features of acute
pancreatitis (AP) in children with SIRS complication and investigated the role of
SIRS score combined with C-reactive protein (CRP) level in assessing AP severity
in children. METHODS: This retrospective cohort study involved 111 children
hospitalized with AP at the Children's Hospital of Zhejiang University School of
Medicine between January 2012 and August 2017. Presence of SIRS, demographic
data, clinical information and laboratory test results on admission were
statistically examined. RESULTS: Out of the 111 AP cases, 45 were diagnosed with
SIRS. Differences in CRP, interleukin-6 (IL-6), age, temperature, heart rate
(HR), white blood cell (WBC), neutrophil count (NC), body mass index (BMI),
duration of onset of disease symptoms as well as cases requiring intensive care
unit (ICU) treatment were significantly higher in patients with SIRS than those
without SIRS (p < .01 or p < .05). Logistic regression analyses evinced two
independent risk factors for SIRS to be coexisted diseases (odds ratio (OR) =
4.871, p = .02) and fever (OR = 3.56, p = .007). SIRS was an independent
predictor for AP severity (OR = 10.820, p = .005). The optimal cut-off value of
CRP was 27.5 mg/L for severe AP classification according to receiver operating
characteristic (ROC) (area under curve was 0.733). CONCLUSION: Amalgamation of
SIRS criterion with CRP level potentially plays an important role in assessing AP
severity in children.
PMID- 29644913
TI - Possible modifier genes in the variation of neurofibromatosis type 1 clinical
phenotypes.
AB - Neurofibromatosis type 1 (NF1) is the most common neurogenetic disorder
worldwide, caused by mutations in the (NF1) gene. Although NF1 is a single-gene
disorder with autosomal-dominant inheritance, its clinical expression is highly
variable and unpredictable. NF1 patients have the highest known mutation rate
among all human disorders, with no clear genotype-phenotype correlations.
Therefore, variations in NF1 mutations may not correlate with the variations in
clinical phenotype. Indeed, for the same mutation, some NF1 patients may develop
severe clinical symptoms whereas others will develop a mild phenotype. Variations
in the mutant NF1 allele itself cannot account for all of the disease
variability, indicating a contribution of modifier genes, environmental factors,
or their combination. Considering the gene structure and the interaction of
neurofibromin protein with cellular components, there are many possible candidate
modifier genes. This review aims to provide an overview of the potential modifier
genes contributing to NF1 clinical variability.
PMID- 29644915
TI - Hyperphosphorylated Tau as a Novel Biomarker for Traumatic Axonal Injury in the
Spinal Cord.
AB - Current biomarker research in spinal cord injury (SCI) and traumatic brain injury
has focused on a number of structural protein candidates, including the
microtubule-associated protein tau. Evidence from models of traumatic brain
injury has demonstrated that hyperphosphorylation of tau (p-tau) occurs in
injured axons and demonstrates its utility as a biomarker for brain injury;
however, the potential of p-tau as a biomarker for SCI is not yet known.
Therefore, the present study determined whether tau is hyperphosphorylated in
injured spinal cord axons, and then examined cerebrospinal fluid (CSF) and serum
concentrations of p-tau and total-tau protein after a clinically relevant severe
impact-compression SCI in rats. We found that severe SCI at T8 showed the
presence of p-tau in damaged axons with a similar time course and distribution
pattern to beta-APP, a biomarker of axonal injury. The presence of p-tau and beta
APP positive axons extended no farther than 5000 MUm rostral and caudal to the
injury epicenter, and was at its maximum at one day post-SCI. CSF levels of p-tau
and total-tau significantly increased at one day post-SCI; however, only serum p
tau levels were significantly elevated in rats with SCI compared with naive rats.
These results suggest that CSF and serum p-tau may be a useful biomarker for
severe traumatic SCI.
PMID- 29644914
TI - On using wearable tri-axial accelerometers to examine the striking phase
kinematics of expert specialist drag flickers on-field.
AB - Analysing player kinematics during a match using "gold-standard" 3D video-based
motion analysis techniques is a difficult prospect indeed. The development of
small, wireless, wearable sensors offers the potential to reduce the challenges
of measuring kinematics during match-play without hindering performance. The
present study examined the viability of using wireless tri-axial accelerometers
to examine whether key performance measures of drag flicks executed by expert
specialist drag-flickers are predicted by the kinematics of the striking phase.
Linear mixed models were used to examine whether the speed and accuracy of
players' drag flicks were predicted by the duration of stick-ball contact, and
the kinematics of the lead lower limb at stick-ball contact and ball release.
Results revealed that stick and lead lower limb kinematics significantly
predicted shot accuracy but not shot speed. Shorter drag-time predicted more
accurate flicks (p = 0.03) as did a more vertical leg at stick-ball contact (p =
0.016) and a more horizontal thigh at ball release (p = 0.001). This may indicate
that there are more ways to produce fast drag flicks than accurate ones. This
study illustrates that wireless tri-axial accelerometers can be used on-field to
measure the effects of kinematics on key performance measures.
PMID- 29644916
TI - Predicting the toxicities of metal oxide nanoparticles based on support vector
regression with a residual bootstrapping method.
AB - For safely using the untested metal oxide nanoparticles (MONPs) in industrial and
commercial applications, it is important to predict their potential toxicities
quickly and efficiently. In this research, the quantitative structure-activity
relationship (QSAR) model based on support vector regression (SVR) with a
residual bootstrapping technique (BTSVR) was proposed to predict the toxicities
of MONPs. It was found that the main features influencing the toxicities of MONPs
were RAatom (atomic ratio of oxygen to metal), DeltaHm (enthalpy of melting), and
Ecoh (cohesive energy). The QSPR model constructed was robust and self
explanatory in predicting the toxicities of MONPs with the coefficient of
determination (R2) of 0.87 and the root mean square error (RMSE) of 0.184 for the
training sets, and R2 of 0.84 and RMSE of 0.217 for the testing sets,
respectively. The performance of our model is much better than that published.
Moreover, our model was validated by the external testing sets 1000 times.
Therefore, it is expected that the method presented here can be used to construct
powerful model in predicting the toxicities of MONPs untested or even
unavailable.
PMID- 29644917
TI - Manipulations of distractor frequency do not mitigate emotion-induced blindness.
AB - Emotional distractors can impair perception of subsequently presented targets, a
phenomenon called emotion-induced blindness. Do emotional distractors lose their
power to disrupt perception when appearing with increased frequency, perhaps due
to desensitisation or enhanced recruitment of proactive control? Non-emotional
tasks, such as the Stroop, have revealed that high frequency distractors or
conflict lead to reduced interference, and distractor frequency appears to
modulate attentional capture by emotional distractors in spatial attention tasks.
But emotion-induced blindness is thought to reflect perceptual competition
between targets and emotional distractors, and it is unclear whether high
frequency emotional stimuli cause less disruption at this relatively early stage
of processing. In four experiments, participants searched streams of images for a
rotated target image. A negative or neutral distractor appeared before the
target, and their relative frequency was manipulated. Across all experiments, the
frequency of emotional distractors did not modulate emotion-induced blindness
even when participants were explicitly informed that they would appear often or
seldom. Thus, increased distractor frequency does not appear to mitigate the
priority allotted to emotional distractors during perceptual competition.
PMID- 29644918
TI - Assessment of clinical sepsis-associated biomarkers in a septic mouse model.
AB - Objective Clinical sepsis-associated biomarkers were utilized in a cecal ligation
and puncture (CLP) septic mouse model to provide a reference for investigating
pathophysiological mechanisms and evaluating novel therapeutic interventions for
sepsis. Methods Sepsis in mice was induced by CLP, and clinical biomarkers were
evaluated (survival rate, blood physiological and biochemical indices, cytokines,
hepatorenal function parameters, and blood coagulation). Results The mortality
rate was >70%. The body temperature, blood pressure, and heart rate decreased
within 48 h. Low lactic acid was found at 8 h. The CLP mice showed typical
inflammatory symptoms with decreased white blood cells and procalcitonin and
increased levels of soluble triggering receptor expressed on myeloid cells-1,
interleukin (IL)-6, IL-10, tumor necrosis factor-alpha, macrophage inflammatory
protein (MIP)-1alpha, MIP-1beta, and MIP-2. The platelet count and activated
partial thromboplastin time significantly decreased, and the prothrombin time and
prothrombin time-international normalized ratio markedly increased. Phenotypes of
multiple organ dysfunction were found in the CLP model, including increased liver
alanine aminotransferase and aspartate transaminase; significantly reduced total
protein, globulin, and serum albumin; increased blood urea nitrogen and
creatinine; and decreased blood glucose. Conclusion The clinical features of the
CLP mouse model were similar to those of human patients with sepsis.
PMID- 29644919
TI - High neutrophil-lymphocyte ratio in schizophrenia independent of infectious and
metabolic parameters.
AB - BACKGROUND: Immunological and inflammatory mechanisms play an important role in
schizophrenia. In the literature, there are studies investigating neutrophil
lymphocyte ratio (NLR) association with schizophrenia. AIMS: The purpose of this
study was to compare NLR values between patients with schizophrenia and healthy
controls. In addition, the study aimed to investigate the relationship between
NLR and disease severity and some metabolic/inflammatory parameters. METHODS:
Fifty-two patients diagnosed with schzophrenia and 53 healthy controls were
included in the study. A socio-demographic information form was filled out by the
clinician. Height, body weight, waist and hip circumference and blood pressure
values of each patient were measured. Severity of disease was assessed by
positive and negative syndrome scale (PANSS) and clinical global impression
severity scale (CGI-S). Complete blood count was performed to both patient and
control groups. Fasting blood glucose, insulin, HbA1c, low-density lipoprotein
(LDL), high-density lipoprotein (HDL), triglyceride, total cholesterol and C
reactive protein (CRP) were measured. RESULTS: The number of leukocytes,
neutrophils, monocytes and NLR values in patients with schizophrenia was
significantly higher than in the control group. There was no significant
relationship between NLR values and the number of hospitalisation, duration of
ilness or disease severity in patients. There was no correlation between other
laboratory findings and NLR values. CONCLUSION: NLR levels are high in
schizophrenia independent of metabolic parameters according to the results. So,
it can be considered that inflammatory processes may play a role in the etiology
of the disease.
PMID- 29644920
TI - Sick-listed workers' expectations about and experiences with independent medical
evaluation: a qualitative interview study from Norway.
AB - PURPOSE: To reduce the country's sick leave rate, Norwegian politicians have
suggested independent medical evaluations (IMEs) for sick-listed workers. IME was
tested in a large, randomized controlled trial in one Norwegian county
(Evaluation of IME in Norway, or 'the NIME trial'). The current study's aim was
to explore sick-listed workers' expectations about and experiences with
participating in an IME. MATERIAL AND METHODS: Nine individual semi-structured
telephone interviews were conducted. Our convenience sample included six women
and three men, aged 35-59 years, who had diverse medical reasons for being on
sick leave. Systematic text condensation was used for analysis. RESULTS: The
participants questioned both the IME purpose and timing, but felt a moral
obligation to participate. Inadequate information provided by their general
practitioner (GP) to the IME doctor was considered burdensome by several
participants. However, most participants appreciated the IME as a positive
discussion, even if they did not feel it had any impact on their follow-up or
return-to-work process. CONCLUSIONS: According to the sick-listed workers the
IMEs were administered too late and disturbed already initiated treatment
processes and return to work efforts. Still, the consultation with the IME doctor
was rated as a positive encounter, contrary to their expectations. Our results
diverge from findings in other countries where experiences with IME consultations
have been reported as predominantly negative. These findings, along with
additional, upcoming evaluations, will serve as a basis for the Norwegian
government's decision about whether to implement IMEs on a regular basis. Key
points Independent medical evaluations for sick-listed workers has been tested
out in a large Norwegian RCT and will be evaluated through qualitative interviews
with participating stakeholders and by assessing the effects on RTW and
costs/benefits. In this study, we explored sick-listed workers' expectations
about and experiences with participating in an IME. * Participants questioned
both the IME purpose and timing, but felt a moral obligation to participate. *
Inadequate information provided by their general practitioner (GP) to the IME
doctor was considered burdensome by several participants * Sick-listed workers
appreciated the IME as a positive discussion, even if they did not feel it had
any impact on their follow-up or return-to-work process.
PMID- 29644921
TI - Cognitive Frailty and Its Association with All-Cause Mortality among Community
Dwelling Older Adults in Taiwan: Results from I-Lan Longitudinal Aging Study.
AB - BACKGROUND: The definition of cognitive frailty and its prediction for adverse
outcome of community-living older adults remains controversial. This study aims
to evaluate the association between cognitive frailty and all-cause mortality
among community-living older adults. METHODS: Data of the I-Lan Longitudinal
Aging Study (ILAS) were retrieved for study. Frailty was defined by Fried's
criteria, and a series of neuropsychological assessments, including the Mini
Mental State Examination (MMSE), Center for Epidemiology Studies-Depression (CES
D), the delay free recall in the Chinese Version Verbal Learning Test, the Boston
Naming Test, the category (animal) Verbal Fluency Test, the Taylor Complex Figure
Test, the digital backward and the Clock Drawing Test were performed. All
participants received blood sampling after 10-h overnight fast for various
biochemical markers. Cognitive frailty was defined as the concomitant presence of
dynapenia and cognitive declines in any domains. RESULTS: Overall, data of 678
participants aged 65 years and older (mean age: 73.3+/-5.3 years) were obtained
for study. The prevalence of cognitive frailty in this study was 13.3%. People
with cognitive frailty were significantly older, having higher multimorbidity
burden, more likely to be women and had less skeletal muscle mass. Adjusted for
age and gender, both dynapenia without cognitive impairment (HR: 5.402; 95% CI:
1.463 - 19.954; P=0.011) and cognitive frailty (HR: 6.682; 95% CI: 1.803- 26.116;
P=0.005) were significantly associated with all-cause mortality. CONCLUSIONS: The
prevalence of cognitive frailty was 13.3% in Taiwan and was predictive for all
cause mortality. Further study is needed to explore the pathophysiology and
reversibility of cognitive frailty.
PMID- 29644923
TI - Patients' characteristics and their influence on course of fear during
agoraphobic symptom provocation: may SS(N)RI treatment compensate unfavorable
individual preconditions?
AB - BACKGROUND: Patients' characteristics and antidepressants are discussed to be
relevant in the context of phobic exposure. AIMS: To identify patients
characteristics associated with a differential course of fear during disorder
specific symptom provocation as well as to elucidate the effect of selective
serotonin-(noradrenalin-) reuptake inhibitors [SS(N)RI] on development of fear in
the context of re-exposure to the phobic stimuli. METHODS: Twenty-eight
clinically well-characterized patients with panic disorder and agoraphobia
(PD/AG) were classified into subjects who show a reduction of fear ('Fear-')
during a symptom provocation via a picture-based paradigm (T1) and those who did
not ('Fear+'). Subsequently, SS(N)RI treatment was administered to all patients
and subjects were re-exposed to the feared stimuli after 8 weeks of treatment
(T2). Moreover, brain activity within the 'fear network' was measured via
functional magnetic resonance imaging (fMRI) at T1 and T2. RESULTS: Fear - were
significantly younger and demonstrated increased exposure-related fear as well as
stronger activity in several fear-related brain areas than Fear+. We found
significant improvements in all clinical parameters after pharmacological
intervention for the whole sample (T1-T2; all measures p < .02). However,
reduction of fear as well as activation in (para)limbic structures during symptom
provocation were now attenuated in Fear - but increased in Fear+. CONCLUSIONS:
Advanced age may predict a therapeutically unfavorable course of fear during
agoraphobic symptom provocation. Since we found no negative impact of medication
on fear development at all, there was some evidence that SS(N)RI treatment might
improve the individual ability to get involved with the agoraphobic stimuli while
conducting disorder-specific exposure.
PMID- 29644922
TI - Chemerin rs17173608 and vaspin rs2236242 gene variants on the risk of end stage
renal disease (ESRD) and correlation with plasma malondialdehyde (MDA) level.
AB - INTRODUCTION: End-stage renal disease (ESRD) is associated with critical kidney
illness that seriously affects the lifespan. Genetic factors and oxidative stress
could play critical role in the development of ESRD. We assessed the association
between chemerin rs17173608 T/G and vaspin rs2236242 T/A genes variants with the
risk of ESRD and their correlation with plasma malondialdehyde (MDA) level.
MATERIALS AND METHODS: In a case-control study, 131 gender and age-matched
unrelated healthy controls and 110 ESRD patients were enrolled. The chemerin
rs17173608 T/G and vaspin rs2236242 T/A were detected by Tetra primer
amplification refractory mutation system-polymerase chain reaction (T-ARMS-PCR).
The MDA concentration was determined by HPLC. RESULTS: Our findings for the first
time revealed that in codominant genetic model (T/G vs. T/T genotype), the T/G
genotype of chemerin gene significantly had a protective role against ESRD
susceptibility. Also, in the presence of chemerin G allele, the risk of ESRD
decreased by 0.79-fold (p = .048) in Kurdish population of Iran. The MDA serum
levels in ESRD patients carrying the chemerin T/G + G/G genotype of rs17173608
T/G and also in carriers of A/A + T/A genotype of vaspin rs2236242 T/A were
significantly higher compared to those in control subjects. The overall
distribution of vaspin rs2236242 T/A genotypes and alleles comparing ESRD
patients and healthy subjects were not statistically significant. CONCLUSION: We
found that the G allele of chemerin rs17173608 compared to T allele decreased the
risk of ESRD, and there was a significant association between chemerin and vaspin
variants with plasma MDA level in a sample of the Iranian population.
PMID- 29644924
TI - Role of Prion protein-EGFR multimolecular complex during neuronal differentiation
of human dental pulp-derived stem cells.
AB - Cellular prion protein (PrPC) is expressed in a wide variety of stem cells in
which regulates their self-renewal as well as differentiation potential. In this
study we investigated the presence of PrPC in human dental pulp-derived stem
cells (hDPSCs) and its role in neuronal differentiation process. We show that
hDPSCs expresses early PrPC at low concentration and its expression increases
after two weeks of treatment with EGF/bFGF. Then, we analyzed the association of
PrPC with gangliosides and EGF receptor (EGF-R) during neuronal differentiation
process. PrPC associates constitutively with GM2 in control hDPSCs and with GD3
only after neuronal differentiation. Otherwise, EGF-R associates weakly in
control hDPSCs and more markedly after neuronal differentiation. To analyze the
functional role of PrPC in the signal pathway mediated by EGF/EGF-R, a siRNA PrP
was applied to ablate PrPC and its function. The treatment with siRNA PrP
significantly prevented Akt and ERK1/2 phosphorylation induced by EGF. Moreover,
siRNA PrP treatment significantly prevented neuronal-specific antigens expression
induced by EGF/bFGF, indicating that cellular prion protein is essential for
EGF/bFGF-induced hDPSCs differentiation. These results suggest that PrPC interact
with EGF-R within lipid rafts, playing a role in the multimolecular signaling
complexes involved in hDPSCs neuronal differentiation.
PMID- 29644925
TI - Suicide in Black children.
PMID- 29644926
TI - Role of Ligands of Receptor for Advanced Glycation End Products (RAGE) in
Peripheral Artery Disease.
AB - Atherosclerotic cardiovascular disease, including peripheral artery disease
(PAD), is more common and severe in diabetic patients compared with nondiabetic
individuals. Indeed, diabetes is associated with the increased risk of limb
amputation and all-cause mortality in patients with symptomatic PAD. Proteins and
lipids are nonenzymatically modified by sugars, resulting in the formation and
accumulation of advanced glycation end products (AGEs), whose process is
accelerated under diabetic conditions, especially patients with a long duration
of diabetes. Accumulating evidence shows that nonenzymatic modification by sugars
alters the structural integrity of collagens and lipoproteins in large vessels,
thereby being involved in vascular stiffness and atherosclerotic plaque
instability. Furthermore, engagement of receptor for AGEs (RAGE) with its
ligands, such as AGEs, high mobility group box 1, and S100A proteins evokes
inflammatory and thrombotic reactions, thus playing a central role in the
development and progression of atherosclerotic cardiovascular disease. In this
article, we review the pathophysiological role of RAGE ligands in PAD and discuss
the clinical utility of measurement of plasma, serum, or tissue RAGE ligands for
assessment of the severity and prognosis of PAD. This review suggests that RAGE
ligands may be a novel biomarker and also a therapeutic target of PAD, especially
in patients with diabetes.
PMID- 29644927
TI - Disease-specific clinical pathways - are they feasible in primary care? A mixed
methods study.
AB - OBJECTIVE: To explore the feasibility of disease-specific clinical pathways when
used in primary care. DESIGN: A mixed-method sequential exploratory design was
used. First, merging and exploring quality interview data across two cases of
collaboration between the specialist care and primary care on the introduction of
clinical pathways for four selected chronic diseases. Secondly, using
quantitative data covering a population of 214,700 to validate and test
hypothesis derived from the qualitative findings. SETTING: Primary care and
specialist care collaborating to manage care coordination. RESULTS: Primary-care
representatives expressed that their patients often have complex health and
social needs that clinical pathways guidelines seldom consider. The
representatives experienced that COPD, heart failure, stroke and hip fracture,
frequently seen in hospitals, appear in low numbers in primary care. The
quantitative study confirmed the extensive complexity among home healthcare
nursing patients and demonstrated that, for each of the four selected diagnoses,
a homecare nurse on average is responsible for preparing reception of the patient
at home after discharge from hospital, less often than every other year.
CONCLUSIONS: The feasibility of disease-specific pathways in primary care is
limited, both from a clinical and organisational perspective, for patients with
complex needs. The low prevalence in primary care of patients with important
chronic conditions, needing coordinated care after hospital discharge, constricts
transferring tasks from specialist care. Generic clinical pathways are likely to
be more feasible and efficient for patients in this setting. Key points Clinical
pathways in hospitals apply to single-disease guidelines, while more than 90% of
the patients discharged to community health care for follow-up have
multimorbidity. Primary care has to manage the health care of the patient
holistically, with all his or her complex needs. Patients most frequently
admitted to hospitals, i.e. patients with COPD, heart failure, stroke and hip
fracture are infrequent in primary care and represent a minority among patients
in need of coordinated community health care. In primary care, the low rate of
receiving patients discharged from hospitals of major chronic diseases hampers
maintenance of required specific skills, thus constricting the transfer of tasks
to primary care. Generic clinical pathways are suggested to be more feasible than
disease-specific pathways for most patients with complex needs.
PMID- 29644928
TI - Salivary gland ultrasound is linked to the autoimmunity profile in patients with
primary Sjogren's syndrome.
AB - Objective Salivary gland ultrasound (SGU) is a reliable technique for assessing
the salivary glands in patients with primary Sjogren's syndrome (pSS). The aim of
this study was to elucidate the relationship between SGU findings and
autoimmunity in patients with pSS. Methods Patients with pSS underwent an SGU
assessment. The patients were classified into three groups according to their
autoimmunity profile: the complete positive group (positive rheumatoid factor,
antinuclear antibodies, and anti-Ro/anti-La antibodies), the partial seropositive
group (positivity of at least one autoantibody but not all), and the seronegative
group. Results In total, 93 patients were evaluated. Eighty-six (92.5%) were
female, and their median age was 49.5 years. The median disease duration was 12.3
years. Pathological SGU findings were present in 32 (34.4%) patients [25 of 36
(78.1%) in the complete positive group and 7 of 44 (21.9%) in the partial
positive group]. Patients with pathological SGU findings had a shorter disease
duration and slightly higher European League Against Rheumatism Sjogren's
syndrome disease activity index. Conclusions The autoimmunity profile and
pathological SGU findings are strongly associated with each other in patients
with pSS. However, the disease duration does not seem to be related to
pathological SGU findings.
PMID- 29644929
TI - Children with two homes: Psychological problems in relation to living
arrangements in Nordic 2- to 9-year-olds.
AB - AIM: Joint physical custody, children spending equal time in each parents'
respective home after a parental divorce, is particularly common in Nordic
compared with other Western countries. Older children have been shown to fare
well in this practice but for young children there are few existing studies. The
aim of this paper is to study psychological problems in 2- to 9-year-old Nordic
children in different family forms. METHODS: Total symptom score according to the
Strengths and Difficulties Questionnaire as well as scores showing externalizing
problems were compared among 152 children in joint physical custody, 303 in
single care and 3207 in nuclear families through multiple linear regression
analyses. RESULTS: Children in single care had more psychological symptoms than
those in joint physical custody (B = 1.08; 95% CI 0.48 to 1.67) and those in
nuclear families had the least reported symptoms (B = -0.53; 95% CI -0.89 to
0.17). Externalizing problems were also lower in nuclear families (B = -0.28, 95%
CI -0.52 to -0.04) compared with joint physical custody after adjusting for
covariates. CONCLUSIONS: Young children with non-cohabiting parents suffered from
more psychological problems than those in intact families. Children in joint
physical custody had a lower total problem score than those in single care after
adjusting for covariates. Longitudinal studies with information on family factors
before the separation are needed to inform policy of young children's post
separation living arrangements.
PMID- 29644930
TI - Child and Parent Access to Transplant Information and Involvement in Treatment
Decision Making.
AB - Pediatric stem cell transplant processes require information sharing among the
patient, family, and clinicians regarding the child's condition, prognosis, and
transplant procedures. To learn about perceived access to transplant information
and involvement in decision making among child family members (9-22 years old),
we completed a secondary analysis of 119 interviews conducted with pediatric
patients, sibling donors, nondonor siblings/cousins, and guardians from 27
families prior to transplant. Perceptions of information access and involvement
in transplant-related decisions were extracted and summarized. We compared child
member perceptions to their guardians' and examined differences by child age and
gender. Most child members perceived exclusion from transplant (79%) and donor
(63%) information and decisions (63%) although this varied by child role. Gender
was unrelated to involvement; older age was associated with less perceived
exclusion. Congruence in perspectives across children and guardians was evident
for eight (30%) families, most of whom ( n = 7) excluded the children.
PMID- 29644931
TI - An Evaluation of a Diabetes Self-Management Education (DSME) Intervention
Delivered Using Avatar-Based Technology: Certified Diabetes Educators' Ratings
and Perceptions.
AB - Purpose The purpose of this study was to evaluate the perceptions that certified
diabetes educators (CDEs), of diverse health professions, have of a culturally
appropriate e-health intervention that used avatar-based technology. Methods
Cross-sectional, survey-based design using quantitative and qualitative
paradigms. A logic model framed the study, which centered on the broad and
general concepts leading to study outcomes. In total, 198 CDEs participated in
the evaluation. Participants were mostly female and represented an age range of
26 to 76 years. The profession representative of the sample was registered
nurses. Study setting and data collection occurred at
https://www.surveymonkey.com/r/AvatarVideoSurvey-for-Certified_Diabetes_Educators
. Study instruments used were the Basic Demographics Survey (BD-13), Educational
Material Use and Rating of Quality Scale (EMU-ROQ-9), Marlowe-Crowne Social
Desirability Survey (MS-SOC-DES-CDE-13), Quality of Avatar Video Rating Scale
(QAVRS-7), Recommend Avatar to Patients Scale (RAVTPS-3), Recommend Avatar Video
to Health Professionals Scale (RAVTHP-3), and Avatar Video Applications Scale
(AVAPP-1). Statistical analysis used included t tests, Pearson product moment
correlations, backward stepwise regression, and content/thematic analysis.
Results Age, ethnicity, Arab/Middle Eastern, Asian, and white/European descents
were significant predictors of a high-quality rating of the video. Thematic and
content analysis of the data revealed an overall positive perception of the
video. Conclusions An e-health intervention grounded in evidence-based health
behavior theories has potential to increase access to diabetes self-management
education as evidenced in the ratings and perceptions of the video by CDEs.
PMID- 29644932
TI - The Starr County Border Health Initiative: Focus Groups on Diabetes Prevention in
Mexican Americans.
AB - Purpose The purpose of the study was to conduct focus groups with Mexican
Americans in an impoverished rural community on the Texas-Mexico border to
identify current barriers to adopting healthier lifestyles and to obtain
recommendations for diabetes prevention. Methods Three separate 2-hour focus
groups were led by an experienced bilingual Mexican American moderator.
Interviews included questions about cultural factors and barriers that influence
lifestyle behaviors, aspects of previous diabetes self-management interventions
that were helpful for motivating behavioral change, and recommendations for
diabetes prevention. Results Twenty-seven participants attended a focus group
session; each session involved 7 to 12 informants. Individuals were diagnosed
with prediabetes or type 2 diabetes mellitus; most were female, foreign born, and
Spanish speaking. Interviews documented the cultural importance of food.
Informants raised priority issues for diabetes prevention, including the need to
learn how to prepare healthier foods and track caloric intake. Major barriers to
healthier lifestyles included high costs of healthy foods, fatigue from busy
schedules and working multiple jobs, a cultural view that exercise is a waste of
valuable time, and fear of deportation. Conclusions Cultural influences and
barriers to implementing healthy lifestyles should be assessed regularly and
strategies implemented to overcome them. Such factors may change as
environmental, sociocultural, and political environments change.
PMID- 29644933
TI - Grip strength of occupational workers in relation to carpal tunnel syndrome and
individual factors.
AB - The main objective of this study was to determine the association of grip
strength with carpal tunnel syndrome (CTS) for occupational workers exposed to
the risk of CTS. Experiments were performed on 60 workers, 15 each from four
occupations. Grip strength was measured using a hand dynamometer.
Electrophysiological experiments were conducted to measure CTS. Three levels of
CTS were identified on the basis of latency value and motor nerve conduction
velocity, viz., mild, moderate and severe. Statistical results suggest that
workers who have CTS lose their grip strength, and higher levels of CTS lead to
greater reduction in the grip strength. Another objective of the article was to
investigate the association of grip strength with three individual factors of
occupational workers, viz., body mass index, age and work experience. It is
statistically inferred using Fisher's exact test that grip strength is not
associated with any of these individual factors.
PMID- 29644934
TI - Management of Subclinical Hypothyroidism in Pregnancy: A Comment from the Italian
Society of Endocrinology and the Italian Thyroid Association to the 2017 American
Thyroid Association Guidelines-"The Italian Way".
AB - The 2017 American Thyroid Association guidelines for the diagnosis and management
of thyroid disease during pregnancy and the postpartum were published six years
after the previous ones. They provide comprehensive clinical recommendations for
the whole spectrum of thyroid diseases, as well as for optimal iodine intake
during pregnancy, postpartum, and lactation. The present position statement
mainly regards the recommended flow chart for therapeutic decision making in
pregnant women being diagnosed with subclinical hypothyroidism. Here, we comment
on the major biochemical and clinical situations and the corresponding
therapeutic recommendations. In particular, we welcome the critical revision of
the thyrotropin (TSH) reference range in pregnancy, and we agree that there is no
need to treat thyroid peroxidase antibody-negative women with a serum TSH ranging
from 2.5 MUIU/mL to the upper limit of the reference range. This recommendation
will hopefully reduce the huge proportion of healthy pregnant women in whom,
according to the previous guidelines, levothyroxine therapy had to be initiated.
On the other hand, we are concerned with the recommendation to only "consider
treatment" in thyroid peroxidase antibody-negative pregnant women with a serum
TSH ranging from the upper limit of the reference range to 10.0 MUIU/mL. This is
because thyroid antibodies may be falsely negative during gestation, and serum
negative chronic autoimmune thyroiditis is a well-known clinical entity even
outside pregnancy. Based on these and other arguments, we recommend treatment
with levothyroxine in pregnant women with TSH levels ranging between the upper
limit of the reference range and 10.0 MUIU/mL independently from their thyroid
antibody status.
PMID- 29644935
TI - Longitudinal prospective associations between psychological symptoms and heavy
episodic drinking from adolescence to midlife.
AB - AIM: This study examined whether development of psychological symptoms (PS)
differed between persons with different longitudinal profiles of heavy episodic
drinking (HED) from adolescence to midlife. In addition, the reciprocal
associations between PS and HED were studied. METHODS: Participants of a Finnish
cohort study in 1983 at age 16 ( N = 2194) were followed up at ages 22 ( N =
1656), 32 ( N = 1471), and 42 ( N = 1334). HED was assessed with frequency of
intoxication (16-22 years) and having six or more drinks in a session (32-42
years). Using latent class analysis, the participants were allocated to steady
high, increased, moderate, and steady low groups according to their longitudinal
profiles of HED. The PS scale (16-42 years) covered five mental complaints. The
latent growth curve of PS was estimated in the HED groups for comparisons. In
addition, the prospective associations between symptoms and HED were examined
using cross-lagged autoregressive models. RESULTS: PS grew from 16 to 32 years,
but declined after that, with women having higher level of PS than men. PS
trajectory followed a path at highest and lowest level in the steady high and
steady low HED groups, respectively. Symptoms predicted later HED, but the
association in the opposite direction was not found. CONCLUSIONS: The more the
HED trajectory indicated frequent HED, the higher was the level of PS throughout
the follow-up. Results support the self-medication hypothesis, suggesting that
alcohol is used to ease the burden of PS. More attention should be paid to
alcohol use of people with mental symptoms in health services.
PMID- 29644936
TI - Support Interventions to Address Breastfeeding Challenges.
PMID- 29644937
TI - Re: "High Thyroid Cancer Mortality Rate in Japan: A Result of Nonaggressive
Treatment Strategy, or Just an Aging Population?" By Magner (Thyroid 2018;28:818
819).
PMID- 29644938
TI - Acceleration of Diabetic Wound Healing with PHD2- and miR-210-Targeting
Oligonucleotides.
AB - In diabetes-associated chronic wounds, the normal response to hypoxia is impaired
and many cellular processes involved in wound healing are hindered. Central to
the hypoxia response is hypoxia-inducible factor-1alpha (HIF-1alpha), which
activates multiple factors that enhance wound healing by promoting cellular
motility and proliferation, new vessel formation, and re-epithelialization.
Prolyl hydroxylase domain-containing protein 2 (PHD2) regulates HIF-1alpha
activity by targeting it for degradation under normoxia. HIF-1alpha also
upregulates microRNA miR-210, which in turn regulates proteins involved in cell
cycle control, DNA repair, and mitochondrial respiration in ways that are
antagonistic to wound repair. We have identified a highly potent short synthetic
hairpin RNA (sshRNA) that inhibits expression of PHD2 and an antisense
oligonucleotide (antimiR) that inhibits miR-210. Both oligonucleotides were
chemically modified for improved biostability and to mitigate potential
immunostimulatory effects. Using the sshRNA to silence PHD2 transcripts
stabilizes HIF-1alpha and, in combination with the antimiR targeting miR-210,
increases proliferation and migration of keratinocytes in vitro. To assess
activity and delivery in an impaired wound healing model in diabetic mice, PHD2
targeting sshRNAs and miR-210 antimiRs both alone and in combination were
formulated for local delivery to wounds using layer-by-layer (LbL) technology.
LbL nanofabrication was applied to incorporate sshRNA into a thin polymer coating
on a Tegaderm mesh. This coating gradually degrades under physiological
conditions, releasing sshRNA and antimiR for sustained cellular uptake.
Formulated treatments were applied directly to splinted full-thickness excisional
wounds in db/db mice. Cellular uptake was confirmed using fluorescent sshRNA.
Wounds treated with a single application of PHD2 sshRNA or antimiR-210 closed 4
days faster than untreated wounds, and wounds treated with both oligonucleotides
closed on average 4.75 days faster. Markers for neovascularization and cell
proliferation (CD31 and Ki67, respectively) were increased in the wound area
following treatment, and vascular endothelial growth factor (VEGF) was increased
in sshRNA-treated wounds. Our results suggest that silencing of PHD2 and miR-210
either together or separately by localized delivery of sshRNAs and antimiRs is a
promising approach for the treatment of chronic wounds, with the potential for
rapid clinical translation.
PMID- 29644939
TI - Activation of the Wnt/beta-Catenin Pathway by an Inflammatory Microenvironment
Affects the Myogenic Differentiation Capacity of Human Laryngeal Mucosa
Mesenchymal Stromal Cells.
AB - Various microenvironments influence the multiple differentiation potential of
mesenchymal stromal cells. For example, inflammatory microenvironment can
suppress the myogenic differentiation capability of laryngeal mucosa mesenchymal
stromal cells (LM-MSCs). The present study therefore sought to identify the
underlying molecular mechanisms regulating these processes. We isolated a novel
population of MSCs, LM-MSCs, from the laryngeal mucosa tissues. The cells were
cultured in osteogenic, adipogenic, and myogenic differentiation media in the
presence or absence of interleukin-1beta and tumor necrosis factor alpha (to
simulate inflammatory microenvironment). The expression of active beta-catenin, p
GSK3beta, and GSK3beta were detected by western blot and real-time polymerase
chain reaction. The myogenic differentiation of LM-MSCs in inflammatory
microenvironment and the regulation by Dickkopf-1 (DKK1) were tested both in vivo
and in vitro. Inflammatory microenvironment could suppress the osteogenesis,
adipogenesis, and myogenesis of LM-MSCs. The Wnt/beta-catenin signaling pathway
was activated during myogenesis in inflammatory microenvironment. The suppressed
myogenic differentiation capability of LM-MSCs in inflammatory microenvironment
was reversed by DKK1. By regulating the Wnt/beta-catenin signaling pathway, DKK1
can improve the myogenic differentiation of LM-MSCs in inflammatory
microenvironment. Thus, the results of this study may help improve the efficacy
of LM-MSCs injection therapy for vocal fold regeneration.
PMID- 29644941
TI - Role of MicroRNAs in Treatment Response in Prostate Cancer.
AB - Prostate cancer (PCa) is the most common non-skin cancer in men worldwide,
resulting in significant mortality and morbidity. Depending on the grade and
stage of the cancer, patients may be given radiation therapy, hormonal therapy,
or chemotherapy. However, more than half these patients develop resistance to
treatment, leading to disease progression and metastases, often with lethal
consequences. MicroRNAs (miRNAs) are short, non-coding RNAs, which regulate
numerous physiological as well as pathological processes, including cancer.
miRNAs mediate their regulatory effect predominately by binding to the 3c
untranslated region (UTR) of their target mRNAs. In this review, we will describe
the mechanisms by which miRNAs mediate resistance to radiation and drug therapy
(i.e. hormone therapy and chemotherapy) in PCa, including control of apoptosis,
cell growth and proliferation, autophagy, epithelial-to-mesenchymal transition
(EMT), invasion and metastasis, and cancer stem cells (CSCs). Furthermore, we
will discuss the utility of circulating miRNAs isolated from different body
fluids of prostate cancer patients as non-invasive biomarkers of cancer
detection, disease progression, and therapy response. Finally, we will shortlist
the candidate miRNAs, which may have a role in drug- and radioresistance, that
could potentially be used as predictive biomarkers of treatment response.
PMID- 29644940
TI - Transient Scleraxis Overexpression Combined with Cyclic Strain Enhances Ligament
Cell Differentiation.
AB - Efforts to generate tissue-engineered anterior cruciate ligament replacements are
limited by a lack of methods to derive mature ligament cells. Viral
overexpression of the tendon/ligament marker scleraxis (Scx) can drive cell
differentiation; however, the use of viral vectors hampers translation to
clinical use. In this study, C3H10T1/2 cells were transiently transfected with
expression vectors containing the full-length murine Scx cDNA and cultured in
three-dimensional collagen hydrogels under static or cyclic strain for up to 14
days. beta-galactosidase (LacZ) transfected cells served as controls. Cell
morphology and gene expression for ligament-related genes, in addition to
contraction (hydrogel width), mechanical properties, and glycosaminoglycan (GAG)
and DNA content of hydrogels, were quantified and compared over time, between Scx
and LacZ groups, and between static and cyclically strained constructs. Increased
Scx expression was maintained for the entire 14-day study in both static and
cyclically strained constructs. In static culture, overexpression of Scx resulted
in greater cell elongation and construct contraction compared to LacZ controls.
There were no differences in gene expression, DNA, or GAG content between Scx and
LacZ constructs cultured under static conditions and no differences in DNA
content between Scx and LacZ constructs. When exposed to cyclic strain, Scx
overexpressing cells maintained the elongated phenotype exhibited in static
constructs, increased GAG production compared to static culture, and increased
expression of the ligament-related genes collagen type I, decorin, and tenascin-C
compared to strained LacZ controls. Cyclically strained constructs containing Scx
overexpressing cells had increased maximum load and stiffness compared to LacZ
controls. The maintenance of increased Scx expression throughout the 14 day study
and subsequent increases in ligament marker gene expression and mechanical
properties with cyclic, but not static strain, suggest that transient
transfection may be a viable alternative to viral transduction of Scx for
ligament engineering studies and support a synergistic effect of Scx and
mechanical strain on driving early ligament cell differentiation.
PMID- 29644942
TI - Postnatal undernutrition in mice causes cardiac arrhythmogenesis which is
exacerbated when pharmacologically stressed.
AB - Growth restriction caused by postnatal undernutrition increases risk for
cardiovascular disease in adulthood with the potential to induce
arrhythmogenesis. Thus, the purpose was to determine if undernutrition during
development produced arrhythmias at rest and when stressed with dobutamine in
adulthood. Mouse dams were fed (CON: 20% protein), or low-protein (LP: 8%) diet
before mating. A cross-fostering model was used where pups nursed by dams fed LP
diet in early [EUN; postnatal day (PN) 1-10], late (LUN; PN11-21) and whole (PUN;
1-21) phases of postnatal life. Weaned pups were switched to CON diets for the
remainder of the study (PN80). At PN80, body composition (magnetic resonance
imaging), and quantitative electrocardiogram (ECG) measurements were obtained
under 1% isoflurane anesthesia. After baseline ECG, an IP injection (1.5 ug/g
body weight) of dobutamine was administered and ECG repeated. Undernutrition
significantly (P<0.05) reduced body weight in LUN (22.68+/-0.88 g) and PUN
(19.96+/-0.32 g) but not in CON (25.05+/-0.96 g) and EUN (25.28+/-0.9207 g). Fat
mass decreased in all groups compared with controls (CON: 8.00+/-1.2 g, EUN:
6.32+/-0.65 g, LUN: 5.11+/-1.1 g, PUN: 3.90+/-0.25 g). Lean mass was only
significantly reduced in PUN (CON: 17.99+/-0.26 g, EUN: 17.78+/-0.39 g, LUN:
17.34+/-0.33 g, PUN: 15.85+/-0.28 g). Absolute heart weights were significantly
less from CON, with PUN having the smallest. ECG showed LUN had occurrences of
atrial fibrillation; EUN had increases of 1st degree atrioventricular block upon
stimulation, and PUN had increased risk for ventricular depolarization
arrhythmias. CON did not display arrhythmias. Undernutrition in early life
resulted in ventricular arrhythmias under stressed conditions, but undernutrition
occurring in later postnatal life there is an increased incidence of atrial
arrhythmias.
PMID- 29644943
TI - Reduced Clostridium difficile Tests and Laboratory-Identified Events With a
Computerized Clinical Decision Support Tool and Financial Incentive.
AB - We hypothesized that a computerized clinical decision support tool for
Clostridium difficile testing would reduce unnecessary inpatient tests, resulting
in fewer laboratory-identified events. Census-adjusted interrupted time-series
analyses demonstrated significant reductions of 41% fewer tests and 31% fewer
hospital-onset C. difficile infection laboratory-identified events following this
intervention.Infect Control Hosp Epidemiol 2018;39:737-740.
PMID- 29644944
TI - A new heligmonellid (Nematoda) species of the genus Stilestrongylus in
Euryoryzomys russatus (Rodentia: Sigmodontinae) in the Atlantic Forest, southern
Brazil.
AB - A new species of Nippostrongylinae (Nematoda: Heligmonellidae), Stilestrongylus
rolandoi n. sp., is described from specimens collected from the small intestine
of the rodent Euryoryzomys russatus in the Atlantic Forest (Santo Amaro da
Imperatriz, Santa Catarina state, southern Brazil). The genus Stilestrongylus
includes 23 species, which parasitize rodents occurring in the Neotropical
region. Stilestrongylus aureus (Durette-Desset & Sutton, 1985) from Argentina, S.
azarai (Durette-Desset & Sutton, 1985) from Argentina, S. flavescens (Sutton &
Durette-Desset, 1991) from Uruguay, S. franciscanus (Digiani & Durette-Desset,
2002) from Argentina, S. gracielae (Digiani & Durette-Desset, 2006) from
Argentina, and S. oryzomysi (Sutton & Durette-Desset, 1991) from Argentina are
closely related to Stilestrongylus rolandoi n. sp., all having caudal bursa
patterns of types 1-4 in one of the lobes. Stilestrongylus rolandoi n. sp. is
distinguished from the aforementioned species by its ray 6 being short in
relation to rays 4 and 5, which are long and robust, and by having caudal bursa
patterns of types 1-4 in both lobes. The new species has 27 ridges in the mid
body in males, and 24 in females, and has one of the highest ratios of spicule
length to body length (21-33%) in this genus.
PMID- 29644945
TI - Quantitative evaluation of ruminal methane and carbon dioxide formation from
formate through C-13 stable isotope analysis in a batch culture system.
AB - Methane produced from formate is one of the important methanogensis pathways in
the rumen. However, quantitative information of CH4 production from formate has
been rarely reported. The aim of this study was to characterize the conversion
rate (CR) of formic acid into CH4 and CO2 by rumen microorganisms. Ground lucerne
hay was incubated with buffered ruminal fluid for 6, 12, 24 and 48 h. Before the
incubation, 13C-labeled H13COOH was also supplied into the incubation bottle at a
dose of 0, 1.5, 2.2 or 2.9 mg/g of DM substrate. There were no interactions
(P>0.05) between dose and incubation time for all variables evaluated. When
expressed as an absolute amount (ml in gas sample) or a relative CR (%), both
13CH4 and 13CO2 production quadratically increased (P<0.01) with the addition of
H13COOH. The total 13C (13CH4 and 13CO2) CR was also quadratically increased
(P<0.01) when H13COOH was added. Moreover, formate addition linearly decreased
(P<0.031) the concentrations of NH3-N, total and individual volatile fatty acids
(acetate, propionate and butyrate), and quadratically decreased (P<0.014) the
populations of protozoa, total methanogens, Methanosphaera stadtmanae,
Methanobrevibacter ruminantium M1, Methanobrevibacter smithii and Methanosarcina
barkeri. In summary, formate affects ruminal fermentation and methanogenesis, as
well as the rumen microbiome, in particular microorganisms which are directly or
indirectly involved in ruminal methanogenesis. This study provides quantitative
verification for the rapid dissimilation of formate into CH4 and CO2 by rumen
microorganisms.
PMID- 29644946
TI - The Incidence, Risk Factors, and Chronobiology of Acute Myocardial Infarction Ten
Years After Hurricane Katrina.
AB - OBJECTIVE: The purpose of this study was to investigate the 10-year impact of
Hurricane Katrina on the incidence of acute myocardial infarction (AMI) along
with contributing risk factors and any alteration in chronobiology of AMI.
METHODS: A single-center, retrospective, comparison study of AMI incidence was
performed at Tulane University Health Sciences Center from 2 years before
Hurricane Katrina to 10 years after Hurricane Katrina. A 6-year, pre-Katrina and
10-year, post-Katrina cohort were also compared according to pre-specified
demographic, clinical, and chronobiological data. RESULTS: AMI incidence
increased from 0.7% (150/21,079) to 2.8% (2,341/84,751) post-Katrina (P<0.001).
The post-Katrina cohort had higher rates of coronary artery disease (36.4% vs.
47.9%, P=0.01), diabetes mellitus (31.3% vs. 39.9%, P=0.04), hyperlipidemia
(45.4% vs. 59.3%, P=0.005), smoking (34.4% vs. 53.8%, P<0.001), drug abuse (10.2%
vs. 15.4%, P=0.02), psychiatric illness (6.7% vs. 14.9%, P<0.001), medication non
adherence (7.3% vs. 15.3%, P<0.001), and lack of employment (7.2% vs. 16.4%,
P<0.001). The post-Katrina group had increased rates of AMI during nights (29.8%
vs. 47.8%, P<0.001) and weekends (16.1% vs. 29.1%, P<0.001). CONCLUSIONS: Even 10
years after the storm, Hurricane Katrina continues to be associated with
increased incidence of AMI, higher prevalence of traditional cardiovascular and
psychosocial risk factors, and an altered chronobiology of AMI toward nights and
weekends. (Disaster Med Public Health Preparedness. 2018;page 1 of 6).
PMID- 29644948
TI - Peer support and global mental health.
PMID- 29644947
TI - Intracranial Electroencephalographic Monitoring: From Subdural to Depth
Electrodes.
AB - At the London Health Sciences Centre Epilepsy Program, stereotactically implanted
depth electrodes have largely replaced subdural electrodes in the presurgical
investigation of patients with drug-resistant epilepsy over the past 4 years. The
rationale for this paradigm shift was more experience with, and improved surgical
techniques for, stereoelectroencephalography, a possible lower-risk profile for
depth electrodes, better patient tolerability, shorter operative time, as well as
increased recognition of potential surgical targets that are not accessible to
subdural electrodes.
PMID- 29644949
TI - Extrinsic iron from soil contributes to Hb regeneration of anaemic rats:
implications for foods contaminated with soil iron.
AB - Contamination of foods with extrinsic (soil) Fe is common in developing
countries. However, the bioavailability of this extrinsic Fe and the extent to
which it contributes to Fe nutrition remains unknown. The present study compared
the bioavailability of laboratory- and field-threshed teff (Eragrostisis tef
(Zucc) Trotter) to evaluate the bioavailablity of extrinsic soil Fe that resulted
from the traditional threshing of the staple grain. Using sequential extraction,
Fe was fractionated and its solubility was evaluated. The contribution of the
additional extrinsic (soil) Fe to the Hb regeneration of Fe-depleted rats was
evaluated using a rat Hb depletion-repletion assay. Weanling male Wistar rats (n
24) were fed Fe-deficient diet for 21 d, and were then repleted for 14 d with
diets: either laboratory-threshed teff (35 mg Fe/kg; n 8), field-threshed teff
(35 mg intrinsic Fe/kg+ 120 mg soil Fe/kg; n 8), or FeSO4 (control; n 8). Fe
content of field-threshed teff (29.4 mg/100 g) was four times greater than that
of the laboratory-threshed (6.7 mg/100 g) teff (P<0.05). Soil contamination
significantly increased the exchangeable, acid-soluble and reducible fractions
obtained after sequential extraction. The relative biological value of the field
threshed teff (88 %) was higher than that of the laboratory-threshed (68 %) teff
(P<0.05). Soil Fe can contribute to Hb regeneration in Fe-deficient rats.
Considering that contamination of foods with soil is common in Ethiopia and other
developing countries, it needs to be accounted for in the design and
implementation of fortification programmes to prevent excessive intakes. Human
studies are needed to confirm the present findings.
PMID- 29644950
TI - Vitamin C intake in relation to bone mineral density and risk of hip fracture and
osteoporosis: a systematic review and meta-analysis of observational studies.
AB - We aimed to systematically review available data on the association between
vitamin C intake and bone mineral density (BMD), as well as risk of fractures and
osteoporosis, and to summarise this information through a meta-analysis. Previous
studies on vitamin C intake in relation to BMD and risk of fracture and
osteoporosis were selected through searching PubMed, Scopus, ISI Web of Science
and Google Scholar databases before February 2017, using MeSH and text words. To
pool data, either a fixed-effects model or a random-effects model was used, and
for assessing heterogeneity, Cochran's Q and I 2 tests were used. Subgroup
analysis was applied to define possible sources of heterogeneity. Greater dietary
vitamin C intake was positively associated with BMD at femoral neck (pooled r
0.18; 0.06, 0.30) and lumbar spine (pooled r 0.14; 95 % CI 0.06, 0.22); however,
significant between-study heterogeneity was found at femoral neck: I 2=87.6 %, P
heterogeneity<0.001. In addition, we found a non-significant association between
dietary vitamin C intake and the risk of hip fracture (overall relative
risk=0.74; 95 % CI 0.51, 1.08). Significant between-study heterogeneity was found
(I 2=79.1 %, P heterogeneity<0.001), and subgroup analysis indicated that study
design, sex and age were the main sources of heterogeneity. Greater dietary
vitamin C intake was associated with a 33 % lower risk of osteoporosis (overall
relative risk=0.67; 95 % CI 0.47, 0.94). Greater dietary vitamin C intake was
associated with a lower risk of hip fracture and osteoporosis, as well as higher
BMD, at femoral neck and lumbar spine.
PMID- 29644951
TI - Vitamin D deficiency and insufficiency among US adults: prevalence, predictors
and clinical implications.
AB - Vitamin D deficiency (VDD) and insufficiency (VDI) are increasing at a global
level, and they are associated with increased risk of various diseases. However,
little information is available on the prevalence and predictors of VDD and VDI
in a representative population of US adults. Serum 25-hydroxyvitamin D (25(OH)D)
measurements were collected from 26 010 adults aged >=18 years from the National
Health and Nutrition Examination Survey (NHANES) 2001-2010. Using thresholds
recommended by the Endocrine Society, VDD was defined as 25(OH)D<50 nmol/l and
VDI as 50<=25(OH)D<75 nmol/l. Weighted multinomial log-binomial regression was
conducted to estimate prevalence ratios of VDD and VDI. The prevalences of VDD
and VDI in 2001-2010 were 28.9 and 41.4 %, respectively. Adults who were black,
less educated, poor, obese, current smokers, physically inactive and infrequent
milk consumers had a higher prevalence of VDD. After adjustment for other
potential predictors, obese adults showed 3.09 times higher prevalence of VDD and
1.80 times higher prevalence of VDI than non-obese adults. Physically inactive
adults had 2.00 and 1.36 times higher prevalence of VDD and VDI than active
peers. Compared with frequent consumers, rare consumers of milk had 2.44 and 1.25
times higher prevalence of VDD and VDI, respectively. Current alcohol drinkers
had 38 % lower prevalence of VDD than non-drinkers. Awareness of the high
prevalence of VDD and VDI among US adults and related predictors could inform
behavioural and dietary strategies for preventing VDD and monitoring VDI,
especially in old, black, obese and inactive individuals who report rare
consumption of milk.
PMID- 29644952
TI - Carbohydrate, dietary glycaemic index and glycaemic load, and colorectal cancer
risk: a case-control study in China.
AB - A carbohydrate-rich diet results in hyperglycaemia and hyperinsulinaemia; it may
further induce the carcinogenesis of colorectal cancer. However, epidemiological
evidence among Chinese population is quite limited. The aim of this study was to
investigate total carbohydrate, non-fibre carbohydrate, total fibre, starch,
dietary glycaemic index (GI) and glycaemic load (GL) in relation to colorectal
cancer risk in Chinese population. A case-control study was conducted from July
2010 to April 2017, recruiting 1944 eligible colorectal cancer cases and 2027 age
(5-year interval) and sex frequency-matched controls. Dietary information was
collected by using a validated FFQ. The OR and 95 % CI of colorectal cancer risk
were assessed by multivariable logistic regression models. There was no clear
association between total carbohydrate intake and colorectal cancer risk. The
adjusted OR was 0.85 (95 % CI 0.70, 1.03, P trend=0.08) comparing the highest
with the lowest quartile. Total fibre was related to a 53 % reduction in
colorectal cancer risk (adjusted ORquartile 4 v. 1 0.47; 95 % CI 0.39, 0.58).
However, dietary GI was positively associated with colorectal cancer risk, with
an adjusted ORquartile 4 v. 1 of 3.10 (95 % CI 2.51, 3.85). No significant
association was found between the intakes of non-fibre carbohydrate, starch and
dietary GL and colorectal cancer risk. This study indicated that dietary GI was
positively associated with colorectal cancer risk, but no evidence supported that
total carbohydrate, non-fibre carbohydrate, starch or high dietary GL intake were
related to an increased risk of colorectal cancer in a Chinese population.
PMID- 29644953
TI - Dietary patterns and cardiometabolic risk factors among adolescents: systematic
review and meta-analysis.
AB - This study systematised and synthesised the results of observational studies that
were aimed at supporting the association between dietary patterns and
cardiometabolic risk (CMR) factors among adolescents. Relevant scientific
articles were searched in PUBMED, EMBASE, SCIENCE DIRECT, LILACS, WEB OF SCIENCE
and SCOPUS. Observational studies that included the measurement of any CMR factor
in healthy adolescents and dietary patterns were included. The search strategy
retained nineteen articles for qualitative analysis. Among retained articles, the
effects of dietary pattern on the means of BMI (n 18), waist circumference (WC)
(n 9), systolic blood pressure (n 7), diastolic blood pressure (n 6), blood
glucose (n 5) and lipid profile (n 5) were examined. Systematised evidence showed
that an unhealthy dietary pattern appears to be associated with poor mean values
of CMR factors among adolescents. However, evidence of a protective effect of
healthier dietary patterns in this group remains unclear. Considering the number
of studies with available information, a meta-analysis of anthropometric measures
showed that dietary patterns characterised by the highest intake of unhealthy
foods resulted in a higher mean BMI (0.57 kg/m2; 95 % CI 0.51, 0.63) and WC (0.57
cm; 95 % CI 0.47, 0.67) compared with low intake of unhealthy foods.
Controversially, patterns characterised by a low intake of healthy foods were
associated with a lower mean BMI (-0.41 kg/m2; 95 % CI -0.46,-0.36) and WC (-0.43
cm; 95 % CI -0.52,-0.33). An unhealthy dietary pattern may influence markers of
CMR among adolescents, but considering the small number and limitations of the
studies included, further studies are warranted to strengthen the evidence of
this relation.
PMID- 29644954
TI - Eating frequency is inversely associated with BMI, waist circumference and the
proportion of body fat in Korean adults when diet quality is high, but not when
it is low: analysis of the Fourth Korea National Health and Nutrition Examination
Survey (KNHANES IV).
AB - The role of eating frequency (EF) in obesity development has been debated, and
few studies have investigated Asian populations. Diet quality might affect the
association between EF and obesity. Therefore, we investigated the association
between EF and obesity indicators in a representative sample of Korean adults
with consideration to diet quality. This cross-sectional study used data of 6951
participants aged 19-93 years (male 49.8 %, female 50.2 %) from the Fourth Korean
National Health and Nutrition Examination Survey. EF was assessed using a
questionnaire, and diet quality was defined as mean adequacy ratio (MAR). To
explore the association between EF and obesity indicators, we used multiple
linear regression analyses with and without interaction terms between diet
quality and EF. EF was inversely associated with each obesity indicator,
including body fat percentage (BF%), BMI and waist circumference (WC), showing a
significant linear trend (P<0.001 for BF%, WC and BMI). In addition, the
association between EF and each obesity indicator was significantly altered
according to diet quality (P value of the interaction term EF*diet quality=0.008
in the regression model for BF%, <0.001 for BMI and 0.043 for WC). In the
stratified analyses according to diet quality, EF had a significant inverse
association with BF%, WC and BMI in the high diet quality groups, but not in the
low diet quality groups. This study suggests that EF is inversely associated with
the obesity indicators when diet quality is high, but not when it is low in
Korean adults.
PMID- 29644955
TI - Relationship between plasma 25-hydroxymitamin D and leucocyte telomere length by
sex and race in a US study - CORRIGENDUM.
PMID- 29644956
TI - Genetic polymorphisms of key enzymes in folate metabolism affect the efficacy of
folate therapy in patients with hyperhomocysteinaemia.
AB - The aim of this study is to analyse the efficacy rate of folate for the treatment
of hyperhomocysteinaemia (HHcy) and to explore how folate metabolism-related gene
polymorphisms change its efficacy. This study also explored the effects of gene
gene and gene-environment interactions on the efficacy of folate. A prospective
cohort study enrolling HHcy patients was performed. The subjects were treated
with oral folate (5 mg/d) for 90 d. We analysed the efficacy rate of folate for
the treatment of HHcy by measuring homocysteine (Hcy) levels after treatment.
Unconditioned logistic regression was conducted to analyse the association
between SNP and the efficacy of folic acid therapy for HHcy. The efficacy rate of
folate therapy for HHcy was 56.41 %. The MTHFR rs1801133 CT genotype, TT genotype
and T allele; the MTHFR rs1801131 AC genotype, CC genotype and C allele; the MTRR
rs1801394 GA genotype, GG genotype and G allele; and the MTRR rs162036 AG
genotype and AG+GG genotypes were associated with the efficacy of folic acid
therapy for HHcy (P<0.05). No association was seen between other SNP and the
efficacy of folic acid. The optimal model of gene-gene interactions was a two
factor interaction model including rs1801133 and rs1801394. The optimal model of
gene-environment interaction was a three-factor interaction model including
history of hypertension, history of CHD and rs1801133. Folate supplementation can
effectively decrease Hcy level. However, almost half of HHcy patients failed to
reach the normal range. The efficacy of folate therapy may be genetically
regulated.
PMID- 29644957
TI - A carbohydrate-reduced high-protein diet acutely decreases postprandial and
diurnal glucose excursions in type 2 diabetes patients.
AB - The aim of the study was to assess whether a simple substitution of carbohydrate
in the conventionally recommended diet with protein and fat would result in a
clinically meaningful reduction in postprandial hyperglycaemia in subjects with
type 2 diabetes mellitus (T2DM). In all, sixteen subjects with T2DM treated with
metformin only, fourteen male, with a median age of 65 (43-70) years, HbA1c of
6.5 % (47 mmol/l) (5.5-8.3 % (37-67 mmol/l)) and a BMI of 30 (sd 4.4) kg/m2
participated in the randomised, cross-over study. A carbohydrate-reduced high
protein (CRHP) diet was compared with an iso-energetic conventional diabetes (CD)
diet. Macronutrient contents of the CRHP/CD diets consisted of 31/54 % energy
from carbohydrate, 29/16 % energy from protein and 40/30 % energy from fat,
respectively. Each diet was consumed on 2 consecutive days in a randomised order.
Postprandial glycaemia, pancreatic and gut hormones, as well as satiety, were
evaluated at breakfast and lunch. Compared with the CD diet, the CRHP diet
reduced postprandial AUC of glucose by 14 %, insulin by 22 % and glucose
dependent insulinotropic polypeptide by 17 % (all P<0.001), respectively.
Correspondingly, glucagon AUC increased by 33 % (P<0.001), cholecystokinin by 24
% (P=0.004) and satiety scores by 7 % (P=0.035), respectively. A moderate
reduction in carbohydrate with an increase in fat and protein in the diet,
compared with an energy-matched CD diet, greatly reduced postprandial glucose
excursions and resulted in increased satiety in patients with well-controlled
T2DM.
PMID- 29644958
TI - Dairy product subgroups and risk of type 2 diabetes.
PMID- 29644959
TI - Dietary patterns within educational groups and their association with CHD and
stroke in the European Prospective Investigation into Cancer and Nutrition
Netherlands cohort.
AB - Higher-educated people often have healthier diets, but it is unclear whether
specific dietary patterns exist within educational groups. We therefore aimed to
derive dietary patterns in the total population and by educational level and to
investigate whether these patterns differed in their composition and associations
with the incidence of fatal and non-fatal CHD and stroke. Patterns were derived
using principal components analysis in 36 418 participants of the European
Prospective Investigation into Cancer and Nutrition-Netherlands cohort. Self
reported educational level was used to create three educational groups. Dietary
intake was estimated using a validated semi-quantitative FFQ. Hazard ratios were
estimated using Cox Proportional Hazard analysis after a mean follow-up of 16
years. In the three educational groups, similar 'Western', 'prudent' and
'traditional' patterns were derived as in the total population. However, with
higher educational level a lower population-derived score for the 'Western' and
'traditional' patterns and a higher score on the 'prudent' pattern were observed.
These differences in distribution of the factor scores illustrate the association
between education and food consumption. After adjustments, no differences in
associations between population-derived dietary patterns and the incidence of CHD
or stroke were found between the educational groups (P interaction between 0.21
and 0.98). In conclusion, although in general population and educational groups
derived dietary patterns did not differ, small differences between educational
groups existed in the consumption of food groups in participants considered
adherent to the population-derived patterns (Q4). This did not result in
different associations with incident CHD or stroke between educational groups.
PMID- 29644960
TI - Glucosinolate and isothiocyanate intakes are inversely associated with breast
cancer risk: a case-control study in China.
AB - Although previous studies have investigated the association of cruciferous
vegetable consumption with breast cancer risk, few studies focused on the
association between bioactive components in cruciferous vegetables,
glucosinolates (GSL) and isothiocyanates (ITC), and breast cancer risk. This
study aimed to examine the association between consumption of cruciferous
vegetables and breast cancer risk according to GSL and ITC contents in a Chinese
population. A total of 1485 cases and 1506 controls were recruited into this case
control study from June 2007 to March 2017. Consumption of cruciferous vegetables
was assessed using a validated FFQ. Dietary GSL and ITC were computed by using
two food composition databases linking GSL and ITC contents in cruciferous
vegetables with responses to the FFQ. The OR and 95 % CI were assessed by
unconditional logistic regression after adjusting for the potential confounders.
Significant inverse associations were found between consumption of cruciferous
vegetables, GSL and ITC and breast cancer risk. The adjusted OR comparing the
highest with the lowest quartile were 0.51 (95 % CI 0.41, 0.63) for cruciferous
vegetables, 0.54 (95 % CI 0.44, 0.67) for GSL and 0.62 (95 % CI 0.50, 0.76) for
ITC, respectively. These inverse associations were also observed in both
premenopausal and postmenopausal women. Subgroup analysis by hormone receptor
status found inverse associations between cruciferous vegetables, GSL and ITC and
both hormone-receptor-positive or hormone-receptor-negative breast cancer. This
study indicated that consumption of cruciferous vegetables, GSL and ITC was
inversely associated with breast cancer risk among Chinese women.
PMID- 29644961
TI - High-intensity-exercise-induced intestinal damage is protected by fermented milk
supplemented with whey protein, probiotic and pomegranate (Punica granatum L.).
AB - Here we evaluated the effect of fermented milk supplemented with whey protein
(approximately 80 % protein), probiotic (Bifidobacterium animalis subsp. lactis
BB12) and pomegranate juice (Punica granatum L.) on the physical performance,
intestinal motility and villi structure, inflammatory markers and intestinal
microbiota of rats under high-intensity acute exercise. In all, twenty-four
Wistar rats were separated into groups: control (Ctrl), supplemented (Supp),
exercised (Exe) and exercised and supplemented (Exe+Supp). Rats in the Supp
groups received fermented milk during 6 weeks by oral administration. At the end
of the supplementation period, the Exe groups were submitted to high-intensity
acute exercise on a treadmill. We found that intense acute exercise caused
changes in the intestinal villi interspace, changes in the proportion of
Lactobacillus species and an increase in Clostridium species, as well as a
decrease in intestinal motility. Supplementation increased intestinal motility,
and maintained the intestinal villi interspace and the natural microbiota
proportions of the exercised rats. Physical performance was not improved by
fermented milk supplementation. We conclude that the fermented milk containing
whey protein, B. animalis (BB12) and pomegranate juice can re-establish
intestinal microbiota and protect the animals from the undesirable effects of
intense acute exercise.
PMID- 29644962
TI - Effects of curcumin on performance, antioxidation, intestinal barrier and
mitochondrial function in ducks fed corn contaminated with ochratoxin A.
AB - Curcumin has been attributed with antioxidant, anti-inflammatory, antibacterial
activities, and has shown highly protective effects against enteropathogenic
bacteria and mycotoxins. Ochratoxin A (OTA) is one of the major intestinal
pathogenic mycotoxins. The possible effect of curcumin on the alleviation of
enterotoxicity induced by OTA is unknown. The effects of dietary curcumin
supplementation on OTA-induced oxidative stress, intestinal barrier and
mitochondrial dysfunctions were examined in young ducks. A total of 540 mixed-sex
1-day-old White Pekin ducklings with initial BW (43.4+/-0.1 g) were randomly
assigned into controls (fed only the basal diet), a group fed an OTA-contaminated
diet (2 mg/kg feed), and a group fed the same OTA-contaminated feed plus 400
mg/kg of curcumin. Each treatment consisted of six replicates, each containing 30
ducklings and treatment lasted for 21 days. There was a significant decrease in
average daily gain (ADG) and increased feed : gain caused by OTA (P<0.05);
curcumin co-treatment prevented the decrease in BW and ADG compared with the OTA
group (P<0.05). Histopathological and ultrastructural examination showed clear
signs of enterotoxicity caused by OTA, but these changes were largely prevented
by curcumin supplementation. Curcumin decreased the concentrations of interleukin
1beta, tumor necrosis factor-alpha and malondialdehyde, and increased the
activity of glutathione peroxidase induced by OTA in the jejunal mucosa of ducks
(P<0.05). Additionally, curcumin increased jejunal mucosa occludin and tight
junction protein 1 mRNA and protein levels, and decreased those of rho-associated
protein kinase 1 (P<0.05). Notably, curcumin inhibited the increased expression
of apoptosis-related genes, and downregulated mitochondrial transcription factors
A, B1 and B2 caused by OTA without any effects on RNA polymerase mitochondrial
(P<0.05). These results indicated that curcumin could protect ducks from OTA
induced impairment of intestinal barrier function and mitochondrial integrity.
PMID- 29644963
TI - In Memoriam: Jimmie C. Holland, MD, 1928-2017.
PMID- 29644964
TI - Ulnar and Superficial Radial Nerve Swellings in Two Patients with Leprosy.
PMID- 29644965
TI - Centers for Disease Control and Prevention Yellow Book 2018: Health Information
for International Travelers.
PMID- 29644967
TI - Treatment of hepatitis C: momentum still needed.
PMID- 29644968
TI - Colorectal cancer in childhood cancer survivors.
PMID- 29644969
TI - Time to reconsider liver transplantation for intrahepatic cholangiocarcinoma?
PMID- 29644966
TI - Diagnosis and Treatment of Neurocysticercosis: 2017 Clinical Practice Guidelines
by the Infectious Diseases Society of America (IDSA) and the American Society of
Tropical Medicine and Hygiene (ASTMH).
PMID- 29644970
TI - Striving for hepatitis C virus elimination or control?
PMID- 29644971
TI - Haematopoietic stem cells in cirrhosis - Authors' reply.
PMID- 29644972
TI - Haematopoietic stem cells in cirrhosis.
PMID- 29644977
TI - Emerging optical methods for endoscopic surveillance of Barrett's oesophagus.
AB - Barrett's oesophagus is an acquired metaplastic condition that predisposes
patients to the development of oesophageal adenocarcinoma, prompting the use of
surveillance regimes to detect early malignancy for endoscopic therapy with
curative intent. The currently accepted surveillance regime uses white light
endoscopy together with random biopsies, but has poor sensitivity and discards
information from numerous light-tissue interactions that could be exploited to
probe structural, functional, and molecular changes in the tissue. Advanced
optical methods are now emerging that are highly sensitive to these changes and
hold potential to improve surveillance of Barrett's oesophagus if they can be
applied endoscopically. The next decade will see some of these exciting new
methods applied to surveillance of Barrett's oesophagus in new device
architectures for the first time, potentially leading to a long-awaited
improvement in the standard of care.
PMID- 29644979
TI - Correction to Lancet Gastroenterol Hepatol 2018; 3: 326-36.
PMID- 29644978
TI - Unmet needs and new models for future trials in autoimmune hepatitis.
AB - Despite advances in understanding and treatment of autoimmune hepatitis,
important unmet clinical needs remain in both adult and paediatric patient
populations. The evolving research landscape and assembly of large patient
cohorts are creating unique opportunities to translate science into new therapies
and care pathways, with the potential to substantially improve the lives of
patients with autoimmune hepatitis. However, these areas of unmet need represent
real challenges that need to be addressed if this vision is to be realised. In
this Viewpoint, we outline the challenges in adult autoimmune hepatitis,
particularly in relation to disease-modifying therapy, and trial design and
delivery. Paediatric autoimmune hepatitis presents its own set of challenging
problems.
PMID- 29644980
TI - Correlation between the hierarchical structures and nanomechanical properties of
amyloid fibrils.
AB - Amyloid fibrils have recently been highlighted due to their excellent mechanical
properties, which not only play a role in their biological functions but also
imply their applications in biomimetic material design. Despite recent efforts to
unveil how the excellent mechanical properties of amyloid fibrils originate, it
has remained elusive how the anisotropic nanomechanical properties of
hierarchically structured amyloid fibrils are determined. Here, we characterize
the anisotropic nanomechanical properties of hierarchically structured amyloid
fibrils using atomic force microscopy experiments and atomistic simulations. It
is shown that the hierarchical structure of amyloid fibrils plays a crucial role
in determining their radial elastic property but does not make any effect on
their bending elastic property. This is attributed to the role of intermolecular
force acting between the filaments (constituting the fibril) on the radial
elastic modulus of amyloid fibrils. Our finding illustrates how the hierarchical
structure of amyloid fibrils encodes their anisotropic nanomechanical properties.
Our study provides key design principles of amyloid fibrils, which endow valuable
insight into the underlying mechanisms of amyloid mechanics.
PMID- 29644982
TI - Hybrid nanocomposites of 2D black phosphorus nanosheets encapsulated in PMMA
polymer material: new platforms for advanced device fabrication.
AB - Hybrid materials, containing a 2D filler embedded in a polymeric matrix, are an
interesting platform for several applications, because of the variety of
properties that the filler can impart to the polymer matrix when dispersed at the
nanoscale. Moreover, novel properties could arise from the interaction between
the two. Mostly the bulk properties of these materials have been studied so far,
especially focusing on how the filler changes the polymeric matrix properties.
Here we propose a complete change of perspective by using the hybrid
nanocomposite material as a platform suitable to engineer the properties of the
filler and to exploit its potential in the fabrication of devices. As a proof of
concept of the versatility and the potential of the new method, we applied this
approach to prepare black phosphorus (bP) nanocomposites through its dispersion
in poly (methyl methacrylate). bP is a very interesting 2D material, whose
application have so far been limited by its high reactivity to oxygen and water.
In this respect, we show that electronic-grade bP flakes, already embedded in a
protecting matrix since their exfoliation from the bulk material, are endowed
with significantly increased stability and can be further processed into devices
without degrading their properties.
PMID- 29644983
TI - Minimizing residues and strain in 2D materials transferred from PDMS.
AB - Integrating layered two-dimensional (2D) materials into 3D heterostructures
offers opportunities for novel material functionalities and applications in
electronics and photonics. In order to build the highest quality
heterostructures, it is crucial to preserve the cleanliness and morphology of 2D
material surfaces that come in contact with polymers such as PDMS during
transfer. Here we report that substantial residues and up to ~0.22% compressive
strain can be present in monolayer MoS2 transferred using PDMS. We show that a UV
ozone pre-cleaning of the PDMS surface before exfoliation significantly reduces
organic residues on transferred MoS2 flakes. An additional 200 ?C vacuum anneal
after transfer efficiently removes interfacial bubbles and wrinkles as well as
accumulated strain, thereby restoring the surface morphology of transferred
flakes to their native state. Our recipe is important for building clean
heterostructures of 2D materials and increasing the reproducibility and
reliability of devices based on them.
PMID- 29644981
TI - Collective gradient sensing and chemotaxis: modeling and recent developments.
AB - Cells measure a vast variety of signals, from their environment's stiffness to
chemical concentrations and gradients; physical principles strongly limit how
accurately they can do this. However, when many cells work together, they can
cooperate to exceed the accuracy of any single cell. In this topical review, I
will discuss the experimental evidence showing that cells collectively sense
gradients of many signal types, and the models and physical principles involved.
I also propose new routes by which experiments and theory can expand our
understanding of these problems.
PMID- 29644984
TI - Design of a QA method to characterize submillimeter-sized PBS beam properties
using a 2D ionization chamber array.
AB - Pencil beam scanning (PBS) periodic quality assurance (QA) programs ensure the
beam delivered to patients is within technical specifications. Two critical
specifications for PBS delivery are the beam width and position. The aim of this
study is to investigate whether a 2D ionization chamber array, such as the
MatriXX detector (IBA Dosimetry, Schwarzenbruck, Germany), can be used to
characterize submillimeter-sized PBS beam properties. The motivation is to use
standard equipment, which may have pixel spacing coarser than the pencil beam
size, and simplify QA workflow. The MatriXX pixels are cylindrical in shape with
4.5 mm diameter and are spaced 7.62 mm from center to center. Two major effects
limit the ability of using the MatriXX to measure the spot position and width
accurately. The first effect is that too few pixels sample the Gaussian shaped
pencil beam profile and the second effect is volume averaging of the Gaussian
profile over the pixel sensitive volumes. We designed a method that overcomes
both limitations and hence enables the use of the MatriXX to characterize sub
millimeter-sized PBS beam properties. This method uses a cross-like irradiation
pattern that is designed to increase the number of sampling data points and a
modified Gaussian fitting technique to correct for volume averaging effects.
Detector signals were calculated in this study and random noise and setup errors
were added to simulate measured data. With the techniques developed in this work,
the MatriXX detector can be used to characterize the position and width of sub
millimeter, sigma = 0.7 mm, sized pencil beams with uncertainty better than 3%
relative to sigma. With the irradiation only covering 60% of the MatriXX, the
position and width of sigma = 0.9 mm sized pencil beams can be determined with
uncertainty better than 3% relative to sigma. If one were to not use a cross-like
irradiation pattern, then the position and width of sigma = 3.6 mm sized pencil
beams can be determined with uncertainty better than 3% relative to sigma. If one
were to not use a cross-like pattern nor volume averaging corrections, then the
position and width of sigma = 5.0 mm sized pencil beams can be determined with
uncertainty better than 3% relative to sigma. This work helps to simplify
periodic QA in proton therapy because more routinely used ionization chamber
arrays can be used to characterize narrow pencil beam properties.
PMID- 29644985
TI - "Could I return to my life?" Integrated Narrative Nursing Model in Education
(INNE).
AB - BACKGROUND AND AIM: The Integrated Narrative Nursing Model (INNM) is an approach
that integrates the qualitative methodology typical of the human sciences, with
the quantitative methodology more often associated with the natural sciences.
This complex model, which combines a focus on narrative with quantitative
measures, has recently been effectively applied to the assessment of chronic
patients. In this study, the model is applied to the planning phase of education
(Integrated Narrative Nursing Education, INNE), and proves to be a valid
instrument for the promotion of the current educational paradigm that is centered
on the engagement of both the patient and the caregiver in their own path of
care. The aim of this study is therefore to describe the nurse's strategy in the
planning of an educational intervention by using the INNE model. METHODS: The
case of a 70-year-old woman with pulmonary neoplasm is described at her first
admission to Hospice. Each step conducted by the reference nurse, who uses INNE
to record the nurse-patient narrative and collect subsequent questionnaires in
order to create a shared educational plan, is also described. RESULTS: The
information collected was submitted, starting from a grounded methodology to the
following four levels of analysis: I. Needs Assessment, II. Narrative Diagnosis,
III. Quantitative Outcome, IV. Integrated Outcome. Step IV, which is derived from
the integration of all levels of analysis, allows a nurse to define, even
graphically, the conceptual map of a patient's needs, resources and perspectives,
in a completely tailored manner. CONCLUSION: The INNE model offers a valid
methodological support for the professional who intends to educate the patient
through an inter-subjective and engaged pathway, between the professional, their
patient and the socio-relational context. It is a matter of adopting a complex
vision that combines processes and methods that require a steady scientific basis
and advanced methodological expertise with active listening and empathy - skills
which require emotional intelligence.
PMID- 29644986
TI - Evaluation of fatigue in patients with pancreatic cancer receiving chemotherapy
treatment: a cross-sectional observational study.
AB - BACKGROUND AND AIM OF THE WORK: Cancer-related fatigue (CRF) is one of the most
common symptoms experienced by cancer patients (CPs) and negatively affects
quality of life. Although CRF is frequently experienced, it is often
underreported, underdiagnosed and undertreated. The objectives of this study were
to evaluate the level of fatigue in patients with pancreatic cancer undergoing
chemotherapy and to analyse its correlation with patients' demographic and
clinical variables. METHODS: A cross-sectional observational study was
implemented in the Oncology Day Hospital of a Northern Italian hospital. A sample
of 48 patients receiving chemotherapy were evaluated through the Brief Fatigue
Inventory Italian version (BFI-I) between 1 May and 12 October 2016. Data were
statistically analysed. RESULTS: Most of our patients (94%) experienced fatigue.
Women as well as patients with an age >=65 years reported more fatigue. Anemia,
pain and a weight loss of over 16 kg in the last 6 months were significantly
related to the perception of fatigue. Regarding life habits, smoking was related
to high global score of BFI-I. CONCLUSIONS: In accordance with literature, our
study suggests that fatigue is a frequent symptom influenced by many
constitutional, clinical and environmental factors. Our results highlight the
need for an early and regular evaluation of fatigue among cancer patients, in
order to implement all those pharmacological and non-pharmacological
interventions with proven efficacy in attenuating this symptom.
PMID- 29644987
TI - Preventing and managing workplace violence against healthcare workers in
Emergency Departments.
AB - BACKGROUND AND AIM: Healthcare workers (HCWs) employed in Emergency Departments
(EDs) frequently face with patients becoming violent because of long wait or
diseases or under the influence of alcohol or drugs. Globally, workplace violence
(WPV) in EDs is a major challenge to safety for HCWs, involving significant
consequences to the victims, patients, and healthcare organizations. We reviewed
the current literature with the aim to explore the topics focused on and to
detect new evidences about approaching the issue of WPV toward HCWs in EDs.
METHODS: A search for articles regarding WPV toward HCWs employed in EDs and
published from January 2007 through December 2017 was performed; using
predetermined criteria for inclusion, selected articles were reviewed and
qualitatively assessed for the aims of the review. RESULTS: We found 60 papers
which matched our inclusion criteria; the topics, discussed in order of frequency
from highest to lowest, were: "Risk Assessment", "Occurrence Rates", "Risk
Management", and "Physical/non Physical Consequences". Dementia, schizophrenia,
anxiety, acute stress reaction, suicidal ideation, and alcohol and drug
intoxication were found as predictors of physical violence perpetrated by
patients against HCWs. CONCLUSION: A strategic way to the effective management of
WPV should prioritize training courses focused on: constructing HCW-patient
relationship, improving the workers' communication skills, accurate reporting of
each violent incident, and improving the labor context through management
commitment and employee involvement in WPV prevention programs. A special effort
is required in implementing workplace design effective in minimizing stressful
conditions in waiting rooms which turned out to be the most frequent site of
assaults.
PMID- 29644988
TI - A randomized comparison trial of two and four-step approaches to teaching Cardio
Pulmonary Reanimation.
AB - BACKGROUND AND AIM OF THE WORK: The treatment of cardiac arrest in an extra
hospital environment improves with the increase in the number of people able to
establish an early Cardio-Pulmonary Reanimation (CPR). The main aim of the study
was to assess the validity of the two-step method in case of prolonged CPR.
METHODS: A randomized comparison study was conducted in the University Nursing
School of a Northern Italian town, during the 2015/16 academic year, among 60
students, to teach them CPR techniques, through two different teaching methods (4
step and the 2-step of CPR training). The effectiveness of the maneuvers
performed on mannequins equipped with skill-meter was verified. RESULTS: Our
study did not highlight any significant difference between the two methods of CPR
training. The comparison between the two methods regarding their efficacy in
practical teaching of CPR, highlighted by this study, proved the validity of both
the 4-minute continuous method (1st method) and the 30:2 method (2nd method).
CONCLUSIONS: The results of the study showed no differences between the 2-step
and the 4-step methods, in the effectiveness of cardiac massage. The correct
execution of chest compressions during a CPR is the key to increase the patient's
chances of rescue. Research has shown that any interruption in the execution of
chest compressions, leads to a progressive reduction of the effectiveness of
cardiac massage, with negative consequences on the prognosis of the patient
undergoing at CPR.
PMID- 29644989
TI - The palliative care in dementia context: health professionals point of view about
advantages and resistances.
AB - BACKGROUND AND AIM: The 38/2010 law has expanded the provision of palliative care
to patients with chronic-degenerative diseases. The ISTAT data show that 60% of
the dying population is suffering from these diseases and could, according to the
literature review, benefit particularly from such treatments. This study
investigates the point of view of healthcare professionals, working in the
context of dementia, regarding the knowledge and application of palliative care
in the field of dementia. The focus is on the analysis of the resistance that may
prevent the spread of palliative care, slowing the application of such care in
different settings of terminality. METHODS: The method is a qualitative
phenomenological approach. A grid of semi-structured interviews was created and
was proposed to 33 health workers (18 women) who work in Health Centres or in the
Alzheimer Nucleus of Northern Italy. RESULTS: Most operators think they
understand palliative care, they believe it to be useful and necessary, but often
only connect it with terminal illness. Others have a broader vision based on a
more abstract and theoretical level than on real practical knowledge. A majority
of respondents think that the spread of palliative care is slow and difficult
because of the prevalence of the biomedical model that holistically and
prejudicially hinders the introduction of new models. CONCLUSION: Appropriate
training is the fundamental key to overcoming resistance. The professionals
interviewed showed that they were aware of their lack of knowledge and declared
that they had little competence in managing the complexity of long-term
pathologies.
PMID- 29644990
TI - Distraction Technique for pain reduction in Peripheral Venous Catheterization:
randomized, controlled trial.
AB - BACKGROUND AND AIM OF THE WORK: Procedural pain during Peripheral Venous
Catheterization (PVC) is a significant issue for patients. Reducing procedure
induced pain improves the quality of care and reduces patient discomfort. We
aimed to compare a non-pharmacological technique (distraction) to anaesthetic
cream (EMLA) for the reduction of procedural pain during PVC, in patients
undergoing Computerized Tomography (CT) or Nuclear Magnetic Resonance (NMR) with
contrast. METHODS: This is a Prospective, Randomized Controlled Trial. The study
was carried out during the month of October 2015. A total of 72 patients
undergoing PVC were randomly assigned to the experimental group (distraction
technique, n=36) or control group (EMLA, n=36). After PVC, pain was evaluated by
means of the numeric pain-rating scale (NRS). Pain perception was compared by
means of Mann-Whitney Test. RESULTS: The average pain in the distraction group
was 0.69 (SD+/-1.26), with a median value of 0. The average pain in the EMLA
group was 1.86 (SD+/-1.73), with a median value of 2. The study showed a
significant improvement from the distraction technique (U=347, p<.001, r=.42)
with respect to the local anaesthetic in reducing pain perception.
Conclusions/Implication for practice: Distraction is more effective than local
anaesthetic in reducing of pain-perception during PVC insertion. This study is
one of few comparing the distraction technique to an anaesthetic. It confirms
that the practitioner-patient relationship is an important point in nursing
assistance, allowing the establishment of trust with the patient and increasing
compliance during the treatment process.
PMID- 29644991
TI - Pain assessment in the Emergency Department. Correlation between pain rated by
the patient and by the nurse. An observational study.
AB - BACKGROUND AND AIM OF THE STUDY: Pain is always present in the Emergency
Department (ED), but is often underestimated. The primary purpose of this study
is to analyze the degree to which the intensity of pain is underestimated or
overestimated in the perception of the nurse and the patient in the ED. The
secondary objective of this research is to study possible factors that lead to
these discrepancies in assessment. METHODS: The observational study was carried
out in two Hospitals in Central Italy. The sample population was based on 130
patients and 26 nurses. A questionnaire was given to the patients who provided
personal data followed by information regarding their pain, including an
assessment of the intensity of pain on a scale from 0 to 10. A similar
questionnaire was given to the nurses. RESULTS: The average score based on the
numeric rating scale (NRS) to assess the intensity of pain perceived by the
patients is 6.16, while the numerical average estimated by the nurses based on
their assessment is 5. Using the t test we found that the average between nurse
and patient assessments was very significant. The analysis of the nurses'
characteristics and professional experiences, age, years of employment and years
of service in the ED are all significant variables affecting the discrepancy
between the nurses' and patients' assessments of pain. As previous studies have
shown, nurses tend to underestimate the degree of pain. In fact, in only 55.5% of
the cases was there a correspondence in the evaluations of the intensity of pain
done by nurses and patients, and in no case did the nurses' evaluation exceed
that of the patients. CONCLUSIONS: This study reveals the persistent difficulty
in pain management, while attempting contemporaneously to communicate the
importance of the assessment, since adequate understanding of pain renders it
possible to recognize and treat it.
PMID- 29644992
TI - The lived experience of parents whose children discharged to home after cardiac
surgery for congenital heart disease.
AB - BACKGROUND: Congenital Heart Diseases (CHDs) afflicting children are estimated in
8 per 1000 live births. Recent advances in surgery and medical treatments allowed
an improvement in survival rates leading to changes in diseases management as
chronic conditions. Parents involvement during clinical pathways is considered an
essential component of care, but frequently they experience stress and anxiety
conditions during the care path. These feelings are typical of the pre and peri
operative period, however they don't disappear easily and sometimes they can
increase after hospital discharge, affecting the family environment and its
behaviors. AIM OF THE WORK: The purpose of this qualitative study is to examine
the lived experience of parents during the time just after their children return
home from hospital after undergoing surgery for CHD. METHODS: Parents were
enrolled and interviewed as a prepositive sampling until concept saturation using
Cohen's phenomenology approach. A quantitative approach was also applied using SF
12 questionnaire. The study was conducted in two Italian hospitals: the Pediatric
Heart Surgery Center of the Fondazione Toscana G. Monasterio Institute in Massa
and the Pediatric Cardiac Surgery Center of the Federico II University Hospital
in Naples. RESULTS: The main themes emerged after the analysis were "happiness
and uncertainty"; "chronic psychophysical fatigue"; "the rediscovery of a strong
link with health care professionals". CONCLUSIONS: Fully understand the life
experience of these families will allow the implementation of targeted health
interventions through the implementation of shared strategies and tools to reduce
families and children discomfort after hospital discharge.
PMID- 29644993
TI - Apparent Diffusion Coefficient to Subdivide Breast Imaging Reporting and Data
System Magnetic Resonance Imaging (BI-RADS-MRI) Category 4 Lesions.
AB - BACKGROUND This study aims to subdivide BI-RADS-MRI (Breast Imaging Reporting and
Data System Magnetic Resonance Imaging) Category 4 lesions and to evaluate the
role of Fischer's scoring system, apparent diffusion coefficient (ADC), and
Fischer's + ADC in differential diagnosis of breast lesions. MATERIAL AND METHODS
This study retrospectively analyzed the data of 143 patients (150 breast
lesions), who were diagnosed by biopsy, and received dynamic contrast enhancement
and diffusion-weighted imaging. The diagnostic efficacies of ADC, Fischer's
scoring system, and the Fischer's + ADC were analyzed by the receiver operating
characteristics curve. The area under the curve (AUC) was calculated. Fischer's
scoring system and the Fischer's + ADC were used to subdivide BI-RADS Category 4
breast lesions. RESULTS ADC value was negatively correlated with the tumor grade.
The AUC of Fischer's + ADC (0.949) was significantly higher than that of ADC
(0.855) and Fischer's (0.912) (P=0.0008 and 0.001, respectively). Scored by
Fischer's scoring system, Category 4 and 5 indicated a likely malignant threshold
with sensitivity and specificity of 98.70% and 65.75%, respectively. Scored by
the Fischer's + ADC method, Category 4B and 4C indicated a likely malignant
threshold with sensitivity of 97.40% and specificity of 82.19%. Kappa values were
0.63 (ADC), 0.65 (Fischer's), and 0.80 (Fischer's + ADC), respectively. The
positive predictive value of BI-RADS 4A, 4B, and 4C were 7.69%, 52.38% and
89.29%, respectively. CONCLUSIONS Fischer's scoring system combined with ADC
could reasonably subdivide Category 4 breast lesions with high specificity and
sensitivity.
PMID- 29644994
TI - Autoimmune hepatitis.
AB - Autoimmune hepatitis (AIH) is a severe liver disease that affects children and
adults worldwide. The diagnosis of AIH relies on increased serum transaminase and
immunoglobulin G levels, presence of autoantibodies and interface hepatitis on
liver histology. AIH arises in genetically predisposed individuals when a
trigger, such as exposure to a virus, leads to a T cell-mediated autoimmune
response directed against liver autoantigens; this immune response is permitted
by inadequate regulatory immune control leading to a loss of tolerance. AIH
responds favourably to immunosuppressive treatment, which should be started as
soon as the diagnosis is made. Standard regimens include fairly high initial
doses of corticosteroids (prednisone or prednisolone), which are tapered
gradually as azathioprine is introduced. For those patients who do not respond to
standard treatment, second-line drugs should be considered, including
mycophenolate mofetil, calcineurin inhibitors, mechanistic target of rapamycin
(mTOR) inhibitors and biologic agents, which should be administered only in
specialized hepatology centres. Liver transplantation is a life-saving option for
those who progress to end-stage liver disease, although AIH can recur or develop
de novo after transplantation. In-depth investigation of immune pathways and
analysis of changes to the intestinal microbiota should advance our knowledge of
the pathogenesis of AIH and lead to novel, tailored and better tolerated
therapies.
PMID- 29644995
TI - Autoimmune hepatitis.
PMID- 29644996
TI - Simultaneous lineage tracing and cell-type identification using CRISPR-Cas9
induced genetic scars.
AB - A key goal of developmental biology is to understand how a single cell is
transformed into a full-grown organism comprising many different cell types.
Single-cell RNA-sequencing (scRNA-seq) is commonly used to identify cell types in
a tissue or organ. However, organizing the resulting taxonomy of cell types into
lineage trees to understand the developmental origin of cells remains
challenging. Here we present LINNAEUS (lineage tracing by nuclease-activated
editing of ubiquitous sequences)-a strategy for simultaneous lineage tracing and
transcriptome profiling in thousands of single cells. By combining scRNA-seq with
computational analysis of lineage barcodes, generated by genome editing of
transgenic reporter genes, we reconstruct developmental lineage trees in
zebrafish larvae, and in heart, liver, pancreas, and telencephalon of adult fish.
LINNAEUS provides a systematic approach for tracing the origin of novel cell
types, or known cell types under different conditions.
PMID- 29644997
TI - Highly scalable generation of DNA methylation profiles in single cells.
AB - We present a highly scalable assay for whole-genome methylation profiling of
single cells. We use our approach, single-cell combinatorial indexing for
methylation analysis (sci-MET), to produce 3,282 single-cell bisulfite sequencing
libraries and achieve read alignment rates of 68 +/- 8%. We apply sci-MET to
discriminate the cellular identity of a mixture of three human cell lines and to
identify excitatory and inhibitory neuronal populations from mouse cortical
tissue.
PMID- 29644998
TI - Fast, long-term, super-resolution imaging with Hessian structured illumination
microscopy.
AB - To increase the temporal resolution and maximal imaging time of super-resolution
(SR) microscopy, we have developed a deconvolution algorithm for structured
illumination microscopy based on Hessian matrixes (Hessian-SIM). It uses the
continuity of biological structures in multiple dimensions as a priori knowledge
to guide image reconstruction and attains artifact-minimized SR images with less
than 10% of the photon dose used by conventional SIM while substantially
outperforming current algorithms at low signal intensities. Hessian-SIM enables
rapid imaging of moving vesicles or loops in the endoplasmic reticulum without
motion artifacts and with a spatiotemporal resolution of 88 nm and 188 Hz. Its
high sensitivity allows the use of sub-millisecond excitation pulses followed by
dark recovery times to reduce photobleaching of fluorescent proteins, enabling
hour-long time-lapse SR imaging of actin filaments in live cells. Finally, we
observed the structural dynamics of mitochondrial cristae and structures that, to
our knowledge, have not been observed previously, such as enlarged fusion pores
during vesicle exocytosis.
PMID- 29644999
TI - Novel in vitro dynamic metabolic system for predicting the human pharmacokinetics
of tolbutamide.
AB - Liver metabolism is commonly considered the major determinant in drug discovery
and development. Many in vitro drug metabolic studies have been developed and
applied to understand biotransformation. However, these methods have
disadvantages, resulting in inconsistencies between in vivo and in vitro
experiments. A major factor is that they are static systems that do not consider
the transport process in the liver. Here we developed an in vitro dynamic
metabolic system (Bio-PK metabolic system) to mimic the human pharmacokinetics of
tolbutamide. Human liver microsomes (HLMs) encapsulated in a F127'-Acr-Bis
hydrogel (FAB hydrogel) were placed in the incubation system. A microdialysis
sampling technique was used to monitor the metabolic behavior of tolbutamide in
hydrogels. The measured results in the system were used to fit the in vitro
intrinsic clearance of tolbutamide with a mathematical model. Then, a PBPK model
that integrated the corresponding in vitro intrinsic clearance was developed to
verify the system. Compared to the traditional incubation method, reasonable PK
profiles and the in vivo clearance of tolbutamide could be predicted by
integrating the intrinsic clearance of tolbutamide obtained from the Bio-PK
metabolic system into the PBPK model. The predicted maximum concentration (Cmax),
area under the concentration-time curve (AUC), time to reach the maximum plasma
concentration (Tmax) and in vivo clearance were consistent with the clinically
observed data. This novel in vitro dynamic metabolic system can compensate for
some limitations of traditional incubation methods; it may provide a new method
for screening compounds and predicting pharmacokinetics in the early stages,
supporting the development of compounds.
PMID- 29645000
TI - Neotuberostemonine inhibits the differentiation of lung fibroblasts into
myofibroblasts in mice by regulating HIF-1alpha signaling.
AB - Pulmonary fibrosis may be partially the result of deregulated tissue repair in
response to chronic hypoxia. In this study we explored the effects of hypoxia on
lung fibroblasts and the effects of neotuberostemonine (NTS), a natural alkaloid
isolated from Stemona tuberosa, on activation of fibroblasts in vitro and in
vivo. PLFs (primary mouse lung fibroblasts) were activated and differentiated
after exposure to 1% O2 or treatment with CoCl2 (100 MUmol/L), evidenced by
markedly increased protein or mRNA expression of HIF-1alpha, TGF-beta, FGF2,
alpha-SMA and Col-1alpha/3alpha, which was blocked after silencing HIF-1alpha,
suggesting that the activation of fibroblasts was HIF-1alpha-dependent. NTS (0.1
10 MUmol/L) dose-dependently suppressed hypoxia-induced activation and
differentiation of PLFs, whereas the inhibitory effect of NTS was abolished by co
treatment with MG132, a proteasome inhibitor. Since prolyl hydroxylation is a
critical step in initiation of HIF-1alpha degradation, we further showed that NTS
treatment reversed hypoxia- or CoCl2-induced reduction in expression of prolyl
hydroxylated-HIF-1alpha. With hypoxyprobe immunofiuorescence staining, we showed
that NTS treatment directly reversed the lower oxygen tension in hypoxia-exposed
PLFs. In a mouse model of lung fibrosis, oral administration of NTS (30 mg.kg-1.d
1, for 1 or 2 weeks) effectively attenuated bleomycin-induced pulmonary fibrosis
by inhibiting the levels of HIF-1alpha and its downstream profibrotic factors
(TGF-beta, FGF2 and alpha-SMA). Taken together, these results demonstrate that
NTS inhibits the protein expression of HIF-1alpha and its downstream factors TGF
beta, FGF2 and alpha-SMA both in hypoxia-exposed fibroblasts and in lung tissues
of BLM-treated mice. NTS with anti-HIF-1alpha activity may be a promising
pharmacological agent for the treatment of pulmonary fibrosis.
PMID- 29645001
TI - Multiplex biomarker approach to cardiovascular diseases.
AB - Personalized medicine is partly based on biomarker-guided diagnostics, therapy
and prognosis, which is becoming an unavoidable concept in modern cardiology.
However, the clinical significance of single biomarker studies is rather limited.
A promising novel approach involves combining multiple markers into a multiplex
panel, which could refine the management of a particular patient with
cardiovascular pathology. Two principally different assay formats have been
developed to facilitate simultaneous quantification of multiple antigens: planar
array assays and microbead assays. These approaches may help to better evaluate
the complexity and dynamic nature of pathologic processes and offer substantial
cost and sample savings compared with traditional enzyme-linked immunosorbent
assay (ELISA) measurements. However, a multiplex multimarker approach cannot
become a generally disseminated method until analytical problems are solved and
further studies confirming improved clinical outcomes are accomplished. These
drawbacks underlie the fact that a limited number of systematic studies are
available regarding the use of a multiplex biomarker approach in cardiovascular
medicine to date. Our perspective underscores the significant potential of the
use of the multiplex approach in a wider conceptual framework under the close
cooperation of clinical and experimental cardiologists, pathophysiologists and
biochemists so that the personalized approach based on standardized multimarker
testing may improve the management of various cardiovascular pathologies and
become a ubiquitous partner of population-derived evidence-based medicine.
PMID- 29645002
TI - Proteomics analysis reveals a potential new target protein for the lipid-lowering
effect of Berberine8998.
AB - Berberine8998 is a newly synthesized berberine derivative with better lipid
lowering activity and improved absorption. The objective of this study was to
investigate the effects of berberine8998 on serum cholesterol and lipid levels in
vivo and to examine the mechanisms involved. Hamsters on high-fat diet (HFD) were
administered berberine or berberine8998 (50 mg.kg-1.d-1, ig) for 3 weeks.
Berberine8998 administration significantly lowered the total cholesterol,
triglycerides and LDL-C levels in HFD hamsters. Bioinformatics revealed that
berberine and berberine8998 shared similar metabolic pathways and fatty acid
metabolism was the predominant pathway. Western blot validation results showed
that peroxisomal acyl-coenzyme A oxidase 1 (ACOX1) and long-chain fatty acid-CoA
ligase 1 (ACSL1), two proteins involved in fatty acid metabolism, were expressed
differently in the berberine8998 group than in the untreated group and the
berberine treatment group. Biochemistry results showed that berberine8998
significantly lowered the non-esterified fatty acid (NEFA) levels, which may lead
to a reduction in TG levels in the berberine8998 treatment group and the
differences observed in proteomics analyses. Pharmacokinetic analysis conducted
in rats. After administration of berberine or berberine8998 (50 mg/kg, ig),
berberine8998 exhibited a remarkably improved absorption with increasing
bioavailability by 6.7 times compared with berberine. These findings suggest that
berberine8998 lowers cholesterol and lipid levels via different mechanisms than
berberine, and its improved absorption makes it a promising therapeutic candidate
for the treatment of hypercholesterolemia and obesity.
PMID- 29645003
TI - Selecting candidates for early discharge after radical cystectomy for bladder
cancer.
PMID- 29645004
TI - Is There a Relationship Between Multiple Sclerosis and Epilepsy? If So What Does
It Tell Us About Epileptogenesis?
PMID- 29645005
TI - Ongoing efforts to reduce perioperative morbidity of radical cystectomy: towards
widespread adoption of extended-duration thromboprophylaxis.
PMID- 29645006
TI - Hippocampal Depth Electrodes in Epilepsy Surgery: Diagnostic or Damaging?
PMID- 29645007
TI - Statistical Methods for Clinical Trial Designs in the New Era of Cancer
Treatment.
AB - Recent success of immunotherapy and other targeted therapies in cancer treatment
has signaled the advent of precision medicine. Unlike conventional trial designs
that aim to find an optimal treatment ignoring inter-patient heterogeneity,
clinical trial designs for precision medicine must take into account patients'
variability in genes, environments, and lifestyle. This article provides a review
of recent research development of clinical trial designs toward this trend.
PMID- 29645008
TI - Epilepsy Surgery Works - So Why the Wait?
PMID- 29645009
TI - Developmental plasticity research in evolution and human health: Response to
commentaries.
PMID- 29645011
TI - Corrigendum to "Successful use of intrapelvic Quikclot in life-threatening blast
injury" [Trauma Case Rep. 12 (2017) 59-62].
AB - [This corrects the article DOI: 10.1016/j.tcr.2017.10.021.].
PMID- 29645010
TI - Adding patient-reported outcomes to a multisite registry to quantify quality of
life and experiences of disease and treatment for youth with juvenile idiopathic
arthritis.
AB - Background: Children with Juvenile Idiopathic Arthritis (JIA) often have poor
health-related quality of life (HRQOL) despite advances in treatment. Patient
centered research may shed light on how patient experiences of treatment and
disease contribute to HRQOL, pinpointing directions for improving care and
enhancing outcomes. Methods: Parent proxies of youth enrolled in the Childhood
Arthritis and Rheumatology Research Alliance (CARRA) Registry shared patient
reported outcomes about their child's HRQOL and experiences of disease and
treatment burden (pain interference, morning stiffness, history of medication
side effects and methotrexate intolerance). Contributions of these measures to
HRQOL were estimated using generalized estimating equations accounting for site
and patient demographics. Results: Patients (N = 180) were 81.1% white non
Hispanic and 76.7% female. Mean age was 11.8 (SD = 3.6) years, mean disease
duration was 7.7 years (SD = 3.5). Mean Total Pediatric Quality of Life was 76.7
(SD = 18.2). Mean pain interference score was 50.1 (SD = 11.1). Nearly one-in
five (17.8%) youth experienced >15 min of morning stiffness on a typical day,
more than one quarter (26.7%) reported >=1 serious medication side effect and
among 90 methotrexate users, 42.2% met criteria for methotrexate intolerance.
Measures of disease and treatment burden were independently negatively associated
with HRQOL (all p-values <0.01). Negative associations among measures of
treatment burden and HRQOL were attenuated after controlling for disease burden
and clinical characteristics but remained significant. Conclusions: For youth
with JIA, HRQOL is multidimensional, reflecting disease as well as treatment
factors. Adverse treatment experiences undermine HRQOL even after accounting for
disease symptoms and disease activity and should be assessed routinely to improve
wellbeing.
PMID- 29645012
TI - Utility of Satellite Remote Sensing for Land-Atmosphere Coupling and Drought
Metrics.
AB - Feedbacks between the land and the atmosphere can play an important role in the
water cycle and a number of studies have quantified Land-Atmosphere (L-A)
interactions and feedbacks through observations and prediction models. Due to the
complex nature of L-A interactions, the observed variables are not always
available at the needed temporal and spatial scales. This work derives the
Coupling Drought Index (CDI) solely from satellite data and evaluates the input
variables and the resultant CDI against in-situ data and reanalysis products.
NASA's AQUA satellite and retrievals of soil moisture and lower tropospheric
temperature and humidity properties are used as input. Overall, the AQUA-based
CDI and its inputs perform well at a point, spatially, and in time (trends)
compared to in-situ and reanalysis products. In addition, this work represents
the first time that in-situ observations were utilized for the coupling
classification and CDI. The combination of in-situ and satellite remote sensing
CDI is unique and provides an observational tool for evaluating models at local
and large scales. Overall, results indicate that there is sufficient information
in the signal from simultaneous measurements of the land and atmosphere from
satellite remote sensing to provide useful information for applications of
drought monitoring and coupling metrics.
PMID- 29645013
TI - Confronting weather and climate models with observational data from soil moisture
networks over the United States.
AB - Four land surface models in uncoupled and coupled configurations are compared to
observations of daily soil moisture from 19 networks in the conterminous United
States to determine the viability of such comparisons and explore the
characteristics of model and observational data. First, observations are analyzed
for error characteristics and representation of spatial and temporal variability.
Some networks have multiple stations within an area comparable to model grid
boxes; for those we find that aggregation of stations before calculation of
statistics has little effect on estimates of variance, but soil moisture memory
is sensitive to aggregation. Statistics for some networks stand out as unlike
those of their neighbors, likely due to differences in instrumentation,
calibration and maintenance. Buried sensors appear to have less random error than
near-field remote sensing techniques, and heat dissipation sensors show less
temporal variability than other types. Model soil moistures are evaluated using
three metrics: standard deviation in time, temporal correlation (memory) and
spatial correlation (length scale). Models do relatively well in capturing large
scale variability of metrics across climate regimes, but poorly reproduce
observed patterns at scales of hundreds of kilometers and smaller. Uncoupled land
models do no better than coupled model configurations, nor do reanalyses
outperform free-running models. Spatial decorrelation scales are found to be
difficult to diagnose. Using data for model validation, calibration or data
assimilation from multiple soil moisture networks with different types of sensors
and measurement techniques requires great caution. Data from models and
observations should be put on the same spatial and temporal scales before
comparison.
PMID- 29645014
TI - Interculturalism and Informed Consent: Respecting Cultural Differences without
Breaching Human Rights.
AB - Interventions in medicine require multicenter clinical trials on a large rather
than limited number of subjects from various genetic and cultural backgrounds.
International guidelines to protect the rights and well-being of human subjects
involved in clinical trials are criticized for the priority they place on Western
cultural values. These discussions become manifest especially with regard to the
content and methodology of the informed consent procedure. The ethical dilemma
emerges from the argument that there are fundamental differences about the
concept of respect for the autonomy of individuals in different cultures and
religions. Some communities prioritize the consent of community leaders or the
head of family - usually men - over the voluntary and free consent of the
individual. The aim of this work is to discuss this ethical dilemma to determine
a base for a consensus that satisfies the sensibilities of different cultures
without damaging the rights and autonomy of human subjects.
PMID- 29645015
TI - Correction: The outcomes and prognostic factors of acute respiratory failure in
the patients 90 years old and over.
AB - [This corrects the article DOI: 10.18632/oncotarget.24051.].
PMID- 29645016
TI - Clinical benefit to regionalization of care for muscle invasive bladder cancer.
PMID- 29645017
TI - Downstream effects of regionalization: reconciling our predictions with the
volume-outcome paradigm.
PMID- 29645018
TI - Nutritional habits and bladder cancer.
PMID- 29645019
TI - How to assess and improve health-related quality of life in bladder cancer
patients.
PMID- 29645020
TI - Surviving travel or travelling to survive: the association of travel distance
with survival in muscle invasive bladder cancer.
PMID- 29645021
TI - Correction: MicroRNA-29a increased the intestinal membrane permeability of
colonic epithelial cells in irritable bowel syndrome rats.
AB - [This corrects the article DOI: 10.18632/oncotarget.20687.].
PMID- 29645022
TI - High-resolution spectral analysis of ammonia near 6.2 MUm using a cw EC-QCL
coupled with cavity ring-down spectroscopy.
AB - We report on the development of a mid-infrared cavity ring-down spectrometer
(CRDS) coupled with a continuous wave (cw) external cavity quantum cascade laser
(EC-QCL), operating between 6.0 MUm and 6.3 MUm, for high-resolution
spectroscopic studies of ammonia (NH3) which served as a bench-mark molecule in
this spectral region. We characterized the EC-QCL based CRDS system in detail and
achieved a noise-equivalent absorption (NEA) coefficient of 2.11 * 10-9 cm-1 Hz
1/2 for a 100 Hz data acquisition rate. We thereafter exploited the system for
high-resolution spectroscopic analysis of interference-free 10 transition lines
of the nu4 fundamental vibrational band of NH3 centred at ~6.2 MUm. We probed the
strongest interference-free absorption line RQ(4,3) of nu4, centred at 1613.370
cm-1 for highly-sensitive trace detection of NH3 and subsequently achieved a
minimum detection sensitivity (1sigma) of 2.78 * 109 molecules per cm3 which
translated into the detection limit of 740 parts-per-trillion by volume (pptv/10
12) at a pressure of 115 Torr for an integration time of ~167 seconds. To
demonstrate the efficacy of the present system in real-life applications, we
finally measured the mixing ratios of NH3 present in ambient air and human
exhaled breath with high sensitivity and molecular specificity.
PMID- 29645023
TI - FePO4 as an anode material to obtain high-performance sodium-based dual-ion
batteries.
AB - Herein, the FePO4 material was for the first time proposed to serve as an anode
electrode, as an intercalation sodium host, to obtain novel sodium-based dual-ion
batteries. And the full cell can present a highly reversible capacity of 120 mA h
g-1 in the range of 2-4.2 V and exhibits an excellent initial coulombic
efficiency of 99.5%.
PMID- 29645024
TI - F-Doped carbon nano-onion films as scaffold for highly efficient and stable Li
metal anodes: a novel laser direct-write process.
AB - Li metal is the most promising choice for anode in high-energy rechargeable
batteries, but the dendrite growth upon cycling leads to safety concerns and poor
cycle life. Herein, we demonstrate a novel and scalable approach for direct
writing of a thin layer of carbon nano-onions on copper substrate to stabilize
the Li metal anode and prevent the dendrite growth. The F-doped carbon nano-onion
film (F-CNOF) scaffold enables reversible electroplating for over 1500 hours (300
cycles) with a coulombic efficiency of ~100%. The F-CNOF is capable of depositing
Li equivalent to a capacity of 10 mA h cm-2 (gravimetric capacity 3218 mA h g-1)
at 1 mA cm-2, operating at a high current density of 6 mA cm-2. More importantly,
these features of long-term cyclic stability and excellent rate capability are
attributed to the very high curvature due to nano dimension (~108 m-1) of the
nano-onions that develop a very uniform Li flux due to the negative surface
charge, thus preventing the dendrite formation. We have also shown via first
principles DFT calculations that the high curvature achieved herein can
significantly enhance the binding energy of Li to the carbon surface, which helps
to improve lithiophilicity. A full cell fabricated using Li4Ti5O12 as the
positive electrode showed cyclic stability of 450 cycles.
PMID- 29645025
TI - Dietary fish oil ameliorates adipose tissue dysfunction in insulin-resistant rats
fed a sucrose-rich diet improving oxidative stress, peroxisome proliferator
activated receptor gamma and uncoupling protein 2.
AB - This work aims to assess the possible beneficial effects of dietary fish oil (FO)
on the pre-existing adipose tissue dysfunction through the improvement or
reversion of the mechanisms underlying oxidative stress and pro-inflammatory
cytokines in dyslipemic insulin-resistant rats. Wistar rats were fed a sucrose
rich diet (SRD) for 6 months. After that half of the animals continued with the
SRD until month 8 while in the other half corn oil was replaced by FO for 2
months (SRD + FO). A reference group consumed a control diet all the time. In an
epididymal fat pad, we analyzed antioxidant and oxidant enzyme activities, ROS
content, glutathione redox state, the protein level of peroxisome proliferator
activated receptor gamma (PPARgamma) and the expression and protein levels of
uncoupling protein 2 (UCP2) as well as oxidative stress biomarkers and TNF-alpha
and IL-6 plasma levels. Besides these, insulin sensitivity and the composition of
fatty acid phospholipids of adipose tissue were measured. Compared with the SRD
the SRD + FO fed group showed a decrease of fat pad weight and the antioxidant
and oxidant enzyme activities and ROS content returned to control values along
with normal plasma TNF-alpha and IL-6 levels. FO normalized both the decrease of
PPARgamma protein and the increase of protein and expression of UCP2.
Furthermore, FO increased the n-3/n-6 fatty acid ratio in the adipose tissue
phospholipids and normalized dyslipidemia and insulin resistance. Finally, these
findings reinforce the view that dietary FO may exert a beneficial effect in
ameliorating the dyslipidemia and insulin resistance in this animal model.
PMID- 29645026
TI - Pd-catalyzed defluorination/arylation of alpha-trifluoromethyl ketones via
consecutive beta-F elimination and C-F bond activation.
AB - An unprecedented Pd-catalyzed activation of a CF3 group is reported herein. The
key to the success of this reaction is the combination of consecutive beta-F
elimination and C-F bond oxidative addition of a trifluoromethyl group. It also
represents the first general application of alpha-trifluoromethyl ketones as
building blocks by C-F bond activation.
PMID- 29645027
TI - Silicon quantum dots with heavily boron and phosphorus codoped shell.
AB - Heavily boron and phosphorus codoped silicon quantum dots (QDs) are dispersible
in water without organic ligands and exhibit near infrared luminescence. We
summarize the fundamental properties and demonstrate the formation of a variety
of nanocomposites.
PMID- 29645028
TI - Molecular structure and interactions of water intercalated in nickel hydroxide.
AB - The structure and properties of alpha-Ni(OH)2 containing water and nitrate have
been investigated computationally. The adsorption of water molecules on the
Ni(OH)2 surface is also investigated to provide insight into the nature of the
water-Ni(OH)2 interactions. The spectroscopic and dynamical behaviour of the
intercalated species has been characterized and used to explain experimental
findings reported for this material. The results presented here indicate that the
water molecules interact non-covalently with Ni(OH)2, with a binding energy that
is comparable in magnitude with that of the water dimer hydrogen bond. The
presence of the intercalated species increases the distance between the Ni(OH)2
layers such that the interlayer interactions are negligible. The weakening of the
interlayer interactions facilitates the horizontal displacement of the layers
relative to one another, providing a possible origin for stacking faults observed
in alpha-Ni(OH)2. Comparison of the vibrational frequencies calculated here with
the experimental spectra confirms that alpha-Ni(OH)2 containing only water
molecules can be synthesized. The structures of the water molecules intercalated
in alpha-Ni(OH)2 were found to be analogous to those absorbed in gamma-NiOOH,
while the water-layer interactions are stronger in gamma-NiOOH. The results
presented here characterize the structure and interactions of water intercalated
in nickel hydroxides and also provide insights into the effects of intercalated
water on the properties of layered metal hydroxides.
PMID- 29645029
TI - Regulation of both the structure and function by a de novo designed disulfide
bond: a case study of heme proteins in myoglobin.
AB - A de novo designed intramolecular disulfide bond in myoglobin, resembling that in
cytoglobin without structural evidence, was confirmed by an X-ray structure for
the first time and was demonstrated to regulate both the structure and function
of this protein, which fulfills the design of an artificial dehaloperoxidase,
with an activity exceeding that of a native enzyme.
PMID- 29645030
TI - Micellar confinement disrupts collective structure and accelerates collective
dynamics of encapsulated water.
AB - This computational study deals with the collective structure and dynamics as well
as their interaction seen from a dielectric viewpoint in zwitterionic reverse
micelles for which a force field was designed de novo. For this end, a dualistic
strategy is followed: the raw data are generated by extensive microscopic
molecular dynamics (MD) simulations while the subsequent analysis has a focus on
mesoscopic dielectric properties. The unusually low dielectric signals as well as
the remarkable acceleration of collective dynamics is elucidated in great detail.
This structural and dynamic behaviour is caused essentially by non-specific
micellar boundary conditions. We found that in these ion lacking reverse micelles
the water core and the water sheath compensate each other dielectrically which
can be understood as a LeChatelier phenomenon facilitating the transition from
highly polar encapsulated water to the non-polar low dielectric medium octane. In
addition, specific chemical effects are brought about by the perturbing influence
of the surfactants. This comprehensive analysis aids both in understanding and
designing the dipolar properties of liquid polar spherical colloids dispersed in
a hydrophobic medium.
PMID- 29645032
TI - Room-temperature processed films of colloidal carved rod-shaped nanocrystals of
reduced tungsten oxide as interlayers for perovskite solar cells.
AB - Thanks to their high stability, good optoelectronic and extraordinary
electrochromic properties, tungsten oxides are among the most valuable yet
underexploited materials for energy conversion applications. Herein, colloidal
one-dimensional carved nanocrystals of reduced tungsten trioxide (WO3-x) are
successfully integrated, for the first time, as a hole-transporting layer (HTL)
into CH3NH3PbI3 perovskite solar cells with a planar inverted device
architecture. Importantly, the use of such preformed nanocrystals guarantees the
facile solution-cast-only deposition of a homogeneous WO3-x thin film at room
temperature, allowing achievement of the highest power conversion efficiency ever
reported for perovskite solar cells incorporating raw and un-doped tungsten oxide
based HTL.
PMID- 29645031
TI - Coordination chemistry within a protein host: regulation of the secondary
coordination sphere.
AB - Secondary coordination spheres of metal complexes are instrumental in controlling
properties that are linked to function. To study these effects in aqueous
solutions artificial Cu proteins have been developed using biotin-streptavidin
(Sav) technology and their binding of external azide ions investigated. Parallel
binding studies were done in crystallo on single crystals of the artificial Cu
proteins. Spectroscopic changes in solution are consistent with azide binding to
the Cu centers. Structural studies corroborate that a Cu-N3 unit is present in
each Sav subunit and reveal the composition of hydrogen bonding (H-bonding)
networks that include the coordinated azido ligand. The networks involve amino
acid residues and water molecules within the secondary coordination sphere.
Mutation of these residues to ones that cannot form H-bonds caused a measurble
change in the equilibrium binding constants that were measured in solution. These
findings further demonstrate the utility of biotin-Sav technology to prepare
water-stable inorganic complexes whose structures can be controlled within both
primary and secondary coordination spheres.
PMID- 29645033
TI - Ion pairing in 1-butyl-3-methylpyridinium halide ionic liquids studied using NMR
and DFT calculations.
AB - We present the 1H, 13C and 15N NMR chemical shifts of bulk ionic liquids based on
1-butyl-3-methylimidazolium (the cation also known as 1-butyl-3-picolinium)
halides (Cl-, Br- and I-) and tribromide (Br3-) salts. A characterization in
solution of the analogous ICl2- and I3- salts is also reported. A series of DFT
calculations has been run to predict the features of the NMR spectra of the pure
ILs based on a few selected supramolecular ionic aggregates. To test the effect
of temperature, and vibrational and conformational motions, only for the chloride
salt, we also run first-principles molecular dynamics simulations of the ion pair
in the gas phase, using the ADMP scheme (Atom Centered Density Matrix Propagation
molecular dynamics model). The aim of our investigation is to test whether a
simple DFT based approach of ion-pairing in ionic liquids is capable of providing
reliable results and under which conditions the protocol is robust. We obtained a
very good agreement between the calculated and experimental spectra for the three
halides, where the bulk structure of the ILs is dominated by H-bond interactions
between the X- anion (X = Cl, Br and I) and the ortho protons of the pyridinium
ring (a structural arrangement not too different from the solid-state structure
of pyridinium halides). In contrast, when the H-bond is weak, as in the Br3-
case, a number of supramolecular arrangements exist in solution and the simple
DFT calculations of a few selected cases cannot exhaustively explore the complete
energy landscape. Moreover, the dynamic effects due to thermal motion, evaluated
by ADMP MD simulations of the chloride salt, appear to be not very significant.
PMID- 29645034
TI - Intermolecular interactions between sigma- and pi-holes of
bromopentafluorobenzene and pyridine: computational and experimental
investigations.
AB - The characters of sigma- and pi-holes of bromopentafluorobenzene (C6F5Br) enable
it to interact with an electron-rich atom or group like pyridine which possesses
an electron lone-pair N atom and a pi ring. Theoretical studies of intermolecular
interactions between C6F5Br and C5H5N have been carried out at the M06-2X/aug-cc
pVDZ level without and with the counterpoise method, together with single point
calculations at M06-2X/TZVP, wB97-XD/aug-cc-pVDZ and CCSD(T)/aug-cc-pVDZ levels.
The sigma- and pi-holes of C6F5Br exhibiting positive electrostatic potentials
make these sites favorably interact with the N atom and the pi ring of C5H5N with
negative electrostatic potentials, leading to five different dimers connected by
a sigma-holen bond, a sigma-holepi bond or a pi-holepi bond. Their geometrical
structures, characteristics, nature and spectroscopy behaviors were
systematically investigated. EDA analyses reveal that the driving forces in these
dimers are different. NCI, QTAIM and NBO analyses confirm the existence of
intermolecular interactions formed via sigma- and pi-holes of C6F5Br and the N
atom and the pi ring of C5H5N. The experimental IR and Raman spectra gave us
important information about the formation of molecular complexes between C6F5Br
and C5H5N. We expect that the results could provide valuable insights into the
investigation of intermolecular interactions involving sigma- and pi-holes.
PMID- 29645035
TI - Electron-driven spin diffusion supports crossing the diffusion barrier in MAS
DNP.
AB - Dynamic nuclear polarization (DNP) can be applied to enhance the sensitivity of
solid-state NMR experiments by several orders of magnitude due to microwave
driven transfer of spin polarization from unpaired electrons to nuclei. While the
underlying quantum mechanical aspects are sufficiently well understood on a
microscopic level, the exact description of the large-scale spin dynamics,
usually involving hundreds to thousands of nuclear spins per electron, is still
lacking consensus. Generally, it is assumed that nuclear hyperpolarization can
only be observed on nuclei which do not experience strong influence of the
unpaired electrons and thus being significantly removed from the paramagnetic
polarizing agents. At the same time, sufficiently strong hyperfine interaction is
required for DNP transfer. Therefore, efficient nuclear spin diffusion from the
strongly-interacting nuclei to the NMR-observable bulk is considered to be
essential for efficient nuclear hyperpolarization. Based on experimental results
obtained on the endohedral fullerene N@C60 as a polarizing agent sparsely diluted
in C60, we discuss the effect of the spin-diffusion barrier. We introduce
electron-driven spin diffusion (EDSD) as a novel mechanism for nuclear
polarization transfer in the proximity of an electron spin which is particularly
relevant under magic-angle spinning (MAS) DNP conditions.
PMID- 29645036
TI - The control of stereochemistry by the pentafluorosulfanyl group.
AB - The influence of pentafluorosulfanylation on biological activity has been
revealed in numerous comparative studies of biologically active compounds, but
considerably less is known about the influence of pentafluorosulfanylation on
reactivity. Among the distinctive properties of the pentafluorosulfanyl group is
the profound dipole moment that results from introduction of this substituent. It
has been shown that dipolar effects coupled with the steric demand of the SF5
group may be employed to influence the stereochemistry of reactions, especially
those processes with significant charge separation in the transition state. The
Staudinger ketene-imine cycloaddition reaction is an ideal platform for
investigation of dipolar control of diastereoselectivity by the
pentafluorosulfanyl group.
PMID- 29645037
TI - Stability of the zwitterionic liquid butyl-methyl-imidazol-2-ylidene borane.
AB - Modification of the C2 position of the standard 1-butyl-3-methyl imidazolium
cation by a borohydride group leads to a zwitterionic liquid (ZIL). The resulting
imidazol-2-ylidene borane ZIL is liquid at room temperature. Dynamic viscosity as
well as thermal and electrochemical stability are investigated. Thermal
decomposition follows a similar pathway as in comparable imidazolium ionic
liquids. The surprisingly low viscosity and good reductive stability make it a
promising candidate for electrochemical applications.
PMID- 29645038
TI - Pressure-induced anomalies and structural instability in compressed beta-Sb2O3.
AB - Here, we report a high-pressure study of orthorhombic structured beta-Sb2O3
(valentinite) by the combination of synchrotron in situ X-ray diffraction and
first-principles theoretical calculations at pressures up to 40.5 GPa. Our
results reveal that the metastable beta-Sb2O3 undergoes an isostructural phase
transition at high pressure, yielding a distorted beta phase at 7-15 GPa through
symmetry breaking and structural distortion as inferred from our XRD analyses and
DFT theoretical calculations where pressure-induced elasticity softening is
observed at pressures of 7-15 GPa. At pressures higher than 15 GPa, a new high
pressure monoclinic phase is discovered from the current synchrotron X-ray
diffraction data. Upon further compression up to ~33 GPa, the monoclinic Sb2O3
starts to lose its long-range order and forms an amorphous component coexisting
with the monoclinic one. To further explore the structural instability and
understand the origin of pressure-induced phase transitions in beta-Sb2O3 upon
compression, we have performed first-principles calculations to track the
evolution of its phonon velocities, density of states and phonon dispersion
curves under high pressure. Our results may play an important role in determining
the local structures as well as their structural relationship among sesquioxides.
PMID- 29645039
TI - The synergetic effects of Ti3C2 MXene and Pt as co-catalysts for highly efficient
photocatalytic hydrogen evolution over g-C3N4.
AB - Co-catalyst loading provides an effective way to enhance the efficiency of
photocatalysts for solar hydrogen production. From a sustainability point of
view, it has immense scientific and technological values to explore more
efficient co-catalytic systems by using multi-cocatalysts, because of potential
synergetic effects between different components. Herein, the feasibility of using
Ti3C2 MXene nanoparticles and Pt nanoclusters as dual co-catalysts to enhance the
photoactivity of g-C3N4 for H2 production was investigated. Due to the improved
electrical conductivity and increased reactive sites for photoreduction
reactions, Ti3C2 and Pt co-modified photocatalysts exhibited a high
photocatalytic hydrogen production activity of 5.1 mmol h-1 g-1. Compared to g
C3N4/Ti3C2 and g-C3N4/Pt, the 3- and 5-fold increased photoactivity demonstrated
great potential of Ti3C2 MXene nanoparticles to construct high-performance
photocatalysts. The synergetic effects between Ti3C2 and Pt were fundamentally
investigated, indicating that the specific transfer of electrons not only
contributed to the inhibited recombination of charge carriers but also resulted
in good stability of heterostructured photocatalysts. Our results have
demonstrated an approach worthy for the design and fabrication of high-efficiency
heterostructures with superior photoactivity for hydrogen energy production.
PMID- 29645040
TI - Computational studies of micellar and nanoparticle nanomedicines.
AB - Nanomedicines are typically formed by nanocarriers which can deliver in a
targeted manner drugs poorly soluble in blood, increase their therapeutic
activities, and reduce their side effects. Many tested nanomedicines are formed
by lipids, polymers, and other amphiphilic molecules isolated or self-assembled
into various complexes and micelles, functionalized nanoparticles, and other bio
compatible composite materials. Here, we show how atomistic molecular dynamics
simulations can be used to characterize and optimize the structure, stability,
and activity of selected nanomedicines. We discuss modeling of nanomedicines
based on micelles, which can deliver selected therapeutic agents, and
nanoparticles designed to act like large drugs. We show how to model
nanomedicines interacting with lipid membranes, viruses, and amyloid fibrils.
PMID- 29645041
TI - A novel monodisperse SiO2@C-dot for the rapid and facile identification of latent
fingermarks using self-quenching resistant solid-state fluorescence.
AB - Solid powder fluorescence shows great potential for application in medicine,
biology, and engineering, especially in the identification of latent fingermarks
in forensic science. However, conventional developing methods suffer from some
drawbacks, such as low contrast, low sensitivity, low selectivity, and high
toxicity. To conquer these challenges, novel SiO2@C-dot microspheres were
prepared via a facile one-pot hydrothermal method by using citric acid as a
carbon source and aminosilane as a nitrogen source. Interestingly, the results
showed that the resultant powders possess good monodispersity, high fluorescence
emission, and resistance to self-quenching. Additionally, the mechanism for the
solid-state fluorescence of SiO2@C-dot compounds was also investigated. More
importantly, the fingermarks on various surfaces, including transparent glasses,
ceramic tiles, transparent plastics, aluminum alloys, plastic cards, painted
woods, artificial leathers, and Chinese paper money, developed by the powders
have indicated well-defined papillary ridges under a 365 nm UV lamp. The novel
strategy of using monodisperse SiO2@C-dot microspheres as a fluorescent label for
developing latent fingermarks showed greater advantages compared to conventional
methods, which was also demonstrated using the automatic fingerprint
identification system. It is simple, rapid, low-cost, nontoxic, and effective,
and is expected to be a promising alternative for the development of latent
fingerprints in forensic science.
PMID- 29645043
TI - Evidence for anion-binding of all-cis hexafluorocyclohexane in solution and solid
state.
AB - We report a solution NMR and X-ray crystallographic study on the anion affinity
of all-cis 1,2,3,4,5,6-hexafluorocyclohexane, which has only recently become
synthetically accessible. Our results suggest that the interaction exhibits
preferential 1 : 1 stoichiometry, while its strength is only moderate (e.g. Ka =
400 M-1 in acetone for Cl-) and depends mainly on the size of the anion and the
dielectric constant of the solvent.
PMID- 29645042
TI - Tailored photocleavable peptides: fragmentation and neutralization pathways in
high vacuum.
AB - Photocleavable tags (PCTs) have the potential for excellent spatio-temporal
control over the release of subunits of complex molecules. Here, we show that
electrosprayed oligopeptides, functionalized by a tailored ortho-nitroarylether
can undergo site-specific photo-activated cleavage under UV irradiation (266 nm)
in high vacuum. The comparison of UV photodissociation (UVPD) and collision
induced dissociation (CID) points to the thermal nature of the cleavage
mechanism, a picture corroborated by the temperature dependence of the process.
Two competing photodissociation pathways can be identified. In one case a
phenolate anion is separated from a neutral zwitterion. In the other case a
neutral phenol derivative leaves a negatively charged peptide behind. To
understand the factors favoring one channel over the other, we investigate the
influence of the peptide length, the nature of the phenolic group and the
position of the nitro-group (ortho vs. para). The observed gas phase cleavage of
a para-nitro benzylic ether markedly differs from the established behavior in
solution.
PMID- 29645044
TI - Metal-free iodine(iii)-promoted synthesis of 2,5-diaryloxazoles.
AB - A nonmetal-catalyzed oxidative cyclization to achieve 2,5-disubstituted oxazoles
from inexpensive and readily available substituted chalcone,
(diacetoxyiodo)benzene (PIDA) and ammonium acetate (NH4OAc) at room temperature
is described. The reaction forms a variety of 2,5-diaryloxazoles in good to
excellent yields with broad substrate scope under mild conditions without the
requirement of ligands and additional bases.
PMID- 29645045
TI - O-Substituted hydroxyl amine reagents: an overview of recent synthetic advances.
AB - Reagents derived from hydroxylamines such as 2,4-dinitrophenylhydroxylamine
(DPH), O-(diphenylphosphinyl)hydroxylamine (DPPH), hydroxylamine-O-sulfonic acid
(HOSA) and other related reagents in which oxygen is substituted with good
leaving groups recently showed remarkable potential as electrophilic aminating
agents and as a source of the amino group. They facilitate stereo- and
regioselective C-N, N-N, O-N, and S-N bond-formation reactions and intra
molecular cyclizations without the requirement of expensive metal catalysts. In
this review we have discussed the important transformations achieved with these
reagents.
PMID- 29645046
TI - Oxygen, sulfur and selenium terminated single-walled heterocyclic carbon
nanobelts (SWHNBs) as potential 3D organic semiconductors.
AB - Carbon nanomaterials such as polyaromatic hydrocarbons (PAHs), graphene,
fullerenes and nanotubes are on the frontline of materials research due to their
excellent physical properties, which in recent years, have started to compete
with conventional inorganic materials in charge transfer based applications.
Recently, a variety of new structures such as single-walled carbon nanobelts
(SWCNBs) have been conceived, however, to date only one 'all-phenyl' example has
been synthesised, due to problems with their stability and the challenging
synthetic methodologies required. This study introduces a new class of phenacene
based SWCNBs and their chalcogenide derivatives, forming the new sub-class of
single-walled heterocyclic carbon nanobelts (SWHNBs) which are expected to be
both more stable and easier to synthesise than the all carbon analogues.
Subsequent theoretical examination of the structure-property relationships found
that unlike the small-molecule acene homologues (tetracene, pentacene etc.) which
become more reactive with addition of oxygen, an increase in the molecular size
of the SWCNBs actually stabilises the HOMO energy level, in correlation with the
increasingly negative nuclear independent chemical shift (NICS) calculations of
their cylindrical aromaticities. The FMO energies of the phenacene SWCNBs are
similar to that of the nanobelt reported by Itami and co-workers, but those of
the SWHNBs are deeper and thus more stable. The sulfur derivative of one SWHNB
was found to give hole-charge transfer mobilities as high as 1.12 cm2 V-1 s-1,
which is three orders of magnitude larger than the corresponding unsubstituted
SWCNB (3 * 10-3 cm2 V-1 s-1). These findings suggest the candidates are air
stable and potentially high-performing organic semiconductors for organic thin
film transistor (OTFT) devices, while the structure-property relationships
uncovered here will aid the design and synthesis of future three-dimensional
organic nanomaterials.
PMID- 29645047
TI - Boolean-chemotaxis of logibots deciphering the motions of self-propelling
microorganisms.
AB - We demonstrate the feasibility of a self-propelling mushroom motor, namely a
'logibot', as a functional unit for the construction of a host of optimized
binary logic gates. Emulating the chemokinesis of unicellular prokaryotes or
eukaryotes, the logibots made stimuli responsive conditional movements at varied
speeds towards a pair of acid-alkali triggers. A series of integrative logic
operations and cascaded logic circuits, namely, AND, NAND, NOT, OR, NOR, and
NIMPLY, have been constructed employing the decisive chemotactic migrations of
the logibot in the presence of the pH gradient established by the sole or coupled
effects of acid (HCl-catalase) and alkali (NaOH) drips inside a peroxide bath.
The imposed acid and/or alkali triggers across the logibots were realized as
inputs while the logic gates were functionally reconfigured to several
operational modes by varying the pH of the acid-alkali inputs. The self
propelling logibot could rapidly sense the external stimuli, decide, and act on
the basis of intensities of the pH triggers. The impulsive responses of the
logibots towards and away from the external acid-alkali stimuli were interpreted
as the potential outputs of the logic gates. The external stimuli responsive self
propulsion of the logibots following different logic gates and circuits can not
only be an eco-friendly alternative to the silicon-based computing operations but
also be a promising strategy for the development of intelligent pH-responsive
drug delivery devices.
PMID- 29645048
TI - A 2',2'-disulfide-bridged dinucleotide conformationally locks RNA hairpins.
AB - The synthesis and the impact of a disulfide bridge between 2'-O-positions of two
adjacent nucleotides in an RNA duplex and in the loop of RNA hairpins are
reported. The incorporation of this 2',2'-disulfide (S-S) bridge enabled thermal
and enzymatic stabilization of the hairpin depending on its position in the loop.
The influence of the disulfide bridge on RNA folding was studied at the HIV
Dimerization Initiation Site (DIS) as an RNA sequence model. We have shown that
this S-S bridge locked the hairpin form, whereas the extended duplex form was
generated after the reduction of the disulfide bond in the presence of tris(2
carboxyethyl)phosphine or glutathione. Thus, the S-S bridge can be useful for
understanding RNA folding; an RNA molecular beacon locked by an S-S bridge was
also investigated as a sensor for the detection of glutathione.
PMID- 29645049
TI - The inhibitory effect of Manuka honey on human colon cancer HCT-116 and LoVo cell
growth. Part 1: the suppression of cell proliferation, promotion of apoptosis and
arrest of the cell cycle.
AB - Numerous investigations have been made on plant phenolic compounds and cancer
prevention in recent decades. Manuka honey (MH) represents a good source of
phenolic compounds such as luteolin, kaempferol, quercetin, gallic acid and
syringic acid. The aim of this work was to evaluate the chemopreventive effects
of MH on human colon cancer HCT-116 and LoVo cells. Both cells were exposed to
different concentrations of MH (0-20 mg mL-1 for HCT-116 cells and 0-50 mg mL-1
for LoVo cells) for 48 h to measure apoptosis and cell cycle arrest as well as
apoptosis and cell cycle regulatory gene and protein expression. MH exhibited
profound inhibitory effects on cellular growth by reducing the proliferation
ability, inducing apoptosis and arresting the cell cycle in a dose-dependent
manner. Interestingly, MH treatment in non-malignant cells did not exert any
significant toxicity at similar concentrations. The apoptosis event was
associated with the increasing expression of p53, cleaved-PARP and caspase-3 and
with the activation of both intrinsic (caspase-9) and extrinsic (caspase-8)
apoptotic pathways. MH induced cell cycle arrest in the S phase in HCT-116 cells,
and simultaneously, in LoVo cells, it occurred in the G2/M phase through the
modulation of cell cycle regulator genes (cyclin D1, cyclin E, CDK2, CDK4, p21,
p27 and Rb). The expression of p-Akt was suppressed while the expression of p
p38MAPK, p-Erk1/2 and endoplasmic stress markers (ATF6 and XBP1) was increased
for apoptosis induction. Overall, these findings indicate that MH could be a
promising preventive or curative food therapy for colon cancer.
PMID- 29645050
TI - Hierarchical whisker-on-sheet NiCoP with adjustable surface structure for
efficient hydrogen evolution reaction.
AB - We have reported the synthesis of hierarchical whisker-on-sheet (HWS) NiCoP
anchored on Ni foam with adjustable surface structure for efficient hydrogen
evolution reaction (HER). The HWS NiCoP was obtained by controllable
phosphidation of HWS Ni-Co-carbonates hydroxide precursor grown on Ni foam (NF).
The experimental parameters were optimally tuned to understand the formation
process of the precursor and to regulate the microstructure of the materials. The
test results indicated that the HWS NiCoP/NF can produce a current density of 10
mA cm-2 (eta10) at a low overpotential of 59 mV and a current density of 100 mA
cm-2 (eta100) at an overpotential of 220 mV for HER. Notably, upon surface
activation with KOH, the HER performance of HWS NiCoP/NF could be dramatically
enhanced with eta10 and eta100 values of 42 mV and 141 mV, respectively. The HWS
NiCoP/NF showed a superior performance to NiCoP displaying other morphologies
(sheets and wires etc.) The good performance of HWS NiCoP/NF should be attributed
to their special whisker-on-sheet structures that are favourable for effective
contact with the electrolyte. Also, hydrated metals can be formed on surface
after the alkali treatment step, which is beneficial to moderate the bonding to
hydrogen and thus, improve the HER activity. The present study will be indicative
toward the construction of highly-efficient HER catalysts by regulating the
structure of the materials.
PMID- 29645051
TI - Direct synthesis of alkenyl iodides via indium-catalyzed iodoalkylation of
alkynes with alcohols and aqueous HI.
AB - A convenient and efficient indium-catalyzed approach to synthesize alkenyl
iodides has been developed through direct iodoalkylation of alkynes with alcohols
and aqueous HI under mild conditions. This catalytic protocol offers an
attractive approach for the synthesis of a diverse range of alkenyl iodides in
good to excellent yields.
PMID- 29645052
TI - Sulfonated carbon-encapsulated iron nanoparticles as an efficient magnetic
nanocatalyst for highly selective synthesis of benzimidazoles.
AB - Surface functionalized carbon-encapsulated iron nanoparticles (CEINs) were found
to be a magnetic nanocatalyst for the efficient and highly selective synthesis of
benzimidazoles. CEINs were covalently decorated with carboxyl or sulfonyl groups
and their catalytic activity was examined. Carboxyl-modified CEINs were obtained
via the radical or oxidative treatment, whilst the sulfonated CEINs were obtained
using the one-step diazotization approach with sulfanilic acid and isoamyl
nitrite. The content of surface acidic groups varied between the obtained
materials and was found to be the highest for sulfonyl-modified CEINs. CEINs
functionalized with sulfonyl groups were the most efficient and the most
selective nanocatalyst for the synthesis of benzimidazoles. Various
benzimidazoles were obtained in very high yields (92.5-97.0%). Both metallocene,
aliphatic, heterocyclic and aromatic aldehydes substituted with different
functional groups were subjected to the synthesis process. The reaction proceeded
in a short time, which varied from 25 min to 65 min depending on the aldehyde
used. Additionally, the mechanism of the studied catalytic condensation by
applying sulfonated CEINs as the catalyst was discussed. Importantly, the
developed magnetic nanocatalysts could be easily separated from the reaction
mixture using a permanent magnet. The nanocatalysts can be used up to six
reaction cycles without any significant loss of their catalytic activity. This
work opens up new ways for very efficient and simple synthesis of benzimidazoles
an important class of organic compounds for various biomedical applications.
PMID- 29645053
TI - Morphological control of lanthanide ferrocyanides and their highly efficient
catalytic degradation performance toward organic dyes under dark ambient
conditions.
AB - KCe[FeII(CN)6].4H2O (CePBA), a Prussian blue analogue, was successfully
synthesized with various morphologies and different sizes. CePBA, when used as a
heterogeneous catalyst, can rapidly and completely degrade a large number of
methylene blue molecules in 30 seconds: 14.5 mg of MB (for each 5 mg of
catalyst). The CePBA catalyst is reusable. These are very important parameters
for practical applications.
PMID- 29645054
TI - Synthesis and non-conventional structure of square-planar Pd(ii) and Pt(ii)
complexes with an N,C,N-chelated stibinidene ligand.
AB - The N,C,N-chelated stibinidene, ArSb (Ar = C6H3-2,6-(CH[double bond, length as m
dash]NtBu)2), reacts with Pt(ii) compounds [PtCl2L2] resulting in the formation
of 1 : 1 complexes, cis-[PtCl2L(ArSb)] (L = Me2S (1), dmso (2)). In contrast,
attempts to synthesize similar Pd(ii) complexes failed, resulting only in the
formation of elemental palladium. To increase the stability of the ArSb
complexes, in particular those containing Pd(ii), the simple auxiliary ligands
were replaced with C,N-chelating ones, which led to a set of four compounds of
the type [RMCl(ArSb)], where R = C6H4-2-(CH2NMe2) or Fe(eta5-C5H4)(eta5-C5H3-2
(CH2NMe2)) and M = Pd (3, 5) or Pt (4, 6). Compounds 1-6 were characterized by 1H
and 13C{1H} NMR spectroscopy and single-crystal X-ray diffraction analysis, and
in the case of ferrocene derivatives 5 and 6, also by cyclic voltammetry.
Compounds 2-6 were shown to form rotamers in solution due to the side-on
coordination of the ArSb ligand and a hindered rotation around the Sb-Pd(Pt)
bond. This process was investigated by 1H-VT-NMR spectroscopy and by DFT
computations.
PMID- 29645055
TI - Cysteine-reactive probes and their use in chemical proteomics.
AB - Proteomic profiling using bioorthogonal chemical probes that selectively react
with certain amino acids is now a widely used method in life sciences to
investigate enzymatic activities, study posttranslational modifications and
discover novel covalent inhibitors. Over the past two decades, researchers have
developed selective probes for several different amino acids, including lysine,
serine, cysteine, threonine, tyrosine, aspartate and glutamate. Among these amino
acids, cysteines are particularly interesting due to their highly diverse and
complex biochemical role in our cells. In this feature article, we focus on the
chemical probes and methods used to study cysteines in complex proteomes.
PMID- 29645056
TI - Electrochemical Hg2+ detection at tannic acid-gold nanoparticle modified
electrodes by square wave voltammetry.
AB - We report the electrochemical sensing of Hg2+ based on tannic acid capped gold
nanoparticle (AuNP@TA) complexes. At optimal conditions using square wave
voltammetry, the presented analytical method exhibits a "measurable lower limit"
of 100.0 fM. This limit is considerably below the permissible level of 30.0 nM
for inorganic mercury in drinking water, specified by the World Health
Organization (WHO). The effect of potentially interfering ions, such as Zn2+ and
Al3+, was studied and results indicate an excellent selectivity for Hg2+. The
transfer of the proposed strategy onto AuNP@TA modified screen-printed electrodes
demonstrates its applicability to routine monitoring of Hg2+ in tap water.
PMID- 29645057
TI - Driving dimethyl carbonate synthesis from CO2 and methanol and production of
acetylene simultaneously using CaC2.
AB - The synthesis of dimethyl carbonate (DMC) from CO2 and methanol is a very
interesting reaction, but is thermodynamically limited. In this work, CaC2 was
used to consume the water produced in the reaction to shift the reaction
equilibrium, and C2H2 was produced at the same time. This is the first work on
the combination of driving a thermodynamically unfavorable reaction and producing
C2H2 using CaC2.
PMID- 29645058
TI - Recent advances in nanoparticle-based lateral flow immunoassay as a point-of-care
diagnostic tool for infectious agents and diseases.
AB - Lateral flow immunoassay (LFIA) technology is a paper-based, point-of-care strip
biosensor designed to detect a specific analyte in a given sample. This type of
assay is now of great interest to researchers for its cost-effectiveness,
simplicity, portability and rapidness of detection of analytes, including but not
limited to areas such as agriculture, food, biomedicine and pathogen detection.
Various nanoparticles (such as metal nanoparticles, carbon-based nanoparticles,
quantum dots, lanthanides and up-converting phosphor) functionalized by an
antibody to detect an analyte protein or molecular marker present in the surface
of an infectious pathogen are used for in LFIAs. Herein, we review the principle
of the assay and recent advancements made in terms of the different approaches
and designs of the assay towards the detection of infectious agents and diseases.
PMID- 29645059
TI - Diagnosis of thymic epithelial tumor subtypes by a quantitative proteomic
approach.
AB - The histological typing of thymic epithelial tumours (TETs) still remains a
challenge for surgical pathologists, especially when encountering borderline
cases mainly focused on spindle cell types (including type A, atypical type A
(aA), AB, and B3). A systematic proteomics analysis of TETs was performed using
isobaric tags for relative and absolute quantification (iTRAQ) labeling coupled
with two-dimensional liquid chromatography-tandem mass spectrometry (2D-LC
MS/MS). In total, 6479 and 6305 proteins were identified and quantified,
respectively. After Gene Ontology (GO) annotation and Ingenuity Pathway Analysis
(IPA), six differentially expressed proteins were validated by tissue microarray
or multiple reaction monitoring (MRM) quantification. ABCE1 and CLIC2 are
promising to be diagnostic candidate biomarkers in thymic carcinomas (TCs). CHD1L
was up-regulated in type AB and type B thymomas compared with type A thymoma.
Both CLIC2 and MAP7 were negatively detected in type B1 and B2 thymomas. SMAD4
was overexpressed in type aA thymomas and TCs. CDC42 was significantly down
regulated in type B2 thymomas compared with other subtypes. Six novel candidate
biomarkers were found to be useful in differentiating subtypes of TETs. SMAD4 may
play a specific role in tumorigenesis and the development of aA thymomas and
thymic carcinomas.
PMID- 29645060
TI - Self-assembly of model short triblock amphiphiles in dilute solution.
AB - In this work, a molecular theory is used to study the self-assembly of short
diblock and triblock amphiphiles, with head-tail and head-linker-tail structures,
respectively. The theory was used to systematically explore the effects of the
molecular architecture and the affinity of the solvent for the linker and tail
blocks on the relative stability of the different nanostructures formed by the
amphiphiles in dilute solution, which include spherical micelles, cylindrical
fibers and planar lamellas. Moreover, the theory predicts that each of these
nanostructures can adopt two different types of internal organization: (i) normal
nanostructures with a core composed of tail segments and a corona composed of
head segments, and (ii) nanostructures with a core formed by linker segments and
a corona formed by tail and head segments. The theory predicts the occurrence of
a transition from micelle to fiber to lamella when increasing the length of the
tail or the linker blocks, which is in qualitative agreement with the geometric
packing theory and with experiments in the literature. The theory also predicts a
transition from micelle to fiber to lamella as the affinity of the solvent for
the tail or linker block is decreased. This result is also in qualitative
agreement with experiments in the literature but cannot be explained in terms of
the geometric packing theory. The molecular theory provides an explanation for
this result in terms of the competition between solvophobic attractions among
segments in the core and steric repulsions between segments in the corona for the
different types of self-assembled nanostructures.
PMID- 29645061
TI - Palladium-catalyzed carbonylation of benzylic ammonium salts to amides and esters
via C-N bond activation.
AB - An efficient palladium-catalyzed carbonylation reaction of readily available
quaternary ammonium salts with CO is reported for the first time to afford
arylacetamides and arylacetic acid esters via benzylic C-N bond cleavage. This
protocol features mild reaction conditions under atmospheric pressure of CO, a
redox-neutral process without an additional oxidant, and a broad substrate scope
for various kinds of amines, alcohols and phenols.
PMID- 29645062
TI - Straightforward synthesis of enantiomerically pure 1,2,3-triazoles derived from
amino esters.
AB - A practical and straightforward approach that enables, for the first time, the
synthesis of enantiomerically pure 1,4,5-trisubstituted, 1,5-disubstituted, and
fused 1,2,3-triazole derivatives has been developed. The synthesis employs
enantiomerically pure amino esters derived from amino acids and commercially
available ketones under metal-free conditions.
PMID- 29645063
TI - Realisation of small molecule libraries based on frameworks distantly related to
natural products.
AB - The availability of high-quality screening compounds is of paramount importance
for the discovery of innovative new medicines. Natural product (NP) frameworks
can inspire the design of productive compound libraries. Here, we describe the
design and synthesis of four compound libraries based on scaffolds that have
broad NP-like features, but that are only distantly related to specific NPs. The
optimisation of syntheses of the scaffolds using [5 + 2] cycloaddition chemistry
is detailed, together with methods to yield exemplar decorated screening
compounds. In each case, a library was nominated for production, leading to a
total of >2900 screening compounds that augmented the Joint European Compound
Library of the European Lead Factory.
PMID- 29645064
TI - Adiponectin expression in visceral adiposity is an important determinant of
insulin resistance in morbid obesity.
AB - INTRODUCTION: Visceral adiposity is associated with decreased serum adiponectin
levels, peripheral resistance to insulin and an increased risk of cardio
metabolic complications. However, the link between adiponectin expression in
visceral adipose tissue (VAT), its serum levels and metabolic protection is
controversial. The aim of this study was to investigate the relationship between
the adiponectin gene expression in VAT and clinical and metabolic parameters in
patients with severe obesity. MATERIAL AND METHODS: This is a cross-sectional
study that included 51 severely obese patients (age 43.24+/-11.29 years, BMI
45.13+/-8.67 kg/m2), extensively evaluated clinically and biologically (metabolic
tests, serum adiponectin measurements, HOMA-IR) before bariatric surgery. Omental
adipose tissue was sampled during the intervention and the relative
quantification of adiponectin gene expression was performed by real-time PCR,
using beta-actin as reference gene. RESULTS: Adiponectin mRNA in VAT was
significantly higher in obese insulin-sensitive patients than in the rest of
obese patients (p < 0.05) and negatively correlated with HOMA-IR (r =-0.354,
p=0.016) and uric acid (r =-0.304, p=0.045). After adjustment for gender, TG/HDL
ratio and uric acid, adiponectin expresion (beta= -0.439, p=0.001), waist
circumference (beta=0.467, p=0.001) and serum adiponectin (beta =-0.339, p=0.011)
remained significantly associated with HOMA-IR, together explaining more than 50%
of its variation. CONCLUSIONS: In severely obese patients, adiponectin gene
expression in VAT is negatively correlated with serum levels of uric acid and is
an independent determinant, together with anthropometric parameters of visceral
obesity and serum adiponectin levels, of insulin resistance.
PMID- 29645065
TI - 131-I MIBG therapy of malignant pheochromocytoma and paraganglioma tumours - a
single-centre study.
AB - INTRODUCTION: Pheochromocytomas and paragangliomas are rare tumors deriving from
chromaffin cells of adrenal medulla or paraganglia. They are usually benign but
10-35% of them present malignant behavior. The aim of the study was to evaluate
the efficacy and safety of 131-I MIBG therapy in malignant pheochromocytoma
/paraganglioma patients (MPPGL). MATERIAL AND METHODS: 18 patients (7 women and
11 men) were included in this study. Between 2002 and 2016 they underwent 131-I
MIBG therapy because of MPPGL and their medical data were analyzed
retrospectively. Clinical indications for the treatment included progressive
disease or massive tissue involvement independently from disease progression.
Tumor response for the first time was assessed 3 months after the last treatment
according to Response Evaluation Criteria in Solid Tumors criteria and by 131-I
MIBG scans. RESULTS: The mean single dose used was 7.25 GBq (196 mCi) and mean
cumulative dose 33.08 GBq ( 894 mCi). In 2 (11%) patients complete tumor response
was achieved. In 1 (6%) patient partial response was obtained. In 13 (72%)
patients stable disease was observed. In 2 (11%) patients progression was
diagnosed three months after treatment discontinuation. In the whole studied
group the progression free survival time was 85 months and overall 5-year
survival was 87%. CONCLUSIONS: Radionuclide treatment with use of 131-I MIBG may
be effective form of palliative treatment for patients with inoperative neoplasm
spread, progressive disease or patients requiring alleviation of symptoms. < p >
< /p >.
PMID- 29645066
TI - Intellectual performance of children of mothers with an untreated thyroid
disorder in the first trimester of pregnancy.
AB - INTRODUCTION: The focus of the present study was the importance of the mother's
thyroid function for foetal development in the first trimester, when the baby is
totally dependent on the mother for thyroid hormones. MATERIAL AND METHODS: The
study consisted in testing the intellectual performance of children with both
euthyroid and thyroid-dysfunction mothers. The experimental group comprised 60
children of mothers with an untreated thyroid disorder in the first trimester of
their pregnancy (TSH>=3.5 and/or TPO-Ab>=20). The control group contained 132
children whose mothers showed no symptoms of a thyroid disorder either before or
during pregnancy/postpartum. Both groups of children were administered the WISC
III, whereby the intellectual performance of the experimental-group children was
compared with that of the control-group children. The comparison included the
percentage of children with IQ<= 85 and SLD and/or ADD risks. Our research is a
follow-up to a blanket thyroid screening of 1 649 pregnant women conducted during
2004-2006 in the region around Havlickuv Brod. RESULTS: The research found no
significant difference between the two groups of children with respect to their
intellectual abilities, either regarding their overall IQ (p=0.67), verbal IQ
(p=0.81), performance IQ (p=0.41), or the individual scores (ISP: p=0.85; IPU:
p=0.54, IKO: p=0.57; IRZ: p=0.13), nor did the experimental group show a
significantly higher occurrence of children with IQ<=85 than the control group
(p=0.66). However, the experimental group did exhibit a statistically significant
increase in the percentage of children with a suspected SLD or clinically
significant attention issues (p =0.05). CONCLUSION: Untreated thyroid disorders
in the first trimester of pregnancy can increase the risk of the child developing
attention or learning issues. < p > < /p >.
PMID- 29645067
TI - [New drugs for the treatment of multiple sclerosis].
PMID- 29645068
TI - [Frequency, semiology and prognosis of benign infantile epilepsy].
AB - INTRODUCTION: Benign infantile epilepsy is an epileptic syndrome of infancy.
Until now, only a small number of case-series have been published. AIM: To study
the frequency, semiology and prognosis of benign infantile epilepsy. PATIENTS AND
METHODS: The 827 patients with one or more epileptic seizures seen at our
hospital between 1 June 1994 and 1 March 2011 were included and prospectively
followed. A diagnosis of benign infantile epilepsy was made in patients that
fulfilled the following criteria at six month of evolution: one or more focal
and/or generalised seizures, onset before 24 months, no neurological deficit and
normal neuroimaging and interictal EEG. RESULTS: 77 cases (9%) met the diagnostic
criteria. Semiology of the seizures was similar to that of other focal seizures
in children under 24 months. 25% of the patients remained as isolated seizures.
Among those with two or more seizures, the probability of achieving a 3 year
initial remission without antiepileptic treatment was 86%. In the subgroup of
patients with focal seizures without family history the probability was 74% and
in five cases a global developmental delay/intellectual disability was detected
thereafter. CONCLUSIONS: Benign infantile epilepsy is a frequent epileptic
syndrome. Semiology of seizures is not useful to characterize the syndrome. A
diagnosis of benign infantile epilepsy at six month of evolution implies a
reasonably good prognosis, but possibly not as good as for other self-limited
epilepsies of infancy.
PMID- 29645069
TI - [Childhood ischemic cerebrovascular disease in a paediatric emergency service: a
descriptive study].
AB - AIM: To describe the clinical presentation, risk factors and complementary tests
in patients of our paediatric emergency service with a final diagnosis of
ischemic stroke. PATIENTS AND METHODS: Retrospective, analytical and
observational study, performed in a Paediatric Emergency Service of a tertiary
level hospital. We included patients aged 1 month to 14 years during a 12-years
period with a final diagnosis of ischemic cerebrovascular disease (CVD). We
analyzed personal history, symptomatology and initial complementary tests.
RESULTS: Twelve patients were included, 66% males, with a median age of 77
months. 42% had remarkable history. The most frequent clinical manifestation was
motor disorder (75%). 42% were diagnosed in the first 24 hours, noticing an
earlier diagnosis after the introduction of a multidisciplinary protocol about
CVD. CT was performed in all patients, except in two cases in whom MRI was
performed. 50% of the CTs were initially normal. In the etiological study
developed lately, only 42% of the patients had risk factors. 91% had some kind of
sequel. CONCLUSIONS: CVD is uncommon in pediatrics, but with a high
morbimortality, so it is important to make an early diagnosis. Clinical and
personal history are fundamental, nevertheless, we mainly deal with a previously
healthy child without known risk factors at the time of the first evaluation. In
case of clinical suspicion of stroke, a normal initial CT does not rule out a
CVD, so other additional tests, such as MRI, are necessary.
PMID- 29645070
TI - [Isolated girdle weakness: expansion of the phenotypic spectrum of the MERRF
8344A>G mutation of mitochondrial DNA].
AB - INTRODUCTION: The differential diagnosis of diseases that are accompanied by
adult-onset girdle weakness is broad and includes motor neurone, neuromuscular
junction or muscular diseases. The 8344A>G mutation of the MTTK gene of
mitochondrial DNA usually presents with involvement of multiple organs associated
(or not) with girdle weakness. To date no cases of isolated girdle weakness have
been reported as the presenting symptom of this mutation. CASE REPORT: A 57-year
old male, with a four-year history of isolated clinical signs of progressive
girdle weakness. He is the brother of a 59-year-old woman with the same clinical
features. Muscular biopsy played a decisive role in the diagnosis and was
characteristic of mitochondrial myopathy. The genetic analysis revealed the
8344A>G mutation of the MTTK gene of mitochondrial DNA. CONCLUSIONS: The 8344A>G
mutation of mitochondrial DNA can be associated with clinical signs and symptoms
of adult-onset girdle weakness, and must therefore be included as part of its
differential diagnosis.
PMID- 29645071
TI - Daclizumab in multiple sclerosis.
AB - INTRODUCTION: Daclizumab is a monoclonal antibody directed against the CD25
subunit of the interleukin-2 receptor, investigated as a disease-modifying
therapy in relapsing-remitting multiple sclerosis. The present review addresses
how the drug was developed, the known mechanism of action of the drug and the up
to-date data of efficacy and safety. DEVELOPMENT: Daclizumab has shown
superiority in prevention of relapses against placebo and low-dose interferon
beta-1a at a level that puts it on par with the rest of current first-line drugs.
The effect on the progression of the disease and on neurodegeneration parameters,
however, is not clear. On the other hand, it presents safety problems (mainly
risk of autoimmunity phenomena including fulminant hepatopathy and encephalitis)
that have supposed eventually its withdrawn from marketing. Daclizumab introduces
a new mechanism of action through the blocking of a key interleukin in immune
regulation and its effect on a population of cells with regulatory ability, such
as the NK CD56(bright) cells. CONCLUSIONS: Daclizumab has shown efficacy in
slowing the inflammatory process of multiple sclerosis, although the appearance
of potentially serious side effects has not allowed its use to significantly
impact current clinical practice. The development of new drugs in multiple
sclerosis must be contingent on maintaining or improving the risk-benefit profile
with respect to those already in use.
PMID- 29645072
TI - [XIX Reunion Anual de la Sociedad Extremena de Neurologia. Communications].
PMID- 29645073
TI - [Optochiasmatic tuberculomas as a paradoxical reaction to treatment for meningeal
tuberculosis].
PMID- 29645074
TI - [Microcephalies and Zika virus].
PMID- 29645075
TI - Results of second salvage therapy in 673 adults with acute myelogenous leukemia
treated at a single institution since 2000.
AB - BACKGROUND: The prognosis is poor for patients who have relapsed-refractory acute
myelogenous leukemia (AML). Most published reports analyzed results from
therapies in first-salvage AML or in studies that were conducted before 2000.
Several novel agents and strategies are being tested for potential approval as
treatment for patients with relapsed-refractory AML in second salvage. Therefore,
it is important to establish the historic results of anti-AML therapies in this
setting in the modern era. The objective of the current study was to analyze the
results from second salvage therapies in patients with AML since 2000 with regard
to response and survival. METHODS: In total, 673 patients who received second
salvage therapies for AML since 2000 were analyzed. Their median age was 60 years
(range, 18-89 years). Salvage therapy consisted of cytarabine-based regimens in
267 patients, noncytarabine combinations in 37, hypomethylating agent-based
regimens in 136, and phase 1 and 2 single agents in 233. RESULTS: Eighty-six of
the 673 patients (13%) achieved a complete response (CR) or a CR with low
platelet count (CRp). The median duration of CR-CRp was 7.2 months. The median
survival was 4.4 months (95% confidence interval, 4.0-4.8 months), and the 1-year
survival rate was 16% (95% confidence interval, 14%-19%). Multivariate analysis
identified the following as independent adverse factors for achievement of CR
CRp: platelets < 50 * 109 /L (P < .001), complex karyotype with >=3 chromosomal
abnormalities (P = .02), regimens that did not include cytarabine or
hypomethylating agents (P = .014), and no prior CR lasting >=12 months with
frontline or salvage 1 therapies (P < .001). The independent adverse factors
associated with worse survival were age >=60 years (P = .01), platelets < 50 *
109 /L (P = .02), peripheral blasts >= 20% (P = .03), albumin <= 3 g/dL (P =
.04), and complex karyotype (P = .003). The authors also applied and validated,
in the current population, the 2 multivariate-derived prognostic models for CR
and survival developed in their previous study of 594 patients who received
treatment for second salvage AML from the previous 2 decades. CONCLUSIONS: This
large-scale analysis establishes the modern historic results of second salvage
therapy in AML and validates the prognostic models associated with outcome. These
data could be used to analyze the differential benefits of current or future
investigational strategies under evaluation in this setting and for the purpose
of potential approval of new agents in the United States and the world. Cancer
2018;124:2534-40. (c) 2018 American Cancer Society.
PMID- 29645076
TI - The role of external beam radiotherapy in the treatment of hepatocellular cancer.
AB - Hepatocellular carcinoma (HCC) is increasing in incidence and mortality. Although
the prognosis remains poor, long-term survival has improved from 3% in 1970 to an
18% 5-year survival rate today. This is likely because of the introduction of
well tolerated, oral antiviral therapies for hepatitis C. Curative options for
patients with HCC are often limited by underlying liver dysfunction/cirrhosis and
medical comorbidities. Less than one-third of patients are candidates for
surgery, which is the current gold standard for cure. Nonsurgical treatments
include embolotherapies, percutaneous ablation, and ablative radiation.
Technological advances in radiation delivery in the past several decades now
allow for safe and effective ablative doses to the liver. Conformal techniques
allow for both dose escalation to target volumes and normal tissue sparing.
Multiple retrospective and prospective studies have demonstrated that
hypofractionated image-guided radiation therapy, used as monotherapy or in
combination with other liver-directed therapies, can provide excellent local
control that is cost effective. Therefore, as the HCC treatment paradigm
continues to evolve, ablative radiation treatment has moved from a palliative
treatment to both a "bridge to transplant" and a definitive treatment.
PMID- 29645078
TI - Course and predictors of posttraumatic stress disorder in a cohort of
psychologically distressed patients with cancer: A 4-year follow-up study
methodological and statistical issues.
PMID- 29645077
TI - A symptom-based model to predict colorectal cancer in low-resource countries:
Results from a prospective study of patients at high risk for colorectal cancer.
AB - BACKGROUND: Colorectal cancer (CRC) rates in low-resource countries, which
typically lack CRC screening programs, are rising. This study determined whether
a risk model for patients with rectal bleeding could identify patients with
curable CRC. METHODS: This prospective, cross-sectional study evaluated a model
constructed from data from 1 hospital and validated at 2 other hospitals. The
primary endpoint was the ability of the model to predict CRC, as diagnosed by
colonoscopy, from clinical characteristics. The secondary endpoint was to
determine the percentage of patients who had CRC. RESULTS: Consecutive patients
who were 45 years old or older and had self-reported rectal bleeding for more
than 1 week were evaluated. From January 2014 to July 2016, 362 patients answered
a questionnaire and underwent colonoscopy. In the validation cohort, 56% of
patients with rectal bleeding, weight loss, and changes in bowel habits had CRC,
whereas 2% of patients with bleeding alone did. Overall, 18.2% of the patients
had CRC, and 8.6% had adenomas. The proportion of CRC patients with potentially
curable stage II or III disease was 74%, whereas the historical rate was 36%. The
combination of rectal bleeding with both symptoms significantly predicted CRC in
the validation set (odds ratio, 12.8; 95% confidence interval, 4.6-35.4; P <
.001). CONCLUSIONS: In low-resource settings, patients with rectal bleeding,
weight loss, and changes in bowel habits should be classified as high risk for
CRC. Patients with a high risk score should be prioritized for colonoscopy to
increase the number of patients diagnosed with potentially curable CRC. Cancer
2018;124:2766-2773. (c) 2018 American Cancer Society.
PMID- 29645079
TI - Anti-nuclear antibodies in patients with breast cancer.
AB - To study the prevalence of anti-nuclear antibodies (ANA) in breast cancer
patients and its association with tumour characteristics. Ninety-one patients
with breast mass detected by image studies and assigned to conduct diagnostic
biopsy and eventual surgical treatment were studied for demographical, tumour
data and presence of ANA. Serum of positive ANA patients was screened for the
extractable nuclear antigen (ENA) profile. As comparison, 91 healthy individuals
matched for age and from the same geographical area were included. In this sample
72 of 91 (79.1%) had malignant lesions (83% ductal infiltrative carcinoma). ANA
was positive in 44.4% of patients with malignant tumour and in 15.7% of those
with benign lesions (malignant versus benign with P = 0.03). Controls had ANA
positivity in 5.4%, and when compared with tumour samples showed P < 0.0001. The
most common immunofluorescence pattern was a fine dense speckled pattern. In the
ANA-positive patients with malignant lesions, seven had positivity for ENA
profile (three for anti-RNP and anti-Sm, one for just anti-RNP, two for anti-Ro
and anti-La e two for just anti-La). It was not possible to associate ANA
positivity with tumour histological characteristics or staging or with patient's
age. A negative association of ANA with hormonal (oestrogen or oestrogen plus
progesterone) receptor status was found (P = 0.01). In this sample, there was a
high prevalence of ANA positivity in breast cancer patients with a negative
association with the presence of hormonal receptors. More studies are needed to
understand the real value of this finding.
PMID- 29645080
TI - Risk of oral tongue cancer among immunocompromised transplant recipients and
human immunodeficiency virus-infected individuals in the United States.
AB - BACKGROUND: Oral tongue cancer incidence has increased among whites in the United
States; however, the cause remains unknown. If an infectious agent is implicated,
then elevated risk would be expected among immunosuppressed individuals. METHODS:
By using population-based registry linkage information from the US Transplant
Cancer Match and human immunodeficiency virus/acquired immunodeficiency syndrome
(HIV/AIDS) Cancer Match studies, the authors examined the risk of oral tongue
squamous cell carcinoma (SCC) among immunocompromised transplantation recipients
and HIV-infected individuals. In addition, the risks of oropharyngeal SCC
(strongly related to human papillomavirus infection; modestly affected by
immunosuppression), other tobacco/alcohol-related oral cavity SCCs (not thought
to be infection/immunosuppression-related), and non-Hodgkin lymphoma of oral
cavity/pharynx (strongly related to Epstein-Barr virus; profoundly affected by
immunosuppression) were evaluated. RESULTS: Compared with the general population,
the risk of non-Hodgkin lymphoma was strongly increased (standardized incidence
ratio [SIR] > 8.0). The risk of all SCCs was modestly and similarly elevated
among transplantation recipients (SIR range, 2.2-2.7; Pheterogeneity = .2);
whereas, among HIV-infected individuals, the risk of oral tongue SCC was higher
compared with the risk of other SCCs (SIR, 3.0 vs 1.7 [for oropharyngeal SCCs]
and 2.3 [for other oral cavity SCCs]; Pheterogeneity < .001). The risk of SCCs
was significantly higher among men, older individuals, and whites; and risk
increased with the time since transplantation/AIDS onset. The risk of oral tongue
SCC was significantly higher among HIV-infected men who have sex with men
compared with the average risk in HIV-infected individuals (adjusted incidence
rate ratio = 2.0). CONCLUSIONS: Similar modest increases in the risk of oral
tongue and other oral cavity SCCs do not suggest that an infectious agent or
exposure profoundly affected by immunosuppression underlies the increase in oral
tongue cancer. Cancer 2018;124:2515-22. (c) 2018 American Cancer Society.
PMID- 29645081
TI - Have we found the right patient population for transplantation in follicular
lymphoma?
PMID- 29645082
TI - Soluble ST2 regulation by rhinovirus and 25(OH)-vitamin D3 in the blood of
asthmatic children.
AB - Paediatric asthma exacerbations are often caused by rhinovirus (RV). Moreover,
25(OH)-vitamin D3 (VitD3) deficiency during infancy was found associated with
asthma. Here, we investigated the innate immune responses to RV and their
possible modulation by 25(OH)-VitD3 serum levels in a preschool cohort of
children with and without asthma. The innate lymphoid cell type 2 (ILC2)
associated marker, ST2, was found up-regulated in the blood cells of asthmatic
children with low serum levels of 25(OH)-VitD3 in the absence of RV in their
airways. Furthermore, in blood cells from control and asthmatic children with RV
in their airways, soluble (s) ST2 (sST2) protein was found reduced. Asthmatic
children with low 25(OH)-VitD3 in serum and with RV in vivo in their airways at
the time of the analysis had the lowest sST2 protein levels in the peripheral
blood compared to control children without RV and high levels of 25(OH)-VitD3.
Amphiregulin (AREG), another ILC2-associated marker, was found induced in the
control children with RV in their airways and low serum levels of 25(OH)-VitD3.
In conclusion, the anti-inflammatory soluble form of ST2, also known as sST2, in
serum correlated directly with interleukin (IL)-33 in the airways of asthmatic
children. Furthermore, RV colonization in the airways and low serum levels of
25(OH)-VitD3 were found to be associated with down-regulation of sST2 in serum in
paediatric asthma. These data indicate a counter-regulatory role of 25(OH)-VitD3
on RV-induced down-regulation of serum sST2 in paediatric asthma, which is
relevant for the therapy of this disease.
PMID- 29645084
TI - Reply to Course and predictors of posttraumatic stress disorder in a cohort of
psychologically distressed patients with cancer: A 4-year follow-up study
methodological and statistical issues.
PMID- 29645085
TI - Improving therapy for patients with epidermal growth factor receptor-mutant lung
cancer.
PMID- 29645083
TI - Polypharmacy and patterns of prescription medication use among cancer survivors.
AB - BACKGROUND: The population of cancer survivors is rapidly growing in the United
States. Long-term and late effects of cancer, combined with the ongoing
management of other chronic conditions, make survivors particularly vulnerable to
polypharmacy and its adverse effects. In the current study, the authors examined
patterns of prescription medication use and polypharmacy in a population-based
sample of cancer survivors. METHODS: Using data from the Medical Expenditure
Panel Survey (MEPS), the authors matched cancer survivors (5216 survivors) with
noncancer controls (19,588 controls) by age, sex, and survey year. Polypharmacy
was defined as >=5 unique medications. The authors estimated the percentage of
respondents prescribed medications within therapeutic classes and total
prescription expenditures. RESULTS: A higher percentage of cancer survivors were
prescribed >=5 unique medications (64.0%; 95% confidence interval [95% CI], 62.3%
65.8%) compared with noncancer controls (51.5%; 95% CI, 50.4%-52.6%), including
drugs with abuse potential. Across all therapeutic classes, a higher percentage
of newly (<=1 year since diagnosis) and previously (>1 years since diagnosis)
diagnosed survivors were prescribed medications compared with controls, with
large differences observed with regard to central nervous system agents (65.8%
[95% CI, 62.3%-69.3%] vs 57.4% [95% CI, 55.3%-59.5%] vs 46.0% [95% CI, 45.0%
46.9%]). Specifically, nearly 10% of survivors were prescribed benzodiazepines
and/or opioids compared with approximately 5% of controls. Survivors had more
than double the prescription expenditures (median of $1633 vs $784 among
controls). Findings persisted across age and comorbidity categories. CONCLUSIONS:
Cancer survivors were prescribed a higher number of unique medications, including
drugs with abuse potential, thereby increasing their risk of adverse drug events,
financial toxicity, poor adherence, and drug-drug interactions. Cancer
2018;124:2850-2857. (c) 2018 American Cancer Society.
PMID- 29645086
TI - Erlotinib plus either pazopanib or placebo in patients with previously treated
advanced non-small cell lung cancer: A randomized, placebo-controlled phase 2
trial with correlated serum proteomic signatures.
AB - BACKGROUND: This study compared the efficacy and safety of treatment with
erlotinib plus pazopanib versus erlotinib plus placebo in patients with
previously treated advanced non-small cell lung cancer (NSCLC). METHODS: Patients
with progressive-stage IV NSCLC after either 1 or 2 previous chemotherapy
regimens were randomized to receive erlotinib (150 mg by mouth daily) with either
pazopanib (600 mg by mouth daily) or placebo. During treatment, patients were
evaluated every 8 weeks until disease progression or unacceptable toxicity. After
a study amendment, pretreatment serum specimens for the VeriStrat assay were
collected. The predictive value of the VeriStrat score (good vs poor) for
progression-free survival (PFS) and overall survival (OS) was assessed in the
overall population and in each treatment group. RESULTS: One hundred ninety-two
eligible patients were randomized between February 2010 and February 2011. PFS
was prolonged with erlotinib plus pazopanib versus erlotinib plus placebo
(median, 2.6 vs 1.8 months; hazard ratio, 0.58; P = .001). There was no
difference in the OS of the 2 groups. A good VeriStrat score predicted longer PFS
and OS in the entire group and predicted longer PFS in the subgroup receiving
erlotinib plus pazopanib. The addition of pazopanib increased toxicity, and this
was consistent with the known toxicity profile. CONCLUSIONS: The addition of
pazopanib to erlotinib in an unselected group of patients with previously treated
NSCLC improved PFS and increased treatment-related toxicity, but it had no
influence on OS. The efficacy of both regimens was modest. Patients receiving
erlotinib plus pazopanib had longer PFS if they had a good VeriStrat score versus
a poor one. Cancer 2018;124:2355-64. (c) 2018 American Cancer Society.
PMID- 29645090
TI - Multiple zinc ions maintain the open conformation of the catalytic site in the
DNA mismatch repair endonuclease MutL from Aquifex aeolicus.
AB - The DNA mismatch repair endonuclease MutL consists of N-terminal ATPase and C
terminal endonuclease domains. The endonuclease domain binds zinc ion, although
the ion seems not to function as a catalytic metal ion. Here, we solved the
crystal structures of the Aquifex aeolicus MutL (aqMutL) endonuclease domain
complexed with a single and three zinc ions. Differences between the two
structures show that binding of multiple zinc ions induces a closed-to-open
conformational change at the catalytic site. It is also revealed that the three
zinc-bound form of the endonuclease domain exhibits higher endonuclease activity
than the single-zinc-bound form. These results indicate that multiple zinc ions
are required for the proper folding of the endonuclease domain, which would
facilitate the endonuclease activity of aqMutL.
PMID- 29645089
TI - Nitrogen enrichment suppresses other environmental drivers and homogenizes salt
marsh leaf microbiome.
AB - Microbial community assembly is affected by a combination of forces that act
simultaneously, but the mechanisms underpinning their relative influences remain
elusive. This gap strongly limits our ability to predict human impacts on
microbial communities and the processes they regulate. Here, we experimentally
demonstrate that increased salinity stress, food web alteration and nutrient
loading interact to drive outcomes in salt marsh fungal leaf communities. Both
salinity stress and food web alterations drove communities to deterministically
diverge, resulting in distinct fungal communities. Increased nutrient loads,
nevertheless, partially suppressed the influence of other factors as determinants
of fungal assembly. Using a null model approach, we found that increased nutrient
loads enhanced the relative importance of stochastic over deterministic divergent
processes; without increased nutrient loads, samples from different treatments
showed a relatively (deterministic) divergent community assembly whereas
increased nutrient loads drove the system to more stochastic assemblies,
suppressing the effect of other treatments. These results demonstrate that common
anthropogenic modifications can interact to control fungal community assembly.
Furthermore, our results suggest that when the environmental conditions are
spatially heterogeneous (as in our case, caused by specific combinations of
experimental treatments), increased stochasticity caused by greater nutrient
inputs can reduce the importance of deterministic filters that otherwise caused
divergence, thus driving to microbial community homogenization.
PMID- 29645087
TI - A humanized TCR retaining authentic specificity and affinity conferred potent
anti-tumour cytotoxicity.
AB - The affinity of T-cell receptor (TCR) determines the efficacy of TCR-based
immunotherapy. By using human leucocyte antigen (HLA)-A*02 transgenic mice, a TCR
was generated previously specific for human tumour testis antigen peptide MAGE
A3112-120 (KVAELVHFL) HLA-A*02 complex. We developed an approach to humanize the
murine TCR by replacing the mouse framework with sequences of folding optimized
human TCR variable domains for retaining binding affinity. The resultant
humanized TCR exhibited higher affinity and conferred better anti-tumour activity
than its parent murine MAGE-A3 TCR (SRm1). In addition, the affinity of humanized
TCR was enhanced further to achieve improved T-cell activation. Our studies
demonstrated that the human TCR variable domain frameworks could provide support
for complementarity-determining regions from a murine TCR, and retain the
original binding activity. It could be used as a generic approach of TCR
humanization.
PMID- 29645091
TI - Sex in the wild: How and why field-based studies contribute to solving the
problem of sex.
AB - Why and how sexual reproduction is maintained in natural populations, the so
called "queen of problems," is a key unanswered question in evolutionary biology.
Recent efforts to solve the problem of sex have often emphasized results
generated from laboratory settings. Here, we use a survey of representative "sex
in the wild" literature to review and synthesize the outcomes of empirical
studies focused on natural populations. Especially notable results included
relatively strong support for mechanisms involving niche differentiation and a
near absence of attention to adaptive evolution. Support for a major role of
parasites is largely confined to a single study system, and only three systems
contribute most of the support for mutation accumulation hypotheses. This
evidence for taxon specificity suggests that outcomes of particular studies
should not be more broadly extrapolated without extreme caution. We conclude by
suggesting steps forward, highlighting tests of niche differentiation mechanisms
in both laboratory and nature, and empirical evaluation of adaptive evolution
focused hypotheses in the wild. We also emphasize the value of leveraging the
growing body of genomic resources for nonmodel taxa to address whether the
clearance of harmful mutations and spread of beneficial variants in natural
populations proceeds as expected under various hypotheses for sex.
PMID- 29645092
TI - The adaptive value of heterospory: Evidence from Selaginella.
AB - Heterospory was a pivotal evolutionary innovation for land plants, but it has
never been clear why it evolved. We used the geographic distributions of 114
species of the heterosporous lycophyte Selaginella to explore the functional
ecology of microspore and megaspore size, traits that would be correlated with
many aspects of a species' regeneration niche. We characterized habitats at a
global scale using leaf area index (LAI), a measure of foliage density and thus
shading, and net primary productivity (NPP), a measure of growth potential.
Microspore size tends to decrease as habitat LAI and NPP increase, a trend that
could be related to desiccation resistance or to filtration of wind-borne
particles by leaf surfaces. Megaspore size tends to increase among species that
inhabit regions of high LAI, but there is an important interaction with NPP. This
geographical pattern suggests that larger megaspores provide an establishment
advantage in shaded habitats, although in open habitats, where light is less
limiting, higher productivity of the environment seems to give an advantage to
species with smaller megaspores. These results support previous theoretical
arguments that heterospory was originally an adaptation to the increasing height
and density of Devonian vegetative canopies that accompanied the diversification
of vascular plants with leaves.
PMID- 29645094
TI - Leishmania amazonensis downregulates macrophage iNOS expression via Histone
Deacetylase 1 (HDAC1): a novel parasite evasion mechanism.
AB - The induced expression of nitric oxide synthase (iNOS) controls the intracellular
growth of Leishmania in infected macrophages. Histones deacetylases (HDACs)
negatively regulate gene expression through the formation of complexes containing
transcription factors such as NF-kappaB p50/50. Herein, we demonstrated the
occupancy of p50/p50_HDAC1 to iNOS promoter associated with reduced levels of
H3K9Ac. Remarkably, we found increased levels of HDAC1 in L. amazonensis-infected
macrophages. HDAC1 upregulation was not found in L. major-infected macrophages.
The parasite intracellular load was reduced in HDAC1 knocked-down macrophages,
which presented increased nitric oxide levels. HDAC1 silencing led to the
occupancy of CBP/p300 to iNOS promoter and the rise of H3K9Ac modification.
Importantly, the immunostaining of skin samples from hiporeactive cutaneous
leishmaniasis patients infected with L. amazonensis, revealed high levels of
HDAC1. In brief, L. amazonensis induces HDAC1 in infected macrophages, which
contribute to parasite survival and is associated to hiporeactive stage found in
L. amazonensis infected patients.
PMID- 29645096
TI - Supplement Title Page.
PMID- 29645093
TI - Autologous transplantation versus allogeneic transplantation in patients with
follicular lymphoma experiencing early treatment failure.
AB - BACKGROUND: Early treatment failure (ETF) in follicular lymphoma (FL), defined as
relapse or progression within 2 years of frontline chemoimmunotherapy, is a newly
recognized marker of poor survival and identifies a high-risk group of patients
with an expected 5-year overall survival (OS) rate of approximately 50%.
Transplantation is an established option for relapsed FL, but its efficacy in
this specific ETF FL population has not been previously evaluated. METHODS: This
study compared autologous hematopoietic stem cell transplantation (auto-HCT) with
either matched sibling donor (MSD) or matched unrelated donor (MUD) allogeneic
hematopoietic cell transplantation (allo-HCT) as the first transplantation
approach for patients with ETF FL (age >= 18 years) undergoing auto-HCT or allo
HCT between 2002 and 2014. The primary endpoint was OS. The secondary endpoints
were progression-free survival, relapse, and nonrelapse mortality (NRM). RESULTS:
Four hundred forty FL patients had ETF (auto-HCT, 240; MSD hematopoietic stem
cell transplantation [HCT], 105; and MUD HCT, 95). With a median follow-up of 69
to 73 months, the adjusted probability of 5-year OS was significantly higher
after auto-HCT (70%) or MSD HCT (73%) versus MUD HCT (49%; P = .0008). The 5-year
adjusted probability of NRM was significantly lower for auto-HCT (5%) versus MSD
(17%) or MUD HCT (33%; P < .0001). The 5-year adjusted probability of disease
relapse was lower with MSD (31%) or MUD HCT (23%) versus auto-HCT (58%; P <
.0001). CONCLUSIONS: Patients with high-risk FL, as defined by ETF, undergoing
auto-HCT for FL have low NRM and a promising 5-year OS rate (70%). MSD HCT has
lower relapse rates than auto-HCT but similar OS. Cancer 2018;124:2541-51. (c)
2018 American Cancer Society.
PMID- 29645098
TI - Acknowledgement Text.
PMID- 29645097
TI - Pregnancy spacing and maternal morbidity in Matlab, Bangladesh.
AB - OBJECTIVE: The study examines the relationship between pregnancy spacing and
seven measures of maternal morbidity in Matlab, Bangladesh. METHOD: The study
analyzes maternal morbidity data on 11,122 women who visited a health center
during their third trimester of pregnancy between 1996 and 2002. Adjusted odds
ratios were obtained through logistic regression analysis to assess the effects
of pregnancy intervals of differing lengths while holding constant other
influences (six variables) on maternal morbidity. RESULTS: After controlling
these variables, pre-eclampsia and high blood pressure are significantly more
likely for women with preceding inter-pregnancy intervals of less than 6 months
or 75 months or more compared to those with intervals of 27-50 months. Premature
rupture of membranes is significantly more likely following inter-pregnancy
intervals of 6-14 months, and edema is significantly more likely following inter
pregnancy intervals over 50 months. CONCLUSION: Short and long inter-pregnancy
intervals are associated with increased incidence of some maternal morbidities.
PMID- 29645101
TI - One country's story: The PROALMA program in Honduras.
AB - The first phase of the PROALMA project in Honduras resulted in changed hospital
breastfeeding practices within as little as 2 years. These changes affected the
community, lengthening the duration of exclusive breastfeeding to 1-2 months and
the duration of any breastfeeding to 12 months. The second phase of the project
sought to extend the program nationwide. One of the original pilot hospitals in
San Pedro Sula was well along in breastfeeding promotion but felt there were
still problems in breastfeeding education and the integration with family
planning services. Following retraining of staff and the opening of a
Breastfeeding Clinic and a Temporary Methods Family Planning Clinic, there have
been improvements in both areas.
PMID- 29645103
TI - The Brazilian national breastfeeding program: A success story.
AB - The Brazilian National Breastfeeding Program launched in 1981, is remarkable for
its broad scope, including activities aimed at protecting (employment
legislation, control of marketing of substitutes for mother's milk), promoting
(use of the media, professional training), and supporting breastfeeding (mothers'
groups, information material, and direct counseling). The program was preceded by
an assessment in the metropolitan areas of Recife and Sao Paulo. Evaluation of
the program carried out in 1987-1988 shows that it has had an impact; the average
duration of breastfeeding increased from 89 to 127 days in Sao Paulo and from 66
to 104 days in Recife. Exclusive breastfeeding, which averaged 43 days in Sao
Paulo, increased by 1.5 times; while in Recife the increase was 2.2 times,
leading to 32 days.
PMID- 29645105
TI - The use of mass media to promote breastfeeding.
AB - Breastfeeding promotion is currently hampered by four major problems: the decline
in funding for campaigns; failure to use mass media; lack of program evaluation;
and vague messages. Thorough social marketing research could determine why women
are turning away from breastfeeding and what messages could be used to make
breastfeeding a more attractive feeding option. Similar efforts should be made to
include breastfeeding messages in other programs, such as family planning, growth
monitoring, and oral rehydration therapy.
PMID- 29645107
TI - Technical working groups C and D reports.
AB - The discussion following the reports of Technical Working Groups C and D centered
on the advantages of various next steps: a breastfeeding focal point; national
commissions; other needs.
PMID- 29645110
TI - Breastfeeding and health care services.
AB - Breastfeeding can help prevent the 38,000 daily deaths of infants and young
children through its nutritional, immunologic, and sanitary aspects.
Nevertheless, it is on the decline in most countries. The World Health
Organization (WHO) and United Nations Children's Fund (UNICEF) have combined to
issue guidelines on the role of maternity services in promoting breastfeeding,
such as, a hospital breastfeeding policy with rooming-in, demand-feeding, and the
elimination of bottles and breast milk substitutes. These practices have
succeeded in programs around the world and have increased the breastfeeding rate
at the same time that infection rates decreased.
PMID- 29645111
TI - Breastfeeding in systems related to health care.
AB - The discussion following this panel's presentations centered on the contributions
of mothers' support groups; aspects of training and promotional messages;
dissemination and exchange of information; and working women and breastfeeding.
PMID- 29645113
TI - Statement on breastfeeding and diarrhea prevention and treatment.
PMID- 29645114
TI - The need for action.
AB - Nutrition workers speculated about why poor mothers should decide to bottle feed,
when their community in the past had apparently always breastfed effortlessly and
successfully. Surveys cross-tabulated feeding methods against socioeconomic
variables, but it was difficult to make use of the results. Few thought that
there was anything we needed to learn about HOW to make breastfeeding succeed.
There was also a tacit assumption that if you had the resources - for example if
you were yourself an employed health worker - you could bottle feed safely, so
there was no need to worry.
PMID- 29645117
TI - Government and social support for breastfeeding: Industrialized countries.
AB - The discussion following Dr. Helsing's presentation centered on the role of
feminist groups and research questions.
PMID- 29645115
TI - Recommendations on breastfeeding promotion among pediatricians.
AB - Pediatricians often have to treat the severely ill child whose symptoms could
have been prevented by exclusive breastfeeding. Nevertheless quite a few
pediatricians continue to pass on inappropriate advice on breastfeeding or lack
the commitment to its promotion. This paper lists the obstacles that were
encountered in breastfeeding promotion among pediatricians in India and gives 17
recommendations for overcoming them.
PMID- 29645119
TI - Appendix 1: Participants.
PMID- 29645118
TI - Breastfeeding and growth monitoring.
AB - One of the main reasons women stop breastfeeding is they lack confidence in their
ability to produce enough milk. Growth monitoring gives them the confidence by
showing their baby's satisfactory growth. There are ten pitfalls to growth
monitoring programs however: (1) the emphasis on a curative rather than a
preventive approach; (2) monitoring starts too late; (3) programs emphasize
nutritional status rather than growth status; (4) the chart is not used to prompt
a dialogue with the mother on meaning; (5) health workers do not listen to find
out why some babies are succeeding and others are not; (6) insufficient attention
is paid to training and supervision, and programs should address the child's
total environment; (7) growth monitoring is seen as isolated from other aspects
of health care; (8) the community should become involved in addressing growth
problems; (9) additional food is often seen as the only activity rather than
separating the preventive strategy of growth monitoring from the curative
activity; and (10) growth monitoring itself achieves little unless it leads to
growth promotion.
PMID- 29645120
TI - Technical working groups A and B reports.
AB - The discussion following the reports by Technical Working Groups A and B centered
on the recommendation that a strategy meeting of top policymakers be held to
confirm their commitment to breastfeeding; and on the advantages and
disadvantages of an international focal point for breastfeeding efforts.
PMID- 29645122
TI - Technical working group D report: Government and donor support for breastfeeding
in health and health-related programs.
AB - The purpose of this working group was to design a general strategy for government
and donor support for breastfeeding promotion in health-related and other non
maternity health programs. First, it is important to examine the reasons why
governments and donor agencies accept or reject programs to support.
PMID- 29645123
TI - Appendix 2: Schema for the development of breastfeeding definitions.
PMID- 29645125
TI - Introduction and summary.
PMID- 29645126
TI - Breastfeeding promotion in maternity care services: Hospital programs as a
catalyst to policy change.
AB - The discussion following this panel's presentations centered on difficulties in
gaining support from medical professionals; the importance of support from the
top level; and the way to change hospital and breastfeeding practices, especially
aspects of practice that are associated with postpartum amenorrhea.
PMID- 29645127
TI - One country's story: Brazil - Breastfeeding promotion in many sectors.
AB - The discussion following Dr. Rea's presentation centered on whether a program
should focus more on mass media or hospital change and raised questions about
rooming-in and evaluation.
PMID- 29645129
TI - The commitment to breastfeeding.
AB - The Agency for International Development (A.I.D.) is increasing its efforts to
promote breastfeeding, as part of its child survival initiatives in child
spacing, diarrheal disease control, and nutrition. Research suggests that
promotion can increase breastfeeding rates. The Agency, for the first time, has
set aside funds specifically for breastfeeding promotion and is working to
identify places in its programs where such promotion can take place.
PMID- 29645128
TI - Professional education and training for trainers.
AB - There is a worldwide need for health professionals knowledgeable in lactation
physiology and breastfeeding management. The seven step Wellstart education
program is aimed at physician-nurse teams from teaching hospitals in developing
nations. In its 6 years of operation, it has taught 64 such teams, whose members
have in turn taught others in their own countries. This success demonstrates the
possibility for a major contribution to promoting breastfeeding.
PMID- 29645130
TI - A midwife's view of the history of modern breastfeeding practices.
AB - The author finds that three things make breastfeeding successful: the mother's
desire; correct positioning of the baby; and the baby's control of time at the
breast. Restrictions of feeding time originated early in this century. Combined
with descriptions of babies sucking from the nipple instead of the breast,
misinformation has spread about breastfeeding in spite of correct knowledge being
available. A review of textbooks and articles should be undertaken.
PMID- 29645131
TI - The effect of breastfeeding promotion on the infertile postpartum period.
AB - A new project is testing whether a breastfeeding promotion program will change
breastfeeding behavior and the length of postpartum amenorrhea. The control group
and 100 of the eventual 400 experimental subjects have been recruited. The
program consists of workshops, prenatal care, maternity ward postpartum care,
early home visits, and a breastfeeding outpatient clinic. Early results seem to
indicate decreased use of pacifiers and foods and fluids other than breast milk
by women in the study group.
PMID- 29645132
TI - Supporting breastfeeding: What governments and health workers can do - European
experiences.
AB - The International Code of Marketing of Breast-Milk Substitutes provides health
workers with a framework for action in breastfeeding promotion. Countries are to
report their efforts to the World Health Organization (WHO). The European
Regional WHO Office finds a diversity of practices within its countries.
Therefore in 1986, they convened a meeting of health workers' organizations to
draw up a strategy for implementation. This paper outlines the elements in the
strategy, the reasons why some countries have seen increases in breastfeeding,
and the possible ways international organizations can help.
PMID- 29645133
TI - Breastfeeding promotion in family planning programs.
AB - Family planning programs serve large numbers of women of childbearing, and thus
breastfeeding, age. Although these programs appear to have a number of
characteristics which would be useful for promoting and supporting breastfeeding,
most family planning programs have done very little in this area. The Agency for
International Development (A.I.D.) and the Institute for International Studies in
Natural Family Planning are working to find ways to remove barriers to family
planning breastfeeding promotion efforts. Such barriers include lack of or
conflicting measures of program success and lack of information on the
breastfeeding/fertility relationship. The Institute is developing guidelines to
help family planning programs promote breastfeeding.
PMID- 29645134
TI - Appendix 3: Protecting, promoting and supporting breastfeeding: the special role
of maternity services - A joint WHO/UNICEF statement.
PMID- 29645135
TI - Donor agency representative panel: Feedback and strategies.
PMID- 29645136
TI - Breastfeeding promotion in other health programs.
AB - The discussion following this panel's presentations centered on breastfeeding and
diarrheal disease; problems with growth monitoring; survey questions; and
definition difficulties.
PMID- 29645137
TI - Statement on the immediate need for breastfeeding promotion.
PMID- 29645139
TI - The impact of unregulated fertility on maternal and child survival.
AB - Almost all maternal and child deaths represent merely the endpoint of the 'road
to death' - a combination of factors that include high-risk pregnancy,
socioeconomic disadvantage and inadequate health care. Unregulated fertility is
the underlying cause of most high-risk pregnancies. They are: unwanted; in
mothers younger than 20 or older than 35; closely spaced; and in women who
already have several children. The resulting morbidity and mortality create a
cycle of further disadvantage, further increases in child mortality and further
high-risk pregnancies. Family planning is the single most important exit from the
'road to death.' Over the past 40 years it has contributed to the worldwide
reduction in child mortality, and for every 100 women provided with family
planning, a woman's life is saved.
PMID- 29645140
TI - HIV, STD and their current impact on reproductive health: the need for control of
sexually transmitted diseases.
AB - STD and HIV are the second most important cause of Disability Adjusted Life Years
lost in women in the developing world. Reasons for this are multiple, and include
their higher vulnerability for STD and HIV, poor health care seeking behavior and
lack of accessible and acceptable services. There is considerable synergism
between family welfare services and STD programs: complications and sequelae of
STD affect the reproductive tract and child health and survival; reproductive and
child health and survival are the focus of family welfare programs. Family
welfare services are thus well positioned to incorporate STD and HIV prevention
and control measures. This is feasible, although practical and logistical
problems need to be addressed. Where indicated, pilot integration projects can
assess the cost-effectiveness and outcome of such programs.
PMID- 29645141
TI - The role of emergency obstetric care in preventing maternal deaths: an historical
perspective on European figures since 1751.
AB - A review of the time-relationships is provided between the introduction of
obstetric techniques and organization of obstetric care in Europe and the
decrease of maternal death rates from 1751 to 1980. Published figures were used
to discover the evolution of maternal deaths in Europe for the last 250 years,
and to propose a parallel analysis of major modifications of obstetric care. The
teaching of obstetrics to midwives and general practitioners, introduction of
forceps and of low-segment cesarean section technique, disappearance of home
deliveries, antibiotics, anesthesia and blood banks are among the addressed
techniques. Even if a causal relationship cannot be proposed, the major
inflection in the rate of reduction of maternal deaths is related to the
introduction of low segment cesarean section in the first years of the 20th
century. This progress was also related to the progressive transfer of birth
sites, from home to specialized units.
PMID- 29645142
TI - Maternal mortality: national versus hospital situation.
AB - A retrospective analysis of the causes of maternal mortality in two academic
hospitals of Dhaka city over a 2-year period was carried out and compared with
national figures. These are the country's chief referral institutions, where only
the critically ill are admitted. Currently, the national maternal mortality ratio
(MMR) is 5.6/1000 live births, while in Dhaka Medical College Hospital the case
fatality ratios (CFR) were 24.4 and 17.2 in 1992 and 1993, respectively. In
Mitford Hospital the CFR was 11.1 and 5.4/1000 live births, during the same
periods. The most common cause of maternal death at the national level was
postpartum hemorrhage and at medical college hospitals it was eclampsia. If we
can strengthen emergency obstetric care at all levels, we can reduce maternal
mortality bolh at the national level and at the referral hospitals.
PMID- 29645143
TI - Unmet need in family planning in South Asia Region.
AB - Today's population in South Asia is estimated at 1.2 billion. With an average
annual growth of 2%, it increases by more than 24 million people each year, the
highest increment in the world. Despite recent improvements in the contraceptive
prevalence rate, all countries in the region experience high levels of unmet need
for contraception, Several of the region's characteristics have a bearing on the
challenge of promoting and providing family planning services: women's status is
particularly low; the persistence of son preference results in higher female than
male mortality at all ages; the young age structure means an increasing number of
people entering childbearing ages. Being predominantly rural, the region
experiences shortages of trained health personnel as well as major problems of
accessibility to basic health services.
PMID- 29645144
TI - Rethinking the role of the risk approach and antenatal care in maternal mortality
reduction.
AB - In developing countries where most women are not delivered in health facilities,
screening for obstetric risk is neither a cost-effective nor efficient strategy
for maternal mortality reduction. Antenatal care can contribute to preventing
such deaths when used for identifying early obstetric complications, educating
women to identify obstetric danger signs, and motivating them and their families
to seek appropriate referral care.
PMID- 29645145
TI - Natural sex selection for safe motherhood and as a solution for population
control.
AB - For Safe Motherhood wanted children should be of desired sex. As Y sperm have a
shorter life span and migrate faster, if coitus occurs before ovulation, sperm
must wait in the tube for the ovum to arrive. By the time the ovum arrives, Y
sperm have died and X sperm fertilize the ovum, resulting in a baby girl. If
coitus takes place after ovulation when the ovum is waiting in the tube, the
faster migrating Y sperm fertilize the ovum resulting in a baby boy. Eighty women
wishing to test this hypothesis by basal body temperature and ultrasound were
recruited. Correct results have so far been obtained in 97%. This theory can be
used for Safe Motherhood, for population control and to eliminate sex-linked
genetic disorders. It can also prevent both female feticide and infanticide in
some cultures.
PMID- 29645146
TI - Discussion.
PMID- 29645147
TI - Birth control counseling for HIV seropositive pregnant women.
AB - Testing for HIV antibody at the antenatal clinic in Siriraj Hospital started on 1
July 1991. It was found that the percentage of HIV seropositive pregnant women
rapidly increased from 1.2% in 1992 to 1.9% in 1993. During those 2 years, 487
seropositive women were admitted for delivery or abortion; 23.8% were adolescents
and 47.0%, young adults. Prevention of HIV transmission from mother-to-baby was
birth control; 95 women (19.5%) chose to abort their pregnancy. After termination
of all pregnancies by abortion or delivery, 83% requested sterilization, 12.9%
accepted Norplant, 1.8% the pill and only 2.3% refused anything at all. Condoms
were used regularly for prevention of HIV infection by 29.4% of men although Thai
men generally do not accept them for birth control. Seropositive Norplant users
had more bleeding than general Norplant users but treatments were the same.
PMID- 29645148
TI - Discussion.
PMID- 29645149
TI - The Mother-Baby Package: an approach to implementing safe motherhood.
AB - The basic objectives of the Mother-Baby Package are neither new nor controversial
- they are considered the 'four pillars' of Safe Motherhood: (1) family planning
to ensure that individuals and couples have the information and services to plan
and space pregnancies; (2) antenatal care - to ensure that complications of
pregnancy are detected as early as possible and treated appropriately; (3)
clean/safe delivery - to ensure that all birth attendants have the knowledge,
siwills and equipment to perform a clean and safe delivery; (4) essential
obstetric care - to ensure that essential care is made available to all women who
need it. Complications during pregnancy and childbirth affect not only the women
but their newborns as well. The woman and the fetus, the mother and the neonate,
should be seen as a dyad - two units treated as one. Poorly managed pregnancies
and/or deliveries and/or inadequate care of neonates during the first critical
hours of life account for significant numbers of perinatal and neonatal deaths.
Each intervention of the Package can be appropriately applied at community,
health center and hospital levels. Only by providing this continuum of care from
the community through to the referral hospital will significant impact on
mortality be achieved. The goals of the Package are, by the year 2000, to reduce
maternal mortality by half and neonatal and perinatal mortality by 30-40% of 1990
levels.
PMID- 29645150
TI - Levels and determinants of maternal morbidity: results from a community-based
study in southern India.
AB - This analysis is based on detailed interviews with 3600 rural and urban women in
southern India. They were younger than 35 and had at least one child less than 5
years of age. Each woman was questioned by a trained female interviewer and asked
about problems she had encountered during the last pregnancy. Approximately two
fifths (41%) reported at least one morbid condition associated with that event.
About one-fifth (18%) had had at least one problem during the antenatal period
and delivery and another 23% indicated problems during the postpartum. Surgical
interventions were made in 13.1% of all deliveries. However, if we consider only
those taking place in hospital, more than one-third (34.2%) were delivered
surgically. The relationship between socioeconomic and demographic factors and
reported maternal morbidity has been examined by using multivariate statistical
techniques. The policy implications of the study are discussed.
PMID- 29645151
TI - Training of traditional birth attendants: success and failure in Bangladesh.
AB - In Bangladesh, training of traditional birth attendants (TBAs) began in 1978.
Since then, the government has prepared over 44000 TBAs. A few thousands more
have received instruction through the efforts of various non-governmental
organizations in the country. The objective of TBA training is primarily to
change their practices of conducting deliveries. They are taught cleanliness so
that maternal and infant mortality, which is significantly high among South and
South East Asian nations, may be checked. The writer has herself learned from
graduates of the course that they have received sufficient guidance and direction
towards performing safe deliveries, a concept totally lacking until that
experience. TBAs are thereby in a position to contend with the prevailing
socioeconomic and cultural beliefs of the country's rural masses, and to cope
with their problems.
PMID- 29645152
TI - Practical issues in Safe Motherhood: Proceedings of the Fifth International
Conference for Maternal and Neonatal Health in Dhaka, Bangladesh, November 25-30,
1994.
PMID- 29645154
TI - Discussion: TBA training program.
PMID- 29645153
TI - The national maternal mortality study of Egypt 1992-1993.
AB - The study was designed as a population-based sample of all maternal deaths over a
one-year period in 21 governorates. A sample of 7487 deceased women of
reproductive age was selected. During pregnancy, delivery, or in the puerperium,
there were 825 772 maternal deaths and 53 from incidental causes. Of the maternal
deaths, 69.5% were due to direct obstetric causes while 26.9 and 3.6% were due to
indirect and unknown causes, respectively. The national maternal mortality ratio
was 174 maternal deaths per 100 000 live births.
PMID- 29645155
TI - Antenatal risk screening and scoring: a new look.
AB - At the individual level, risk assessment is fundamental to antenatal care. But at
the program level, there are real difficulties associated with it, of which the
most important is the false negatives - women with no (or few) risk factors who
experience complications. This paper evaluates several commonly used indicators
of risk in terms of both maternal (cephalopelvic disproportion) and fetal (low
birthweight/prematurity) outcomes. The literature shows that obstetric history is
a better measure of risk than so-called demographic variables like age and
parity. When assessing the utility of a risk factor, Us sensitivity must be
evaluated against the likelihood of false negatives. If risk assessment is
practiced effectively, it must include a means of ensuring prompt treatment for
low risk women with (unexpected) complications.
PMID- 29645156
TI - A 5-year study of maternal mortality in Faisalabad City Pakistan.
AB - A 5-year study (1989-1993) of 215 maternal deaths in Faisalabad (third largest
city of Pakistan) is presented. There were 276 717 live births recorded by the
Municipal Corporation during that period, giving a maternal mortality of
0.77/1000 live births. These deaths included 88 (40.9%) in teaching hospitals, 70
(32.6%) at home and 57 (26.5%) in private hospitals. The main causes of maternal
death were postpartum hemorrhage, 50 (23.3%), followed by pregnancy induced
hypertension/eclampsia and non-obstetric causes, 34 (15.8%) each. The study
reveals that traditional birth attendant (TBA) training/refresher courses,
intensive community education, antenatal checkup in local areas, free obstetric
flying squad service, better linkage between formal and informal health services,
home maternal death condolence camps and improvement of obstetric care in
hospital, offered free for deserving cases have played major roles in reducing
maternal mortality.
PMID- 29645157
TI - How effective is antenatal care to promote maternal and neonatal health?
AB - Antenatal care is effective, but relies heavily on the sympathetic attitude of
health personnel. Essential to its promotion is community participation and
involvement of family members. Transportation to a well-equipped facility for
emergency obstetric care is a critical element. The effectiveness of antenatal
care to reduce maternal morbidity and mortality from certain major causes is
reviewed.
PMID- 29645158
TI - Traditional birth attendants in Bangladeshi villages: cultural and sociologic
factors.
AB - World Health Organization policy for some years has emphasized working through
traditional birth attendants as the best path to improving the appalling level of
maternal and child mortality and illness in much of the Third World. The
universal appropriateness of this strategy has begun to be called into question.
Recent research on childbirth in rural Bangladesh, as elsewhere in South Asia,
suggests that the concept of the 'traditional birth attendant' in the context of
this region needs to be reexamined. The dai typically have tittle training and
low status, due to their close association with the removal of birth pollution. A
policy based on converting them into modern health professionals faces serious
obstacles. In practice, neither the poorly-trained village dai nor such
biomedically-trained practitioners as are available at the village level are able
to deliver competent health care. Their effectiveness is further compromised by
the cultural and material environment within which they operate. A more adequate
approach to care for birthing women in the village still has to be developed.
PMID- 29645159
TI - Social and cultural factors leading to mothers being brought dead to hospital.
AB - In a 12-year period (1981-1992) 150 pregnant or recently delivered women were
dead when they reached the hospital. Relevant history with emphasis on the events
of the previous 24 h and the reasons for delay in reaching the hospital were
obtained from the relatives accompanying the patient. The probable cause of death
was determined on the basis of history only; no autopsies were done. The causes
of delay were economic (54), sociocultural factors (51) and inadequate maternal
services (31). In 14 cases the reasons for delay could not be established. The
medical problems were either preventable or treatable if managed in time. A
combination of economic, social and cultural factors played a more significant
role in these deaths than medical causes.
PMID- 29645160
TI - Emergency obstetric care: measuring availability and monitoring progress.
AB - To reduce maternal deaths substantially, the facilities for Emergency Obstetric
Care (EOC) must exist, be accessible, be utilized by complicated cases and be
successful. Accordingly, a new set of indicators, viz., number of functional EOC
facilities, geographic bed distribution within a district, proportion of expected
births and expected complications managed at these units, and case fatality rate
for complicated cases, were assessed in ten districts drawn from ten major states
of India. The paper discusses the findings and some of the problems of data
collection and interpretation. In general, maldistribution of beds within the
district, low levels of cesarean section rates and, sometimes, high case fatality
point to a need for an improvement in availability, distribution and quality of
EOC. Similarly, low institutional birth rate and much lower than expected number
of complications reaching the facilities point to the need for encouraging
families to use them. Changes in these indicators over time will help gauge
improvements in provision and utilization of EOC. The study findings and
methodology are serving as an important element for developing a monitoring
system for EOC under Safe Motherhood in India.
PMID- 29645161
TI - Lessons learned from maternal mortality study to reduce deaths in Egypt.
AB - To identify causes of maternal death in Egypt and with that knowledge to effect
its reduction, the Ministry of Health launched a study, a unique aspect of which
was exploration of avoidable factory at home, in medical facilities, and during
transportation from one to the other. The country must make better use of
existing personnel and buildings.
PMID- 29645162
TI - Eclampsia: modern outlook on prevention and treatment.
AB - This is a review article. Eclampsia is an almost preventable condition. The ratio
of prostacycline and thromboxane is reversed in patients with preeclampsia and
eclampsia. Lipid peroxides are also increased during pregnancy and there is lack
of invasion of the spiral arteries by trophoblasts, particularly the second wave.
A good predictive test is urgently needed to detect patients who are at risk of
developing these conditions later in their pregnancy. Low-dose aspirin is a
promising preventive therapy in this group of women. Until we have good means of
preventing preeclampsia and eclampsia, supportive care in treatment must be
improved. Essential obstetric care (EOC) at all levels of health services should
be established to reduce maternal mortality and morbidity from this condition,
and the community educated and mobilized to respond appropriately in time of
need.
PMID- 29645163
TI - Program participants.
PMID- 29645164
TI - Severe anemia of pregnancy, recent experience.
AB - We undertook this study to determine the current prevalence of anemia in
pregnancy and its impact on maternal and perinatal mortality and morbidity, and
to suggest ways to make the anemia prevention programs more effective. The
incidence of pregnancy anemia was determined by a population-based survey (1990
1994) of rural and urban areas using a cluster sample design. Mortality and
morbidity data were gathered from our own hospital records (1982-1994). The
survey data showed that 86.1% of pregnant women (n = 4752) were anemic (Hb < 11
g/dl); 56.0% had severe anemia (Hb < 7 g/dl); and 1.9% were decompensated (Hb < 4
g/dl). The hospital-based analysis revealed that severe anemia contributed to
34.5% of all maternal deaths (case fatality ratio = 1769). Hypertensive disorders
were found in 28.2% of severe anemia cases. The incidence of preterm labor was
31.2% in these cases and the birthweight 2.23 +/- 1.13 kg (mean +/- SD), while
perinatal mortality was 65 compared to overall rates of 7.9%, 2.78 +/- 1.32 kg
and 46, respectively. We conclude that severe anemia of pregnancy is still
rampant and its adverse consequences remain unabated. The risk-care approach is
advocated as an alternative strategy.
PMID- 29645165
TI - Family planning in Sub-Saharan Africa: present status and future strategies.
AB - Africa lags behind the rest of the developing world in most demographic, health
and economic indicators of social development, but some progress has been made in
contraceptive prevalence and fertility decline. Many challenges remain for
governments on that continent to meet the basic reproductive health needs of
their rapidly growing populations. With the sustained support of the
international community, there is reason to be hopeful.
PMID- 29645166
TI - Neonatal tetanus: review of progress.
AB - The target set for elimination of neonatal tetanus (NT) is less than one case par
1000 live births in every district of the world. NT will then be considered to
have been removed as a major public health problem and fewer than 150 000 cases
are expected to occur annually worldwide if the achievements are maintained. It
is estimated for 1993 that over 700 000 neonatal deaths due to tetanus were in
fact prevented by maternal immunization and clean delivery practices. About 480
000 (70%) of them were averted in only three countries: India, Bangladesh and
Indonesia. Meanwhile, 91 others already have an estimated NT rate below 1 per
1000 live births nationwide. Of these, 50 countries may already have achieved the
target in each of their districts. According to 1993 estimates half a million
newborn deaths due to tetanus are still occurring worldwide, 80% of them in 12
countries. Out of 14 others where NT remains a major public health problem, 12
are in the African Region. Together, these 26 countries contribute 90% of the
global incidence of NT. By 1995, major strides towards the elimination of NT can
be made by using the high risk approach and immunization, including organizing
Days of Tranquility where necessary. But for this to be accomplished, there must
be sufficient political commitment and financial support of some US$ 30 million
to carry out the program in the 26 priority countries.
PMID- 29645167
TI - Midwives and the achievement of safer motherhood.
AB - The root problem of unsafe motherhood in developing countries is lack of access
to a competent care-giver throughout pregnancy, childbirth and the postpartum
period. These resources, trained midwives, with or without prior nursing
training, should be recruited from the communities they are to serve. Community
midwives must be involved in any realistic strategic plan to provide this needed
midwifery care.
PMID- 29645168
TI - Removing risk from safe motherhood.
AB - It is necessary to differentiate between complications of pregnancy and
population risk groups for those complications. The latter have limited use as
most complications occur in the low risk groups. Complications of pregnancy need
to be treated in health facilities that can provide blood transfusions, cesarean
section, removal of placenta and induction of labor. A plan must exist for each
pregnant woman to be moved to such a facility, since it is not possible to
predict who will have the complication. Early detection and effective treatment
of complications and family planning services to prevent unwanted pregnancies is
the way to lower maternal mortality.
PMID- 29645171
TI - The evolution of methods for female sterilization.
AB - Methods for the sterilization of women by occlusion of the Fallopian tubes have
evolved by changes in the approaches to the tubes and trials of various methods
for occluding the tubal lumen. Approaches to the tube have included: the anterior
abdominal wall routes by laparotomy, minilaparotomy and laparoscopy; a vaginal
approach through the posterior vaginal fornix; and transcervical approaches,
either blind, or via the hysteroscope. Methods for obtaining tubal occlusion have
included: excision and suture techniques; electrocautery; clips and rings;
intratubal occlusive devices and chemicals introduced into the tubal lumen. The
development of each of the approaches to the tube and of the methods for
obtaining tubal occlusion are discussed.
PMID- 29645174
TI - The potential for improved abdominal procedures and approaches for tubal
occlusion.
AB - Methods of sterilization by the abdominal route are reviewed. There are wide
variations in practice which reflect experience, training and resources in
different countries. Clip sterilization comes nearest to fulfilling the criteria
of a satisfactory method, whether performed by laparoscopy or minilaparotomy. The
development of the Cambridge clip seems to offer the prospect of a significant
improvement in reliability and safe application while microlaparoscopy and a
smaller clip would facilitate the use of local anesthesia and decrease morbidity.
PMID- 29645178
TI - Introduction.
PMID- 29645180
TI - Supplement Title Page.
PMID- 29645181
TI - Half Title.
PMID- 29645182
TI - Copyright Page.
PMID- 29645183
TI - FIGO Board.
PMID- 29645186
TI - Panel discussion.
PMID- 29645194
TI - An introduction to the supplement.
PMID- 29645195
TI - Quinacrine sterilization (QS) in Syria: a preliminary report on 297 cases.
AB - OBJECTIVES: To evaluate the safety, efficacy and acceptability of quinacrine
sterilization (QS) in Syria. METHODS: From July 2001 to December 2002, 297 women
who requested permanent sterilization volunteered for QS either in my private
practice or my local family planning center in Aleppo, Syria. The standard
protocol was used: 252 mg of quinacrine in the form of 7 pellets are deposited at
the uterine fundus withy a modifeid CuT IUD inserter during the proliferative
phase of the menstrual cycle. This procedure is repeated 4 weeks later. DMPA was
injected at the time of the first insertion for temporary contraception. Every
sterilized woman has had a monthly checkup visit until the cut-off date for this
report, including a beta HCG pregnancy test. All procedures were performed by the
author. The cut-off date for this report was June 11, 2003. RESULTS: The single
pregnancy was ectopic. Four women (1.3%) complained of severe pain. Moderate pain
was experienced by 13.1% while the remaining women felt mild pain, all easily
treated. The remaining side effects were minor and also easily treated.
Oligomenorrhea and amenorrhea affected 29% of the women and lasted for several
months. Immediate side effects are similar to reports from other researchers.
CONCLUSIONS: Results thus far regarding efficacy are encouraging. QS has proven
to be acceptable.
PMID- 29645202
TI - Quinacrine sterilization (QS) experience in the Philippines: a preliminary
report.
AB - OBJECTIVE: The first clinical trial of Quinacrine Sterilization (QS) in the
Philippines was undertaken in Ceby City on January 10, 2000, to evaluate the
accetability, safety, effectiveness and side effects of this technology. We
intend to recruit 500 patients to utilize this technique for limiting family
size. For the purposes of this report, our cut-off date is April 11, 2003.
METHODS: Over more than two years, QS was performed on 36 volunteer patients.
After careful explanation of the procedure and given the opportunity to ask
questions, they had signed an informed consent. The trial involved transcervical
insertion of 252 mg quinacrine in the form of pellets, and placed at the tip of
the uterine fundus on two occasions, a month apart. Condoms were routinely
provided to all patients except those on oral contraceptive pills and DMPA after
the first insertion to be used for six weeks after the second one. As the numbers
are small, no statistical evaluation was called for. RESULTS: The accumulated
experience was 515 woman-months. There were no pregnancies, neither ectopic nor
intrauterine. Adverse events (AE) were mild. Some patients complained of a yellow
discharge and itching. Fifty percent experienced midl abdominal discomfort which
was easily managed with mefenamic acid. CONCLUSIONS: Although this is a small
study, we believe that QS is both safe and effective and we are strongly
encouraged to continue to offer this nonsurgical sterilization method to our
patients.
PMID- 29645206
TI - 8-year follow-up in a randomized trial of one vs two transcervival insertions of
quinacrine pellets for sterilization in Indonesia.
AB - OBJECTIVE: To evaluate the efficacy of one vs two insertions of quinacrine and
the long-term safety of quinacrine sterilization (QS) 8 years after the procedure
in Indonesia. METHODS: Between March 1993 and September 1995, a randomized trial
was conducted in 6 academic centers in Indonesia. In February 2003, a follow-up
was undertaken in Bandung, one of these centers. This survey required a home
visit of each woman. A questionaire was designed to elicit information regarding
current general health status, method failure, pregnancy outcomes and other
contraceptive methods now used by women who experienced failures. Among the 70
patients receiving a single insertion of quinacrine pellets, 14.3% had become
pregnant. There were no pregnancies among the 30 who received 2 insertions. All
the women were found to be in good health. No long-term side effects or
complications were identified. CONCLUSIONS: The two-insertion protocol is
unmistakably superior to the single insertion. This study provides further
evidence that QS is a safe contraceptive method.
PMID- 29645207
TI - 25 years of quinacrine sterilization experience in Chile: review of 2,592 cases.
AB - OBJECTIVES: To assess short-term side effects, long-term risks and efficacy of
quinacrine sterilization (QS) in Chile. METHODS: Review experience of 2,592 cases
sterilized with 2 or 3 transcervical insertions of 252 mg quinacrine as pellets
since 1977; review the Chilean pre-clinical experience and epidemiological
studies on cervical, endometrial and other cancers. RESULTS: Among 2,592 women
who underwent QS, the total number of pregnancies was 119 (4.6%); 59 (49.5%) were
carried to term with no birth defects related to QS. Nine cases were ectopic
pregnancies. The ectopic pregnancy risk per 1,000 woman-years was 0.41, similar
to that for surgical sterilization. The cumulative life-table pregnancy rates per
100 women at 10 years varied from 5.2 to 6.9. Mild and transient side effects
were reported in 13.5% of quinacrine intrauterine insertions and pelvic
inflammatory disease was diagnosed in 4 cases (0.15%). Long-term follow-up of
quinacrine-sterilized patients shows no increased risk of cervical, endometrial
or other cancer. CONCLUSIONS: QS efficacy at 10 years is comparable to widely
accepted tubal clip and single point bipolar electrocoagulation laparoscopic
procedures. QS has a low risk of serious, immediate side effects. No long-term
risks have been identified after 25 years of use.
PMID- 29645208
TI - An FDA phase I clinical trial of quinacrine sterilization (QS).
AB - OBJECTIVE: To review the significance of a United States Food and Drug
Administration (FDA) approved Phase I clinical trial of a new use for an old
drug, quinacrine. To discover whether ultrasound may have utility in quinacrine
sterilization (QS). METHOD: This clinical trial began on 16 September 2000 at the
Women's and Children's Hospital of Buffalo (WCHOB) in Buffalo, New York. Ten
patients volunteered to have QS. These subjects were carefully followed with
regularly scheduled examinations, including extensive laboratory blood tests. In
addition, each patient had a trans-abdominal ultrasound examination six weeks or
later past the date of the second insertion of quinacrine. The trial was
completed on 30 April 2003. RESULTS: Laboratory results fell within normal
limits, thus providing additional evidence to affirm the lack of toxic effects of
QS. With ultrasound, we were able to see scars in both oviducts on all of our
patients. One patient with a small scar as seen on ultrasound became pregnant.
CONCLUSION: QS was found to be safe and effective. Ultrasound holds the promise
of reducing the failure rate.
PMID- 29645209
TI - The effect of special training for quinacrine sterilization (QS) in Faisalabad,
Pakistan: a report on an 1833-women subset of 11,000 cases.
AB - OBJECTIVE: To determine the impact of retrained clinicians on the efficacy of
transcervical quinacrine sterilization. METHOD: Retraining of clinicians in the
accepted insertion technique was conducted in 1996. From 1 January 1997 through
2001, they performed 1089 quinacrine sterilizations in 11 MCH clinics of the
Mother & Child Welfare Association at Faisalabad, Pakistan. Of these, 885 women
had a recorded follow-up visit (81.4%) by 31 December 2001. RESULTS: Reported
pregnancy failures declined after retraining from 5.4% (SE 2.3) for one year of
use to 1.1% (SE 0.4) for 5 years of use. The rates at 4 years of use showed the
expected increase in failures for women 30 years old or younger of 1.5% (SE 0.7)
compared to 0.9% (SE 0.4) for those over 30; a lower rate of 0.8% (SE 0.4) for
breastfeeding subjects and 2.2% (SE 1.1) for mothers not breastfeeding; but rates
were similar for uterine length and post insertion traumatic bleeding.
CONCLUSION: Quinacrine sterilization appears safe with acceptable efficacy.
PMID- 29645210
TI - Forward.
PMID- 29645211
TI - Quinacrine sterilization: a retrospective.
AB - OBJECTIVE: To trace development of quinacrine sterilization (QS). METHODS: Review
of published reports. RESULTS: The high prevalence of septic abortion among high
parity women in Santiago, Chile, motivated Zipper to find a safe, inexpensive
method of non-surgical female sterilization. Various cytotoxic drugs were tried
in rats. Because quinacrine was already accepted for intrapleural injection it
was chosen for the first clinical trial. A slurry consisting of quinacrine and
xylocaine was instilled into the uterine cavity with a transcervical syringe.
Reasonable efficacy was noted and a limited scar of the intramural tube
demonstrated. However, a side effect of cortical excitation and reports of 3
deaths ended this approach. Zipper and Wheeler hypothesized that the difficulty
was due to rapid absorption of quinacrine under pressure and designed a pellet
form that dissolves slowly and could be delivered transcervically using a
modified IUD inserter. A standard protocol of 252 mg in seven 36 mg pellets
placed at the uterine fundus on two occasions a month apart has now been widely
used with considerable evidence for safety and efficacy. Indeed, protection is
greater than 98% at 2 years of use. CONCLUSION: QS is ready for widespread use,
especially where surgical sterilization is not safely available or when women are
poor candidates for surgery or have such a fear of surgery that they will not
seek surgical sterilization.
PMID- 29645212
TI - Quinacrine sterilization in Libya: 200 cases.
AB - OBJECTIVE: Document the safety, effectiveness and acceptability of quinacrine
sterilization (QS) as an alternative to surgical sterilization in Libyan women.
METHODS: This study was initiated 1 October 1998 at the Misurata Central Hospital
and Lamis Clinic. Patient intake was completed 30 September 2002. The cut-off
date for this analysis was 31 December 2002. A total of 200 women were given 2
doses, each consisting of 252 mg of quinacrine hydrochloride in the form of 7
pellets inserted one month apart. They were placed at the uterine fundus during
the proliferative phase of the menstrual cycle using a modified IUD inserter.
Women were asked to report any unusual observations or side effects and
instructed to use a barrier method or safe period for one month from the time of
the first insertion. Follow-up was scheduled at 3, 6 and 12 months after the date
of the second insertion and every 6 months thereafter. RESULTS: Sixty-six women
have been monitored for up to 3 years and follow-up of all patients continues.
There has been no loss to follow-up. No side effects of any consequence have been
reported. Thus far, no pregnancies have been reported for this protocol.
CONCLUSIONS: Findings in this study are consistent with those seen in other
countries. QS has been shown to be safe, effective and acceptable among Libyan
women.
PMID- 29645213
TI - Quinacrine sterilization (QS) in a private practice in Daytona Beach, Florida: a
preliminary report.
AB - OBJECTIVES: To affirm that WS can be performed safely and effectively in a U.S.
private office practice. METHODS: The U.S. FDA Modernization Act of 1997 Pharmacy
Compounding Provisions made it possible for American physicians to begin offering
WS to their patients. These provisions became effective November 21, 1998. This
series was initiated in October 2000. The standard protocol recommended by the
International Federation for Family Health (IFFH) is followed. Information on
patients is recorded on forms suggested by IFFG to accomplish good post-marketing
surveillance. The potential role of uterine septae in WS failures is of
particular interst to this investigator. RESULTS: Seven cases have been
completed. There have been no failures. Side effects have been minor. Women have
been exceptionally happy with this method. The Florida Agency for Health Care
Administration has examined WS and found it to be an acceptable off-label use of
quinacrine. CONCLUSION: Preliminary results have been similar to those reported
by QS researchers around the world.
PMID- 29645214
TI - A comparison of quinacrine sterilization (QS) and surgical sterilization (TL) in
600 women in Guizhou Province, China.
AB - OBJECTIVES: Compare the safety and efficacy of quinacrine sterilization (QS) and
surgical sterilization, also known as tubal ligation (TL). METHODS: 300 women
accepted QS in Guiyang, China during the period from July 1995 to September 1997.
Each patient was scheduled for follow-up at 3, 6, 12 and 24 months. In March
1998, a comparison group of 300 women electing TL during the same time period was
systematically chosen. Researchers visited the village of every woman and
conducted a structured interview. Each candidate was given a general health and
pelvic exam at a clinic in her village. All interviews and exams were completed
in August 1998. RESULTS: Of the 289 QS patients interviewed (a follow-up rate of
96.3%), 265 had had 2 insertions. There were 3 pregnancy failures for a
cumulative life table failure rate of 1.2 per 100 women at 24 months. The 299 TL
patients (a follow-up rate of 99.7%) had a similar rate of 0.7. There were no
life-threatening side effects or deaths in either group. QS was less disruptive,
more easily tolerated, required fewer resources and was viewed more favorably
than TL by women and their spouses. CONCLUSIONS: Both methods were found safe and
very effective. However, QS was considered to be more acceptable than TL.
PMID- 29645216
TI - The acceptability, efficacy and safety of quinacrine non-surgical sterilization
(QS), tubectomy and vasectomy in 5 provinces in the Red River Delta, Vietnam: a
follow-up of 15,190 cases.
AB - OBJECTIVES: To compare the safety, efficacy and acceptability of quinacrine
sterilization (QS), tubectomy and vasectomy in Vietnam. METHODS: This study was
initiated in January 1998 and completed in February 2000. A sample of 9 districts
in 5 provinces, where the prevalence of QS was known to be high, was selected.
Every person sterilized in these 9 districts between January 1, 1988 and March
31, 1998 was identified and systematically interviewed by family planning
clinicians who had received special training for this project. RESULTS: A total
of 15,982 sterilization users were identified and 15,190 were interviewed and
examined, including a gynecologic exam, if needed: a follow-up rate of 95%. Of
those interviewed, 9,753 used tubectomy, 3,734 used QS and 1,703 used vasectomy.
All three methods were found to be safe, although morbidity associated with
tubectomy was more serious than with QS or vasectomy. No deaths were reported.
After more than 5 years of follow-up, tubectomy had the lowest failure rate:
1.0%, followed by 4.1% with vasectomy. A pregnancy rate of 13.2% was reported
with quinacrine, although only a small fraction of these failures were confirmed.
A strong preference for QS was found. CONCLUSION: QS has an important role to
play in sterilization services in Vietnam.
PMID- 29645217
TI - Quinacrine female nonsurgical sterilization (QS): endometrial assessment by
vaginal ultrasonography in 128 women.
AB - OBJECTIVE: Investigate effectiveness, safety and endometrial pattern after QS.
METHOD: This study began in March 1999 and ended March 18, 2003; 128 women
received transcervical insertions of quinacrine. Follow-up visits with ultrasound
were scheduled at 1, 3, 6, 12-month intervals. RESULTS: Two pregnancies occurred,
one at 25 months, the other at 37. Adverse events (AE) were: yellow vaginal
discharge, headache, mild abdominal pain, vaginal pruritus, nausea and transient
decrease in endometrial thickness. One patient had allergic reaction. A third
insertion was done in case of vaginal bleeding (16.4%). One year after QS 10%
still had amenorrhea, which may be the results of the fact that 73% of our
patients had received DMPH. Once inside the uterus, the dissolved quinacrine
could be seen within seconds, via ultrasound as a "Lake of Quinacrine" which
stays for up to two hours. Frequently, a transverse vaginal ultrasonographic view
of the uterine cavity showed plug-like echogenic points at the cornua.
CONCLUSION: Quinacrine sterilization is safe and effective. The echogenic points
need to be more thoroughly studied in order to affirm whether ultrasonography may
identify the blockage of the tubes. Since early pregnancy is due to imperfect
tubal closure, the use of ultrasound may prevent failure. However, pregnancy due
to later recanalization cannot be avoided.
PMID- 29645218
TI - Feasibility of recruiting publicly insured children with special health care
needs for a population-based clinical study.
AB - OBJECTIVE: Assess the feasibility of recruiting children with special health care
needs (CSHCN) into a clinical study using Medicaid data. METHODS: We used the 3M
Clinical Risk Grouping software to identify and classify Medicaid-enrolled CSHCN
ages 7-17 years in Washington into one of three chronic condition severity
groups: episodic, life-long, or malignancy/catastrophic. We compared contact,
recruitment, enrollment, and data completion proportions across severity groups
using the Pearson chi-square or Fisher's exact test. RESULTS: Of the 2,666 CSHSN
in the Medicaid files, we contacted 922 and recruited 211 CSHCN (22.8 percent).
One-hundred-thirteen made their study visit (53.6 percent) and 98 had complete
data (86.7 percent). We contacted and recruited significantly larger proportions
of CSHCN with malignancies/catastrophic conditions (P < 0.01). Across severity
groups, there were no differences in enrollment (P = 0.27), but a smaller
proportion of CSHCN with life-long conditions had complete data (P = 0.03).
CONCLUSION: It is feasible to recruit CSHCN from Medicaid files for clinical
studies.
PMID- 29645219
TI - The GABAergic system as a therapeutic target for Alzheimer's disease.
AB - Glutamatergic and cholinergic dysfunction are well-attested features of
Alzheimer's disease (AD), progressing with other pathological indices of the
disorder and exacerbating neuronal and network dysfunction. However, relatively
little attention has been paid to the inhibitory component of the
excitatory/inhibitory (E/I) network, particularly dysfunction in the gamma
aminobutyric acid (GABA) signaling system. There is growing evidence in support
of GABAergic remodeling in the AD brain, potentially beginning in early stages of
disease pathogenesis, and this could thus be a valid molecular target for drug
development and pharmacological therapies. Several GABAergic drugs have been
tested for efficacy in attenuating or reversing various features and symptoms of
AD, and this could represent a novel path by which we might address the growing
need for more effective and benign therapies.
PMID- 29645220
TI - Role of a symptom-based algorithmic approach to chronic pelvic pain.
AB - OBJECTIVE: Chronic pelvic pain (CPP) is a disorder that has a significant impact
on the patient's quality of life. Laparoscopic diagnosis can be disappointing,
and recurrence is common after surgical treatment. A symptom-based algorithmic
approach to treatment of CPP is presented that is safe and cost-effective.
METHODS: This approach is oriented towards making a potential clinical diagnosis
of endometriosis. It relies on a structured evaluation of the patient and
administration of medical therapy including GnRH agonists to selected patients.
Evaluation begins with a history and physical examination; selected cases receive
specific therapy. Non-responders undergo appropriate laboratory and imaging
studies. If results remain negative, it is possible to make a clinical diagnosis
of endometriosis and begin empiric treatment. RESULTS: Early results strongly
indicate that using this approach allowed clinicians to make highly accurate
diagnoses (correct in 85-90% of cases). CONCLUSION: Use of this approach will
minimize the need for surgery.
PMID- 29645221
TI - Re-defining the focus in the management of chronic pelvic pain.
PMID- 29645223
TI - A post-presentation discussion.
PMID- 29645222
TI - An appraisal of the role of laparoscopy: past, present, and future.
AB - OBJECTIVE: To review the role of laparoscopy in the management of chronic pelvic
pain (CPP). METHOD: A literature search was conducted to obtain a clear
perspective on the role of laparoscopy for CPP. RESULTS: Laparoscopy has been
widely used as a diagnostic tool in CPP, but often falls short in defining the
type and activity of ectopic endometrial-like tissue. Because pelvic
endometriosis includes a wide range of lesions, histological confirmation varies
greatly. The evaluation of peritoneal endometriosis at laparoscopy can be
misleading. In one study 43% of the patients with minimal or mild endometriosis
were found at second-look laparoscopy to be free of lesions. Finally, laparoscopy
is not without major complications and the risk of undiagnosed bowel injury is
likely to be underestimated. CONCLUSION: The diagnosis of endometriosis can no
longer be limited to the visual inspection of the pelvis but requires a wider
range of investigations to assess the reproductive system and the role of
endometriotic lesions and adhesions in CPP.
PMID- 29645224
TI - Focus for the future: tackling the 'pelvic pain' problem in gynecologic practice
an interactive session.
AB - OBJECTIVE: The clinical management of women with chronic pelvic pain (CPP) and
endometriosis involves important issues that exist at the interface between these
two conditions. METHODS: To address these issues, an interactive session was
convened to discuss an empiric algorithmic protocol for the clinical management
of CPP and endometriosis presented during the Takeda-sponsored session as part of
the XVI FIGO World Congress of Gynecology and Obstetrics and to monitor the
audience response to this approach. RESULTS: Approximately one-third of the
audience responded that they would definitely try this method, approximately 18%
were already using it, and approximately 21% would be fairly likely to try it.
Thirty percent of the audience was not convinced that the empiric approach is the
best management strategy. CONCLUSION: The advantages of this management strategy
should be carefully considered, and discussed with colleagues who do think it is
the reasonable treatment approach in selected cases.
PMID- 29645225
TI - Moving toward a new therapeutic model for chronic pelvic pain: a summary.
PMID- 29645226
TI - Chronic pelvic pain: defining the scope of the problem.
AB - OBJECTIVE: To define the scope of the problems associated with chronic pelvic
pain (CPP) and optimize management. METHOD: Clinical trial results and healthcare
utilization data from the literature were reviewed. RESULTS: Recent evidence
suggests that a large percentage of women experience CPP. While no etiology tends
to be found in the majority of these women, the annual cost for diagnosing and
treating CPP seems considerable. The management of patients with negative
laparoscopies constitutes a clinical challenge. While surgical and medical
therapy have a role in CPP, there appears to be no 100% effective cure.
CONCLUSIONS: There is no consensus on management of patients with no visible
pathology and chronic pelvic pain. Physicians should select a treatment for CPP
that will be the least complicated and least risky and will deliver speedy
symptomatic relief.
PMID- 29645227
TI - A dvances in understanding of molar pregnancy.
PMID- 29645228
TI - Postmolar hCG surveillance programme, chemotherapy stratification in gestational
trophoblastic disease.
PMID- 29645229
TI - Biology of human trophoblast.
AB - In order to elucidate the regulation of placental growth, we have characterized
the expression of proliferating cell nuclear antigen (PCNA), apoptotic DNA
fragmentation and bc1-2 protein in human placenta during pregnancy. PCNA and bc1
2 protein expression were examined by immunohistochemical techniques, while the
occurrence of apoptotic DNA fragmentation was assessed by in situ analysis of DNA
3'-end labeling method. Both PCNA expression and apoptotic DNA fragmentation were
found in cytotrophoblasts (C-cells), being most abundant in early placenta, less
abundant in midterm placenta and least abundant in term placenta. In contrast,
bc1-2 protein expression was found in syncytiotrophoblasts (S-cells), being least
abundant in early placenta, less abundant in midterm placenta and most abundant
in term placenta. These data indicate that early placenta is characterized by the
highly proliferative activity of C-cells associated with the increased occurrence
of apoptosis, whereas term placenta is characterized by the abundant expression
of bc1-2 protein in S-cells. Furthermore, effects of EGF on the proliferative
activity and differentiated function of trophoblasts were investigated using an
organ culture system. Expiants of trophoblastic tissues were cultured with or
without EGF, in the presence or absence of 10-8 M triiodo-L-thyronine (T3) in a
serum-free condition. In 4-5 week placentas, EGF and EGF receptor were almost
exclusively localized in C-cells, and EGF augmented the proliferative activity of
C-cells without affecting the ability to secrete hCG and hPL. By contrast, in 6
12 week placentas, EGF and EGF receptor were predominantly localized in S-cells,
and EGF stimulated hCG and hPL secretion without affecting the proliferative
activity of C-cess. The addition of T3 (10-8 M) resulted in an increased
secretion of immunoreactive EGF by cultured placental explants. These findings
suggest that EGF acts as a local factor in regulating early placental growth and
function in synergy with thyroid hormone. On the other hand, progesterone
selectively inhibited pleise hCG (alpha, beta) mRNAs expression and decreased hCG
secretion in normal placental tissues, whereas choriocarcinoma did not respond to
progesterone. This suggests that inhibitory regulation of hCG synthesis in
choriocarcinoma is different from normal placenta. It was also found that in
molar trophoblasts and choriocarcinoma cells PCNA expression was high, but both
bc1-2 protein and apoptotic signal expression were low. Characterization of
choriocarcinoma hCG revealed that there are striking differences in carbohydrate
structures between normal hCG and choriocarcinoma hCG. Sialic acid content in
choriocarcinoma hCG was extremely lower compared to that in normal hCG. The
detection of the alteration in hCG sugar chains is useful for biochemical
diagnosis of choriocarcinoma.
PMID- 29645230
TI - The management of high-risk gestational trophoblastic tumours (GTT).
AB - Between 1979 and 1995 we have treated 272 consecutive women with high-risk (GTT
including 121 previously treated patients who were treated with the weekly EMA/CO
(etoposide, methotrexate, actinomycin D alternating with cyclophosphamide and
vincristine). The median follow-up is 4.5 years (range 1-16 years). The
cumulative 5 year survival is 86.2% (95% confidence interval 81.9-90.5%). No
deaths from GTT occurred later than 2 years after starting EMA/CO. In a
multivariate analysis, adverse prognostic factors were the presence of liver
metastases (p < 0.0001), interval from antecedent pregnancy > 24 months (p <
0.0001), brain metastases (p = 0.0008) and term delivery of antecedent pregnancy
(p = 0.045). There were 11 (4%) early deaths while 213 (78%) achieved complete
remission. 47 (17%) developed drug resistance to EMA/CO of whom 33 (70%) were
salvaged by further cisplatinum based chemotherapy and sugery. 2 women developed
acute myeloid leukaemia after treatment with EMA/CO. 56% of women who have been
in remission for at least 2 years and had fertility conserving surgery have
achieved pregnancy since completing EMA/CO and there have been 112 live births
including 3 babies with congenital abnormalities. EMA/CO is an effective, easy to
administer and well tolerated regimen for treating patient with high-risk GTT.
More than half of these women will retain their fertility. However, there is a
small but significant increase in second malignancies.
PMID- 29645231
TI - Molecular heterogeneity of hCG beta - related glycoproteins and the clinical
relevance in trophoblastic and non-trophoblastic tumors.
AB - We analyzed immunoreactive hCG/hCG beta (IR-beta) in the sera and urine of
patients with trophoblastic diseases and non-trophoblastic tumors by using enzyme
immunoassays (EIAs) specific for intact hCG, free hCG beta, and beta-core
fragment of hCG (beta-CF). In trophoblastic diseases, while intact hCG and free
hCG beta were contained in both serum and urine, the beta-CF could be detected
only in the urine of the patients. The relative contribution of the beta-CF to
the total urinary IR-beta accounted for about 30-50% in normal early pregnancy
and hydatidiform mole, and more than 60% in choriocarcinoma. We conclude that
intact hCG should be measured in the serum rather than in the urine as a tumor
marker for trophoblastic dieseases, and suggested that the ratios of intact hCG,
free hCG beta, and beta-CF to each other may be useful indices in the
differential diagnosis of trophoblastic diseases. Ectopic IR-beta was also
investigated in the sera and urine of the patients with cervical, endometrial,
ovarian, lung, and bladder carcinomas. We found that even when IR-beta could not
be detected in the serum, the urine of the same patients with cancer often
contained the significant amounts of IR-beta. The chromatographic study indicated
that these urinary IR-beta were essentially attributed to beta-CF, leading to the
evaluation of urinary beta-CF as a tumor marker. The positive rated of urinary
beta-CF were 48% for cervical, 38% for endometrial, and 84% for ovarian, 40% for
lung, and 42% for bladder carcinomas. We conclude that ectopic production of hCG
beta by non-trophoblastic tumors is not a rare phenomenon and it can be
recognized as a tumor marker when beta-CF is measured in urine of the patients.
PMID- 29645232
TI - Molecular genetic studiesin gestational trophoblastic diseases.
PMID- 29645233
TI - Analysis of risk factors for postmolar trophoblastic disease: categorization of
risk factors and effect of prophylactic chemotherapy.
PMID- 29645234
TI - The management of gestational trophoblastic disease in developing countries such
as malaysia.
AB - Gestational trophablastic disease is a common gynaecological problem in Malaysia.
The incidence of molar pregnancy is 2.8 per 1000 deliveries, being more common
amongst the Chinese. The preferred method of evacuation is suction curettage;
complete evacuation of the uterus was not achieved at the first attempt in 25 per
cent of cases. Partial moles in our centre comprised 30 per cent of all moles.
This is potentially malignant and needs follow-up for a complete mole. In the
management of an invasive mole, chemotherapy should not be withheld in the
presence of metastases and failure of regression of hCG. The role of prophylactic
hysterectomy and prophylactic chemotherapy in the management of molar pregnancyis
discussed "Selective preventive chemotherapy" in patients at "risk" appears
appropriate. Chemotherapy remains the main modality of treatment for gestational
trophoblastic tumours (GTT). We categorised our patients into low, medium and
high-risk groups; survivals were 100, 98, and 61.7 percent respectively. These
patients when categorised according to FIGO staging had survivals of 100, 80,
78.6 and 68.2 per cent respectively for stages 1, 2, 3 and 4 respectively. The
reasons for the poor suvival in the 'high-risk' group are discussed. Colour
doppler blood flow studies are now being carried out; its role needs further
evaluation. Surgery and radiotherapy have only a limited role in the management
of these cases.
PMID- 29645235
TI - Trophoblastic disease, genomic imprinting and DNA methylation.
PMID- 29645237
TI - Production, structure, clinical detection and monitoring of hCG in trophoblast
disease.
PMID- 29645236
TI - Remission criteria for gestational trophoblastic dieases.
PMID- 29645238
TI - Recent aspects of gestational trophoblastic disease in Japan.
AB - The regional registration in 11 prefectures and one area covering 34% of total
Japanese population started in 1974, increasing gradually to 21 prefectures and
one area in 1993 covering 48.5% of total Japanese populations, by the Japan
Trophoblastic Disease Committee under the auspices of Japan Society of Obstetrics
and Gynecology. The results showed marked decreasing trend in incidence of molar
pregnancy and choriocarcinoma in Japan. The most frequent antecedent pregnancies
of choriocarcinoma has shifted from molar pregnancy in 1974 to term pregnancy in
1993. The Choriocarcinoma Risk Score Table that is in use and of practical
significance, differentiating choriocarcinoma from invasive or metastatic mole by
reference to simple 8 clinical items with the probability of more than 90% when
compared with the histological diagnoses, is also presented.
PMID- 29645239
TI - The diagnosis and prognosis of molar pregnancy: The experience of the National
Referral Centre in London.
AB - Since 1973, hCG follow up and treatment of patients with hydatidiform moles in
the UK has been centralised. Registrations of moles have increased from 475 to
1248 in 2 years but histological review shows that first trimester non-molar
hydropic abortions (HAs) and complete moles (CMs) are often called partial moles
(PMs) by pathologists. The introduction and widespread acceptance of the term PM
in 1977, coincided with improvements in ultrasound which brought forward 6 weeks
the average time of evacuation of CMs, when hydrops is not yet complete but
partial and when vessels are present in most CMs, leading to erroneous diagnoses
of PM. Many true PMs are dismissed as HAs and often, HAs are called PMs. Valid
diagnostic criteria for younger CMs and for PMs have been available for over 10
years and when used, PM is overwhelmingly associated with triploidy. Reported
diploid PMs are partly explained by misdiagnosed HAs, rare cases of Beckwith
Widemann syndrome, CMs with partially developed hydrops, twin pregnancies and
rare androgenetic CMs with fetal red cells in villi. A rare mole with "normal"
diploid biparental karyotype probably exists but morphologically resembles CM. CM
and PM have widely different prognosis but the present diagnostic confusion means
much of the published epidemiological, clinical and genetic information on PM
based on suspect diagnostic criteria ia also suspect.
PMID- 29645240
TI - Trophoblastic disease: Twenty years' experience at Niigata University.
AB - The author engaged in the registration work and the clinical studies of
trophoblastic disease over 20 years at Niigata University in Niigata prefecture,
before transferring to University of the Ryukyus in Okinawa prefecture in 1992.
In this paper, the following four topics are reviewed and discussed. 1) the
registration data of trophoblastic disease in Niigata prefecture, 2) the
treatment results of gestational chorio-carcinoma at the Niigata University
Hospital, 3) a trial administration of Gn-RH analogue in patients with
gestational choriocarcinoma to suppress secretion of hCG-like substance (hLS)
which might cross-react anti-hCG antibodies in hCG immunoassay system, 4) the two
rare cases of extrauterine gestational choriocarcinoma who were presented to the
Ryukyu University Hospistal.
PMID- 29645241
TI - The classification of gestational trophoblastic disease: a critical review.
AB - Gestational trophoblastic disease defines a group of conditions which arises from
the fetal chorion. Two of the most important advances in the management of
gestational trophoblastic disease have been the standardisation of terminology,
and the concept of risk assignment based on classification or staging systems
which allows rationalisation of treatment. Gestational trophoblastic disease is
unique as the prognosis is dependent not only on the anatomic extent but also the
presence of prognostic factors. A staging system similar to that used for other
cancers does not apply to this disease because in most cases diagnosis is bases
not on histology but on clinical or biochemical parameters. Metastatic spread to
distant organs can occur early, even in the absence of disease in the uterus or
pelvis. Staging in gestational trophoblastic disease must include prognostic
factors in addition to anatomic extent of disease. Broadly there are two
categories of classification in current use. The first is based on the usual
staging system as in other cancers, with four stages of disease, but at the same
time prognostic factors are incorporated. This has the important advantages of
simplicity and uniformity with other staging systems. However the main pitfall is
that no recommendations are made for treatment. The other broad category consists
of risk tables, based on anatomic spread as well as prognostic factors. Here
patients are assigned varying risk scores, with guidelines for multiagent
chemotherapy at the outset in high-risk patients to minimise drug resistant
disease. The ideal system would be one which has four stages of disease, so that
comparison is easier, with recommendations for combination chemotherapy beyond a
certain stage of disease.
PMID- 29645243
TI - Do imaging techniques, grey scale ultrasound, color doppler flow ultrasound and
magnetic resonance imaging provide clinically useful information during the
therapy of nonmetastatic gestational trophoblastic neoplasia.
PMID- 29645242
TI - Changing face of gestational trophoblastic tumor.
AB - During the years there have been many advances in the diagnosis and treatment of
gestational trophoblastic tumor (GTT) with marked improvement in the outcome. At
the same time in Korea there have been many social and economic changes which
also have had a marked influence on the outcome. The purpose of this study was to
review the changes that have occured among patients admitted to Il Sin Christian
Hospital, Pusan, Korea over the past four dccades.
PMID- 29645244
TI - Gestational trophoblastic disease in Vietnam prevalence, clinical features,
management.
PMID- 29645245
TI - Management of GTD patients with high-risk site metastases.
PMID- 29645246
TI - IL-6 antisense oligonucleotides inhibit the growth of choriocarcinoma cells in
vitro: a possible intracellular autocrine growth mechanism mediated by IL-6.
PMID- 29645247
TI - Effects of multiagent chemotherapy and independent risk factors in the treatment
of high-risk GTT -25 years experiences of KRI-TRD.
AB - : A retrospective and comparative study of high-risk gestational trophoblastic
tumor (GTT) treated with different chemoregimen from 1971 to 1995 was performed
and to find most effective chemotherapy regimen and independent risk factors.
Three hundred seven patients in scoring over 8 points in WHO classification were
categorized into high-risk group among 802 GTT cases received chemotherapy in the
2,418 GTD patients registered at KRI-TRD (Korean Research Institute for
Gestational Trophoblastic Disease), Catholic University Medical College in Korea.
Study groups of multiagent combination chemotherapy in 227 patients of the high
risk GTT were divided such as 49 cases of combination chemotherapy with MTX +
folinic acid and Act-D, 40 cases of MAC regimen, 42 cases of CHAMOCA regimen, and
96 cases of EMA/CO. Initial tumor response according to hCG titer decrease was
found in good response (log fall) 69.8%, of EMA /CO regimen group. On the other
hand, good response was shown in only 24.5% of MTX + ACT-D, 32.5% of MAC regimen,
and 52.4%, of CHAMOCA regimen respectively. Remission rate of EMA/CO regimen was
90.6% (87/96) and courses of chemotherapy until remission was 8.5 +/- 2.2.
However, remission rate of other regimens of MTX + Act-D, MAC, and CHAMOCA were
63.3%, (31/49) 67.5% (27/40) and 76.2% (32/45) respectively, with 10.0 +/- 4.0,
10.7 +/- 4.3, 9.1 +/- 3.9 chemotherapy courses respectively until remission.
Therefore, EMA/CO regimen groups were found to have low drug toxicity, early
remission and a low failure rate. In the study of independent risk factors in the
165 cases of high-risk gestational trophoblastic tumor patients received EMA/CO
regimen, stepwise Coxs proportional hazard's regression of prognostic factors
using multivariate analysis revealed tumor age, number of metastatic organs,
metastatic site and inadequate previous chemotherapy. According to the
performance of fitted logistic regression model, the prediction rate of death and
survival was 80.5%. CONCLUSIONS: The most effective chemotherapy to high-risk GTT
was EMA/CO regimen than other regimens. The following factors showed poor
prognosis; 1) Tumor age is over 12 month, 2) more than 2 organs had metastatic
lesion, 3) inadequate previous therapy that includes unplanned operation and
inadequate previous chemotherapy.
PMID- 29645248
TI - Past, present and future of gestational trophoblastic diseases.
PMID- 29645250
TI - Second line chemotherapy in gestational trophoblastic disease (GTD).
PMID- 29645249
TI - Cell proliferation and hCG secretion in human choriocarcinoma treated withmtx and
it's insulin enhances.
PMID- 29645251
TI - Invasive moles and choriocarcinoma: origins and nature.
PMID- 29645252
TI - Tumor markers in the management of GTD.
PMID- 29645253
TI - Metastatic placental site trophoblastic tumor.
AB - Since our publication, which first defined the malignant potential of placental
site trophoblastic tumor (PSTT), we have had a keen interest in this rare, unique
entity. This histologic entity is noted by its monomorphic population of
trophoblast-like cells which are classified as originating in the intermediate
trophoblast. These cells contain hymman placental lactogen (HPL). This is in
contrast to cytotrophoblastic and syncytiotrophblastic tissues as the histologic,
cytologic and immunohistochemical stain characteristics are disparate. Its rarity
and the wide spectrum of clinical behavior combined with the lack of sensitivity
of serum levels of beta hCG in predicting disease recurrence and spread have lead
to anecdotal reports outlining clinical management. Most discerning to the
clinician is the high mortality of metastatic placental site trophoblastic tumor.
At our institution, we have treated two patients with a metastatic disease with a
successful conclusion. The durability of responses is 3 and 8 years. This report
will present these patients in detail and define the important characteristics of
successful treatment. The use of dose-intensive, multi-agent chemotherapy, early
intervention when metastatic disease is discovered, imaging techniques to define
disease spread, surgery for localized disease and the use of growth factors, most
notably granulocyte colony-stimulating factor (G-CSF), are the fundamentals of
clinical care of placental site trophoblastic tumor in patients with metastatic
placental site trophoblastic tumor.
PMID- 29645255
TI - Immunobiological and neoplastic dimensions of the trophoblast.
PMID- 29645254
TI - Epidemiology and time trends of gestational trophoblastic disease in Korea.
AB - OBJECTIVES: For the purpose of determining the annual incidence and time trends
of gestational trophoblastic disease (GTD), the medical records from 24
university hospitals, 13 private general hospitals and the Korean Research
Institute of Gestational Trophoblastic Disease (KRI-TRD) were analyzed from 1971
to 1995. MATERIALS & RESULTS: From a total of 7198 GTD cases (H-mole = 3831,
Invasive mole = 2163, Choriocarcinoma = 1177, PSTT = 27) among 838659 deliveries
between 1971 and 1995, the hospital-based incidence of H-mole per 1000 deliveries
declined from 40.2 during 1971-975, to 2.3 during 1991-995. The population-based
incidence of H-mole, hwoever, revealed an average of 2.05 per 1000 deliveries
during 1991-995. Old age and gravidities as factors in GTD patients both
decreased significantly during the study period. Time trends for the incidence of
GTD in Korea revealed significant changes, not only a decrease in the incidence
of GTD, but also an improvement in the annual remission rate. Korea's socio
eonomic improvement in recent decades also contributed to the decreased incidence
of GTD and the increased survival rates.
PMID- 29645256
TI - Forty-five year's experience of the treatment of choriocarcinoma and invasive
mole.
AB - Our work was begun in 1949, through many yera's effort, several chemicals (such
as 6 MP, 5 FU and others) were found to be effective for the treatment of
choriocarcinoma (C.C.) and invasive mole (I.M.). From 1959 through 1985, 1500
patients were consecutively treated. The mortality rate of CC was reduced from >
90% to < 20%. No more death occurred in IM. All Survivors followed up for 10-38
years showed no evidence of residual disease. 80% of the young patients treated
with chemotherapy alone conceived after recovery. The pregnancy outcome showed no
deviation from that of the general population. All children are growing up
normally many married and gave birth to normal third generations. The results
indicated that chemotherapy with 5 FU achieves not only a complete remission, but
also a cure, even with wide-spread metastases. The results of choriocarinoma and
invasive mole treated with mainly 5 FU were reported. The clinical experience in
the management of metastases at different sites were also summarized.
PMID- 29645258
TI - Therapeutic protocols for FIGO Stages of GTD.
PMID- 29645257
TI - Gestational trophoblastic diseases in mongolia.
PMID- 29645259
TI - Involvement of IGF2 and H19 imprinting in choriocarcinoma development.
AB - Complete hydatidiform mole is an abnormal pregnancy characterized by grossly
swollen villi in the absence of a fetus (Kajii and Ohama 1997, Wake et al., 1978,
Jacobs et al., 1980). It is well known that this abnormal pregnancy product is
androgenetic in origin. The entire genome of the molar conceptus is paternally
derived. The majority of moles result from fertilization of an empty egg by
haploid sperm. The paternally derived haploid set then duplicated without
cytokinesis and restores diploidy. Invariably, this class of moles has a 46, XX
karyotype and is completely homozygous for genetic markers. Fertilization of an
empty egg by two sperms is responsible for the remaining case. These moles show a
mixture of homozygous and heterozygous patterns of paternally derived genetic
markers. Although complete mole is usually a benign process, 10 to 20 percent of
cases leads to either invasive mole or choriocarcinoma. This propensity to
malignancy has to associate with the genetic features shown in the mole, that
imply the formation of homozygosity and the selective inheritance of paternal
genome. It has been described in various human malignancies that both genetic
features associate with the inactivation of tumor suppressor genes. Homozygosity
would lead to the inactivation of tumor suppressor gene in the mole by a signal
event occurred on sperm DNAs. In turn, paternal transmission would result in the
silencing of particular tumor suppressor genes. Thus, tumor suppressor genes
inactivated either by homozygosity formation or by paternal transmission would be
involved in the pathogenesis of choriocarcinoma. Both the maternal and paternal
genomes are necessary for normal embryonic development in mammals. Parental
origin-specific functional differences between two alleles, known as genomic
imprinting, seem to be exploited for a regulatory mechanism crucial for the
proper development of both embryonic and extraembryonic tissues. The list of
imprinted genes identified is growing rapidly lately in mouse and man (DeChiara
TM et al., 1991, Barlow et al., 1991, Bartolomei MS et al., 1991, Leff SE et al.,
1992, Hatada I et al., 1993, Giddings SJ et al., 1994, Hayashizaki Y et al.,
1994, Villar AJ et al., 1994, Guillemot F et al., 1995). Among these, IGF2 and
H19 tightly linked on human chromosome 11 are of special interest because of
their reciprocal imprinting and possible association with certain malignancy and
congenital abnormalies. The IGF2 gene expressed from the paternally derived
allele (Ohlsson et al., 1993, Giannoukalis et al., 1993), whereas the H19 gene is
expressed from the maternally derived allele (Rachmilewitz et al., 1992, Zhang et
al 1993, Ferguson-Smith et al., 1993).
PMID- 29645261
TI - Determinants of quality of life among people diagnosed with schizophrenia at
outpatient clinics.
AB - PURPOSE: The study examines the determinants of the quality of life (QoL) among
outpatients with schizophrenia in Jordan. DESIGN AND METHODS: A cross-sectional
study design was used with 157 people with schizophrenia treated in outpatient
clinics. Demographic, clinical and psychosocial variables were examined for their
influence on QoL. Data were analysed with descriptive statistics, Pearson product
moment correlation and stepwise forward multiple linear regression. FINDINGS: The
majority of the study participants were female, single, unemployed, had secondary
level of education or less and were being supported financially by family
members. QoL correlated negatively with advanced age, male gender, longer
duration of illness, high body mass index and prescribed typical anti-psychotic
medication. However, it was correlated positively with employment and being
married. Illness duration, recurrent hospitalisation, knowledge level about
schizophrenia, psychiatric symptoms and coping mechanisms were found to be key
significant predictors of QoL among participants. PRACTICAL IMPLICATIONS: The
study findings enhance our understanding of socio-demographic, clinical and
psychosocial characteristics influencing the QoL in people with schizophrenia.
Involvement of families in the management process may improve patients' ability
to be integrated in the community and be more socially active.
PMID- 29645260
TI - Gene, interaction, signal generation, signal divergence and signal transduction
of the LH/CG receptor.
AB - Trophoblastic neoplasms and choriocarcinoma cells express high levels of the hCG
receptor. The hCG receptor is encoded by a single gene in chromosome 2p21-p16,
spanning over -70 kb with 11 exons and 10 introns. Multiple mRNA species are
produced from the gene utilizing two proximal promoters and several Sp-1 elements
as well as proximal and distal suppressors. In fact, regulatory proteins which
bind to one of these suppressors are expressed less in choriocarcinoma cell lines
than in placenta. The LH/CG receptor is comprised of two structurally and
functionally distinct domains, extracellular N-terminal exodomain and membrane
embedded endodomain. These two domains can separately be expressed and processed,
including folding. The exodomain alone has the high affinity hormone binding site
but is not capable of generating hormonal signal. In contrast, the endodomain
alone has the site for receptor activation. These two domains contact each other
in holo-receptor and split receptor. This interaction, particularly through
exoloops 2 and 3, constrains the high affinity hormone binding at the exodomain.
Conversely, the exodomain could be involved in receptor activation. Therefore,
these two domains are not entirely independent although they can be independently
synthesized and processed. The existing envidence indicate that hCG and the
receptor undergo multiple stages of interactions leading to receptor activation.
Initial high affinity binding of hCG to the exodomain results into conformational
adjustments of the hCG/exodomain complex. This leads to the secondary, low
affinity contact of the hCG/exodomain complex with the endodomain. This secondary
contact is responsible for generating signals. They are transduced through TM to
the cytoplasmic portion (cytoloops and the C-terminal tail) of the receptor and
then, transferred to cytoplasmic signaling molecules, such as G protein.
Mutations in the exodomain and endodomain (N-extension, exoloops, TM, cytoloops,
and cytoplasmic tail) have the potential to interfere with receptor activation at
different steps, signal generation, transduction and transfer. Binding of hCG to
the LH/CG receptor are known to induce two signals, one for adenylyl cyclase/
cAMP and the other for phospholipase C/inositol phosphate/diacylglycerol. The
cAMP signal and IP signal diverge at the surface of the receptor. These
independent signals are separately transduced through the transmembrane domains
to the cytoplasmic part of the receptor, indicating the existence of the distinct
transducers for each of the signals. Furthermore, it is likely that the divergent
signals are separately transferred to cytoplasmic signal molecules such as G
protein. In addition, each of the cAMP signal and IP signal consists of at least
three separate subsignals: affinity signal, maximal production (efficacy) signal
and basal level signal. In heterodimeric hCG, there are distinct parts
responsible for high affinity receptor binding and receptor activation.
Particularly, the C-terminal reduces of the alpha subunit play a crucial role in
receptor activation. This alpha subunit is shared with other glycoprotein
hormones, follicle stimulating hormone and thyroid stimulating hormone.
Interesting, the alpha C-terminal residues play distinct roles in all three
hormones, despite its common nature.
PMID- 29645262
TI - New reasons and new ways to study birth physiology.
AB - The age of cesarean sections on request, epidurals and drips of oxytocin is a
turning point in the history of childbirth. Until recently women could not give
birth without releasing a complex cocktail of 'love hormones'. Today, in many
countries, most women have babies without releasing these specific hormones. The
questions must be raised in terms of civilization. This turning point occurs at
the very time when several scientific disciplines suggest that the way human
beings are born has long-term consequences, particularly in terms of sociability,
aggressiveness or, in other words, 'capacity to love'. I find it relevant to
combine data provided by perspectives as diverse as ethology, animal experiments,
studies of the behavioral effects of hormones that fluctuate in the perinatal
period, and a branch of epidemiology I call 'Primal Health Research'. This
combination of data offers new reasons to disturb the physiological processes as
little as possible. We are also at a time when a physiological approach can help
to rediscover the basic needs of women in labor. These women firstly need to be
protected against any sort of neocortical stimulation. We must keep in mind what
the main stimuli of neocortical activity are: language, bright lights, the
'feeling of being observed' and situations associated with a release of
catecholamines.
PMID- 29645263
TI - Human resources for maternity care: the present system in Brazil, Japan, North
America, Western Europe and New Zealand.
AB - This article describes the nature of the humanization of birth and the
overlapping but distinct role of professionals within the maternity services with
an emphasis on the role of the midwife. It describes the status of midwives in
different parts of the world, and the common characteristics of work undertaken
to restore the role of the midwife. Midwifery is seen as an essential component
of effective maternity services. In addition it is important to recognize the
important role that traditional birth attendants play in many parts of the world.
An appropriate structure including primary and secondary and tertiary care
services are important for professionals to play their full part.
PMID- 29645264
TI - Forewords of the International Conference on the Humanization of Childbirth held
on 2-4 November 2000, in Fortaleza, Ceara, Brazil.
PMID- 29645265
TI - The technocratic, humanistic, and holistic paradigms of childbirth.
AB - This article describes three paradigms of health care that heavily influence
contemporary childbirth, most particularly in the west, but increasingly around
the world: the technocratic, humanistic, and holistic models of medicine. These
models differ fundamentally in their definitions of the body and its relationship
to the mind, and thus in the health care approaches they charter. The
technocratic model stresses mind-body separation and sees the body as a machine;
the humanistic model emphasizes mind-body connection and defines the body as an
organism; the holistic model insists on the oneness of body, mind, and spirit and
defines the body as an energy field in constant interaction with other energy
fields. Based on many years of research into contemporary childbirth, most
especially through interviews with physicians, midwives, nurses, and mothers,
this article seeks to describe the 12 tenets of each paradigm as they apply to
contemporary obstetrical and health care, and to point out their futuristic
implications. I suggest that practitioners who combine elements of all three
paradigms have a unique opportunity to create the most effective obstetrical
system ever known.
PMID- 29645266
TI - From 'culture of dehumanization of childbirth' to 'childbirth as a transformative
experience': changes in five municipalities in north-east Brazil.
AB - Brazil has become a country known as having one of the most extreme examples of
the consequences of the hospital-based medicalization of delivery care, while a
model of humanization of birth was developed in the State of Ceara in the 1970s.
The Government of Japan, through the Japanese International Cooperation Agency
(JICA), collaborated with the Federal Ministry of Health of Brazil and the
Government of the State of Ceara, in implementing the Maternal and Child Health
Improvement Project in north-east Brazil (1996-2001). This project focused on
'humanization of childbirth', with training based intervention activities.
Behavioral changes among health professionals who received the project's
participatory type of training were described using rapid anthropological
assessment procedure (RAP) survey results. Changes from 'a culture of
dehumanization of childbirth' to 'childbirth as a transformative experience' were
observed.
PMID- 29645267
TI - The humanization of birth experience at the University of Santa Catarina
maternity hospital.
AB - The Federal University of Santa Catarina (FUSC) Maternity Hospital (UH) initiated
its activities on October 24, 1995, after several years of interdisciplinary
committee work. The Committee based its work on the principles of humanization
and interdisciplinarity. In this report we describe the process by which these
services were implemented in this hospital, emphasizing the difficulties and
possibilities of such an interdisciplinary project, the philosophical principles
which guided the process, the work being carried out and the opinion of the
clients on the care that they received. The work carried out at the UH generated
a number of changes in the care and teaching of obstetrics at different
professional levels. The maintenance of the basic principals of this work has,
however, proved to be a continuous challenge with a constant struggle to ensure
that the philosophy is adhered to by professionals and students, which strongly
depends upon a continual educational process.
PMID- 29645268
TI - The humanization of birth.
AB - The humanization of birth conference drew more than 2000 people from 26
countries. This article summarizes the conference. In much of the world women
have learned to fear birth; this fear is represented by the increase in cesarean
section rates seen in many countries. The humanization of birth may reduce this
fear. Yet humanization is difficult to achieve in technocratic societies. It is
important to recognize that safety and self-fulfilling experiences are not
contradictions, and that humanized birth is the safest approach.
PMID- 29645269
TI - The opinion of Brazilian women regarding vaginal labor and cesarean section.
AB - OBJECTIVES: The opinions of Brazilian women regarding vaginal delivery and
cesarean sections was studied. METHODS: Six hundred and fifty-six women who had
given birth in seven hospitals in Sao Paulo and Pernambuco, using the Public
Health Service, were interviewed. The opinions of women who had delivered only by
cesarean section was compared with those of women who had had at least one
vaginal delivery. RESULTS: Significantly more women who had experienced at least
one vaginal delivery considered this to be the best way of giving birth (90.4%
vs. 75.9% among C-section-only women). Similar proportions in both groups (45.5%
and 42.8%) stated that vaginal labor is better because it causes less pain and
suffering for the woman. Significantly more women who had experienced a vaginal
labor (47.1% vs. 30.3%) reported that it had no disadvantage. More women who had
only had cesarean sections referred not having contractions/pain as an advantage
of this method (56.7% vs. 41.7%). CONCLUSIONS: Apparently, pain and women's
perception of pain were the characteristics which differentiated women with
history of vaginal delivery from those with cesarean sections in the sample
studied. However, the opinion that vaginal delivery is better than cesarean
section was expressed independently of the recognition that pain could be its
main disadvantage.
PMID- 29645270
TI - Conference agreement on the definition of humanization and humanized care.
PMID- 29645271
TI - Fish can't see water: the need to humanize birth.
AB - Humanized birth puts the woman in the center and in control, focuses on community
based primary maternity care with midwives, nurses and doctors working together
in harmony as equals, and has evidence based services. Western, medicalized, high
tech maternity care under obstetric control usually dehumanizes, often leads to
unnecessary, costly, dangerous, invasive obstetric interventions and should never
be exported to developing countries. Midwives and planned out-of-hospital births
are perfectly safe for low-risk births.
PMID- 29645272
TI - The gap between evidence and practice in maternal healthcare.
AB - The expected improvement in maternal and perinatal health in developing countries
has not yet materialized. In addition to the factors related to socioeconomic
conditions, we have identified areas where large gaps between evidence and
practice are apparent. These gaps are in clinical care, implementation of
effective practices and in selecting research priorities. We present examples
from our own research and the literature to illustrate these points.
PMID- 29645273
TI - Probing under pressure: a look inside the compartmental haemodynamics of skeletal
muscle during rest and contraction.
PMID- 29645274
TI - Effects of Maillard reaction products in a glucose-glycine alcoholic solution on
antioxidative and antimutagenic activities.
AB - BACKGROUND: Marinating meat with alcohol, such as wine and beer, is a common
culinary practice in cultures worldwide. In this study we use a model marination
solution comprising 0.2 mol L-1 glucose-0.2 mol L-1 glycine buffered to pH 4.3
containing either 0 or 50% ethanol and mimicked the cooking process by heating
for 12 h. Antioxidative and antimutagenic characteristics of Maillard reaction
products (MRPs) were investigated. Reducing power, antioxidant activity (ferrous
ion chelating ability), and free radical neutralization ability generated from
1,1-diphenyl-2-pichrylhydrazyl and 2,2'-azino-bis(3-ethylbenzthiazoline-6
sulfonic acid) were determined. Ames testing was performed. RESULTS: Results
indicate that MRPs from aqueous and alcoholic solution exhibit four antioxidative
assays in a dose-dependent manner from 0.16 to 10.00 mg mL-1 . However, MRPs from
the alcoholic model were superior. In Ames testing, MRPs from both models are
neither toxic nor mutagenic at the test concentrations of 0.63-10.00 mg/plate.
However, MRPs from the alcoholic model exhibited a higher inhibitory effect on
the direct-acting mutagen 4-nitroquinoline-N-oxide compared with the aqueous
model. This result is consistent with the observation that MRPs with higher
antioxidative capacity exhibit superior antimutagenic activity, suggesting that
there are more different products in the alcoholic model. CONCLUSION: Our results
add to the current knowledge about the antioxidative and antimutagenic properties
of MRPs arising when food is cooked in the presence of ethanol. (c) 2018 Society
of Chemical Industry.
PMID- 29645276
TI - Arabidopsis ANGUSTIFOLIA3 (AN3) is associated with the promoter of CONSTITUTIVE
PHOTOMORPHOGENIC1 (COP1) to regulate light-mediated stomatal development.
AB - Light signals are perceived by multiple photoreceptors that converge to suppress
the RING E3 ubiquitin ligase CONSTITUTIVE PHOTOMORPHOGENIC1 (COP1) for the
regulation of stomatal development. Thus, COP1 is a point of integration between
light signaling and stomatal patterning. However, how light signaling is
collected into COP1 for the production and spacing of stomata is still unknown.
Here, we report that the loss-of-function mutant of ANGUSTIFOLIA3 (AN3) delays
asymmetric cell division, which leads to decreased stomatal index. Furthermore,
overexpression of AN3 accelerates asymmetric cell division, which results in
clusters of stomata. In addition, the stomatal development through AN3 regulation
is mediated by light signaling. Finally, we find that an3 is a light-signaling
mutant, and that AN3 protein is light regulated. Self-activation by AN3
contributes to the control of AN3 expression. Thus, AN3 is a point of collection
between light signaling and stomatal patterning. Target-gene analysis indicates
that AN3 is associated with COP1 promoter for the regulation of light-controlling
stomatal development. Together, these components for regulating stomatal
development form an AN3-COP1-E3 ubiquitin ligase complex, allowing the
integration of light signaling into the production and spacing of stomata.
PMID- 29645277
TI - Insights to plant-microbe interactions provide opportunities to improve
resistance breeding against root diseases in grain legumes.
AB - Root and foot diseases severely impede grain legume cultivation worldwide.
Breeding lines with resistance against individual pathogens exist, but these
resistances are often overcome by the interaction of multiple pathogens in field
situations. Novel tools allow to decipher plant-microbiome interactions in
unprecedented detail and provide insights into resistance mechanisms that
consider both simultaneous attacks of various pathogens and the interplay with
beneficial microbes. Although it has become clear that plant-associated microbes
play a key role in plant health, a systematic picture of how and to what extent
plants can shape their own detrimental or beneficial microbiome remains to be
drawn. There is increasing evidence for the existence of genetic variation in the
regulation of plant-microbe interactions that can be exploited by plant breeders.
We propose to consider the entire plant holobiont in resistance breeding
strategies in order to unravel hidden parts of complex defence mechanisms. This
review summarizes (a) the current knowledge of resistance against soil-borne
pathogens in grain legumes, (b) evidence for genetic variation for rhizosphere
related traits, (c) the role of root exudation in microbe-mediated disease
resistance and elaborates (d) how these traits can be incorporated in resistance
breeding programmes.
PMID- 29645275
TI - Central and peripheral chemoreceptors in sudden infant death syndrome.
AB - The pathogenesis of sudden infant death syndrome (SIDS) has been ascribed to an
underlying biological vulnerability to stressors during a critical period of
development. This paper reviews the main data in the literature supporting the
role of central (e.g. retrotrapezoid nucleus, serotoninergic raphe nuclei, locus
coeruleus, orexinergic neurons, ventral medullary surface, solitary tract
nucleus) and peripheral (e.g. carotid body) chemoreceptors in the pathogenesis of
SIDS. Clinical and experimental studies indicate that central and peripheral
chemoreceptors undergo critical development during the initial postnatal period,
consistent with the age range of SIDS (<1 year). Most of the risk factors for
SIDS (gender, genetic factors, prematurity, hypoxic/hyperoxic stimuli,
inflammation, perinatal exposure to cigarette smoke and/or substance abuse) may
structurally and functionally affect the developmental plasticity of central and
peripheral chemoreceptors, strongly suggesting the involvement of these
structures in the pathogenesis of SIDS. Morphometric and neurochemical changes
have been found in the carotid body and brainstem respiratory chemoreceptors of
SIDS victims, together with functional signs of chemoreception impairment in some
clinical studies. However, the methodological problems of SIDS research will have
to be addressed in the future, requiring large and highly standardized case
series. Up-to-date autopsy protocols should be produced, involving substantial,
and exhaustive sampling of all potentially involved structures (including
peripheral arterial chemoreceptors). Morphometric approaches should include
unbiased stereological methods with three-dimensional probes. Prospective
clinical studies addressing functional tests and risk factors (including genetic
traits) would probably be the gold standard, allowing markers of intrinsic or
acquired vulnerability to be properly identified.
PMID- 29645278
TI - An Evaluation of the Pharmacodynamics, Safety, and Tolerability of the Potassium
Binder RDX7675.
AB - Hyperkalemia is common in patients with heart failure or chronic kidney disease,
particularly those taking renin-angiotensin-aldosterone system inhibitors, and
can cause arrhythmias and sudden cardiac death. The most widely used treatment,
sodium polystyrene sulfonate (SPS), limits gastrointestinal potassium absorption,
but has poor palatability. RDX7675 (RDX227675) is the calcium salt of a
reengineered polystyrene sulfonate-based resin with improved palatability over
SPS. The pharmacodynamic effects and safety of RDX7675 were assessed in a phase
1, single-center, randomized, active-controlled study. Healthy volunteers
received nominal active doses of RDX7675 4.6 g twice a day (BID), 4.6 g 3 times a
day (TID), 6.9 g BID, 13.7 g daily (QD), 9.2 g TID, or 13.7 g BID (n = 12 each),
or equivalent doses of SPS (n = 3 each), for 4 days. RDX7675 dosing increased
stool potassium excretion and decreased urinary potassium excretion from
baseline. Stool potassium excretion increased by up to 1481 mg/day with RDX7675
(6.9 g BID), and urinary potassium excretion decreased by up to 939 mg/day (13.7
g BID). Similar levels of potassium excretion were observed using QD, BID, or TID
dosing of a 13.7 g total daily RDX7675 dose. Few adverse events were reported. In
conclusion, repeated oral dosing with RDX7675 over 4 days reduced potassium
absorption in healthy volunteers; the results support QD dosing of RDX7675 in
future clinical studies.
PMID- 29645279
TI - Block-matching sparsity regularization-based image reconstruction for low-dose
computed tomography.
AB - PURPOSE: Low-dose computed tomography (CT) imaging has been widely explored
because it can reduce the radiation risk to human bodies. This presents
challenges in improving the image quality because low radiation dose with reduced
tube current and pulse duration introduces severe noise. In this study, we
investigate block-matching sparsity regularization (BMSR) and devise an
optimization problem for low-dose image reconstruction. METHOD: The objective
function of the program is built by combining the sparse coding of BMSR and
analysis error, which is subject to physical data measurement. A practical
reconstruction algorithm using hard thresholding and projection-onto-convex-set
for fast and stable performance is developed. An efficient scheme for the choices
of regularization parameters is analyzed and designed. RESULTS: In the
experiments, the proposed method is compared with a conventional edge
preservation method and adaptive dictionary-based iterative reconstruction.
Experiments with clinical images and real CT data indicate that the obtained
results show promising capabilities in noise suppression and edge preservation
compared with the competing methods. CONCLUSIONS: A block-matching-based
reconstruction method for low-dose CT is proposed. Improvements in image quality
are verified by quantitative metrics and visual comparisons, thereby indicating
the potential of the proposed method for real-life applications.
PMID- 29645280
TI - Effect of Vemurafenib on the Pharmacokinetics of a Single Dose of Digoxin in
Patients With BRAFV600 Mutation-Positive Metastatic Malignancy.
AB - The primary objective of this phase 1, open-label, multicenter, 3-period, fixed
sequence study was to evaluate the effect of multiple doses of vemurafenib on the
pharmacokinetics of a single dose of digoxin, a probe P-glycoprotein (P-gp)
substrate, in patients with BRAFV600 mutation-positive metastatic malignancy.
Following a 28-day screening period, patients received a single oral dose of
digoxin 0.25 mg on day 1 in period A, oral vemurafenib 960 mg twice daily for 21
days in period B (days 8-28), and a single oral dose of digoxin 0.25 mg on day 29
and vemurafenib 960 mg twice a day for 7 days (days 29-35) in period C. Log
transformed area under the concentration-time curve and peak concentration values
for digoxin were compared between periods A (digoxin alone) and C (digoxin +
vemurafenib) using an analysis of variance model. Twenty-six patients were
evaluated for the primary pharmacokinetic analysis. The geometric mean ratio
(period C/period A) of area under the curve to the last measurable concentration
for digoxin was 1.82 (90%CI 1.63 to 2.02), and the geometric mean ratio of peak
concentrations was 1.47 (90%CI 1.30 to 1.65); the 90%CIs were outside of the
equivalence limits of 0.82 to 1.22, indicating an effect of vemurafenib on
digoxin. Multiple oral doses of vemurafenib were generally well tolerated, with
an adverse event profile similar to that previously seen in phase 2 and 3 studies
of vemurafenib monotherapy. This study confirmed vemurafenib as an inhibitor of P
gp in vivo with a statistically significant drug-drug interaction with digoxin.
Caution should be exercised when dosing vemurafenib concurrently with P-gp
substrates.
PMID- 29645281
TI - The effects of infertility on perception of body in pregnancy: A comparative
study.
AB - PURPOSE: This study aimed to determine the effects of infertility on perception
of body in pregnancy. DESIGN AND METHODS: This comparative study was conducted
with 428 pregnant women (in vitro fertilization [IVF = 214, spontaneously
conceived/SC = 214]). FINDINGS: The IVF group had a higher body image scale (BIS)
score. Moreover, it was observed that the IVF group had more positive views on
growth in the abdominal area, gaining weight, and changes in skin. The rates of
taking precautions for these changes and thinking that these changes affected
sexual life negatively were lower the in the IVF group. PRACTICE IMPLICATIONS:
Infertility has a positive effect in perception of body in pregnancy.
PMID- 29645282
TI - Quality control methods for linear accelerator radiation and mechanical axes
alignment.
AB - PURPOSE: The delivery accuracy of highly conformal dose distributions generated
using intensity modulation and collimator, gantry, and couch degrees of freedom
is directly affected by the quality of the alignment between the radiation beam
and the mechanical axes of a linear accelerator. For this purpose, quality
control (QC) guidelines recommend a tolerance of +/-1 mm for the coincidence of
the radiation and mechanical isocenters. Traditional QC methods for assessment of
radiation and mechanical axes alignment (based on pointer alignment) are time
consuming and complex tasks that provide limited accuracy. In this work, an
automated test suite based on an analytical model of the linear accelerator
motions was developed to streamline the QC of radiation and mechanical axes
alignment. METHODS: The proposed method used the automated analysis of
megavoltage images of two simple task-specific phantoms acquired at different
linear accelerator settings to determine the coincidence of the radiation and
mechanical isocenters. The sensitivity and accuracy of the test suite were
validated by introducing actual misalignments on a linear accelerator between the
radiation axis and the mechanical axes using both beam steering and mechanical
adjustments of the gantry and couch. RESULTS: The validation demonstrated that
the new QC method can detect sub-millimeter misalignment between the radiation
axis and the three mechanical axes of rotation. A displacement of the radiation
source of 0.2 mm using beam steering parameters was easily detectable with the
proposed collimator rotation axis test. Mechanical misalignments of the gantry
and couch rotation axes of the same magnitude (0.2 mm) were also detectable using
the new gantry and couch rotation axis tests. For the couch rotation axis, the
phantom and test design allow detection of both translational and tilt
misalignments with the radiation beam axis. For the collimator rotation axis, the
test can isolate the misalignment between the beam radiation axis and the
mechanical collimator rotation axis from the impact of field size asymmetry. The
test suite can be performed in a reasonable time (30-35 min) due to simple
phantom setup, prescription-based beam delivery, and automated image analysis. As
well, it provides a clear description of the relationship between axes. After
testing the sensitivity of the test suite to beam steering and mechanical errors,
the results of the test suite were used to reduce the misalignment errors of the
linac to less than 0.7-mm radius for all axes. CONCLUSIONS: The proposed test
suite offers sub-millimeter assessment of the coincidence of the radiation and
mechanical isocenters and the test automation reduces complexity with improved
efficiency. The test suite results can be used to optimize the linear
accelerator's radiation to mechanical isocenter alignment by beam steering and
mechanical adjustment of gantry and couch.
PMID- 29645284
TI - Fatal chlorine gas exposure at a metal recycling facility: Case report.
AB - At least four workers at a metal recycling facility were hospitalized and one
died after exposure to chlorine gas when it was accidentally released from an
intact, closed-valved cylinder being processed for scrap metal. This
unintentional chlorine gas release marks at least the third such incident at a
metal recycling facility in the United States since 2010. We describe the fatal
case of the worker whose clinical course was consistent with acute respiratory
distress syndrome (ARDS) following exposure to high concentrations of chlorine
gas. This case report emphasizes the potential risk of chlorine gas exposure to
metal recycling workers by accepting and processing intact, closed-valved
containers. The metal recycling industry should take steps to increase awareness
of this established risk to prevent future chlorine gas releases. Additionally,
public health practitioners and clinicians should be aware that metal recycling
workers are at risk for chlorine gas exposure.
PMID- 29645283
TI - Sympathoexcitation following intermittent hypoxia in rat is mediated by
circulating angiotensin II acting at the carotid body and subfornical organ.
AB - KEY POINTS: In anaesthetized rats, acute intermittent hypoxia increases
sympathetic nerve activity, sympathetic peripheral chemoreflex sensitivity and
central sympathetic-respiratory coupling. Renin-angiotensin system inhibition
prevents the sympathetic effects of intermittent hypoxia, with intermittent
injections of angiotensin II into the systemic circulation replicating these
effects. Bilateral carotid body denervation reduces the sympathetic effects of
acute intermittent hypoxia and eliminates the increases in chemoreflex
sensitivity and sympathetic-respiratory coupling. Pharmacological inhibition of
the subfornical organ also reduces the sympathetic effects of acute intermittent
hypoxia, although it has no effect on the increases in chemoreflex sensitivity
and central sympathetic-respiratory coupling. Combining both interventions
eliminates the sympathetic effects of both intermittent hypoxia and angiotensin
II. ABSTRACT: Circulating angiotensin II (Ang II) is vital for arterial pressure
elevation following intermittent hypoxia in rats, although its importance in the
induction of sympathetic changes is unclear. We tested the contribution of the
renin-angiotensin system to the effects of acute intermittent hypoxia (AIH) in
anaesthetized and ventilated rats. There was a 33.7 +/- 2.9% increase in
sympathetic nerve activity (SNA), while sympathetic chemoreflex sensitivity and
central sympathetic-respiratory coupling increased by one-fold following AIH. The
sympathetic effects of AIH were prevented by blocking angiotensin type 1
receptors with systemic losartan. Intermittent systemic injections of Ang II
(Int.Ang II) elicited similar sympathetic responses to AIH. To identify the
neural pathways responsible for the effects of AIH and Int.Ang II, we performed
bilateral carotid body denervation, which reduced the increase in SNA by 56% and
45%, respectively. Conversely, pharmacological inhibition of the subfornical
organ (SFO), an established target of circulating Ang II, reduced the increase in
SNA following AIH and Int.Ang II by 65% and 59%, respectively, although it did
not prevent the sensitization of the sympathetic peripheral chemoreflex, nor the
increase in central sympathetic-respiratory coupling. Combined carotid body
denervation and inhibition of the SFO eliminated the enhancement of SNA following
AIH and Int.Ang II. Repeated systemic injections of phenylephrine caused an
elevation in SNA similar to AIH, and this effect was prevented by a renin
inhibitor, aliskiren. Our findings show that the sympathetic effects of AIH are
the result of RAS-mediated activations of the carotid bodies and the SFO.
PMID- 29645285
TI - Cardiovascular Safety of the beta3 -Adrenoceptor Agonist Mirabegron and the
Antimuscarinic Agent Solifenacin in the SYNERGY Trial.
AB - There have been concerns that treatment of overactive bladder with beta3
adrenoceptor agonists may potentially have detrimental cardiovascular (CV) side
effects. We evaluated the CV safety of mirabegron, a beta3 -adrenoceptor agonist,
alone and in combination therapy with the antimuscarinic agent solifenacin. The
SYNERGY trial was a multinational, multicenter, randomized, double-blind,
parallel-group, placebo and active-controlled phase 3 trial. Patients were
randomized to receive solifenacin 5 mg + mirabegron 50 mg (combination 5 + 50
mg), solifenacin 5 mg + mirabegron 25 mg (combination 5 + 25 mg), solifenacin 5
mg monotherapy, mirabegron 25 mg monotherapy, mirabegron 50 mg monotherapy, or
placebo for a 12-week double-blind treatment period. A total of 3398 patients
were included in the study. Mean changes from baseline to the end of therapy in
ECG parameters were similar across treatment groups, although there was an
increase in heart rate of 1 beat/minute in the mirabegron treatment groups. There
were no clinically meaningful differences in change from baseline in QTcF between
monotherapies and placebo and between monotherapies and combination therapy.
There were very few major CV events: 1 of 853 (0.1%) with a nonfatal myocardial
infarction in the combination 5 + 25 mg group, 2 of 848 (0.2%) with a nonfatal
stroke in the combination 5 + 50 mg group, and no events in the other groups.
This CV safety analysis of the combination of mirabegron and solifenacin showed
rates of CV events comparable with those for monotherapy treatments based on
assessments of vital signs, electrocardiograms, and adjudicated CV events.
PMID- 29645286
TI - Effect of curing conditions on physical and chemical properties of MTA.
AB - AIM: To evaluate setting time, pH, solubility and surface roughness of MTA+ and
ProRoot MTA and characterize their hydration under several curing conditions.
METHODOLOGY: Specimens were prepared to evaluate setting time (n = 6 for each
group, specimen dimensions 10 * 8 * 5 mm), solubility (n = 6 for each group,
specimen dimension 20 mm in diameter and 1.5 mm thick) after 1 and 28 days, pH (n
= 10 for each group, specimen dimensions 10 mm in diameter and 1 mm thick) after
1, 7, 14, 21 and 28 days and surface roughness (n = 10 for each group, specimen
dimensions 4 mm in diameter and 3 mm high) after 28 days when cements were cured
at 95% humidity or immersed in saline or HBSS at 37 degrees C. The powder and
liquid were mechanically mixed by an amalgamator. The set materials were
characterized using X-ray diffraction analysis, scanning electron microscopy and
X-ray energy-dispersive analysis. Statistical comparisons were employed using one
way anova. The level of significance was set at P = 0.05. RESULTS: Setting time
was significantly shorter when cements were cured at 95% humidity compared to
those in saline (P < 0.001) and HBSS (P < 0.001). Setting time of MTA+ was
significantly shorter than that of ProRoot MTA (P < 0.001), which had a
significantly higher pH than MTA+ (P < 0.05) for all periods and immersion
liquids. After immersion in saline, MTA+ was significantly less soluble than
ProRoot MTA (P < 0.001); when immersed in HBSS, no significant difference was
found (P = 1.00). The surface roughness of both cements was affected when exposed
to HBSS (P < 0.001 for both cements) and saline (P < 0.001 for both cements).
Storage in HBSS created a homogenous surface; incubation in saline or humidity
created a biphasic surface. The main crystalline phases in both cements were
tricalcium silicate, bismuth oxide and calcium hydroxide. CONCLUSIONS: MTA+ had a
shorter setting time than ProRoot MTA, promoted lower pH and had lower solubility
in saline. Curing conditions affected the surface roughness and microstructure of
the cements.
PMID- 29645289
TI - Gingival leukemic infiltration as the first manifestation of acute myeloid
leukemia.
AB - Leukemic infiltration of the gingival tissue associated or not with gingival
enlargement may be the first manifestation of acute leukemia, despite being
rarely reported in the literature. A 10-year-old female patient presented with a
1-month history of an asymptomatic, firm, and pinkish-red generalized gingival
overgrowth. There was no bone resorption. Incisional biopsy of the gingival
tissue was performed, with histopathological examination revealing a diffuse and
hypercellular infiltration of monocytoid cells. The patient was referred to a
hematologist and underwent a bone marrow biopsy, which led to a conclusive
diagnosis of acute myeloid leukemia. The patient was treated with chemotherapy
and we observed regression of gingival enlargement after 4 weeks from the initial
therapy.
PMID- 29645290
TI - Mesoporous MFI Zeolite with a 2D Square Structure Directed by Surfactants with an
Azobenzene Tail Group.
AB - Mesoporous MFI zeolites (MMZs) have been constructed by using the surfactant
containing azobenzene segment in the hydrophobic tail. The cylindrical pi-pi
stacking of azeobenzene groups is considered to be the key factor to form the
ordered mesostructure through cooperative structural matching and the
rearrangement of MFI frameworks. The mesostructure has been tuned from a
disordered hierarchical arrangement into an ordered 2D square p4mm structure by
changing the length of the alkyl chain between the diquaternary ammonium head
group and azobenzene group. The geometric matching between the MFI zeolitic
framework and the alkyl chain length plays an important role in the construction
of the crystallographically correlated mesostructure with 2D square ordering. A
combination of X-ray diffraction patterns and electron microscopy studies
provides visible evidence for the mesostructural transformation from a short
range hexagonal or lamellar ordering to 2D square mesostructure.
PMID- 29645291
TI - A simple, low-cost and robust capillary zone electrophoresis method with
capacitively coupled contactless conductivity detection for the routine
determination of four selected penicillins in money-constrained laboratories.
AB - A simple and robust capillary zone electrophoresis method was developed and
validated for the determination of amoxicillin and clavulanate, ampicillin,
phenoxymethyl penicillin (Pen V) as well as flucloxacillin. Capacitively coupled
contactless conductivity detection was employed as detection mode that makes CE a
simple and economic tool for money-constrained laboratories. The developed method
is straightforward and user-friendly. It offers good sensitivity and sufficient
selectivity for the routine assay of the selected penicillins. The
repeatabilities were <1.9% RSD for relative peak areas and <1% RSD for migration
times for all the analytes. The method showed good linearity (R2 > 0.995) within
the 80-120% range of the target concentration (0.5 mg/mL) for each antibiotic.
The accuracy of the method, evaluated by standard fortification at three levels,
was good for all the analytes. An extended robustness study was performed by
varying +/-10% of the optimum value of TRIS concentration, l-histidine
concentration and temperature in a full factorial design at two levels. This was
to evaluate larger than usual variability of factors on the assay value, in order
to better cover potential global variance in lab conditions and equipment.
Finally, the method was applied for the determination of percent (%) content of
all antibiotics in available formulations.
PMID- 29645293
TI - Thiazole orange as an everyday replacement for ethidium bromide and costly DNA
dyes for electrophoresis.
AB - DNA gel electrophoresis is a standard tool of biochemistry and molecular biology
laboratories. The common dye ethidium bromide suffers from toxicity concerns and
requires the use of damaging ultraviolet light. We observe that exposing plasmid
DNA to a UV transilluminator for only 1 s results in detectable loss of colonies
following transformation, suggesting rapid accumulation of DNA damage. SYBR Safe,
a commercial product, is marketed as a safe alternative to ethidium bromide and
has excellent sensitivity with nondamaging blue light, but suffers from
prohibitively high costs. We show that thiazole orange, the parent compound of
SYBR Safe, is an excellent, simple, and inexpensive alternative to these dyes. It
is excitable with safe blue light or UV light, with DNA detection limits in
agarose gels similar to ethidium bromide and SYBR Safe (1-2 ng/lane). Thiazole
orange safely allows the use of nondamaging blue light at the same cost as
ethidium bromide.
PMID- 29645294
TI - Of Thiols and Disulfides: Methods for Chemoselective Formation of Asymmetric
Disulfides in Synthetic Peptides and Polymers.
AB - In protein or peptide chemistry, thiols are frequently chosen as a chemical
entity for chemoselective modification reactions. Although it is a well
established methodology to address cysteines and homocysteines in aqueous media
to form S-C bonds, possibilities for the chemoselective formation of asymmetric
disulfides have been less approached. Focusing on bioreversibility in conjugation
chemistry, the formation of disulfide bonds is highly desirable for the
attachment of thiol-containing bioactive agents to proteins or in cross-linking
reactions, because disulfide bonds can combine stability in blood with
degradability inside cells. In this Concept article, recent approaches in the
field of activating groups for thiol moieties incorporated in peptide and polymer
materials are highlighted. Advantageous combinations of stability during
synthesis of the material with high reactivity towards thiols are explored
focusing on simplification and prevention of side reactions as well as additional
deprotection and activation steps prior to disulfide formation. Moreover,
applications of this chemistry are highlighted and future perspectives are
envisioned.
PMID- 29645297
TI - Computer simulation of the enantioselective separation of weak bases in an online
capillary electrophoresis based microanalysis configuration comprising sulfated
cyclodextrin as selector.
AB - Computer simulation was utilized to characterize the electrophoretic processes
occurring after reactant mixing in an online assay format used for monitoring the
enantioselective N-demethylation of ketamine to norketamine in the presence of
highly sulfated gamma-cyclodextrin (HS-gamma-CD). The incubated reaction mixture
(at pH 7.4 and without chiral selector) is bracketed by a low pH BGE containing
2% HS-gamma-CD as chiral selector, thereby forming a discontinuous buffer system.
Upon power application, simulation provides insight into the formation of moving
boundaries and new zones together with the prediction of the behavior of ketamine
and norketamine enantiomers. The analytes first migrate cationically in a zone
electrophoretic manner until they come in contact with HS-gamma-CD upon which
enantioseparation is initiated. Complexation has a focusing effect and the
electrophoretic transport becomes reversed, that is, toward the anode. Simulation
revealed that the initial conditions for the chiral separation, including buffer
components concentrations, pH, and ionic strength, are different than those in
the BGE. As a consequence thereof, the experimentally determined complexation
parameters for the BGE were unable to correctly describe the migration behavior
of the analytes in this column section. An increase in the input binding
constants by a factor of two to four, as a result of the decreased ionic
strength, resulted in simulation data that agreed with experimental findings.
PMID- 29645296
TI - Effects of JAK1/2 inhibition on bone marrow stromal cells of myeloproliferative
neoplasm (MPN) patients and healthy individuals.
AB - OBJECTIVE: Philadelphia-negative myeloproliferative neoplasms (MPNs) commonly
share hyperactive JAK-STAT signaling affecting hematopoietic stem cells (HSC) and
their progeny. The JAK1/2 inhibitor Ruxolitinib has remarkable clinical efficacy,
including spleen reduction, improvement of constitutional symptoms, and bone
marrow (BM) fibrosis reversal. Whether this is due to inhibition of JAK2-mutated
HSC only, or whether Ruxolitinib also affects BM stroma is not known. METHODS:
This study investigated potential effects of Ruxolitinib on BM mesenchymal
stromal cells (MSC), which are not only major regulators of hematopoiesis but
also contribute to fibrosis, from 10 healthy donors and 7 JAK2V617F -positive MPN
patients. RESULTS: Ruxolitinib moderately inhibited the growth of healthy donor
MSC (HD-MSC) and MSC from JAK2V617F+ MPN patients (P-MSC) in short- and long-term
assays. The clonogenic potential of HD-MSC was not affected by Ruxolitinib. JAK
STAT signaling, however, was markedly inhibited in both HD-MSC and P-MSC, the
latter of which showed higher expression of fibrosis-associated and hematopoiesis
maintenance genes. Moreover, Ruxolitinib reduced MSC secretion of MCP-1 and IL-6.
CONCLUSION: Ruxolitinib affected JAK2 signaling in MSC at clinically relevant
doses, which is likely to contribute to the normalization of the inflammatory
milieu in MPNs. Thus, combined HSC and stroma-directed interventions have the
potential to improve constitutional symptoms and reduce stromal proliferation in
MPNs.
PMID- 29645298
TI - In Situ Fabrication of Hierarchical MTW Zeolite via Nanoparticle Assembly by a
Tailored Simple Organic Molecule.
AB - Amphiphilic surfactants are widely used as templates to synthesize hierarchically
structured zeolites due to their multiple functions; however, piloting such new
dual-functional templates is limited by their time-consuming nature and high
cost. Herein, a simple organic molecule, without a long hydrophobic alkyl chain,
was tailored from a gemini-type, poly-quaternary ammonium surfactant, and
effectively used as a dual-porogenic template to synthesize hierarchical MTW
zeolite. Upon a range of synthesis parameter optimizations, our detailed
characterization suggested that the hierarchical MTW zeolite would completely
crystallize within 36 hours from the surface to the inside of quasi-spherical
particles through in situ consumption of amorphous silicon and aluminum species;
much faster than most of the hierarchical MTW zeolites generated by conventional
methods. Moreover, the as-prepared hierarchical MTW zeolite exhibited 4 times
higher catalytic performance and lifetime of benzene-propene alkylation compared
to conventional MTW zeolite, while the introduced crystalline mesopores are of
benefit to diffuse reactants, products, and coke depositions. Our strategy
broadens the design of new templates in more effective ways to facilely
synthesize versatile hierarchical zeolites for diverse applications, especially
for those in which macromolecules are involved.
PMID- 29645299
TI - Orthogonal Clickable Iron Oxide Nanoparticle Platform for Targeting, Imaging, and
On-Demand Release.
AB - A versatile iron oxide nanoparticle platform is reported that can be orthogonally
functionalized to obtain highly derivatized nanomaterials required for a wide
variety of applications, such as drug delivery, targeted therapy, or imaging.
Facile functionalization of the nanoparticles with two ligands containing
isocyanate moieties allows for high coverage of the surface with maleimide and
alkyne groups. As a proof-of-principle, the nanoparticles were subsequently
functionalized with a fluorophore as a drug model and with biotin as a targeting
ligand towards tumor cells through Diels-Alder and azide-alkyne cycloaddition
reactions, respectively. The thermoreversibility of the Diels-Alder product was
exploited to induce the on-demand release of the loaded molecules by magnetic
hyperthermia. Additionally, the nanoparticles were shown to target cancer cells
through in vitro experiments, as analyzed by magnetic resonance imaging.
PMID- 29645300
TI - A comparison of extraction systems for plant water stable isotope analysis.
AB - RATIONALE: The stable isotope ratios of water (delta2 H and delta18 O values)
have been widely used to trace water in plants in a variety of physiological,
ecohydrological, biogeochemical and hydrological studies. In such work, the
analyte must first be extracted from samples, prior to isotopic analysis. While
cryogenic vacuum distillation is currently the most widely used method reported
in the literature, a variety of extraction-collection-analysis methods exist. A
formal inter-method comparison on plant tissues has yet to be carried out.
METHODS: We performed an inter-method comparison of six plant water extraction
techniques: direct vapour equilibration, microwave extraction, two unique
versions of cryogenic vacuum distillation, centrifugation, and high-pressure
mechanical squeezing. These methods were applied to four isotopically unique
plant portions (head, stem, leaf, and root crown) of spring wheat (Triticum
aestivum L.). Extracted plant water was analyzed via spectrometric (OA-ICOS) and
mass-based (IRMS) analysis systems when possible. Spring wheat was grown under
controlled conditions with irrigation inputs of a known isotopic composition.
RESULTS: The tested methods of extraction yielded markedly different isotopic
signatures. Centrifugation, microwave extraction, direct vapour equilibration,
and high-pressure mechanical squeezing produced water more enriched in 2 H and 18
O content. Both cryogenic vacuum distillation systems and the high-pressure
mechanical squeezing method produced water more depleted in 2 H and 18 O content,
depending upon the plant portion extracted. The various methods also produced
differing concentrations of co-extracted organic compounds, depending on the mode
of extraction. Overall, the direct vapor equilibration method outperformed all
other methods. CONCLUSIONS: Despite its popularity, cryogenic vacuum distillation
was outperformed by the direct vapor equilibration method in terms of limited co
extraction of volatile organic compounds, rapid sample throughput, and near
instantaneous returned stable isotope results. More research is now needed with
other plant species, especially woody plants, to see how far the findings from
this study could be extended.
PMID- 29645301
TI - Collision-induced dissociation of [UVI O2 (ClO4 )]+ revisited: Production of [UVI
O2 (Cl)]+ and subsequent hydrolysis to create [UVI O2 (OH)].
AB - RATIONALE: In a previous study [Rapid Commun Mass Spectrom. 2004;18:3028-3034],
collision-induced dissociation (CID) of [UVI O2 (ClO4 )]+ appeared to be
influenced by the high levels of background H2 O in a quadrupole ion trap. The
CID of the same species was re-examined here with the goal of determining whether
additional, previously obscured dissociation pathways would be revealed under
conditions in which the level of background H2 O was lower. METHODS: Water- and
methanol-coordinated [UVI O2 (ClO4 )]+ precursor ions were generated by
electrospray ionization. Multiple-stage tandem mass spectrometry (MSn ) for CID
and ion-molecule reaction (IMR) studies was performed using a linear ion trap
mass spectrometer. RESULTS: Under conditions of low background H2 O, CID of [UVI
O2 (ClO4 )]+ generates [UVI O2 (Cl)]+ , presumably by elimination of two O2
molecules. Using low isolation/reaction times, we found that [UVI O2 (Cl)]+ will
undergo an IMR with H2 O to generate [UVI O2 (OH)]+ . CONCLUSIONS: With lower
levels of background H2 O, CID experiments reveal that the intrinsic dissociation
pathway for [UVI O2 (ClO4 )]+ leads to [UVI O2 (Cl)]+ , apparently by loss of two
O2 molecules. We propose that the results reported in the earlier CID study
reflected a two-step process: initial formation of [UVI O2 (Cl)]+ by CID,
followed by a very rapid hydrolysis reaction to leave [UVI O2 (OH)]+ .
PMID- 29645302
TI - Carboxylates as Nucleophiles in the Enantioselective Ring-Opening of
Formylcyclopropanes under Iminium Ion Catalysis.
AB - In this work, carboxylic acids, which are typically regarded as poor
nucleophiles, are demonstrated to be competent reagents to promote the ring
opening of formylcyclopropanes after activation of the latter through iminium ion
formation. Under optimized reaction conditions, a variety of gamma-acyloxy
substituted aldehydes can be obtained in high yields and enantioselectivities
through the desymmetrization of substituted meso-formylcyclopropanes in the
presence of a chiral secondary amine as catalyst.
PMID- 29645303
TI - Unimolecular reactivity of organotrifluoroborate anions, RBF3- , and their alkali
metal cluster ions, M(RBF3 )2- (M = Na, K; R = CH3 , CH3 CH2 , CH3 (CH2 )3 , CH3
(CH2 )5 , c-C3 H5 , C6 H5 , C6 H5 CH2 , CH2 CHCH2 , CH2 CH, C6 H5 CO).
AB - RATIONALE: Potassium organotrifluoroborates (RBF3 K) are important reagents used
in organic synthesis. Although mass spectrometry is commonly used to confirm
their molecular formulae, the gas-phase fragmentation reactions of
organotrifluoroborates and their alkali metal cluster ions have not been
previously reported. METHODS: Negative-ion mode electrospray ionization (ESI)
together with collision-induced dissociation (CID) using a triple quadrupole mass
spectrometer were used to examine the fragmentation pathways for RBF3- (where R =
CH3 , CH3 CH2 , CH3 (CH2 )3 , CH3 (CH2 )5 , c-C3 H5 , C6 H5 , C6 H5 CH2 , CH2
CHCH2 , CH2 CH, C6 H5 CO) and M(RBF3 )2- (M = Na, K), while density functional
theory (DFT) calculations at the M06/def2-TZVP level were used to examine the
structures and energies associated with fragmentation reactions for R = Me and
Ph. RESULTS: Upon CID, preferentially elimination of HF occurs for RBF3- ions for
systems where R = an alkyl anion, whereas R- formation is favoured when R = a
stabilized anion. At higher collision energies loss of F- and additional HF
losses are sometimes observed. Upon CID of M(RBF3 )2- , formation of RBF3- is the
preferred pathway with some fluoride transfer observed only when M = Na. The DFT
calculated relative thermochemistry for competing fragmentation pathways is
consistent with the experiments. CONCLUSIONS: The main fragmentation pathways of
RBF3- are HF elimination and/or R- loss. This contrasts with the fragmentation
reactions of other organometallate anions, where reductive elimination, beta
hydride transfer and bond homolysis are often observed. The presence of fluoride
transfer upon CID of Na(RBF3 )2- but not K(RBF3 )2- is in agreement with the
known fluoride affinities of Na+ and K+ and can be rationalized by Pearson's HSAB
theory.
PMID- 29645304
TI - C(sp3 )-H Cyanation Promoted by Visible-Light Photoredox/Phosphate Hybrid
Catalysis.
AB - Inspired by the reaction mechanism of photo-induced DNA cleavage in nature, a
C(sp3 )-H cyanation reaction promoted by visible-light photoredox/phosphate
hybrid catalysis was developed. Phosphate radicals, generated by one-electron
photooxidation of phosphate salt, functioned as a hydrogen-atom-transfer catalyst
to produce nucleophilic carbon radicals from C(sp3 )-H bonds with a high bond
dissociation energy. The resulting carbon radicals were trapped by a cyano
radical source (TsCN) to produce the C-H cyanation products. Due to the high
functional-group tolerance and versatility of the cyano group, the reaction will
be useful for realizing streamlined building block syntheses and late-stage
functionalization of drug-like molecules.
PMID- 29645305
TI - Evolution of a xenobiotic degradation pathway: formation and capture of the
labile phthaloyl-CoA intermediate during anaerobic phthalate degradation.
AB - Xenobiotic phthalates are industrially produced on the annual million ton scale.
The oxygen-independent enzymatic reactions involved in anaerobic phthalate
degradation have only recently been elucidated. In vitro assays suggested that
phthalate is first activated to phthaloyl-CoA followed by decarboxylation to
benzoyl-CoA. Here, we report the heterologous production and characterization of
the enzyme initiating anaerobic phthalate degradation from 'Aromatoleum
aromaticum': a highly specific succinyl-CoA:phthalate CoA transferase (SPT, class
III CoA transferase). Phthaloyl-CoA formed by SPT accumulated only to sub
micromolar concentrations due to the extreme lability of the product towards
intramolecular substitution with a half-life of around 7 min. Upon addition of
excess phthaloyl-CoA decarboxylase (PCD), the combined activity of both enzymes
was drastically shifted towards physiologically relevant benzoyl-CoA formation.
In conclusion, a massive overproduction of PCD in phthalate-grown cells to
concentrations >140 MUM was observed that allowed for efficient phthaloyl-CoA
conversion at concentrations 250-fold below the apparent Km -value of PCD. The
results obtained provide insights into an only recently evolved xenobiotic
degradation pathway where a massive cellular overproduction of PCD compensates
for the formation of the probably most unstable CoA ester intermediate in
biology.
PMID- 29645306
TI - Angled Vortex Fluidic Mediated Multicomponent Photocatalytic and Transition Metal
Catalyzed Reactions.
AB - The dynamic thin film formed in an angled rapidly rotating tube in a vortex
fluidic device (VFD) is effective in facilitating multicomponent reactions (MCRs)
as photocatalytic or metal-mediated processes. Here, we demonstrate the utility
of the VFD by using two known MCRs, an Ugi-type three component reaction and an
A3 -coupling reaction. The Ugi-type reaction can be done in either confined or
continuous-flow modes of operation of the microfluidic platform whereas the A3
coupling reaction was optimized for the confined mode of operation. The examples
tested gave excellent yields with short reaction times.
PMID- 29645308
TI - Yet another database?
PMID- 29645307
TI - Circular dichroism studies of low molecular weight hydrogelators: The use of SRCD
and addressing practical issues.
AB - Circular dichroism (CD) spectroscopy has been used extensively for the
investigation of the conformation and configuration of chiral molecules, but its
use for evaluating the mode of self-assembly in soft materials has been limited.
Herein, we report a protocol for the study of such materials by electronic CD
spectroscopy using commercial/benchtop instruments and synchrotron radiation (SR)
using the B23 beamline available at Diamond Light Source. The use of the B23
beamtime for SRCD was advantageous because of the unique enhanced spatial
resolution achieved because of its highly collimated and small beamlight cross
section (ca. 250 MUm) and higher photon flux in the far UV region (175-250 nm)
enhancing the signal-to-noise ratio relative to benchtop CD instruments. A set of
low molecular weight (LMW) hydrogelators, comprising two Fmoc-protected
enantiomeric monosaccharides and one Fmoc dipeptide (Fmoc-FF), were studied. The
research focused on the optimization of sample preparation and handling, which
then enabled the characterization of sample conformational homogeneity and
thermal stability. CD spectroscopy, in combination with other spectroscopic
techniques and microscopy, will allow a better insight into the self-assembly of
chiral building blocks into higher order structural architectures.
PMID- 29645309
TI - Hydrazo-CEST: Hydrazone-Dependent Chemical Exchange Saturation Transfer Magnetic
Resonance Imaging Contrast Agents.
AB - The rapid formation of hydrazones under physiological conditions was exploited
for the detection of aldehydes through chemical exchange saturation transfer
magnetic resonance imaging (CEST-MRI). A metal-free, diamagnetic contrast agent
derived from N-amino anthranilic acid was introduced, which selectively "turned
on" upon hydrazone formation through an effect termed Hydrazo-CEST. While the
hydrazine form of the probe produced no CEST-MRI signal enhancement, the
formation of the aryl hydrazone resulted in >20 % intensity decrease in the bulk
water signal through the CEST effect, as measured by 300 MHz 1 H NMR, 3 T and 7 T
MRI. Both the electronic contributions of the N-amino anthranilate and the
aldehyde binding partner were shown to directly impact the exchange rate of the
proton on the ring-proximal nitrogen, and thus the imaging signal. Additionally,
the presence of the carboxylic acid moiety ortho to the hydrazine was necessary
not only for contrast production, but also for rapid hydrazone formation and
prolonged hydrazone product stability under physiological conditions. This work
provided the first example of an MRI-based contrast agent capable of a "turn on"
response upon reaction with bioactive aldehydes, and outlined both the structural
and electronic requirements to expand on Hydrazo-CEST, a novel, hydrazone
dependent subtype of diamagnetic CEST-MRI.
PMID- 29645311
TI - Copyright Page.
PMID- 29645310
TI - Half Title.
PMID- 29645312
TI - FIGO Board.
PMID- 29645313
TI - Supplement Title Page.
PMID- 29645315
TI - Cell and molecular mechanisms behind diet-induced hypothalamic inflammation and
obesity.
AB - Diet-induced obesity (DIO) is associated with chronic, low-grade inflammation in
the hypothalamus, a key regulator of energy homeostasis. Current studies have
revealed the involvement of different cell types, as well as cell and molecular
mechanisms, that contribute to diet-induced hypothalamic inflammation (DIHI) and
DIO. Subsequent to the discovery that high-fat diet and saturated fatty acids
increase the expression of hypothalamic cytokines prior to weight gain, research
has focused on understanding the cellular and molecular mechanisms underlying
these changes, in addition to the role of inflammation in the pathogenesis of
obesity. Recent studies have proposed that the inhibition of pro-inflammatory
pathways in microglia and astrocytes is sufficient to protect against DIHI and
prevent obesity. In addition, impairment of intracellular and epigenetic
mechanisms, such as hypothalamic autophagy and changes in the methylation pattern
of certain genes, have been implicated in susceptibility to DIHI and DIO.
Interestingly, a sexual dimorphism has been found during DIO in hypothalamic
inflammation, glial activation and metabolic diseases, and recent data support an
important role of sex steroids in DIHI. These new exciting findings uncover novel
obesity pathogenic mechanisms and provide targets to develop therapeutic
approaches.
PMID- 29645317
TI - Slow Infusion of Low-dose Ketamine Reduces Bothersome Side Effects Compared to
Intravenous Push: A Double-blind, Double-dummy, Randomized Controlled Trial.
AB - OBJECTIVE: We compared the analgesic efficacy and incidence of side effects when
low-dose (0.3 mg/kg) ketamine (LDK) is administered as a slow infusion (SI) over
15 minutes versus an intravenous push (IVP) over 1 minute. METHODS: This was a
prospective, randomized, double-blind, double-dummy, placebo-controlled trial of
adult ED patients presenting with moderate to severe pain (numerical rating scale
[NRS] score >= 5). Patients received 0.3 mg/kg ketamine administered either as a
SI or a IVP. Our primary outcome was the proportion of patients experiencing any
psychoperceptual side effect over 60 minutes. A secondary outcome was incidence
of moderate or greater psychoperceptual side effects. Additional outcomes
included reduction in pain NRS scores at 60 minutes and percent maximum summed
pain intensity difference (%SPID). RESULTS: Fifty-nine participants completed the
study. A total of 86.2% of the IVP arm and 70.0% of the SI arm experienced any
side effect (difference = 16.2%, 95% confidence interval [CI] = -5.4 to 37.8). We
found a large reduction in moderate or greater psychoperceptual side effects with
SI administration-75.9% reported moderate or greater side effects versus 43.4% in
the SI arm (difference = 32.5%, 95% CI = 7.9 to 57.1). Additionally, the IVP arm
experienced more hallucinations (n = 8, 27.6%) than the SI arm (SI n = 2, 6.7%,
difference = 20.9%, 95% CI = 1.8 to 43.4). We found no significant differences in
analgesic efficacy. At 60 minutes, the mean %SPID values in the IVP and SI arms
were 39.9 and 33.5%, respectively, with a difference of 6.5% (95% CI = -5.8 to
18.7). CONCLUSION: Most patients who are administered LDK experience a
psychoperceptual side effect regardless of administration via SI or IVP. However,
patients receiving LDK as a SI reported significantly fewer moderate or greater
psychoperceptual side effects and hallucinations with equivalent analgesia.
PMID- 29645319
TI - It Saved My Life.
PMID- 29645316
TI - Neuropeptide and steroid hormone mediators of neuroendocrine regulation.
AB - To maintain the health and well-being of all mammals, numerous aspects of
physiology are controlled by neuroendocrine mechanisms. These mechanisms
ultimately enable communication between neurones and glands throughout the body
and are centrally mediated by neuropeptides and/or steroid hormones. A recent
session at the International Workshop in Neuroendocrinology highlighted the
essential roles of some of these neuropeptide and steroid hormone mediators in
the neuroendocrine regulation of stress-, reproduction- and behaviour-related
processes. Accordingly, the present review highlights topics presented in this
session, including the role of the neuropeptides corticotrophin-releasing factor
and gonadotrophin-releasing hormone in stress and reproductive physiology,
respectively. Additionally, it details an important role for gonadal sex steroids
in the development of behavioural sex preference.
PMID- 29645318
TI - Investigating the dynamics and polyanion binding sites of fibroblast growth
factor-1 using hydrogen-deuterium exchange mass spectrometry.
AB - In this study, we examined the local dynamics of acidic fibroblast growth factor
(FGF-1) as well as the binding sites of various polyanions including poly
sulfates (heparin and low MW heparin) and poly-phosphates (phytic acid and ATP)
using hydrogen-deuterium exchange mass spectrometry (HX-MS). For local dynamics,
results are analyzed at the peptide level as well as in terms of buried amides
employing crystallographic B-factors and compared with a residue level heat map
generated from HX-MS results. Results show that strand 4 and 5 and the turn
between them to be the most flexible regions as was previously seen by NMR. On
the other hand, the C-terminal strands 8, 9, and 10 appear to be more rigid which
is also consistent with crystallographic B-factors as well as local dynamics
studies conducted by NMR. Crystal structures of FGF-1 in complex with heparin
have shown that heparin binds to N-terminal Asn18 and to C-terminal Lys105,
Tryp107, Lys112, Lys113, Arg119, Pro121, Arg122, Gln127, and Lys128 indicating
electrostatic forces as dominant interactions. Heparin binding as determined by
HX-MS is consistent with crystallography data. Previous studies have also shown
that other polyanions including low MW heparin, phytic acid and ATP dramatically
increase the thermal stability of FGF-1. Using HX-MS, we find other poly anions
tested bind in a similar manner to heparin, primarily targeting the turns in the
lysine rich C-terminal region of FGF-1 along with two distinct N-terminal regions
that contains lysines and arginines/histidines. This confirms the interactions
between FGF-1 and polyanions are primary directed by electrostatics.
PMID- 29645320
TI - A Dynamic Chemical Network for Cystinuria Diagnosis.
AB - The study of molecular networks represents a conceptual revolution in chemistry.
Building on previous knowledge and after understanding the rules of non-covalent
interactions, the design of stimulus-responsive chemical systems is possible.
Herein we report a new strategy, based on the reorganization of a dynamic
chemical network that generates new fluorescent associations in the presence of
cysteine or cystine. The binding and sensing units are encoded in the components
that dynamically assemble and disassemble responding to external stimuli as a
successful tool to detect both cysteine and cystine in aqueous media. Moreover,
the dynamic sensing system works in human urine, as a prospective application for
cystinuria diagnosis.
PMID- 29645321
TI - Maternal perceptions of BRCA genetic counseling communication processes about
disclosing cancer risk information to children and adult relatives.
AB - OBJECTIVES: Using a novel measure, examine maternal perceptions of the process by
which issues pertaining to family communication of BRCA test results are
addressed during cancer genetic counseling. METHODS: After receiving BRCA
results, mothers (N = 211) of minor-age children reported on their counseling
experiences with providers using a communication process measure as well as other
psychosocial variables. RESULTS: The novel Genetic Counseling Communication
Process measure demonstrated good internal consistency of its 2 factors: patient
led communication (Cronbach's alpha = 0.73) and provider-led communication
(Cronbach's alpha = 0.82). Participants most often reported that discussions
about family communication of BRCA test results to children and adult relatives
were led only by their providers (38.2%-39.2%), as opposed to being led by the
patient, both parties, or neither party. Providers were most likely to lead these
discussions when mothers had stronger family histories of cancer and expressed
more confidence about making a decision to talk to their children about BRCA.
However, mothers typically led such discussions if they were raising older
children and held more positive attitudes about pediatric BRCA testing.
CONCLUSIONS: When the assessment of BRCA genetic counseling outcomes includes
family communication to potentially at-risk relatives, we learned that most but
not all sessions addressed this topic. Cancer family history, child age, and
maternal attitudes are important co-factors in these patient-provider
communication exchanges. Providers delivering BRCA genetic counseling should be
attentive to mothers' information and support needs regarding communicating
cancer genetic test results to at-risk relatives, including children.
PMID- 29645322
TI - Cyclic and Lasso Peptides: Sequence Determination, Topology Analysis, and
Rotaxane Formation.
AB - A broadly applicable chemical cleavage methodology to facilitate MS/MS sequencing
was developed for macrocyclic and lasso peptides, which hold promise as exciting
new therapeutics. Existing methods such as Edman degradation, CNBr cleavage, and
enzymatic digestion are either limited in scope or completely fail in cleavage of
constrained nonribosomal peptides. Importantly, the new method was utilized for
synthesizing a unique peptide-based rotaxane (both cyclic and threaded) from the
lasso peptide, benenodin-1 DeltaC5.
PMID- 29645323
TI - Dangerous relationships: biases in freshwater bioassessment based on observed to
expected ratios.
AB - The ecological assessment of freshwaters is currently primarily based on
biological communities and the reference condition approach (RCA). In the RCA,
the communities in streams and lakes disturbed by humans are compared with
communities in reference conditions with no or minimal anthropogenic influence.
The currently favored rationale is using selected community metrics for which the
expected values (E) for each site are typically estimated from environmental
variables using a predictive model based on the reference data. The proportional
differences between the observed values (O) and E are then derived, and the
decision rules for status assessment are based on fixed (typically 10th or 25th)
percentiles of the O/E ratios among reference sites. Based on mathematical
formulations, illustrations by simulated data and real case studies representing
such an assessment approach, we demonstrate that the use of a common quantile of
O/E ratios will, under certain conditions, cause severe bias in decision making
even if the predictive model would be unbiased. This is because the variance of
O/E under these conditions, which seem to be quite common among the published
applications, varies systematically with E. We propose a correction method for
the bias and compare the novel approach to the conventional one in our case
studies, with data from both reference and impacted sites. The results highlight
a conceptual issue of employing ratios in the status assessment. In some cases
using the absolute deviations instead provides a simple solution for the bias
identified and might also be more ecologically relevant and defensible.
PMID- 29645324
TI - Reactions of Fluoroalkenes with an Aluminium(I) Complex.
AB - A series of industrially relevant fluoroalkenes react with a monomeric AlI
complex. These reactions break strong sp2 and sp3 C-F bonds, and result in the
formation of a diverse array of organoaluminium compounds. Mechanistic studies
show that two mechanisms are likely in operation: 1) direct oxidative addition of
the C-F bond to AlI occurs with retention of alkene stereochemistry, and 2)
stepwise formation and decomposition of a metallocyclopropane intermediate occurs
with inversion of alkene stereochemistry. As part of this mechanistic analysis,
we have isolated the first aluminium metallocyclopropane complex from oxidative
addition of an alkene to AlI . Remarkably this reaction is reversible and
reductive elimination of the alkene occurs at higher temperature reforming AlI .
Furthermore, in selected cases the organoaluminium products are susceptible
toward beta-fluoride elimination to yield a double C-F activation pathway.
PMID- 29645325
TI - Crowdsourcing as an Analytical Method: Metrology of Smartphone Measurements in
Heritage Science.
AB - This research assesses the precision, repeatability, and accuracy of crowdsourced
scientific measurements, and whether their quality is sufficient to provide
usable results. Measurements of colour and area were chosen because of the
possibility of producing them with smartphone cameras. The quality of the
measurements was estimated experimentally by comparing data contributed by
anonymous participants in heritage sites with reference measurements of known
accuracy and precision. Participants performed the measurements by taking
photographs with their smartphones, from which colour and dimensional data could
be extracted. The results indicate that smartphone measurements provided by
citizen scientists can be used to measure changes in colour, but that the
performance is strongly dependent on the measured colour coordinate. The same
method can be used to measure areas when the difference in colour with the
neighbouring areas is large enough. These results render the method useful in
some heritage science contexts, but higher precision would be desirable.
PMID- 29645326
TI - Palladium-Catalyzed para-Selective Alkylation of Electron-Deficient Arenes.
AB - Intermolecular alkylations of electron-deficient arenes proceed with good para
selectivity. Palladium catalysts were used to generate nucleophilic alkyl
radicals from alkyl halides, which then directly add onto the arenes. The arene
scope and the site of alkylation are opposite to those of classical Friedel
Crafts alkylations, which prefer electron-rich systems.
PMID- 29645327
TI - Exploring cancer survivors' views of health behaviour change: "Where do you
start, where do you stop with everything?"
AB - OBJECTIVE: Physical activity (PA) and a healthy diet can improve the well-being
of cancer survivors. However, cancer survivors often do not engage in these
behaviours. This study aimed to explore barriers and facilitators to engaging in
these behaviours following cancer treatment. METHODS: During the development of a
Web-based intervention to enhance health-related quality of life in cancer
survivors, 32 people who had completed treatment for breast, colon, or prostate
cancer were presented with an intervention for PA and healthy eating. In-depth
think-aloud and semi-structured interviewing techniques were used to elicit
perceptions of both behaviours. Data were analysed using thematic analysis.
RESULTS: Some individuals reported implementing positive health behaviour changes
to maintain health and prevent recurrence, or to help them to move forward after
cancer. However, others reported feeling abandoned, and many did not report an
intention to engage in lifestyle changes. Individuals discussed contextual and
health-related barriers that were specifically linked to their situation as post
treatment cancer survivors: individuals described uncertainty about how to
implement adaptive changes and perceived a lack of support from health care
providers. Others viewed behaviour change as unnecessary or undesirable, with
some arguing that non-modifiable factors contributed more to their cancer
diagnosis than lifestyle-related factors. CONCLUSIONS: For many participants in
this study, the period that follows treatment for cancer did not represent a
"teachable moment." A variety of complex and heterogeneous factors appeared to
impact motivation and may limit cancer survivors from engaging with diet and PA
changes.
PMID- 29645328
TI - Potential of Pyridine Amphiphiles as Staphylococcal Nuclease Inhibitor.
AB - The present study explores the potential of pyridine-based synthetic amphiphiles
C1 and C2 having 4-carbon and 12-carbon hydrophobic tails, respectively, as
staphylococcal nuclease inhibitors. UV-visible titration with calf-thymus DNA (CT
DNA) revealed a hypochromic shift in the absorbance bands of C1 and C2, whereas
fluorescence titration indicated a reduction in the emission intensity of the
monomer bands of the amphiphiles. Interaction of deoxyribonuclease I (DNase 1)
and micrococcal nuclease (MNase) with C1 or C2 led to a decrease in the emission
intensity of tryptophan at lambda=345 nm along with an increase in the monomer
emission intensity of C1 and C2 at lambda=375 nm for DNase I and excimer emission
intensity at lambda=470 nm for both DNase I and MNase. Scatchard's analysis
indicated superior interaction of C2 with DNase I. Circular dichroism
spectroscopy revealed major changes in the secondary structures of both DNase I
and MNase upon interaction with the amphiphiles. A solution-based nuclease assay
in conjunction with gel electrophoresis indicated amphiphile-mediated protection
against nuclease-directed DNA cleavage. Interestingly, C2 could render inhibition
of nuclease present in the culture supernatant of Staphylococcus aureus MTCC 96,
which highlights the therapeutic prospect of the amphiphile against S. aureus.
PMID- 29645329
TI - Modulating the Folding Landscape of Superoxide Dismutase 1 with Targeted
Molecular Binders.
AB - Amyotrophic lateral sclerosis, or Lou Gehrig's disease, is characterized by motor
neuron death, with average survival times of two to five years. One cause of this
disease is the misfolding of superoxide dismutase 1 (SOD1), a phenomenon
influenced by point mutations spanning the protein. Herein, we used an epitope
specific high-throughput screen to identify a peptide ligand that stabilizes the
SOD1 native conformation and accelerates its folding by a factor of 2.5. This
strategy may be useful for fundamental studies of protein energy landscapes as
well as designing new classes of therapeutics.
PMID- 29645330
TI - Current and projected cumulative impacts of fire, drought, and insects on timber
volumes across Canada.
AB - Canada's forests are shaped by disturbances such as fire, insect outbreaks, and
droughts that often overlap in time and space. The resulting cumulative
disturbance risks and potential impacts on forests are generally not well
accounted for by models used to predict future impacts of disturbances on forest.
This study aims at projecting future cumulative effects of four main natural
disturbances, fire, mountain pine beetle, spruce budworm and drought, on timber
volumes across Canada's forests using an approach that accounts for potential
overlap among disturbances. Available predictive models for the four natural
disturbances were used to project timber volumes at risk under aggressive climate
forcing up to 2100. Projections applied to the current vegetation suggest
increases of volumes at risk related to fire, mountain pine beetle, and drought
over time in many regions of Canada, but a decrease of the volume at risk related
to spruce budworm. When disturbance effects are accumulated, important changes in
volumes at risk are projected to occur as early as 2011-2041, particularly in
central and eastern Canada. In our last simulation period covering 2071-2100,
nearly all timber volumes in most of Canada's forest regions could be at risk of
being affected by at least one of the four natural disturbances considered in our
analysis, a six-fold increase relative to the baseline period (1981-2010). Tree
species particularly vulnerable to specific disturbances (e.g., trembling aspen
to drought) could suffer disproportionate increases in their volume at risk with
potential impacts on forest composition. By 2100, estimated wood volumes not
considered to be at risk could be lower than current annual timber harvests in
central and eastern Canada. Current level of harvesting could thus be difficult
to maintain without the implementation of adaptation measures to cope with these
disturbances.
PMID- 29645331
TI - A Genetically Encoded Ratiometric pH Probe: Wavelength Regulation-Inspired Design
of pH Indicators.
AB - Mutants of human cellular retinol-binding protein II (hCRBPII) were engineered to
bind a julolidine retinal analogue for the purpose of developing a ratiometric pH
sensor. The design relied on the electrostatic influence of a titratable amino
acid side chain, which affects the absorption and, thus, the emission of the
protein/fluorophore complex. The ratio of emissions obtained at two excitation
wavelengths that correspond to the absorption of the two forms of the
protein/fluorophore complex, leads to a concentration-independent measure of pH.
PMID- 29645332
TI - Intermolecular sigma-Bond Cross-Exchange Reaction between Cyclopropenones and
(Benzo)silacyclobutanes: Straightforward Access towards
Sila(benzo)cycloheptenones.
AB - Described herein is the first intermolecular sigma-bond exchange reaction between
the C-C bond of cyclopropenones and C-Si bond of (benzo)silacyclobutanes and it
proceeds smoothly by treatment with either 1 mol % of a palladium or 2 mol % of a
nickel catalyst. This reaction constitutes an unprecedented route for the
synthesis of various sila(benzo)suberones. And it is also the first example of a
sigma-bond exchange reaction involving cyclopropenones.
PMID- 29645333
TI - Stabilization of Lewis Acidic AuF3 as an N-Heterocyclic Carbene Complex:
Preparation and Characterization of [AuF3 (SIMes)].
AB - Two different reaction routes are described to access the unprecedented
trifluoridoorganogold(III) complex [AuF3 (SIMes)]. The compound bears the N
heterocyclic carbene SIMes (1,3-bis(2,4,6-trimethylphenyl)-4,5-dihydroimidazol-2
ylidene) as a ligand for a molecular Lewis acidic AuF3 unit and was characterized
by NMR spectroscopy as well as X-ray crystallography. Apart from the use of a
[AuF4 ]- salt as precursor, the strong oxidizing compound AuF3 can be employed
neat as starting material. The reaction proceeded even in organic solvents in the
presence of SIMes as the ligand precursor. Decomposition reactions with the
solvent can, therefore, be prevented by using this strategy.
PMID- 29645334
TI - Breslow Intermediates from Aromatic N-Heterocyclic Carbenes (Benzimidazolin-2
ylidenes, Thiazolin-2-ylidenes).
AB - We report the first generation and characterization of elusive Breslow
intermediates derived from aromatic N-heterocyclic carbenes (NHCs), namely
benzimidazolin-2-ylidenes (NMR, X-ray analysis) and thiazolin-2-ylidenes (NMR).
In the former case, the diamino enols were generated by reaction of the free N,N
bis(2,6-diisopropylphenyl)- and N,N-bis(mesityl)-substituted benzimidazolin-2
ylidenes with aldehydes while the dimer of 3,4,5-trimethylthiazolin-2-ylidene
served as the starting material in the latter case. The unambiguous NMR
identification of the first thiazolin-2-ylidene-based Breslow intermediate rests
on double 13 C labeling of both the NHC and the aldehyde component. The acyl
anion reactivity was confirmed by benzoin formation with excess aldehyde.
PMID- 29645335
TI - Shell-Isolated Tip-Enhanced Raman and Fluorescence Spectroscopy.
AB - Tip-enhanced Raman spectroscopy can provide molecular fingerprint information
with ultrahigh spatial resolution, but the tip will be easily contaminated, thus
leading to artifacts. It also remains a great challenge to establish tip-enhanced
fluorescence because of the quenching resulting from the proximity of the metal
tip. Herein, we report shell-isolated tip-enhanced Raman and fluorescence
spectroscopies by employing ultrathin shell-isolated tips fabricated by atomic
layer deposition. Such shell-isolated tips not only show outstanding
electromagnetic field enhancement in TERS but also exclude interference by
contaminants, thus greatly promoting applications in solution. Tip-enhanced
fluorescence has also been achieved using these shell-isolated tips, with
enhancement factors of up to 1.7*103 , consistent with theoretical simulations.
Furthermore, tip-enhanced Raman and fluorescence signals are acquired
simultaneously, and their relative intensities can be manipulated by changing the
shell thickness. This work opens a new avenue for ultrahigh resolution surface
analysis using plasmon-enhanced spectroscopies.
PMID- 29645336
TI - Raman Microspectroscopic Evidence for the Metabolism of a Tyrosine Kinase
Inhibitor, Neratinib, in Cancer Cells.
AB - Tyrosine kinase receptors are one of the main targets in cancer therapy. They
play an essential role in the modulation of growth factor signaling and thereby
inducing cell proliferation and growth. Tyrosine kinase inhibitors such as
neratinib bind to EGFR and HER2 receptors and exhibit antitumor activity.
However, little is known about their detailed cellular uptake and metabolism.
Here, we report for the first time the intracellular spatial distribution and
metabolism of neratinib in different cancer cells using label-free Raman imaging.
Two new neratinib metabolites were detected and fluorescence imaging of the same
cells indicate that neratinib accumulates in lysosomes. The results also suggest
that both EGFR and HER2 follow the classical endosome lysosomal pathway for
degradation. A combination of Raman microscopy, DFT calculations, and LC-MS was
used to identify the chemical structure of neratinib metabolites. These results
show the potential of Raman microscopy to study drug pharmacokinetics.
PMID- 29645337
TI - Enantioselective Formal [3+2] Cycloaddition of Epoxides with Imines under
Bronsted Base Catalysis: Synthesis of 1,3-Oxazolidines with Quaternary
Stereogenic Center.
AB - The formal [3+2] cycloaddition of epoxides and unsaturated compounds is a
powerful methodology for the synthesis of densely functionalized five-membered
heterocyclic compounds containing oxygen. Described is a novel enantioselective
formal [3+2] cycloaddition of epoxides under Bronsted base catalysis. The
bis(guanidino)iminophosphorane as a chiral organosuperbase catalyst enabled the
enantioselective reaction of beta,gamma-epoxysulfones with imines, owing to its
strong basicity and high stereocontrolling ability, to provide enantioenriched
1,3-oxazolidines having two stereogenic centers, including a quaternary one, in a
highly diastereo- and enantioselective manner.
PMID- 29645338
TI - Kinetic Resolution of alpha-Hydroxy-Substituted Oxime Ethers by Enantioselective
Cu-H-Catalyzed Si-O Coupling.
AB - A catalyst-controlled enantioselective alcohol silylation by Cu-H-catalyzed
dehydrogenative Si-O coupling of hydroxy groups alpha to an oxime ether and
simple hydrosilanes is reported. The selectivity factors reached in this kinetic
resolution are generally high (s~50), and these reactions thereby provide
reliable access to highly enantioenriched alpha-hydroxy-substituted oxime ethers.
The synthetic usefulness of these compounds is also demonstrated.
PMID- 29645339
TI - Nucleophile Promiscuity of Natural and Engineered Aldolases.
AB - The asymmetric aldol addition reaction mediated by aldolases is recognized as a
green and sustainable method for carbon-carbon bond formation. Research in this
area has unveiled their unprecedented synthetic potential toward diverse, new
chemical structures; novel product families; and even as a technology for
industrial manufacturing processes. Despite these advances, aldolases have long
been regarded as strictly selective catalysts, particularly for nucleophilic
substrates, which limits their broad applicability. In recent years, advances in
screening technologies and metagenomics have uncovered novel C-C biocatalysts
from superfamilies of widely known lyases. Moreover, protein engineering has
revealed the extraordinary malleability of different carboligases to offer a
toolbox of biocatalysts active towards a large structural diversity of
nucleophile substrates. Herein, the nucleophile ambiguity of native and
engineered aldolases is discussed with recent examples to prove this novel
concept.
PMID- 29645341
TI - Effects on the glucagon response to hypoglycaemia during DPP-4 inhibition in
elderly subjects with type 2 diabetes: A randomized, placebo-controlled study.
AB - AIMS: Maintainance of glucagon response to hypoglycaemia is important as a
safeguard against hypoglycaemia during glucose-lowering therapy in type 2
diabetes. During recent years, DPP-4 (dipeptidyl peptidase-4) inhibition has
become more commonly used in elderly patients. However, whether DPP-4 inhibition
affects the glucagon response to hypoglycaemia in the elderly is not known and
was the aim of this study. METHODS: In a single-centre, double-blind, randomized,
placebo-controlled crossover study, 28 subjects with metformin-treated type 2
diabetes (17 male, 11 female; mean age, 74 years [range 65-86]; mean HbA1c, 51.5
mmol/mol [6.9%]) received sitagliptin (100 mg once daily) as add-on therapy or
placebo for 4 weeks with a 4-week washout period in between. After each treatment
period, the subjects underwent a standard breakfast test, followed by a 2-step
hyperinsulinaemic hypoglycaemic clamp (target 3.5 and 3.0 mmol/L), followed by
lunch. RESULTS: Glucagon levels after breakfast and lunch, and the glucagon
response at 3.5 mmol/L, were lower after sitagliptin than after placebo. However,
the glucagon response to hypoglycaemia at 3.1 mmol/L did not differ significantly
between the two. Similarly, the noradrenaline, adrenaline and cortisol responses
were lower with sitagliptin than with placebo at 3.5 mmol/L, but not at 3.1
mmol/L glucose. Responses in pancreatic polypeptide did not differ between the
two. CONCLUSIONS: Elderly subjects with metformin-treated type 2 diabetes have
lower glucagon levels at 3.5 mmol/L glucose, but maintain the glucagon response
to hypoglycaemia at 3.1 mmol/L during DPP-4 inhibition, which safeguards against
hypoglycaemia and may contribute to decreasing the risk of hypoglycaemia by DPP-4
inhibition in this age group.
PMID- 29645340
TI - Surface Enrichment in Equimolar Mixtures of Non-Functionalized and Functionalized
Imidazolium-Based Ionic Liquids.
AB - For equimolar mixtures of ionic liquids with imidazolium-based cations of very
different electronic structure, we observe very pronounced surface enrichment
effects by angle-resolved X-ray photoelectron spectroscopy (XPS). For a mixture
with the same anion, that is, 1-methyl-3-octylimidazolium hexafluorophosphate+1,3
di(methoxy)imidazolium hexafluorophosphate ([C8 C1 Im][PF6 ]+[(MeO)2 Im][PF6 ]),
we find a strong enrichment of the octyl chain-containing [C8 C1 Im]+ cation and
a corresponding depletion of the [(MeO)2 Im]+ cation in the topmost layer. For a
mixture with different cations and anions, that is, [C8 C1 Im][Tf2 N]+[(MeO)2
Im][PF6 ], we find both surface enrichment of the [C8 C1 Im]+ cation and the [Tf2
N]- (bis[(trifluoromethyl)sulfonyl]imide) anion, while [(MeO)2 Im]+ and [PF6 ]-
are depleted from the surface. We propose that the observed behavior in these
mixtures is due to a lowering of the surface tension by the enriched components.
Interestingly, we observe pronounced differences in the chemical shifts of the
imidazolium ring signals of the [(MeO)2 Im]+ cations as compared to the non
functionalized cations. Calculations of the electronic structure and the
intramolecular partial charge distribution of the cations contribute to
interpreting these shifts for the two different cations.
PMID- 29645344
TI - Functional and oncological outcomes of open, laparoscopic and robot-assisted
partial nephrectomy: a multicentre comparative matched-pair analyses with a
median of 5 years' follow-up.
AB - OBJECTIVES: To compare outcomes at a 5-year median follow-up among different
partial nephrectomy (PN) approaches: robot-assisted (RAPN), laparoscopic (LPN)
and open partial nephrectomy (OPN). PATIENTS AND METHODS: We retrospectively
analysed 1 308 patients who underwent PN (RAPN, n = 380; LPN, n = 206; OPN, n =
722) between 2006 and 2012 at one of four academic centres. We performed 1:1:1
propensity-score-matching adjustment based on confounding variables among groups,
and 366 patients (122 in each group) were included in the final analysis.
Survival rates were analysed using the Kaplan-Meier method. RESULTS: The median
follow-up periods were 60, 59.8 and 64.1 months for RAPN, LPN and OPN,
respectively. In the matched groups, RAPN resulted in significantly lower mean
estimated blood loss compared with LPN (P = 0.025) and OPN (P = 0.040), while LPN
was associated with a longer mean operating time compared with RAPN (P = 0.001)
and OPN (P = 0.001). The hospital stay was shorter in the RAPN group (P = 0.008).
Regarding the oncological outcomes, there were no significant differences among
the three groups in local recurrence rate (P = 0.882), distant metastasis rate (P
= 0.816) or deaths from cancer (P = 0.779). At latest follow-up, the incidence of
chronic kidney disease (CKD) upstaging was significantly lower in RAPN compared
with LPN (20.55% vs 32%; P = 0.035) and OPN (20.5% vs 33.6%; P = 0.038). The 5
year CKD free-survival rate was significantly higher (78.4%) in the RAPN group
compared with 58.8% and 65.8% in the LPN and OPN groups, respectively (log-rank P
= 0.031). CONCLUSIONS: In the present study, RAPN, LPN and OPN had similar local
recurrence, distant metastasis and cancer-related death rates at a 5-year median
follow-up. In terms of functional outcomes, RAPN was associated with a lower
incidence of CKD upstaging compared with OPN and LPN.
PMID- 29645342
TI - Identifying New Small Proteins in Escherichia coli.
AB - The number of small proteins (SPs) encoded in the Escherichia coli genome is
unknown, as current bioinformatics and biochemical techniques make short gene and
small protein identification challenging. One method of small protein
identification involves adding an epitope tag to the 3' end of a short open
reading frame (sORF) on the chromosome, with synthesis confirmed by immunoblot
assays. In this study, this strategy was used to identify new E. coli small
proteins, tagging 80 sORFs in the E. coli genome, and assayed for protein
synthesis. The selected sORFs represent diverse sequence characteristics,
including degrees of sORF conservation, predicted transmembrane domains, sORF
direction with respect to flanking genes, ribosome binding site (RBS) prediction,
and ribosome profiling results. Of 80 sORFs, 36 resulted in encoded synthesized
proteins-a 45% success rate. Modeling of detected versus non-detected small
proteins analysis showed predictions based on RBS prediction, transcription data,
and ribosome profiling had statistically-significant correlation with protein
synthesis; however, there was no correlation between current sORF annotation and
protein synthesis. These results suggest substantial numbers of small proteins
remain undiscovered in E. coli, and existing bioinformatics techniques must
continue to improve to facilitate identification.
PMID- 29645346
TI - Identification of a Siglec-F+ granulocyte-macrophage progenitor.
AB - In recent years multi-parameter flow cytometry has enabled identification of
cells at major stages in myeloid development; from pluripotent hematopoietic stem
cells, through populations with increasingly limited developmental potential
(common myeloid progenitors and granulocyte-macrophage progenitors), to
terminally differentiated mature cells. Myeloid progenitors are heterogeneous,
and the surface markers that define transition states from progenitors to mature
cells are poorly characterized. Siglec-F is a surface glycoprotein frequently
used in combination with IL-5 receptor alpha (IL5Ralpha) for the identification
of murine eosinophils. Here, we describe a CD11b+ Siglec-F+ IL5Ralpha- myeloid
population in the bone marrow of C57BL/6 mice. The CD11b+ Siglec-F+ IL5Ralpha-
cells are retained in eosinophil deficient PHIL mice, and are not expanded upon
overexpression of IL-5, indicating that they are upstream or independent of the
eosinophil lineage. We show these cells to have GMP-like developmental potential
in vitro and in vivo, and to be transcriptionally distinct from the classically
described GMP population. The CD11b+ Siglec-F+ IL5Ralpha- population expands in
the bone marrow of Myb mutant mice, which is potentially due to negative
transcriptional regulation of Siglec-F by Myb. Lastly, we show that the role of
Siglec-F may be, at least in part, to regulate GMP viability.
PMID- 29645347
TI - Does the introduction of prostate multiparametric magnetic resonance imaging into
the active surveillance protocol for localized prostate cancer improve patient re
classification?
AB - OBJECTIVES: To determine whether replacement of protocol-driven repeat prostate
biopsy (PB) with multiparametric magnetic resonance imaging (mpMRI) +/- repeat
targeted prostate biopsy (TB) when evaluating men on active surveillance (AS) for
low-volume, low- to intermediate-risk prostate cancer (PCa) altered the
likelihood of or time to treatment, or reduced the number of repeat biopsies
required to trigger treatment. PATIENTS AND METHODS: A total of 445 patients
underwent AS in the period 2010-2016 at our institution, with a median
(interquartile range [IQR]) follow-up of 2.4 (1.2-3.7) years. Up to 2014,
patients followed a 'pre-2014' AS protocol, which incorporated PB, and
subsequently, according to the 2014 National Institute for Health and Care
Excellence (NICE) guidelines, patients followed a '2014-present' AS protocol that
included mpMRI. We identified four groups of patients within the cohort: 'no
mpMRI and no PB'; 'PB alone'; 'mpMRI +/- TB'; and 'PB and mpMRI +/- TB'. Kaplan
Meier plots and log-rank tests were used to compare groups. RESULTS: Of 445
patients, 132 (30%) discontinued AS and underwent treatment intervention, with a
median (IQR) time to treatment of 1.55 (0.71-2.4) years. The commonest trigger
for treatment was PCa upgrading after mpMRI and TB (43/132 patients, 29%). No
significant difference was observed in the time at which patients receiving a PB
alone or receiving mpMRI +/- TB discontinued AS to undergo treatment (median 1.9
vs 1.33 years; P = 0.747). Considering only those patients who underwent repeat
biopsy, a greater proportion of patients receiving TB after mpMRI discontinued AS
compared with those receiving PB alone (29/66 [44%] vs 32/87 [37%]; P = 0.003).
On average, a single set of repeat biopsies was needed to trigger treatment
regardless of whether this was a PB or TB. CONCLUSIONS: Replacing a systematic PB
with mpMRI +/-TB as part of an AS protocol increased the likelihood of re
classifying patients on AS and identifying men with clinically significant
disease requiring treatment. mpMRI +/-TB as part of AS thereby represents a
significant advance in the oncological safety of the AS protocol.
PMID- 29645345
TI - Comparison of clinical outcome of hepatic arterial infusion chemotherapy and
sorafenib for advanced hepatocellular carcinoma according to macrovascular
invasion and transcatheter arterial chemoembolization refractory status.
AB - BACKGROUND AND AIM: Sorafenib is the standard treatment for patients with
advanced hepatocellular carcinoma (HCC) with distant metastasis, unresectable
HCC, and HCC refractory to transcatheter arterial chemoembolization (TACE) or
with macroscopic vascular invasion (MVI). Also, hepatic arterial infusion
chemotherapy (HAIC) has been used for advanced HCC in Southeast and East Asian
countries. However, clearer information is needed for choosing appropriately
between these therapies. METHODS: The subjects were 391 HAIC and 431 sorafenibs
administered at our hospital and related hospitals. In this case, cases that
satisfy the following three conditions were targeted: (i) no extrahepatic
metastasis, (ii) Child-Pugh A, and (ii) not having received treatment of both
HAIC and sorafenib during the course. As a result, 150 cases of HAIC and 134
cases of sorafenib were analyzed this time. RESULTS: Univariate and multivariate
analyses were performed for the HAIC and sorafenib groups. TACE refractory status
and MVI were factors contributing to overall survival (OS). Therefore, this study
divided all cases according to those variables. The median survival time of MVI
positive and non-TACE refractory cases was significantly better with HAIC (13
months) versus sorafenib (6 months). However, in MVI-negative and TACE refractory
cases, the median survival time of HAIC (8 months) was significantly poorer than
for sorafenib (20 months). CONCLUSION: Transcatheter arterial chemoembolization
refractory status with HAIC and MVI with sorafenib were factors for poor
prognosis. In particular, HAIC was significantly better than sorafenib as primary
treatment in MVI and non-TACE refractory cases. It is necessary to consider these
factors in treatment selection.
PMID- 29645348
TI - Retzius-sparing robot-assisted radical prostatectomy using the Revo-i robotic
surgical system: surgical technique and results of the first human trial.
AB - OBJECTIVE: To evaluate the safety and proficiency of the Revo-i(r) robotic
platform (Meere Company Inc.) in the treatment of prostate cancer (PCa). PATIENTS
AND METHODS: A prospective study was carried out on 17 patients with clinically
localized PCa treated between 17 August 2016 and 23 February 2017 at our urology
department using the Revo-i. Patients underwent Retzius-sparing robot-assisted
radical prostatectomy (RS-RARP). The primary objective was to describe the RS
RARP step-by-step surgical technique using the Revo-i. In addition, the safety of
the Revo-i was assessed according to intra-operative and the postoperative
complications within 30 days of surgery. Early oncological outcomes were also
assessed according to surgical margin status and biochemical recurrence (BCR).
Continence was defined as use of no or only one pad. Surgeons' satisfaction with
the Revo-i was assessed using the Likert scale. RESULTS: All surgeries were
completed successfully, with no conversion to open or laparoscopic surgery. The
median patient age was 72 years. The median docking time, console time,
urethrovesical anastomosis time and estimated blood loss were 8 min, 92 min, 26
min and 200 mL, respectively. One patient was transfused intra-operatively as a
result of blood loss of 1 500 mL. Postoperatively, two patients received blood
transfusion, and there were no other serious/major complications. The median
hospital stay was 4 days. At 3 months, four patients had positive surgical
margins, one patient had BCR, and 15 patients were continent. Most of surgeons
were satisfied with the Revo-i performance. CONCLUSIONS: The first human study
for the treatment of patients with localized PCa using the Revo-i robotic
surgical system was carried out successfully. The peri-operative, early
oncological and continence outcomes are encouraging. Further prospective studies
are warranted to support our preliminary results.
PMID- 29645349
TI - Endoscopic and external approaches for orbital decompression: an analysis of
trends from a U.S. perspective.
AB - BACKGROUND: Although the endoscopic approach has been increasingly utilized for a
variety of sinonasal and skull base pathologies, there has been little inquiry
into its adoption in the surgical management of orbital disease. Our objective
was to evaluate nationwide temporal and geographic trends in approaches for
orbital decompression. METHODS: Data available from the Centers for Medicare and
Medicaid Services (CMS) were evaluated, focusing on the use of open and
endoscopic approaches for orbital decompression (CPT codes 67414, 67445, 31292,
and 31293) among Medicare beneficiaries over a 10-year period. Regional data were
also analyzed. RESULTS: There were 8047 orbital decompressions billed to Medicare
from 2007 to 2016. The number of external and endoscopic approaches increased by
73.0% and 29.2%, respectively, while the number of Medicare beneficiaries
increased by 29.1%. Endoscopic decompression represented 23.5% of Medicare-billed
orbital decompressions in 2016 (221 of 939), down from 29.2% in 2007 (171 of
586). The South had the greatest proportion of decompressions utilizing an
endoscopic approach (30.2%). CONCLUSION: There has not been a clear movement
toward the endoscopic approach for orbital decompression, with modest growth when
compared with external approaches. Potential explanations include the specialty
exclusive nature of approaches, as well as a lack of consensus; the latter idea
is further reinforced by geographic variation. High-quality prospective trials
may clarify the role of endoscopic approaches in these patients.
PMID- 29645351
TI - A Proteomics Approach to Identify Candidate Proteins Secreted by Muller Glia that
Protect Ganglion Cells in the Retina.
AB - The retinal Muller glial cells, can enhance the survival and activity of neurons,
especially of retinal ganglion cells (RGCs), which are the neurons affected in
diseases such as glaucoma, diabetes, and retinal ischemia. It has been
demonstrated that Muller glia release neurotrophic factors that support RGC
survival, yet many of these factors remain to be elucidated. To define these
neurotrophic factors, a quantitative proteomic approach was adopted aiming at
identifying neuroprotective proteins. First, the conditioned medium from porcine
Muller cells cultured in vitro under three different conditions were isolated and
these conditioned media were tested for their capacity to promote survival of
primary adult RGCs in culture. Mass spectrometry was used to identify and
quantify proteins in the conditioned medium, and osteopontin (SPP1), clusterin
(CLU), and basigin (BSG) were selected as candidate neuroprotective factors. SPP1
and BSG significantly enhance RGC survival in vitro, indicating that the survival
promoting activity of the Muller cell secretome is multifactorial, and that SPP1
and BSG contribute to this activity. Thus, the quantitative proteomics strategy
identify proteins secreted by Muller glia that are potentially novel
neuroprotectants, and it may also serve to identify other bioactive proteins or
molecular markers.
PMID- 29645350
TI - Degradation of altered mitochondria by autophagy is impaired in Lafora disease.
AB - Lafora disease (LD) is a fatal neurodegenerative disorder caused mostly by
mutations in either of two genes encoding laforin and malin. LD is characterized
by accumulation of a poorly branched form of glycogen in the cytoplasm of neurons
and other cells. We previously reported dysfunctional mitochondria in different
LD models. Now, using mitochondrial uncouplers and respiratory chain inhibitors,
we have investigated with human fibroblasts a possible alteration in the
selective degradation of damaged mitochondria (mitophagy) in LD. By flow
cytometry of MitoTracker-labelled cells and measuring the levels of various
mitochondrial proteins by western blot, we found in LD fibroblasts a partial
impairment in the increased mitochondrial degradation produced by these
treatments. In addition, colocalization of mitochondrial and lysosomal markers
decreased in LD fibroblasts. All these results are consistent with a partial
impairment in the induced autophagic degradation of dysfunctional mitochondria in
LD fibroblasts. However, canonical recruitment of Parkin to mitochondria under
these conditions remained unaffected in LD fibroblasts, and also in SH-SY5Y cells
after malin and laforin overexpression. Neither mitochondrial localization nor
protein levels of Bcl-2-like protein 13, another component of the mitophagic
machinery that operates under these conditions, were affected in LD fibroblasts.
In contrast, although these treatments raised autophagy in both control and LD
fibroblasts, this enhanced autophagy was clearly lower in the latter cells.
Therefore, the autophagic degradation of altered mitochondria is impaired in LD,
which is due to a partial defect in the autophagic response and not in the
canonical mitophagy signalling pathways.
PMID- 29645352
TI - Efficacy and safety of prostate artery embolization for benign prostatic
hyperplasia: an observational study and propensity-matched comparison with
transurethral resection of the prostate (the UK-ROPE study).
AB - OBJECTIVES: To assess the efficacy and safety of prostate artery embolization
(PAE) for lower urinary tract symptoms (LUTS) secondary to benign prostatic
hyperplasia (BPH) and to conduct an indirect comparison of PAE with transurethral
resection of the prostate (TURP). PATIENTS AND METHODS: As a joint initiative
between the British Society of Interventional Radiologists, the British
Association of Urological Surgeons and the National Institute for Health and Care
Excellence, we conducted the UK Register of Prostate Embolization (UK-ROPE)
study, which recruited 305 patients across 17 UK urological/interventional
radiology centres, 216 of whom underwent PAE and 89 of whom underwent TURP. The
primary outcomes were International Prostate Symptom Score (IPSS) improvement in
the PAE group at 12 months post-procedure, and complication data post-PAE. We
also aimed to compare IPSS score improvements between the PAE and TURP groups,
using non-inferiority analysis on propensity-score-matched patient pairs. The
clinical results and urological measurements were performed at clinical sites.
IPSS and other questionnaire-based results were mailed by patients directly to
the trial unit managing the study. All data were uploaded centrally to the UK
ROPE study database. RESULTS: The results showed that PAE was clinically
effective, producing a median 10-point IPSS improvement from baseline at 12
months post-procedure. PAE did not appear to be as effective as TURP, which
produced a median 15-point IPSS score improvement at 12 months post-procedure.
These findings are further supported by the propensity score analysis, in which
we formed 65 closely matched pairs of patients who underwent PAE and patients who
underwent TURP. In terms of IPSS and quality-of-life (QoL) improvement, there was
no evidence of PAE being non-inferior to TURP. Patients in the PAE group had a
statistically significant improvement in maximum urinary flow rate and prostate
volume reduction at 12 months post-procedure. PAE had a reoperation rate of 5%
before 12 months and 15% after 12 months (20% total rate), and a low complication
rate. Of 216 patients, one had sepsis, one required a blood transfusion, four had
local arterial dissection and four had a groin haematoma. Two patients had non
target embolization that presented as self-limiting penile ulcers. Additional
patient-reported outcomes, pain levels and return to normal activities were very
encouraging for PAE. Seventy-one percent of PAE cases were performed as
outpatient or day cases. In contrast, 80% of TURP cases required at least 1 night
of hospital stay, and the majority required 2 nights. CONCLUSION: Our results
indicate that PAE provides a clinically and statistically significant improvement
in symptoms and QoL, although some of these improvements were greater in the TURP
arm. The safety profile and quicker return to normal activities may be seen as
highly beneficial by patients considering PAE as an alternative treatment to
TURP, with the concomitant advantages of reduced length of hospital stay and need
for admission after PAE. PAE is an advanced embolization technique demanding a
high level of expertise, and should be performed by experienced interventional
radiologists who have been trained and proctored appropriately. The use of cone
beam computed tomography is encouraged to improve operator confidence and
minimize non-target embolizations. The place of PAE in the care pathway is
between that of drugs and surgery, allowing the clinician to tailor treatment to
individual patients' symptoms, requirements and anatomical variation.
PMID- 29645353
TI - Discovering genes responsible for silk synthesis in Bombyx mori by piggyBac-based
random insertional mutagenesis.
AB - Silkworm mutants are valuable resources for both transgenic breeding and gene
discovery. PiggyBac-based random insertional mutagenesis has been widely used in
gene functional studies. In order to discover genes involved in silk synthesis, a
piggyBac-based random insertional library was constructed using Bombyx mori, and
the mutants with abnormal cocoon were particularly screened. By this means, a
"thin cocoon" mutant was identified. This mutant revealed thinner cocoon shell
and shorter posterior silk gland (PSG) compared with the wild type. The messenger
RNA (mRNA) levels of all the three fibroin genes, including Fib-H, Fib-L and P25,
were significantly down-regulated in the PSG of mutants. Four piggyBac insertion
sites were identified in Aquaporin (AQP), Longitudinals lacking protein-like
(Lola), Glutamyl aminopeptidase-like (GluAP) and Loc101744460. The mRNA levels of
all the four genes were significantly altered in the silk gland of mutants. In
particular, the mRNA amount of AQP, a gene responsible for the regulation of
osmotic pressure, decreased dramatically immediately prior to the spinning stage
in the anterior silk gland of mutants. The identification of the genes disrupted
in the "thin cocoon" mutant in this study provided useful information for
understanding silk production and transgenic breeding of silkworms in the future.
PMID- 29645354
TI - The price of pain in chronic rhinosinusitis.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) is associated with productivity losses
exceeding US$13 billion annually. Although pain is well known to significantly
affect patient productivity in other diseases, its economic impact on CRS-related
lost productivity has not been examined. The objective of this study was to
determine whether CRS-related facial pain correlates with lost productivity in
patients with CRS. METHODS: Seventy patients with CRS were enrolled in a cross
sectional investigation. Patients with a history of systemic inflammatory
disease, ciliary dysfunction, chronic pain syndromes, migraines, and fibromyalgia
were excluded. Pain was measured using the Brief Pain Inventory Short Form (BPI
SF) and the Short-Form McGill Pain Questionnaire (SF-MPQ). Presenteeism,
absenteeism and lost work, and household and overall productivity were assessed.
Regression analysis was performed to assess potential confounders, including
depression. RESULTS: Pain as measured with BPI-SF and SF-MPQ total scores
correlated with all domains of productivity losses. Overall, lost productivity
was significantly correlated with pain (R range, 0.354-0.485; p < 0.001).
Presenteeism (reduced work efficiency) had the highest correlation with all of
the overall pain scores (R range, -0.366 to -0.515; p < 0.001). Lost household
productivity time was the least affected by pain (R range, 0.267-0.389; p <
0.05). These correlations remained statistically significant after regression
analysis, which accounted for depression (p < 0.05). CONCLUSION: A significant
correlation exists between CRS-related facial pain and productivity losses in
patients with CRS that is independent of depression. Facial pain has the
strongest correlation with presenteeism, which is the main driver of productivity
losses and indirect costs associated with CRS.
PMID- 29645355
TI - European experience of robot-assisted kidney transplantation: minimum of 1-year
follow-up.
AB - OBJECTIVES: To evaluate functional results, graft survival and late complications
in patients who underwent robot-assisted kidney transplantation (RAKT) and who
had a minimum of 1 year of follow-up data, and to analyse the correlations
between surgical data and functional results at a minimum of 1-year
postoperatively and between renal function in the immediate postoperative period
and after 1 year. MATERIALS AND METHODS: A common prospectively collected RAKT
database was created by the European Robotic Urological Section (ERUS) RAKT
working group, which included eight different European centres. In each centre
RAKTs were performed with kidneys from living donors. Data on demographic
variables, surgical results, graft survival, functional outcomes (creatinine and
estimated glomerular filtration rate [eGFR]) on postoperative days 7 and 30 and
at 1 year, and late complications were extracted from the common database.
RESULTS: A total of 147 RAKTs were performed by the ERUS RAKT working group. Of
the 147 patients, 83 had at least 1-year follow-up (mean [range] 21 [13-27]
months). Of these 83 patients, 30 were women. The patients' median (range) age
was 43 (30-75) years, body mass index was 25.3 (20-40) kg/m2 , pre
transplantation serum creatinine was 517 (198-1 414) MUmol/L and estimated GFR
(eGFR) was 10 (3-29) mL/min per 1.73 m2 . Of the 83 cases, 46 were pre-emptive.
The median (range) overall ischaemia time was 116 (53-377) min. The median
(range) rewarming time was 60 (35-110) min. At 1-year follow-up, the median
(range) serum creatinine was 131 (66-244) MUmol/L, with a median (range) eGFR of
57.4 (28-97) mL/min per 1.73 m2 . There was no statistically significant
difference between functional data at postoperative day 30 and those at 1 year
for creatinine (P = 0.78) or eGFR (P = 0.91). Regarding the correlation between
the surgical data and the functional outcomes, the data showed that overall
operating time and rewarming time did not affect the graft function at 1 year.
Three cases of graft loss occurred as a result of massive arterial thrombosis
within the first postoperative week. Late complications comprised one case of
ureteric stenosis and one case of graft pyelonephritis. No late vascular
complications or cases of incisional hernia were recorded. CONCLUSION: Findings
at 1-year follow-up indicate RAKT from a living donor to be a safe procedure in a
properly selected group of recipients. RAKT was associated with a low
complication rate and there was maintenance of excellent graft survival and
function. This is the first and largest study to report functional results after
RAKT from a living donor with a minimum follow-up of 1 year.
PMID- 29645357
TI - Triphenylamine-Appended Half-Sandwich Iridium(III) Complexes and Their Biological
Applications.
AB - Organometallic half-sandwich IrIII complexes of the type [(eta5 -Cpx
)Ir(N^N)Cl]PF6 (Cpx : Cp* or its phenyl Cpxph or biphenyl Cpxbiph derivatives;
N^N: triphenylamine (TPA)-substituted bipyridyl ligand groups) were synthesized
and characterized. The complexes showed excellent bovine serum albumin (BSA) and
DNA binding properties and were able to oxidize NADH to NAD+ (NAD=nicotinamide
adenine dinucleotide) efficiently. The complexes induced apoptosis effectively
and led to the emergence of reactive oxygen species (ROS) in cells. All complexes
showed potent cytotoxicity with IC50 values ranging from 1.5 to 7.1 MUm toward
A549 human lung cancer cells after 24 hours of drug exposure, which is up to 14
times more potent than cisplatin under the same conditions.
PMID- 29645358
TI - Co/CoOx Nanoparticles Embedded on Carbon for Efficient Catalysis of Oxygen
Evolution and Oxygen Reduction Reactions.
AB - The oxygen evolution reaction (OER) and oxygen reduction reaction (ORR) are
important electrochemical reactions to realize clean energy technologies. Herein,
we prepared a hybrid electrocatalyst consisting of Co/CoOx nanoparticles embedded
in amorphous carbon through the simple pyrolysis of cobalt-based zeolitic
terephthalate frameworks. The pyrolysis temperature significantly influenced the
structure morphology and catalytic behavior. Good contact between Co/CoOx and
amorphous carbon resulted in a high catalytic efficiency. The hybrid obtained
under pyrolysis temperature of 600 degrees C exhibited the highest performance
for OER, offering a stable current density of 10 mA cm-2 at 277 mV in basic
media. Besides good OER behavior, it also showed good ORR performance [onset
potential: ~0.87 V vs. the reversible hydrogen electrode (RHE), diffusion
limiting current density: ~4.9 mA cm-2 ]. This work describes a novel and
efficient catalyst, and greatly expands the scope of low-cost Co-based
electrocatalysts for various electrochemical reactions without the need for N
containing ligands.
PMID- 29645359
TI - Intake of Fatty Fish Alters the Size and the Concentration of Lipid Components of
HDL Particles and Camelina Sativa Oil Decreases IDL Particle Concentration in
Subjects with Impaired Glucose Metabolism.
AB - SCOPE: Intake of long-chain n-3 PUFAs affects the lipoprotein subclass profile,
whereas the effect of shorter chain n-3 PUFAs remains unclear. We investigated
the effect of fish and camelina sativa oil (CSO) intakes on lipoprotein
subclasses. METHODS AND RESULTS: Altogether, 79 volunteers with impaired glucose
metabolism were randomly assigned to CSO, fatty fish (FF), lean fish (LF), or
control group for 12 weeks. Nuclear magnetic resonance spectroscopy was used to
determine lipoprotein subclasses and their lipid components. The average HDL
particle size increased in the FF group (overall p = 0.032) as compared with the
control group. Serum concentrations of cholesterol in HDL and HDL2 (overall p =
0.024 and p = 0.021, respectively) and total lipids and phospholipids in large
HDL particles (overall p = 0.012 and p = 0.019, respectively) increased in the FF
group, differing significantly from the LF group. The concentration of
intermediate-density lipoprotein (IDL) particles decreased in the CSO group
(overall p = 0.033) as compared with the LF group. CONCLUSION: Our study suggests
that FF intake causes a shift toward larger HDL particles and increases the
concentration of lipid components in HDL, which may be associated with the
antiatherogenic properties of HDL. Furthermore, CSO intake decreases IDL particle
concentration. These changes may favorably affect cardiovascular risk.
PMID- 29645360
TI - An Active Palladium Colloidal Catalyst for the Selective Oxidative Heterocoupling
of (Hetero)Aryl Boronic Acids.
AB - A highly selective oxidative heterocoupling protocol for (hetero)aryl boronic
acids with an active palladium colloidal catalyst was developed. The judicious
choice of electronically different aryl boronic acids made possible such
couplings under mild conditions, with air as oxidant, while embracing a wide
substrate scope. This successful approach further allowed the development of a
unique one-pot sequential oxidative heterocoupling/Suzuki-Miyaura cross-coupling
tandem process for accessing substituted terphenyls.
PMID- 29645361
TI - Perioperative analgesia for patients undergoing endoscopic sinus surgery: an
evidence-based review.
AB - BACKGROUND: Misuse and diversion of prescription opioids have been critical in
facilitating the opioid epidemic. Our objective was to perform a systematic
evidence-based review delineating perioperative regimens (including opioid
alternatives) evaluated for endoscopic sinus surgery. METHODS: PubMed/MEDLINE,
Cochrane Library, and EmBase databases were evaluated for studies detailing
analgesics employed after endoscopic sinus surgery. Studies were assessed for
level of evidence. Bias risk was evaluated using the Cochrane Bias tool and GRADE
criteria. Medication, administration, adverse effects, pain scores, and rescue
analgesic consumption were evaluated. A summary of evidence detailing benefits,
harm, and cost was prepared. RESULTS: Thirty-two studies encompassing 1812
patients were included. The GRADE criteria determined the overall evidence to be
of moderate quality. Perioperative acetaminophen had few adverse events and
reduced immediate need for opioid rescue after sinus surgery; studies evaluating
acetaminophen demonstrate a preponderance of benefit over harm. Nonsteroidal anti
inflammatory drugs (NSAIDs) also reduce postoperative opioid consumption,
although a small portion of patients undergoing sinus surgery harbor the
potential for NSAID intolerance. The aggregate level of evidence for studies
evaluating NSAIDs was grade A, whereas the aggregate grade of evidence for
several other agents was grade B. CONCLUSION: There is evidence supporting the
use of NSAIDs and gabapentin for the control of pain after endoscopic sinus
surgery. Acetaminophen, alpha-agonists, and local anesthetics are also viable
options for postoperative analgesia. Familiarity with these data is essential to
facilitate the use of opioid alternatives. Further large-scale, multi
institutional, randomized trials are needed to provide conclusive recommendations
for these perioperative analgesics.
PMID- 29645362
TI - Structural plasticity of the TDRD3 Tudor domain probed by a fragment screening
hit.
AB - : As a reader of di-methylated arginine on various proteins, such as histone, RNA
polymerase II, PIWI and Fragile X mental retardation protein, the Tudor domain of
Tudor domain-containing protein 3 (TDRD3) mediates transcriptional activation in
nucleus and formation of stress granules in the cytoplasm. Despite the TDRD3
implication in cancer cell proliferation and invasion, warheads to block the di
methylated arginine recognition pocket of the TDRD3 Tudor domain have not yet
been uncovered. Here we identified 14 small molecule hits against the TDRD3 Tudor
domain through NMR fragment-based screening. These hits were further cross
validated by using competitive fluorescence polarization and isothermal titration
calorimetry experiments. The crystal structure of the TDRD3 Tudor domain in
complex with hit 1 reveals a distinct binding mode from the nature substrate. Hit
1 protrudes into the aromatic cage of the TDRD3 Tudor domain, where the aromatic
residues are tilted to accommodate a sandwich-like pi-pi interaction. The side
chain of the conserved residue N596 swings away 3.1 A to form a direct hydrogen
bond with hit 1. Moreover, this compound shows a decreased affinity against the
single Tudor domain of survival motor neuron protein, but no detectable binding
to neither the tandem Tudor domain of TP53-binding protein 1 nor the extended
Tudor domain of staphylococcal nuclease domain-containing protein 1. Our work
depicts the structural plasticity of the TDRD3 Tudor domain and paves the way for
the subsequent structure-guided discovery of selective inhibitors targeting Tudor
domains. DATABASE: Structural data are available in the PDB under the accession
number 5YJ8.
PMID- 29645363
TI - Solvent-Mediated Functionalization of Benzofuroxan on Electron-Rich Ruthenium
Complex Platform.
AB - An unprecedented reactivity profile of biochemically relevant R-benzofuroxan
(R=H, Me, Cl), with high structural diversity and molecular complexity on a
selective {Ru(acac)2 } (acac=acetylacetonate) platform, in conjugation with EtOH
solvent mediation, is revealed. This led to the development of monomeric [RuIII
(acac)2 (L1R )] (1 a-1 c; L1R =2-nitrosoanilido derivatives) and dimeric [{RuII
(acac)2 }2 (L2R )] (2 a-2 b; L2R =(1E,2E)-N1 ,N2 -bis(2-nitrosophenyl)ethane-1,2
diimine derivatives) complexes in one pot with a change in the metal redox
conditions. The functionalization of benzofuroxan in 1 and 2 implied in situ
reduction of N=O to NH- in the former and solvent-assisted multiple N-C coupling
in the latter. The aforesaid transformation processes were authenticated through
structural elucidation of representative complexes, and evaluated by their
spectroscopic/electrochemical features, along with C2 D5 OD labeling and
monitoring of the impact of substituents (R) in the benzofuroxan framework on the
product distribution process. The noninnocent potential of newly developed L1 and
L2 in 1 and 2, respectively, was also probed by spectroelectrochemistry in
combination with DFT calculations.
PMID- 29645364
TI - Costs and outcomes of Lynch syndrome screening in the Australian colorectal
cancer population.
AB - BACKGROUND AND AIM: Individuals with Lynch syndrome (LS) are at increased risk of
LS-related cancers including colorectal cancer (CRC). CRC tumor screening for
mismatch repair (MMR) deficiency is recommended in Australia to identify LS,
although its cost-effectiveness has not been assessed. We aim to determine the
cost-effectiveness of screening individuals with CRC for LS at different age-at
diagnosis thresholds. METHODS: We developed a decision analysis model to estimate
yield and costs of LS screening. Age-specific probabilities of LS diagnosis were
based on Australian data. Two CRC tumor screening pathways were assessed (MMR
immunohistochemistry followed by MLH1 methylation (MLH1-Pathway) or BRAF V600E
testing (BRAF-Pathway) if MLH1 expression was lost) for four age-at-diagnosis
thresholds-screening < 50, screening < 60, screening < 70, and universal
screening. RESULTS: Per 1000 CRC cases, screening < 50 identified 5.2 LS cases
and cost $A7041 per case detected in the MLH1-Pathway. Screening < 60 increased
detection by 1.5 cases for an incremental cost of $A25 177 per additional case
detected. Screening < 70 detected 1.6 additional cases at an incremental cost of
$A40 278 per additional case detected. Compared with screening < 70, universal
screening detected no additional LS cases but cost $A158 724 extra. The BRAF
Pathway identified the same number of LS cases for higher costs. CONCLUSIONS: The
MLH1-Pathway is more cost-effective than BRAF-Pathway for all age-at-diagnosis
thresholds. MMR immunohistochemistry tumor screening in individuals diagnosed
with CRC aged < 70 years resulted in higher LS case detection at a reasonable
cost. Further research into the yield of LS screening in CRC patients >= 70 years
is needed to determine if universal screening is justified.
PMID- 29645365
TI - Preprocedural Ultrasound for Infant Lumbar Puncture: A Randomized Clinical Trial.
AB - BACKGROUND: Our purpose was to determine the potential effect of preprocedural
ultrasound (US) to increase lumbar puncture (LP) success compared with standard
palpation method. Further, we assessed feasibility of and clinician satisfaction
with a standardized US protocol. METHODS: This prospective, two-arm, parallel
group randomized trial was conducted in a single-center pediatric emergency
department. We compared preprocedural US versus palpation method on success with
infant LPs. Infants less than 3 months of age requiring LP were enrolled. Sixteen
pediatric emergency medicine physicians with varied US experience were trained to
conduct the USs to mark interspace locations. Primary outcome was successful LP,
defined as obtaining a cerebrospinal fluid (CSF) sample on first attempt with <
1,000 red blood cells per high-powered field (clear CSF). Secondary outcomes
included clear CSF on any attempt, any CSF on the first attempt, traumatic LP
proportion, and LP attempt frequency. Feasibility was assessed by comparing
provider number attempting the LP and procedure duration. Clinician satisfaction
and sonographer perceptions of US acceptability and impact were assessed.
RESULTS: Eighty-one patients consented and 80 were analyzed (99%): 40 per group.
No statistical difference was seen for the primary outcome (p > 0.05) between
intervention and control groups (difference 3%; 95% confidence interval = -19% to
24%). There were no statistical differences between intervention and controls
groups for secondary outcomes including the rate of traumatic LPs, number of
attempts, and the duration of LP procedure. Most sonographers (84%) strongly
agreed or agreed that the US protocol was technically easy to perform, well
tolerated by the patient (94%), well accepted by the family (100%), and well
accepted by the LP procedural clinicians (99%). In the US group, the majority of
clinicians who performed the LPs (68.4%) noted that the preprocedural US
influenced their behavior, most commonly helping with overall visualization at
the selected interspace (28.9%) or prompting a change in interspace (26.3%
higher, 5.3% lower). Seventy-seven percent agreed or strongly agreed that they
would like to use the technique again for their next LP. The mean US duration was
4.6 minutes. CONCLUSIONS: Preprocedural US by did not improve the rates of first
attempt success when compared with palpation method. Our results suggest that US
is feasible and well accepted, with a perceptible impact on care.
PMID- 29645366
TI - Oxygen Vacancies in ZnO Nanosheets Enhance CO2 Electrochemical Reduction to CO.
AB - As electron transfer to CO2 is generally considered to be the critical step
during the activation of CO2 , it is important to develop approaches to engineer
the electronic properties of catalysts to improve their performance in CO2
electrochemical reduction. Herein, we developed an efficient strategy to
facilitate CO2 activation by introducing oxygen vacancies into electrocatalysts
with electronic-rich surface. ZnO nanosheets rich in oxygen vacancies exhibited a
current density of -16.1 mA cm-2 with a Faradaic efficiency of 83 % for CO
production. Based on density functional theory (DFT) calculations, the
introduction of oxygen vacancies increased the charge density of ZnO around the
valence band maximum, resulting in the enhanced activation of CO2 . Mechanistic
studies further revealed that the enhancement of CO production by introducing
oxygen vacancies into ZnO nanosheets originated from the increased binding
strength of CO2 and the eased CO2 activation.
PMID- 29645367
TI - Salivary functions in mastication, taste and textural perception, swallowing and
initial digestion.
AB - Saliva exerts multiple functions in relation to the initial digestive processes
taking place in the upper parts of the gastrointestinal tract. Ingestion of food
and beverages, in turn, is a strong stimulus for secretion of saliva with a
differential composition depending on the neuronal stimulation pattern. This
review paper provides insight into the mechanisms by which saliva acts in
relation to taste, mastication, bolus formation, enzymatic digestion and
swallowing. Also, the protective functions of saliva including maintenance of
dental and mucosal integrity will be discussed as they indirectly influence the
digestive process. The final part of this study focuses on the implications of
xerostomia and salivary gland dysfunction on gastrointestinal functions.
PMID- 29645368
TI - Kutanes Pseudolymphom nach quadrivalenter HPV-Impfung - eine seltene
Nebenwirkung.
PMID- 29645369
TI - Patientenzufriedenheit von 1827 Patienten nach verschiedenen
Rekonstruktionsmethoden im Gesichtsbereich in Abhangigkeit von Alter,
Defektgrobetae und Lokalisation.
AB - HINTERGRUND: Nach Tumoroperationen erfolgt ein Defektverschluss mit den fur den
individuellen Fall optimalen chirurgischen Methoden. Besonders im Kopf-Hals-
Bereich ist das Ergebnis sehr wichtig. Ziel der retrospektiven Studie war es,
Rekonstruktionsmethoden von Defekten entsprechend Alter, Lokalisation und
Grobetae nach funktionellen und asthetischen Gesichtspunkten zu vergleichen.
PATIENTEN UND METHODIK: Die betroffenen Patienten wurden mittels Fragebogen
angeschrieben. 1827 Patienten (Alter von 18 bis 92 Jahren, Median: 56 Jahre)
beurteilten postoperative Probleme, asthetisches sowie funktionelles Ergebnis und
das Gesamtresultat. Aus der Patientenakte wurden Grobetae und Lokalisation des
Defektes sowie die Art des Verschlusses dokumentiert. ERGEBNISSE: Die
Dehnungslappenplastik erhielt bezuglich des Gesamtresultats mit einer sehr guten
oder guten Bewertung (86 %) das beste Ergebnis. Lappenplastiken und
Hauttransplantate wurden schlechter beurteilt. Postoperative Beschwerden traten
signifikant haufiger nach lokalen Lappenplastiken auf. Die Sichtbarkeit der Narbe
wurde von alteren Patienten bei allen Defektgrobetaen (< 150 mm2 , 150-300 mm2 ,
> 300 mm2 ) geringer beurteilt als von jungeren. Narben in der zentralen
Gesichtspartie wurden als sichtbarer wahrgenommen. Geschlecht und Rauchen hatten
keinen Einfluss. SCHLUSSFOLGERUNGEN: Narben in zentralen Gesichtsregionen werden
starker wahrgenommen. Altere Patienten beurteilten die Narbenbildung insgesamt
als unauffalliger. Dehnungslappenplastiken, auch unter Wundrandspannung, fuhren
zu sehr guten Ergebnissen und einer hohen Patientenzufriedenheit.
PMID- 29645370
TI - Der subkutan gestielte retroaurikulare Insellappen zur Rekonstruktion von
Defekten des Cavum conchae.
PMID- 29645371
TI - Kongresskalender 2018.
PMID- 29645372
TI - 3rd Science Days of the Austrian Society of Dermatology and Venereology - OGDV
Forschungstage.
PMID- 29645374
TI - Multibazillare Lepra mit dem Erscheinungsbild einer Sinusitis und eines
chronischen, persistierenden Angioodems.
PMID- 29645375
TI - Nutzen von Mepolizumab bei einer Patientin mit chronischer spontaner Urtikaria.
PMID- 29645376
TI - Trendveranderungen bei Auslosern des fixen Arzneimittelexanthems: eine 20-jahrige
Querschnittsstudie in der Turkei.
PMID- 29645377
TI - Auf dem Weg zu "actionable Health Information": Das wachsende Arsenal der
Labordiagnostik bei Krebserkrankungen.
PMID- 29645378
TI - Berufsbedingter subakut-kutaner Lupus erythematodes durch Aubetaentatigkeit.
PMID- 29645379
TI - Samtig-weiche hyperelastische Haut bei einem jungen Patienten.
PMID- 29645380
TI - S1 Guidelines - Dermatoses associated with dermal lymphostasis.
AB - The objective of the present S1 guidelines is to present current knowledge about
dermatologically relevant diseases associated with localized dermal lymphostasis,
thus facilitating their early detection, diagnostic workup, and targeted
treatment. Whenever possible, treatment should be based on stage-appropriate and
clearly defined algorithms. The numerous issues regarding differential diagnosis
and treatment clinicians are confronted with in everyday clinical practice seem
to warrant the publication of up-to-date guidelines. These guidelines focus on
patients of all age groups and genders exhibiting skin lesions caused by dermal
lymphostasis. Specific recommendations are provided with respect to the diagnosis
and differential diagnosis of the various clinical manifestations. In this
context, comorbid skin diseases such as atopic dermatitis, psoriasis,
hidradenitis suppurativa, urticaria, and contact dermatitis will be highlighted,
including their treatment and associated specific risks. Several other relevant
current guidelines are referenced as regards the distinction from and treatment
of common cofactors and comorbid conditions.
PMID- 29645381
TI - Anulares paraneoplastisches bulloses Pemphigoid imitiert lineare IgA-Dermatose
bei einem 40-jahrigen Patienten.
PMID- 29645382
TI - Die Geschichte von den beiden Zungenhalften.
PMID- 29645383
TI - Exanthem, Fieber und Arthralgien bei einer 19-jahrigen Patientin.
PMID- 29645384
TI - Liquid Biopsy zur Uberwachung von Melanompatienten.
AB - In den letzten sechs Jahren wurden verschiedene innovative systemische Therapien
zur Behandlung des metastasierten malignen Melanoms (MM) entwickelt. Die
konventionelle Chemotherapie wurde durch neuartige Primartherapien abgelost,
darunter systemische Immuntherapien (Anti-CTLA4- und Anti-PD1-Antikorper;
Zulassung von Anti-PDL1-Antikorpern erwartet) und Therapien, die gegen bestimmte
Mutationen gerichtet sind (BRAF, NRAS und c-KIT). Daher stehen die behandelnden
Arzte neuen Herausforderungen gegenuber, beispielsweise der Stratifizierung von
Patienten fur geeignete Behandlungen und der Uberwachung von Langzeit-Respondern
auf Progression. Folglich werden zuverlassige Methoden zur Uberwachung von
Krankheitsprogression oder Behandlungsresistenz benotigt. Lokalisierte und
fortgeschrittene Krebserkrankungen konnen zur Bildung zirkulierender Tumorzellen
und Tumor-DNA (ctDNA) fuhren, die sich in Proben von peripherem Blut nachweisen
und quantifizieren lassen (Liquid Biopsy). Im Fall von Melanompatienten konnen
die Ergebnisse von Liquid Biopsy als neuartige pradiktive Biomarker bei
therapeutischen Entscheidungen hilfreich sein, insbesondere im Zusammenhang mit
mutationsbasierten zielgerichteten Therapien. Die Herausforderungen bei der
Anwendung der Liquid Biopsy beinhalten strikte Kriterien fur den Phanotyp der
zirkulierenden MM-Zellen oder ihrer Fragmente und die Instabilitat von ctDNA im
Blut. In diesem Ubersichtsartikel diskutieren wir die Beschrankungen der Liquid
Biopsy hinsichtlich ihrer Anwendung in der Routinediagnostik.
PMID- 29645387
TI - Nicht IgE-vermittelte Hypersensitivitat auf Macrogol 6000.
PMID- 29645385
TI - S1-Leitlinie - Dermatosen bei dermaler Lymphostase.
AB - Das Ziel dieser S1-Leitlinie ist es, aktuelles Wissen uber dermatologisch
relevante Krankheitsbilder bei lokal begrenzter dermaler Lymphostase an allen
Lokalisationen des Hautorgans zu vermitteln, um diese fruhzeitig zu erkennen,
diagnostisch zu sichern und gezielt zu behandeln. Wann immer moglich, sollte
diese Therapie anhand klar definierter Algorithmen stadiengerecht erfolgen. Die
im klinischen Alltag haufig auftauchenden differenzialdiagnostischen und
therapeutischen Fragen lassen eine aktuelle Leitlinie notwendig erscheinen. Diese
Leitlinie fokussiert auf Patienten jeglichen Alters und Geschlechts mit
Hautveranderungen, die im kausalen Zusammenhang mit dermaler Lymphostase stehen.
In konkreten Handlungsempfehlungen werden die Diagnostik und
Differenzialdiagnostik der verschiedenen Manifestationsformen bei gleichzeitig
auftretender dermatologischer Komorbiditat wie beispielsweise atopische
Dermatitis, Psoriasis vulgaris, Acne inversa, Urtikaria, Kontaktekzeme sowie ihre
Therapien unter Berucksichtigung besonderer Risiken veranschaulicht. Fur die
Abgrenzung und Behandlung haufiger therapierelevanter Kofaktoren und Komorbiditat
wird auf mehrere andere hierfur relevante aktuelle Leitlinien verwiesen.
PMID- 29645388
TI - Schmerzhafte gruppierte erythematose Papeln in segmentaler Anordnung.
PMID- 29645389
TI - Perichondritis am Ohr eines afghanischen Immigranten.
PMID- 29645390
TI - OGDV Preistrager stellen sich vor: Der Osterreichische Dermatologenpreis -
Unilever Preis 2017 ging an Dr. Thomas Kocher aus Salzburg.
PMID- 29645391
TI - OGDV Preistrager stellen sich vor: Der Wissenschaftspreis der OGDV 2017 ging an
Dr. rer. nat. Stefan Hainzl und Dr. rer. nat. Patricia Peking aus Salzburg.
PMID- 29645392
TI - Patient satisfaction in 1,827 patients following various methods of facial
reconstruction based on age, defect size and site.
AB - BACKGROUND: Defect coverage following tumor excision requires a case-by-case
decision as regards the optimal reconstruction technique. In the head and neck
region in particular, the cosmetic outcome is of major importance. The objective
of the present study was to compare various reconstruction methods in terms of
their functional and aesthetic outcome based on patient age, defect size and
site. PATIENTS AND METHODS: Overall, 1,827 patients (age: 18-92 years, median
age: 56 years) were contacted. Using a standardized questionnaire, they were
asked to assess postoperative complications, cosmetic and functional outcome, and
the overall result of the surgical procedure. In addition, patient records were
used to collect data on defect size and site as well as the type of surgical
procedure employed. RESULTS: Rated as very good or good (86 %), defect closure by
advancement flaps received the highest scores in terms of overall result. Other
flaps and skin grafts were rated less favorably. Postoperative complications were
significantly more common after local flaps. Irrespective of defect size (< 150
mm2 , 150-300 mm2 , > 300 mm2 ), older patients considered the visibility of the
scar to be less prominent than younger individuals. Scars in the central facial
region were perceived to be more visible. Gender and smoking habits had no impact
on the results of the survey. CONCLUSIONS: Scars in the central facial region
were perceived to be more prominent. Overall, older individuals considered their
scars to be less conspicuous. Even though they are initially associated with
greater tension, advancement flaps resulted in very good aesthetic and functional
results and a high level of patient satisfaction.
PMID- 29645393
TI - Vernarbende Alopezien.
AB - Primar vernarbende Alopezien (PVA) werden nach der Klassifikation der North
American Hair Research Society nach ihrem prominenten entzundlichen Infiltrat in
vier Gruppen eingeteilt: PVA mit lymphozytarem, neutrophilem, gemischtzelligem
oder unspezifischem Entzundungsmuster. Der Haarausfall kann subklinisch beginnen
und langsam fortschreiten, so dass der genaue Erkrankungsbeginn oft schwer
nachzuvollziehen ist. Die Diagnose wird haufig verzogert gestellt. Wahrend die
meisten vernarbenden Alopezien bei vollstandiger Auspragung anhand des klinischen
Bildes klar zugeordnet werden konnen, ist die Diagnosestellung in der Fruhphase
oder im Endstadium haufig schwierig. Bei Erstvorstellung sollte eine ausfuhrliche
Anamnese und dermatologische Ganzkorperuntersuchung, inklusive Trichoskopie
durchgefuhrt werden. In klinisch unklaren Fallen sollte eine Biopsie erfolgen.
Aufgrund der Seltenheit der PVA gibt es bisher nur eine niedrige Evidenz uber die
Wirksamkeiten der Vielzahl der verschiedenen angewandten Therapien. Ziele der
Therapie einer PVA sind, den Haarausfall zu stoppen oder zumindest zu verzogern,
die klinischen Entzundungszeichen zu reduzieren, weitere Vernarbung zu verhindern
sowie die subjektiven Symptome zu lindern. Ein Nachwachsen in bereits vernarbten
Arealen sollte nicht erwartet werden. Eine antientzundliche Therapie mit
topischen Kortikosteroiden der Klasse III-IV und/oder mit intrakutanen
intralasionalen Triamcinolonacetonid-Injektionen kommt bei den meisten PVA in
Betracht. Die Wahl der systemischen Therapie hangt von der Art des
pradominierenden entzundlichen Infiltrates ab und umfasst
antimikrobielle/antibiotische oder immunmodulatorische/immunsuppressive Ansatze.
Psychologische Unterstutzung und Camouflage-Techniken sollten den Patienten
angeboten werden.
PMID- 29645394
TI - Cicatricial alopecia.
AB - In the classification of the North American Hair Research Society, primary
cicatricial alopecias (PCA) are divided into four groups according to their
prominent inflammatory infiltrate: PCAs with lymphocytic, neutrophilic, mixed or
nonspecific cell inflammation pattern. The hair loss can begin subclinically and
progress slowly so that the exact onset of the disease is often difficult to
determine. The diagnosis is often delayed. While most forms of cicatricial
alopecia can be clearly diagnosed based on clinical presentation in the acute
disease stage, diagnosis can be challenging in the subacute, early or late
disease stages. At first presentation, a detailed patient history and
dermatological examination of the body, including trichoscopy, should be
performed. In clinically unclear cases, a biopsy should be performed. Due to the
scarcity of primary cicatricial alopecia, there is little evidence on the
efficacy of the various therapies. The aims of treatment are to stop or at least
delay hair loss and progression of the scarring process, reduce clinical
inflammation signs as well as to alleviate subjective symptoms. Hair re-growth in
already scarred areas should not be expected. Anti-inflammatory treatment with
topical corticosteroids class III to IV and / or with intracutaneous
intralesional triamcinolone acetonide injections can be considered in most of the
primary cicatricial alopecias. The choice of systemic therapy depends on the type
of predominant inflammatory infiltrate and includes antimicrobial, antibiotic or
immunomodulating/immunosuppressive agents. Psychological support and camouflage
techniques should be offered to the patients.
PMID- 29645395
TI - Tattooentfernung mit Laser: Gibt es bereits Pikosekundenlaser?
PMID- 29645396
TI - Die Wirksamkeit einer neuen Nagelspange fur die Behandlung von eingewachsenen
Nageln.
AB - HINTERGRUND UND ZIELE: Die Behandlung kompliziert eingewachsener Zehennagel ist
eine Domane der Chirurgie. Allerdings liegen Berichte vor, nach denen alternative
Therapieformen mit Nagelspangen eine gute Wirksamkeit zeigen. Ziel der
vorliegenden Studie war es, die Wirksamkeit einer neuen Nagelspange zur
Behandlung eingewachsener Zehennagel zu untersuchen. PATIENTEN UND METHODEN: Wir
fuhrten eine retrospektive Studie an Patienten mit eingewachsenen Zehennageln
durch, die zwischen dem 1. Juni 2015 und dem 30. Mai 2016 im Krankenhaus Wan Fang
behandelt wurden. Eingewachsene Zehennagel wurden in akut entzundete/infizierte
(AI) und chronisch dystrophische (CD) Typen eingeteilt. Unter Verwendung des
Physician Global Assessment (PGA) wurde die Wirksamkeit von Nagelspangen fur die
beiden Typen von eingewachsenen Zehennageln 1, 2, 3 und 6 Monate nach Beginn der
Behandlung und wahrend des letzten Arztbesuchs verglichen. ERGEBNISSE: 21
Patienten (42 Stellen) hatten eingewachsene Zehennagel des CD-Typs; 25 Patienten
(36 Stellen) hatten eingewachsene Zehennagel des AI-Typs. Von den betroffenen
Stellen zeigten nach 1, 3 bzw. 6 Monaten 81 %, 94 % bzw. 100 % ein
ausgezeichnetes oder akzeptables Ergebnis. Die Rezidivrate betrug 10,3 %, die
Komplikationsrate 7,7 %. Wahrend eingewachsene Zehennagel des AI-Typs in den
ersten drei Monaten schneller hervorragende Ergebnisse erzielten als CD-Typ
Nagel, glichen sich die Ergebnisse nach sechs Monaten an. SCHLUSSFOLGERUNGEN:
Nagelspangen sind eine wirksame therapeutische Option, insbesondere fur
eingewachsene Zehennagel vom CD-Typ.
PMID- 29645397
TI - Behandlungsresistente Komedonen.
PMID- 29645398
TI - Long-term nitrogen fertilization decreases bacterial diversity and favors the
growth of Actinobacteria and Proteobacteria in agro-ecosystems across the globe.
AB - Long-term elevated nitrogen (N) input from anthropogenic sources may cause soil
acidification and decrease crop yield, yet the response of the belowground
microbial community to long-term N input alone or in combination with phosphorus
(P) and potassium (K) is poorly understood. We explored the effect of long-term N
and NPK fertilization on soil bacterial diversity and community composition using
meta-analysis of a global dataset. Nitrogen fertilization decreased soil pH, and
increased soil organic carbon (C) and available N contents. Bacterial taxonomic
diversity was decreased by N fertilization alone, but was increased by NPK
fertilization. The effect of N fertilization on bacterial diversity varied with
soil texture and water management, but was independent of crop type or N
application rate. Changes in bacterial diversity were positively related to both
soil pH and organic C content under N fertilization alone, but only to soil
organic C under NPK fertilization. Microbial biomass C decreased with decreasing
bacterial diversity under long-term N fertilization. Nitrogen fertilization
increased the relative abundance of Proteobacteria and Actinobacteria, but
reduced the abundance of Acidobacteria, consistent with the general life history
strategy theory for bacteria. The positive correlation between N application rate
and the relative abundance of Actinobacteria indicates that increased N
availability favored the growth of Actinobacteria. This first global analysis of
long-term N and NPK fertilization that differentially affects bacterial diversity
and community composition provides a reference for nutrient management strategies
for maintaining belowground microbial diversity in agro-ecosystems worldwide.
PMID- 29645399
TI - Amyloid-beta Peptide Induces Prion Protein Amyloid Formation: Evidence for Its
Widespread Amyloidogenic Effect.
AB - Transmissible spongiform encephalopathy is associated with misfolding of prion
protein (PrP) into an amyloid beta-rich aggregate. Previous studies have
indicated that PrP interacts with Alzheimer's disease amyloid-beta peptide
(Abeta), but it remains elusive how this interaction impacts on the misfolding of
PrP. This study presents the first in vitro evidence that Abeta induces PrP
amyloid formation at submicromolar concentrations. Interestingly, systematic
mutagenesis of PrP revealed that Abeta requires no specific amino acid sequences
in PrP, and induces the misfolding of other unrelated proteins (insulin and
lysozyme) into amyloid fibrils in a manner analogous to PrP. This unanticipated
nonspecific amyloidogenic effect of Abeta indicates that this peptide might be
involved in widespread protein aggregation, regardless of the amino acid
sequences of target proteins, and exacerbate the pathology of many
neurodegenerative diseases.
PMID- 29645400
TI - Evaluation of a new chest physiotherapy technique in dogs with airway fluid
accumulation hospitalized in an intensive care unit.
AB - OBJECTIVE: To evaluate the feasibility, benefits, and adverse effects of
prolonged slow expiration (PSE) and assisted cough (AC) as chest physiotherapy
(CP) techniques in dogs with airway fluid accumulation. DESIGN: Prospective
interventional study. SETTINGS: University teaching hospital. ANIMALS:
Intervention group of 30 client-owned dogs and retrospective control group of 71
client-owned dogs. INTERVENTIONS: PSE was performed on dogs with evidence of
airway fluid accumulation, identified based on physical examination and thoracic
radiographs. AC was performed if spontaneous cough or swallowing were not
evident. The PSE treatment was performed every 6 hours until normalization of
respiratory status or hospital discharge. Animals were monitored for respiratory
distress, discomfort, and SpO2 during the procedure. A retrospective control
group was identified by computer search. MEASUREMENTS AND MAIN RESULTS: One
hundred thirty-three sessions of CP were performed on 30 dogs. Discomfort
frequency during physiotherapy was low (9%). The most commonly used position for
CP was in lateral recumbency (95%) and this was well tolerated in most cases.
There was no significant difference in the median SpO2 before and after CP
sessions (P = 0.24). Sixty percent of sessions had a spontaneous cough or
swallowing evident, 21% had successful AC performed, and no cough or swallowing
occurred in the remaining (19%) sessions despite attempts of AC being made. The
length of hospitalization was significantly longer in the intervention group (6
days vs 4) (P = 0.02). There was no difference in survival between the
intervention (76.7%) and the control (57.7%) group (P = 0.055). CONCLUSIONS: PSE
associated with AC are easily adaptable, well-tolerated techniques in dogs. The
benefit of CP in dogs with airway fluid accumulation remains to be determined and
it is hoped that future randomized controlled prospective studies may help answer
this line of inquiry.
PMID- 29645401
TI - Phosphine-Catalyzed Activation of Vinylcyclopropanes: Rearrangement of
Vinylcyclopropylketones to Cycloheptenones.
AB - We report a phosphine-catalyzed activation of electron-deficient
vinylcyclopropanes (VCPs) to generate an ambident C5 synthon that is poised to
undergo consecutive reactions. The utility of the activation is demonstrated in a
phosphine-catalyzed rearrangement of vinylcyclopropylketones to cycloheptenones
in good yields with a broad substrate scope. Mechanistic investigations support a
stepwise process comprising homoconjugate addition, water-assisted proton
transfer, and 7-endo-trig SN 2' ring closure.
PMID- 29645402
TI - A Systematic Review and Integration of Concept Analyses of Self-Care and Related
Concepts.
AB - PURPOSE: This systematic review identified, synthesized, and integrated concept
analyses on self-care and related concepts. DESIGN: The guidelines for systematic
literature reviews of the Joanna Briggs Institute were followed. METHODS: The
Cumulative Index to Nursing and Allied Health Literature (CINAHL), PubMed,
PsycINFO, and EMBASE databases were searched for concept analyses published in
the past 20 years. FINDINGS: A total of 26 concept analyses were identified that
had been published on self-care, self-care agency, self-monitoring, self
management, self-management support, symptom management, and self-efficacy.
Differences and commonalities in the examined literature were identified, and a
model was delineated, explaining the relations among the various concepts from
the nursing perspective. CONCLUSIONS: The healthcare literature has broadly
described self-care and related concepts; however, consensus on the definitions
remains beyond our reach and should not be expected, due to the different
perspectives and paradigms from which the concepts are interpreted. From a
nursing perspective, self-care can be considered a broad concept encompassing the
other concepts, which describe more specific individual levels of activities and
processes. CLINICAL RELEVANCE: Nurses are actively involved in disease management
and self-management support as well as in promoting self-care in healthy and sick
people. Referring to a model on self-care and related concepts could avoid
misinterpretations in nursing practice, research, and policy.
PMID- 29645403
TI - Quality of Author Guidelines in Nursing Journals.
AB - PURPOSE: The aims of this study were to (a) describe the information provided in
author guidelines in nursing journals, (b) assess the completeness of this
information, and (c) identify the extent and types of reporting guidelines used
in nursing journals. DESIGN: Content analysis of author guidelines for 245
nursing journals included in the Directory of Nursing Journals maintained at the
International Academy of Nursing Editors website. METHODS: Using Research
Electronic Data Capture, data on 19 criteria for completeness were extracted from
published author guidelines. Additional details about journal requirements, such
as allowed length of manuscripts and format for the abstract, were also recorded.
Reliability was established by simultaneous review of 25 journals (10%) by the
research assistant and a senior member of the research team. FINDINGS: Author
guidelines were easily accessible at journal websites or through links to
download the information. A majority (73.5%) had completeness scores of 75% or
higher; six journals had guidelines that were 100% complete. Half of the journals
used the American Psychological Association reference style, and 26.3% used the
American Medical Association style. Less than one fourth had stated requirements
to use reporting guidelines such as Consolidated Standards of Reporting Trials
(CONSORT) and Preferred Reporting Items for Systematic Reviews and Meta-Analyses
(PRISMA). CONCLUSIONS: Author guidelines for nursing journals are generally
complete and informative. Although specific reporting guidelines such as CONSORT
and PRISMA improve the accuracy and completeness of manuscripts on various types
of studies, most nursing journals do not indicate use of these for manuscript
preparation. Editors who want to improve their author guidelines should use the
19 criteria for completeness as a gauge for updating and revision. CLINICAL
RELEVANCE: Nurses rely on the published literature to inform their practice and
ensure that it is based on evidence. Guidelines for publishing in the scholarly
literature assist clinicians and scholars to ensure that published articles are
complete, current, concise, and accurate.
PMID- 29645404
TI - Children's Perceptions About the Quality of Pediatric Nursing Care: A Large
Multicenter Cross-Sectional Study.
AB - INTRODUCTION: This study explored children's perceptions about the quality of
nursing care and the determinants of their evaluations according to different
categories of children's ages. DESIGN: Multicenter cross-sectional study carried
out on 692 pediatric patients in eight large Italian regional hospitals. METHODS:
We used a validated questionnaire, translated and adapted to the Italian context,
consisting of 49 items (5-point Likert scale) exploring nurse characteristics,
nursing activities, and nursing environment. FINDINGS: The mean score of
children's perceptions of their overall experience of hospitalization was 3.96;
the nurse characteristics factor obtained the highest score (mean = 3.79), and it
was in positive correlation with the satisfaction level across different age
categories: 4 to 6 years, beta = .37; 7 to 11 years, beta = .31; and 12 to 14
years, beta = .32. CONCLUSIONS: Nurse characteristics is the only significant
factor contributing to children's satisfaction across the three different age
categories. CLINICAL RELEVANCE: In pediatric nursing care, it is important to
emphasize that nurses' personal characteristics and the ability to connect with
children are essential compared to the ability to perform tasks.
PMID- 29645405
TI - Outcomes following a negative computed tomography pulmonary angiography according
to pulmonary embolism prevalence: a meta-analysis of the management outcome
studies.
AB - : Essentials Computed tomographic pulmonary angiography (CTPA) is used to exclude
pulmonary embolism. This meta-analysis explores the occurrence of venous
thromboembolic events (VTE) after a CTPA. Occurrence of VTE after a negative CTPA
is ~8% in study subgroups with a prevalence of PE >= 40%. CTPA may be
insufficient to safely rule out VTE as a stand-alone diagnostic test for this
subgroup. SUMMARY: Background Outcome studies have reported the safety of
computed tomographic pulmonary angiography (CTPA) as a stand-alone imaging
technique to rule out pulmonary embolism (PE). Whether this can be applied to all
clinical probabilities remains controversial. Objectives We performed a meta
analysis to determine the proportion of patients with venous thromboembolic
events (VTE) despite a negative CTPA according to pretest PE prevalence. Methods
We searched MEDLINE, EMBASE and the Cochrane Library (January 1990 to May 2017)
for outcome studies recruiting patients with suspected PE using CTPA as a
diagnostic strategy. The primary outcome was the cumulative occurrence of VTE at
3 months following a negative CTPA. Results Twenty-two different studies were
identified. VTE was confirmed in 2.4% of patients (95% CI, 1.3-3.8%) either at
the time of the index event or in the 3 months follow-up. Subgroup analyses
suggested that the cumulative occurrence of VTE was related to pretest prevalence
of PE, as VTE occurred in 1.8% (95% CI, 0.5-3.7%), 1.4% (95% CI, 0.7-2.3%), 1.0%
(95% CI, 0.5-1.8%) and 8.1% (95% CI, 3.5-14.5%) of subgroups of patients with a
PE prevalence < 20%, 20-29%, 30-39% and >= 40%, respectively. This was further
confirmed using meta-regression analysis. Conclusions The negative predictive
value of CTPA for VTE varies according to pretest prevalence of PE, and is likely
to be insufficient to safely rule out VTE as a stand-alone diagnostic test
amongst patients at the highest pretest probability of VTE. Prospective studies
are required to validate the appropriate diagnostic algorithm for this subgroup
of patients.
PMID- 29645406
TI - Modified clot waveform analysis to measure plasma coagulation potential in the
presence of the anti-factor IXa/factor X bispecific antibody emicizumab.
AB - : Essentials The activated partial prothrombin time (aPTT) cannot predict the
activity of emicizumab (Emi). Adjusted clot waveform analyses using a prothrombin
time (PT)/aPTT initiator were developed. Activity of Emi in the co-presence of
factor VIII or bypassing agents was quantified. This assay is useful for
assessing coagulation potential in Emi-treated hemophilia A. SUMMARY: Background
Emicizumab is an anti-activated factor IX/FX bispecific antibody that mimics
activated FVIII cofactor function. Emicizumab does not require activation by
thrombin, and its effect on shortening the activated partial thromboplastin time
(APTT) is much greater than that of FVIII. Therefore, the APTT has limited
utility in hemophilia A (HA) patients treated with emicizumab. Aim To evaluate
the global coagulation potential of emicizumab. Methods Clot waveform analysis
(CWA) with prothrombin time (PT)/APTT mixed reagents was used to define
hemostatic monitoring protocols in HA patients. A modified parameter, adjusted
|min1| (Ad|min1|), was developed. Maximum and minimum percentage transmittance
were defined as 100% and 0% in the precoagulation and postcoagulation phases,
respectively. Ad|min1| was calculated as an index of the maximum velocity of the
coagulation process. Results Ad|min1| obtained with mixed-trigger reagent
(PT/APTT/buffer, 1 : 15 : 135) in the presence of emicizumab optimally
corresponded to the conversion rate estimated in animals; 0.2-0.4 IU dL-1
equivalent FVIII per 1 MUg mL-1 emicizumab). Ex vivo addition of emicizumab to HA
plasma with or without inhibitors resulted in concentration-dependent increases
in Ad|min1|, with some individual variations. The addition of various
concentrations of FVIII to HA plasma mixed with emicizumab resulted in dose
dependent increases in Ad|min1|. Similarly, mixtures of activated prothrombin
complex concentrate and emicizumab added to HA plasma resulted in dose-dependent
increases in Ad|min1|. In contrast, enhanced coagulation potential appeared to be
better defined by the clot time than by Ad|min1| in experiments using recombinant
activated FVII. Conclusion The PT/APTT reagent-triggered adjusted CWA could
provide a useful means of assessing global coagulation potential in emicizumab
treated HA patients, with enhanced activity neither masking nor being masked by
FVIII or bypassing agents.
PMID- 29645407
TI - Child, caregiver, and family factors associated with child dental utilization
among Mexican migrant families in California.
AB - OBJECTIVE: To identify associations between child, caregiver, and family-level
factors and child dental utilization. RESEARCH DESIGN: Cross-sectional oral
health survey. PARTICIPANTS: Caregivers and one study child (ages 0-17) from
Mexican migrant families in northern San Diego county, CA (n=142). METHODS:
Caregivers reported on child's dental care utilization history and related
factors, including: child (age, gender, dental insurance, source of care,
believed to have cavities), caregiver (marital status, income, education,
acculturation level, depressive symptoms), and family cohesion. Descriptive and
logistic regression models identified predisposing, enabling, and need factors
associated with child dental utilization during the past year. RESULTS: Most
(76%) children had visited the dentist in the past year, while 8.6% had never
been. Child factors (gender, insurance), caregiver factors (education, depressive
symptoms), and family cohesion were each associated with child dental utilization
in the bivariate analyses. In the final adjusted model, uninsured children were
less likely to have a past year dental visit compared to insured children (Odds
Ratio (OR) = 0.23, 95% Confidence Interval (CI) = 0.06-0.96). Children whose
caregivers visited the dentist were 4.29 times more likely to visit the dentist
in the past year (CI=1.36-13.61). Higher caregiver education was positively
associated with child dental utilization (OR=4.50, CI=1.50-13.55). CONCLUSION:
Child age and dental insurance, and caregiver education and dental utilization
history were associated with whether or not a child had a past year dental visit.
Ensuring child dental coverage and caregiver access to dental care may promote
regular dental utilization by children.
PMID- 29645408
TI - Factors associated with Brazilian adolescents' satisfaction with oral health.
AB - OBJECTIVE: To identify the sociodemographic, clinical and self-reported
indicators of oral health associated with Brazilian adolescents' satisfaction
with oral health. METHODS: Secondary data were used following the examination of
4,231 adolescents, aged 15 to 19 years, participating in a national oral health
survey (SBBrasil 2010). The independent variables were grouped into demographics,
predisposition/facilitation, oral health conditions and perceived dental
treatment need. Satisfaction with oral health was considered the dependent
variable. Ordinal logistic (multiple) regression models tested the variables in
sequence (hierarchical), as per the conceptual model, assuming p<=0.05 as the
criterion for remaining in the model (Wald test). Adjustment of the model was
evaluated with the Akaike information criterion (AIC) and -2 Log L. RESULTS:
Participants with perceived treatment need (OR=2.36, 95% CI = 2.14-2.61),
toothache (OR=1.18, 1.10-1.28), presence of oral impacts on daily performance
(OIDP) (OR= 1.55, 1.44-1.68), severe and very severe dental aesthetic index (DAI)
(OR=1.17, 1.08-1.27), were female (OR=1.16, 1.10-1.23), were of black/brown
ethnicity (OR=1.10, 1.04-1.17), and had caries in anterior (OR=1.20, 1.08-1.32)
and posterior teeth (OR=1.22, 1.13-1.32) presented lower satisfaction with oral
health. CONCLUSION: Satisfaction with oral health in Brazilian adolescents is
linked to a multidimensional structure of factors that include demographic
aspects, such as gender and ethnic group, self-perception aspects, such as
perceived treatment need and oral health impact on daily activities, and clinical
aspects, such as the presence of toothache, severe malocclusion and caries in
anterior and posterior teeth.
PMID- 29645409
TI - RISKY SEXUAL BEHAVIOR AMONG OUT-OF-SCHOOL THAI AND NON-THAI YOUTH IN URBAN CHIANG
MAI, THAILAND.
AB - Out-of-school youth in Thailand engage in risky sexual behavior thatputs them at
risk for contracting HIV infection and can have other negative sexualreproductive
health outcomes. No study has examined risky sexual behaviors andcompared them
between Thai and non-Thai out-of-school youth. The current studycompares sexual
risk behavior and HIV testing behavior between out-of-schoolThai and non-Thai
youth. We conducted face-to-face interviews in this studypopulation in urban
Chiang Mai during 2014. Participants were recruited throughconvenience sampling
from two main sources: non-formal education centers(NFECs) and social meeting
places. We recruited 924 youth, aged 15-24 years, ofwhom 424 (45.9%) were Thai
and 500 (54.1%) were non-Thai. The majority wereattending NFECs (82.3%). Of the
sexually experienced participants (57.7%), 75.4%did not use condoms consistently,
and 50.3% had at least 2 lifetime sexual partners.Among the study participants,
the Thai studied youth had significantly higherodds of ever having had sex
(AOR=2.33; 95% CI: 1.56-3.49; p<0.001), having anearlier sexual debut (AOR=5.52;
95% CI: 2.71-11.25; p<0.001) and having a largernumber of lifetime sexual
partners (AOR=2.31; 95% CI: 1.37-3.88; p=0.002) thannon-Thai participants. There
was no significant difference between the Thai andnon-Thai participants in terms
of having HIV testing. The Thai studied youth weremore likely to engage in risky
sexual behavior than the non-Thai youth. However,both groups displayed risky
sexual behaviors. Future research should explore indepththe drivers of risky
sexual behaviors among both Thai and non-Thai youth.
PMID- 29645410
TI - MEDIATION EFFECTS OF OVERCOMMITMENT ON EFFORT, REWARD, INSOMNIA, AND WELL-BEING
AS MODERATED BY GENDER, AGE, AND JOB POSITION.
AB - This study aimed to detect the mediation effect of over-commitmentbetween
occupational stress, insomnia, and well-being; and the moderatingrole of gender,
age and job position are also to be analyzed. One thousand sixhundred eighteen
valid samples were recruited from electronic manufacturingservice industry in
Hunan Province, China. All the data were collected by selfratedquestionnaires
after written consent. This paper introduced Effort-Reward-Insomnia-Well-being
model, and it was fitted and validated through the structuralequation model
analysis. The results of single factor correlation analysis indicatedthat the
coefficients between most of the items and dimensions presented
statisticalsignificance. The final fitting model had satisfactory global goodness
of fit(CMIN/DF=3.99, AGFI=0.926, NNFI=0.950, IFI=0.956, RMSEA=0.043). Both of
themeasurement model and structural model had acceptable path loadings. Effort
associatedwith insomnia indirectly and related to well-being directly and
indirectly;reward could have either directly associated with insomnia and well
being, orindirectly related to them through over-commitment. Covariates as
gender, ageand position made differences on the association between occupational
stress andhealth outcomes. Over-commitment had the ability to mediate the
relationshipsbetween effort, reward, and health outcomes, and mediation effect
varied fromdifferent working conditions and outcomes under different covariates.
PMID- 29645411
TI - ENHANCEMENT OF LEARNING ON SAMPLE SIZE CALCULATION WITH A SMARTPHONE APPLICATION:
A CLUSTER-RANDOMIZED CONTROLLED TRIAL.
AB - Sample size determination usually is taught based on theory and is difficultto
understand. Using a smartphone application to teach sample size calculationought
to be more attractive to students than using lectures only. This studycompared
levels of understanding of sample size calculations for research studiesbetween
participants attending a lecture only versus lecture combined with usinga
smartphone application to calculate sample sizes, to explore factors
affectinglevel of post-test score after training sample size calculation, and to
investigateparticipants' attitude toward a sample size application. A cluster
randomizedcontrolled trial involving a number of health institutes in Thailand
was carriedout from October 2014 to March 2015. A total of 673 professional
participantswere enrolled and randomly allocated to one of two groups, namely,
341 participantsin 10 workshops to control group and 332 participants in 9
workshops tointervention group. Lectures on sample size calculation were given in
the controlgroup, while lectures using a smartphone application were supplied to
the testgroup. Participants in the intervention group had better learning of
sample sizecalculation (2.7 points out of maximnum 10 points, 95% CI: 24 - 2.9)
than theparticipants in the control group (1.6 points, 95% CI: 1.4 - 1.8).
Participants doingresearch projects had a higher post-test score than those who
did not have aplan to conduct research projects (0.9 point, 95% CI: 0.5 - 1.4).
The majority of theparticipants had a positive attitude towards the use of
smartphone applicationfor learning sample size calculation.
PMID- 29645412
TI - FACTORS RELATED TO TOOTH LOSS AMONG INDUSTRIAL WORKERS IN PHATHUM THANI,
THAILAND.
AB - Tooth loss is an important oral health problem among Thai people. Theobjectives
of this study were to evaluate the prevalence of and factors associatedwith tooth
loss among Thai industrial workers in order to apply preventive oralhealth
programs to this population. The study consisted of 1,500 adults workingin Nava
Nakorn Industrial Estate, Pathum Thani Province, Thailand in 2014.Probability
proportion to size cluster sampling was used and 16 clusters wereincluded in the
study. An oral health questionnaire was developed, evaluated forcontent validity
by experts and then given to participants to fill out. The studypopulation
consisted of 621 males (41.4%) and 879 females (58.6%) aged between19-25 years.
The overall prevalence of tooth loss was 62.2% and the major reasonfor tooth loss
was dental caries (60%). Results from multivariable logistic regressionanalysis
show that factors associated with tooth loss were: having a historyof scaling or
tooth cleaning [adjusted odds ratio (AOR)= 2.47; 95% CI: 1.21-4.65],having dental
caries with exposed pulp (AOR=4.12; 95% CI: 3.26-7.67), havingtooth mobility due
to periodontal disease (AOR=2.41; 95% CI: 2.71-5.22), havingneeded tooth
restoration (AOR=1.75; 95% CI: 1.23-2.65), having a history ofmaxillofacial or a
temporo-mandibular joint accident (AOR=2.13; 95% CI: 1.87-3.23), wearing dentures
(AOR=2.58; 95% CI: 2.17-6.72), using dental care servicesduring the previous year
(AOR=2.21; 95% CI: 1.26-4.57), eating snacks and candydaily (AOR=2.14; 95% CI:
1.82-2.92), having toothache (AOR=2.64; 95% CI: 1.43-3.92), having dental caries
(AOR=2.23; 95% CI: 1.62-3.27) and having a history oforthodontic treatment
(AOR=3.61; 95% CI: 1.84-5.68). The Nagelkerke R squaredfor the model was 0.42.
Our findings suggest several clinical, socio-economic andlifestyle factors are
associated with tooth loss among these Thai industrial workers.An appropriate
preventive oral health program targeting this high-risk grouptaking these factors
into consideration needs to be developed and implementedin this at risk
population.
PMID- 29645414
TI - Medicine.
PMID- 29645413
TI - Remarks on the Change-Of-Type Theory of Disease.
PMID- 29645415
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645416
TI - Varieties.
PMID- 29645417
TI - On the Effect of Local Influences on Spasmodic Asthma.
PMID- 29645418
TI - Royal College of Surgeons-Conversazione.
PMID- 29645419
TI - Case of Fatal Hoematemesis, with Abnormal Splenic Vein.
PMID- 29645420
TI - Report of the Trial of Dr Pritchard for Murder.
PMID- 29645421
TI - On Paralysis of the Palate in Facial Palsy.
PMID- 29645422
TI - Report of Clinical Cases, under the Care of Professor Spence, in the Surgical
Wards of the Royal Infirmary, from July 1863 to October 1864.
PMID- 29645423
TI - On Some Congenital Deformities of the Human Cranium.
PMID- 29645424
TI - Remarks on Abortion in the Early Months of Pregnancy.
PMID- 29645426
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645425
TI - On the Origin and Circulation of Nerve Force.
PMID- 29645427
TI - A New Uterine Support.
PMID- 29645428
TI - University of Edinburgh-Number of Students.
PMID- 29645429
TI - Remarks on the Retentive Power of the Abdomen.
PMID- 29645431
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645430
TI - Note on the Rinderpest.
PMID- 29645432
TI - University of Edinburgh-Election of Lord Rector.
PMID- 29645434
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645433
TI - Cases Treated in the Barnhill Hospital and Asylum.
PMID- 29645435
TI - Remarks on the Medical Evidence in Cases of Death by Suffocation: With Reference
to the Case of Mary Baird or Downie, Reported in the Edinburgh Medical Journal
for November 1865.
PMID- 29645437
TI - Account of a Remarkable Outbreak of Enteric Fever.
PMID- 29645436
TI - Hydatid Tumours of the Liver: Their Dangers, Their Diagnosis, and Their
Treatment.
PMID- 29645438
TI - Successful Case of Ovariotomy.
PMID- 29645440
TI - Surgery.
PMID- 29645439
TI - Cases of Ovariotomy.
PMID- 29645441
TI - Note of Experiments Confirmatory of Those of Kuhne on the Non-Existence of Free
Ammonia in Blood.
PMID- 29645442
TI - Medical School, Surgeons' Hall.
PMID- 29645443
TI - Notes on Italian Asylums for the Insane.
PMID- 29645444
TI - The Mackintosh Case.-Testimonial to Drs Smith and Lowe.
PMID- 29645445
TI - Professor Czermak.
PMID- 29645446
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645447
TI - Practice of Medicine.
PMID- 29645448
TI - Influence of the Imagination on the Foetus in Utero.
PMID- 29645449
TI - On Some Congenital Deformities of the Human Cranium.
PMID- 29645450
TI - On the Medical Organization of the Army of the Potomac.
PMID- 29645451
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645452
TI - A Clinical Lecture on Pneumonia, Delivered Fifty-Three Years Ago.
PMID- 29645453
TI - Report of the Trial of George Stephen for Murder: At Aberdeen, 18th and 19th
April 1865.
PMID- 29645455
TI - Cases Illustrative of the Employment of Acupressure as a Haemostatic Agent.
PMID- 29645454
TI - On the Facilitation of the First Stage of Labour.
PMID- 29645456
TI - The Bruce of Grangehill and Falkland Scholarships and Bursaries.
PMID- 29645457
TI - On the Definition of Insanity Considered Medico-Legally.
PMID- 29645458
TI - Account of a Reseoloid Exanthem in Malta, during the Autumn of 1864.
PMID- 29645459
TI - Dr Christison.
PMID- 29645460
TI - Appointment.
PMID- 29645461
TI - The Medical Act Amendment Bill.
PMID- 29645462
TI - Army Medical Department, and Concerning the Late Influx of Medical Candidates.
PMID- 29645463
TI - Midwifery.
PMID- 29645464
TI - Report on Physiology.
PMID- 29645465
TI - Case of Successful Amputation at the Hip-Joint, on Account of Malignant Tumour of
the Femur.
PMID- 29645466
TI - The Cholera Morbus in Egypt.
PMID- 29645467
TI - On Temporary Insanity.
PMID- 29645468
TI - On the Prevention of Smallpox.
PMID- 29645469
TI - On Pepsine in Diseases of Children.
PMID- 29645470
TI - Case of Femoral Aneurism Successfully Treated by Digital Compression in the
Groin.
PMID- 29645471
TI - Trial of Mary Baird or Downie for Child-Murder, with Remarks.
PMID- 29645472
TI - On Uterine Haematocele.
PMID- 29645473
TI - Elephantiasis of the Leg, Treated by Ligature of the Femoral Artery; Death from
Pyaemia.
PMID- 29645474
TI - Excision of One Lateral Half of the Tongue.
PMID- 29645475
TI - The Cholera in Paris.
PMID- 29645476
TI - Royal College of Surgeons.
PMID- 29645478
TI - Medical Students.
PMID- 29645477
TI - Chlorate of Potass in the Treatment of Ovarian Disease.
PMID- 29645479
TI - Organic Spirality-The Umbilical Cord.
PMID- 29645480
TI - Graduation in Medicine at the University of Edinburgh.
PMID- 29645481
TI - Report of Clinical Cases, under the Care of Professor Spence, in the Surgical
Wards of the Royal Infirmary, from July 1863 to October 1864.
PMID- 29645482
TI - Cullen and Gregory upon Change of Type in Inflammation.
PMID- 29645483
TI - On the Mortality of Childbed as Affected by the Number of the Labour.
PMID- 29645484
TI - Forfarshire Medical Association.
PMID- 29645485
TI - Vertical Hemiplegia of the Palate in a Case of Diabetes Mellitus.
PMID- 29645486
TI - The Address in Surgery.
PMID- 29645488
TI - Commissions in British Medical Service.
PMID- 29645487
TI - Notes on the Recent Epidemic in St Petersburg.
PMID- 29645489
TI - The Address in Medicine.
PMID- 29645490
TI - British Medical Association.
PMID- 29645491
TI - Climacteric Insanity in the Male.
PMID- 29645492
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645493
TI - Practice of Medicine.
PMID- 29645494
TI - Royal College of Surgeons of Edinburgh: Abstract of Regulations for the
Fellowship.
PMID- 29645495
TI - Is the Dietary Authorized by the Secretary of State, in the "Rules for Prisons in
Scotland," Sufficient to Bring up the Physical Frame of an Adult Prisoner to the
Standard of Health and Vigour, and Maintain It There?
PMID- 29645496
TI - Report of the Morbid Appearances Found in Cows Affected with Rinderpest and Other
Forms of Epizootic Disease.
PMID- 29645497
TI - On the Mortality of Childbed as Affected by the Age of the Mother.
PMID- 29645498
TI - Navy Medical Department.
PMID- 29645499
TI - On Certain Positions of the Head as a Cause of Syncope.
PMID- 29645500
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29645502
TI - Royal College of Physicians of Edinburgh: Regulations Regarding the Fellowship
and Membership of the College.
PMID- 29645501
TI - The Chief Medical Schools of the Continent.
PMID- 29645504
TI - Faculty of Physicians and Surgeons, Glasgow: Abstract of Regulations for the
Election and Admission of Fellows.
PMID- 29645503
TI - The Cholera in France.
PMID- 29645505
TI - Egyptian Theory as to the Origin of Cholera.
PMID- 29645506
TI - Army Medical Department.
PMID- 29645507
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645508
TI - Case of Traumatic Rupture of the Uterus; Gastrotomy Thirty Hours after the
Accident.
PMID- 29645510
TI - Army Medical School.
PMID- 29645509
TI - Remarks on a Case of Heightened Reflex Action Treated by Calabar Bean.
PMID- 29645511
TI - On Acute Yellow Atrophy of the Liver.
PMID- 29645512
TI - Information Regarding Medical Education and Examinations.
PMID- 29645513
TI - Graduation Address to the Gentlemen Who Obtained the Degree of M.D. in the
University of Edinburgh, 1st August 1865.
PMID- 29645514
TI - Army Medical School.
PMID- 29645516
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645515
TI - Leprosy, Ancient and Modern; with Notes Taken during Recent Travel in the East.
PMID- 29645517
TI - Case Illustrating the Supposed Connexion of Aphasia (Loss of the Cerebral Faculty
of Speech) with Right Hemiplegia, and Lesion of the External Left Frontal
Convolution of the Brain.
PMID- 29645519
TI - From Abroad.-The Stomatoscope-Respiratory Therapeutics-Popular Lectures on
Medical Subjects.
PMID- 29645518
TI - Notes on Some Points in the Administration of Chloroform.
PMID- 29645520
TI - Clinical Inquiries into the Influence of the Nervous System on the Production and
Prevention of Dropsies, and on the Means and Methods of Successful Treatment.
PMID- 29645521
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645522
TI - Observations on the Arrested Twin Development of Jean Battista Dos Santos, Born
at Faro in Portugal, in 1846.
PMID- 29645523
TI - Thermometric Observations on the Fevers of Children.
PMID- 29645524
TI - Midwifery.
PMID- 29645525
TI - Lunacy Acts (Scotland) Amendment Bill.
PMID- 29645526
TI - Correspondence.
PMID- 29645527
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645528
TI - Ligature of the Femoral Artery for the Thirty-Fifth Time, with Remarks on the
Treatment of Aneurism.
PMID- 29645529
TI - Notes on the Prison Dietaries in Scotland.
PMID- 29645530
TI - Disease of the Maxillary Antrum, Involving the Brain.
PMID- 29645531
TI - Case of Intussusception, Terminating in Sloughing and Discharge of the
Intussuscepted Portion of Intestine; and Recovery.
PMID- 29645532
TI - On Malformations of the Organs of Generation. Second Series. Foetation in a
Rudimentary Uterine Cornu.
PMID- 29645533
TI - On Rupture of the Heart.
PMID- 29645534
TI - Surgery.
PMID- 29645535
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645536
TI - Vital Statistics of Iceland and Reykjavik during the Last Decennium.
PMID- 29645537
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645538
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645540
TI - Conversazione of the Royal College of Surgeons.
PMID- 29645539
TI - Case of Bronchocele and Haemorrhagic Villosities of the Bladder, with Dissections
of the Tumour.
PMID- 29645541
TI - On the Action of Medicinal Preparations of Iron on the Teeth.
PMID- 29645542
TI - Royal College of Physicians of Edinburgh.
PMID- 29645543
TI - Cases of Ovariotomy.
PMID- 29645544
TI - Monument to the Late Dr Cullen.
PMID- 29645546
TI - The New Meat-Preserving Process.
PMID- 29645545
TI - Where Should Typhus Be Treated?
PMID- 29645547
TI - Midwifery.
PMID- 29645548
TI - Case of Death While under the Influence of Chloroform.
PMID- 29645549
TI - On a Case of Acute Atrophy of the Kidneys and Liver in a Pregnant Woman.
PMID- 29645550
TI - On the Relative Mortality from Injuries and Burns on the Trunk of the Body, above
and below the Level of the Diaphragm.
PMID- 29645551
TI - On the Treatment of Virulent and Zymotic Diseases.
PMID- 29645553
TI - Questions at the Second Examination for the Degree of Bachelor of Medicine,
Oxford, December 1865.
PMID- 29645552
TI - Some Statistics of Idiocy.
PMID- 29645555
TI - Practice of Medicine.
PMID- 29645554
TI - On Dilatation of the Perinaeum.
PMID- 29645556
TI - On Paracentesis Thoracis in the Treatment of Pleural Effusions, Acute and
Chronic.
PMID- 29645557
TI - Purpura Haemorrhagica in Children.
PMID- 29645559
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645558
TI - Medical News.
PMID- 29645561
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645560
TI - The Harveian Discourse: On the Life and Character of Dr Alison.
PMID- 29645562
TI - The Convolutions of the Human Cerebrum Topographically Considered.
PMID- 29645563
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645565
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645564
TI - A Plea for Criminal Epileptics.
PMID- 29645566
TI - Researches on the Daily Excretion of Urea in Typhus Fever, with Remarks.
PMID- 29645568
TI - A Lyons Surgeon on English Surgery.
PMID- 29645567
TI - On Certain Causes Affecting the Origin of Disease in Large Towns.
PMID- 29645569
TI - The Trichina Disease.
PMID- 29645570
TI - On Insanity Caused by Injuries to the Head and by Sunstroke.
PMID- 29645571
TI - Practice of Medicine.
PMID- 29645573
TI - Cholera in Paris.
PMID- 29645572
TI - Address on the Recent Progress of Chemistry.
PMID- 29645574
TI - Professors of the Medical School of Ohio.
PMID- 29645575
TI - Scientific Report on the Trichina.
PMID- 29645577
TI - Glasgow Medico-Chirurgical Society.
PMID- 29645576
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645578
TI - Medical Candidates for the Army Medical Service.
PMID- 29645580
TI - On Encysted Serous Pelvic Effusions Following Puerperal Inflammation.
PMID- 29645579
TI - Medical Jurisprudence.
PMID- 29645581
TI - Traumatic Idiocy.
PMID- 29645582
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645583
TI - On the Galvano-Puncture of Aneurisms.
PMID- 29645584
TI - Correspondence.
PMID- 29645585
TI - On the Conjoined Influence of the Nervous System and of Constitutional Tissue
Changes in the Production of Dropsies, and on the Various Methods of Treatment
Applicable Thereto.
PMID- 29645586
TI - The Action of Fungi in the Production of Disease.
PMID- 29645587
TI - On Amputation at the Knee.
PMID- 29645589
TI - Commissions in British Medical Service.
PMID- 29645588
TI - The New Pharmacopoeia.
PMID- 29645590
TI - Army Medical Department.
PMID- 29645591
TI - The College of Surgeons and the Medical Council.
PMID- 29645592
TI - Treatment of Parkinsonian States by Juster's Method: Report of Twenty-Three
Cases.
PMID- 29645594
TI - The Action of Quinine Dihydrochloride on the Intestine.
PMID- 29645593
TI - Dundee Doctors in the Sixteenth Century.
PMID- 29645596
TI - Inverted Position in Children's Drawings: Report of Two Cases.
PMID- 29645595
TI - A Progressive Vascular Osteodystropha Fibrosa.
PMID- 29645597
TI - Toxic Goitre and Some Complications.
PMID- 29645599
TI - Synovial Cysts at the Wrist.
PMID- 29645598
TI - Chloroform Poisoning : Its Biological Significance.
PMID- 29645600
TI - Auricular Fibrillation Associated with a Mitral Stenotic Murmur.
PMID- 29645601
TI - The Proper Use of Phenolbarbital in the Treatment of the Epilepsies.
PMID- 29645602
TI - The Surgery of Toxic Goitre.
PMID- 29645603
TI - The Diagnostic Investigation of the Abdomen.
PMID- 29645604
TI - An Unusual Buccal Tumour.
PMID- 29645605
TI - Dundee Doctors in the Sixteenth Century.
PMID- 29645606
TI - Coincident Brain Tumour in Twins.
PMID- 29645607
TI - Auditory Hallucinations Provoked by Intracranial Tumours.
PMID- 29645608
TI - The Present Status of Convalescent Serum Therapy.
PMID- 29645610
TI - Nervous and Mental Reactions to Veronal: With Report of a Case of Chronic Veronal
Poisoning.
PMID- 29645609
TI - The Qualitative Determination of the Potency of Liver Extract, with Some Remarks
on Its Possible Mode of Action.
PMID- 29645612
TI - Arteriography.
PMID- 29645611
TI - Notes.
PMID- 29645613
TI - Syphilis Congenita Vera.
PMID- 29645615
TI - A Curious Colo-Uterine Anomaly.
PMID- 29645614
TI - Thyroidectomy in Mentally Disturbed Patients with Exophthalmic Goitre, with
Report of Twelve Cases in Which the Psychosis Was Relieved by Operation.
PMID- 29645616
TI - Valedictory Address.
PMID- 29645618
TI - The Symptomatology of Tumours of the Temporal Lobe.
PMID- 29645617
TI - The Alkali-Reserve of the Blood in Relation to the Van Den Bergh Bilirubin Test.
PMID- 29645619
TI - Intracranial Tumours among Mental Hospital Patients, with Special Attention to
the Tumours of the Glioma Series.
PMID- 29645620
TI - Note on the Pain Sensations Which Accompany Deep Punctures.
PMID- 29645621
TI - Bronchial Carcinoma.
PMID- 29645622
TI - Congenital Absence of the Gall-Bladder.
PMID- 29645623
TI - Recent Advances in the Treatment and Prophylaxis of Leprosy.
PMID- 29645625
TI - Delayed Traumatic Kyphosis.
PMID- 29645624
TI - Clinical Phenomena Associated with Depressions, Anxieties, and Other Affective or
Mood Disorders.
PMID- 29645627
TI - Post-Hernial Fibrous Stricture of the Small Intestine.
PMID- 29645626
TI - Acidified Milk for Infant Feeding.
PMID- 29645628
TI - Case of Intestinal Lipoma.
PMID- 29645630
TI - Notes.
PMID- 29645629
TI - Pulmonary Chondroma.
PMID- 29645631
TI - Essential Nerve-Sheath Tumours.
PMID- 29645632
TI - Fracture of the Sternum.
PMID- 29645633
TI - Surgical Treatment of Carotid Ectasia.
PMID- 29645634
TI - Traumatic Ulnar Neuritis.
PMID- 29645635
TI - Inhalation of a Head of Grass.
PMID- 29645636
TI - On the Separation of the Kyogenic Hormone from Human Placenta.
PMID- 29645637
TI - Observations upon the Vascularity of the Human Occipital Lobe during Visual
Activity.
PMID- 29645638
TI - Benign Epitheliomata and Ossification.
PMID- 29645639
TI - OEsophageal Obstruction by Rotation.
PMID- 29645640
TI - Encephalitis by Direct Contagion.
PMID- 29645641
TI - The Absorption and Excretion of Iron by the Intestines and the Nutritional and
Therapeutic Value of Its Salts.
PMID- 29645643
TI - Cystinuria and Cystine Calculi.
PMID- 29645642
TI - Leprosy at Marseille.
PMID- 29645644
TI - The Medical Treatment of Surgical Tuberculosis and Allied Conditions.
PMID- 29645646
TI - Notes.
PMID- 29645645
TI - The Importance of Psychological Insight for the Medical Profession.
PMID- 29645647
TI - Metatarsal Osteochondritis.
PMID- 29645648
TI - Cutaneous Digital Actinomycosis.
PMID- 29645649
TI - Ulnar Paresis in Congenital Dislocation of the Head of the Radius.
PMID- 29645650
TI - Gastric Ulcer in the New-Born.
PMID- 29645652
TI - Birth Haemorrhages and Heredity.
PMID- 29645651
TI - Sarcoma of the Ileum.
PMID- 29645653
TI - The Operative Treatment of Syringomyelia.
PMID- 29645654
TI - Transient Lateral Perimalleolar OEdema.
PMID- 29645655
TI - On the Reactivation of the Senile Testis of the Rat by Means of Injections of
Gonadotrope Hormones.
PMID- 29645656
TI - The Nature of the Cancer Process.
PMID- 29645658
TI - Ankle-Clonus: The Distinction of the Organic and Functional Varieties.
PMID- 29645657
TI - The General Medical Council: Its Functions and Powers.
PMID- 29645660
TI - Arteriosclerotic Parkinsonism.
PMID- 29645659
TI - Caecal Submucous Lipoma.
PMID- 29645661
TI - A Maxillary Chordoma.
PMID- 29645662
TI - Accessory Ossicles at Hip-Joint.
PMID- 29645664
TI - The Treatment of Asthma with Ephedrine.
PMID- 29645663
TI - The Clinical Significance of Cardiac Asthma.
PMID- 29645665
TI - Primary Sarcoma of the Great Omentum.
PMID- 29645666
TI - Operation in Atlanto-Epistrophic Luxation.
PMID- 29645667
TI - Mumps-Meningitis.
PMID- 29645668
TI - The Education of the Public in Health Matters, with Special Reference to the Work
of the National Association for the Prevention of Tuberculosis.
PMID- 29645669
TI - Tuberculosis of the Congenitally Atrophic Kidney.
PMID- 29645670
TI - The Prognostic Value of the Blood Sedimentation Test in Tuberculosis.
PMID- 29645671
TI - Tracheo-Bronchial Cyst.
PMID- 29645672
TI - The Relation between Glandular and Pulmonary Tuberculosis.
PMID- 29645673
TI - Difficulties in Assessing the Capacity for Work of Ex-Sanatorium Patients.
PMID- 29645674
TI - Occurrence of the Bovine-Type Tubercle Bacillus in Pulmonary Tuberculosis: Its
Role in Pathogenesis.
PMID- 29645676
TI - Malignant Cavitation of the Lung.
PMID- 29645675
TI - Implantation Preputial Tuberculosis.
PMID- 29645678
TI - Diffuse Nodular Pulmonary Syphilis.
PMID- 29645677
TI - Clinical Meeting.
PMID- 29645679
TI - The Leucocytic Reaction to Tuberculosis: Its Value in Prognosis.
PMID- 29645680
TI - Tuberculous Thyreoiditis.
PMID- 29645681
TI - Notes.
PMID- 29645682
TI - Inoculation of Calf with Human Tubercle Bacillus.
PMID- 29645685
TI - Nasopharyngeal Chondroma.
PMID- 29645683
TI - Pubic Tuberculous Osteitis.
PMID- 29645684
TI - Microbic Dissociation, with Special Reference to Certain Acid-Fast Bacilli.
PMID- 29645687
TI - Abdominal Lithopaedion Simulating an Ovarian Tumour.
PMID- 29645686
TI - The Experimental Production of Post-Operative Abscess of the Lung.
PMID- 29645688
TI - Venous Pressure in Heart Disease.
PMID- 29645689
TI - The Mechanism of Cicatricial Contraction in the Brain.
PMID- 29645690
TI - Active Immunisation against Diphtheria and Scarlatina.
PMID- 29645691
TI - Symptomatology of a Group of Frontal Lobe Lesions.
PMID- 29645692
TI - Pain in the Back.
PMID- 29645693
TI - Sympathectomy for Traumatic Facial Paralysis.
PMID- 29645694
TI - Notes.
PMID- 29645695
TI - Some Observations on Blood Grouping.
PMID- 29645696
TI - Notes.
PMID- 29645697
TI - Central Diaphyseal Staphylococcal Abscess in an Adult.
PMID- 29645698
TI - The Immortality of Animal Tissues and Its Significance.
PMID- 29645700
TI - Middle-Ear Carcinoma.
PMID- 29645699
TI - Synthalin in Diabetes Mellitus.
PMID- 29645701
TI - Vasomotor Tumentia.
PMID- 29645702
TI - Archibald Pitcairne 1652-1713.
PMID- 29645703
TI - Genetical Aspects of Natural Immunity and Disease Resistance.
PMID- 29645704
TI - Total Cystectomy for Vesical Carcinoma.
PMID- 29645705
TI - Forced Grasping and Groping.
PMID- 29645706
TI - Syphilis of the Bladder.
PMID- 29645707
TI - Recurring Cervical Chondroma.
PMID- 29645708
TI - The Treatment of Varicose Ulceration by Intravenous Injections of Quinine.
PMID- 29645709
TI - Tuberculosis of the Penis.
PMID- 29645710
TI - Plexiform Neuroma of the Eyelid.
PMID- 29645711
TI - The Etiology of Tumour Growth from the Evolution Standpoint.
PMID- 29645712
TI - Erratum: Clinical Pathology.
AB - [This corrects the article on p. 425 in vol. 35.].
PMID- 29645713
TI - The "Krukenberg" Tumour.
PMID- 29645715
TI - Notes.
PMID- 29645714
TI - Tuberculous Infection in Industrial School Children.
PMID- 29645716
TI - Cocaine Intoxication and Poisoning in Local Anaesthesia.
PMID- 29645717
TI - Some Problems of Rheumatic Infections.
PMID- 29645718
TI - Criminal Abortion by Perchloride of Mercury.
PMID- 29645719
TI - Clinical Meeting.
PMID- 29645720
TI - An Unusual Utilisation of a Fascial Graft.
PMID- 29645721
TI - Fracture-Dislocation of the Talus. Two Cases and Their Mechanism Described.
PMID- 29645722
TI - Transmission of Tubercle Bacilli to the Child in Utero.
PMID- 29645723
TI - On the Signs of, and Tests for, Drunkenness.
PMID- 29645724
TI - Tuberculous Ulceration of the Lower Lip.
PMID- 29645725
TI - Intranasal Encephalocele.
PMID- 29645726
TI - Periarterial Sympathectomy by the Application of Ammonia.
PMID- 29645727
TI - Cancer of the Stomach Mistaken for an Enlarged Spleen.
PMID- 29645729
TI - Acute Enteric Cholecystitis in a Child.
PMID- 29645728
TI - Recent Experiences of Intracranial Surgery.
PMID- 29645730
TI - Congenital Defect of Abdominal-Wall Muscles.
PMID- 29645731
TI - Subcutaneous Glomal Tumours: Painful Subcutaneous Nodule: Les Angioneuromyomes
Arteriels. (P. Masson).
PMID- 29645732
TI - Congenital Talipes and Lumbar Pachymeningitis.
PMID- 29645733
TI - Sialolithiasis.
PMID- 29645734
TI - Sir James Wylie, Bart., M.D., 1768-1854: A Medical Adventurer.
PMID- 29645735
TI - Metastatic Carcinoma of the Central Nervous System.
PMID- 29645736
TI - Torsion of the Testis.
PMID- 29645738
TI - Primary Sarcoma of the Spleen.
PMID- 29645737
TI - Notes.
PMID- 29645739
TI - Medicine in Edinburgh.
PMID- 29645741
TI - Subcortical Epilepsy.
PMID- 29645740
TI - Clinical Contribution to Drug Addiction: The Struggle for Cure and the Conscious
Reasons for Relapse.
PMID- 29645742
TI - Consecutive Tests by the Fractional Method of Gastric Analysis.
PMID- 29645743
TI - Medical Education in Scotland.
PMID- 29645744
TI - Carcinoma of the Breast.
PMID- 29645745
TI - Nodular Non-Tuberculous Pulmonary Sclerosis.
PMID- 29645746
TI - Recurrent Spontaneous Pneumothorax.
PMID- 29645747
TI - Notes.
PMID- 29645749
TI - Foreign Body in a Pregnant Uterus.
PMID- 29645748
TI - "Stream-Lines" in the Portal Vein: Their Influence on the Selective Distribution
of Blood in the Liver.
PMID- 29645750
TI - An Unusual Lipoma.
PMID- 29645751
TI - Rupture of the Stomach in an Infant.
PMID- 29645752
TI - Treatment of Cancer of the Cervix during Pregnancy.
PMID- 29645754
TI - Ureteral Papillomata.
PMID- 29645753
TI - The Examination of the Gastric Contents as an Aid to the Diagnosis of Carcinoma
of the Stomach.
PMID- 29645755
TI - Congenital Hypertrophy of the Neck of the Bladder.
PMID- 29645756
TI - The Correlations of Graves' Disease and Thyroiditis.
PMID- 29645757
TI - Ligature of the Inferior Vena Cava.
PMID- 29645758
TI - Cobra Venom.
PMID- 29645759
TI - Congenital Duodenal Obstruction.
PMID- 29645760
TI - The Control of Diphtheria: A Plea for Active Immunisation of the Pre-School
Child.
PMID- 29645761
TI - Post-Traumatic Osteodystrophy at Joints.
PMID- 29645762
TI - Some Problems in the Growth and Developmental Mechanics of Bone.
PMID- 29645763
TI - Corrections.
AB - [This corrects the article on p. 261 in vol. 41.].
PMID- 29645764
TI - Primary Cancer of the Lung: Its Incidence and Pathology.
PMID- 29645765
TI - Clinical Studies in the Pathology of Bone. No. IV.-A Plated Fracture.
PMID- 29645766
TI - Scarlet Fever.
PMID- 29645767
TI - Ramisection in Perforating Ulcer.
PMID- 29645769
TI - Role of the Sympathetic Nervous System in Melancholia.
PMID- 29645768
TI - The Mental Element in Crime and Criminals.
PMID- 29645771
TI - Ovarian Dermoid in a Child.
PMID- 29645770
TI - Valedictory Address.
PMID- 29645772
TI - Notes.
PMID- 29645773
TI - The Adreno-Genital Syndrome: An Illustrative Case with Special Reference to Its
Relation to Cushing's New Pituitary Syndrome.
PMID- 29645774
TI - Post-Traumatic Decalcification.
PMID- 29645775
TI - Familial Voluntary Digital Dorsiflexion.
PMID- 29645776
TI - Congenital Mesial Sinus of the Nose.
PMID- 29645777
TI - The Mental Element in Crime and Criminals.
PMID- 29645778
TI - Lumbar Puncture in Some Head Injuries.
PMID- 29645779
TI - Notes.
PMID- 29645780
TI - The Preparation of Catgut for Surgical Use.
PMID- 29645781
TI - Coagulation Treatment of Phlebectasia.
PMID- 29645783
TI - Processus Vermiformis Duplex.
PMID- 29645782
TI - Contacts of the Law with Medical Practice.
PMID- 29645784
TI - Chondromatosis of the Elbow.
PMID- 29645785
TI - The Relation of the Peripheral Lymphatic System to the Spinal Cord.
PMID- 29645786
TI - Some Problems in the Growth and Developmental Mechanics of Bone.
PMID- 29645788
TI - Neurovasomotor Disturbance from Impaction of a Sequestrum in the Mastoid Air
Sinus.
PMID- 29645787
TI - Perspectives in Physiology.
PMID- 29645789
TI - Morning Pain in Frontal Sinusitis.
PMID- 29645791
TI - Correction.
AB - [This corrects the article on p. 293 in vol. 41.].
PMID- 29645790
TI - Renal Efficiency Testing: The Urea Concentration Range in Diagnosis and Prognosis
of Kidney Inefficiency.
PMID- 29645792
TI - Silica in Relation to Pulmonary Disease.
PMID- 29645793
TI - Phrenic Evulsion in the Treatment of Pulmonary Tuberculosis.
PMID- 29645794
TI - Demonstration of Growths of Tubercle Bacilli.
PMID- 29645795
TI - Impressions from a Recent Personal Study of Tuberculosis Work in the United
States of America.
PMID- 29645796
TI - Demonstration Illustrating the Comparative Value of Certain Media in the Culture
of Tubercle Bacilli.
PMID- 29645797
TI - Tuberculous Disease of the Middle Ear and Mastoid.
PMID- 29645798
TI - The Detection of Tubercle Bacilli in the Blood Stream in Pulmonary Tuberculosis.
PMID- 29645800
TI - Problems of Research in Tuberculosis.
PMID- 29645799
TI - Tuberculosis in Relation to Eye Disease.
PMID- 29645801
TI - Some Aspects of Tuberculosis of the Nervous System. (With Special Reference to
Tuberculomata).
PMID- 29645802
TI - Clinical Meeting.
PMID- 29645803
TI - Clinical Studies in the Pathology of Bone. No. V.-An Epidural Abscess.
PMID- 29645804
TI - The Treatment of Hypochromic Anaemia with Soluble Ferrous Salts.
PMID- 29645805
TI - Pregnancy at Six Years of Age.
PMID- 29645806
TI - The Motive Function of Lymph-Nodes.
PMID- 29645807
TI - The Mental Element in Crime and Criminals.
PMID- 29645808
TI - Scoliosis-Paraplegia.
PMID- 29645810
TI - Sex-Differences in the Gall-Bladder.
PMID- 29645809
TI - Prognosis in Mammary Carcinoma: In Relation to Grading and Treatment.
PMID- 29645811
TI - Radiography of the Lymph-Vascular System.
PMID- 29645813
TI - Congenital Pseudarthrosis of the Tibia.
PMID- 29645812
TI - Extensive Burns and Scalds.
PMID- 29645815
TI - Alcoholic Polyneuritis.
PMID- 29645814
TI - Acrodysplasia: Type: Syndactylic Oxycephaly.
PMID- 29645816
TI - Gastric Syphilis.
PMID- 29645817
TI - Solitary Osseous Lipoid Granulomatosis.
PMID- 29645818
TI - The Teaching of the Neuroses to Medical Students.
PMID- 29645819
TI - Primary Lymphogranuloma of the OEsophagus.
PMID- 29645820
TI - Fat Necrosis in the New-Born.
PMID- 29645821
TI - Marble-Bone Disease.
PMID- 29645823
TI - Cocainization of the Pharynx.
PMID- 29645822
TI - Pneumonia and Other Common Respiratory Conditions in the New-Born: A Clinical
Study.
PMID- 29645824
TI - Foot Disorders and Resistant Eczematous Ringworm.
PMID- 29645826
TI - Playing Cards and Microorganisms.
PMID- 29645825
TI - A Histological Study of the Normal Mamma in Relation to Tumour Growth: II.-The
Mature Gland in Pregnancy and Lactation.
PMID- 29645827
TI - Parotid Ephidrosis.
PMID- 29645828
TI - Salivary Fistulae.
PMID- 29645830
TI - Pancreatic Cyst.
PMID- 29645829
TI - Recurrence of Ringworm Infection of the Feet.
PMID- 29645831
TI - Epidermomycosis.
PMID- 29645832
TI - Intravenous Injection of Alcohol in Suppurative Pulmonitis.
PMID- 29645833
TI - Tobacco Amblyopia.
PMID- 29645834
TI - Innervation of the Amnion.
PMID- 29645835
TI - Sir Edward Sharpey-Schafer and His Contributions to Neurology.
PMID- 29645836
TI - Lipoblastic Sarcomatosis.
PMID- 29645837
TI - Familial Haemorrhagic Telangiectasis.
PMID- 29645838
TI - A Case of Congenital Short Neck Showing the Klippel-Feil Syndrome.
PMID- 29645840
TI - Consecutive Traumatism of the Lunate Bones.
PMID- 29645839
TI - Observations on Blood Pressure in Healthy Adult Males.
PMID- 29645841
TI - Rickety Osteodystrophy.
PMID- 29645843
TI - The Surgery of High Blood Pressure.
PMID- 29645842
TI - Clinical Meeting.
PMID- 29645844
TI - Lipiodol and the Lung.
PMID- 29645845
TI - Lingual Lymphangeioma.
PMID- 29645847
TI - Embolic Aneurysm in Endocarditis.
PMID- 29645846
TI - Embolectomy.
PMID- 29645848
TI - The Teaching of the Neuroses to Medical Students.
PMID- 29645850
TI - Intravascular Migration of Missiles.
PMID- 29645849
TI - The Specific Effect of Ascorbic Acid on the Anaemia of Scurvy.
PMID- 29645851
TI - Medical Education in Scotland.
PMID- 29645853
TI - Pneumokoniosis and Carcinoma.
PMID- 29645852
TI - Notes.
PMID- 29645855
TI - Mediastinal Reticulosarcoma.
PMID- 29645854
TI - Progeria.
PMID- 29645857
TI - Multiple Calcifications and Parathyreoidectomy.
PMID- 29645856
TI - Notes.
PMID- 29645858
TI - Labiobuccal Tuberculosis.
PMID- 29645859
TI - Acute Osteomyelitis of the Ribs.
PMID- 29645860
TI - Cobra Venom in Carcinoma.
PMID- 29645862
TI - Medicine in Early Greek Mythology.
PMID- 29645861
TI - A Histological Study of the Normal Mamma in Relation to Tumour Growth: II.-The
Mature Gland in Pregnancy and Lactation.
PMID- 29645863
TI - Arterial Obliteration from Crutch-Pressure.
PMID- 29645865
TI - Gastro-OEsophageal Intussusception.
PMID- 29645864
TI - Glandular Cheilitis.
PMID- 29645866
TI - Progressive Facial Dystrophy.
PMID- 29645867
TI - Accessory Denticle in the Eyelid.
PMID- 29645868
TI - Carcino-Sarcoma : A Study of the Microscopic Anatomy and Meaning of a Peculiar
Cancer.
PMID- 29645869
TI - Multiple Cavernous Angeiomata.
PMID- 29645870
TI - Treatment of Acute Rheumatism.
PMID- 29645871
TI - Large Accessory Air-Sinuses.
PMID- 29645872
TI - Notes.
PMID- 29645873
TI - Rheumatic Heart Disease: Its Nature, Course and Prevention.
PMID- 29645874
TI - Forensic Medicine.
PMID- 29645875
TI - Cases Illustrative of the Operation for Femoral Hernia.
PMID- 29645876
TI - Statistics.
PMID- 29645877
TI - Practical Remarks on the Treatment of the Various Forms of Dyspepsia.
PMID- 29645878
TI - On the Electrical Apparatus in Torpedo, Gymnotus, Malapterurus, and Raia.
PMID- 29645879
TI - Valedictory Address to the Newly Made Medical Graduates of the University of
Edinburgh, 1st August, 1855.
PMID- 29645881
TI - Resignation of Professor Alison.
PMID- 29645880
TI - The Month.
PMID- 29645882
TI - Opening of an Institution for the Treatment of Idiocy in Edinburgh.
PMID- 29645884
TI - Case of a Gentleman Who Was Born Deaf and Dumb, and Subsequently Became Insane.
PMID- 29645883
TI - Scarlet Fever Occurring Twice in the Same Person.
PMID- 29645885
TI - Surgery of the War in China.
PMID- 29645886
TI - Epidemics-Hygiene, etc., etc.
PMID- 29645887
TI - On the Os Sacrum Considered as Forming Part of the Vault of the Pelvis, and on
Its Function in the Development of the Lateral Expansion of That Cavity.
PMID- 29645888
TI - On the Local Treatment of Erysipelas with Gutta Percha.
PMID- 29645890
TI - Medico-Legal Investigation-Child Murder.
PMID- 29645889
TI - Lecture on the Lamina Spiralis of the Cochlea.
PMID- 29645891
TI - Cases of Homicidal and Accidental Suffocation, with Remarks.
PMID- 29645892
TI - The Earl Grey Street Murder.
PMID- 29645893
TI - Medico-Legal Observations on Manual Strangulation and Death by External Violence,
with Experiments and Illustrative Cases.
PMID- 29645894
TI - Inaugural Lecture.
PMID- 29645896
TI - The Month.
PMID- 29645895
TI - Sudden Death in a Case in Which Chloroform Was Being Administered.
PMID- 29645897
TI - The Influence of Vaccination on the Rate of Mortality in France.
PMID- 29645899
TI - Introductory Lecture.
PMID- 29645898
TI - On the Communicability of Cholera by Dejections.
PMID- 29645900
TI - Surgery.
PMID- 29645901
TI - Diseases of the Spinal Cord and Its Membranes.
PMID- 29645902
TI - Case of Imputed Murder by Manual Strangulation; with Observations.
PMID- 29645903
TI - A Case of Supposed Impermeable Stricture of the Urethra Cured by Dilatation, with
Remarks.
PMID- 29645904
TI - Lecture on the Retina.
PMID- 29645905
TI - Medicine.
PMID- 29645906
TI - Varieties.
PMID- 29645907
TI - The Month.
PMID- 29645909
TI - Midwifery.
PMID- 29645908
TI - Practical Remarks on the Treatment of the Various Forms of Dyspepsia.
PMID- 29645910
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye-Infirmary.
PMID- 29645911
TI - Midwifery.
PMID- 29645912
TI - On the Electrical Apparatus in Torpedo, Ymnotus, Malapterurus, and Raia.
PMID- 29645913
TI - Conversazione by the Royal College of Physicians to the Officers of the Channel
Fleet.
PMID- 29645914
TI - Preliminary Examination Papers for the Double Qualification Conferred by the
Royal Colleges of Physicians and Surgeons of Edinburgh.
PMID- 29645915
TI - Notes on the Hospitals of Munich.
PMID- 29645916
TI - Notice of the Revaccination of Children at the Orphan Hospital.
PMID- 29645917
TI - Preliminary Examination Papers-Second Series.
PMID- 29645918
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140, George
Street.
PMID- 29645920
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645919
TI - Practice of Medicine.
PMID- 29645921
TI - General Council of Medical Education and Registration.
PMID- 29645923
TI - Varieties.
PMID- 29645922
TI - Report on the Causes of Death among the Assured of the Scottish Widows' Fund Life
Assurance Society, for the Period from 1st January 1853 to 1st January 1860.
PMID- 29645924
TI - Case of Leucocythemia.
PMID- 29645925
TI - Medical Jurisprudence.
PMID- 29645926
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29645927
TI - Case of Chronic Internal Abscess of the Lower Jaw.
PMID- 29645929
TI - Medicine.
PMID- 29645928
TI - Remarks on Sayre's Splint for Morbus Coxarius.
PMID- 29645930
TI - Varieties.
PMID- 29645931
TI - Notes on Certain Feigned Diseases of Soldiers, and on Crime and Desertion in the
Army.
PMID- 29645932
TI - Report of the Missionary Hospital in the Western Suburbs of Canton, for 1859-60.
PMID- 29645933
TI - Case of Abscess of the Larynx.
PMID- 29645934
TI - Erratum: Delirium Tremens.
AB - [This corrects the article on p. 305 in vol. 6.].
PMID- 29645935
TI - On the Use of Calomel in the Removal of Insects in Sores.
PMID- 29645936
TI - Clinical Report on the Diseases of Women; with Observations.
PMID- 29645937
TI - Surgery.
PMID- 29645938
TI - On Acupressure.
PMID- 29645939
TI - The Radical Cure of Hernia.
PMID- 29645940
TI - Anatomy.
PMID- 29645941
TI - University of Edinburgh-Meeting of the General Council.
PMID- 29645942
TI - Quarterly Return of Births, Deaths, and Marriages.
PMID- 29645943
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29645944
TI - Report by Dr Weisse, at the Celebration of the 25th Anniversary of the Nicholas
Sick Children's Hospital in St Petersburgh, 6th December, 1859.
PMID- 29645945
TI - Preliminary Examination of the Royal Colleges of Physicians and Surgeons of
Edinburgh, for the Double Qualification.
PMID- 29645946
TI - Case of Intestinal Worms Terminating Fatally.
PMID- 29645947
TI - Case of Traumatic Spreading Gangrene, after Severe Compound Fracture of the Leg,
for Which Amputation beneath the Trochanters Was Performed, and the Arteries
Secured by Four Acupressure Needles: The Femoral Artery, at Its Giving off the
Profunda Branch, Was Relieved from Pressure at the Forty-Ninth Hour after the
Operation: With Recovery; and Remarks.
PMID- 29645948
TI - Periscope of Otology.
PMID- 29645949
TI - Upon the Causes, Prevention, and Treatment of Afterpains.
PMID- 29645950
TI - On Sacro-Pubic Hernia, Otherwise Known as Prolapsus Uteri.
PMID- 29645951
TI - On a New Antiseptic and Antineuralgic Agent.
PMID- 29645953
TI - A Case of Cerebro-Spinal Meningitis. Recovery.
PMID- 29645952
TI - Fatal Case of Ague, with Delirium and Coma during the Paraxysms Due to Cirrhotic
Kidney.
PMID- 29645954
TI - Clinical Notes.
PMID- 29645956
TI - Periscope of Ophthalmology.
PMID- 29645955
TI - Forfarshire Medical Association.
PMID- 29645957
TI - On Cleft Palate and Incisor Teeth: An Instance of Heredity.
PMID- 29645958
TI - Occasional Periscope of Dermatology.
PMID- 29645959
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29645960
TI - Report of the Royal Maternity and Simpson Memorial Hospital for the Quarter from
1st February to 30th April 1880.
PMID- 29645961
TI - Meetings of Societies.
PMID- 29645962
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29645963
TI - Some of the Uses of Oil of Turpentine.
PMID- 29645964
TI - On the Alleged Synclitic Movement of the Foetal Head.
PMID- 29645966
TI - Medical News.
PMID- 29645965
TI - Cases of Puerperal Fever Treated by the Muriated Tincture of Iron.
PMID- 29645967
TI - Meetings of Societies.
PMID- 29645968
TI - Upon the Causes, Prevention, and Treatment of Afterpains.
PMID- 29645969
TI - Periscope of Syphilology.
PMID- 29645970
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29645972
TI - On Rotheln, Rubeola, or German Measles.
PMID- 29645971
TI - Puberty and Adolescence Medico-Psychologically Considered.
PMID- 29645973
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29645974
TI - Notes of a Case of a Rare Form of Elephantiasis.
PMID- 29645975
TI - Occasional Periscope of Dermatology.
PMID- 29645976
TI - Note on the Mode in Which Compensation Is Established in Cases of Aortic
Incompetence.
PMID- 29645977
TI - Periscope of Otology.
PMID- 29645978
TI - On the Riviera, Madeira, the Canary Islands, and Davos, with Reference to Their
Climate for Consumptive Invalids.
PMID- 29645979
TI - Cases of Carcinoma of the Female Pelvic Organs in Professor Simpson's Wards
during the Current Session.
PMID- 29645980
TI - Army Medical School.
PMID- 29645982
TI - Navy Medical Department.
PMID- 29645981
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29645984
TI - Meetings of Societies.
PMID- 29645983
TI - Indian Medical Service.
PMID- 29645985
TI - Information Regarding Medical Education and Examinations.
PMID- 29645986
TI - Periscope of Syphilology.
PMID- 29645987
TI - On Axis-Traction Forceps.
PMID- 29645988
TI - Notes on Two Cases of Spina Bifida in the Cervical Region.
PMID- 29645990
TI - Medical News.
PMID- 29645989
TI - Clinical Notes.
PMID- 29645991
TI - Notes of a Case of Abscess in Abdominal Cavity.
PMID- 29645992
TI - Periscope of Otology.
PMID- 29645993
TI - Remarks on Obstetrics.
PMID- 29645994
TI - List of Hospitals, Dispensaries, etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29645995
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh.
PMID- 29645996
TI - Medical Schools of Scotland, 1880-81.
PMID- 29645997
TI - Army Medical Department.
PMID- 29645998
TI - Report of and Observations upon Two Cases of Puerperal Septicaemia.
PMID- 29645999
TI - 1880. Address to Students of Medicine.
PMID- 29646000
TI - The Hygiene of the Infectious Fevers.
PMID- 29646001
TI - Erratum.
AB - [This corrects the article on p. 236 in vol. 26.].
PMID- 29646002
TI - Tubercular Leprosy.
PMID- 29646003
TI - Remarks on Obstetrics.
PMID- 29646004
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29646005
TI - Dystocia from Coccygeal Ankylosis.
PMID- 29646006
TI - A Case of Extra-Uterine Pregnancy, Rupture, and Death from Haemorrhage, with
Results of Post-Mortem Examination.
PMID- 29646007
TI - Medical News.
PMID- 29646008
TI - Notes of a Case of Physometra.
PMID- 29646009
TI - Case of Spontaneous Umbilical Haemorrhage.
PMID- 29646010
TI - Periscope of Ophthalmology.
PMID- 29646011
TI - Case of Placenta Praevia Terminating Spontaneously.
PMID- 29646012
TI - Case of Delivery through a Scoliotic Pelvis.
PMID- 29646013
TI - On Diseases of the Andaman Islands.
PMID- 29646014
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29646015
TI - The Hygiene of the Infectious Fevers.
PMID- 29646016
TI - Occasional Periscope of Dermatology.
PMID- 29646018
TI - Notes on an Outbreak of Enteric Fever.
PMID- 29646017
TI - Periscope of Ophthalmology.
PMID- 29646019
TI - The Examination of the Pulse.
PMID- 29646020
TI - Introductory Address, Delivered at the Opening of the Edinburgh Medical School,
on 25th October 1880.
PMID- 29646021
TI - Monthly Report of the Progress of Therapeutics.
PMID- 29646022
TI - Bladder-Drainage.
PMID- 29646023
TI - The Parasitic Fungi of the Human Ear: Etiology. Prophylaxis, Treatment,
Applications to General Therapeutics.
PMID- 29646024
TI - Ectopia Renalis.
PMID- 29646025
TI - Case of Needle in the Urethra Extracted through Posterior Wall.
PMID- 29646026
TI - Meetings of Societies.
PMID- 29646027
TI - Medical News.
PMID- 29646028
TI - On the Radical Treatment of Hernia with the Aid of Catgut and Listerian
Antiseptics.
PMID- 29646029
TI - Practical Observations on Some of the More Common Diseases of Early Life.
PMID- 29646030
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29646032
TI - A Rare Case of Bullet in the Brain for Sixty-Five Years.
PMID- 29646031
TI - Occasional Periscope of Dermatology.
PMID- 29646033
TI - Periscope of Otology.
PMID- 29646035
TI - On Tinea Imbricata, or Tokelau Ringworm.
PMID- 29646034
TI - Medical News.
PMID- 29646036
TI - A Case of Arrested Development of the Cerebellum and the Bones of the Skull in a
Child.
PMID- 29646037
TI - Scarlet Fever in the Puerperium.
PMID- 29646038
TI - Meetings of Societies.
PMID- 29646039
TI - On Medical Education and Reform: Address to the Medical Graduates of the
University of Edinburgh, 2d August 1880.
PMID- 29646040
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29646041
TI - Upon the Causes, Prevention, and Treatment of Afterpains.
PMID- 29646042
TI - Comparison between the Scoliotic and Obliquely Contracted (Naegele) Pelves.
PMID- 29646043
TI - Overpopulation and Its Proposed Treatment by Lessening the Birth-Rate.
PMID- 29646044
TI - On Axis-Traction Forceps.
PMID- 29646045
TI - Report of and Observations upon Two Cases of Puerperal Septicaemia.
PMID- 29646046
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29646047
TI - Periscope of Otology.
PMID- 29646048
TI - On the Riviera, Madeira, the Canary Islands, and Davos, with Reference to Their
Climate for Consumptive Invalids.
PMID- 29646049
TI - A Bill to Amend the Law Relating to the Unlawful Administering of Poison.
PMID- 29646050
TI - Royal College of Surgeons-Conversazione.
PMID- 29646051
TI - Electricity as a Means of Light in Surgical Operations, Etc.
PMID- 29646052
TI - Army Medical Department.
PMID- 29646053
TI - Scottish Universities Commission.
PMID- 29646054
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646055
TI - Medicine.
PMID- 29646056
TI - Midwifery.
PMID- 29646057
TI - Royal Medical Society-Conversazione.
PMID- 29646058
TI - On the Prevalence of Heat Apoplexy among Soldiers during the Hot-Weather Campaign
in 1858.
PMID- 29646059
TI - Clinical Notes.
PMID- 29646061
TI - University of Edinburgh.
PMID- 29646060
TI - The Indian Medical Service and the New Warrant.
PMID- 29646062
TI - Some Remarks on a Certain Unusual Form of Arterial Obstruction.
PMID- 29646063
TI - Varieties.
PMID- 29646064
TI - Surgery.
PMID- 29646065
TI - Museum of Morbid Anatomy at Fort Pitt.
PMID- 29646067
TI - Report of General Board of Lunacy for Scotland.
PMID- 29646066
TI - Case of Rupture of the Urinary Bladder.
PMID- 29646068
TI - Army Medical School.
PMID- 29646069
TI - Penalties Attached to the Registration of Deaths.
PMID- 29646070
TI - Account of the Aurengzebie, or Delhi Sore.
PMID- 29646071
TI - On Tracheotomy in Croup.
PMID- 29646072
TI - On Retroflexion of the Unimpregnated Uterus; with Cases Illustrative of Its
Causes and of a New Mode of Treatment.
PMID- 29646073
TI - Sick Children's Hospital.
PMID- 29646074
TI - Physiology.
PMID- 29646075
TI - Small-Pox in Scotland, as It Is, Was, and Ought to Be; with Hints for Its
Mitigation by Legislative Enactment.
PMID- 29646077
TI - The London and Dublin Colleges of Surgeons.
PMID- 29646076
TI - On the True Relation between Synovitis and Ulceration of Articular Cartilage.
PMID- 29646078
TI - Midwifery.
PMID- 29646079
TI - Surgery.
PMID- 29646080
TI - Remarks on the Musculus Kerato-Cricoideus, a Muscle of the Larynx (Merkel's
Muscle).
PMID- 29646082
TI - Varieties.
PMID- 29646081
TI - Cases of Tracheotomy in Croup, with Clinical Remarks.
PMID- 29646083
TI - On Pericarditis.
PMID- 29646084
TI - Circular Accompanying General Order as to Qualifications of Medical Officers.
PMID- 29646085
TI - Scottish Branch of General Council of Medical Education and Registration.
PMID- 29646086
TI - Notes of an Epidemic of Diphtheria.
PMID- 29646087
TI - Conversazione at the Royal College of Surgeons.
PMID- 29646088
TI - Chair of Surgery in Glasgow-Extraordinary Proceeding.
PMID- 29646089
TI - Medicine.
PMID- 29646090
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646091
TI - Contributions to the Natural History of General Paralysis.
PMID- 29646092
TI - Position of Medical Graduates under the New Scotch Reform Bill.
PMID- 29646093
TI - Case of Abdominal Abscess, with Extensive Mortification, and Recovery.
PMID- 29646095
TI - Surgery.
PMID- 29646094
TI - Royal College of Surgeons-Conversazione.
PMID- 29646096
TI - The War Office, Contagion and Fumigation.
PMID- 29646097
TI - Return of Births, Deaths, and Marriages in Scotland for the Year 1859.
PMID- 29646098
TI - Scottish Registered Medical Practitioners' Association.
PMID- 29646099
TI - Medicine.
PMID- 29646100
TI - Observations on Some of the Daily Changes of the Urine.
PMID- 29646101
TI - On the Rational Treatment of Delirium Tremens.
PMID- 29646102
TI - Hygiene.
PMID- 29646103
TI - Clinical Notes.
PMID- 29646104
TI - Toxicology.
PMID- 29646105
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646106
TI - Varieties.
PMID- 29646108
TI - Surgery.
PMID- 29646107
TI - Hora Harveiana: An Address Delivered at the Annual Meeting of the Harveian
Society, Edinburgh, April 13, 1860.
PMID- 29646109
TI - Notes on an Epidemic of Diphtheria.
PMID- 29646110
TI - Quarterly Return of Births, Deaths, and Marriages.
PMID- 29646111
TI - Case of Cancer of the Bladder.
PMID- 29646112
TI - Installation of Lord Brougham as Chancellor of Edinburgh University.
PMID- 29646113
TI - Notes on the State of Lithotomy, past and Present. From a Lecture.
PMID- 29646114
TI - Treatment of Onyxis with Nitrate of Silver.
PMID- 29646115
TI - Toxicology.
PMID- 29646117
TI - Medicine.
PMID- 29646116
TI - Remarks on Dr Farr's Proposed New Statistical Classification of Diseases for
Statistical Returns.
PMID- 29646118
TI - Errata.
AB - [This corrects the article on p. 1037 in vol. 5.].
PMID- 29646119
TI - On Opium as a Remedy in Poisoning by Datura.
PMID- 29646120
TI - Errata.
AB - [This corrects the article on p. 843 in vol. 5.].
PMID- 29646121
TI - Varieties.
PMID- 29646122
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646123
TI - Clinical Notes.
PMID- 29646125
TI - Medicine.
PMID- 29646124
TI - Historical Sketch of the Royal College of Surgeons of Edinburgh; Being an Address
Delivered in the Hall of the College in Nicolson Street, at a Conversazione on
19th January 1860: With Notes and Documents.
PMID- 29646126
TI - Qrarterly Return of Births, Deaths, and Marriages.
PMID- 29646127
TI - On Calculus and Cholera in India.
PMID- 29646128
TI - Observations on Some of the Daily Changes of the Urine.
PMID- 29646129
TI - Opening in Drummond Street, Edinburgh, of a Dispensary for Diseases of the Teeth.
PMID- 29646131
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646130
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140, George
Street.
PMID- 29646133
TI - Surgery.
PMID- 29646132
TI - Address to the Medical Profession.
PMID- 29646134
TI - Case of Hydatid Tumour and Abscess of the Liver.
PMID- 29646135
TI - Varieties.
PMID- 29646136
TI - Trial of Two Medical Men for Practising Syphilitic Inoculation at Lyons.
PMID- 29646138
TI - The Late Director-General of the Army Medical Department.
PMID- 29646137
TI - Case of Pyoemia with Typhus Fever.
PMID- 29646139
TI - On the Uterine Leucorrhoea of Old Women.
PMID- 29646141
TI - Hygiene.
PMID- 29646140
TI - Physiology.
PMID- 29646142
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646144
TI - Edinburgh Obstetrical Society.
PMID- 29646143
TI - Cases Communicated.
PMID- 29646145
TI - On Tracheotomy in Croup.
PMID- 29646146
TI - Royal Medical Society, Edinburgh.
PMID- 29646147
TI - University of Edinburgh.
PMID- 29646148
TI - Report of the Missionary Hospital at Kum-Lee-Fow, in the Western Suburbs of
Canton, for the Year 1858-9.
PMID- 29646149
TI - Case of Tumour of the Brain.
PMID- 29646150
TI - The Principalship of the United College, St Andrews.
PMID- 29646151
TI - Acupressure-A New Method of Arresting Surgical Hoemorrhage.
PMID- 29646152
TI - Account of the Illness and Death of Dr William Pulteney Alison, Emeritus
Professor of the Practice of Physic in the University of Edinburgh.
PMID- 29646153
TI - Medicine.
PMID- 29646154
TI - Public Vaccination.
PMID- 29646155
TI - Varieties.
PMID- 29646156
TI - Poisoning by Carbonic Acid Gas, with Recovery.
PMID- 29646158
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646157
TI - Case of Extensive Adhesion of the Inferior Margin of the Soft Palate to the
Posterior Wall of the Fauces, with a Description of the Parts Seen on Dissection.
PMID- 29646159
TI - Surgery.
PMID- 29646160
TI - Case of Caesarean Section, with Successful Results to Both Mother and Child.
PMID- 29646161
TI - An Account of Tubercular Leprosy in the Island of Madagascar.
PMID- 29646163
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646162
TI - Surgical Cases.
PMID- 29646164
TI - Remarks on Syphilis and Its Treatment.
PMID- 29646165
TI - Report of the Trial of George Bryce for Murder.
PMID- 29646167
TI - Case of Death Resulting from the Practice of Arsenic-Eating.
PMID- 29646166
TI - Observations on Shoulder Presentations of the Foetus.
PMID- 29646168
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646169
TI - Practice of Medicine.
PMID- 29646170
TI - The Physiological Actions of Dajaksch, an Arrow Poison, Used in Borneo.
PMID- 29646171
TI - Royal Medical and Chirurgical Society.
PMID- 29646172
TI - Case of Rupture of the Uterus, in Which Gastrotomy Was Successfully Performed.
PMID- 29646173
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646175
TI - Further Observations on the Waxy or Amyloid Form of Bright's Disease.
PMID- 29646174
TI - Report of the Trial of Thomas Arnot for Murder.
PMID- 29646176
TI - Note on a Case of Poisoning by the Calabar Bean.
PMID- 29646178
TI - Medical Practitioners in Melbourne.
PMID- 29646177
TI - Cases of Delirium Tremens Treated with Large Doses of the Tincture of Digitalis.
PMID- 29646179
TI - Twelve Cases of Ovariotomy.
PMID- 29646180
TI - Medical Jurisprudence.
PMID- 29646181
TI - On the Treatment of Albuminuria in Children.
PMID- 29646182
TI - On Some Customs of the People of Old Calabar Relative to Pregnancy and
Parturition.
PMID- 29646183
TI - Fourteen Cases of Ovariotomy.
PMID- 29646184
TI - Contagious Diseases Bill.
PMID- 29646185
TI - Midwifery.
PMID- 29646186
TI - Surgery.
PMID- 29646187
TI - Cosmic Sensibility.
PMID- 29646189
TI - A Few Words Concerning Bantingism.
PMID- 29646188
TI - The Medical Warrant for India.
PMID- 29646190
TI - Extensive Poisoning by the Calabar Bean.
PMID- 29646192
TI - Memorial of the Royal College of Surgeons of Edinburgh.
PMID- 29646191
TI - Petition of the President and Fellows of the Faculty of Physicians and Surgeons
of Glasgow.
PMID- 29646193
TI - On the Wire Compress as a Substitute for the Ligature.
PMID- 29646194
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646195
TI - Notes of a Case of Alopecia Areata Treated by Carbolic Acid.
PMID- 29646196
TI - Why Animals to Be Eaten Must Be Killed.
PMID- 29646197
TI - Graduation in Medicine at the University of Edinburgh.
PMID- 29646199
TI - Homoeopathy and Mathematics.
PMID- 29646198
TI - Army Medical Service.-Assistant Surgeons.
PMID- 29646200
TI - On the Results of Recent Improvements in Operative Midwifery in Diminishing the
Number of Cases Requiring Embryotomy.
PMID- 29646202
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646201
TI - On the Arsenic-Eaters of Styria.
PMID- 29646203
TI - Army Medical School.
PMID- 29646204
TI - Introductory Lecture at the Medical School, Surgeons' Hall.
PMID- 29646206
TI - The Edinburgh School of Surgery: An Introductory Lecture.
PMID- 29646205
TI - Remarks on Syphilization as a Cure for Constitutional Syphilis.
PMID- 29646207
TI - Erratum.
AB - [This corrects the article on p. 431 in vol. 10.].
PMID- 29646208
TI - The Faculty of Medicine of Paris.
PMID- 29646209
TI - Midwifery.
PMID- 29646210
TI - Case of Ovariotomy.
PMID- 29646211
TI - Indian Medical Department.
PMID- 29646212
TI - The Morison Lectureship on Mental Diseases.
PMID- 29646213
TI - Army Medical Department.
PMID- 29646214
TI - Report of Investigation in the Case of J. S. Love, an Insane Prisoner.
PMID- 29646215
TI - The Compulsory Vaccination Act.
PMID- 29646216
TI - On the Weight and Length of the Newly-Born Child in Relation to the Mother's Age.
PMID- 29646217
TI - Medical School, Surgeons' Hall.
PMID- 29646219
TI - Medical Jurisprudence.
PMID- 29646218
TI - On the Advantages of a More Extended Use of Version in Cases of Disproportion.
PMID- 29646220
TI - University of Edinburgh-Number of Students.
PMID- 29646221
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646222
TI - On an Alleged Fallacy in Marsh's Process for the Detection of Arsenic.
PMID- 29646223
TI - Royal College of Surgeons of Edinburgh.
PMID- 29646224
TI - Great Mortality in the Washington Hospitals.
PMID- 29646225
TI - Case of Popliteal Aneurism a Second Time Treated Successfully by Compression.
PMID- 29646227
TI - On the Painless Extinction of Life in Animals Designed for Human Food.
PMID- 29646226
TI - Amputation at the Hip-Joint.
PMID- 29646228
TI - Remarks on the Compulsory Vaccination Act for Scotland.
PMID- 29646230
TI - Faculty of Medicine, Paris.
PMID- 29646229
TI - The Medical Service of the Army.
PMID- 29646231
TI - The London Medical Schools.
PMID- 29646233
TI - Royal College of Surgeons of England.
PMID- 29646232
TI - Statistical Account of Cases Admitted into the Clinique of M. Velpeau at La
Charite, during the Year 1863-64.
PMID- 29646234
TI - M. Trousseau and the Chair of Therapeutics.
PMID- 29646235
TI - On the Employment of Chloroform in Dental Surgery.
PMID- 29646236
TI - Some Observations on Scrofula on the North-East Coast of Scotland.
PMID- 29646237
TI - Yellow Fever at Bermuda.
PMID- 29646239
TI - Excision of Superior Maxillary Bone.
PMID- 29646238
TI - On Supporting the Perinaeum as a Preventive of Laceration at Childbirth.
PMID- 29646240
TI - Materia Medica and Therapeutics.
PMID- 29646241
TI - A French Account of English Hospital Physicians.
PMID- 29646242
TI - A Few Words on the Evidence against the Internal Use of Mercury in Syphilis and
Other Diseases.
PMID- 29646243
TI - Faculty of Physicians and Surgeons, Glasgow: Abstract of Regulations for the
Election and Admission of Fellows.
PMID- 29646244
TI - Two Cases of Ague, with a Few Remarks on the Same.
PMID- 29646245
TI - Practice of Medicine.
PMID- 29646246
TI - Army Medical Department.
PMID- 29646247
TI - Loss of the Second Phalanx of the Left Thumb with the Tendon of the Flexor Longis
Pollicis.
PMID- 29646248
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29646249
TI - Election of Professor to the Chair of Surgery in the Edinburgh University.
PMID- 29646250
TI - Information Regarding the Requirements in Preliminary and Professional Education
of the Various Licensing Bodies.
PMID- 29646251
TI - Dr. E. Smith on Dietetics.
PMID- 29646252
TI - Graduation Address to the Gentlemen Who Obtained the Degree of M.D. in the
University of Edinburgh, 1st August 1864.
PMID- 29646253
TI - On Insufflation as a Remedy in Intussusception.
PMID- 29646255
TI - Navy Medical Department.
PMID- 29646254
TI - Two Cases of Strangulated Inguinal Hernia.
PMID- 29646256
TI - Royal College of Surgeons of Edinburgh: Abstract of Regulations for the
Fellowship.
PMID- 29646257
TI - Surgery.
PMID- 29646258
TI - Case of Degeneration and Atrophy of the Cerebrum, Causing Unilateral Epilepsy.
PMID- 29646259
TI - Royal College of Physicians of Edinburgh: Regulations Regarding the Fellowship
and Membership of the College.
PMID- 29646260
TI - Faculty of Physicians and Surgeons, Glasgow: Abstract of Regulations for the
Election and Admission of Fellows.
PMID- 29646261
TI - Army Medical Department.
PMID- 29646263
TI - Royal College of Physicians of Edinburgh: Regulations Regarding the Fellowship
and Membership of the College.
PMID- 29646262
TI - Clinical Notes from the Dumfries Royal Infirmary.
PMID- 29646264
TI - Commissions in the Indian Medical Service.
PMID- 29646265
TI - British Medical Association.
PMID- 29646266
TI - Information Regarding Medical Education and Examinations.
PMID- 29646267
TI - Royal College of Surgeons of Edinburgh: Abstract of Regulations for the
Fellowship.
PMID- 29646268
TI - Notes on the Prison Dietaries in Scotland.
PMID- 29646269
TI - List of Hospitals, Dispensaries, Etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29646270
TI - The Address in Medicine.
PMID- 29646272
TI - Navy Medical Department.
PMID- 29646271
TI - Historical Sketch of the Edinburgh Anatomical School.
PMID- 29646273
TI - Army Medical School.
PMID- 29646274
TI - Cases of Thoracic Aneurism.
PMID- 29646275
TI - Report of Clinical Cases Treated in the Surgical Wards of the Royal Infirmary,
under the Care of Professor Spence, from October 1864 to October 1865.
PMID- 29646276
TI - Practice of Medicine.
PMID- 29646277
TI - General Council of Medical Education and Registration.
PMID- 29646278
TI - Case of Addison's Disease.
PMID- 29646279
TI - Notes on the Prison Dietaries in Scotland.
PMID- 29646280
TI - On the Mode of Action of Strychnia.
PMID- 29646281
TI - Case of Removal of a Fibroid Tumour of the Uterus, Weighing 29 lbs.
PMID- 29646282
TI - Sir Thomas Watson, M.D., Bart.
PMID- 29646283
TI - Meetings of Societies.
PMID- 29646284
TI - On the Treatment of Pneumonia.
PMID- 29646285
TI - The Two Services.
PMID- 29646286
TI - Practice of Medicine.
PMID- 29646287
TI - The Cholera at Liverpool.
PMID- 29646288
TI - Medical Reform.
PMID- 29646289
TI - On the Milk Cure.
PMID- 29646290
TI - Army Medical Service.
PMID- 29646292
TI - Cholera in the London Hospitals.
PMID- 29646291
TI - On Diphtheria.
PMID- 29646293
TI - Case of Cancer of the Lumbar Glands Simulating Abdominal Aneurism.
PMID- 29646294
TI - Two Cases of Aphasia and Right Hemiplegia, with Dissections.
PMID- 29646295
TI - Case of Air Found in the Chambers of the Heart.
PMID- 29646296
TI - Visitation of Examinations in Scotland.
PMID- 29646297
TI - Honour to Medicine.
PMID- 29646298
TI - Faculty of Medicine of Paris.
PMID- 29646300
TI - Greenock Medical Society.
PMID- 29646299
TI - Notice of Some of the Therapeutic Effects of the Bromide of Potassium.
PMID- 29646301
TI - Cases of Ovariotomy.
PMID- 29646303
TI - Medical School, Surgeons' Hall.
PMID- 29646302
TI - Medical Students in London.
PMID- 29646304
TI - University of Edinburgh-Number of Students.
PMID- 29646305
TI - On the Pathology of Cholera Collapse.
PMID- 29646306
TI - Case of Excision of One Lateral Half of the Tongue.
PMID- 29646307
TI - Opening of the Faculty of Medicine, Paris.
PMID- 29646308
TI - Historical Sketch of the Edinburgh Anatomical School.
PMID- 29646309
TI - On the Management of the Second Stage of Natural Labour.
PMID- 29646310
TI - Aphasia and Death Resulting from Softening in Left Anterior Cerebral Lobe and
Cerebellum, Due to Atheromatous Degeneration and Embolism of the Cerebral
Arteries.
PMID- 29646312
TI - Pathology.
PMID- 29646311
TI - Report of Clinical Cases Treated in the Surgical Wards of the Royal Infirmary,
under the Care of Professor Spence, from October 1864 to October 1865.
PMID- 29646313
TI - Midwifery.
PMID- 29646315
TI - Practice of Medicine.
PMID- 29646314
TI - History of an Epidemic of Rotheln, with Observations on Its Pathology.
PMID- 29646316
TI - The Modern Treatment of Pneumonia in Young Children, with Some Observations on
the Initial Auscultatory Sign of the Disease.
PMID- 29646318
TI - International Medical Congress at Paris.
PMID- 29646317
TI - Historical Sketch of the Edinburgh Anatomical School.
PMID- 29646319
TI - Errata.
AB - [This corrects the article on p. 360 in vol. 12.].
PMID- 29646320
TI - Disinfection of Cholera.
PMID- 29646321
TI - Elephantiasis of the Scrotum.
PMID- 29646322
TI - Royal College of Surgeons.
PMID- 29646323
TI - Some Remarks on Cholera, with Reminiscences of the Epidemic of 1832.
PMID- 29646324
TI - The Cholera Mist Again.
PMID- 29646325
TI - Practice of Medicine.
PMID- 29646326
TI - Graduation Address to the Gentlemen Who Obtained Their Medical Degree in the
University of Edinburgh, 1st August 1866.
PMID- 29646327
TI - The Faculty of Physicians and Surgeons of Glasgow.
PMID- 29646328
TI - Observations on the Physiology of the Larynx.
PMID- 29646330
TI - The Cholera in Paris.
PMID- 29646329
TI - On the Age of Nubility.
PMID- 29646331
TI - Commissions in the British Medical Service.
PMID- 29646332
TI - Meetings of Societies.
PMID- 29646334
TI - Graduation in Medicine at the University of Edinburgh.
PMID- 29646333
TI - Edinburgh Medical Students' Christian Association.
PMID- 29646335
TI - Suggestions Made for Preparation for the Advent of Asiatic Cholera: By a
Committee of the Royal College of Physicians of Edinburgh, at the Request of the
Chairman of the Board of Supervision for the Relief of the Poor in Scotland,
August 1866.
PMID- 29646337
TI - Regulations as to the Pay and Position of Naval Medical Officers.
PMID- 29646336
TI - On Dislocation at the Shoulder-Joint.
PMID- 29646338
TI - Remarks on a Case of Spurious Menstruation during Pregnancy.
PMID- 29646339
TI - Varieties.
PMID- 29646340
TI - Midwifery.
PMID- 29646341
TI - Case of Imperforate Anus. Proposed Modification in Operative Interference.
PMID- 29646342
TI - Lunacy Bill for Scotland.
PMID- 29646343
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646344
TI - A Case of Successful Deligation of the Subclavian Artery, for the Cure of a Large
Axillary Aneurism.
PMID- 29646345
TI - Alcohol, Its Place and Power.
PMID- 29646346
TI - Remarks on That Form of Moral Insanity Called Dipsomania, and the Legality of Its
Treatment by Isolation.
PMID- 29646348
TI - Obstetrical Society of Edinburgh.
PMID- 29646347
TI - Starch as a Constituent of the Animal Organism.
PMID- 29646349
TI - Half-Yearly Report of Cases Occurring at the Edinburgh Eye Infirmary.
PMID- 29646351
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646350
TI - Cases in Surgical Practice.
PMID- 29646352
TI - Case of Aneurism of the Aorta, with Laryngeal Spasm, in Which Tracheotomy Was
Performed, with Remarks.
PMID- 29646353
TI - Varieties.
PMID- 29646355
TI - Medical Jurisprudence.
PMID- 29646354
TI - Clinical Notes.
PMID- 29646356
TI - Case of Acute Meningitis Treated by Early Blood-Letting.
PMID- 29646357
TI - On the Changes Which Have Taken Place in the Constitution of Fevers and
Inflammations in Edinburgh during the Last Forty Years.
PMID- 29646358
TI - Case of a Ruptured External Iliac Aneurism, Treated by Ligature of the Common
Iliac Artery.
PMID- 29646359
TI - Therapeutical Record.
PMID- 29646360
TI - The Action of Cod-Liver Oil, and the Substitution of Ordinary Fats in Food.
PMID- 29646361
TI - Some Further Remarks on the Internal Surface of the Uterus, and on Its Relations
with the Placenta.
PMID- 29646362
TI - Midwifery.
PMID- 29646363
TI - Case of Aortic Aneurism Pressing on the Left Bronchus, and Proving Fatal by
Hemorrhage.
PMID- 29646364
TI - Medicine.
PMID- 29646365
TI - Arsenic: Its Physiological and Therapeutical Effects; with Reflections on the
Relations of Certain Diseases, Their Common Origin, and Treatment.
PMID- 29646366
TI - Varieties.
PMID- 29646367
TI - Notes of a Case of Ileus, Caused by a Large Gall-Stone Impacted in the Ileum;
Death from Rupture of the Heart.
PMID- 29646368
TI - On Adherent Pericardium; Its Diagnosis and Its Results.
PMID- 29646369
TI - Royal College of Surgeons-Conversazione.
PMID- 29646370
TI - On the Communication of the Infection of Fever by Ingesta.
PMID- 29646372
TI - Medical Jurisprudence.
PMID- 29646371
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646374
TI - Royal College of Surgeons-Conversazione.
PMID- 29646373
TI - Dietary at a Homoeopathic Hospital.
PMID- 29646375
TI - Toxicology.
PMID- 29646377
TI - Cases in Surgical Practice.
PMID- 29646376
TI - Cases of Tracheotomy in Croup, and for the Removal of Foreign Bodies.
PMID- 29646378
TI - On the Medicinal Action of Lupuline.
PMID- 29646380
TI - Therapeutical Record.
PMID- 29646379
TI - Case of Chylous or Fatty Urine.
PMID- 29646382
TI - Varieties.
PMID- 29646381
TI - Midwifery.
PMID- 29646383
TI - British Medical Association.
PMID- 29646384
TI - On the Nature and Mode of Formation of the So-Called Hyaline Bodies Found in
Pathological Effusions.
PMID- 29646385
TI - Notes on the History of the Mucous Membrane of the Body of the Uterus. William
and John Hunter.
PMID- 29646386
TI - The Condition of the Lung Not Invariably to Be Depended On, as a Proof That the
Infant Has Been Born Alive.
PMID- 29646387
TI - Road Reform-Claims of Surgeons.
PMID- 29646388
TI - Remarks on Two Cases of Poisoning by Opium in Young Children.
PMID- 29646389
TI - Clinical Lecture on Pneumonia, Delivered in the Glasgow Royal Infirmary on the
11th January 1858.
PMID- 29646390
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646391
TI - Royal Medical Society-Conversazione.
PMID- 29646392
TI - Varieties.
PMID- 29646393
TI - Syphilization; Its Mode of Performance and Its Results.
PMID- 29646395
TI - Facts on the Question of the Average Duration of Pregnancy.
PMID- 29646394
TI - On What Is the Theory of a Change of Type in Disease Based?
PMID- 29646396
TI - Hygiene.
PMID- 29646398
TI - The Spread of Cholera by Personal Communication, as Seen in the Crimean Campaign.
PMID- 29646397
TI - On a Case of Spontaneous Gangrene from Arteritis, and on the Causes of
Coagulation of the Blood in Diseases of the Blood-Vessels.
PMID- 29646399
TI - Half-Yearly Medical Report of Fife County Prison, Ending December 31, 1857.
PMID- 29646400
TI - Hereditary Predisposition to Dipsomania.
PMID- 29646401
TI - Removal of a Cancerous Cervix Uteri by Means of the Ecraseur. With Remarks.
PMID- 29646402
TI - Royal College of Surgeons-Conversazione.
PMID- 29646403
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646404
TI - Some Remarks on the Proper Design of the Semilunar Lines of Douglas. With Three
Illustrations.
PMID- 29646405
TI - Medicine.
PMID- 29646407
TI - Medical Jurisprudence.
PMID- 29646406
TI - Tetanus Treated with Extract of Indian Hemp: Recovery.
PMID- 29646408
TI - Toxicology.
PMID- 29646409
TI - Case of Infantile Convulsions; Successfully Treated by Carbonate of Iron, after
Failure by Other Means.
PMID- 29646410
TI - On the Influence of the Woollen Manufactures on Health.
PMID- 29646411
TI - On the Results of Adherent Pericardium.
PMID- 29646412
TI - Remarks on the Pathology of Syphilis and Gonorrhoea.
PMID- 29646413
TI - The Reaction of the Urine in Disease and in Treatment.
PMID- 29646414
TI - Natural Chemical Stimulators.
PMID- 29646415
TI - Clinical Meeting.
PMID- 29646416
TI - Intrapleural Division of Adhesions in the Pneumothorax Treatment of Pulmonary
Tuberculosis. (Internal Pneumolysis).
PMID- 29646417
TI - The Effect of Reticulo-Endothelial Blockade on Natural Antibodies and Natural
Immunity Reactions.
PMID- 29646418
TI - Debatable Tumours in Human and Animal Pathology-V. Giant-Cell Tumour of Bone.
PMID- 29646419
TI - Abdominal Symptoms in Cardiac Disease.
PMID- 29646421
TI - Recovery and Rehabilitation.
PMID- 29646420
TI - The Streptococcal Diseases.
PMID- 29646422
TI - Haemorrhoids and Their Treatment.
PMID- 29646423
TI - Clinical Meeting.
PMID- 29646424
TI - Zinc Protamine Insulin.
PMID- 29646425
TI - OEstrogenic and Androgenic Substances in Pregnancy.
PMID- 29646426
TI - Sprained Ankle.
PMID- 29646427
TI - Some Aspects of Thyrotoxicosis and the Results of Treatment.
PMID- 29646428
TI - Passages of Medical History-Edinburgh Medicine, 1828-1860.
PMID- 29646429
TI - Notes.
PMID- 29646430
TI - The Therapeutic Use of Barbiturates.
PMID- 29646431
TI - The Incidence of Favus in Scotland.
PMID- 29646432
TI - Bronchiectasis-A Fatal Disease.
PMID- 29646434
TI - Meetings of Societies.
PMID- 29646433
TI - Debatable Tumours in Human and Animal Pathology-VI. Meningioma.
PMID- 29646435
TI - On the Physiological Actions of the Ordeal Bean of Calabar, and on Its Antagonism
to Tetanus and Strychnia-Poisoning.
PMID- 29646436
TI - Conversazione at the Royal College of Surgeons.
PMID- 29646437
TI - On Ventilation and Cubic Space.
PMID- 29646438
TI - Doctor's Jubilee of Professor Romberg.
PMID- 29646439
TI - On the Reduction of Dislocations of the Hip-Joint by Manipulation.
PMID- 29646441
TI - Surgery.
PMID- 29646440
TI - French Lunacy Statistics.
PMID- 29646442
TI - Mortality of Diseases in Sweden.
PMID- 29646443
TI - Abstract of the Medical Report, for the Year Ending 31st December 1866, of the
General Prison for Scotland.
PMID- 29646444
TI - Medicine.
PMID- 29646446
TI - Appointment to the Chair of Anatomy in the University of Edinburgh.
PMID- 29646445
TI - On the Production of Inverted Uterus.
PMID- 29646447
TI - Encephalocele Successfully Removed.
PMID- 29646448
TI - Commissions in the Indian Medical Service.
PMID- 29646449
TI - Appointments.
PMID- 29646450
TI - Meetings of Societies.
PMID- 29646451
TI - Note on Preparation Jars.
PMID- 29646452
TI - Case of Amputation at Knee-Joint, by Dr Johnston, Montrose.
PMID- 29646453
TI - Influence of Marriage on the Death-Rates of Men and Women in Scotland.
PMID- 29646455
TI - Naval Medical Department.
PMID- 29646454
TI - Midwifery Statistics of Sweden.
PMID- 29646456
TI - The French International Medical Congress.
PMID- 29646457
TI - On the Pathology of Tubercular Meningitis.
PMID- 29646458
TI - Goodsir Fellowship in Anatomy and Physiology.
PMID- 29646459
TI - The Permanganates in Diphtheria.
PMID- 29646460
TI - Cases of Excision of the Knee-Joint, Illustrative of a New Method of after
Treatment.
PMID- 29646461
TI - Medicine.
PMID- 29646462
TI - Royal Infirmary.
PMID- 29646463
TI - Election of Professor of Botany in University of Dublin.
PMID- 29646465
TI - Inoculation Introduced into France by Voltaire.
PMID- 29646464
TI - Diphtheria.
PMID- 29646466
TI - Short History of the Outbreak of Cholera Which Recently Prevailed at Slateford,
near Edinburgh.
PMID- 29646468
TI - Curious Case of Hermaphroditism in 1654.
PMID- 29646467
TI - Case of Traumatic Tetanus Successfully Treated by the Direct Application of
Infusion of Tobacco.
PMID- 29646469
TI - Case of Aphasia with Right Hemiplegia; Death; Autopsy; Lesion of a Large Portion
of the Left Cerebral Hemisphere, Including the External or Inferior Left Frontal
Convolution; Embolism of Branches of the Left Middle Cerebral Artery.
PMID- 29646471
TI - Surgery.
PMID- 29646470
TI - Haemorrhage from Fibrous Tumour of the Uterus: Its Nature, Source, and Surgical
Treatment.
PMID- 29646472
TI - Excision of One Lateral Half of the Tongue.
PMID- 29646473
TI - Meetings of Societies.
PMID- 29646474
TI - On a Mode of Treatment for Ununited Fracture.
PMID- 29646475
TI - On the Neglect of the Study of Skin Diseases in England.
PMID- 29646476
TI - Conversazione at the Royal College of Surgeons of Edinburgh.
PMID- 29646477
TI - Meetings of Societies.
PMID- 29646478
TI - Colles's Fracture.
PMID- 29646479
TI - French International Medical Congress.
PMID- 29646480
TI - Goodsir Fellowship in Anatomy and Physiology.
PMID- 29646481
TI - Case of Suppression of Urine, Fatal on the Thirteenth Day.
PMID- 29646483
TI - Return of Professor Laycock.
PMID- 29646482
TI - The Life, Character, and Writings of William Hey of Leeds: A Discourse Delivered
at the Annual Meeting of the Harveian Society, Edinburgh, April 12, 1867.
PMID- 29646484
TI - Surgery.
PMID- 29646485
TI - Materia Medica.
PMID- 29646486
TI - On Chloroform in London.
PMID- 29646488
TI - Professor Syme's Treatment of Aneurism.
PMID- 29646487
TI - Cases Illustrative of the Insanity of Pregnancy, Puerperal Mania, and Insanity of
Lactation.
PMID- 29646489
TI - Commissions in the British Medical Service.
PMID- 29646490
TI - On the Legal Relations of Insanity: The Civil Incapacity and Criminal
Responsibility of the Insane.
PMID- 29646491
TI - Remarkable Case of Cervical Abscess in an Infant, Caused by the Swallowing of a
Piece of Glass.
PMID- 29646492
TI - Medicine.
PMID- 29646494
TI - Surgery.
PMID- 29646493
TI - Introductory Address Delivered at Surgeons' Hall, Edinburgh, at the Opening of
the Medical Session, October 1866.
PMID- 29646495
TI - Naval Medical Department.
PMID- 29646496
TI - On the Comparative Value of the Long Forceps and Turning in Cases of Contracted
Pelvic Brim: With Cases.
PMID- 29646497
TI - Meetings of Societies.
PMID- 29646498
TI - On the Use of Chlorine in the Treatment of Asiatic Cholera and Choleraic
Diarrhoea.
PMID- 29646499
TI - Dr Charles Bell on the Permanganates in Diphtheria.
PMID- 29646500
TI - Appointment to the Lecturership on Materia Medica at the Medical School,
Surgeons' Hall, Edinburgh.
PMID- 29646502
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646501
TI - Meetings of Societies.
PMID- 29646504
TI - Pathology.
PMID- 29646503
TI - Royal College of Physicians of Edinburgh.
PMID- 29646505
TI - On Excision of the Knee-Joint, and the Employment of a New Apparatus in the After
Treatment.
PMID- 29646506
TI - Uterine Surgery.
PMID- 29646507
TI - Therapeutics.
PMID- 29646508
TI - Haemorrhage from Fibrous Tumour of the Uterus: Its Nature, Source, and Surgical
Treatment.
PMID- 29646509
TI - On the Etiology of Stricture of the Urethra.
PMID- 29646510
TI - Naval Medical Department.
PMID- 29646512
TI - Mortality in Paris.
PMID- 29646511
TI - Army Medical Service.-Assistant Surgeons.
PMID- 29646513
TI - On Civil Incapacity.
PMID- 29646514
TI - On Dropsy of the Ovum.
PMID- 29646515
TI - Case of Lithotomy in the Forfar Infirmary.
PMID- 29646516
TI - Midwifery.
PMID- 29646517
TI - On the Comparative Frequency of Twin-Bearing in Different Pregnancies.
PMID- 29646519
TI - Glasgow Medico-Chirurgical Society.
PMID- 29646518
TI - The Dietary of Scottish Agricultural Labourers.
PMID- 29646520
TI - Materia Medica and Dietetics.
PMID- 29646521
TI - On the Influence Which Consanguinity in the Parentage Exercises on the Offspring.
PMID- 29646523
TI - Conversazione of the Royal College of Surgeons.
PMID- 29646522
TI - On the Physiology and Pathology of Certain Forms of Dilated Pupil.
PMID- 29646524
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646525
TI - On the Nature and Treatment of Uraemic Convulsions.
PMID- 29646526
TI - General Council of Medical Education and Registration.
PMID- 29646528
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646527
TI - The Modern Practice of Medicine: A Lecture Delivered before the Royal College of
Surgeons.
PMID- 29646530
TI - The Weather of May in Its Relation to Health.
PMID- 29646529
TI - Practice of Medicine.
PMID- 29646531
TI - Climacteric Insanity.
PMID- 29646532
TI - Virchow and the Cellular Pathology.
PMID- 29646533
TI - A Case of Traumatic Tetanus Treated by Opium Smoking and Internal Administration
of Chloroform and Hemp.
PMID- 29646534
TI - On Some Malformations of the Organs of Generation.
PMID- 29646535
TI - Abstract of Movement of Medical Profession: As per Scottish Local Medical
Register.
PMID- 29646537
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646536
TI - Case of Acute Strumous Laryngitis.
PMID- 29646538
TI - Conversazione of the Royal College of Surgeons.
PMID- 29646539
TI - On the Metal Indium and Recent Discoveries on Spectrum Analysis.
PMID- 29646540
TI - Death from Rupture of Varicose Veins in the Lower Extremities.
PMID- 29646541
TI - Letter from Henry Lee, Esq.
PMID- 29646542
TI - Surgery.
PMID- 29646543
TI - Physiology.
PMID- 29646545
TI - University of Edinburgh.
PMID- 29646544
TI - Notes on a Case of Paralysis Following Diphtheria.
PMID- 29646546
TI - Syphilization.
PMID- 29646547
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646548
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646549
TI - Letter from Professor J. Y. Simpson, M.D.
PMID- 29646550
TI - On Some Laws of the Production of Twins.
PMID- 29646551
TI - Notes on the Early History of Midwifery.
PMID- 29646552
TI - On the Influence Which Consanguinity in the Parentage Exercises upon the
Offspring.
PMID- 29646553
TI - Case of Foreign Body in the OEsophagus of an Idiot.
PMID- 29646554
TI - On the Characters of the Expectoration in Cases of Fetid Bronchitis and Gangrene
of the Lung.
PMID- 29646555
TI - General Council of Medical Education and Registration.
PMID- 29646556
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646557
TI - On the Statistics of Puerperal Insanity as Observed in the Royal Edinburgh
Asylum, Morningside.
PMID- 29646558
TI - Notes on Fetid Bronchitis, and Other Lung-Diseases with Fetid Breath.
PMID- 29646559
TI - Case of an Unusual Form of Nervous Disease, Dystaxia or Pseudo-Paralysis Agitans,
with Remarks.
PMID- 29646560
TI - A Description of a New Form of Uterine Support in Prolapsus.
PMID- 29646561
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646562
TI - Royal Infirmary of Edinburgh.
PMID- 29646563
TI - Observations on Contemporary French Surgery.
PMID- 29646565
TI - Questions at the Second Examination for the Degree of Bachelor of Medicine,
Oxford, December 2, 1864.
PMID- 29646564
TI - Rickets and Its Pathology Clinically Considered.
PMID- 29646566
TI - The Medical Warrant for India.
PMID- 29646567
TI - On Some Malformations of the Organs of Generation.
PMID- 29646569
TI - Royal College of Physicians.
PMID- 29646568
TI - On the Closure of Congenital Fissures in the Hard and Soft Palates.
PMID- 29646570
TI - Typhoid Fever in Penicuik.
PMID- 29646571
TI - The Arsenic-Eaters of Styria.
PMID- 29646572
TI - Army Medical Department.
PMID- 29646573
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646574
TI - Practice of Medicine.
PMID- 29646576
TI - Notes on the Medical Organization of the Army of the Potomac.
PMID- 29646575
TI - A Critical Inquiry Regarding Superfoetation, with Cases.
PMID- 29646577
TI - On Fetid Expectoration.
PMID- 29646578
TI - Royal Infirmary.
PMID- 29646579
TI - Introductory Lecture in the Summer Class of Clinical Surgery: Delivered at the
Royal Infirmary, on 4th May 1865.
PMID- 29646581
TI - On the Influence Which Consanguinity in the Parentage Exercises on the Offspring.
PMID- 29646580
TI - Observations on Some of the Changes Which Take Place after Re-Section of Bones
and Joints.
PMID- 29646582
TI - The Actions of the Bromide of Potassium upon the Nervous System.
PMID- 29646583
TI - Report of the Trial of Alexander Thomson for the Crime of Murder: In Which the
Defence of Insanity at the Time of the Act Was Sustained.
PMID- 29646584
TI - Case of Puerperal Convulsions Successfully Treated by the Inhalation of
Chloroform.
PMID- 29646586
TI - Military Surgery in France.
PMID- 29646585
TI - On a New Remedy for Dysentery.
PMID- 29646587
TI - General Council of Medical Education and Registration.
PMID- 29646588
TI - General Council of Medical Education and Registration.
PMID- 29646589
TI - Erratum.
AB - [This corrects the article on p. 444 in vol. 4.].
PMID- 29646590
TI - On the Comparative Influence of the Male and Female Parent upon the Progeny.
PMID- 29646591
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646592
TI - Surgery.
PMID- 29646593
TI - Half-Yearly Medical Report of Fife County Prison, Ending June 30, 1858.
PMID- 29646594
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary.
PMID- 29646595
TI - On the Contractions of the Uterus.
PMID- 29646596
TI - Trial of a Surgeon for Malpraxis.
PMID- 29646598
TI - Note of a Case of Rupture of the Ovary, Following Abortion.
PMID- 29646597
TI - Notes of Cases from the Kidderpore Hospital, Calcutta.
PMID- 29646599
TI - Varieties.
PMID- 29646600
TI - Report of the Trial of William Reid for Abortion and Murder.
PMID- 29646601
TI - Result of Mr Syme's Amputation.
PMID- 29646602
TI - Case of Ileus.
PMID- 29646603
TI - Medical Jurisprudence.
PMID- 29646604
TI - Therapeutical Record.
PMID- 29646605
TI - Medicine.
PMID- 29646606
TI - Lunacy Amendment Act.
PMID- 29646607
TI - Quarterly Return of Births, Deaths, and Marriages.
PMID- 29646608
TI - Medical Notes from the Continent: Or, Sketches of the Universities, Hospitals,
Lunatic Asylums, and Mineral Baths of Holland, Belgium, Germany, and Austria.
PMID- 29646609
TI - Case of Aneurism of the Arch of the Aorta, Complicated with Tubercle and
Hemiplegia, Terminating by Rupture into the Pericardium.
PMID- 29646610
TI - Remarks on the Changes Which Are Supposed to Have Taken Place in the Type of
Continued Fever.
PMID- 29646611
TI - Cretinism.
PMID- 29646612
TI - Cases of Aneurism Treated Unsuccessfully by Compression.
PMID- 29646613
TI - On the Use of Chloroform in Spasmodic Diarrhoea.
PMID- 29646614
TI - Erratum.
AB - [This corrects the article on p. 75 in vol. 4.].
PMID- 29646615
TI - Case of Ligature of the Brachial Artery, Illustrating the Persistent Vitality of
the Tissues.
PMID- 29646616
TI - Varieties.
PMID- 29646618
TI - The Medical Act.
PMID- 29646617
TI - Case of Cancerous Tumour Treated by Chloride of Zinc.
PMID- 29646619
TI - The Pathology of Miners' Lung.
PMID- 29646620
TI - The Fordoun Case.
PMID- 29646621
TI - Ununited Fracture of Fore-Arm of Seventeen Months Standing.-Operation-Cure.
PMID- 29646622
TI - Removal of a Cancerous Tumour from below the Tongue, with Some Remarks on the
Operative Surgery of the Tongue.
PMID- 29646623
TI - Association of Medical Officers of Asylums and Hospitals for the Insane.
PMID- 29646624
TI - Graduation Address: August 1858.
PMID- 29646625
TI - British Medical Association.
PMID- 29646627
TI - Army Sanitary Report.
PMID- 29646626
TI - Medical Notes from the Continent: Or, Sketches of the Universities, Hospitals,
Lunatic Asylums, and Mineral Baths of Holland, Belgium, Germany, and Austria.
PMID- 29646628
TI - Hematophobia: A Historical Sketch. With Special Reference to the Treatment of
Pneumonia.
PMID- 29646630
TI - A Case of Diseased Cricoid Cartilage.
PMID- 29646629
TI - The Melanosis of Miners; or, Spurious Melanosis.
PMID- 29646631
TI - Varieties.
PMID- 29646632
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary.
PMID- 29646633
TI - Case of Vesico-Vaginal Fistula, with Anteversion and Incarceration of the Cervix
Uteri in the Bladder. Replacement of the Uterus, and Closure of the Fistulous
Opening, by Means of the "Button Suture."
PMID- 29646634
TI - Medical Notes from the Continent: Or, Sketches of the Universities, Hospitals,
Lunatic Asylums, and Mineral Baths of Holland, Belgium, Germany, and Austria.
PMID- 29646635
TI - A Quack Doctor's Letter.
PMID- 29646636
TI - Medicine.
PMID- 29646637
TI - Cases in Surgical Practice.
PMID- 29646638
TI - Course of Study Required by the Various Boards of the United Kingdom.
PMID- 29646639
TI - Report of the Depot Hospital at Umballa, from 28th May 1857 to 31st March 1858.
PMID- 29646640
TI - Miner's Bronchitis, or Spurious Melanosis.
PMID- 29646642
TI - The Fordoun Case.
PMID- 29646641
TI - On the Nomenclature and Classification of Continued Fevers.
PMID- 29646643
TI - Medical Schools of Scotland, 1858-9.
PMID- 29646644
TI - Clinical Illustrations of the Pathology and Treatment of Delirium Tremens.
PMID- 29646645
TI - Two Cases of Aneurism of the Abdominal Aorta.
PMID- 29646646
TI - Large Fibrous Tumour of Uterus: Enucleation and Expulsion-Recovery.
PMID- 29646647
TI - Medicine.
PMID- 29646648
TI - Cancer of the Stomach, as Illustrated by Cases in Dr W. T. Gairdner's Wards at
the Edinburgh Royal Infirmary, during the Winter Session 1857-58.
PMID- 29646649
TI - Memorial of the Royal College of Physicians of Edinburgh.
PMID- 29646650
TI - Adherent Pericardium.
PMID- 29646651
TI - Note of the Result of an Analysis of a Portion of the Bread with Which A-Lum Was
Accused of Poisoning the European Residents at Hong-Kong.
PMID- 29646652
TI - On the Proclivity to Phthisis Commonly Ascribed to Persons of Xanthous
Complexion.
PMID- 29646653
TI - Our Medical Session.
PMID- 29646655
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29646654
TI - Statement by Medical Lecturers in Edinburgh, in Reference to the Bill of the
Right Hon. W. F. Cowper, on Medical Reform.
PMID- 29646656
TI - Curious Case of Twins, in Which the Cord of One Child Was Encircled by a Knot on
the Cord of the Other Child.
PMID- 29646657
TI - Half-Yearly Report of Cases Occurring at the Edinburgh Eye Infirmary.
PMID- 29646658
TI - On the Changes Which Have Taken Place in the Constitution of Fevers and
Inflammations in Edinburgh during the Last Forty Years.
PMID- 29646659
TI - Varieties.
PMID- 29646660
TI - The Therapeutic Relations of Opium and Belladonna to Each Other.
PMID- 29646661
TI - Registration Act.
PMID- 29646662
TI - Skoda's Statistics and Their Critic.
PMID- 29646663
TI - Medicine.
PMID- 29646664
TI - History of a Case in Which Inflammatory Softening Existed in a Limited Portion of
the Spinal Marrow.
PMID- 29646665
TI - Varieties.
PMID- 29646666
TI - A Case of Cynanche Maligna-Tracheotomy Performed.
PMID- 29646667
TI - On OEstrus or Affectio Bovina.
PMID- 29646668
TI - Surgery.
PMID- 29646669
TI - Propositions Concerning the Lues Venerea, with a Commentary.
PMID- 29646670
TI - Royal College of Surgeons.
PMID- 29646671
TI - Case of Poisoning with Strychnia.
PMID- 29646672
TI - Erratum.
AB - [This corrects the article on p. 226 in vol. 4.].
PMID- 29646673
TI - Therapeutical Record.
PMID- 29646675
TI - The Fellowship of the College of Surgeons.
PMID- 29646674
TI - The Medical Council.
PMID- 29646676
TI - Case of Sun-Stroke-Exposure for Thirty Hours-Recovery.
PMID- 29646677
TI - Rank of Army Surgeons.
PMID- 29646678
TI - Note on the Incubation of Guinea Worm (Filaria Medinensis.).
PMID- 29646679
TI - Demonstration of the Use of the Round Ligament of the Hip Joint.
PMID- 29646681
TI - Localized Vasodilatation.
PMID- 29646680
TI - Painless Dental Surgery.
PMID- 29646682
TI - The Symptomatology of Diabetes Mellitus: An Analysis of 1700 Cases.
PMID- 29646683
TI - Syphilis of the Stomach.
PMID- 29646684
TI - Tuberculous Meningitis in Pregnancy.
PMID- 29646685
TI - Notes.
PMID- 29646686
TI - Radiocarcinoma and Radium.
PMID- 29646687
TI - A Study of the AEtiology of Acute Rheumatism, with Special Reference to the
Relationship of the Haemolytic Streptococcus to the Disease.
PMID- 29646688
TI - Primary Carcinoma of the Fossa Navicularis.
PMID- 29646689
TI - A Comparison of the Wassermann, Kline, and Meinicke Tests for Sera.
PMID- 29646690
TI - Epilepsy and Dehydration.
PMID- 29646692
TI - The Treatment of Megacolon by Parathormone.
PMID- 29646691
TI - OEdema as Influenced by a Low Ratio of Sodium to Potassium Intake.
PMID- 29646693
TI - OEsophagetasia.
PMID- 29646694
TI - The Prediction of Sex in the Unborn.
PMID- 29646695
TI - Post-Traumatic Parotid Hyperhydrosis.
PMID- 29646696
TI - Congenital Defects of the Lung.
PMID- 29646697
TI - Homoiotransplantation of the Ovary.
PMID- 29646698
TI - Congenital Epulis.
PMID- 29646699
TI - Studies in Urinary Infection-Pathological, Therapeutic, and Bacteriological.
PMID- 29646700
TI - The Need of a Course in Medical Genetics in the Medical Curriculum: A Pivotal
Point in the Eugenic Programme.
PMID- 29646701
TI - Report of an Investigation into an Outbreak of Infectious Jaundice.
PMID- 29646702
TI - The Influence of Gerson Regime on Pulmonary Tuberculosis.
PMID- 29646703
TI - Precocious Puberty in the Female in Association with Chorion-Carcinoma of the
Ovary.
PMID- 29646704
TI - Dermatitis Due to Shoe Leather.
PMID- 29646705
TI - Latent Thyreoid Adenocarcinoma.
PMID- 29646706
TI - Traumatic Rupture of the Spleen in Pregnancy.
PMID- 29646707
TI - Acute Dermatitis Due to Opium Preparations.
PMID- 29646708
TI - Corrigan's Original Description of Aortic Regurgitation: A Reference to Some
Matters of Historical Interest.
PMID- 29646710
TI - Submandibular Sialolithiasis.
PMID- 29646709
TI - Chronic Alcoholism and Its Treatment.
PMID- 29646711
TI - Bullous Dermatosis Due to Sun-Bathing in Meadows.
PMID- 29646712
TI - The Fat-Soluble Vitamins-Their Significance in Nutrition.
PMID- 29646713
TI - Some Disorders of Speech in Childhood: Their Nature and Treatment.
PMID- 29646714
TI - The Ketogenic Treatment of Urinary Infections.
PMID- 29646716
TI - Mind and Medicine.
PMID- 29646715
TI - Sir John Eliot, Bart., M.D., of Peebles, and Some of His Friends.
PMID- 29646717
TI - Dermatitis Due to Jewellery.
PMID- 29646718
TI - Hypophysial Dysostosis.
PMID- 29646719
TI - The Treatment of Tuberculous Disease of the Kidney from the Standpoint of the
Surgeon.
PMID- 29646720
TI - Our Progress in the Prevention and Treatment of Tuberculosis.
PMID- 29646722
TI - Parathyreoid Osteodystrophy (Osteitis Fibrosa).
PMID- 29646721
TI - Robert Koch's Discovery of the Tubercle Bacillus: Some of Its Implications and
Results.
PMID- 29646723
TI - Results of Examination for Tubercle Bacillus of 1500 Samples of Milk in Dundee.
PMID- 29646725
TI - Lung Collapse Therapy.
PMID- 29646724
TI - Bronchial Asthma and Pulmonary Tuberculosis.
PMID- 29646727
TI - Abdominal Glandular Tuberculosis-A Study with Special Reference to Faecal Fat
Content.
PMID- 29646726
TI - Seasonal Variation in Tuberculosis, as Illustrated by a Study of Pus Formation in
Tuberculous Glands.
PMID- 29646728
TI - The Orientation of Medical Education.
PMID- 29646729
TI - Notes.
PMID- 29646730
TI - Trigeminal Neuralgia.
PMID- 29646731
TI - Carcinoma in the Mammary Lobule and Its Origin.
PMID- 29646732
TI - Spontaneous Pneumothorax in Infants.
PMID- 29646733
TI - Carcinoma of the Cervix.
PMID- 29646735
TI - Telangiectasis in the Great Trochanter.
PMID- 29646736
TI - Clinical Meeting.
PMID- 29646734
TI - A Series of Tumours of the Nervous System Classified According to Modern Methods.
PMID- 29646737
TI - Intervertebral Cysts.
PMID- 29646738
TI - Pneumoperitoneum.
PMID- 29646739
TI - An Unusual Bronchobiliary Fistula.
PMID- 29646740
TI - Studies in the Nephrotic Syndrome.
PMID- 29646741
TI - Notes.
PMID- 29646742
TI - Dysembryoma of the Great Omentum.
PMID- 29646743
TI - Individual Variation in the Response to Drugs.
PMID- 29646744
TI - Neuropathic Dilatation of the OEsophagus.
PMID- 29646745
TI - Muscle-Tonus and the Circulation.
PMID- 29646746
TI - Clinical Meeting.
PMID- 29646748
TI - Loose Bodies in the Tunica Vaginalis Testis.
PMID- 29646747
TI - Heredity in Cancer, and Its Value as an Aid in Early Diagnosis.
PMID- 29646750
TI - Enteric-Fever Cholecystitis.
PMID- 29646749
TI - Osteodystrophia and Parathyreoidectomy.
PMID- 29646751
TI - Simple Tumours of the Lung.
PMID- 29646753
TI - Unusual Tumour of the Common Bile-Duct.
PMID- 29646752
TI - Splenic Anaemia.
PMID- 29646754
TI - Capillary Regeneration.
PMID- 29646755
TI - Notes.
PMID- 29646756
TI - Congenital Dilatation of the Common Bile-Duct.
PMID- 29646758
TI - Agranulocytosis.
PMID- 29646757
TI - Pernicious Anaemia: Some Considerations in Regard to Its Nature and Pathogenesis.
PMID- 29646760
TI - An Unusual Tumour of the Tongue.
PMID- 29646759
TI - Alexander Hughes Bennett and the First Recorded Case in Which an Intracranial
Tumour Was Removed by Operation.
PMID- 29646761
TI - Retropharyngeal Lipoma.
PMID- 29646762
TI - Traumatic Pneumatocephalus.
PMID- 29646763
TI - Individual Variation in the Response to Drugs.
PMID- 29646764
TI - The Innervation of the Distal Colon.
PMID- 29646765
TI - Tendon Sheath Tumours.
PMID- 29646766
TI - Madame Curie.
PMID- 29646767
TI - A Suggested Hypothesis of Kidney Mechanism.
PMID- 29646768
TI - Treatment of Pharyngeal Diverticula.
PMID- 29646769
TI - Intertarsal Developmental Ankylosis.
PMID- 29646770
TI - Pre-Operative Medication.
PMID- 29646771
TI - Lupus Carcinoma.
PMID- 29646772
TI - Sir Charles Bell: A Brief Sketch of His Life and Work.
PMID- 29646774
TI - Codliver Oil Dressing for Wounds and Burns.
PMID- 29646773
TI - Lobar Pneumonia and Its Serological Treatment.
PMID- 29646775
TI - Tetany and Sympathectomy.
PMID- 29646777
TI - Fibroma of the Vagina.
PMID- 29646776
TI - Primary Carcinoma of the Liver in a Child.
PMID- 29646778
TI - Abscess of the Lung.
PMID- 29646780
TI - Innervation of Cicatrices.
PMID- 29646779
TI - Insulin Requirements of Diabetic Out-Patients.
PMID- 29646781
TI - Recurrent Dislocation at the Shoulder.
PMID- 29646782
TI - Scoliotic Vertebral Osteosis.
PMID- 29646783
TI - Orbitonasal Meningocele.
PMID- 29646784
TI - Anatomy of "The Splits".
PMID- 29646785
TI - Some Aspects of Acute Lobar Pneumonia, with Special Reference to Serum Therapy.
PMID- 29646786
TI - Two Cases of Diaphragmatic Hernia.
PMID- 29646787
TI - Renal Tuberculosis : I. Histopathology and Pathogenesis.
PMID- 29646788
TI - The School Medical History of Persons Who Develop Pulmonary Tuberculosis in Young
Adult Life.
PMID- 29646789
TI - Renal Tuberculosis : II. The Bacteriological Characteristics of the Tubercle
Bacilli.
PMID- 29646790
TI - Variabilite du Virus Tuberculeux.
PMID- 29646791
TI - Variations du Virus Tuberculeux dans les Cultures Homogenes: Les " bacilles nus "
de la tuberculose in vitro et in vivo.
PMID- 29646792
TI - Variations Biologiques du Virus Tuberculeux.
PMID- 29646793
TI - Biological Variations in the Tubercle Virus.
PMID- 29646794
TI - Meningeal Tuberculosis: Bacteriology and Pathology.
PMID- 29646795
TI - Cerebro-Spinal Fluid in Tuberculous Meningitis.
PMID- 29646796
TI - Meningeal Tuberculosis.
PMID- 29646797
TI - Meningeal Tuberculosis as a Terminal Feature in Pulmonary Tuberculosis.
PMID- 29646798
TI - Biologische Veranderungen des Tuberkulose Erregers.
PMID- 29646799
TI - Acute Pneumonic Phthisis : Report on Three Cases in Which Treatment by Artificial
Pneumothorax Was Attempted.
PMID- 29646800
TI - Some Observations on the Variations of the Tuberculosis Virus.
PMID- 29646801
TI - Variations Biologiques du Virus Tuberculeux.
PMID- 29646802
TI - Musings in the Garden: Fifty Years' Association with the Tubercle Bacillus.
PMID- 29646803
TI - Meningeal Tuberculosis: Seasonal, Age, and Sex Incidence.
PMID- 29646804
TI - Ninth Conference of the International Union against Tuberculosis, Warsaw, 4th to
6th September 1934.
PMID- 29646805
TI - Variations Biologiques du Virus Tuberculeux.
PMID- 29646806
TI - Variations Biologiques du Virus Tuberculeux.
PMID- 29646807
TI - Variabilite Biologique du Virus Tuberculeux.
PMID- 29646808
TI - Three Cases of Tuberculosis of the Central Nervous System Followed by Apparent
Clinical Recovery.
PMID- 29646809
TI - Renal Tuberculosis : III. Tubercle Bacilluria and Its Significance.
PMID- 29646810
TI - The Occurrence of the Different Groups of Haemolytic Streptococci in Human
Infections.
PMID- 29646811
TI - The Care and Cure of the Crippled Child in the South-East of Scotland: An Account
of the Princess Margaret Rose Hospital, Fairmilehead, Edinburgh, and Its
Associated Clinics.
PMID- 29646813
TI - Cancer of the Mouth and Jaws.
PMID- 29646812
TI - A Microscopical Inquiry into the AEtiology of Poliomyelitis with a Note on
Chronic Encephalitis Lethargica.
PMID- 29646814
TI - Clinical Meeting.
PMID- 29646815
TI - The Radiological Treatment of Breast Cancer and Its Metastases.
PMID- 29646816
TI - Tuberculosis of the Lungs in Childhood: Problems and Results of Treatment.
PMID- 29646817
TI - The Effect of P-Amino-Benzene-Sulphonamide upon Various Bacteria in Vitro and in
Vivo.
PMID- 29646818
TI - Clinical Meeting.
PMID- 29646819
TI - Some Reflections on the Pathogenesis and Treatment of Cancer of the Breast.
PMID- 29646820
TI - Pulmonary Tuberculosis in Children, with Special Reference to the Adult Types of
the Disease.
PMID- 29646821
TI - Medical Education in Scotland.
PMID- 29646822
TI - Passages of Medical History. Edinburgh Medicine from 1860.
PMID- 29646823
TI - The Periodicity of Influenza.
PMID- 29646824
TI - Gastroscopy.
PMID- 29646825
TI - Disseminated Sclerosis: A Review of Modern Work on Its AEtiology.
PMID- 29646826
TI - Notes.
PMID- 29646827
TI - Cancer and Its Biochemical Factors.
PMID- 29646828
TI - The Surgical Treatment of Coronary Disease.
PMID- 29646829
TI - Notes.
PMID- 29646830
TI - Recent Developments in the Surgery of Obstructive Jaundice, with Special
Reference to the Risk of Haemorrhage.
PMID- 29646831
TI - Metabolism of the Heart.
PMID- 29646833
TI - Chronic Hypertrophic Emphysema. Its AEtiology and the Cause of Some of Its Signs
and Symptoms.
PMID- 29646832
TI - The Acute Abdomen in Childhood.
PMID- 29646834
TI - Post-Traumatic Carotid-Cavernous Arteriovenous Fistula.
PMID- 29646835
TI - Late Effects of Head Injury.
PMID- 29646836
TI - Traumatic Epilepsy.
PMID- 29646837
TI - Visual Disturbances in Cases of Head Injury.
PMID- 29646839
TI - Mass Attack on Chronic Arthritis.
PMID- 29646838
TI - Some Ideals and the Business of Healing.
PMID- 29646840
TI - The Mechanism of Megaloblastic Blood Formation.
PMID- 29646841
TI - Changes in Blood Chemistry after Burning Injuries and in Other Grave Surgical
Conditions; with Some Reference to Treatment by Desoxycorticosterone Acetate.
PMID- 29646842
TI - Surgical Aspects of the Treatment of Toxic Goitre : Observations Based on a
Series of 243 Cases.
PMID- 29646843
TI - Notes on the Surgery of the Newborn. The Foetal Atresiae.
PMID- 29646844
TI - Debatable Tumours in Human and Animal Pathology-VIII. Melanoma.
PMID- 29646845
TI - If Health Be Wanting.
PMID- 29646846
TI - Varieties.
PMID- 29646847
TI - Statistics of Prisoners: Their Death-Rate and Their Diseases.
PMID- 29646848
TI - On the Determination of the Proportion of Solids in the Urine of Health and
Disease.
PMID- 29646850
TI - The Ordinances of the Universities Commissioners for Medical Degrees.
PMID- 29646849
TI - Hospital for Sick Children at Lisbon.
PMID- 29646851
TI - Description of a Case of Double Uterus.
PMID- 29646853
TI - Notes on the Baths of the Salzkammergut, and on the Route to Vienna by the
Danube.
PMID- 29646852
TI - Medicine.
PMID- 29646855
TI - General Council of Medical Education and Registration.
PMID- 29646854
TI - Scottish Universities Commission.
PMID- 29646856
TI - Toxicology.
PMID- 29646857
TI - Medical Act (1858) Amendment Bill.
PMID- 29646858
TI - The Ordinances of the Universities Commissioners Regarding Medical Degrees.
PMID- 29646859
TI - Medicine.
PMID- 29646860
TI - Notes on the Surgery of the Indian Campaign of 1857-58.
PMID- 29646861
TI - Observations on the Trichina Spiralis.
PMID- 29646863
TI - Hygiene.
PMID- 29646862
TI - Surgery.
PMID- 29646864
TI - On the Alteration of Pitch of Cardiac Murmurs by Conduction through the Various
Media Composing the Thoracic Organs and Walls.
PMID- 29646865
TI - Graduation in Medicine at the University of Edinburgh.
PMID- 29646866
TI - General Council of Medical Education and Registration.
PMID- 29646867
TI - Observations on Typhus and Typhoid Fevers as Seen in Dublin.
PMID- 29646868
TI - Varieties.
PMID- 29646869
TI - Quarterly Return of Births, Deaths, and Marriages.
PMID- 29646870
TI - Questions at the Last Examination of Army Assistant-Surgeons before Promotion.
PMID- 29646871
TI - Toxicology.
PMID- 29646873
TI - Varieties.
PMID- 29646872
TI - Notes on the Surgery of the Indian Campaign of 1857-58.
PMID- 29646874
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140, George
Street.
PMID- 29646875
TI - On the Manufacture of Castor Oil at Dinapore.
PMID- 29646876
TI - Table of Preliminary Examinations of the Various Medical Licensing Bodies.
PMID- 29646877
TI - Statistics of Prisoners: Their Diseases and General Health.
PMID- 29646878
TI - On Delirium Tremens.
PMID- 29646879
TI - Remarks upon the Birth-Rate as Affecting the Proportion of Deaths under Five
Years of Age.
PMID- 29646880
TI - Questions at the Last Examination of Candidates for Army Assistant-Surgeoncies.
PMID- 29646881
TI - Medical Schools of Scotland, 1860-61.
PMID- 29646883
TI - Case of Rupture of Ascending Aorta.
PMID- 29646882
TI - Introductory Address, Delivered at the Edinburgh Medical and Surgical School,
Surgeons' Hall, at the Opening of the Session 1859-60.
PMID- 29646885
TI - Course of Study Required by the Various Boards of the United Kingdom.
PMID- 29646884
TI - List of Hospitals, Dispensaries, Etc., in Connection with the Medical Schools of
Scotland.
PMID- 29646886
TI - Midwifery.
PMID- 29646887
TI - Surgery.
PMID- 29646888
TI - Medicine.
PMID- 29646889
TI - Report on the Loss of Limbs, as Affecting Longevity, among the Pensioners at the
Royal Hospital, Greenwich.
PMID- 29646891
TI - University of St Andrews.
PMID- 29646890
TI - Medical Education. When Does Professional Study Begin?
PMID- 29646892
TI - Irish Branch Council of Medical Education and Registration.
PMID- 29646893
TI - On Infantile Mortality, as Illustrated by Private Practice; with Suggestions for
Future Inquiries.
PMID- 29646894
TI - On the Use of Plaster of Paris Bandages for Dressing Stumps after Amputation.
PMID- 29646895
TI - Cholera at Gibraltar.
PMID- 29646896
TI - Surgical Cases and Observations.
PMID- 29646897
TI - Royal College of Surgeons.
PMID- 29646898
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29646899
TI - Additional Remarks on the Birth-Rate as Affecting the Mortality of Children under
Five Years of Age.
PMID- 29646900
TI - Medicine.
PMID- 29646901
TI - Variety.
PMID- 29646902
TI - Report of Clinical Cases Treated during the Session 1859-60, in the Surgical
Wards of the Royal Infirmary.
PMID- 29646903
TI - Opening of the Army Medical School.
PMID- 29646904
TI - Medical Constitution of the Spring and Summer of 1860 in France.
PMID- 29646905
TI - The Army in China.
PMID- 29646906
TI - Surgery.
PMID- 29646907
TI - Dental Surgery as an Adjunctive Branch at Our Medical Schools: From a Lecture
Delivered at the Medical School, Surgeons' Hall, May 1860.
PMID- 29646908
TI - Midwifery.
PMID- 29646909
TI - Case of Intra-Uterine Small-Pox.
PMID- 29646910
TI - Retirement of M. Ricord from the Hospital Du Midi.
PMID- 29646911
TI - Description of Two Pathological Preparations, Exhibiting Rupture of the Uterus
from Malignant Disease, and Peculiar Disease of the Ovaries with Peritoneo-Rectal
Fistula.
PMID- 29646912
TI - Dinner to the Medical Officers of the Channel Squadron.
PMID- 29646913
TI - A Pioneer in Tropical Medicine.
PMID- 29646914
TI - The Surgery of Blood Vessels.
PMID- 29646915
TI - The Early Stages of Leukaemia.
PMID- 29646916
TI - The Laevulose Tolerance Test in Childhood.
PMID- 29646917
TI - The Fate in the Body of Sulphapyridine.
PMID- 29646918
TI - Dermatitis from Grapes.
PMID- 29646919
TI - The Problem of the Virulence of the Tubercle Bacillus.
PMID- 29646920
TI - Lip-Stick Dermatitis.
PMID- 29646922
TI - The Treatment of Subacute Bacterial Endocarditis.
PMID- 29646921
TI - Occupational Therapy.
PMID- 29646923
TI - Nickel Eczema.
PMID- 29646924
TI - Nicotinic Acid Treatment of Pellagra: With Report of a Case Occurring in
Edinburgh.
PMID- 29646925
TI - Tuberculous Pyo-Pneumothorax, with Special Reference to Certain Points in Its
Management.
PMID- 29646926
TI - Accidental Tattooing of the Face.
PMID- 29646927
TI - Protruded Intravertebral Disc.
PMID- 29646928
TI - The Dynamics of Crowd Infection.
PMID- 29646929
TI - On Thrush, with Special Reference to Vaginal Thrush.
PMID- 29646931
TI - The Pathology of Speech and Voice.
PMID- 29646930
TI - The Use of OEstrogens in Obstetrics and Gynaecology.
PMID- 29646932
TI - Some Aspects of the Malnutrition Problem.
PMID- 29646933
TI - Dysmenorrhoea.
PMID- 29646934
TI - Physical Education in the University of Edinburgh.
PMID- 29646935
TI - Manifestations of Tuberculosis in Ophthalmic Practice.
PMID- 29646937
TI - Psychosomatic Medicine.
PMID- 29646938
TI - The Laevulose Tolerance Test in Intolerance to Anti-Syphilitic Therapy.
PMID- 29646936
TI - Observations on the Treatment of Severe Haematemesis and Melaena.
PMID- 29646939
TI - The Care of Children in War-Time and after.
PMID- 29646940
TI - Ergot and Its Alkaloids.
PMID- 29646941
TI - Intra-Abdominal Diagnosis.
PMID- 29646943
TI - Notes.
PMID- 29646942
TI - Hyperemesis Gravidarum.
PMID- 29646944
TI - The Diagnosis of Cancer of the Breast.
PMID- 29646945
TI - A Hundred Years Ago: German Measles.
PMID- 29646946
TI - Artificial Pneumoperitoneum Applied to Certain Therapeutic Problems in Pulmonary
Tuberculosis.
PMID- 29646947
TI - Action of Acetylsalicylic Acid on Teeth.
PMID- 29646948
TI - A Study on Allergy in Experimental Tuberculosis.
PMID- 29646949
TI - Expectorants in Chronic Bronchitis.
PMID- 29646950
TI - The Role of Zinc Ionisation in the Treatment of Allergy in the Nose.
PMID- 29646951
TI - On the Contributions of Genetics to Reconstructive Medicine.
PMID- 29646952
TI - Gas Warfare.
PMID- 29646954
TI - Notes.
PMID- 29646953
TI - Iodine and Exophthalmic Goitre.
PMID- 29646955
TI - A Hundred Years Ago: Chancery Cachexia.
PMID- 29646957
TI - Etiology and Modern Treatment of Varicose Ulcer.
PMID- 29646956
TI - The Work of a Regimental Medical Officer.
PMID- 29646958
TI - Treatment of War Wounds in France May-June 1940.
PMID- 29646959
TI - Painless Myocardial Infarction.
PMID- 29646960
TI - Case Record-Chloroma.
PMID- 29646961
TI - Experimental Hibernation in Metastatic Growths.
PMID- 29646962
TI - Two Cases of Follicular Lymphoblastoma.
PMID- 29646963
TI - Notes.
PMID- 29646965
TI - A Hundred Years Ago. The Reviewing of Books.
PMID- 29646964
TI - Some Aspects of Streptococcal Infection. A Review.
PMID- 29646966
TI - Studies on Stored Blood.: I. Results in a Series of 427 Transfusions.
PMID- 29646967
TI - Effort Syndrome in the Present War.
PMID- 29646968
TI - Carcinoma of the Stomach in Young Subjects.
PMID- 29646969
TI - Edinburgh Medical School a Hundred Years Ago.
PMID- 29646970
TI - Acute Leukaemia: A Clinical and Haematological Study.
PMID- 29646972
TI - Notes.
PMID- 29646971
TI - Modern Therapy in Specific Infections.
PMID- 29646973
TI - Medical Education in Scotland.
PMID- 29646974
TI - Studies on Stored Blood : III. The Oxygen Capacity of Stored Blood.
PMID- 29646975
TI - A Hundred Years Ago: Resuscitation.
PMID- 29646976
TI - Studies on Stored Blood: IV. Modifications in the Equipment for Blood
Transfusion.
PMID- 29646977
TI - A Survey of the Biological Types of the Diphtheria Bacillus in Edinburgh, 1932
1939.
PMID- 29646978
TI - Debatable Tumours in Human and Animal Pathology: IX. Endothelioma.
PMID- 29646979
TI - Studies on Stored Blood : II. The Leucocytes in Stored Blood.
PMID- 29646980
TI - Pediatric Surgery in General Practice.
PMID- 29646981
TI - The Role of the Luteal Hormone in the Maintenance of Gestation.
PMID- 29646982
TI - The Treatment of Disseminated Sclerosis as a Deficiency Disease: A Study of Fifty
Cases.
PMID- 29646983
TI - The Holmes-Adie Syndrome: A Benign Clinical Entity Which Simulates Syphilis of
the Nervous System.
PMID- 29646984
TI - An Investigation into the Sterility of the Droitwich Brine, and Its Bactericidal
Action.
PMID- 29646985
TI - Intestinal Obstruction : The Results of Recent Experiment Applied to Clinical
Practice.
PMID- 29646986
TI - Clinical Meeting.
PMID- 29646987
TI - A Gas-Heater Danger.
PMID- 29646988
TI - Reticuloendothelioma of the Leg.
PMID- 29646989
TI - Notes.
PMID- 29646990
TI - The Fats of Human Blood.
PMID- 29646992
TI - Ganglionectomy in Angina Pectoris.
PMID- 29646991
TI - Physiology and the Surgeon.
PMID- 29646993
TI - Salicylates in Rheumatic Fever.
PMID- 29646994
TI - Sympathectomy for Scleroderma.
PMID- 29646996
TI - Secondary Rupture of the Spleen.
PMID- 29646995
TI - Perspiration and the Sympathetic.
PMID- 29646998
TI - Notes.
PMID- 29646997
TI - Valedictory Address on "Methods of Examination of the Character of Patients and
Also Delinquents as a Help in Diagnosis and Treatment".
PMID- 29646999
TI - Studies in Method and Standardisation of Blood Examination: II. Sedimentation
Rate and Sedimentation Volume of Blood.
PMID- 29647000
TI - Hereditary Ocular Pigmentation.
PMID- 29647001
TI - Displacement of the Gall-Bladder.
PMID- 29647003
TI - Seborrhoea after Alcoholic Injection for Neuralgia.
PMID- 29647002
TI - Changing Conceptions of Disease.
PMID- 29647004
TI - Intraperitoneal Effusion of Bile.
PMID- 29647006
TI - Acid Ammonium Phosphate as a Urinary Acidifier.
PMID- 29647005
TI - Fever Therapy: Its Rationale in Diseases of the Nervous System.
PMID- 29647007
TI - The Effect of Constitutional "Vitality" on Prognosis, as Illustrated by Acute
Appendicitis.
PMID- 29647008
TI - Notes on the Diagnosis of Some Febrile Conditions.
PMID- 29647009
TI - Australian Aerial Medical Service.
PMID- 29647010
TI - Clinical Meeting.
PMID- 29647011
TI - Leuco-Erythroblastosis.
PMID- 29647012
TI - A Note on the Depot Treatment of Pernicious Anaemia.
PMID- 29647013
TI - The Parenteral Administration of Vitamin B1 in the Treatment of Polyneuritis and
Other Conditions.
PMID- 29647015
TI - Alexander Miles: Editor, Edinburgh Medical Journal, 1911-1936.
PMID- 29647014
TI - Lupus Vulgaris, with Special Reference to Its Treatment with the Finsen-Lomholt
Lamp.
PMID- 29647016
TI - Paroxysmal Auricular Tachycardia Associated with a Primary Cardiac Tumour.
PMID- 29647017
TI - Edinburgh Medicine, 1500-1700.
PMID- 29647018
TI - Crowd-Psychology as the Modern Menace: A Medico-Sociological Study.
PMID- 29647019
TI - The Epileptic Fit and the Epilepsies.
PMID- 29647021
TI - Notes.
PMID- 29647020
TI - Radiological Examination of the Gastro-Intestinal Tract.
PMID- 29647022
TI - Experimental Glomerulo-Nephritis Produced by the Use of Specific Sera.
PMID- 29647024
TI - Loose Bodies in the Pleural Cavity.
PMID- 29647023
TI - The Practical Application of B.C.G. Vaccine in the Prophylaxis of Tuberculous
Infection in Children.
PMID- 29647025
TI - Diagnosis and Treatment of Acute Abdominal Conditions in Children.
PMID- 29647026
TI - Some Points in the Histological Diagnosis of Tuberculosis.
PMID- 29647027
TI - An Experimental Study on Allergy and Immunity.
PMID- 29647028
TI - Mediastinal Neurofibroma.
PMID- 29647029
TI - Tuberculosis of the Testis.
PMID- 29647030
TI - Inoculation Tuberculosis. Report of an Unusual Case.
PMID- 29647031
TI - Notes on a Series of Nephrectomies for Tuberculosis.
PMID- 29647032
TI - Some Points in the Pathology and Bacteriology of Tuberculosis in Children.
PMID- 29647033
TI - Lupus Vulgaris : With Special Reference to Its Treatment with the Finsen-Lomholt
Lamp.
PMID- 29647034
TI - Avian Type Tubercle Bacillus: Its Characteristics, Cultural and Pathogenic, with
a Demonstration of Natural Disease Produced by the Bacillus.
PMID- 29647035
TI - The Role of B.C.G. in the Vaccination of Cattle against Tuberculosis.
PMID- 29647037
TI - Universal Scleroderma.
PMID- 29647036
TI - Isolated Fracture of the Lunate Bone.
PMID- 29647038
TI - Clinical Studies in the Pathology of Bone. No. I.-A Fractured Vertebra.
PMID- 29647040
TI - A Digital Tophus.
PMID- 29647039
TI - Pleonosteosis.
PMID- 29647041
TI - Rupture of the Rectus Abdominis Muscle.
PMID- 29647043
TI - The Evolution of Ideas Regarding Disease.
PMID- 29647042
TI - Brucella Infections: With Particular Reference to Undulant Fever in This Country.
PMID- 29647044
TI - Primary Perirectal Actinomycosis.
PMID- 29647046
TI - Superimposed Patellae.
PMID- 29647045
TI - Clinical Studies in the Pathology of Bone. No. III.-A Cicatricial Heterotopic
Bone.
PMID- 29647047
TI - The Use and Misuse of Carbohydrates.
PMID- 29647048
TI - Congenital Atresia of the Cervix Uteri.
PMID- 29647049
TI - Psoriasis and Arthropathy.
PMID- 29647050
TI - Parasternal True Hernia of the Diaphragm.
PMID- 29647051
TI - Spontaneous Pneumoperitoneum.
PMID- 29647052
TI - Posterior Trephining for Cerebral Effusion.
PMID- 29647054
TI - Injury and Diabetes.
PMID- 29647053
TI - A Study of the Lymphogranulomata.
PMID- 29647055
TI - Carcinoma of the Rectum. An Anatomico-Pathological Study.
PMID- 29647056
TI - Paralysis of the Recurrent Laryngeal Nerve. A Survey of 235 Cases.
PMID- 29647058
TI - Fracture of Carpal Navicular.
PMID- 29647057
TI - Mental Deficiency among Edinburgh School Children.
PMID- 29647059
TI - Retroperitoneal Wolffian Cyst.
PMID- 29647060
TI - Rupture of OEsophagus.
PMID- 29647062
TI - Notes.
PMID- 29647061
TI - Congenital Vascular Calcinosis.
PMID- 29647063
TI - Clinical Studies in the Pathology of Bone. No. II.-Localized Unilateral
Spondylitis.
PMID- 29647064
TI - Medical Education in Scotland.
PMID- 29647065
TI - Prognosis in Mammary Carcinoma: Its Relation to Clinical and Histological Grading
and to Treatment.
PMID- 29647066
TI - Agranulocytosis.
PMID- 29647067
TI - Primitive Abdominal Surgery.
PMID- 29647068
TI - Leiomyoma of Rectovaginal Septum.
PMID- 29647069
TI - Chorea: A Short Study of 200 Cases.
PMID- 29647070
TI - A Simple Test of Renal Efficiency.
PMID- 29647071
TI - Clinical Meeting.
PMID- 29647072
TI - A Neanderthaloid Skull Presenting Features of Cleidocranial Dysostosis and Other
Peculiarities.
PMID- 29647073
TI - The Use and Misuse of Carbohydrates.
PMID- 29647074
TI - Avulsion of the Radial Attachment of the Biceps Brachii.
PMID- 29647075
TI - Intracranial Aneurysms: Cerebral Arterio-Radiography: Surgical Treatment.
PMID- 29647077
TI - Blood Serum and Callus.
PMID- 29647076
TI - Chronic Nephrosis.
PMID- 29647078
TI - Notes.
PMID- 29647079
TI - Bee-Sting Treatment of Sciatica.
PMID- 29647080
TI - Does Pregnancy Hasten the Fatal Termination in Rheumatic Heart Disease.
PMID- 29647081
TI - Toxic Goitre: An Analysis of the Results of Surgical Treatment.
PMID- 29647082
TI - Typhoid in a Rural Area: An Epidemiological Note.
PMID- 29647083
TI - The Etiology of Recurrent Ocular Paralysis.
PMID- 29647084
TI - The New OEstrogens.
PMID- 29647085
TI - Modern Anaesthesia.
PMID- 29647086
TI - Studies in Method and Standardisation of Blood Examination.-VII. Blood
Sedimentation Rate, Sedimentation Volume and Centrifuge Volume.
PMID- 29647088
TI - Notes.
PMID- 29647087
TI - Studies on Stored Blood.: V. Observations on the Coagulation Mechanism in Stored
Blood.
PMID- 29647089
TI - Specialism.
PMID- 29647090
TI - A Hundred Years Ago.-Orthopaedics.
PMID- 29647091
TI - Studies on Stored Blood: VI.-Changes in the Erythrocytes during Storage.
PMID- 29647092
TI - The Physiological Approach to Medical Problems.
PMID- 29647093
TI - Nutritional Factors in Dark Adaptation.
PMID- 29647094
TI - The Lighter Side of Edinburgh Medical Life at the End of the Nineteenth Century.
PMID- 29647095
TI - Inspection.
PMID- 29647096
TI - A Rare Medical Anomaly.
PMID- 29647097
TI - Circulatory Collapse and Wound Shock.
PMID- 29647098
TI - A Student of 1765-70-A Glimpse of Eighteenth-Century Medicine.
PMID- 29647099
TI - Observations on the Classification of the Gliomas.
PMID- 29647100
TI - Studies on Stored Blood: VII.-The Effect of Sodium Sulphapyridine, Albucid
Soluble and Hydrogen Ion Concentration on Phagocytosis.
PMID- 29647101
TI - The Treatment of Septicaemia.
PMID- 29647102
TI - A Report on Six Obsessional Cases.
PMID- 29647103
TI - Tobacco and Coronary Disease.
PMID- 29647104
TI - Detecting Pelvic Contractions.
PMID- 29647105
TI - Notes.
PMID- 29647106
TI - "Night Cramps" Relieved by Quinine.
PMID- 29647107
TI - Immunisation against Scarlet Fever by Oral Administration of Toxin.
PMID- 29647108
TI - Sudden Blindness Following Gastro-Intestinal Haemorrhage.
PMID- 29647109
TI - Orthopaedics: A Brief Survey of Its Position.
PMID- 29647110
TI - Our Daily Bread.
PMID- 29647111
TI - Cullen's Introduction to His Course of Clinical Lectures in the Spring of 1768.
PMID- 29647112
TI - Notes.
PMID- 29647113
TI - The Use of Sulfanilamide in Dermatology.
PMID- 29647114
TI - War and Skin Disease.
PMID- 29647115
TI - The Modern Methods of Treating Lung Tuberculosis.
PMID- 29647116
TI - Cosmetic Irritants.
PMID- 29647117
TI - The Cause and Treatment of the Lethal Factors in Burns.
PMID- 29647118
TI - The Spread of Tuberculous Infection in the Child, and Its Relation to Disease in
the Adult.
PMID- 29647119
TI - Immunisation against Diphtheria.
PMID- 29647121
TI - The Action of Drugs on the Human Uterus.
PMID- 29647120
TI - The Use of Oxalated Finger Blood for Routine Haematological Examination.
PMID- 29647122
TI - The Production of Glomerulo-Nephritis in Animals by the Injection of Serum from
Patients Suffering from Nephritis.
PMID- 29647123
TI - The Lighter Side of Edinburgh Medical Life at the End of the Nineteenth Century.
PMID- 29647125
TI - Air-Raid Casualties.
PMID- 29647124
TI - A Note on the Use of M & B 693 in the Pneumonia of Children.
PMID- 29647126
TI - Some Comments on the Present State of Cancer Theory.
PMID- 29647127
TI - Alleged Immunity from Danger of Sulphuric Ether in Anaesthesia.
PMID- 29647128
TI - Medical Jurisprudence.
PMID- 29647129
TI - Medical News.
PMID- 29647130
TI - Royal College of Physicians, London.
PMID- 29647131
TI - On the Treatment of Fracture of the Lower Extremity of the Radius.
PMID- 29647132
TI - Varieties.
PMID- 29647133
TI - On an Epidemic of Typhus in the North-West Provinces of India.
PMID- 29647135
TI - Midwifery.
PMID- 29647134
TI - Harveian Oration: Delivered by Dr Charles Bell, Annual President of the Harveian
Society, on the 12th of April 1861.
PMID- 29647136
TI - University of Edinburgh.
PMID- 29647137
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29647138
TI - Statistics of Prisoners: Their Mental Condition and Diseases.
PMID- 29647139
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647141
TI - A Short Account of Two Fatal Cases of Heat Apoplexy, Which Occurred in August
Last on Board the Peninsular and Oriental Company's Screw-Steamer "Colombo," on
Her Voyage from Suez to Aden.
PMID- 29647140
TI - On Irregularities of the Omo-Hyoid Muscle; with Remarks upon Their Bearings on
the Surgical Anatomy of the Muscle.
PMID- 29647142
TI - On the Use of Alcoholic Stimulants in Hospital Medical Practice; with
Illustrations from the Records of the Royal Infirmary of Edinburgh.
PMID- 29647143
TI - Royal College of Surgeons Conversazione.
PMID- 29647144
TI - Surgery.
PMID- 29647145
TI - The Scientific Place and Principles of Medical Psychology: An Introductory
Address.
PMID- 29647146
TI - Royal College of Physicians of Edinburgh.
PMID- 29647147
TI - On Partial and Complete Loss of Sight in Diabetes; with a Notice of Two Cases of
Diabetic Cataract.
PMID- 29647148
TI - Examination Paper-Scientific Department.
PMID- 29647149
TI - Report of General Board of Lunacy for Scotland.
PMID- 29647150
TI - Case of Pulsating Tumour in the Orbit, under the Care of Professor Syme, Cured by
Ligature of the Common Carotid Artery; with Remarks.
PMID- 29647151
TI - Court of Queen's Bench.
PMID- 29647152
TI - The Attorney-General versus the Royal College of Physicians.
PMID- 29647153
TI - Case of Pericoecal Abscess Simulating Strangulated Inguinal Hernia.
PMID- 29647155
TI - Materia Medica.
PMID- 29647154
TI - Preliminary Examination Papers for the Double Qualification Conferred by the
Royal Colleges of Physicians and Surgeons of Edinburgh.
PMID- 29647156
TI - The Diseases and Causes of Death Amongst the Poor of the Parish of St Mary-Le
Bone, from 1839 to 1847.
PMID- 29647158
TI - Medicine.
PMID- 29647157
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647159
TI - Quarterly Return of Births, Deaths, and Marriages in Scotland.
PMID- 29647160
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29647161
TI - Medicine.
PMID- 29647162
TI - On Separation and Transplantation of the Ovary, Due to Atrophy of the Broad
Ligament and Fallopian Tube; and on the Spontaneous Separation of Sub-Peritoneal
Fibrous Tumours of the Uterus.
PMID- 29647164
TI - The Association of Scottish Medical Practitioners.
PMID- 29647163
TI - Case of Amputation at the Middle of the Thigh for Spreading Gangrene after
Compound Fracture of the Leg, in Which Acupressure Was Employed to Secure the
Arteries.
PMID- 29647165
TI - On the Waxy or Amyloid Form of Bright's Disease.
PMID- 29647167
TI - Surgery.
PMID- 29647166
TI - Clinical History of Three Cases of Placenta Proevia, in Which Podalic Version Was
Performed.
PMID- 29647168
TI - Therapeutics.
PMID- 29647169
TI - King's and Queen's College of Physicians in Ireland and the Degree of M.D.
PMID- 29647170
TI - King and Queen's College of Physicians in Ireland.
PMID- 29647172
TI - Scottish Universities Commission.
PMID- 29647171
TI - On the Influence of Change of Posture on the Characters of Endocardial Murmurs;
with an Attempt to Explain the Cause.
PMID- 29647174
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647173
TI - Midwifery.
PMID- 29647175
TI - Royal College of Physicians of London.
PMID- 29647177
TI - Election of Office-Bearers.
PMID- 29647176
TI - Midwifery.
PMID- 29647178
TI - Medicine.
PMID- 29647179
TI - Report of Clinical Cases Treated during the Session 1859-60, in the Surgical
Wards of the Royal Infirmary.
PMID- 29647180
TI - Quarterly Report on Physiology.
PMID- 29647181
TI - Letters from Vienna.-No. II.
PMID- 29647182
TI - Clinical Report on the Diseases of Women; with Observations.
PMID- 29647183
TI - Varieties.
PMID- 29647184
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140, George
Street.
PMID- 29647185
TI - On Pericarditis.
PMID- 29647186
TI - Description of Two Cases of Exomphalos, with Observations on Foetal
Malformations.
PMID- 29647188
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647187
TI - Royal College of Physicians.
PMID- 29647189
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647191
TI - Royal College of Surgeons-Conversazione.
PMID- 29647190
TI - The Legal Relations of Insanity.
PMID- 29647193
TI - Variety.
PMID- 29647192
TI - Case of Puerperal Epileptic Convulsions.
PMID- 29647194
TI - Medicine.
PMID- 29647196
TI - On the Mode of Elimination of the Metal Manganese When Employed Medicinally.
PMID- 29647195
TI - On the Radical Cure of Reducible Hernia.
PMID- 29647197
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140, George
Street.
PMID- 29647198
TI - The Imperial Academy of Medicine.
PMID- 29647199
TI - A Case of Encephalocele; with the Theory of Its Growth.
PMID- 29647200
TI - Quarterly Report on Physiology.
PMID- 29647202
TI - Letters from Vienna.-No. III.
PMID- 29647201
TI - Case of Fatal Croup in the Adult; with Remarks.
PMID- 29647203
TI - Varieties.
PMID- 29647204
TI - King's and Queen's College of Physicians in Ireland, and the Degree of M.D.
PMID- 29647205
TI - Trial of John M'Fadyen for Murder at the Glasgow Winter Circuit, December 1860.
PMID- 29647206
TI - Royal College of Surgeons' Conversazione.
PMID- 29647207
TI - Medicine.
PMID- 29647208
TI - Proceedings of the Edinburgh Obstetrical Society.
PMID- 29647209
TI - Medical Examination Papers of the University of St Andrews-December, 1860.
PMID- 29647210
TI - On the Rate of Mortality in the Medical Department of the British Navy for the
Nine Years Ending in 1860.
PMID- 29647211
TI - Quarterly Return of the Births, Deaths, and Marriages Registered in Scotland, for
the Quarter Ending 31st December 1860.
PMID- 29647212
TI - Notes upon Tracheotomy, with Cases; to Which Are Added Two Cases of Hernia.
PMID- 29647213
TI - Case of Perforation of the Stomach Occurring under Unusual Circumstances.
PMID- 29647214
TI - Testimonial to Professor Day.
PMID- 29647215
TI - Scottish Universities Commission.
PMID- 29647216
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647217
TI - Presentation and Dinner to Dr Alexander Wood.
PMID- 29647218
TI - Therapeutics.
PMID- 29647219
TI - A Case in Which Two Ounces of the Wine of the Seeds of Colchicum Were
Accidentally Taken, and Which Relieved a Gouty Diathesis.
PMID- 29647220
TI - Two Cases of Tumour at the Base of the Brain, with Remarkably Contrasted
Symptoms.
PMID- 29647221
TI - Report of the Royal Maternity and Simpson Memorial Hospital for the Quarter
Ending 31st October 1880.
PMID- 29647223
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647222
TI - Case of Elephantiasis of Scrotum.
PMID- 29647224
TI - Accumulations of Ceruminous and Sebaceous Secretions and Epidermis, and Foreign
Bodies, in the External Auditory Meatus.
PMID- 29647225
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647227
TI - Medical News.
PMID- 29647226
TI - The Influence of Antiseptics upon Legal Medicine: A Lecture Delivered in the
Course of Clinical Surgery in the Munich Hospital, in the Winter Session 1879-80.
PMID- 29647228
TI - Clinical Lectures on Intra-Cranial Tumours.
PMID- 29647229
TI - Case of Splanchnic Inversion in an Athletic Young Man Aged Nineteen: With Remarks
on the Cause and the Diagnosis of Congenital Transposition of Viscera.
PMID- 29647231
TI - Heart Starvation.
PMID- 29647230
TI - Meetings of Societies.
PMID- 29647232
TI - Periscope of Otology.
PMID- 29647233
TI - Part of a Clinical Lecture on the Operations from 1st November 1880 to 31st March
1881 in Mr Joseph Bell's Wards.
PMID- 29647235
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647234
TI - Occasional Periscope of Dermatology.
PMID- 29647236
TI - Location and Administration of Special and General Hospitals in Which Contagious
Diseases Are Received.
PMID- 29647237
TI - Meetings of Societies.
PMID- 29647239
TI - Medical News.
PMID- 29647238
TI - Notes of a Case of Locomotor Ataxy, with Post-Mortem Examination.
PMID- 29647240
TI - Occasional Periscope of Dermatology.
PMID- 29647242
TI - On the Contagious Diseases Acts.
PMID- 29647241
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647243
TI - A Case of Malignant Disease (Sarcoma) of the Lung.
PMID- 29647244
TI - Auditory Vertigo.
PMID- 29647245
TI - On the Average Length and Weight of Mature New-Born Scotch Children.
PMID- 29647246
TI - On Paralysis of Hands and Feet from Disease of Nerves.
PMID- 29647247
TI - On a Form of Post-Partum Incontinence of Urine, and Its Treatment by Faradaism.
PMID- 29647248
TI - On the Anatomical Relations of the Trachea in the Child.
PMID- 29647249
TI - Observations on Ear Disease.
PMID- 29647250
TI - Rejoinder to Dr Garson's Letter to the Editor Regarding the Review of Dr Fancourt
Barnes' Translation of Martin's Atlas.
PMID- 29647251
TI - Meetings of Societies.
PMID- 29647252
TI - Correspondence.
PMID- 29647253
TI - Some Account of a Very Severe Case of Membranous Dysmenorrhoea Treated by Rest,
Chapman's Spinal Hot Bag, and Donovan's Solution.
PMID- 29647254
TI - Lecture on Cyanosis.
PMID- 29647255
TI - Case of Splanchnic Inversion in an Athletic Young Man Aged Nineteen: With Remarks
on the Cause and the Diagnosis of Congenital Transposition of Viscera.
PMID- 29647256
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647257
TI - Report of a Case of Hydatid Disease of the Liver Successfully Treated by
Paracentesis.
PMID- 29647258
TI - Correspondence.
PMID- 29647259
TI - Meetings of Societies.
PMID- 29647260
TI - Case of Vesico-Vaginal Fistula and Loss of Uterus.
PMID- 29647262
TI - Lecture on Cyanosis.
PMID- 29647263
TI - On Fever in Ningpo and Chefoo.
PMID- 29647261
TI - Meningocele.
PMID- 29647264
TI - Upon Paroxysmal Angina Pectoris and Other Forms of Cardiac Pain, with Some
Remarks on the Diagnosis of Fatty Heart.
PMID- 29647266
TI - Clinical Lectures on Intra-Cranial Tumours.
PMID- 29647265
TI - On the Shape of the Empty Female Bladder.
PMID- 29647267
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647268
TI - Is Peat-Reek an Antidote to Phthisis?
PMID- 29647270
TI - Periscope of Ophthalmology.
PMID- 29647269
TI - A Statistical Inquiry into the Action of the Bromides in Epilepsy.
PMID- 29647271
TI - Meetings of Societies.
PMID- 29647272
TI - Large Calcareous Tumour, Involving Chiefly the Inner and Middle Portions of the
Left Temporo-Sphenoidal Lobe, and Pressing upon the Left Crus and Optic Thalamus.
PMID- 29647273
TI - A Method of Treatment for Certain Kinds of Incontinence of Urine in Women.
PMID- 29647274
TI - On the Rate of Mortality in the Medical Department of the British Navy for the
Ten Years Ending in December 1880.
PMID- 29647275
TI - Periscope of Otology.
PMID- 29647276
TI - Indications and Counter-Indications of Davos (Switzerland), with Some Statistics.
PMID- 29647277
TI - Case of Coin in Air-Passages Treated by Inversion of the Patient.
PMID- 29647278
TI - Quarterly Report of the Royal Maternity and Simpson Memorial Hospital.
PMID- 29647279
TI - On a Complication of Occipito-Posterior Positions of the Vertex.
PMID- 29647280
TI - On Some of the Phenomena of Parturition in Their Practical Aspects.
PMID- 29647282
TI - The Dangers of Chronic Suppuration of the Middle Ear, and Their Prevention.
PMID- 29647281
TI - On a Case of Hydrochloric Acid Poisoning, and Some Points in Practical
Therapeutics.
PMID- 29647283
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647285
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647284
TI - Occasional Periscope of Dermatology.
PMID- 29647286
TI - Medical News.
PMID- 29647287
TI - The Influence of Antiseptics upon Legal Medicine : A Lecture Delivered in the
Course of Clinical Surgery in the Munich Hospital, in the Winter Session 1879-80.
PMID- 29647289
TI - The Axis-Traction Forceps.
PMID- 29647288
TI - Case of Traumatic Facial Paralysis.
PMID- 29647290
TI - Meetings of Societies.
PMID- 29647291
TI - Occasional Periscope of Dermatology.
PMID- 29647292
TI - On the Antiseptic Removal of Scrotal Elephantiasis.
PMID- 29647293
TI - Case of Large Congenital Cystic Tumour of the Left Side of the Neck, Simulating
Encephalocele: Death after the Third Month, from Suppuration of Its Contents and
Meningitis.
PMID- 29647294
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647295
TI - The Systematic Use of Antiseptics in Midwifery Practice.
PMID- 29647296
TI - A Statistical Inquiry into the Action of the Bromides in Epilepsy.
PMID- 29647297
TI - Periscope of Otology.
PMID- 29647298
TI - Clinical Lectures on Intra-Cranial Tumours.
PMID- 29647299
TI - Note on a New Form of Rheophore and Galvanic Battery for Medical Use.
PMID- 29647300
TI - Periscope of Ophthalmology.
PMID- 29647301
TI - Medical News.
PMID- 29647302
TI - Method of Case-Taking in Midwifery.
PMID- 29647303
TI - A Case of Malignant Disease (Sarcoma) of the Lung.
PMID- 29647304
TI - Clinical Retrospect of Cases Treated during the Session 1855-6 (November to
March); Including Remarks upon the More Important Fatal Cases, and upon the Cases
of Inflammation of the Lungs Treated during That Period.
PMID- 29647305
TI - College of Surgeons-Third Conversazione.
PMID- 29647307
TI - Letter from Professor Bennett.
PMID- 29647306
TI - On a Peculiar Form of Sclerotitis.
PMID- 29647308
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647309
TI - On the Efficacy of Small Doses of Morphia (as Opposed to the Use of
Expectorants), in the Treatment of Hooping-Cough, Chronic Bronchitis, and
Phthisis.
PMID- 29647310
TI - Case of Temporary Paralysis.
PMID- 29647311
TI - Notes on the Surgery of the War.
PMID- 29647312
TI - Harveian Society-Annual Festival.
PMID- 29647313
TI - The New Registration Act.
PMID- 29647314
TI - Varieties.
PMID- 29647315
TI - Case of Sudden Death after Amputation of Leg, under the Influence of Chloroform.
PMID- 29647316
TI - The Month.
PMID- 29647318
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647317
TI - Case of Poisoning by Eating American Partridge.
PMID- 29647319
TI - Medicine.
PMID- 29647320
TI - Case of Induction of Premature Labour.
PMID- 29647321
TI - Surgery of the Crimean War.
PMID- 29647322
TI - Cases of Gun-Shot Wounds.
PMID- 29647323
TI - Experimental Notes on Glycerine.
PMID- 29647325
TI - The Month.
PMID- 29647324
TI - On a Hitherto Undescribed Disease of the Uterus, Namely, Unnatural Patency of the
Inner Extremity of a Fallopian Tube.
PMID- 29647326
TI - Obstetrical Society of Edinburgh.
PMID- 29647327
TI - Note on the Occurrence of Paralysis and Muscular Atrophy in the Horse, in
Connection with Arterial Obstruction and Obliteration.
PMID- 29647328
TI - Singular Case of Apparent Intra-Uterine Disease.
PMID- 29647329
TI - Cases of Erysipelas and Phlegmasia Dolens.
PMID- 29647330
TI - Surgery.
PMID- 29647331
TI - Varieties.
PMID- 29647332
TI - Treatment of Surgeons on Board Whaling-Vessels.
PMID- 29647333
TI - Observations on Croup: Special Diagnosis.
PMID- 29647334
TI - Observations on Primary Amputation, with Cases.
PMID- 29647335
TI - Edinburgh Chair of Practice of Physic.
PMID- 29647336
TI - Histology of the Cholera Evacuations in Man and the Lower Animals.
PMID- 29647337
TI - Account of a Late Remarkable Trial for Poisoning with Arsenic.
PMID- 29647338
TI - Varieties.
PMID- 29647339
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647340
TI - Edinburgh Obstetrical Society.
PMID- 29647341
TI - The Month.
PMID- 29647343
TI - On the Nervous Element in Inflammation, and Its Influence on Treatment.
PMID- 29647342
TI - Case of Chronic Hydrocephalus Connected with Cancer at the Base of Brain.
PMID- 29647345
TI - The Month.
PMID- 29647344
TI - A Few Remarks on Dilution as a Principle in Therapeutics.
PMID- 29647346
TI - A Complicated Case of Hernia.
PMID- 29647347
TI - On the Mode of Communication of Cholera.
PMID- 29647348
TI - Therapeutical Record.
PMID- 29647349
TI - Case of Hoemorrhagic Diathesis, in Which the Bleeding from the Gum Was Arrested
Only by the Extraction of the Tooth in Its Immediate Vicinity.
PMID- 29647350
TI - Case of Tobacco Poisoning in a Maniac.
PMID- 29647351
TI - Practical Remarks on the Physical Diagnosis of Phthisis Pulmonalis.
PMID- 29647352
TI - Account of a Late Remarkable Trial for Poisoning with Arsenic.
PMID- 29647353
TI - On the Use of Potassio-Tartrate of Antimony, in the Form of Enema, in Obstetric
Practice.
PMID- 29647354
TI - On the Diagnostic Value of Albumen in the Urine.
PMID- 29647355
TI - Varieties.
PMID- 29647356
TI - Medicine.
PMID- 29647357
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647358
TI - Case of Sudden Death from Fatty Degeneration of the Heart.
PMID- 29647359
TI - Case of Capillary Bronchitis and Extensive Inflammation of the Intestinal Mucous
Membrane.
PMID- 29647360
TI - Report by the Royal College of Surgeons of Edinburgh, on the Bill Brought into
Parliament by Mr Headlam, "To Alter and Amend the Laws Regulating the Medical
Profession."
PMID- 29647361
TI - Case of Poisoning with Croton Oil.
PMID- 29647362
TI - Observations on Croup: Differential Diagnosis.
PMID- 29647363
TI - Account of the Bathing Seasons, 1854 and 1855.
PMID- 29647364
TI - Varieties.
PMID- 29647365
TI - Edinburgh Practice of Physic Chair.
PMID- 29647366
TI - On the Lateral Movements of the Foot.
PMID- 29647367
TI - The Month.
PMID- 29647368
TI - Cases of Gun-Shot Wounds.
PMID- 29647369
TI - Royal College of Surgeons-Second Conversazione.
PMID- 29647371
TI - Royal Medical Society-Conversazione.
PMID- 29647370
TI - Notes on the Formation of the Ricketty and Malacosteon Pelves, Chiefly after the
Researches of M. Meyer of Zurich.
PMID- 29647372
TI - Injuries of the Head and Face.
PMID- 29647373
TI - Two Cases of Excision of the Knee Joint.
PMID- 29647374
TI - Case of Tic Doloureux Cured by the Excision of a Mass of Phosphate of Lime,
Adhering to the Supra-Orbital Nerve.
PMID- 29647375
TI - Resolutions in Reference to Mr Headlam's Medical Bill, Adopted by the Royal
College of Physicians of Edinburgh, at a Meeting Held on the 18th March 1856.
PMID- 29647376
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647377
TI - Conversazione of the Royal College of Surgeons.
PMID- 29647378
TI - New Medical Bill.
PMID- 29647379
TI - A Clinical Investigation into the Diagnostic Value of the Cracked Pot Sound
(Bruit De Pot Fele of Laennec).
PMID- 29647380
TI - Histology of the Cholera Evacuations in Man and the Lower Animals.
PMID- 29647381
TI - Deviations from the Laws of Mortality.
PMID- 29647382
TI - Reflections on the Results of Experience as to the Symptoms of Internal
Inflammations, and the Effects of Bloodletting, during the Last Forty Years.
PMID- 29647384
TI - Edinburgh Obstetrical Society.
PMID- 29647383
TI - Medico-Legal Observations on Manual Strangulation and Death by External Violence,
with Experiments and Illustrative Cases.
PMID- 29647385
TI - The Edinburgh Frofessorship of Military Surgery.
PMID- 29647386
TI - On the Impropriety of Applying the Term Leuchemia (White Blood) to Red Blood,
Containing an Excess of Colourless Corpuscles.
PMID- 29647387
TI - The Month.
PMID- 29647388
TI - Projected Bibliography of Croup.
PMID- 29647390
TI - Report of Cases Treated in the Edinburgh Eye-Infirmary during the Last Five
Years.
PMID- 29647389
TI - A Few Remarks on Cholera.
PMID- 29647391
TI - Popliteal Aneurism, Unsuccessfully Treated by Pressure.
PMID- 29647392
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647393
TI - Isolation of the Bacillus Tuberculosis from Sputum : Comparison of the Antiformin
and Sulphuric Acid Methods.
PMID- 29647394
TI - Results of Recent Studies on Anterior Pituitary Hormones.
PMID- 29647395
TI - Traumatic Meningeal Haemorrhage, with a Review of Seventy-One Cases.
PMID- 29647397
TI - Editorial Note.
PMID- 29647396
TI - Lister and His Contemporaries in Edinburgh.
PMID- 29647398
TI - Harvey in Scotland.
PMID- 29647400
TI - Can We "Breed out" Cancer in the Human Race?
PMID- 29647399
TI - The Neuro-Psychiatric Aspects of Bromide Intoxication.
PMID- 29647401
TI - The Treatment of Minor Foot Disabilities.
PMID- 29647402
TI - Modern Developments in the Organisation of the Treatment of Fractures.
PMID- 29647403
TI - The Significance of Cardiac Venous Congestion.
PMID- 29647404
TI - Primary Toxic Goitre.
PMID- 29647405
TI - William Osler.
PMID- 29647406
TI - Right-Sided Aortic Arch.
PMID- 29647407
TI - Pernicious Anaemia and Its Treatment.
PMID- 29647408
TI - Experimental Neuroses in Animals and Their Treatment with Bromides.
PMID- 29647409
TI - Notes.
PMID- 29647410
TI - Biological Properties of Killed Tubercle Bacilli Deprived of Acid-Fastness by the
Method of Browning and Gulbransen.
PMID- 29647411
TI - Medical Education in Scotland.
PMID- 29647413
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29647412
TI - Notes.
PMID- 29647415
TI - List of Hospitals, Dispensaries, etc., in Connexion with the Medical Schools of
Scotland.
PMID- 29647414
TI - Report of the Ear Dispensary, 6 Cambridge Street, Lothian Road.
PMID- 29647416
TI - The Pathology of Rickets.
PMID- 29647418
TI - Navy Medical Department.
PMID- 29647417
TI - Army Medical School.
PMID- 29647419
TI - Preliminary Examinations for the Diplomas of the Royal Colleges of Physicians and
Surgeons of Edinburgh, during the Year 1882-83.
PMID- 29647420
TI - Puerperal Fever: Its Treatment and Prevention.
PMID- 29647421
TI - Case of Extra-Uterine (Tubal) Pregnancy.
PMID- 29647422
TI - Arguments in Favour of the Theory of Dilatation of the Heart as the Cause of
Cardiac Haemic Murmurs, and of the Appendix of the Left Auricle Being the Primary
Seat of This Murmur.
PMID- 29647423
TI - Toilet Drunkenness.
PMID- 29647424
TI - Periscope of Ophthalmology.
PMID- 29647425
TI - Periscope of Syphilology.
PMID- 29647426
TI - Occasional Periscope of Dermatology.
PMID- 29647427
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647428
TI - Soluble Hypodermic Tablets.
PMID- 29647429
TI - Case of Extirpation of the Uterus through the Vagina for Carcinoma.
PMID- 29647430
TI - Notes of Two Midwifery Cases.
PMID- 29647431
TI - A Case of Poisoning by Hydrochloric Acid and Chloride of Zinc.
PMID- 29647432
TI - Royal College of Surgeons, Edinburgh.
PMID- 29647433
TI - The Cardiac Inorganic Murmurs in Debility and Anaemia: A Reply to Dr Balfour of
Edinburgh.
PMID- 29647434
TI - The Examination of Deaf-Mutes.
PMID- 29647435
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647436
TI - "Horse Sense" in Diagnosis.
PMID- 29647438
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29647437
TI - Periscope of Otology.
PMID- 29647439
TI - Fifeshire Medical Association.
PMID- 29647440
TI - Comparative Size of Drops.
PMID- 29647441
TI - Mr Tait's Brilliant Results Welcomed in America.
PMID- 29647442
TI - Four and a Half Years' Experience in the Lock Wards of the Edinburgh Royal
Infirmary.
PMID- 29647443
TI - Statistics of Operations in Mr Bell's Wards, Royal Infirmary, Edinburgh, during
the Summer Session 1882.
PMID- 29647444
TI - The Curious History of an Anatomical Specimen.
PMID- 29647446
TI - Meetings of Societies.
PMID- 29647445
TI - Occasional Periscope of Dermatology.
PMID- 29647447
TI - New Parasites.
PMID- 29647448
TI - Royal College of Physicians, Edinburgh.
PMID- 29647449
TI - On Some Points in the Physics of the Bladder and Rectum: Specially in Relation to
the Structural Anatomy of the Female Pelvic Floor.
PMID- 29647450
TI - Periscope of Otology.
PMID- 29647452
TI - A Case of Diabetic Coma with Lipaemia.
PMID- 29647451
TI - The Medical Congress of Seville.
PMID- 29647453
TI - Case of Large Congenital Cystic Tumour of the Back of the Head: Removal; Death on
Ninth Day from Convulsions.
PMID- 29647454
TI - The Diagnosis of Advanced Extra-Uterine Gestation after the Death of the Foetus
(With a Frozen Section).
PMID- 29647455
TI - Periscope of Syphilology.
PMID- 29647456
TI - Note on the Position and Mechanism of the Haemic Murmur: In Reply to the Paper by
Dr Russell of Carlisle.
PMID- 29647457
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29647458
TI - Quarterly Report of the Royal Maternity and Simpson Memorial Hospital.
PMID- 29647459
TI - Election of Robert Barnes, M.D., F.R.C.P., as Honorary Consulting Physician to
the Chelsea Hospital for Women.
PMID- 29647460
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29647461
TI - Periscope of Ophthalmology.
PMID- 29647462
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647463
TI - Parturition Complicated with Whooping-Cough and Pleurisy: Recovery.
PMID- 29647464
TI - Note of a Somewhat Unusual and Complicated Case of Inguinal Hernia.
PMID- 29647465
TI - The Treatment of Diphtheritic Sore Throat.
PMID- 29647466
TI - Periscope of State Medicine.
PMID- 29647467
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647468
TI - Meetings of Societies.
PMID- 29647469
TI - Medical Bravery.
PMID- 29647470
TI - The Late Professors Bennett and Goodsir.
PMID- 29647471
TI - Shearing or Moulding of the Foetal Head, in Its Medico-Legal Aspects.
PMID- 29647472
TI - A Case of Brain Tumour.
PMID- 29647473
TI - Occasional Periscope of Dermatology.
PMID- 29647474
TI - History of the Chair of Midwifery and the Diseases of Women and Children in the
University of Edinburgh: An Introductory Lecture.
PMID- 29647475
TI - Grant College Medical Society.
PMID- 29647476
TI - A New Disease.
PMID- 29647477
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29647479
TI - University of Edinburgh.
PMID- 29647478
TI - Periscope of Otology.
PMID- 29647480
TI - Dr Holmes on Physical Diagnosis and Specialism.
PMID- 29647481
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647482
TI - The Examination of Deaf-Mutes.
PMID- 29647483
TI - Surgery past and Present: Being an Introductory Lecture Delivered at the
Commencement of the Session 1882-83 to the Class of Surgery at Minto House
Medical School.
PMID- 29647485
TI - Royal College of Physicians, Edinburgh.
PMID- 29647484
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29647486
TI - Notes on the Recent Epidemic of Measles in Iceland.
PMID- 29647487
TI - The Psychological Problem of Venereal Disease.
PMID- 29647489
TI - The Lung and Pleura-Certain Anatomical Facts in Relation to Disease.
PMID- 29647488
TI - Nasal Sinusitis and Mental Disorder. A Survey of 818 Cases.
PMID- 29647490
TI - The Highlands and Islands Medical Service: What It Is, and What It Has Done.
PMID- 29647491
TI - Benign Intrathoracic Tumours: With Notes on Two Cases.
PMID- 29647492
TI - The Milk Supply.
PMID- 29647493
TI - Medical Practice of the Future.
PMID- 29647494
TI - Debatable Tumours in Human and Animal Pathology-III. Seminoma.
PMID- 29647495
TI - Valedictory Address on "Impressions and Experiences of a Country Doctor in the
'Nineties and after".
PMID- 29647496
TI - Some Observations on the Notifiable Streptococcal Infections.
PMID- 29647497
TI - Notes.
PMID- 29647498
TI - Chronic Nutritional Hypochromic Anaemia.
PMID- 29647499
TI - The Neuro-Psychological Basis of Conduct Disorder.
PMID- 29647500
TI - Passages of Medical History-Edinburgh Medicine, 1800-1828.
PMID- 29647501
TI - Notes.
PMID- 29647502
TI - Disorders of the Interstitial Circulation.
PMID- 29647503
TI - Chronic Nutritional Hypochromic Anaemia.
PMID- 29647504
TI - The Neuro-Psychological Basis of Conduct Disorder.
PMID- 29647505
TI - Queer Patients-Some Notes on Artefacts.
PMID- 29647507
TI - Notes.
PMID- 29647506
TI - Clinical Meeting.
PMID- 29647508
TI - Diet and Dyspepsia.
PMID- 29647510
TI - Observations on the Carbonic Anhydrase of the Blood in Anaemia and in Other
Pathological Conditions.
PMID- 29647509
TI - The Dietetic Treatment of the Average Diabetic.
PMID- 29647511
TI - Thoughts and Afterthoughts about Specialists and Others.
PMID- 29647512
TI - Notes.
PMID- 29647513
TI - The Surgical Treatment of Cardiac Pain.
PMID- 29647514
TI - Moscow: The Sklifasofsky Institute.
PMID- 29647515
TI - Suicide.
PMID- 29647517
TI - Modern Anaesthesia and the General Practitioner of To-Morrow.
PMID- 29647516
TI - Debatable Tumours in Human and Animal Pathology-IV. "Mixed Tumours" of Salivary
Glands.
PMID- 29647518
TI - Preventive Medicine and Control and Treatment of Tuberculosis as Seen in Soviet
Russia.
PMID- 29647519
TI - Myocardial Infarction-A Pathological Study.
PMID- 29647520
TI - The Importance of a Family History of Tuberculosis, with Special Reference to
Life Assurance.
PMID- 29647521
TI - Hilar Shadows and Gastric Lavage for the Isolation of Tubercle Bacilli.
PMID- 29647522
TI - Surgery in General Practice.
PMID- 29647523
TI - Upon the Pupil Reactions-With Special Reference to Contributions by Alumni of the
Edinburgh School.
PMID- 29647524
TI - Some Impressions of a Short Visit to Russia.
PMID- 29647525
TI - Chronic Nutritional Hypochromic Anaemia.
PMID- 29647526
TI - Russian Guides, Medical Schools and Research.
PMID- 29647527
TI - Cysticercosis and Epilepsy.
PMID- 29647529
TI - Structural Anomalies of the Forefoot in Relation to Some Metatarsal Disturbances.
PMID- 29647528
TI - Chemotherapeutic Antiseptics.
PMID- 29647530
TI - Debatable Tumours in Human and Animal Pathology-I. Lymphoepithelioma.
PMID- 29647531
TI - Communism as an Anthropological Phenomenon.
PMID- 29647532
TI - A Microscopical Inquiry into the AEtiology of Measles.
PMID- 29647533
TI - Clinical Meeting.
PMID- 29647534
TI - The Interpretation and Significance of Gordon's Test in the Diagnosis of
Hodgkin's Disease. A Study of 100 Cases.
PMID- 29647536
TI - Notes.
PMID- 29647535
TI - Certain Types of Alimentary Neurosis.
PMID- 29647537
TI - The Treatment of Acute Pleural Empyema.
PMID- 29647538
TI - Observations on Pulmonary Tuberculosis in Children.
PMID- 29647539
TI - Debatable Tumours.-II. Lymphosarcoma.
PMID- 29647540
TI - The Study of the Face and of the Facial Expression of the Sick Child.
PMID- 29647541
TI - Alcohol and the Motor-Driver.
PMID- 29647542
TI - Some Besetments of Mid-Life.
PMID- 29647543
TI - The Tryptophane Reaction as an Aid to the Early Diagnosis of Meningeal
Tuberculosis.
PMID- 29647545
TI - Seasonal Variation in Tuberculosis as Illustrated in a Study of Body Temperature
and Body Weight.
PMID- 29647544
TI - Clinical Meeting.
PMID- 29647546
TI - A Survey of Volhard's Views on Hypertension and Haematogenous Bilateral Kidney
Diseases.
PMID- 29647547
TI - Harvey and the Human Heart.
PMID- 29647549
TI - Notes.
PMID- 29647548
TI - Diagnosis.
PMID- 29647550
TI - Pulmonary Tuberculosis in Children.
PMID- 29647552
TI - The Treatment of Pneumonia with Intravenous Injections of Iodine.
PMID- 29647551
TI - Medical Education in Scotland.
PMID- 29647553
TI - The Swedish System of State Medicine.
PMID- 29647554
TI - Primary Thrombosis of the Axillary Vein.
PMID- 29647555
TI - Recurring Arthritis of the Hip Associated with Acetonaemia.
PMID- 29647556
TI - Threatened Gangrene. A Study of a Case Exhibiting the Signs and Symptoms of
Obstructive Disease of the Peripheral Circulation.
PMID- 29647557
TI - Rest in Bed.
PMID- 29647559
TI - The Outlook on Cancer.
PMID- 29647558
TI - Carcinoma of the Stomach.
PMID- 29647560
TI - Abdominal Surgery in Infancy and Childhood.
PMID- 29647562
TI - Notes.
PMID- 29647561
TI - Observations on, and Methods of Treatment of, Tuberculosis of Kidney.
PMID- 29647563
TI - Hypertension Associated with Experimental Serum Nephritis.
PMID- 29647564
TI - Types of Tubercle Bacilli in 100 Cases of Non-Pulmonary Tuberculosis.
PMID- 29647565
TI - A Tuberculin Survey of Children in the West of Scotland.
PMID- 29647566
TI - Some Observations on the Treatment of Lupus.
PMID- 29647567
TI - The Erythrogenic Toxins of Haemolytic Streptococci.
PMID- 29647568
TI - Collapse Therapy: General Results and Their Implications.
PMID- 29647569
TI - Studies in Method and Standardisation of Blood Examination-V. The Blood Platelet
Count.
PMID- 29647570
TI - Excretory Bacilluria.
PMID- 29647571
TI - Notes.
PMID- 29647572
TI - Experiments in Intestinal Obstruction: The Role Played by the Diminution of the
Effective Circulating Blood Volume in Acute Intestinal Obstruction.
PMID- 29647573
TI - A Study of the Contractions of the Non-Pregnant Human Uterus.
PMID- 29647574
TI - The Doctor and the Public Happiness.
PMID- 29647575
TI - Studies in Method and Standardisation of Blood Examination: III.
Haemoglobinometry by a Whole-Blood Method.
PMID- 29647576
TI - Discussion on the Report of the Departmental Committee on Scottish Health
Services.
PMID- 29647577
TI - Sarcomatosis in Fowls Following Weasel Bites: With a Discussion on Tumour
Formation in General.
PMID- 29647579
TI - The Conservative Treatment of Acute Infections.
PMID- 29647578
TI - Studies on Carbohydrate Metabolism in Nervous and Mental Disorders-II. A
Comparison of the Hyperglycaemic Index and Choline Esterase Activity in Anxiety
and Depressive States.
PMID- 29647580
TI - A Voice from the Grandstand.
PMID- 29647581
TI - Certain Problems of Urinary Infection in Practice.
PMID- 29647582
TI - Results of Treatment of Tabes Dorsalis.
PMID- 29647583
TI - Fungus Infection of the Hands and Feet.
PMID- 29647584
TI - Studies on Carbohydrate Metabolism in Nervous and Mental Disorders. III. The
Disturbance of the Glucose Tolerance Test Caused by Hypnotics in Clinical Doses.
PMID- 29647585
TI - Notes.
PMID- 29647586
TI - The Technique of Tissue Culture.
PMID- 29647587
TI - The Basophil Cell of the Hypophysis Cerebri: Stages in Its Life-History.
PMID- 29647588
TI - Clinical Meeting.
PMID- 29647590
TI - Achlorhydria: Or "A Sea of Troubles".
PMID- 29647589
TI - Studies in Method and Standardisation of Blood Examination-IV. Estimation of
Erythrocyte Fragility and a Normal Standard.
PMID- 29647591
TI - Virus Bodies: John Buist and the Elementary Bodies of Vaccinia.
PMID- 29647593
TI - Notes.
PMID- 29647592
TI - The Laevulose Tolerance Test of Hepatic Insufficiency.
PMID- 29647594
TI - The Royal Infirmary of Edinburgh: The New Orthopaedic Department.
PMID- 29647595
TI - John Brown Buist, M.D. (Edin.), B.Sc. (Edin.), F.R.C.P.Ed., F.R.S.Ed. (1846
1915): An Acknowledgment of His Early Contributions to the Bacteriology of
Variola and Vaccinia.
PMID- 29647596
TI - The Treatment of Fractured Bones by Internal Traction.
PMID- 29647598
TI - Tuberculin Testing of Cattle-Methods and Interpretation.
PMID- 29647597
TI - Acute Otitis Media and Mastoiditis: The Indications for Operation.
PMID- 29647599
TI - Implantation of the Ovum in Mammals in the Light of Recent Research.
PMID- 29647600
TI - The Edinburgh Tuberculosis Scheme, 1887-1937.
PMID- 29647601
TI - Tuberculosis in Cattle, with Special Reference to Congenital Tuberculosis in
Calves.
PMID- 29647602
TI - The Intraspinal Injection of Alcohol for the Relief of Intractable Pain.
PMID- 29647603
TI - Diabetes and Tuberculosis.
PMID- 29647604
TI - Passages of Medical History. Edinburgh Medicine, 1750-1800.
PMID- 29647605
TI - Clinical Notes on Eight Cases of Lymphogranuloma Inguinale (Climatic Bubo) and
Its Sequelae.
PMID- 29647606
TI - Notes.
PMID- 29647607
TI - Vomiting in Infancy.
PMID- 29647608
TI - Malignant Disease of the Thyroid: Observations on a Series of Twenty Cases, with
Special Reference to Results of Treatment.
PMID- 29647609
TI - General Prognostic Aspects of Tuberculous Adenitis of the Abdomen.
PMID- 29647610
TI - The Value of the Examination of Contacts in Pulmonary Tuberculosis.
PMID- 29647611
TI - Radiological Aspects of Tuberculous Adenitis of the Abdomen.
PMID- 29647612
TI - The Bacteriology of Tuberculous Abdominal Adenitis.
PMID- 29647613
TI - The Pharmacology of Some Newer Drugs Employed in Tuberculosis Therapy.
PMID- 29647614
TI - Surgical Aspects of Tuberculous Adenitis of the Abdomen.
PMID- 29647615
TI - Demonstrations.
PMID- 29647616
TI - Some Biochemical Factors of Influenza and Other Viruses.
PMID- 29647617
TI - Medical Education and Hospital Policy in Edinburgh.
PMID- 29647618
TI - Intracranial Tuberculoma.
PMID- 29647619
TI - Cancer.
PMID- 29647620
TI - Discussion on Hospital Service.
PMID- 29647621
TI - Notes.
PMID- 29647622
TI - Surgery of Malignant Disease of the Colon.
PMID- 29647623
TI - Some Observations on the Practice of Medicine.
PMID- 29647624
TI - Haemorrhage in Jaundice.
PMID- 29647625
TI - Observations on the Virus of Influenza, with a View to Elaborating a Simple
Diagnostic Test Whereby Its Presence in the Respiratory Tract of Man May Be
Revealed-Part II.
PMID- 29647626
TI - Notes.
PMID- 29647627
TI - Epidemiological Aspects of Pulmonary Tuberculosis Due to Bovine Type Tubercle
Bacilli.
PMID- 29647628
TI - Alveolar and Broncho-Pneumonia in Childhood.
PMID- 29647630
TI - Giant-Cell Tumour of Bone.
PMID- 29647631
TI - Notes on the Physiology and Pathology of Voice.
PMID- 29647629
TI - Further Studies on Van Den Bergh's Test.
PMID- 29647633
TI - The Investigation and Treatment of Obstructive Peripheral Vascular Disease.
PMID- 29647632
TI - Psychotherapy in General Practice.
PMID- 29647634
TI - Observations on the Virus of Influenza, with a View to Elaborating a Simple
Diagnostic Test Whereby Its Presence in the Respiratory Tract of Man May Be
Revealed-Part IV.
PMID- 29647636
TI - Carcinoma of the Head of the Pancreas: A Case Treated by Radical Operation.
PMID- 29647635
TI - Hepatitis.
PMID- 29647637
TI - Cyclopropane.
PMID- 29647638
TI - The Significance of Glycosuria.
PMID- 29647639
TI - In Search of Hearing.
PMID- 29647640
TI - Notes.
PMID- 29647641
TI - Protein in the Treatment of Nephritis.
PMID- 29647642
TI - Uncommon Ovarian Tumours-A Short Description of the Granulosa Cell Tumour,
Brenner's Tumour, Disgerminoma and Arrhenoblastoma.
PMID- 29647643
TI - A Case of Hodgkin's Disease with Cutaneous and Cerebral Manifestations.
PMID- 29647644
TI - Observations on the Virus of Influenza, with a View to Elaborating a Simple
Diagnostic Test Whereby Its Presence in the Respiratory Tract of Man May Be
Revealed-Part V.
PMID- 29647645
TI - The Rational Use of Digitalis.
PMID- 29647646
TI - Observations on the Virus of Influenza, with a View to Elaborating a Simple
Diagnostic Test Whereby Its Presence in the Respiratory Tract of Man May Be
Revealed-Part III.
PMID- 29647647
TI - Neurology-Some Modern Problems.
PMID- 29647648
TI - Addison's Disease. The Diagnostic Significance of the Sodium and Chlorine Content
of the Blood and Urine.
PMID- 29647650
TI - Clinical Meeting.
PMID- 29647649
TI - Debatable Tumours in Human and Animal Pathology-VII. Granulosa-Cell Tumour of the
Ovary.
PMID- 29647651
TI - Notes.
PMID- 29647652
TI - The Expectancy of Life after Ureteral Transplantation.
PMID- 29647653
TI - Observations on the Virus of Influenza, with a View to Elaborating a Simple
Diagnostic Test Whereby Its Presence in the Respiratory Tract of Man May Be
Revealed-Part I.
PMID- 29647654
TI - Tumours of the Lung, Mediastinum and Pleura.
PMID- 29647655
TI - The Field of Vision and the Anatomy of the Visual Nerve Path.
PMID- 29647656
TI - The Treatment of Naevus.
PMID- 29647657
TI - Against the Pendulum Movement in Working the Midwifery Forceps.
PMID- 29647659
TI - Meetings of Societies.
PMID- 29647658
TI - Prostitution.
PMID- 29647660
TI - On the External Uses of the Hydrate of Chloral.
PMID- 29647661
TI - On the Practice of Medical Jurisprudence.-No. III. Post-Mortem Examination.
PMID- 29647662
TI - On Inflammation. Chapter III.
PMID- 29647663
TI - Closure of the Genital Fissure as a Means of Treatment in Cases of Vesico-Vaginal
Fistula with Extensive Destruction of the Substance of the Bladder and of the
Anterior Vaginal Wall.
PMID- 29647664
TI - On the Pathology and Operative Treatment of Hip Disease.
PMID- 29647666
TI - Lupus of the Mouth and Pharynx.
PMID- 29647667
TI - A Vacation Homily.
PMID- 29647665
TI - Case of Meniere's Disease.
PMID- 29647669
TI - American Centennial Celebration.
PMID- 29647670
TI - Do Medical Men Live Long?
PMID- 29647668
TI - Sclerosis in Scattered Patches.
PMID- 29647671
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647672
TI - Fees for Medico-Legal Work.
PMID- 29647673
TI - Election of Office-Bearers, Medico-Chirurgical Society of Edinburgh, Edinburgh
Obstetrical Society, and Royal Medical Society of Edinburgh.
PMID- 29647674
TI - Laceration of the Perineum.
PMID- 29647676
TI - Influence of Weather on Mortality from Different Diseases and Different Ages.
PMID- 29647675
TI - On Inflammation.
PMID- 29647677
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647678
TI - A. Hiller, Experimental Investigation Regarding the Organized Nature of Contagia
and Putrefaction.
PMID- 29647679
TI - A Ready Method of Preparing Sections of Diseased Tissues for the Microscope.
PMID- 29647680
TI - Note on Jaborandi.
PMID- 29647682
TI - J. Orth on Tuberculosis.
PMID- 29647681
TI - Correspondence.
PMID- 29647683
TI - On the Pathology and Operative Treatment of Hip Disease.
PMID- 29647684
TI - Clinical Lecture on Intermittency and Irregularity of the Pulse, and on
Palpitation, Cardiac and Aortic.
PMID- 29647685
TI - Meetings of Societies.
PMID- 29647686
TI - Sarcoma Uteri.
PMID- 29647687
TI - Tumour of the Dura Mater.
PMID- 29647688
TI - On Rheumatoid Arthritis: Its Diagnosis, Pathology, and Treatment.
PMID- 29647689
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647690
TI - Royal Edinburgh Asylum Papers. Disorders of Speech in Insanity.
PMID- 29647692
TI - Morphia.
PMID- 29647691
TI - Copaiba.
PMID- 29647694
TI - Diphtheria: Typical Cases, in Illustration of Its Diagnosis, Prognosis, and
Treatment; Together with Remarks on the Signification of Albuminuria in That
Disease.
PMID- 29647693
TI - Case of Absence of Uterus, with a Tumour of Doubtful Character in Each Inguinal
Canal.
PMID- 29647695
TI - Bromhydrate of Quinine.
PMID- 29647696
TI - Picrotoxine.
PMID- 29647697
TI - On Excision of Isolated Bones of the Tarsus, Preserving a Useful Foot.
PMID- 29647698
TI - On the Reflex Functions of the Spinal Cord.
PMID- 29647699
TI - Digitalis.
PMID- 29647700
TI - Opium and Belladonna.
PMID- 29647701
TI - Nursing in the Edinburgh Infirmary.
PMID- 29647702
TI - On Inflammation. Chapter V.
PMID- 29647703
TI - Meetings of Societies.
PMID- 29647704
TI - On Rupture of the Perineum, and Especially on the Division of the Perineum and
Rectovaginal Septum, by Post-Partum Sloughing.
PMID- 29647705
TI - Pill for the Keighley Board of Guardians.
PMID- 29647707
TI - Sclerosis in Scattered Patches.
PMID- 29647706
TI - A Typhoid Epidemic Spread by Infected Milk: Allgem. Zeitshr. f. Epidemiol., 1875,
S. 298.
PMID- 29647708
TI - On the Complete Evacuation of the Uterus after Abortion.
PMID- 29647709
TI - The Histological Changes Which Take Place in the Labyrinth from Certain
Infectious Diseases.
PMID- 29647711
TI - Unauthorized Visitors.
PMID- 29647710
TI - On the Compulsory Intimation of Infectious Diseases.
PMID- 29647712
TI - Experiments on the Power of the Lochia to Produce Inflammation and Fever: Beitr.
z. vergleich. u. Exp. Geburtsh.-Giessen, 1875.
PMID- 29647713
TI - Contagiousness of Hereditary Syphilis.
PMID- 29647714
TI - Speedy Cure of Severe Acute Disease of the Spinal Cord by Application of the
Actual Cautery.
PMID- 29647715
TI - Circulation in the Coronary Artery.
PMID- 29647716
TI - Meetings of Societies.
PMID- 29647717
TI - Observations Demonstrating the Influence of Digestion in the Mother upon the
Frequency of the Foetal Pulse.
PMID- 29647718
TI - The Systematic Education of the Insane.
PMID- 29647719
TI - Case of Lymphatic Inflammation Simulating Venereal Disease.
PMID- 29647720
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647721
TI - A Case of Pleuritic Effusion Cured by Antiseptic Treatment.
PMID- 29647723
TI - Somnambulism Artificially Produced: Journ. de l'Anant., etc., 1875.
PMID- 29647722
TI - Diphtheria: Typical Cases, in Illustration of Its Diagnosis, Prognosis, and
Treatment; Together with Remarks on the Signification of Albuminuria in That
Disease.
PMID- 29647724
TI - On the Treatment of a Severe Form of the So-Called "Paludal Fever," with Icterus
and Renal Haemorrhage.
PMID- 29647725
TI - Excision of a Migratory Gall-Stone.-Bayer, arztl. Intelligenzbl., 1875, No. 41.
PMID- 29647727
TI - Correspondence.
PMID- 29647726
TI - Reports of Cases Treated in Leith Hospital.
PMID- 29647728
TI - Fibrous Tumour of the Lobe of the Ear, etc.
PMID- 29647730
TI - Treatment of Meniere's Disease with Quinine.
PMID- 29647729
TI - Note on the Comparative Weight of the Bones of the Superior Extremities;
Application in Medical Jurisprudence.-Gaz. Hebdom. 1875, No. 36.
PMID- 29647731
TI - The Structure of a True Mucous Polypus of the Cervix.
PMID- 29647732
TI - Meetings of Societies.
PMID- 29647733
TI - On the Reflex Functions of the Spinal Cord.
PMID- 29647734
TI - On the Practice of Medical Jurisprudence. No. IV.
PMID- 29647736
TI - Coca.
PMID- 29647735
TI - Case in Which a Reducible Oblique and Direct Inguinal and Femoral Hernia Existed
on the Same Side, and Were Successfully Treated by Operation.
PMID- 29647737
TI - On the Life of William Hunter: The Harveian Address, 13th April 1876.
PMID- 29647738
TI - Notes on an Interesting Case of Pneumo-Thorax.
PMID- 29647739
TI - Diphtheria: Typical Cases, in Illustration of Its Diagnosis, Prognosis, and
Treatment; Together with Remarks on the Signification of Albuminuria in That
Disease.
PMID- 29647740
TI - Refracture in Cases of Deformity from Badly-Adjusted Fracture.
PMID- 29647742
TI - Case of Excision of the Elbow-Joint.
PMID- 29647741
TI - Scrofulous Sores or Runnings.
PMID- 29647743
TI - A Case of Torpor of the Liver and Bowels from Birth, and Consequent Persistent
Constipation, in a Boy Six Years Old; Recovery.
PMID- 29647744
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647746
TI - On the Alleged Occasional Epidemic Prevalence of Puerperal Pyaemia or Puerperal
Fever, and of Erysipelas.
PMID- 29647745
TI - List of Successful Army Medical Candidates.
PMID- 29647747
TI - On Inflammation. Chapter IV.
PMID- 29647748
TI - Diphtheria: Typical Cases, in Illustration of Its Diagnosis, Prognosis, and
Treatment; Together with Remarks on the Significance of Albuminuria in That
Disease.
PMID- 29647750
TI - Remarks on the Inevitable and Other Lacerations of the Orifice of the Vagina, and
near It, in Primiparae.
PMID- 29647749
TI - On Conservative Aural Surgery.
PMID- 29647751
TI - Surgical Note on a New Method of Applying Sayre's Knee-Joint Splint.
PMID- 29647753
TI - Meetings of Societies.
PMID- 29647752
TI - Case of Fibro-Cystic Tumour of Uterus; Removal of Uterus and Ovaries.
PMID- 29647754
TI - Method of Preparing Raw Meat.
PMID- 29647756
TI - Case of Anaemia Perniciosa.
PMID- 29647755
TI - On the Presence of Sugar in Healthy Blood.
PMID- 29647757
TI - Scarlatinal Arthritis.
PMID- 29647758
TI - Acute Osteomyelitis of the Spine.
PMID- 29647759
TI - Letter from Vienna.
PMID- 29647760
TI - Application of the Bronchoscope in the Diagnosis and Treatment of Certain
Affections of the Chest.
PMID- 29647761
TI - The Attitude of the Medical Profession to Scientific Problems.
PMID- 29647762
TI - The Treatment of Empyema in Children.
PMID- 29647763
TI - The Sippy Treatment of Peptic Ulcers.
PMID- 29647764
TI - A Case of Congenital Defect of the Thoracico-Cervical Spine.
PMID- 29647765
TI - Periarterial Sympathectomy.
PMID- 29647766
TI - A Case of Fracture of the Olecranon, Treated by Temporary Pressure Paralysis of
the Musculo-Spiral Nerve.
PMID- 29647767
TI - Clinical Meeting.
PMID- 29647768
TI - Notes.
PMID- 29647769
TI - Hypopituitarism Associated with Glycosuria.
PMID- 29647770
TI - Medicina Antiqua.
PMID- 29647771
TI - Causalgia Treated by Modified Periarterial Sympathectomy.
PMID- 29647772
TI - Notes on a Case of Tubal Twin Pregnancy.
PMID- 29647773
TI - An Investigation upon the Value of Two Methods of Estimating Nutrition in Infancy
(The Pirquet Method, and the W/H to Height-Age Method).
PMID- 29647774
TI - A Consideration of the Round or Stoop Shoulder Deformity, with Report of an
Aggravated Case.
PMID- 29647775
TI - On the Symptoms and Home Treatment of Mentally Defective Infants and Young
Children.
PMID- 29647776
TI - Discussion on Congenital or Inherited Syphilis.
PMID- 29647777
TI - Traversing Wounds of the Orbit.
PMID- 29647778
TI - Some Biological and Economic Aspects of Comparative Pathology.
PMID- 29647779
TI - Septic Otitic Thrombosis of the Cranial Blood Sinuses and Jugular Bulb.
PMID- 29647781
TI - Exhibition of Specimens.
PMID- 29647780
TI - Case of Traumatic Involvement of Meckel's Diverticulum.
PMID- 29647782
TI - A Case of Multiple Traumatic Perforations of the Small Intestine without Any
Penetrating Wound of the Abdominal Wall.
PMID- 29647783
TI - Alimentary Sepsis from the Practitioner's Point of View: Chalmers Watson: With
Demonstration.
PMID- 29647784
TI - Clinical Meeting.
PMID- 29647785
TI - Simple Ulcers of the Jejeunum and Ileum: With Notes of Two Cases.
PMID- 29647786
TI - Valedictory Address.
PMID- 29647787
TI - The Clinical Significance of the Form and Capacity of the Renal Pelvis : With
Lantern Demonstrations.
PMID- 29647788
TI - The Spirit of Leisure and the Spirit of Work : Some Aspects of a Modern "Religio
Medici".
PMID- 29647790
TI - Exhibition of Cases.
PMID- 29647789
TI - Congenital High-Scapula, with Which Is Included a Consideration of Brevicollis.
PMID- 29647791
TI - A Review of Certain Aspects in the Newer Methods of the Treatment of
Tuberculosis.
PMID- 29647792
TI - The Complement-Fixation Test in the Diagnosis of Tuberculosis, with Special
Reference to Pulmonary Tuberculosis.
PMID- 29647793
TI - A Case of Acute Myeloblastic Leukaemia.
PMID- 29647794
TI - Tuberculin in the Diagnosis and Treatment of Tuberculosis.
PMID- 29647795
TI - The Place of the Sanatorium in the Tuberculosis Scheme.
PMID- 29647796
TI - The Treatment of Surgical Tuberculosis.
PMID- 29647797
TI - Some Observations on Maternal Mortality.
PMID- 29647798
TI - Radiology in the Diagnosis of Pulmonary Tuberculosis : A Discussion and X-Ray
Demonstration at Ruchill Hospital, Glasgow.
PMID- 29647799
TI - Some Aspects of the Tuberculosis Problem from the Experimental and Comparative
Standpoint.
PMID- 29647800
TI - Analytical Notes.
PMID- 29647801
TI - Syphilis of the Lung.
PMID- 29647802
TI - Maternal Morbidity in the Light of Experience from the Maternity Hospital.
PMID- 29647803
TI - Some Aspects of the Diagnosis of Renal Tuberculosis.
PMID- 29647804
TI - Case of Aplastic Anaemia.
PMID- 29647805
TI - Historical Note: The Discovery of Sarcina Ventriculi, 1842.
PMID- 29647807
TI - A House Surgeon's Memories of Lister.
PMID- 29647806
TI - Glandular Fever (Infectious Mononucleosis).
PMID- 29647808
TI - Notes.
PMID- 29647809
TI - Angina Pectoris Treated with Testosterone.
PMID- 29647810
TI - Urogenital Tuberculosis.
PMID- 29647812
TI - Thomas Dover, 1660-1742.
PMID- 29647811
TI - Temporary Cardiac Arrest for Twenty Minutes.
PMID- 29647813
TI - Acute Intestinal Obstruction from the Clinical Standpoint.
PMID- 29647814
TI - Mammary Carcinoma with Cutaneous Carcinosis of Erysipelatodes Type.
PMID- 29647815
TI - Vitamin K in the Newborn.
PMID- 29647816
TI - Observations on Eclamptic Toxaemia and on Essential Hypertension and Chronic
Nephritis in Pregnancy.
PMID- 29647817
TI - Dover's "Legacy".
PMID- 29647818
TI - The Medical Preliminary and after.
PMID- 29647819
TI - The Treatment of Cerebro-Spinal Fever by Sulphapyridine.
PMID- 29647820
TI - The Problem of Air-Borne Infection.
PMID- 29647821
TI - A Simple Test for Headaches.
PMID- 29647822
TI - The Royal Infirmary of Edinburgh: Payments by Patients in the Eighteenth Century.
PMID- 29647823
TI - Sustained Controlled Hyperpyrexia Therapy.
PMID- 29647824
TI - Post-Concussion Headache-New Treatment.
PMID- 29647825
TI - Review of Irradiation Effect on Cells and Tissues of the Skin.
PMID- 29647826
TI - Combined Inductopyrexia and Chemotherapy in the Treatment of Resistant
Gonorrhoea.
PMID- 29647827
TI - Pernicious Anaemia: Some Vital Considerations.
PMID- 29647828
TI - The Contribution of the Emergency Medical Service to Medicine and Surgery in
Scotland.
PMID- 29647829
TI - Spinal Atrophic Paralysis.
PMID- 29647830
TI - Chronic Fluorine Poisoning (Fluorosis) Signs and Symptoms.
PMID- 29647831
TI - The Problem of Peri-Rectal Suppuration.
PMID- 29647832
TI - Concerning Cancer.
PMID- 29647833
TI - Notes.
PMID- 29647835
TI - Treatment of Delirium Tremens.
PMID- 29647834
TI - The Differential Diagnosis of the Chronic Affections of the Lungs.
PMID- 29647837
TI - Sleep Paralysis.
PMID- 29647836
TI - Body Snatching in 1742.
PMID- 29647838
TI - Studies in Method and Standardisation of Blood Examination. IX. Size and Site of
Sample in the Differential Leucocyte Count.
PMID- 29647840
TI - On Ossification and Vitamin D Action.
PMID- 29647839
TI - The Surgery of the Hypopharynx: Post-Cricoid Carcinoma.
PMID- 29647841
TI - The Common Cold.
PMID- 29647842
TI - The Surgery of the Hypopharynx: Pharyngeal Pouch.
PMID- 29647843
TI - Popular Misconceptions in Connection with the Eyes.
PMID- 29647844
TI - Prostatic Enlargement.
PMID- 29647845
TI - Auricular Standstill.
PMID- 29647846
TI - Notes on the Carotid Body.
PMID- 29647847
TI - Diabetic Coma: Recovery in a Case with Blood Sugar of 1600 Mgms. Per Cent.
PMID- 29647848
TI - The Excretion of Vitamin A in Urine.
PMID- 29647849
TI - Progressive Middle Ear Deafness.
PMID- 29647850
TI - Notes.
PMID- 29647851
TI - Halitosis.
PMID- 29647853
TI - Insulin Resistance.
PMID- 29647852
TI - Some Aspects of OEstrogenic Therapy.
PMID- 29647854
TI - John Hunter: Surgeon and Naturalist.
PMID- 29647855
TI - A Contribution to the Pathogenesis of Spondylitis Ankylopoietica.
PMID- 29647856
TI - Primary New Growths of the Lung.
PMID- 29647857
TI - A Hundred Years Ago: On a New Remedy for Scalds and Burns, 1842.
PMID- 29647859
TI - Sarcoidosis: A Review Based on a Case of the Disease.
PMID- 29647858
TI - Notes.
PMID- 29647860
TI - The Development of Materia Medica in Edinburgh: Inaugural Address.
PMID- 29647861
TI - The Incidence of Bromide Medication; a Study of 1026 Admissions.
PMID- 29647863
TI - The Influence of Atropin upon Complete Heart Block, Transient and Intermittent.
PMID- 29647862
TI - Studies on Stored Blood: X. Complement, Iso-Agglutinins and Agglutinogens.
PMID- 29647864
TI - The Arrest of Dental Caries.
PMID- 29647865
TI - Dysentery Carriers Treated with Sulphaguanidine.
PMID- 29647866
TI - Surgical Lesions of the Spinal Cord and Nerve-Roots.
PMID- 29647867
TI - Macro- and Micro-Diagnosis of Cancer. A Laboratory Survey of Routine Mammary
Lesions.
PMID- 29647868
TI - Obesity Treated with Benzedrine Sulphate.
PMID- 29647869
TI - Some Recent Advances in Chemotherapy.
PMID- 29647870
TI - The Bio-Chemical Control of Cancer.
PMID- 29647871
TI - Notes.
PMID- 29647872
TI - Epilepsy Wrongly Diagnosed Is a Horrible Thing!
PMID- 29647873
TI - A New Use for Digitalis.
PMID- 29647874
TI - Osteochondritis and Tuberculosis : A Demonstration of Cases of Calve's Disease of
the Spine and Kohler's Disease of the Tarsal Scaphoid, with a Discussion on Their
Relationship to Tuberculosis.
PMID- 29647875
TI - The Footprints of Surgery: Inaugural Address to the Royal Medical Society on 17th
October 1941.
PMID- 29647877
TI - Experiences in an E.M.S. Base Hospital in the London Area.
PMID- 29647876
TI - Synthetic Vitamin E in the Treatment of Abortion.
PMID- 29647878
TI - Corrigenda.
AB - [This corrects the article on p. 40 in vol. 49.].
PMID- 29647879
TI - Pruritus Vulvae Treated by Acid Stilboestrol Cream.
PMID- 29647880
TI - Magnesium Sulphate in the Hypertension of Acute Nephritis.
PMID- 29647881
TI - Egg Yolk Powder as a Weight-Increasing Agent.
PMID- 29647882
TI - Studies on Stored Blood: IX. Further Observations on the Effects of Storage on
Erythrocytes.
PMID- 29647883
TI - Case of Abdominal Lymphadenoma, with Transposition of Viscera and Nine Accessory
Spleens.
PMID- 29647884
TI - Expectorants and Anti-Expectorants.
PMID- 29647886
TI - Notes.
PMID- 29647885
TI - Medical Education in Scotland.
PMID- 29647887
TI - The Royal Infirmary: 1742.
PMID- 29647888
TI - The Congo Red Test for Amyloidosis.
PMID- 29647889
TI - "Egg-White Injury" in Man.
PMID- 29647890
TI - Tuberculosis and Derangement of Suprarenal Function.
PMID- 29647891
TI - Intravenous Therapy with Invert Sugar.
PMID- 29647892
TI - The Length of the Menstrual Cycle.
PMID- 29647894
TI - Arterio-Sclerosis and Varicose Veins.
PMID- 29647893
TI - Auricular Flutter with a 1:1 Auriculo-Ventricular Response.
PMID- 29647895
TI - Delinquency.
PMID- 29647896
TI - The Determination of the Blood Volume in Man with Evans Blue ("T-1824").
PMID- 29647897
TI - The Influence of Certain Antacids on the Acidity of Human Gastric Juice, with
Special Reference to Magnesium Trisilicate.
PMID- 29647898
TI - Blood Pressure, Normal and Abnormal.
PMID- 29647900
TI - Fractures of the Neck of the Femur. Their Treatment: A Review.
PMID- 29647899
TI - Studies in Method and Standardisation of Blood Examination: VI. The Blood
Platelet Film Count.
PMID- 29647901
TI - The Psychological Aspect of Physical Education.
PMID- 29647902
TI - A Hundred Years Ago: Blood Transfusion in 1837.
PMID- 29647903
TI - The Syndrome of Crocodile Tears.
PMID- 29647905
TI - An Emergency Blood Transfusion Service.
PMID- 29647904
TI - Some Reflections on Two Recent Publications on Rickets.
PMID- 29647906
TI - The Pathological Significance of Intra-Articular Pressure.
PMID- 29647907
TI - Vitamin K.
PMID- 29647908
TI - Migraine and Biliousness.
PMID- 29647909
TI - A Hundred Years Ago.
PMID- 29647911
TI - Notes.
PMID- 29647910
TI - The Tarry Stool of Melaena.
PMID- 29647912
TI - A Hundred Years Ago: Clinical Records.
PMID- 29647913
TI - Notes.
PMID- 29647914
TI - The Medical Faculty 200 Years Ago.
PMID- 29647915
TI - Ulcerative Colitis-Medical versus Surgical Treatment.
PMID- 29647916
TI - Notes.
PMID- 29647917
TI - Hypogonadism-Oral Therapy.
PMID- 29647918
TI - Chordoma.
PMID- 29647919
TI - Mitral Stenosis in the Aged.
PMID- 29647920
TI - The Dietetic Treatment of Gastro-Intestinal Disease.
PMID- 29647921
TI - The Hypertensions.
PMID- 29647922
TI - Surgical Aspects of Diseases of the Chest.
PMID- 29647923
TI - Inductopyrexia: Its Technique and Application.
PMID- 29647924
TI - Medical Education in Scotland.
PMID- 29647925
TI - The Future of Post-Graduate Teaching.
PMID- 29647927
TI - The Significance of Systolic Murmurs.
PMID- 29647926
TI - The Pulse Rate in Acute Juvenile Rheumatism.
PMID- 29647928
TI - Notes.
PMID- 29647929
TI - Surgical Experiences in the Zulu and Transvaal Wars, 1879 and 1881.
PMID- 29647930
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29647931
TI - Senile Insanity.
PMID- 29647932
TI - Meetings of Societies.
PMID- 29647933
TI - Ankle Clonus in Relation to the Height of the Individual.
PMID- 29647934
TI - Periscope of State Medicine.
PMID- 29647935
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647936
TI - Periscope of Laryngology.
PMID- 29647937
TI - Surgical Periscope.
PMID- 29647938
TI - Case of Double Ovariotomy; Unusually Long and Twisted Pedicle; Note on the
Question of Early Operations.
PMID- 29647939
TI - University of Edinburgh, List of Graduates.
PMID- 29647940
TI - Remarks on Retroversion of the Gravid Uterus.
PMID- 29647941
TI - Quarterly Report of the Royal Maternity and Simpson Memorial Hospital.
PMID- 29647942
TI - The Examination of Deaf-Mutes.
PMID- 29647943
TI - Acute Circumscribed Cutaneous OEdema.
PMID- 29647944
TI - Carmedik, a Cape Bitter; Its Characters and Chemistry.
PMID- 29647945
TI - Umbilical Cord Round the Child's Neck as a Cause of Delayed Labour, and Sometimes
of Infantile Death.
PMID- 29647946
TI - A Fourth Case of Resuscitation of the Still-Born Infant.
PMID- 29647947
TI - Meetings of Societies.
PMID- 29647948
TI - Unusual Case of Strangulated Congenital Hernia.
PMID- 29647949
TI - On the Use of Chian Turpentine in Cases of Uterine Carcinoma.
PMID- 29647950
TI - Resection of the Long Bones.
PMID- 29647951
TI - New Mode of Reducing Dislocations of Shoulder and Hip.
PMID- 29647952
TI - Original Research in Sanitary Science.
PMID- 29647953
TI - The Examination of Deaf-Mutes.
PMID- 29647954
TI - Occasional Periscope of Dermatology.
PMID- 29647955
TI - Dr K. M'Leod on Cure of Hernia.
PMID- 29647957
TI - Professor Bennett on Plastic Surgery and Fracture of Metacarpal Bones.
PMID- 29647956
TI - Notes on Typhoid Fever, Suggested by a Recent Epidemic at Newton Heath,
Manchester.
PMID- 29647958
TI - Management of Chronic Inebriates, etc.
PMID- 29647959
TI - University of Edinburgh: First Professional Examination.
PMID- 29647961
TI - Internal OEsophagotomy.
PMID- 29647960
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29647962
TI - Periscope of Syphilology.
PMID- 29647963
TI - Superinvolution of the Uterus.
PMID- 29647964
TI - Periscope of State Medicine.
PMID- 29647965
TI - On a New Form of Respirator for Continuous Inhalation.
PMID- 29647966
TI - Catalogue of Royal College of Surgeons.
PMID- 29647967
TI - Connexion between Insanity and Alcoholism.
PMID- 29647968
TI - Policlinical Institution in Berlin.
PMID- 29647969
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647970
TI - Surgical Experiences in the Zulu and Transvaal Wars, 1879 and 1881.
PMID- 29647971
TI - Statistics of Operations in Mr Joseph Bell's Wards from 1st November 1882 to 1st
April 1883.
PMID- 29647972
TI - Midland Medical Miscellany.
PMID- 29647973
TI - Royal College of Physicians, Edinburgh.
PMID- 29647974
TI - Phthisis of the Larynx.
PMID- 29647975
TI - Manslaughter.
PMID- 29647976
TI - Morehead Memorial Fund.
PMID- 29647978
TI - Periscope of Otology.
PMID- 29647977
TI - Periscope of State Medicine.
PMID- 29647979
TI - International Exhibition at Amsterdam: May-October 1883.
PMID- 29647980
TI - On the Relationship of Some Forms of Integumentary Atrophy.
PMID- 29647981
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29647982
TI - On the Therapeutic Value of Sulphurous Acid and Steel in Scarlatina Maligna.
PMID- 29647983
TI - Meetings of Societies.
PMID- 29647985
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29647984
TI - Address at the Opening of the Forty-Second Session of the Edinburgh Obstetrical
Society.
PMID- 29647987
TI - Periscope of Syphilology.
PMID- 29647986
TI - Dr R. Newman on Stricture of the Rectum.
PMID- 29647988
TI - Intra-Peritoneal Pelvic Haematocele: Clinical Lecture, Delivered at the Royal
Infirmary, Edinburgh, on 17th November 1882.
PMID- 29647989
TI - Occasional Periscope of Dermatology.
PMID- 29647990
TI - The Examination of Deaf-Mutes.
PMID- 29647991
TI - Periscope of State Medicine.
PMID- 29647992
TI - The Legal and Medical Theories of Mental Disease in Criminal Cases.
PMID- 29647994
TI - New Urethral Syringe.
PMID- 29647993
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29647996
TI - Erratum in November Number.
AB - [This corrects the article on p. 444 in vol. 28.].
PMID- 29647995
TI - Royal College of Physicians, Edinburgh.
PMID- 29647997
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648000
TI - Case of Tubercular Meningitis.
PMID- 29647998
TI - Medical Notes and Statistics of the British Expedition to Egypt in 1801.
PMID- 29647999
TI - Periscope of Otology.
PMID- 29648002
TI - Report on Inebriates.
PMID- 29648001
TI - A Movable Clot in the Right Auricle.
PMID- 29648003
TI - Deaf-Muteism.
PMID- 29648004
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648005
TI - Medical Conference on Vaccination.
PMID- 29648006
TI - A Personal Experience of Dislocation of the Humerus, and Its Lessons.
PMID- 29648007
TI - How They Make Cod-Oil at Swampscott.
PMID- 29648008
TI - Nasal Disease as a Cause of Asthma.
PMID- 29648009
TI - On a Form of Loss of Memory Occasionally Following Cranial Injuries.
PMID- 29648011
TI - Remarkable Surgical Cases.
PMID- 29648010
TI - Correspondence.
PMID- 29648012
TI - Meetings of Societies.
PMID- 29648013
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648015
TI - Royal College of Physicians, Edinburgh.
PMID- 29648014
TI - The Prophylaxis of Ophthalmia Neonatorum.
PMID- 29648016
TI - Meetings of Societies.
PMID- 29648017
TI - Retirement of Dr Keiller.
PMID- 29648018
TI - Dislocation of the Wrist-Joint, and Fracture of the Lower End of the Radius: A
Clinical Lecture.
PMID- 29648019
TI - Treatment of Hysteria by Metallo-Therapy.
PMID- 29648020
TI - Diphtheria.
PMID- 29648021
TI - Periscope of State Medicine.
PMID- 29648022
TI - Occasional Periscope of Dermatology.
PMID- 29648023
TI - Observations on the Bladder during the Early Puerperium.
PMID- 29648024
TI - Royal Maternity Hospital Report for Quarter 1st August to 31st October 1882.
PMID- 29648025
TI - List of Candidates for H.M. British Medical Service.
PMID- 29648026
TI - The Opium Question.
PMID- 29648027
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648028
TI - Note of a Case of Inversion of the Uterus Occurring Immediately Post-Partum, and
Resulting in Spontaneous Amputation.
PMID- 29648029
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648030
TI - Resection of Portions of Ribs.
PMID- 29648031
TI - Astley Cooper Prize.
PMID- 29648032
TI - The Physiological Action of Carbolic Acid, Illustrated by Its Use in Surgery.
PMID- 29648033
TI - Periscope of Otology.
PMID- 29648034
TI - Periscope of Ophthalmology.
PMID- 29648035
TI - Note on Treatment of Warts on the Genitals by Chromic Acid.
PMID- 29648037
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648036
TI - On the Treatment of Leprosy.
PMID- 29648038
TI - Occasional Periscope of Dermatology.
PMID- 29648039
TI - Basilysis for Dystocia from Hypertrophic Elongation of the Cervix Uteri.
PMID- 29648040
TI - Quarterly Abstracts of Papers on the Diseases of Children, No I.
PMID- 29648041
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648042
TI - Surgical Experiences in the Zulu and Transvaal Wars, 1879 and 1881.
PMID- 29648044
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29648043
TI - A Curious Instance of Cadaveric Spasm.
PMID- 29648045
TI - Periscope of Syphilology.
PMID- 29648046
TI - Meetings of Societies.
PMID- 29648047
TI - Royal College of Physicians, Edinburgh.
PMID- 29648048
TI - Sequelae of Tracheotomy Occurring after Closure of Tracheal Wound.
PMID- 29648050
TI - An Improved Method of Circumcision for Congenital Phimosis.
PMID- 29648049
TI - On Dangerous Haemorrhage from the External Genital Organs during and after
Labour.
PMID- 29648051
TI - Germs and the Spray.
PMID- 29648052
TI - Case of Strangulated Congenital Inguinal Hernia.
PMID- 29648053
TI - Inco-Ordinate Uterine Action in Labour.
PMID- 29648054
TI - Streptomycin Treatment of Meningeal, Miliary and Pulmonary Tuberculosis.
PMID- 29648055
TI - Two Cases of Congenital Abnormalities of the Genital Tract Associated with
Pregnancy.
PMID- 29648056
TI - Anaesthesia for Caesarean Section.
PMID- 29648057
TI - Caudal Analgesia for the Relief of Pain in Labour.
PMID- 29648058
TI - Subacute Bacterial Endocarditis and Its Treatment with Penicillin.
PMID- 29648059
TI - Inaugural Lecture.
PMID- 29648060
TI - Acute Infections of the Hand.
PMID- 29648061
TI - Report on Cases Treated with P.A.S. at Robroyston Hospital, Glasgow.
PMID- 29648062
TI - Vaginal Hysterectomy.
PMID- 29648064
TI - Exostosis of the Orbit.
PMID- 29648063
TI - Report of Cases Treated with P.A.S. at Robroyston Hospital, Glasgow.
PMID- 29648065
TI - The Morbid Anatomy and Histology of Pellagra.
PMID- 29648066
TI - Thrombo-Angiitic Gangrene.
PMID- 29648067
TI - The Use of Massive Doses of Digitalis.
PMID- 29648068
TI - Causes of Hydronephrosis.
PMID- 29648070
TI - Notes.
PMID- 29648069
TI - A Note on a Severe Type of Scarlatinal Nephritis.
PMID- 29648071
TI - A Note on Renal Function in Scarlet Fever.
PMID- 29648072
TI - Iodine in the Treatment of Goitre.
PMID- 29648073
TI - An Unusual Cause of Exophthalmos.
PMID- 29648074
TI - Spinal Anaesthesia in the Treatment of Post-Operative Ileus.
PMID- 29648075
TI - Syringomyelia.
PMID- 29648076
TI - Clinical Meeting.
PMID- 29648077
TI - Movable Kidney.
PMID- 29648078
TI - Discussion on Endocrine Therapy.
PMID- 29648079
TI - A Preliminary Report on the Radiographic Exploration of the Broncho-Pulmonary
System by Means of Lipiodol.
PMID- 29648081
TI - Dr James Mounsey of Rammerscales.
PMID- 29648080
TI - Oxycephaly.
PMID- 29648082
TI - Post-Mortem Tuberculous Lesions: Their Prevalence and Distribution in 404
Consecutive Examinations Conducted at the Royal Infirmary, Edinburgh.
PMID- 29648084
TI - Analytical Note.
PMID- 29648083
TI - Eye Symptoms and Signs in the Diagnosis of General Disease.
PMID- 29648085
TI - A Clinical Method for the Estimation of Calcium in the Blood and Urine.
PMID- 29648087
TI - Studies on the Parathyreoids.
PMID- 29648086
TI - The Action of Colloidal Gum Benzoin on Syphilitic and Non-Syphilitic Human Sera.
PMID- 29648088
TI - Comradeship in Science.
PMID- 29648089
TI - Results of Suprapubic Prostatectomy.
PMID- 29648090
TI - A Simple Treatment for Cutaneous Papilloma.
PMID- 29648091
TI - Valedictory Address.
PMID- 29648093
TI - Carcinoma of the Colon: Its Incidence, Treatment, and End-Results.
PMID- 29648094
TI - Oxycephaly.
PMID- 29648092
TI - The Progressive Arterial Changes in Hydronephrosis: An Experimental Study,
Obtained on the Rabbit, and Illustrating These Changes in the Simple Unilobed
Type of Kidney.
PMID- 29648096
TI - Subphrenic Abscess.
PMID- 29648095
TI - The Diagnosis of Cancer of the Stomach.
PMID- 29648097
TI - Results of Gastrectomy for Cancer.
PMID- 29648098
TI - Three Cases of Severe Infection Treated by Immunotransfusion.
PMID- 29648099
TI - The Relationship of the Thymus and Testes to Growth.
PMID- 29648100
TI - James Lind and Scurvy.
PMID- 29648102
TI - Thrombopaenic Purpura Haemorrhagica.
PMID- 29648101
TI - The Value of Sgambatti's Test in the Detection of Inflammatory Infections of the
Peritoneum in Children.
PMID- 29648103
TI - Accidental Inoculation of a Malignant Tumour in Man.
PMID- 29648104
TI - Some Statistical Aspects of Tuberculous Meningitis.
PMID- 29648105
TI - Pulmonary Tuberculosis and Allied Conditions in Infancy and Childhood.
PMID- 29648106
TI - The Serology of the Tubercle Bacillus-Present Position.
PMID- 29648107
TI - Specimens Illustrative of Early Tuberculosis in Cows.
PMID- 29648108
TI - The Prognosis of Middle-Ear Suppuration in Children.
PMID- 29648109
TI - The Virulence of Tuberculous Viruses.
PMID- 29648110
TI - On the Use of Light in the Treatment of Tuberculosis.
PMID- 29648111
TI - Sanocrysin.
PMID- 29648112
TI - A Study of the Reaction Curve of One Hundred and Seventeen Strains of Tubercle
Bacilli.
PMID- 29648113
TI - The Treatment of Renal Tuberculosis from the Standpoint of the Surgeon.
PMID- 29648115
TI - Adiposity and Other Etiological Factors in Diabetes Millitus.
PMID- 29648114
TI - The Production of Certified Milk.
PMID- 29648117
TI - Results of Treatment of Jacksonian Epilepsy.
PMID- 29648118
TI - Effects Produced by Substitution.
PMID- 29648116
TI - Haemoglobinuria in Acetic Acid Poisoning.
PMID- 29648119
TI - Oxycephaly.
PMID- 29648120
TI - Parathyreoids and Osteitis Fibrosa.
PMID- 29648121
TI - Physiology of the Endocrine Glands.
PMID- 29648122
TI - Tumours of the Glandula Carotica.
PMID- 29648123
TI - Periarterial Sympathectomy.
PMID- 29648125
TI - Function after Colectomy.
PMID- 29648124
TI - Post-Mortem Tuberculous Lesions : Their Prevalence and Distribution in 404
Consecutive Examinations Conducted at the Royal Infirmary, Edinburgh.
PMID- 29648126
TI - Some Pharmacological and Metabolic Actions of Certain Endocrine Products.
PMID- 29648127
TI - Haemorrhagic Pancreatitis.
PMID- 29648128
TI - Unilateral Abdominal Amyoplasia.
PMID- 29648129
TI - On Normal Variations in the Cutaneous Temperature of the Extremities.
PMID- 29648130
TI - The Retinal Circulation in Cardio-Vascular Renal Disease.
PMID- 29648131
TI - Notes.
PMID- 29648132
TI - Disuse Post-Traumatic Decalcification.
PMID- 29648133
TI - The Morbid Miner.
PMID- 29648134
TI - Sex Hormone Therapy in Gynaecology.
PMID- 29648135
TI - Post-Traumatic Osteoma.
PMID- 29648136
TI - Parathyreoidectomy in Ankylosing Polyarthritis.
PMID- 29648137
TI - The Problem of the Industrial Cripple, Surveyed Especially in the South-East of
Scotland.
PMID- 29648138
TI - A Preliminary Communication Regarding the Progress Made by the Medical Side of
the Department of Physical Education, University of Edinburgh.
PMID- 29648139
TI - On So-Called "Spontaneous Arachnoid Haemorrhages".
PMID- 29648140
TI - Some Modern Problems Connected with the Cerebro-Spinal Fluid.
PMID- 29648141
TI - Observations on Primary Lung Carcinoma.
PMID- 29648143
TI - The Hypothalamus: A Report of the Henderson Trust Lectures.
PMID- 29648142
TI - Remarks upon the Symptom of Cough in Relation to Chronic and Acute Illness in
Childhood.
PMID- 29648144
TI - Pigmentation Due to Perfume.
PMID- 29648146
TI - The Philosophy of a Doctor.
PMID- 29648145
TI - Corynebacterium Diphtheriae in Edinburgh: The Incidence of Types among Cases and
Carriers from 1932-1936.
PMID- 29648147
TI - Alcoholism and Psychiatry.
PMID- 29648148
TI - Bone and the Carotic Glomus.
PMID- 29648149
TI - The Pupil after Cervico-Thoracic Sympathetic Ganglionectomy: Photographic
Observations in Man.
PMID- 29648150
TI - Post-Operation Foreign Body in Abdomen.
PMID- 29648151
TI - The Effect of Early Tonsillectomy on the Incidence of Acute Rheumatism.
PMID- 29648153
TI - The Royal Infirmary of Edinburgh-The New Dermatological and Special Pavilion.
PMID- 29648152
TI - Diabetes Insipidus. The Site of Formation of the Anti-Diuretic Hormone.
PMID- 29648154
TI - Surgery in General Practice: Its Difficulties and Limitations.
PMID- 29648155
TI - Notes.
PMID- 29648156
TI - Cerebro-Spinal Fluid in Syphilis of the Central Nervous System.
PMID- 29648157
TI - The Gall-Bladder in Animals.
PMID- 29648158
TI - Harvey and Integration.
PMID- 29648160
TI - Some Modern Problems Connected with the Cerebro-Spinal Fluid.
PMID- 29648159
TI - The Immortal Memory of William Harvey.
PMID- 29648161
TI - Chronic Progressive Hydrocephalus.
PMID- 29648162
TI - Virus Bodies in Lymphogranuloma Inguinale (Climatic Bubo).
PMID- 29648164
TI - The "Running" Nose.
PMID- 29648163
TI - Studies on Carbohydrate Metabolism in Mental Disorders. I. Glucose Tolerance
Tests in Manic-Depressive Insanity and Other Depressions.
PMID- 29648165
TI - The Formation of Gallstones.
PMID- 29648167
TI - Some Modern Problems Connected with the Cerebro-Spinal Fluid.
PMID- 29648166
TI - Passages of Medical History. Edinburgh Medicine, 1680-1750.
PMID- 29648168
TI - Medical Education in Scotland.
PMID- 29648170
TI - Spondylolisthesis: With a Description of a New Method of Operative Treatment and
Notes of Ten Cases.
PMID- 29648169
TI - A Neglected Aspect of Medical Education.
PMID- 29648171
TI - The Causation, Pathology and Therapeutics of Electrical Injuries.
PMID- 29648172
TI - Notes.
PMID- 29648173
TI - Clinical and Experimental Investigations.
PMID- 29648174
TI - Interference of Intrinsic Pulmonary Mechanisms as a Potential Cause of Asthma.
PMID- 29648175
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29648176
TI - An Experimental Inquiry into the Existence of a Sixth Sense: To Which Are Added,
Researches upon the Psychal Functions of the Cerebrum, in Relation to All the
Senses.
PMID- 29648177
TI - Remarks on the More Prevalent and Important Diseases of China, Especially Acute
Dysentery.
PMID- 29648179
TI - Varieties.
PMID- 29648178
TI - Medical Jurisprudence. Report of the Trial of Alexander Murray for Murder, in
Which the Defence of Insanity Was Sustained.
PMID- 29648180
TI - On the Gunshot Injuries Which Occur in Civil Practice, with Illustrative Cases.
PMID- 29648181
TI - On the Comparative Influence of the Male and Female Parent upon the Progeny.
PMID- 29648182
TI - On a Criticism of William Reid's Trial for Murder and Abortion.
PMID- 29648183
TI - Aneurism of the Left Internal Carotid Artery.
PMID- 29648184
TI - On the Cervix Uteri in Pregnancy.
PMID- 29648186
TI - Royal College of Physicians' Conversazione.
PMID- 29648185
TI - On the Cervix Uteri in Pregnancy.
PMID- 29648187
TI - Midwifery.
PMID- 29648189
TI - Two Cases of Tracheotomy on Account of Laryngeal Obstruction Produced by the
Entrance of Foreign Bodies.
PMID- 29648188
TI - On Pericarditis.
PMID- 29648190
TI - Varieties.
PMID- 29648191
TI - Medicine.
PMID- 29648192
TI - Therapeutical Record.
PMID- 29648193
TI - Surgery.
PMID- 29648194
TI - Regulations of the London University.
PMID- 29648195
TI - The Polmont Case.
PMID- 29648196
TI - Case of Vicarious Menstruation in a Female Aged 53.
PMID- 29648197
TI - The Pathology of Tuberculous Bone.
PMID- 29648198
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29648199
TI - On a New Poison from the Interior of China.
PMID- 29648200
TI - Suggestions on the Pathology and Treatment of Placenta Proevia.
PMID- 29648201
TI - A Blundering Female Practitioner.
PMID- 29648202
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary, 140, George
Street.
PMID- 29648203
TI - On Some Points in the Treatment and Clinical History of Asthma.
PMID- 29648204
TI - Polmont Case.
PMID- 29648205
TI - Report of a Case of Extirpation of the Tongue.
PMID- 29648206
TI - Varieties.
PMID- 29648207
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29648208
TI - Medicine.
PMID- 29648209
TI - Hospital in Edinburgh for Sick Children.
PMID- 29648211
TI - Surgery.
PMID- 29648210
TI - Second Report on the Deaths among the Assured in the Standard Life Assurance
Company, for the Period from 15th November 1850 to 15th November 1855.
PMID- 29648212
TI - Extracts from the Unpublished Writings of the Late Mr John Bell, Surgeon.
PMID- 29648213
TI - Case of Perforation of the Perineum.
PMID- 29648214
TI - Hygiene.
PMID- 29648215
TI - Case of Human Monstrosity.
PMID- 29648216
TI - Trial of a Surgeon for Malpraxis-Important Decision.
PMID- 29648217
TI - Skoda and His Critics.
PMID- 29648218
TI - Case of Rupture of the Urinary Bladder.
PMID- 29648219
TI - Case of Invagination of the Coecum and Appendix.
PMID- 29648220
TI - Poor-Law Medical Grievance.
PMID- 29648221
TI - Royal College of Physicians-Conversazione.
PMID- 29648222
TI - Liverpool Medical Institution-Homoeopathy Agitation.
PMID- 29648223
TI - Surgery.
PMID- 29648225
TI - Varieties.
PMID- 29648224
TI - On the Cervix Uteri in Pregnancy.
PMID- 29648226
TI - An Experimental Inquiry into the Existence of a Sixth Sense: To Which Are Added,
Researches upon the Psychal Functions of the Cerebrum, in Relation to All the
Senses.
PMID- 29648227
TI - Medicine.
PMID- 29648228
TI - The Pathology of Tuberculous Bone.
PMID- 29648229
TI - The Projected Medical School for the Army.
PMID- 29648230
TI - Quarterly Report of Cases Occurring at the Edinburgh Eye Infirmary.
PMID- 29648231
TI - Observations on the History of Lithotomy, and of the Treatment after the
Operation.
PMID- 29648232
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29648233
TI - Diphtheria.
PMID- 29648234
TI - The General Council of Medical Education and Registration.
PMID- 29648236
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29648235
TI - On the Natural Acid Reaction of the Urine, and on the Determination of the
Proportions Therein of Uric Acid and Urea, as Applicable to Practical Medicine.
PMID- 29648238
TI - Toxicology.
PMID- 29648237
TI - Varieties.
PMID- 29648239
TI - Note of a Case of Hoemorrhage from the Vagina.
PMID- 29648241
TI - On the Influence of Exercise over Respiration and Pulsation; with Comments.
PMID- 29648240
TI - Medicine.
PMID- 29648242
TI - Branch Council for England, and Executive Committee.
PMID- 29648244
TI - Medical Notes from the Continent: Or, Sketches of the Universities, Hospitals,
Lunatic Asylums, and Mineral Baths of Holland, Belgium, Germany, and Austria.
PMID- 29648243
TI - Therapeutical Record.
PMID- 29648245
TI - The Medical Council.
PMID- 29648246
TI - On the Change of Type Theory of Disease.
PMID- 29648247
TI - Skoda and His Critics.
PMID- 29648248
TI - Two Cases of Aneurism of the Descending Thoracic Aorta, Producing Obstruction of
the Thoracic Duct.
PMID- 29648250
TI - Medicine.
PMID- 29648249
TI - An Experimental Inquiry into the Existence of a Sixth Sense: To Which Are Added,
Researches upon the Psychal Functions of the Cerebrum, in Relation to All the
Senses.
PMID- 29648251
TI - Letter of Dr Jenner.
PMID- 29648252
TI - Medico-Chirurgical Society of Edinburgh.
PMID- 29648253
TI - The Pathology of Tuberculous Bone.
PMID- 29648254
TI - Varieties.
PMID- 29648256
TI - Midwifery.
PMID- 29648255
TI - Royal College of Physicians' Conversazione.
PMID- 29648257
TI - Royal Medical Society.-Annual Dinner.
PMID- 29648258
TI - On the Varieties of Asthma.
PMID- 29648259
TI - Public Vaccination.
PMID- 29648260
TI - Treatment of Epilepsy.
PMID- 29648261
TI - Medical News.
PMID- 29648262
TI - Hydramnios: And the Source of the Liquor Amnii.
PMID- 29648264
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648263
TI - Periscope of Otology.
PMID- 29648265
TI - On the Treatment of Fresh Wounds.
PMID- 29648266
TI - Correspondence.
PMID- 29648267
TI - Royal College of Physicians, Edinburgh.
PMID- 29648268
TI - Meetings of Societies.
PMID- 29648269
TI - Case of Intestinal Obstruction Treated by Opening the Abdomen.
PMID- 29648270
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648271
TI - The Causes, Results, and Treatment of Lacerations of the Cervix Uteri: Clinical
Lecture, Delivered on the 2nd June 1882.
PMID- 29648272
TI - Secret and Proprietary Medicines.
PMID- 29648273
TI - A Case of Complicated Fatty Tumour.
PMID- 29648274
TI - Alternation, Periodicity, and Relapse in Mental Diseases.
PMID- 29648276
TI - On Some Points of Interest in Connexion with a Case of Drowning in Which No Water
Was Found in the Stomach.
PMID- 29648275
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648277
TI - Occasional Periscope of Dermatology.
PMID- 29648278
TI - Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29648280
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648279
TI - The Action of the Auricles in Health and Disease.
PMID- 29648281
TI - Occasional Periscope of Dermatology.
PMID- 29648284
TI - Cases of Stricture of the Urethra.
PMID- 29648282
TI - On a Rare Form of Senile Gangrene.
PMID- 29648283
TI - Two Cases of Enucleation of Intra-Uterine Fibroids by Dr Matthews Duncan, in St
Bartholomew's Hospital.
PMID- 29648285
TI - The Murmurs of Debility in the Pulmonary and Tricuspid Areas.
PMID- 29648287
TI - Meetings of Societies.
PMID- 29648286
TI - Graviditas Serotina et Praecox.
PMID- 29648288
TI - Periscope of Otology.
PMID- 29648289
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648290
TI - Remarkable Case of Recovery after Injury to the Head.
PMID- 29648291
TI - W. H. Schieffelin & Co.'s Soluble Pills and Granules.
PMID- 29648292
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648293
TI - Attempted Assassination of Dr John P. Gray.
PMID- 29648294
TI - On the Uterine Sound.
PMID- 29648295
TI - Case of Hyperpyrexia in Acute Rheumatism: Cold Bath; Recovery.
PMID- 29648296
TI - List of Candidates for Appointments as Surgeons in H.M. Medical Service.
PMID- 29648297
TI - Royal College of Physicians, Edinburgh.
PMID- 29648298
TI - Dr Levis's Surgical Instruments.
PMID- 29648299
TI - Address to Students.
PMID- 29648300
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648301
TI - The Medical Congress of Seville.
PMID- 29648302
TI - Army Medical Department.
PMID- 29648303
TI - A Modern Fable.
PMID- 29648304
TI - Noises for Invalids.
PMID- 29648305
TI - Indian Medical Service.
PMID- 29648306
TI - Students' Cabinet of Materia Medica.
PMID- 29648307
TI - Meetings of Societies.
PMID- 29648308
TI - Medical Schools of Scotland.
PMID- 29648310
TI - Information Regarding Medical Education and Examinations.
PMID- 29648309
TI - Northern Sanitary Association, Liverpool.
PMID- 29648311
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648312
TI - Periscope of Otology.
PMID- 29648313
TI - The Radical Cure of Cancer.
PMID- 29648314
TI - Occasional Periscope of Dermatology.
PMID- 29648315
TI - Obstetrical Observations.
PMID- 29648316
TI - Case Illustrating the Importance of Accurate Pelvimetry; with Description of a
New Method.
PMID- 29648317
TI - Foetid Parametric and Perimetric Abscess.
PMID- 29648318
TI - Cranial Injuries.
PMID- 29648319
TI - Report on the Treatment of Sixty Cases of Vesical Calculus.
PMID- 29648321
TI - Athetosis and Athetoid Movements in the Insane.
PMID- 29648320
TI - Quarterly Report of the Royal Maternity and Simpson Memorial Hospital from 1st
November 1881 to 31st January 1882.
PMID- 29648322
TI - The Chronic Carbolic Acid Poisoning of Surgeons.
PMID- 29648323
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648324
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648325
TI - Periscope of Otology.
PMID- 29648326
TI - Report of Cases Treated at the Ear Dispensary, 6 Cambridge Street, Lothian Road,
from July 1880 to End of June 1881.
PMID- 29648327
TI - A Case of Successful Sponge-Grafting.
PMID- 29648328
TI - Points of Resemblance between Typhoid Fever and Diphtheria.
PMID- 29648330
TI - List of Graduates at the Royal Colleges of Physicians and Surgeons, Edinburgh.
PMID- 29648329
TI - Periscope of Syphilology.
PMID- 29648332
TI - Meetings of Societies.
PMID- 29648331
TI - Dr John Brown's Horae Subsecivae.
PMID- 29648333
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648334
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648335
TI - Case of Accidental Poisoning by Cyanide of Potassium.
PMID- 29648336
TI - On a Force Capable of Transmission beyond the Body without Sensible Effort.
PMID- 29648337
TI - Opening Address to the Students Attending the Edinburgh School of Medicine:
Delivered 24th October 1881.
PMID- 29648338
TI - The Treatment of Syphilis.
PMID- 29648339
TI - Ozena.
PMID- 29648340
TI - Note on Rupture of the Urethra and Its Treatment.
PMID- 29648341
TI - On Pityriasis Rosea, Maculata, et Circinata.
PMID- 29648343
TI - On Vaccinal Skin Eruptions.
PMID- 29648342
TI - Periscope of Otology.
PMID- 29648344
TI - Diaphoresis in the Treatment of Blood-Diseases.
PMID- 29648347
TI - Three Cases of Amputation at the Hip-Joint.
PMID- 29648346
TI - Gleanings from My Midwifery Case-Book.
PMID- 29648345
TI - Case of Hyperalgesia of the Lower Extremities: Followed by Pointing of an Abscess
below Poupart's Ligament and Terminating Favourably.
PMID- 29648348
TI - Another View of Congress.
PMID- 29648349
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648350
TI - M'Alpine's Zoological Atlas.
PMID- 29648351
TI - Occasional Periscope of Dermatology.
PMID- 29648352
TI - University of Edinburgh: Medical and Science Degrees.
PMID- 29648353
TI - Meetings of Societies.
PMID- 29648355
TI - Funeral of the Dead Doctor.
PMID- 29648354
TI - Case of Paralysis of Musculo-Spinal Nerve from Pressure of Fragment of Bone:
Excision of Fragment; Recovery.
PMID- 29648356
TI - Funic Haemorrhage during Labour.
PMID- 29648357
TI - A Case of Scarlet Fever Complicated by Acute Mania.
PMID- 29648358
TI - Surgical Notes.
PMID- 29648359
TI - Diseases Which Involve the Organ of Hearing.
PMID- 29648360
TI - Dr J. H. Hutchison on Alcoholism.
PMID- 29648361
TI - Induction of Premature Labour in a Woman Who Had Been Delivered Formerly by
Craniotomy: Child Alive.
PMID- 29648362
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648364
TI - Occasional Periscope of Surgery and Surgical Pathology.
PMID- 29648363
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648365
TI - A New Source of Quinine.
PMID- 29648366
TI - Meetings of Societies.
PMID- 29648367
TI - Subjective Symptoms in Eye Diseases.
PMID- 29648368
TI - Report of the Royal Maternity and Simpson Memorial Hospital for the Quarter
Ending 31st July 1881.
PMID- 29648369
TI - Glass as a Cover for Dissecting Tables.
PMID- 29648370
TI - A Medical Song from "Patience".
PMID- 29648372
TI - Germs, Busy Germs.
PMID- 29648371
TI - Statistics of the Inebriates' Home, Long Island.
PMID- 29648373
TI - Medical Notes from Ceylon.
PMID- 29648374
TI - Occasional Periscope of Dermatology.
PMID- 29648375
TI - Delivery by the Breech through a Greatly Narrowed, Flat, Rachitic Pelvis.
PMID- 29648376
TI - Monthly Retrospect of Obstetrics and Gynaecology.
PMID- 29648377
TI - On the Actions and Uses of Citrate of Caffein as a Diuretic.
PMID- 29648380
TI - On Nephrotomy.
PMID- 29648379
TI - On a Case of Bilateral Paralysis of the Vocal Cords, with Special Reference to
Diagnosis: Being Portion of a Clinical Lecture Delivered in the Western Infirmary
of Glasgow, 25th March 1881.
PMID- 29648378
TI - An Improved Method of Treating Uterine Displacements.
PMID- 29648381
TI - Periscope of Ophthalmology.
PMID- 29648382
TI - Retro-Pharyngeal Abscess in an Infant; Sudden Death by Haemorrhage.
PMID- 29648383
TI - Translation of a Few Remarks Made at Professor Nussbaum's Clinic.
PMID- 29648385
TI - Meetings of Societies.
PMID- 29648384
TI - Two Cases of Puerperal Haematocele.
PMID- 29648386
TI - Fibroid Tumour of the Uterus Complicating Labour: Delivery with Forceps.
PMID- 29648387
TI - Periscope of Otology.
PMID- 29648388
TI - Monthly Report on the Progress of Therapeutics.
PMID- 29648389
TI - Clinical Remarks on Cases of Hydrocele.
PMID- 29648390
TI - The Basis of Temperament.
PMID- 29648391
TI - Footnotes to Medical History.
PMID- 29648392
TI - Simplification of Blood Examination.
PMID- 29648393
TI - Studies on Stored Blood: VIII. The Effect of Transfusion on Capillary Resistance.
A Preliminary Note.
PMID- 29648394
TI - The Value of Tracheo-Bronchoscopy and OEsophagoscopy in Diagnosis and Treatment.
PMID- 29648395
TI - Some Observations on Calcium Requirement Standards.
PMID- 29648396
TI - The Effect of War-Time Conditions on the Administration of the Tuberculosis
Service.
PMID- 29648397
TI - Glycosuria in Pregnancy as a Predisposing Cause of Vaginal Thrush; with Special
Reference to Its Importance in the Treatment of This Condition.
AB - Introduction: Attention is drawn to the fact that pregnancy and glycosuria are
recognised to be predisposing factors in the causation of vaginal thrush.
Confusion exists, however, as to the exact nature of the glycosuria associated
with pregnancy. The critical and often serious glycosuria of diabetes mellitus in
pregnant women is contrasted with the mild, transient and often symptomless
glycosuria of pregnancy. The importance of a full understanding of this subject
lies in this, that the treatment of the patient, with or without insulin, depends
on it. Part I A Review of our Knowledge of the Glycosuria of Pregnancy: The
glycosuria of diabetes mellitus is not discussed, but attention is directed only
to the transient glycosuria of pregnancy. Three rather ill-defined types are
described: (1) early alimentary glycosuria; (2) renal glycosuria; (3) late
alimentary glycosuria. The changes in the concentration of glucose in the blood
and urine of groups of pregnant women when starved and later fed with a known
quantity of glucose are narrated and compared with the changes in the blood and
urine of non-pregnant women treated in the same way. An attempt is made to assess
the incidence of glycosuria among pregnant women living on an ordinary diet. Very
different estimates by a number of authorities are referred to and are explained,
in part at least, as due to the time, in relation to a meal, when a sample of
urine is collected and examined. The character, the quality and amount of the
meal, as well as the method of detecting the glucose affects the finding, for the
glycosuria of pregnancy is frequently intermittent. The conclusion is arrived at
that from 20 to 30 per cent. of women during some part of their pregnancy excrete
appreciable quantities of glucose in their urine. Part II Discusses the
Relationship between Glycosuria and Vaginal Thrush and records the Examination
and Treatment of a Small Number of Patients suffering from that Disease:
Glycosuria is found to be present in all cases of vaginal thrush if suitable
arrangements are made to collect and examine samples of urine. The avidity or
predilection of Oidium albicans for moist acid surfaces soiled with glucose is
referred to. The possibility that the blastospores of that fungus, which are
produced in enormous numbers in infected persons, may be dispersed in the air of
hospital wards containing cases of vaginal thrush is commented upon.The
examination of the blood and urine while the patient is starving and after a feed
of 50 grams of glucose in a small series of cases of vaginal thrush is recorded.
The treatment of four cases as examples is detailed.The general conclusion is
arrived at, on the basis of the established facts, that a well-balanced diet,
with adequate vitamin content, must be regarded as an essential part of the
treatment of vaginal thrush, in addition to the local application of antiseptics
such as gentian violet or iodine.Careful and frequent examinations of the urine
for the presence of sugar in samples collected after the main meal of the day and
during the later stages of the pregnancy, and the correction of errors of diet
revealed in this way, are prophylactic measures against thrush infection of the
vagina of pregnant women.
PMID- 29648398
TI - Progress in the Treatment of Malignant Disease.
PMID- 29648399
TI - Observations on Tuberculosis of the Larynx.
PMID- 29648400
TI - The Changing Heart Murmurs of Acute Rheumatism.
PMID- 29648401
TI - Fibrositis Treated with Vitamin E.
PMID- 29648403
TI - Intertrigo Treated with Tannic Acid and Brilliant Green.
PMID- 29648402
TI - Three Old Hospital Dietaries.
PMID- 29648404
TI - Biopsy of the Sternal Bone Marrow as a Diagnostic Procedure.
PMID- 29648405
TI - Acute Rheumatism and Trauma.
PMID- 29648406
TI - The Significance of Fear.
PMID- 29648407
TI - The Significance of Dilated Intracutaneous Veins on the Chest Wall.
PMID- 29648408
TI - A Lingual Thyroid: Myxoedema Following Its Removal.
PMID- 29648410
TI - Surgical Organisation in Air Raids.
PMID- 29648409
TI - Gaucher's Disease: Report of Two Unrelated Cases.
PMID- 29648411
TI - Wound Infection and Accidental Wounds : The Antiseptic Eras-Yesterday and To-Day.
PMID- 29648412
TI - Injuries from Projectiles.
PMID- 29648413
TI - Joint consensus on abdominal robotic surgery and anesthesia from a task force of
the SIAARTI and SIC.
AB - Minimally invasive surgical procedures have revolutionized the world of surgery
in the past decades. While laparoscopy, the first minimally invasive surgical
technique to be developed, is widely used and has been addressed by several
guidelines and recommendations, the implementation of robotic-assisted surgery is
still hindered by the lack of consensus documents that support healthcare
professionals in the management of this novel surgical procedure. Here we
summarize the available evidence and provide expert opinion aimed at improving
the implementation and resolution of issues derived from robotic abdominal
surgery procedures. A joint task force of Italian surgeons, anesthesiologists and
clinical epidemiologists reviewed the available evidence on robotic abdominal
surgery. Recommendations were graded according to the strength of evidence.
Statements and recommendations are provided for general issues regarding robotic
abdominal surgery, operating theatre organization, preoperative patient
assessment and preparation, intraoperative management, and postoperative
procedures and discharge. The consensus document provides evidence-based
recommendations and expert statements aimed at improving the implementation and
management of robotic abdominal surgery.
PMID- 29648414
TI - Assessment of left ventricular ejection fraction in critically ill patients at
the time of speckle tracking echocardiography: intensivists in training for
echocardiography versus experienced operators.
AB - BACKGROUND: The biplane Simpson's method is considered the gold standard to
assess and monitor left ventricular (LV) ejection fraction (EF) in critically ill
patients. Recently, a new semi-automatic technique based on speckle tracking
echocardiography called "Auto-EF" has been introduced. We compared LVEF values
obtained with biplane Simpson's method and Auto-EF by two groups of operators:
trainee echocardiography intensivists and experienced echocardiographers.
METHODS: A standard transthoracic echocardiography was performed on 37 patients.
According to image quality 29 patients were selected. Each inexperienced and
experienced operator executed an off-line analysis using both Simpson's method
and Auto-EF. LVEF obtained by the two groups of operators were then compared.
RESULTS: EF values assessed with Simpson's method showed a moderate correlation
(r=0.70, P<0.01) between inexperienced and experienced operators. The Bland
Altman analysis showed a mean bias of 0.3% with limits of agreement (LoA) from
24.4 to +25.1%. Values obtained with Auto-EF showed a good correlation (r=0.94,
P<0.01) with a mean bias of 0.2% and LoA from -10.1 to +10.4%. CONCLUSIONS: Due
to its semiautomatic nature, for inexpert operators Auto-EF seems more
reproducible than the traditional Simpson's method for monitoring left
ventricular function in critically ill patients.
PMID- 29648415
TI - Management of abdominal compartment syndrome during extracorporeal membrane
oxygenation: water-assisted colonoscopy as early emergency treatment.
PMID- 29648416
TI - Hemodynamic assessment in 2018: back to pathophysiological approach.
PMID- 29648417
TI - The influence of gabapentin on postoperative outcome in obese patients.
PMID- 29648418
TI - Preparation of Quantum Dots Hydrogel Nanocomposites with Improved Cytotoxicity.
AB - Nanocomposites are materials with unique properties and a wide range of
applications. The combination of different nanostructures with traditional
materials gives a variety of possibilities that should be analyzed. Especially,
functional fluorescent semiconductor quantum dots (QDs) embedded in polymeric
matrices have shown promising fluorescence and biocompatibility properties. These
hybrid materials can be used in medical applications such as biodiagnostic and
bioimaging. In this study, two hydrogels, one of polyethylene glycol diacrylate
(PEGDA) and other of polyacrylamide (PAAm), were prepared with quantum dots of
CdTe (4 nm of diameter) and characterized. The aim of this research was to
analyze the optical properties of the nanocomposites and their cell viability.
QDs nanocomposites were fabricated by a free radical polymerization process. The
optical studies showed that the nanocomposites have well defined properties of
fluorescence. To study the biocompatibility of the nanocomposites, metastatic
B16f10 cell line were used and MTT assay was performed. The nanocomposites had a
significant improved cell viability compared with QDs solutions.
PMID- 29648419
TI - Influence of Incubation Conditions on the Nanoparticles Toxicity Based on Seed
Germination and Bacterial Bioluminescence.
AB - The effects of the modified incubation conditions of a conventional bioassay on
the toxicity of partially soluble nanoparticles (NPs) were evaluated based on the
activity of seed germination and bacterial bioluminescence. Different levels of
toxicity were observed for seed germination (CuO > ZnO > NiO) and bacterial
bioluminescence (ZnO > CuO > NiO). The NP inhibition of seed germination
increased strongly under modified incubation conditions: sample volume from 5 mL
to 10 mL, shaking from none to 70 rpm, and working vessel from a Petri dish (+/-
filter paper) to an Erlenmeyer flask (no filter paper). In the case of seed
germination, the toxicity levels of NPs under the modified conditions were 1.26
to 8.49 times higher than the conventional method according to the type of NPs
and modified conditions (p-values < 0.05). No significant differences in
bacterial bioluminescence were observed between conventional (130 rpm) and
modified (160 rpm) conditions. These findings show that for an accurate
assessment of partially soluble NPs toxicity in ecosystems, the conventional
bioassay method, which is designed for soluble chemicals, needs to be performed
under modified conditions because of their insolubility.
PMID- 29648420
TI - Prenatal Exposure to DDT and Pyrethroids for Malaria Control and Child
Neurodevelopment: The VHEMBE Cohort, South Africa.
AB - BACKGROUND: Although indoor residual spraying (IRS) with
dichlorodiphenyltrichloroethane (DDT) and pyrethroids effectively controls
malaria, it potentially increases human exposure to these insecticides. Previous
studies suggest that prenatal exposure to these insecticides may impact human
neurodevelopment. OBJECTIVES: We aimed to estimate the effects of maternal
insecticide exposure and neurodevelopment of toddlers living in a malaria-endemic
region currently using IRS. METHODS: The Venda Health Examination of Mothers,
Babies and their Environment (VHEMBE) is a birth cohort of 752 mother-child pairs
in Limpopo, South Africa. We measured maternal exposure to DDT and its breakdown
product, dichlorodiphenyldichloroethylene (DDE), in maternal serum, and measured
pyrethroid metabolites in maternal urine. We assessed children's neurodevelopment
at 1 and 2 y of age using the Bayley Scales of Infant Development, third edition
(BSID-III), and examined associations with maternal exposure. RESULTS: DDT and
DDE were not associated with significantly lower scores for any BSID-III scale.
In contrast, each 10-fold increase in cis-DCCA, trans-DCCA, and 3-phenoxybenzoic
acid were associated, respectively, with a -0.63 (95% CI: -1.14, -0.12), -0.48
(95% CI: -0.92, -0.05), and -0.58 (-1.11, -0.06) decrement in Social-Emotional
scores at 1 y of age. In addition, each 10-fold increase in maternal cis-DBCA
levels was associated with significant decrements at 2 y of age in Language
Composite scores and Expressive Communication scores [beta=-1.74 (95% CI: -3.34,
0.13) and beta=-0.40 (95% CI: -0.77, -0.04), respectively, for a 10-fold
increase]. Significant differences by sex were estimated for pyrethroid
metabolites and motor function scores at 2 y of age, with higher scores for boys
and lower scores for girls. CONCLUSIONS: Prenatal exposure to pyrethroids may be
associated at 1 y of age with poorer social-emotional development. At 2 y of age,
poorer language development was observed with higher prenatal pyrethroid levels.
Considering the widespread use of pyrethroids, these findings deserve further
investigation. https://doi.org/10.1289/EHP2129.
PMID- 29648421
TI - Rice Bran Extract Inhibits TMEM16A-Involved Activity in the Neonatal Rat Cochlea.
AB - TMEM16A is a Ca2+-activated Cl- channel found in secretory glands, GI and
respiratory tracts, and sensory organs, playing a major physiological role in
fluid secretion, autonomous GI motility, and sensory transduction. In addition,
overexpression of TMEM16A has been associated with cancer cell proliferation and
invasion. Suppression of upregulated TMEM16A has been proposed as an effective
anti-cancer strategy. While searching for a potential TMEM16A inhibitor,
components of rice bran attracted our attention due to their anti-cancer
potential in colon cancer cells, a type of cells known to overexpressing TMEM16A.
Here, it was tested whether rice bran extract exhibits anti-TMEM16A activity.
Rice bran extract was tested in the neonatal rat cochlear tissues where TMEM16A
involved spontaneous activity is generated as a part of normal development of the
auditory pathway. Rice bran extract readily inhibited the TMEM16A-involved
activity in the cochlear tissues and the effect was reversible upon washout.
Taken together, rice bran extract appears to contain a putative TMEM16A inhibitor
and the rice byproduct might serve as a source of a new anti-cancer agent.
PMID- 29648422
TI - Contralateral Cervical Nerve Transfer for Arm Paralysis.
PMID- 29648423
TI - Cardiac Arrest during Competitive Sports.
PMID- 29648424
TI - Cardiac Arrest during Competitive Sports.
PMID- 29648425
TI - Cardiac Arrest during Competitive Sports.
PMID- 29648426
TI - Cardiac Arrest during Competitive Sports.
PMID- 29648427
TI - Cardiac Arrest during Competitive Sports.
PMID- 29648428
TI - Cardiac Arrest during Competitive Sports.
PMID- 29648429
TI - Neuroprotective Effects of the Nanoparticles of Zinc Sapogenin from Seeds of
Camellia oleifera.
AB - The sapogenin isolated from seeds of Camellia oleifera shows central effects in
our previous research. Aiming to improve its neuroprotective effects, we
synthesize the zinc-sapogenin complex, which has been found with the nanoparticle
characters and neuroprotective effects. Its structure is deduced as
sapogenin:zinc:water (3:1:1) by ultraviolet spectra, infrared spectra, element
analysis, and thermogravimetric analysis. The average size of zinc-sapogenin is
115 nm with plane circular shape by measurement of particle analyzer and scanning
electron microscope. The nanoparticle improves DPPH radical scavenging activity
due to the enhancement of electron transfer among atoms through the linkage of
zinc with the sapogenin. Intraperitoneal injection of zinc-sapogenin reduced mice
behavioral disorder and neuron damage induced by neurotoxicity of rotenone,
increased antioxidative ability and promoted the neurotransmitters of dopamine
and acetylcholine in mice brain. Zinc strengthens stability and effects of the
sapogenin, and it is a superior candidate of drugs for neuroprotection without
accumulation in brain.
PMID- 29648430
TI - Evaluation of Versatile CdS Nanomaterials Based Liquid Crystals Switchable
Device.
AB - Novel synthesis and properties of versatile CdS nanostructures (e.g., Nano-brush,
nano-cube, nanosphere) dispersed liquid crystals application in chemical friendly
medium exploring this article. Most recent studies, CdS nano-wire brush with the
stacking fault structures were hydrothermally synthesized through a dissolution
recrystallization approach in concentrated ammonia solvent in one step solution,
for the first time. Those structures-property relations with respect to
ferroelectric hydrogen-bonded liquid-crystalline complex (HBLC; AC + 5BAO)
controlled and efficient formation of surface relief nanostructures. A successful
attempt has been made to form hydrogen bonding between CdS nanostructures and
supramolecular LC with p-n-alkyloxy benzoic acids (n-OBA). The formation of an
inter-H-bond is evinced through FTIR study, as well as Gaussian simulation also
ensures complete formation of intermolecular hydrogen bond optimized geometry. In
contrast, a new sensitive response of smectic G ordering observed in this series
is investigated by constructing a phase diagram obtained from two binary mixtures
of CdS nanostructures influenced by homogeneous liquid crystals. Temperature
dependent dielectric relaxation, tilt angle, electro-optical switching
measurements allow for monitoring very unique and useful behavior in that the
polarization of the emission from the semiconductor nanostructures can be
controlled by an external bias. It reveals electrically tunable interaction of
the composites may allow for engineering of practical electro-optic switchable
device.
PMID- 29648431
TI - Post-Synthesis Separation and Storage of Zero-Valent Iron Nanoparticles.
AB - Zero-valent iron (ZVI) nanoparticles are susceptible to oxidation and are
therefore sensitive to postsynthesis processing, including both separation and
storage techniques. Two separation methods, centrifugation and magnetic
separation, were evaluated in this study. Nanoparticle stability during storage
in ethanol-water solutions was also studied, and the influence of both water and
aeration on nanoparticle oxidation was determined. Nanoparticle morphology and
extent of oxidation were analyzed with electron microscopy, elemental analysis,
zeta potential, and X-ray photoelectron spectroscopy. Microscopy results suggest
that the separation method used affects the extent of stabilizer that remains
adsorbed to the nanoparticles, but both separation methods result in minimal
oxidation of the nanoparticles. However, the addition of aerated water to
nanoparticle-ethanol storage solutions caused nanoparticle oxidation; an increase
in the volume fraction of water added caused a linear increase in oxygen content
based on elemental analysis of nanoparticle samples. X-ray photoelectron
spectroscopy results suggest that organic stabilizer is incorporated into the
nanoparticle structure as oxidation occurs.
PMID- 29648433
TI - Longitudinal Water Proton Relaxivity and In Vivo T1 MR Images of Mixed
Zn(II)/Gd(III) Oxide Nanoparticles.
AB - Mixed Zn(II)/Gd(III) oxide nanoparticles (~8 mole%Zn) with d(avg) of 2.1 nm were
synthesized. The D-glucuronic acid coated Zn(II)/Gd(III) oxide nanoparticles
showed a longitudinal water proton relaxivity (r1) of 12.3 s-1mM-1 with r2/r1 =
1.1, corresponding to an ideal condition for T1 MRI contrast agent. We attribute
this to reduced magnetization of the mixed nanoparticles owing to non-magnetic Zn
in the nanoparticles. Their effectiveness as a T1 MRI contrast agent was
confirmed by acquiring In Vivo T1 MR images of a mouse after intravenous
injection.
PMID- 29648434
TI - Tip-Enhanced Photoinduced Electron Transfer and Ionization on Vertical Silicon
Nanowires.
AB - Nanostructured semiconductors are one of the most potent candidates for matrix
free laser desorption/ionization mass spectrometric (LDI-MS) analysis of low
molecular-weight molecules. Herein, the enhanced photoinduced electron transfer
and LDI on the tip of a vertical silicon nanowire (SiNW) array were investigated.
Theoretical simulation and LDI detection of indigo and isatin molecules in
negative ion mode revealed that the electric field can be enhanced on the tip end
of SiNWs, thereby promoting the energy and electron transfer to the analytes
adsorbed on the tip of SiNWs. On the basis of this finding, a tip-contact
sampling method coupled with LDI-MS detection was established. In this strategy,
the tip of SiNWs can be regarded as microextraction heads for the sampling of
molecules when they come in contact with analytes. Impression of skin, tissue,
and pericarp on the vertical SiNW array can effectively transfer endogenous
metabolites or exogenous substances onto the tip. Upon laser irradiation, the
adsorbed molecules on the SiNW tip can be efficiently ionized and detected in
negative ion mode because of the tip-enhanced electron transfer and LDI effect.
We believe this work may significantly expand the application of LDI-MS in
various fields.
PMID- 29648435
TI - Fluoroethylene Carbonate-Based Electrolyte with 1 M Sodium
Bis(fluorosulfonyl)imide Enables High-Performance Sodium Metal Electrodes.
AB - Sodium (Na) metal anodes with stable electrochemical cycling have attracted
widespread attention because of their highest specific capacity and lowest
potential among anode materials for Na batteries. The main challenges associated
with Na metal anodes are dendritic formation and the low density of deposited Na
during electrochemical plating. Here, we demonstrate a fluoroethylene carbonate
(FEC)-based electrolyte with 1 M sodium bis(fluorosulfonyl)imide (NaFSI) salt for
the stable and dense deposition of the Na metal during electrochemical cycling.
The novel electrolyte combination developed here circumvents the dendritic Na
deposition that is one of the primary concerns for battery safety and constructs
the uniform ionic interlayer achieving highly reversible Na plating/stripping
reactions. The FEC-NaFSI constructs the mechanically strong and ion-permeable
interlayer containing NaF and ionic compounds such as Na2CO3 and sodium
alkylcarbonates.
PMID- 29648436
TI - Toward High-Performance Lithium-Sulfur Batteries: Upcycling of LDPE Plastic into
Sulfonated Carbon Scaffold via Microwave-Promoted Sulfonation.
AB - Lithium-sulfur batteries were intensively explored during the last few decades as
next-generation batteries owing to their high energy density (2600 Wh kg-1) and
effective cost benefit. However, systemic challenges, mainly associated with
polysulfide shuttling effect and low Coulombic efficiency, plague the practical
utilization of sulfur cathode electrodes in the battery market. To address the
aforementioned issues, many approaches have been investigated by tailoring the
surface characteristics and porosities of carbon scaffold. In this study, we
first present an effective strategy of preparing porous sulfonated carbon (PSC)
from low-density polyethylene (LDPE) plastic via microwave-promoted sulfonation.
Microwave process not only boosts the sulfonation reaction of LDPE but also
induces huge amounts of pores within the sulfonated LDPE plastic. When a PSC
layer was utilized as an interlayer in lithium-sulfur batteries, the sulfur
cathode delivered an improved capacity of 776 mAh g-1 at 0.5C and an excellent
cycle retention of 79% over 200 cycles. These are mainly attributed to two
materialistic benefits of PSC: (a) porous structure with high surface area and
(b) negatively charged conductive scaffold. These two characteristics not only
facilitate the improved electrochemical kinetics but also effectively block the
diffusion of polysulfides via Coulomb interaction.
PMID- 29648437
TI - pH-Responsive Magnetic Mesoporous Silica-Based Nanoplatform for Synergistic
Photodynamic Therapy/Chemotherapy.
AB - By overcoming drug resistance and subsequently enhancing the treatment, the
combination therapy of photodynamic therapy (PDT) and chemotherapy has promising
potential for cancer treatment. However, the major challenge is how to establish
an advanced nanoplatform that can be efficiently guided to tumor sites and can
then stably release both chemotherapy drugs and a photosensitizer simultaneously
and precisely. In this study, which considered the possibility and targeting
efficiency of a magnetic targeting strategy, a novel Fe3O4@mSiO2(DOX)@HSA(Ce6)
nanoplatform was successfully built; this platform could be employed as an
efficient synergistic antitumor nanoplatform with magnetic guidance for highly
specific targeting and retention. Doxorubicin (DOX) molecules were loaded into
mesoporous silica with high loading capability, and the mesoporous channels were
blocked by a polydopamine coating. Human serum albumin (HSA) was conjugated to
the outer surface to increase the biocompatibility and blood circulation time, as
well as to provide a vehicle for loading photosensitizer chlorin e6 (Ce6). The
sustained release of DOX under acidic conditions and the PDT induced by red light
exerted a synergistic inhibitory effect on glioma cells. Our experiments
demonstrated that the pH-responsive Fe3O4@mSiO2(DOX)@HSA(Ce6) nanoplatform was
guided to the tumor region by magnetic targeting and that the nanoplatform
suppressed glioma tumor growth efficiently, implying that the system is a highly
promising photodynamic therapy/chemotherapy combination nanoplatform with
synergistic effects for cancer treatment.
PMID- 29648438
TI - A Nanocomposite Hydrogel with Potent and Broad-Spectrum Antibacterial Activity.
AB - Local bacterial infection is a challenging task and still remains a serious
threat to human health in clinics. Systemic administration of antibiotics has
only short-term antibacterial activity and usually causes adverse effects and
bacterial resistance. A bioadhesive hydrogel with broad-spectrum and on-demand
antibiotic activity is highly desirable. Here, we designed a pH-responsive
nanocomposite hydrogel via a Schiff base linkage between oxidized polysaccharides
and cationic dendrimers encapsulated with silver nanoparticles. The antibacterial
components, both the cationic dendrimers and silver species, could be released in
response to the acidity generated by growing bacteria. The released cationic
polymer and silver exhibited a synergistic effect in antibacterial activity, and
thus, the nanocomposite hydrogel showed potent antibacterial activity against
both Gram-negative ( Escherichia coli and Pseudomonas aeruginosa) and Gram
positive bacteria ( Staphylococcus epidermidis and Staphylococcus aureus). The
gel showed superior in vivo antibacterial efficacy against S. aureus infection
compared with a commercial silver hydrogel at the same silver concentration. In
addition, no obvious hemolytic toxicity, cytotoxicity, and tissue and biochemical
toxicity were observed for the antibacterial hydrogel after incubation with cells
or implantation. This study provides a facile and promising strategy to develop
smart hydrogels to treat local bacterial infections.
PMID- 29648439
TI - Development and Synthesis of DNA-Encoded Benzimidazole Library.
AB - Encoded library technology (ELT) is an effective approach to the discovery of
novel small-molecule ligands for biological targets. A key factor for the success
of the technology is the chemical diversity of the libraries. Here we report the
development of DNA-conjugated benzimidazoles. Using 4-fluoro-3-nitrobenzoic acid
as a key synthon, we synthesized a 320 million-member DNA-encoded benzimidazole
library using Fmoc-protected amino acids, amines and aldehydes as diversity
elements. Affinity selection of the library led to the discovery of a novel,
potent and specific antagonist of the NK3 receptor.
PMID- 29648440
TI - Spontaneous Transport of Single-Stranded DNA through Graphene-MoS2
Heterostructure Nanopores.
AB - The effective transport of a single-stranded DNA (ssDNA) molecule through a solid
state nanopore is essential to the future success of high-throughput and low-cost
DNA sequencing. Compatible with current electric sensing technologies, here, we
propose and demonstrate by molecular dynamics simulations the ssDNA transport
through a quasi-two-dimensional nanopore in a heterostructure stacked together
with different 2D materials, such as graphene and molybdenum disulfide (MoS2).
Due to different chemical potentials, U, of DNA bases on different 2D materials,
it is energetically favorable for a ssDNA molecule to move from the low- U MoS2
surface to the high- U graphene surface through a nanopore. With the proper
attraction between the negatively charged phosphate group in each nucleotide and
the positively charged Mo atoms exposed on the pore surface, the ssDNA molecule
can be temporarily seized and released thereafter through a thermal activation,
that is, a slow and possible nucleotide-by-nucleotide transport. A theoretical
formulation is then developed for the free energy of the ssDNA transiting a
heterostructure nanopore to properly characterize the non-equilibrium stick-slip
like motion of a ssDNA molecule.
PMID- 29648441
TI - Biocompatible Polymers Modified with d-Octaarginine as an Absorption Enhancer for
Nasal Peptide Delivery.
AB - Peptide and protein drugs, which are categorized as biologics, exhibit poor
membrane permeability. This pharmacokinetic disadvantage has largely restricted
the development of noninvasive dosage forms of biologics that deliver into
systemic circulation. We have been investigating the potential use of cell
penetrating peptide-linked polymers as a novel absorption enhancer to overcome
this challenge. Since our previous study revealed that biocompatible poly( N
vinylacetamide- co-acrylic acid) modified with d-octaarginine, a typical cell
penetrating peptide, enhanced in vitro permeation of biomolecules such as plasmid
DNA and bovine serum albumin through cell membranes, the present study evaluated
whether the polymers enhanced in vivo absorption of biologics applied on the
mucosa. Mouse experiments demonstrated that d-octaarginine-linked polymers
drastically enhanced nasal absorption of exendin-4, whose injection is clinically
used. The mean bioavailability was 20% relative to subcutaneous administration,
even though it fell short of 1% when exendin-4 alone was administered nasally.
The absorption-enhancing function of the polymers was superior to that of sodium
caprate and sodium N-(8-(2-hydroxybenzoyl)amino) caprylate, which have been used
for humans as an absorption enhancer. In vitro experiments using several
biologics with different characteristics revealed that biologics interacted with
d-octaarginine-linked polymers and were taken up into cells when incubated with
the polymers. The interaction and cellular uptake were enhanced as molecular
weights of the biologics increased; however, their charge-dependent in vitro
performance was not clearly observed. The current data suggested that biologics
formulated with our polymers became an alternative to their conventional invasive
parenteral formulations.
PMID- 29648442
TI - NIR-to-Red Upconversion Nanoparticles with Minimized Heating Effect for
Synchronous Multidrug Resistance Tumor Imaging and Therapy.
AB - Lanthanide-doped upconversion nanoparticles (UCNPs), especially the 808 nm
activated UCNPs, are promising imaging agents for biological applications because
of their minimal tissue overheating effects and low autofluorescence background.
Optimizing the emission peaks located in the "biological window (600-1100 nm)" is
of vital importance to obtain the maximum penetration depth and intense deep
tissue imaging. On the other hand, because of the widely existing multidrug
resistance (MDR) of tumor cells, traditional tumor chemotherapy often fails to
achieve the desired effect. Herein, a new type of 808 nm excited pure red
luminescence core-shell Nd3+-sensitized NaY(Mn)F4:Yb/Er@NaYbF4:Nd UCNPs (CSUCNPs)
was designed and synthesized for deep tissue imaging and MDR tumor diagnosis with
a minimized heating effect. In the meanwhile, d-alpha-tocopherol polyethylene
glycol 1000 succinate (TPGS) coating was introduced to endow CSUCNPs with
capabilities of drug loading and overcoming MDR. The in vitro cytotoxicity test
revealed that CSUCNPs-TPGS-doxorubicin (D-CSUCT) had excellent MDR cancer cell
killing efficacy. The in vivo test showed that D-CSUCT can target the tumor site
by enhanced retention effect, and the intense luminescent signals from the tumor
site in the deep tissue were detected. Generally, this work shows D-CSUCT can
overcome the MDR effect, diagnose the tumor, inhibit tumor growth, and induce
tumor cells necrosis and apoptosis, without causing damage to major organs and
other side effects. Overall, the study demonstrates the conjugation of red
emitted UCNPs with a minimized heating effect and that the anti-MDR carrier is
highly promising for developing multifunctional theranostic system with effective
simultaneous diagnosis and for multidrug-resistant tumor treatment.
PMID- 29648443
TI - Shedding Light on the Molecular Pathology of Amyloid Plaques in Transgenic
Alzheimer's Disease Mice Using Multimodal MALDI Imaging Mass Spectrometry.
AB - Senile plaques formed by aggregated amyloid beta peptides are one of the major
pathological hallmarks of Alzheimer's disease (AD) which have been suggested to
be the primary influence triggering the AD pathogenesis and the rest of the
disease process. However, neurotoxic Abeta aggregation and progression are
associated with a wide range of enigmatic biochemical, biophysical and genetic
processes. MALDI imaging mass spectrometry (IMS) is a label-free method to
elucidate the spatial distribution patterns of intact molecules in biological
tissue sections. In this communication, we utilized multimodal MALDI-IMS analysis
on 18 month old transgenic AD mice (tgArcSwe) brain tissue sections to enhance
molecular information correlated to individual amyloid aggregates on the very
same tissue section. Dual polarity MALDI-IMS analysis of lipids on the same pixel
points revealed high throughput lipid molecular information including
sphingolipids, phospholipids, and lysophospholipids which can be correlated to
the ion images of individual amyloid beta peptide isoforms at high spatial
resolutions (10 MUm). Further, multivariate image analysis was applied in order
to probe the multimodal MALDI-IMS data in an unbiased way which verified the
correlative accumulations of lipid species with dual polarity and Abeta peptides.
This was followed by the lipid fragmentation obtained directly on plaque
aggregates at higher laser pulse energies which provided tandem MS information
useful for structural elucidation of several lipid species. Majority of the
amyloid plaque-associated alterations of lipid species are for the first time
reported here. The significance of this technique is that it allows correlating
the biological discussion of all detected plaque-associated molecules to the very
same individual amyloid plaques which can give novel insights into the molecular
pathology of even a single amyloid plaque microenvironment in a specific brain
region. Therefore, this allowed us to interpret the possible roles of lipids and
amyloid peptides in amyloid plaque-associated pathological events such as focal
demyelination, autophagic/lysosomal dysfunction, astrogliosis, inflammation,
oxidative stress, and cell death.
PMID- 29648444
TI - Headspace-Sampling Paper-Based Analytical Device for Colorimetric/Surface
Enhanced Raman Scattering Dual Sensing of Sulfur Dioxide in Wine.
AB - This study demonstrates a novel strategy for colorimetric/surface-enhanced Raman
scattering (SERS) dual-mode sensing of sulfur dioxide (SO2) by coupling headspace
sampling (HS) with paper-based analytical device (PAD). The smart and
multifunctional PAD is fabricated with a vacuum filtration method in which 4
mercaptopyridine (Mpy)-modified gold nanorods (GNRs)-reduced graphene oxide (rGO)
hybrids (rGO/MPy-GNRs), anhydrous methanol, and starch-iodine complex are
immobilized into cellulose-based filter papers. The resultant PAD exhibits a deep
blue color with a strong absorption peak at 600 nm due to the formation of an
intermolecular charge-transfer complex between starch and iodine. However, the
addition of SO2 induces the Karl Fischer reaction, resulting in the decrease of
color and increase of SERS signals. Therefore, the PAD can be used not only as a
naked-eye indicator of SO2 changed from blue to colorless but also as a highly
sensitive SERS substrates because of the SO2-triggered conversion of Mpy to
pyridine methyl sulfate on the GNRs. A distinguishable change in the color was
observed at a SO2 concentration of 5 MUM by the naked eye, and a detection limit
as low as 1.45 MUM was obtained by virtue of UV-vis spectroscopy. The PAD-based
SERS method is effective over a wide range of concentrations (1 MUM to 2000 MUM)
for SO2, and the detection limit for SO2 is found to be 1 MUM. The HS-PAD based
colorimetric/SERS method is applied for the determination of SO2 in wine, and the
detection results match well with those obtained from the traditional Monier
Williams method. This study not only offers a new method for on-site monitoring
of SO2 but also provides a new strategy for designing of paper-based sensing
platform for a wide range of field-test applications.
PMID- 29648445
TI - Manipulating Femtoliter to Picoliter Droplets by Pins for Single Cell Analysis
and Quantitative Biological Assay.
AB - Herein, we developed an automated and flexible system for performing miniaturized
liquid-liquid reactions and assays in the femtoliter to picoliter range, by
combining the contact printing and the droplet-based microfluidics techniques.
The system mainly consisted of solid pins and an oil-covered hydrophilic
micropillar array chip fixed on an automated x- y- z translation stage. A novel
droplet manipulation mode called "dipping-depositing-moving" (DDM) was proposed,
which was based on the programmable combination of three basic operations,
dipping liquids and depositing liquids with the solid pins and moving the two
dimensional oil-covered hydrophilic pillar microchip. With the DDM mode, flexible
generation and manipulation of small droplets with volumes down to 179 fL could
be achieved. For overcoming the scale phenomenon specially appeared in picoliter
scale droplets, we used a design of water moat to protect the femtoliter to
picoliter droplets from volume loss through the cover oil during the droplet
generation, manipulation, reaction and assay processes. Moreover, we also
developed a precise quantitative method, quantitative droplet dilution method, to
accurately measure the volumes of femtoliter to picoliter droplets. To
demonstrate its feasibility and adaptability, we applied the present system in
the determination of kinetics parameter for matrix metalloproteinases (MMP-9) in
1.81 pL reactors and the measurement the activity of beta-galactosidase in single
cells (HepG2 cells) in picoliter droplet array. The ultrasmall volumes of the
droplet reactors avoided the excessive dilution to the reaction solutions and
enabled the highly sensitive measurement of enzyme activity in the single cell
level.
PMID- 29648446
TI - Evans Blue Derivative-Functionalized Gold Nanorods for Photothermal Therapy
Enhanced Tumor Chemotherapy.
AB - Chemotherapy is a standard care for cancer management, but the lack of tumor
targeting and high dose-induced side effects still limit its utility in patients.
Here, we report a chemotherapy combined with photothermal therapy (PTT) for
enhanced cancer ablation by functionalization of gold nanorods (GNRs) with a
novel small molecule named truncated Evans blue (tEB). On the basis of the high
binding affinity of tEB with albumin, an Abraxane-like nanodrug, human serum
albumin/hydroxycamptothecin (HSA/HCPT), was further complexed with GNR-tEB. This
formed an HCPT/HSA/tEB-GNR (HHEG) with excellent biostability and
biocompatibility. With photoacoustic and fluorescence imaging, we observed HHEG
tumor targeting, which is mediated by enhanced permeability retention effect. The
accumulation of HHEG peaked in tumor at 12 h postinjection. Moreover, HHEG can
effectively ablate tumor growth with laser illumination via chemo/thermal therapy
after intravenous administration into SCC7 tumor. This combination is much better
than chemotherapy or PTT alone. Collectively, we constructed a chemo/thermal
therapy nanostructure based on a tEB-modified GNR for better tumor treatment
effect. The use of tEB in gold nanoparticles can facilitate many new approaches
to design hybrid nanoparticles.
PMID- 29648447
TI - pH-Responsive Nanoscale Covalent Organic Polymers as a Biodegradable Drug Carrier
for Combined Photodynamic Chemotherapy of Cancer.
AB - Covalent organic polymers (COPs) are a promising class of cross-linked polymeric
networks and porous structures composed of covalent organic molecules that
attract extensive attention. Despite increasing interest in applying COPs for
applications in nanomedicine, the pH-sensitive COPs that are able to sensitively
respond to the slightly acidic tumor microenvironment for tumor-specific drug
delivery and therapy remain to be explored to our best knowledge. Herein, a new
style of pH-responsive COPs were prepared using acryloyl meso-tetra( p
hydroxyphenyl) porphine (acryloyl-THPP) to react with 4,4'-trimethylene
dipiperidine to form the pH-responsive cross-linked biodegradable beta-amino
esters (BAEs). Amine-modified poly(ethylene glycol) (PEG) was then introduced to
terminate the reaction and form the PEG shell. The formulated pH-responsive THPP
BAE-PEG COPs can be utilized to encapsulate anticancer drug doxorubicin (DOX) due
to their porous structure. Upon intravenous injection, such DOX-loaded COPs show
a prolonged blood circulation as well as an efficient tumor accumulation. Along
with the pH-triggered drug release for chemotherapy, the singlet oxygen produced
by THPP under light exposure for photodynamic therapy would further endow us a
combined treatment strategy, which offers synergistic antitumor effects in our in
vivo tumor model experiments. Our study illustrates that COPs fabricated with
tumor microenvironment responsive linkers may be a promising type of materials
for applications in cancer nanomedicine.
PMID- 29648448
TI - Force Field Benchmark of Amino Acids: I. Hydration and Diffusion in Different
Water Models.
AB - Thermodynamic and kinetic properties are of critical importance for the
applicability of computational models to biomolecules such as proteins. Here we
present an extensive evaluation of the Amber ff99SB-ILDN force field for modeling
of hydration and diffusion of amino acids with three-site (SPC, SPC/E, SPC/Eb,
and TIP3P), four-site (TIP4P, TIP4P-Ew, and TIP4P/2005), and five-site (TIP5P and
TIP5P-Ew) water models. Hydration free energies (HFEs) of neutral amino acid side
chain analogues have little dependence on the water model, with a root-mean
square error (RMSE) of ~1 kcal/mol from experimental observations. On the basis
of the number of interacting sites in the water model, HFEs of charged side
chains can be putatively classified into three groups, of which the group of
three-site models lies between those of four- and five-site water models; for
each group, the water model dependence is greatly eliminated when the solvent
Galvani potential is considered. Some discrepancies in the location of the first
hydration peak ( RRDF) in the ion-water radial distribution function between
experimental and calculated observations were detected, such as a systematic
underestimation of the acetate (Asp side chain) ion. The RMSE of calculated
diffusion coefficients of amino acids from experiment increases linearly with the
increasing diffusion coefficients of the solvent water models at infinite
dilution. TIP3P has the fastest diffusivity, in line with literature findings,
while the "FB" and "OPC" water model families as well as TIP4P/2005 perform well,
within a relative error of 5%, and TIP4P/2005 yields the most accurate estimate
for the water diffusion coefficient. All of the tested water models overestimate
amino acid diffusion coefficients by approximately 40% (TIP4P/2005) to 200%
(TIP3P). Scaling of protein-water interactions with TIP4P/2005 in the Amber
ff99SBws and ff03ws force fields leads to more negative HFEs but has little
influence on the diffusion of amino acids. The most recent FF/water combinations
of ff14SB/OPC3, ff15ipq/SPC/Eb, and fb15/TIP3P-FB do not show obvious
improvements in accuracy for the tested quantities. These findings here establish
a benchmark that may aid in the development and improvement of classical force
fields to accurately model protein dynamics and thermodynamics.
PMID- 29648452
TI - Slow Auger Relaxation in HgTe Colloidal Quantum Dots.
AB - The biexciton lifetimes in HgTe colloidal quantum dots are measured as a function
of particle size. Samples produced by two synthetic methods, leading to partially
aggregated or well-dispersed particles, exhibit markedly different dynamics. The
relaxation characteristics of partially aggregated HgTe inhibit reliable
determinations of the Auger lifetime. In well-dispersed HgTe quantum dots, the
biexciton lifetime increases approximately linearly with particle volume,
confirming trends observed in other systems. The extracted Auger coefficient is
three orders of magnitude smaller than that for bulk HgCdTe materials with
similar energy gaps. We discuss these findings in the context of understanding
Auger relaxation in quantum-confined systems and their relevance to mid-infrared
optoelectronic devices based on HgTe colloidal quantum dots.
PMID- 29648451
TI - Activation of Periodate by Freezing for the Degradation of Aqueous Organic
Pollutants.
AB - A new strategy (i.e., freezing) for the activation of IO4- for the degradation of
aqueous organic pollutants was developed and investigated. Although the
degradation of furfuryl alcohol (FFA) by IO4- was negligible in water at 25
degrees C, it proceeded rapidly during freezing at -20 degrees C. The rapid
degradation of FFA during freezing should be ascribed to the freeze concentration
effect that provides a favorable site (i.e., liquid brine) for the proton-coupled
degradation process by concentrating IO4-, FFA, and protons. The maximum
absorption wavelength of cresol red (CR) was changed from 434 nm (monoprotonated
CR) to 518 nm (diprotonated CR) after freezing, which confirms that the pH of the
aqueous IO4- solution decreases by freezing. The degradation experiments with
varying experimental parameters demonstrate that the degradation rate increases
with increasing IO4- concentration and decreasing pH and freezing temperature.
The application of the IO4-/freezing system is not restricted to FFA. The
degradation of four other organic pollutants (i.e., tryptophan, phenol, 4
chlorophenol, and bisphenol A) by IO4-, which was negligible in water, proceeded
during freezing. In addition, freezing significantly enhanced the IO4--mediated
degradation of cimetidine. The outdoor experiments performed on a cold winter
night show that the IO4-/freezing system for water treatment can be operated
without external electrical energy.
PMID- 29648449
TI - Knockout of rapC Improves the Bacillomycin D Yield Based on De Novo Genome
Sequencing of Bacillus amyloliquefaciens fmbJ.
AB - Bacillus amyloliquefaciens, a Gram-positive and soil-dwelling bacterium, could
produce secondary metabolites that suppress plant pathogens. In this study, we
provided the whole genome sequence results of B. amyloliquefaciens fmbJ, which
had one circular chromosome of 4 193 344 bp with 4249 genes, 87 tRNA genes, and
27 rRNA genes. In addition, fmbJ was found to contain several gene clusters of
antimicrobial lipopeptides (bacillomycin D, surfactin, and fengycin), and
bacillomycin D homologues were further comprehensively identified. To clarify the
influence of rapC regulating the synthesis of lipopeptide on the yield of
bacillomycin D, rapC gene in fmbJ was successfully deleted by the marker-free
method. Finally, it was found that the deletion of rapC gene in fmbJ
significantly improved bacillomycin D production from 240.7 +/- 18.9 to 360.8 +/-
30.7 mg/L, attributed to the increased the expression of bacillomycin D synthesis
related genes through enhancing the transcriptional level of comA, comP, and
phrC. These results showed that the production of bacillomycin D in B.
amyloliquefaciens fmbJ might be regulated by the RapC-PhrC system. The findings
are expected to advance further agricultural application of Bacillus spp. as a
promising source of natural bioactive compounds.
PMID- 29648450
TI - Neuronal Synapses: Microscale Signal Processing Machineries Formed by Phase
Separation?
AB - The organization principles underlying non-membrane-bound organelles have started
to unravel in the past 10 years. A new biophysical model known as biomolecular
condensates has been proposed to explain many aspects of membraneless organelle
assembly and regulation. Neurons are extremely complex, and each neuron can
contain tens of thousands of synapses, building an extensive neuronal circuit.
Intriguingly, neuronal synapses are characterized by specialized
compartmentalization, where highly enriched supramolecular complexes are semi
membrane-enclosed into submicrometer-sized signal processing compartments. Recent
findings have demonstrated that this postsynaptic density may be driven by phase
separation, and an increasing number of studies of membraneless compartments have
shed light on the important molecular features shared by these organelles. Here,
we discuss the unique morphology and composition of synapses and consider how
synaptic assembly might be driven by phase separation. Understanding the
molecular behavior of this semi-membrane-bound compartment could ultimately help
to explain the mechanistic details underlying synaptic transmission and
plasticity, as well as the numerous brain disorders caused by synaptic defects.
PMID- 29648453
TI - Liquid Crystal Monomers (LCMs): A New Generation of Persistent Bioaccumulative
and Toxic (PBT) Compounds?
PMID- 29648454
TI - Effect of N-Linked Glycosylation of Recombinant Windmill Palm Tree Peroxidase on
Its Activity and Stability.
AB - Plant secretory peroxidases are valuable commercial enzymes. The windmill palm
tree Trachycarpus fortunei produces one of the most stable and fastest
peroxidases (WPTP) characterized to date; however, an economical source is
needed. Pichia pastoris has been used as an expression system for WPTP and other
peroxidases. However, yeast and plants synthesize different types of N-linked
glycan structures and may differ the level of glycosylation at each site. Such
non-native glycosylation can have unwanted consequences. Glycosylation site N256
was under-glycosylated in the wild-type (1.5%) compared to the native enzyme
(55%); therefore, we mutated WPTP to promote glycosylation at this site (WPTP
E254G). Glycosylation increased four-fold, as measured by liquid chromatography
tandem mass spectrometry. The mutation did not change the substrate specificity
and optimal pH- and thermo-stability ranges, but it increased the catalytic
activity 2-3-fold. In comparison with wild-type WPTP, WPTP E254G showed a shift
of the most stable pH from 7 to 9, making it suitable for applications under
alkaline conditions.
PMID- 29648455
TI - Evaluating Iodine Uptake in a Crystalline Sponge Using Dynamic X-ray
Crystallography.
AB - The uptake of gaseous iodine into the crystalline sponge material
[(ZnI2)3(tpt)2].0.7triphenylene.0.3PhNO2.0.7C6H12 1 (tpt = 2,4,6-tris(4-pyridyl)
1,3,5-triazine) has been monitored by dynamic X-ray diffraction and
thermogravimetric analysis. The X-ray analyses have enabled the location,
quantity, uptake rate, and subsequent chemistry of the iodine upon inclusion into
the pores to be determined. An uptake of 6.0 wt % (0.43 I2 per formula unit) was
observed crystallographically over a period of 90 min before crystal degradation
occurred. The included iodine molecules interact with the iodine atoms of the
ZnI2 nodes at three different sites, forming coordinated I3- ions. The results
contrast to recent observations on [(ZnI2)3(tpt)2] without the triphenylene
guests which show the presence of I42- ions with low quantities of absorbed
iodine.
PMID- 29648456
TI - Multifunctional Vesicles from a Self-assembled Cluster-Containing Diblock
Copolymer.
AB - We describe a new diblock copolymer composed of two segments with complementary
functionalities. One block contains pendent photo-cross-linkable cinnamoyl
groups, and the other contains molecular clusters, Co6Se8, capable of
multielectron redox processes. This multifunctional macromolecule is synthesized
by sequential ring-opening metathesis polymerization of monomers constructed
using norbornene moieties. Remarkably, the tethered molecular cluster gives
access to three different charge states in N, N-dimethylformamide: neutral, +1,
and +2. In tetrahydrofuran, by contrast, the charged copolymer self-assembles
into vesicles that inhibit the redox reactions. The wall of these vesicles can be
cross-linked by exploiting the photoinduced 2 + 2 cycloaddition of the cinnamoyls
to form cyclobutane dimers. Moreover, these vesicles can be loaded with molecular
cargo and used as cross-linkable containers; we demonstrate this feature by
encapsulating the molecular dye methylene blue into the capsules. Our work is the
first report of a well-defined block copolymer containing a metal chalcogenide
molecular cluster; more generally, it opens the door to new applications of metal
containing polymers.
PMID- 29648457
TI - Critical Pedagogy and APA: A Resonant (and Timely) Interdisciplinary Blend.
AB - Critical pedagogy owes much of its emergence, development, and ongoing relevance
to the work of Paulo Freire whose legacy remains relevant for a next generation
of scholars who seek to explore issues of inclusion, oppression, social justice,
and authentic expression. An interdisciplinary dialogue between critical pedagogy
and adapted physical activity is timely, appropriate, and should focus on complex
profiles of neurodiversity, mental illness, and mental health, with emphasis on
pedagogic practices of practitioners in service delivery and teacher educators
who prepare them for professional practice. A case-based scenario approach is
used to present practitioner and teacher educator practices. Concrete examples
are provided for analyzing and understanding deeper issues and challenges related
to neurodiversity in a variety of embodied dimensions in educational and activity
contexts. We work with Szostak's approach to interdisciplinary research and model
an analysis strategy that integrates and applies the methodological features of
interdisciplinarity, adapted physical activity, and critical pedagogy.
PMID- 29648458
TI - Human individual radiation sensitivity and prospects for prediction.
AB - In the past few decades, it has become increasingly evident that sensitivity to
ionising radiation is variable. This is true for tissue reactions (deterministic
effects) after high doses of radiation, for stochastic effects following moderate
and possibly low doses, and conceivably also for non-cancer effects such as
cardiovascular disease, the causal pathway(s) of which are not yet fully
understood. A high sensitivity to deterministic effects is not necessarily
correlated with a high sensitivity to stochastic effects. The concept of
individual sensitivity to high and low doses of radiation has long been supported
by data from patients with certain rare hereditary conditions. However, these
syndromes only affect a small proportion of the general population. More relevant
to the majority of the population is the notion that some part of the genetic
contribution defining radiation sensitivity may follow a polygenic model, which
predicts elevated risk resulting from the inheritance of many low-penetrance risk
modulating alleles. Can the different forms of individual radiation sensitivities
be inferred from the reaction of cells exposed ex vivo to ionising radiation? Can
they be inferred from analyses of individual genotypes? This paper reviews
current evidence from studies of late adverse tissue reactions after radiotherapy
in potentially sensitive groups, including data from functional assays, candidate
gene approaches, and genome-wide association studies. It focuses on studies
published in 2013 or later because a comprehensive review of earlier studies was
published previously in a report by the UK Advisory Group on Ionising Radiation.
PMID- 29648459
TI - Implementation of the integrated approach in different types of exposure
scenarios.
AB - The International Commission on Radiological Protection (ICRP) recognises three
types of exposure situations: planned, existing, and emergency. In all three
situations, the release of radionuclides into the natural environment leads to
exposures of non-human biota, as well as the potential for exposures of the
public. This paper describes how the key principles of the ICRP system of
radiological protection apply to non-human biota and members of the public in
each of these exposure situations. Current work in this area within ICRP Task
Group 105 is highlighted. For example, how simplified numeric criteria may be
used in planned exposure situations that are protective of both the public and
non-human biota. In emergency exposure situations, the initial response will
always be focused on human protection; however, understanding the potential
impacts of radionuclide releases on non-human biota will likely become important
in terms of communication as governments and the public seek to understand the
exposures that are occurring. For existing exposure situations, there is a need
to better understand the potential impacts of radionuclides on animals and
plants, especially when deciding on protective actions. Understanding the
comparative impacts from radiological, non-radiological, and physical aspects is
often important in managing the remediation of legacy sites. Task Group 105 is
making use of case studies of how exposure situations have been managed in the
past to provide additional guidance and advice for the protection of non-human
biota.
PMID- 29648460
TI - The role of experts in postaccident recovery: lessons learnt from Chernobyl and
Fukushima.
AB - Following a nuclear accident, a major dilemma for affected people is whether to
stay or leave the affected area, or, for those who have been evacuated, whether
or not to return to the decontaminated zones. Populations who have to make such
decisions have to consider many parameters, one of which is the radiological
situation. Feedback from Chernobyl and Fukushima has demonstrated that
involvement and empowerment of the affected population is a way to provide them
with the necessary elements to make informed decisions and, if they decide to
return to decontaminated areas, to minimise exposure by contributing to the
development of a prudent attitude and vigilance towards exposure. However,
involving stakeholders in postaccident management raises the question of the role
of experts and public authorities in supporting the inhabitants who have to make
decisions about their future. Based on experiences in Chernobyl and Fukushima,
this paper will discuss various principles that have to be taken into account by
experts and public authorities about their role and position when dealing with
stakeholders in a postaccident recovery process.
PMID- 29648461
TI - Using Two-Eyed Seeing to Explore Interagency Collaboration.
AB - Background Health-care environments influence service delivery; approaches need
to be more wholistic and culturally competent requiring effective interagency
collaboration to bridge traditional Indigenous and mainstream health services.
Despite considerable research on collaboration, the concept remains
misunderstood, at worst, and formative, at best. Within the nexus of these two
diverse health services, there is limited information on how collaborations could
be created and sustained effectively. Purpose To explore the
perspectives/experiences of collaboration of select Saskatchewan health
professionals practicing across these diverse services to understand the concept
from their perspectives. Methods This qualitative study explored collaboration
through observation and interviews to elicit perspectives (two-eyed seeing) of
health professionals working within the context of a traditional-mainstream
health services partnership. Results Individual- and system-level factors and
accountabilities are needed for successful cross-cultural collaboration and can
be enabled by embedding the virtues of Indigenous and values of mainstream health
services along with building and maintaining relationships, valuing difference,
creating supportive environments and wholistic approaches, having the right
people at the table, and making a change for impactful outcomes. Conclusion
Findings support the need for implementing contextually relevant collaborative
practice models for productive, wholistic health services. Two-eyed seeing
provides the ability to capture and catalyze the tremendous value and strengths
of both worlds, potentiating complementary aspects to meet the needs of clients
and communities.
PMID- 29648462
TI - A systematic review of neuroimaging findings in children and adolescents with
sports-related concussion.
AB - BACKGROUND: Sport-related concussion (SRC) generally does not result in
structural anomalies revealed through clinical imaging techniques such as MRI and
CT. While advanced neuroimaging techniques offer another avenue to investigate
the subtle alterations following SRC, the current pediatric literature in this
area has yet to be reviewed. The aim of this review is to systematically explore
the literature on magnetic resonance spectroscopy (MRS), diffusion tensor imaging
(DTI), functional magnetic resonance imaging (fMRI), and cortical thickness
following SRC in children and adolescents. METHODS: A systematic Pubmed search
using the preferred reporting items for systematic reviews and meta-analysis
guidelines was conducted independently for each neuroimaging method. Studies were
screened for inclusion based on pre-determined criteria. RESULTS: A total of 26
studies were included (MRS = 4, DTI = 10, fMRI = 11, cortical thickness = 1). A
total of 16 studies were conducted solely with male athletes, while 10 studies
recruited an unequal number of male and female athletes. CONCLUSIONS: While MRI
and CT are generally unrevealing, advanced neuroimaging techniques demonstrated
neurometabolic, microstructural, and functional alterations following SRC in
athletes younger than 19 years of age in the acute, subacute, and chronic phases
of recovery. However, more studies are needed to fully understand the impact of
SRC on the developing brain in children and adolescents.
PMID- 29648463
TI - Protective effect of thymoquinone, the main component of Nigella Sativa, against
diazinon cardio-toxicity in rats.
AB - Several studies have shown that oxidative stress and cell damage can occur at
very early stages of diazinon (DZN) exposure. The present study was designed to
determine the beneficial effect of thymoquinone (Thy), the main component of
Nigella sativa (black seed or black cumin), against DZN cardio-toxicity in rats.
In the present experimental study, 48 male Wistar rats were randomly divided into
six groups: control (corn oil gavages), DZN gavages (20 mg/kg/day), Thy gavages
(10 mg/kg/day) and Thy + DVN gavages (2.5, 5 and 10 mg/kg/day). Treatments were
continued for 28 days, then the animals were anesthetized by ether and superoxide
dismutase (SOD), catalase (CAT), glutathione S-transferase (GST), lactate
dehydrogenize (LDH) and glutathione peroxide (GPX) activity was evaluated. In
addition, glutathione (GSH) and malondialdehyde (MDA) the heart tissue and
creatinephosphokinase-MB (CPK-MB) and troponin (TPI) levels and cholinesterase
activity in the blood were evaluated. DZN-induced oxidative damage and elevated
the levels of the cardiac markers CK-MB, TPI, MDA and LDH and decreased SOD, CAT
and cholinesterase activity and GSH level compared with the control group.
Treatment with Thy reduced DZN cardio-toxicity and cholinesterase activity. The
success of Thy supplementation against DZN toxicity can be attributed to the
antioxidant effects of its constituents. Administration of Thy as a natural
antioxidant decreased DZN cardio-toxicity and improved cholinesterase activity in
rats through the mechanism of free radical scavenging.
PMID- 29648465
TI - Continuous Glucose Monitoring (CGM) or Blood Glucose Monitoring (BGM):
Interactions and Implications.
AB - At the 2017 10th annual International Conference on Advanced Technologies and
Treatments for Diabetes (ATTD) in Paris, France, four speakers presented their
perspectives on the roles of continuous glucose monitoring (CGM) and of blood
glucose monitoring (BGM) in patient management within one symposium. These
presentations included discussions of the differences in the accuracy of CGM and
BGM, a clinical perspective on the physiological reasons behind differences in
CGM and BGM values, and an overview of the impact of variations in device
accuracy on patients with diabetes. Subsequently a short summary of these
presentations is given, highlighting the value of good accuracy of BGM or CGM
systems and the ongoing need for standardization. The important role of both BGM
and CGM in patient management was a theme across all presentations.
PMID- 29648464
TI - Home blood pressure and cardiovascular outcomes in very elderly patients
receiving antihypertensive drug therapy: a subgroup analysis of Home blood
pressure measurement with Olmesartan Naive patients to Establish Standard Target
blood pressure (HONEST) study.
AB - The appropriate target blood pressure (BP) in elderly patients with hypertension
remains uncertain. We investigated the relationship between morning home systolic
blood pressure (MHSBP) during follow-up and cardiovascular (CV) risk in
outpatients receiving olmesartan-based treatment aged <75 years (n = 16799) and
>=75 years (n = 4792) in the HONEST study. In the follow-up period (mean 2.02
years), the risk for major CV events was significantly higher in patients with
MHSBP >=155 mmHg compared with <125 mmHg in both age groups in Cox proportional
hazards model adjusted for other risk factors and there was no significant
difference in trend between the two groups (interaction P = 0.9917 for MHSBP).
Hazard ratios for CV events for 1-mmHg increase in MHSBP were similar in patients
aged <75 years and in patients aged >=75 years. The incidence of adverse drug
reactions related to excessive BP lowering was lower in patients <75 years than
in patients >=75 years (0.73 vs 1.02%, P = 0.0461). In conclusion, the study
suggests even in patients >=75 years antihypertensive treatment targeting the
same MHSBP levels in patients <75 years may be beneficial in reducing CV risk
when treatment is tolerated.
PMID- 29648466
TI - The mandate and work of ICRP Committee 1 on radiation effects.
AB - The aim of the International Commission on Radiological Protection (ICRP) is to
protect humans against cancer and other diseases and effects associated with
exposure to ionising radiation, and also to protect the environment, without
unduly limiting the beneficial use of ionising radiation. As of the second half
of 2017, four committees are contributing to the overall mission of ICRP,
including Committee 1 (Radiation Effects). The role of Committee 1 includes
consideration of the risks and mechanisms of induction of cancer and heritable
disease; discussion of the risks, severity, and mechanisms of induction of
tissue/organ damage and developmental defects; and review of the effects of
ionising radiation on non-human biota at population level. This paper gives an
overview of the recent activities of Committee 1, and discusses the focus of its
active task groups.
PMID- 29648467
TI - The relationship between gestational diabetes mellitus and selenoprotein-P plasma
1 (SEPP1) gene polymorphisms.
AB - Our aim is to demonstrate any correlation between gestational diabetes mellitus
(GDM) and polymorphism of the selenoprotein P (SEPP1) gene encoding the SEPP1
protein, having a role in the insulin resistance in GDM. Forty pregnant women
with GDM and 40 healthy pregnant women were included in the study. In both
groups, single nucleotide polymorphisms (SNPs), specifically, rs4987017,
rs13154178, rs146125471, rs28919926, and rs16872762 were studied. There was no
difference between the groups in terms of polymorphism rs146125471, rs28919926,
or rs16872762 (p > .05). There was a significant difference in SNP rs13154178
polymorphism between the two groups (p < .01). When rs13154178 gene polymorphism
was compared with AA homozygous individuals, fasting blood glucose levels were
significantly higher in carriers of either polymorphism than in those with no
polymorphism (p < .001). We suggest rs13154178 gene polymorphism may lead to GDM
in the Turkish society.
PMID- 29648468
TI - In healthy normotensive subjects age and blood pressure better predict
subclinical vascular and cardiac organ damage than atherosclerosis biomarkers.
AB - PURPOSE: Only few studies evaluated biomarkers useful for defining the
cardiovascular risk of a subject in a pre-clinical condition (i.e. healthy
subjects). In this context we sought to determine the relationships of
Plasminogen activator inhibitor type 1 (PAI-1), P-Selectin, Tissue Inhibitors
Metalloproteinases type 1 (TIMP-1) and Cystatin-C with subclinical Target Organ
Damage (TOD) in normotensive and normoglycemic subjects without known
cardiovascular and kidney diseases. MATERIALS AND METHODS: 480 blood donors
participated at the present analysis. TOD was evaluated as Pulse Wave Velocity
(PWV), Left Ventricular Hypertrophy (LVH) and Intima Media Thickness (IMT) and
carotid plaque presence) grouped together under carotid TOD. RESULTS: 3.1% of the
subjects showed a PWV higher than 10 m/sec with those subjects exerting
significantly lower values of P-Selectine (0.068 +/- 0.015 vs 0.08 +/- 0.036
mg/L, p = .014). 8.8% of the subjects showed carotid TOD that was associated with
higher Cystatin-C values (0.67 +/- 0.17 vs 0.63 +/- 0.14 mg/L, p = .045). Finally
23.8% of the subjects showed LVH with no significant differences regarding
biomarkers. Despite some significant correlations between biomarkers and TOD, at
the multivariate analysis none came out to be as significant predictor of the
assessed TOD. CONCLUSIONS: in normotensive and normoglycemic healthy subjects,
the evaluated biomarkers of atherosclerotic process didn't show any significant
association with cardiac, carotid and vascular TOD while age and BP are its
principal predictors.
PMID- 29648469
TI - The stimulation of the vaginal immune system with short-term administration of a
vaginal gel containing fraction of Propionibacterium acnes, hyaluronic acid and
polycarbophil is efficacious in vaginal infections dependent on disorders in the
vaginal ecosystem.
AB - The vaginal immune system (VIS) is the first defense against antigens recognized
as foreign. Substances capable of locally activating the VIS could be a valid
strategy to treat vulvo-vaginal infections (VVI), caused by changes in the
vaginal ecosystem, such as bacterial vaginosis (BV), vulvo-vaginal candidiasis
(CA), and mixed vaginitis (MV). Bacterial lysates, obtained by crushing bacterial
cultures, exert immuno-modulatory activities. The parietal fraction from
Propionibacterium acnes is a patent of Depofarma (MoglianoVeneto, Italy). The
preparation that associates such fraction to hyaluronic acid and polycarbophil is
a registered trademark, commercially available in Italy as vaginal gel,
Immunovag(r). The study aimed to evaluate whether a 5-day-treatment with
Immunovag(r) improves the symptoms and signs of VVI, in 60 women with Gardnerella
vaginalis (GV), 154 with CA, 95 with MV, diagnosed with vulvar vaginal swab
(VVS), and in 283 with BV, diagnosed with the Amsel criteria. At the end of the
treatment (visit 2), the symptoms and signs of VVI disappeared in a significant
number of subjects (chi2p < .02 vs pre-treatment) in all VVI groups, and their
intensity was significantly (p < .0002) reduced in the subjects in which they
were still present. Immunovag(r) represents a valid treatment of VVI induced by
changes in the vaginal ecosystem.
PMID- 29648471
TI - Heritability of Geographic Range Sizes Revisited: A Reply to Hunt et al.
PMID- 29648470
TI - Inhibitory effect of chlormethiazole on the toxicokinetics of diethylnitrosamine
in normal and hepatofibrotic rats.
AB - The effect of chlormethiazole (CMZ) at single and multiple doses on the
toxicokinetics of diethylnitrosamine (DEN) was investigated in normal rats and
those with DEN-induced liver fibrosis. Twelve rats were treated with DEN (50
mg/kg) alone and in combination with a single dose of CMZ (10, 50, or 100 mg/kg)
by intraperitoneal (i.p.) injection. In a multiple dose test, six rats were
treated with CMZ (50 mg/kg) for 7 d with addition of DEN (50 mg/kg) on days 1 and
7. Lastly, 12 rats were treated with DEN (50 mg/kg) by i.p. injection twice a
week for 4 consecutive weeks, followed by weekly injections for another 8 weeks
to build the model of liver fibrosis. Following this induction, the 12 rats were
given CMZ (50 mg/kg) combined with DEN (50 mg/kg) to study the inhibitory effect
of CMZ on DEN metabolism in hepatofibrotic rats. Serial blood samples were also
collected and analyzed by a validated high-performance liquid chromatography
(HPLC) method. A single-dose CMZ treatment decreased DEN clearance (CL),
prolonged the t1/2, and increased the 'area under the curve' (AUC) for DEN in
normal and hepatofibrotic rats relative to rats that did not receive CMZ.
Treatment with CMZ for 7 d further prolonged the t1/2 for DEN but did not alter
the CL and AUC relative to a single CMZ treatment. These results suggest that CMZ
significantly inhibits the metabolism of DEN in normal and hepatofibrotic rats.
PMID- 29648473
TI - The Fourth International Symposium on the System of Radiological Protection.
PMID- 29648472
TI - Downregulation of serum long noncoding RNA GAS5 may contribute to insulin
resistance in PCOS patients.
AB - Polycystic ovary syndrome (PCOS) is a common endocrine disease that affects
reproductive-aged women and mostly characterized by insulin resistance (IR). The
underlying mechanism remains unknown. Long noncoding RNAs (lncRNAs) have been
demonstrated to be involved in various levels of biological regulation process of
cell development, metabolism, and differentiation. This study aims to investigate
the relationship between IR and differential expression of lncRNA Growth-arrest
specific transcript 5 (GAS5) in patients' serum with and without PCOS. A total of
76 cases of serum was collected from non-PCOS and PCOS patients with and without
IR to measure interleukin-18 (IL-18) and GAS5 expression, which were correlated
with IR status. The IL-18 concentration in serums was significantly increased in
PCOS patients with IR. GAS5 expression was decreased in serums in PCOS patients
with IR. Result of correlation analysis shows that there is a negative
association between GAS5 expression and homeostasis model of assessment for
insulin resistance (HOMA-IR). GAS5 was yielded the ROC curve (AUC). Our study
implied that elevated IL-18 expression and downregulation of GAS5 in serums might
contribute to IR in PCOS patients.
PMID- 29648474
TI - Postoperative New-Onset Pseudoparalysis: A Retrospective Analysis of 430
Consecutive Arthroscopic Repairs for Large to Massive Rotator Cuff Tears.
AB - BACKGROUND: The authors have sometimes encountered postoperative new-onset
pseudoparalysis (PONP) after arthroscopic repair for large to massive rotator
cuff tear (ARCR). As there are insufficient data regarding PONP, the authors
aimed to determine whether such a condition is characterized by obvious risk
factors, as well as to assess the conditions under which it can be reversed.
PURPOSE: To evaluate the predictors of PONP development after ARCR and its
reversal and to integrate these predictors into a scoring system that can be
applied in clinical practice. STUDY DESIGN: Case-control study; Level of
evidence, 3. METHODS: The authors retrospectively analyzed 430 consecutive ARCRs
performed between March 2010 and May 2016. Patients were stratified according to
the occurrence of PONP. To determine the risk factors of PONP, the authors
compared the PONP and control groups (patients who did not experience PONP) in
terms of demographic, clinical, and operative characteristics. Results were
expressed in terms of odds ratios (ORs). RESULTS: The incidence of PONP was 6.0%
(26 of 430). Predictors of PONP included torn subscapularis (OR, 7.875; 95% CI,
1.780-34.956; P = .007), >=30-mm retraction of the torn supraspinatus (OR, 4.657;
95% CI, 1.537-14.203; P = .007), age >=65 years (OR, 3.865; 95% CI, 1.465-10.040;
P = .006), and preoperative stiffness (OR, 2.954; 95% CI, 1.212-7.159; P = .017).
The reversal rate of PONP was 65.4% (17 of 26), and the mean time to PONP
reversal was 10 months. The occurrence and reversal of PONP were not related to
retear. Fatty infiltration of the supraspinatus lower than Goutallier grade 3 was
the sole predictor of reversal (OR, 22.000; 95% CI, 1.857-260.648; P = .014).
CONCLUSION: Although the incidence of PONP after ARCR was low (6.0%), the risk of
PONP was higher in patients with a torn subscapularis, larger supraspinatus tear
size, older age, and preoperative stiffness. The mean time from PONP onset to
reversal was 10 months, and PONP reversal was affected solely by fatty
infiltration of the supraspinatus. Therefore, surgeons should consider the risk
for PONP and attempt to identify high-risk patients before rotator cuff repair.
PMID- 29648475
TI - Alterations in plasma L-arginine and methylarginines in heart failure and after
heart transplantation.
AB - OBJECTIVE: Endothelial function, including the nitric oxide (NO)-pathway, has
previously been extensively investigated in heart failure (HF). In contrast,
studies are lacking on the NO pathway after heart transplantation (HT). We
therefore investigated substances in the NO pathway prior to and after HT in
relation to hemodynamic parameters. DESIGN: 12 patients (median age 50.0 yrs, 2
females), heart transplanted between June 2012 and February 2014, evaluated at
our hemodynamic lab, at rest, prior to HT, as well as four weeks and six months
after HT were included. All patients had normal left ventricular function post
operatively and none had post-operative pulmonary hypertension or acute cellular
rejection requiring therapy at the evaluations. Plasma concentrations of ADMA,
SDMA, L-Arginine, L-Ornithine and L-Citrulline were analyzed at each evaluation.
RESULTS: In comparison to controls, the plasma L-Arginine concentration was low
and ADMA high in HF patients, resulting in low L-Arginine/ADMA-ratio pre-HT.
Already four weeks after HT L-Arginine was normalized whereas ADMA remained high.
Consequently the L-Arginine/ADMA-ratio improved, but did not normalize. The
biomarkers remained unchanged at the six-month evaluation and the L-Arginine/ADMA
ratio correlated inversely to pulmonary vascular resistance (PVR) six months post
HT. CONCLUSIONS: Plasma L-Arginine concentrations normalize after HT. However, as
ADMA is unchanged, the L-Arginine/ADMA-ratio remained low and correlated
inversely to PVR. Together these findings suggest that (i) the L-Arginine/ADMA
ratio may be an indicator of pulmonary vascular tone after HT, and that (ii) NO
dependent endothelial function is partly restored after HT. Considering the good
postoperative outcome, the biomarker levels may be considered "normal" after HT.
PMID- 29648476
TI - Does the type of GnRH analogue used, affect live birth rates in women with
endometriosis undergoing IVF/ICSI treatment, according to the rAFS stage?
AB - Since the introduction of gonadotropin-releasing hormone (GnRH) antagonists, an
extensive amount of literature investigating the role of the downregulation
protocols on pregnancy outcomes has been published. However, these studies were
mainly performed in the general infertile population where patients with
endometriosis were often excluded or underrepresented. This study is a large
retrospective cohort study including 386 endometriosis patients undergoing
IVF/ICSI, who had been previously classified according to the rAFS system.
Patients were stimulated either a long GnRH agonist or GnRH antagonist protocol.
Depending on endometriosis stage, patients were divided into two groups:
endometriosis stage I-II and endometriosis stage III-IV. Each group was
subdivided, based on the type GnRH analog used. When comparing the GnRH agonist
and antagonist groups, patients with endometriosis stage I-II, had a tendency
toward higher beta-hCG positive, clinical pregnancy, and live birth rates (42.8%
vs. 26.7%; p = .07) in favor of GnRH agonist use. In endometriosis stage III-IV,
no differences were observed between agonist and antagonist cycle in any of the
pregnancy outcomes. Multivariate regression analysis did not reveal any
significant predictor of live birth after adjusting for relevant confounders.
Based on our findings, the chance to have a liveborn in endometriosis population
seems not to be affected by the type of GnRH analog used, at least in advanced
stages. Findings from stage I-II endometriosis cases merit consideration and
further evaluation in a larger sample size is warranted.
PMID- 29648477
TI - Acute intensive care unit management of mustard gas victims: the Turkish
experience.
AB - PURPOSE: Sulphur mustard (SM) is an highly toxic and vesicant chemical weapon
that was used in various military conflicts several times in the history. The
severity of ocular, dermal, and pulmonary symptoms that may appear following a
characteristic asymptomatic period are depending on the SM concentration and
exposure duration. The aim of this study is to present the clinical features and
share the intensive care unit (ICU) experiences for the medical management of
mustard gas victims. MATERIALS AND METHODS: Thirteen Free Syrian Army soldiers
near Al-Bab region of North Syria were reportedly exposed to oily blackish smoke
with garlic smell due to the explosion of a trapped bomb without causing any
blast or thermal effect on 26th November 2016. None of them wore any chemical
protective suits or gas masks during explosion. Since they observed skin lesions
including bullous formation next day, they were admitted to the Turkish Field
Hospital at the Turkish - Syrian border and then evacuated to the State Hospital
of Gaziantep Province, Turkey for further management. Eight victims who were very
close to point of explosion suffered burning eyes, sore throat, dry cough and
dyspnoea after the chemical attack. RESULTS: On admission to hospital, all cases
had conjunctivitis, hoarseness and bullae on various body areas. Blepharospasm
and opacity were found in 8 patients and 5 of them had corneal erosions and
periorbital oedema. Temporary loss of vision in 4 cases lasted for 24 h. Multiple
fluid-filled blisters were observed especially on the scalp, neck, arms and
hands, where direct skin exposure to the agent occurred. A definitive clinical
care and infection prophylaxis measures along with the burn treatment and
bronchodilators for respiratory effects were applied in ICU. Two patients
received granulocyte-colony-stimulating factor due to the SM-mediated bone marrow
suppression on the 16th day of exposure and one of them died because of necrotic
bronchial pseudomembrane obstruction resulting in cardiopulmonary arrest.
CONCLUSIONS: SM was first used during the First World War and it is still
considered one of the major chemical weapons recently used by non-state actors in
Syria and Iraq. In case of SM exposure, medical treatment of SM-induced lesions
is symptomatic because no antidote or causal therapy does exist even though SM is
very well known for over 100 years. However, clinical management in intensive
care medicine of SM victims have improved since the 1980s, this study which is
one of the largest recent SM-exposed case series since that time is important for
the contribution to the clinical experience.
PMID- 29648478
TI - Role of individual dosimetry for affected residents in postaccident recovery: the
Fukushima experience.
AB - The accident at Fukushima Daiichi nuclear power plant on 11 March 2011 released
radioactive material into the atmosphere, and contaminated land in Fukushima and
several neighbouring prefectures. During rehabilitation, it is important to
accurately understand and determine individual external doses to allow
individuals to make informed decisions about whether or not to return to the
affected areas. Personal dosimeters (D-Shuttle), used together with a global
positioning system and geographic information system device, can provide
realistic individual external doses and associated individual external doses,
ambient doses, and activity patterns of individuals in the affected areas of
Fukushima. This study involved more than 250 affected residents. The results help
to determine realistic individual external doses, and corresponding time-activity
patterns and airborne monitoring ambient dose rates, which can be used to predict
future cumulative external doses after residents return to their homes in
evacuation areas. In addition, insights gained by the study can help to explain
the role of individual external dose measurements for affected residents in
postaccident recovery, based mainly upon the experience gained in measuring,
assessing, and communicating individual external doses.
PMID- 29648479
TI - Dietary intervention and health in patients with systemic lupus erythematosus: A
systematic review of the evidence.
AB - OBJECTIVE: The aim of this study was to evaluate the scientific evidence of
dietary intervention, either through diet or supplementation, and its effects on
the health of patients with systemic lupus erythematosus. METHODS: Literature
searches were conducted using Scopus, PubMed, BioMed Central and Science Direct
databases. The terms used for the search were diet, nutritional support,
nutrition therapy and systemic lupus erythematosus. RESULTS: Eleven studies with
interventions related to supplementation of omega-3 fatty acids, vitamin D and
turmeric, as well as changes in diet composition, such as low glycaemic index
diet were identified. CONCLUSIONS: The studies evidenced that omega-3
supplementation reduced inflammation, disease activity, endothelial dysfunction
and oxidative stress; vitamin D supplementation increased serum levels, reduced
inflammatory and hemostatic markers; turmeric supplementation reduced
proteinuria, hematuria and systolic blood pressure; and low glycaemic index diet
caused weight loss and reduced fatigue.
PMID- 29648481
TI - Induction of chromosomal aberrations and micronuclei by 2-hydroxy-4
methoxybenzophenone (oxybenzone) in human lymphocytes.
AB - Oxybenzone or benzophenone-3 (2-hydroxy-4-methoxybenzophenone; BP-3) is a filter
used in a variety of personal care products for protection of human skin and hair
from damage by ultraviolet radiation. BP-3 is suspected to exhibit endocrine
disruptive properties. Indeed, it was found to be able to interact with the
endocrine system causing alteration of its homeostasis, with consequent adverse
health effects. Moreover, it is ubiquitously present in the environment, mostly
in aquatic ecosystems, with consequent risks to the health of aquatic organisms
and humans. In the present study, we analyzed the cytogenetic effects of BP-3 on
human lymphocytes using in vitro chromosomal aberrations and micronuclei assays.
Blood samples were obtained from five healthy Italian subjects. Lymphocyte
cultures were exposed to five concentrations of BP-3 (0.20, 0.10, 0.05, 0.025,
and 0.0125 MUg/mL) for 24 and 48 h (for chromosomal aberrations and micronuclei
tests, respectively). The concentration of 0.10 ug/mL represents the
acceptable/tolerable daily intake reference dose established by European Union,
whereas 0.20, 0.05, 0.025, and 0.0125 ug/mL represent multiple and sub-multiple
of this concentration value. Our results reported cytogenetic effects of BP-3 on
cultured human lymphocytes in terms of increased micronuclei and chromosomal
aberrations' frequencies at all tested concentrations, including concentrations
lower than those established by European Union. Vice versa, after 48-h exposure,
a significant reduction of the cytokinesis-block proliferation index value in
cultures treated with BP-3 was not observed, indicating that BP-3 does not seem
to produce effects on the proliferation/mitotic index when its concentration is
equal to or less than 0.20 MUg/mL.
PMID- 29648480
TI - Sex differences impact the lung-bone inflammatory response to repetitive inhalant
lipopolysaccharide exposures in mice.
AB - Skeletal health consequences associated with inflammatory diseases of the airways
significantly contribute to morbidity. Sex differences have been described
independently for lung and bone diseases. Repetitive inhalant exposure to
lipopolysaccharide (LPS) induces bone loss and deterioration in male mice, but
comparison effects in females are unknown. Using an intranasal inhalation
exposure model, 8-week-old C57BL/6 male and female mice were treated daily with
LPS (100 ng) or saline for 3 weeks. Bronchoalveolar lavage fluids, lung tissues,
tibias, bone marrow cells, and blood were collected. LPS-induced airway
neutrophil influx, interleukin (IL)-6 and neutrophil chemoattractant levels, and
bronchiolar inflammation were exaggerated in male animals as compared to female
mice. Trabecular bone micro-CT imaging and analysis of the proximal tibia were
conducted. Inhalant LPS exposures lead to deterioration of bone quality only in
male mice (not females) marked by decreased bone mineral density, bone
volume/tissue volume ratio, trabecular thickness and number, and increased bone
surface-to-bone volume ratio. Serum pentraxin-2 levels were modulated by sex
differences and LPS exposure. In proof-of-concept studies, ovarectomized female
mice demonstrated LPS-induced bone deterioration, and estradiol supplementation
of ovarectomized female mice and control male mice protected against LPS-induced
bone deterioration findings. Collectively, sex-specific differences exist in LPS
induced airway inflammatory consequences with significant differences found in
bone quantity and quality parameters. Male mice demonstrated susceptibility to
bone loss and female animals were protected, which was modulated by estrogen.
Therefore, sex differences influence the biologic response in the lung-bone
inflammatory axis in response to inhalant LPS exposures.
PMID- 29648483
TI - Zoledronic acid induces cytogenetic toxicity in male germline cells of Swiss
albino mice.
AB - This study mainly focuses on the cytogenetic toxicity induction by zoledronic
acid (ZA), a nitrogen containing bisphosphonate (N-BPs) in the male germline
cells of Swiss albino mice. A single intraperitoneal exposure with three
different doses of ZA (2, 4, and 8 mg/kg body weight), toxicity was assessed by
analyzing spermatogonial metaphase chromosome aberrations at 24 h, aberrant
primary spermatocytes at week 4, and abnormal spermatozoa at week 8
posttreatment. Cyclophosphamide (40 mg/kg) and 0.9% NaCl were used as positive
and vehicle controls respectively in the study. The results showed that there was
a significant induction in the number of chromosomal aberrations especially at
two doses of ZA (4 and 8 mg/kg) after 24 h in the spermatogonial cells (p <
0.001) compared to vehicle control. The transmission genetic damages were noticed
as aberrant spermatocytes with atypical bivalents (X-Y/autosomal asynapsis) at 4
mg/kg of ZA (p < 0.01) and at 8 mg/kg of ZA (p < 0.001) at week 4 posttreatment.
A statistically significant higher number of abnormal spermatozoa (sperm) were
also noticed at week 8 posttreatment of both at 4 and 8 mg/kg of ZA (p < 0.001).
Hence, from these genotoxicity studies, it can be concluded that ZA is genotoxic
in male germline cells and has the potential of transmitting the genotoxic
effects from spermatogonial cells to sperm in male Swiss mice.
PMID- 29648482
TI - Acute chest syndrome in sickle cell disease.
AB - Acute chest syndrome (ACS) is a leading complication of sickle cell disease (SCD)
with significant morbidity and mortality. ACS is the most common cause of death
and the second most common cause of hospitalization in patients with SCD.
Delineating the specific cause of ACS is often difficult, and multiple risk
factors that precipitate ACS frequently coexist. The prominent risk factors
include infection, hypoxia, bronchial hyperresponsiveness, the SCD genotype, and
opioid use. The key to the successful treatment of ACS is early recognition and
initiation of treatment without delay. The main goal is to prevent and treat
acute respiratory failure and, thus, minimize irreversible lung damage. This
review focuses on the risk factors, pathogenesis, clinical presentation, and
management of ACS.
PMID- 29648484
TI - Serum periostin levels serve as a biomarker for both eosinophilic airway
inflammation and fixed airflow limitation in well-controlled asthmatics.
AB - OBJECTIVE: Periostin, a matricellular protein, is produced from airway epithelial
cells and lung fibroblasts by IL-13. It has been suggested that periostin is
involved in allergic inflammation and fibrosis. However, the usefulness of serum
periostin measurement in the assessment of airway inflammation and remodeling and
management of asthmatic patients is still debated. We aimed to determine whether
serum periostin levels reflect eosinophilic airway inflammation and airway
remodeling in asthma. METHODS: We examined the relationship of serum periostin
levels with clinical features, biomarkers for eosinophilic airway inflammation,
fraction of exhaled nitric oxide (FeNO) levels and blood eosinophil counts, and
pulmonary functions in 235 well-controlled asthmatic patients on inhaled
corticosteroids (ICS) treatment. RESULTS: Serum periostin levels were positively
correlated with blood eosinophil counts (%) and age (r = 0.36 and 0.23,
respectively), and were negatively correlated with body weight and FEV1/FVC (%)
(r = -0.24 and - 0.23, respectively) in well-controlled asthmatic patients on ICS
treatment (daily dose of 453 ug equivalent to fluticasone propionate). Blood
eosinophil counts and serum periostin levels were similarly associated with
increased FeNO levels (>=40 ppb) in the asthmatics. Serum periostin levels were
better associated with fixed airflow limitation (FEV1/FVC ratio <70%) than FeNO
levels, blood eosinophil counts or total IgE levels in the asthmatics.
Multivariate analysis showed that fixed airflow limitation was significantly
associated with high serum periostin levels (>=97 ng/ml) (Odds ratio 3.2).
CONCLUSIONS: Serum periostin levels serve as a biomarker for both eosinophilic
airway inflammation and fixed airflow limitation in well-controlled asthmatics on
ICS treatment.
PMID- 29648485
TI - Biochemical, hematological, and hormonal profile of rats orally administered
methanol stem bark extract of Napoleona vogelii Hook and Planch (Lecythidaceae).
AB - Napoleona vogelii is used in traditional medicine for the management of stomach
aches, ulcer, and cancers. This study was conducted to investigate the subchronic
toxicological effect of methanol stem bark extract of N. vogelii on biochemical,
hematological, and hormonal profile of male and female rats. Forty rats of both
sexes were randomly divided into four groups of 10 rats each and were
administered 100, 200, and 400 mg/kg of the extract p.o. for 90 d. Ten milliliter
per kilogram of distilled water p.o. was administered to control rats. On
hematological assessment, mean corpuscular hemoglobin concentration was
significantly (p < 0.01) increased at 400 mg/kg compared to control. Biochemical
assessment showed a significant increase (p < 0.05) in levels of alanine
aminotransferase and aspartate aminotransferase at 200 and 400 mg/kg,
respectively, compared to control. Hormonal assessment of male rats revealed a
significantly (p < 0.0001) reduced level of testosterone at all treatment doses
compared to control while estradiol was significantly (p < 0.05) reduced at 100
mg/kg, but significantly (p < 0.0001) increased at 200 and 400 mg/kg respectively
compared to control in female rats. Findings from this study demonstrate that N.
vogelli is relatively safe on oral acute exposure but may possess the potential
to cause hepatic dysfunction and infertility in male rats by perturbations of the
hypothalamic-pituitary axis while conversely enhancing fertility in female rats
on subchronic administration.
PMID- 29648486
TI - Physicians' attitudes when faced with life-threatening events in children with
severe neurological disabilities.
AB - PURPOSE: Children with severe neurological disabilities are at an increased risk
of acute, life-threatening events. We assessed physicians' attitudes when making
decisions in these situations. METHODS: We surveyed physicians in pediatric
intensive care, neurology, and rehabilitation units in Swiss hospitals. The
questionnaire explored participants' attitudes toward life-threatening situations
in two scenarios: a child with profound intellectual and multiple disabilities
(PIMD) and an infant with spinal muscular atrophy (SMA) type I. RESULTS: The
participation rate was 55% (52/95). There was a consensus favoring non-invasive
ventilation and comfort care as well as avoiding tracheostomy and invasive
ventilation. For the child with PIMD, 61% of participants opposed cardiopulmonary
resuscitation (CPR), 51% for the child with SMA. Physicians with over 20 years of
experience were significantly more opposed to providing CPR than less experienced
colleagues. CONCLUSIONS: Physicians held different views, influenced by personal
factors. This highlights the importance of standardizing multidisciplinary
processes toward approaching these complex situations.
PMID- 29648487
TI - BDNF expression increases without changes in play behavior following concussion
in juvenile rats (Rattus Norvegicus).
AB - PURPOSE: Young children have a high risk of concussion or mild traumatic brain
injury (mTBI). Children often appear healthy soon after mTBI, but some have
pervasive cognitive and/or motor impairments. Understanding underlying mechanisms
recruited after concussion may help for return to play protocols and mitigating
what might be lifelong impairments. METHODS: We investigated molecular and
behavioral changes in a rat model of childhood concussion. Rats received an
injury or sham procedure at an age approximately equivalent to the human period
of early childhood. Social play was analyzed for behavioral differences. Tissue
from the right motor cortex (impacted), left motor cortex, and medial prefrontal
cortex were analyzed for brain derived neurotrophic factor (BDNF) protein.
RESULTS: Play behavior was not significantly different between conditions. BDNF
levels were much higher in both the right and left motor cortices of the mTBI
group compared to medial prefrontal cortex, which is relatively remote from the
impact site, within the mTBI group and all tissue collected from the sham group.
CONCLUSIONS: There is ongoing plastic change at the cellular level in both the
impacted area and the well-connected contralateral area after a concussion,
suggesting compensatory mechanisms after injury are still at play.
PMID- 29648488
TI - The long-term effects of conductive education courses in young children with
cerebral palsy: a randomized controlled trial.
AB - PURPOSE: To assess the additional long-term effects of multiple conductive
education (CE) courses followed by conventional practice on functional skills and
quality of life in children with cerebral palsy (CP) compared to a 4-month CE
course delay including conventional practice. METHODS: Twenty-one children with
CP, 3-6 years and varied functional levels, were randomized to 3-week CE
course(s) followed by conventional practice or 4 months on a waiting list,
including conventional practice and followed by CE-courses. Outcomes were
measured 8 and 12 months after baseline. A web-based log collected data on
conventional practice. RESULTS: We found no interaction between group assignment
and time on functional skills and quality of life in children with CP at 8 and 12
months. Two thirds of the children in both groups performed a large amount of
conventional practice. CONCLUSIONS: No added long-term effects of CE courses were
found. Larger controlled CE studies are needed.
PMID- 29648489
TI - Marginal bone level in two Danish cross-sectional population samples in 1997-1998
and 2007-2008.
AB - OBJECTIVE: The aim of this study was to compare the marginal bone level of two
randomly selected population samples from 1997/1998 and 2007/2008, with special
emphasis on the role of smoking habits and gender. MATERIALS AND METHODS: Two
cross-sectional randomly selected population samples [1997/1998 (N = 616) and
2007/2008 (N = 396)] were analysed with respect to the marginal bone level. The
marginal bone level was measured in full-mouth intraoral radiographs. Information
on smoking was gathered using questionnaires. Multiple regression analysis was
used in order to adjust for correlating factors (gender, age, smoking habits and
number of teeth). RESULTS: After adjusting for confounding factors, the
population sample from 2007/2008 had on average a slightly, but statistically
significantly, more reduced average marginal bone level (0.15 mm) than the
population sample from 1997/1998. Men had more reduced marginal bone level than
women (0.12 mm). Smokers in both population samples had more reduced marginal
bone level than non-smokers (0.39 mm and 0.12 mm for 1997/1998; 0.65 mm and 0.16
mm for 2007/2008). CONCLUSIONS: In these populations, sampled 10 years apart, the
2007/2008 population sample had a slightly more reduced marginal bone level than
the 1997/1998 population sample. Men had more reduced marginal bone level than
women, and smoking is considered a major risk factor for a reduced marginal bone
level.
PMID- 29648491
TI - Sublingual administration of 2-hydroxyethyl methacrylate enhances antibody
responses to co-administered ovalbumin and Streptococcus mutans.
AB - OBJECTIVE: The oral mucosa of patients undergoing dental procedures is often
exposed to residual monomers leaking from incompletely cured acrylic resins. We
investigated whether 2-hydroxyethyl methacrylate (HEMA) monomers applied to the
sublingual mucosa in mice modulate the antibody responses towards co-administered
ovalbumin (OVA) or live oral bacteria. MATERIAL AND METHODS: OVA, live mouse oral
commensal Lactobacillus murinus or live human oral commensal Streptococcus mutans
were administered sublingually with or without HEMA to BALB/c mice on four weekly
occasions. One week after the last administration, the experiment was terminated
and serum antibody levels were analyzed using ELISA. RESULTS: Significantly
increased IgG and IgE anti-OVA antibody activity was found in the sera from mice
immunized with OVA together with HEMA, as compared to mice immunized with OVA
alone. Likewise, S. mutans together with HEMA induced an IgG anti-S. mutans
antibody response that was significantly higher than the antibody response
detected after application of S. mutans alone. No IgG anti-L. murinus antibody
response was detected in mice immunized with L. murinus together with HEMA, as
compared to the background activity. CONCLUSIONS: We report that HEMA monomers
have adjuvant properties when sublingually administered in combination with OVA
or S. mutans.
PMID- 29648492
TI - Azacitidine effectively reduces TP53-mutant leukemic cell burden in secondary
acute myeloid leukemia after cord blood transplantation.
PMID- 29648490
TI - Therapeutic conserved elements (CE) DNA vaccine induces strong T-cell responses
against highly conserved viral sequences during simian-human immunodeficiency
virus infection.
AB - HIV-specific T-cell responses play a key role in controlling HIV infection, and
therapeutic vaccines for HIV that aim to improve viral control will likely need
to improve on the T-cell responses induced by infection. However, in the setting
of chronic infection, an effective therapeutic vaccine must overcome the enormous
viral genetic diversity and the presence of pre-existing T-cell responses that
are biased toward immunodominant T-cell epitopes that can readily mutate to evade
host immunity and thus potentially provide inferior protection. To address these
issues, we investigated a novel, epidermally administered DNA vaccine expressing
SIV capsid (p27Gag) homologues of highly conserved elements (CE) of the HIV
proteome in macaques experiencing chronic but controlled SHIV infection. We
assessed the ability to boost or induce de novo T-cell responses against the
conserved but immunologically subdominant CE epitopes. Two groups of animals were
immunized with either the CE DNA vaccine or a full-length SIV p57gag DNA vaccine.
Prior to vaccination, CE responses were similar in both groups. The full-length
p57gag DNA vaccine, which contains the CE, increased overall Gag-specific
responses but did not increase CE responses in any animals (0/4). In contrast,
the CE DNA vaccine increased CE responses in all (4/4) vaccinated macaques. In
SIV infected but unvaccinated macaques, those that developed stronger CE-specific
responses during acute infection exhibited lower viral loads. We conclude that CE
DNA vaccination can re-direct the immunodominance hierarchy towards CE in the
setting of attenuated chronic infection and that induction of these responses by
therapeutic vaccination may improve immune control of HIV.
PMID- 29648493
TI - SYTAR Accepted Common Interest Community Proposals.
PMID- 29648494
TI - Placental glucocorticoid receptor and 11beta-hydroxysteroid dehydrogenase-2
recruitment indicates impact of prenatal adversity upon postnatal development in
mice.
AB - Prenatal stress may increase concentrations of maternal glucocorticoids, which
restrict fetal growth, with variable impact upon postnatal development. Among key
regulators of stress hormone effects are the glucocorticoid receptor (GR) and
11beta-hydroxysteroid dehydrogenase-2 (11betaHSD2), the enzyme that inactivates
glucocorticoid. This study utilized mice selectively bred for social dominance
(Dom) or submissiveness (Sub), respectively exhibiting resilience or sensitivity
to stress, to test whether stress-induced alterations in placental GR and
11betaHSD2 protein expression may mediate divergent effects of prenatal adversity
upon postnatal development. Pregnant Dom and Sub dams underwent prenatal
restraint stress (PRS) for 45 min on gestational days (GD) 15-17. PRS induced a
similar spike in serum corticosterone concentrations of dams from each strain on
GD15 (p < .001, n = 8), and impaired fetal growth (p < .01, n = 5 litters),
although Dom placentae were larger than Sub placentae (p < .01). Among placentae
from Dom dams, PRS elevated protein contents of both GR (p < .05, n = 5 litters)
and 11betaHSD2 (p < .01) on GD19. In contrast, GR contents were reduced among
placentae from PRS-exposed Sub mice (p < .01), without changes in 11betaHSD2
content. Correspondingly, Dom PRS pup growth recovered by PND14, yet Sub PRS pups
remained underweight into adolescence (p < .0001, n = 40 pups). Thus, prenatal
stress more strongly increased placental GR and 11betaHSD2 levels among Dom mice
than in Subs. Increased GR may improve placental function and up-regulate
11betaHSD2 expression, protecting fetuses from effects of prenatal stress upon
postnatal development. Placental recruitment of GR and 11betaHSD2 are potential
markers of stress-induced developmental disorders, in accordance with maternal
resilience or sensitivity to stress.
PMID- 29648495
TI - The S-oxidation of S-carboxymethyl-L-cysteine in hepatic cytosolic fractions from
BTBR and phenylketonuria enu1 and enu2 mice.
AB - Mice that were heterozygous dominant for the enu1 and enu2 mutation in
phenylalanine monooxygenase/phenylalanine hydroxylase (PAH) resulted in hepatic
PAH assays for S-carboxymethyl-L-cysteine (SCMC) that had significantly increased
calculated Km (wild type (wt)/enu1, 1.84-2.12 fold increase and wt/enu2 a 2.75
fold increase in PAH assays). The heterozygous dominant phenotypes showed a
significantly reduced catalytic turnover of SCMC (wt/enu1, 6.11 fold decrease and
wt/enu2 an 11.25 fold decrease in calculated Vmax). Finally, these phenotypes
also had a significantly reduced clearance, CLE (wt/enu1, 13.02 fold and wt/enu2,
a 30.80-30.94 fold decrease) The homozygous recessive phenotype (enu1/enu1) was
also found to have significantly increased calculated Km (2.16 fold increase), a
significantly reduced calculated Vmax (11.35-12.33 fold decrease) and CLE (24.75
25.00 fold decrease). The enu2/enu2, homozygous recessive phenotype had no
detectable PAH activity using SCMC as substrate. The identity of the enzyme
responsible for the C-oxidation of L-phenylalanine (L-Phe) and the S-oxidation of
SCMC in wt/wt (BTBR) mice was identified using monoclonal antibody and selective
chemical inhibitors and was found to be PAH. This in vitro mouse hepatic
cytosolic fraction metabolism investigation provides further evidence to support
the hypothesis that an individual possessing one variant allele for PAH will
result in a poor metaboliser phenotype that is unable to produce significant
amounts of S-oxide metabolites of SCMC.
PMID- 29648496
TI - The Wisdom of Thai Indigenous Healers for the Spiritual Healing of Fractures.
AB - AIMS: The aim of this study was to explore the wisdom of Thai indigenous healers
(IHs) for physical and spiritual healing and their treatment process for
fractures. METHOD: Twelve IHs from four regions of Thailand were selected using
cluster and purposive sampling. They were interviewed on their methods for
treating fractures. The Colaizzi method was used for analysis, and we returned to
the IHs for validity confirmation. FINDINGS: The wisdom of IHs is believed to be
inherited from ancestors and from a "sixth sense," their former teacher's spirit.
There are no textbooks, only one-on-one training. The annual Wai Khru ceremony,
where IHs pay respect to their teachers, is believed to also impart a blessing of
greater wisdom to the student healer. Their physical treatment of fractures is
like that by an orthopedic physician, but their methods and materials are
different because physical treatment is combined with spiritual care. CONCLUSION:
Certain aspects of IH practice may have application for professional nursing. It
is suggested that incorporating traditional spiritual care into nursing may
improve patients' quality of life, both physically and spiritually.
PMID- 29648497
TI - Low reproducibility between oral radiologists and general dentists with regards
to radiographic diagnosis of caries.
AB - AIM: Early clinical and radiological diagnosis of dental caries is one of the
fundamental objectives of clinical dentistry because of the high frequency of the
disease and severe complications if caries remains untreated, especially among
the elderly and patients with immunodeficiency. Dental panoramic tomography (DPT)
is a common radiographic method for evaluating dentition when indicated,
especially in an adult population. The aim of this study was to assess the
reproducibility of diagnosis between specialists in oral radiology and general
dentists with regards to caries lesions based on DPTs of adults. MATERIAL AND
METHODS: One-hundred DPTs taken from adult patients (average age 35) and then
analyzed and reported on by 42 general dentists were then analyzed independently
by two specialists in oral radiology with respect to caries lesions in the
premolar and molar areas using radiographic criteria established for caries
diagnosis. The general dentists versus oral radiologists were not calibrated
before. Level of agreement between specialists and general dentists was measured
using Cohen's kappa. RESULTS: Comparison between observations of general dentists
and specialists in oral radiology showed that 61% of the caries lesions on
proximal surfaces of premolars and molars observed by specialists went unobserved
by general dentists. Cohen's kappa value for specialists was 0.85 (p < .001) and
for each specialist and general dentists 0.48 (p < .001) and 0.44 (p < .001).
CONCLUSIONS: The reproducibility between specialists in oral radiology and
general dentists for detecting caries in DPTs was low.
PMID- 29648498
TI - Timing matters: the interval between acute stressors within chronic mild stress
modifies behavioral and physiologic stress responses in male rats.
AB - Chronic mild stress can lead to negative health outcomes. Frequency, duration,
and intensity of acute stressors can affect health-related processes. We tested
whether the temporal pattern of daily acute stressors (clustered or dispersed
across the day) affects depression-related physiology. We used a rodent model to
keep stressor frequency, duration, and intensity constant, and experimentally
manipulated the temporal pattern of acute stressors delivered during the active
phase of the day. Adult male Sprague-Dawley rats were exposed to one of three
chronic mild stress groups: Clustered: stressors that occurred within 1 hour of
each other (n = 21), Dispersed: stressors that were spread out across the active
phase (n = 21), and Control: no stressors presented (n = 21). Acute mild
stressors included noise, strobe lights, novel cage, cage tilt, wet bedding, and
water immersion. Depression-related outcomes included: sucrose preference, body
weight, circulating glucocorticoid (corticosterone) concentration after a novel
acute stressor and during basal morning and evening times, and endotoxin-induced
circulating interleukin-6 concentrations. Compared to control rats, those in the
Clustered group gained less weight, consumed less sucrose, had a blunted acute
corticosterone response, and an accentuated acute interleukin-6 response. Rats in
the Dispersed group had an attenuated corticosterone decline during the active
period and after an acute stressor compared to the Control group. During a
chronic mild stress experience, the temporal distribution of daily acute
stressors affected health-related physiologic processes. Regular exposure to
daily stressors in rapid succession may predict more depression-related symptoms,
whereas exposure to stressors dispersed throughout the day may predict diminished
glucocorticoid negative feedback.
PMID- 29648499
TI - Burnout among pilots: psychosocial factors related to happiness and performance
at simulator training.
AB - In this study among airline pilots, we aim to uncover the work characteristics
(job demands and resources) and the outcomes (job crafting, happiness and
simulator training performance) that are related to burnout for this occupational
group. Using a large sample of airline pilots, we showed that 40% of the
participating pilots experience high burnout. In line with Job Demands-Resources
theory, job demands were detrimental for simulator training performance because
they made pilots more exhausted and less able to craft their job, whereas job
resources had a favourable effect because they reduced feelings of disengagement
and increased job crafting. Moreover, burnout was negatively related to pilots'
happiness with life. These findings highlight the importance of psychosocial
factors and health for valuable outcomes for both pilots and airlines.
Practitioner Summary: Using an online survey among the members of a European
pilots' professional association, we examined the relationship between
psychosocial factors (work characteristics, burnout) and outcomes (simulator
training performance, happiness). Forty per cent of the participating pilots
experience high burnout. Job demands were detrimental, whereas job resources were
favourable for simulator training performance/happiness. Twitter text: 40% of
airline pilots experience burnout and psychosocial work factors and burnout
relate to performance at pilots' simulator training.
PMID- 29648500
TI - The link between depression and performance on the Symbol Digit Modalities Test:
Mechanisms and clinical significance.
AB - OBJECTIVE: To determine the mechanism and clinical significance of depression
related differences in performance on the Symbol Digit Modalities Test (SDMT).
METHODS: The influence of depression on two versions of a computerized SDMT (i.e.
fixed versus variable code) was assessed. Both versions involve processing speed,
but the fixed c-SDMT also encompasses incidental visual memory. RESULTS:
Depression was associated with a 19.06% slowing on the variable ( p = 0.002) and
an 8.10% slowing on the fixed ( p = 0.219) c-SDMT. CONCLUSION: Depression
associated differences in performance on the SDMT appear linked more to a
reduction in processing speed than a decline in incidental visual memory and
exceed the 10% threshold considered clinically significant.
PMID- 29648501
TI - New versus old: Implications of evolving diagnostic criteria for relapsing
remitting multiple sclerosis.
AB - The International Panel on Diagnosis of Multiple Sclerosis (MS) recently revised
the 2010 McDonald criteria and made recommendations for revision, allowing for
the earliest possible, accurate diagnosis of MS. For relapsing-remitting MS,
positive, unmatched cerebrospinal fluid oligoclonal bands may substitute for
dissemination in time. Symptomatic lesions, including brainstem and spinal cord,
may demonstrate dissemination in space or in time if enhancing (with the
exception of the optic nerve). Cortical and juxtacortical lesions are equivalent.
In this retrospective analysis, we applied revised criteria to 250 patients
previously diagnosed with relapsing-remitting MS according to 2010 criteria and
assessed for change in diagnostic times. There was a significant improvement in
time to diagnosis between 2010 and 2017 groups ( p < 0.01). Median time to
diagnosis according to McDonald 2010 was 7.4 months, compared with 2.3 months for
McDonald 2017. Use of cerebrospinal fluid results most frequently resulted in a
reduction in time to diagnosis. Symptomatic gadolinium-enhancing lesions led to
earliest diagnostic times.
PMID- 29648502
TI - Social Desirability in Spouse Ratings.
AB - Whether or not socially desirable responding is a cause for concern in
personality assessment has long been debated. For many researchers, McCrae and
Costa laid the issue to rest when they showed that correcting for socially
desirable responding in self-reports did not improve the agreement with spouse
ratings on the Neuroticism, Extraversion, and Openness to Experience Personality
Inventory. However, their findings rest on the assumption that observer ratings
in general, and spouse ratings in particular, are an unbiased external criterion.
If spouse ratings are also susceptible to socially desirable responding,
correcting for the bias in self-rated measures cannot be assumed to increase
agreement between self-reports and spouse ratings, and thus failure to do so
should not be taken as evidence for the ineffectiveness of measuring and
correcting for socially desirable responding. In the present study, McCrae and
Costa's influential study was replicated with the exception of measuring socially
desirable responding with the Marlowe-Crowne Social Desirability Scale, in both
self-reports and spouse ratings. Analyses were based on responses from 70 couples
who had lived together for at least one year. The results showed that both self
reports and spouse ratings are susceptible to socially desirable responding and
thus McCrae and Costa's conclusion is drawn into question.
PMID- 29648504
TI - Creating energy citizenship through material participation.
AB - Transitions towards low-carbon energy systems will be comprehensive and
demanding, requiring substantial public support. One important contribution from
STS is to highlight the roles of citizens and public engagement. Until recently,
energy users have often been treated as customers and passive market actors, or
as recipients of technology at the margins of centralized systems. With respect
to the latter role, critical or hesitant public action has been explained in
terms of NIMBYism and knowledge deficits. This article focuses on the production
of energy citizenship when considering public participation in low-carbon energy
transitions. We draw upon the theory of 'material participation' to highlight how
introducing and using emergent energy technologies may create new energy
practices. We analyze an ongoing introduction of new material objects,
highlighting the way these technologies can be seen as material interventions co
constructing temporalities of new and sustainable practices. We argue that
artefacts such as the electric car, the smart meter and photovoltaic panels may
become objects of participation and engagement, and that the introduction of such
technologies may foster material participation and energy citizenship. The paper
concludes with a discussion about the role of policies for low-carbon energy
transitions on the making of energy citizenship, as well as limits of introducing
a materially based energy citizenship.
PMID- 29648505
TI - Abstracts From the 5th Annual Congress on Medicine & Science in Ultra-Endurance
Sports, May 9-10, 2018, Castello de la Plana, Spain.
PMID- 29648503
TI - Brazilian medicinal plants with corroborated anti-inflammatory activities: a
review.
AB - CONTEXT: Inflammatory disorders are common in modern life, and medicinal plants
provide an interesting source for new compounds bearing anti-inflammatory
properties. In this regard, Brazilian medicinal plants are considered to be a
promising supply of such compounds due to their great biodiversity. OBJECTIVES:
To undertake a review on Brazilian medicinal plants with corroborated anti
inflammatory activities by selecting data from the literature reporting the
efficacy of plants used in folk medicine as anti-inflammatory, including the
mechanisms of action of their extracts and isolated compounds. METHODS: A search
in the literature was undertaken by using the following Web tools: Web of
Science, SciFinder, Pub-Med and Science Direct. The terms 'anti-inflammatory' and
'Brazilian medicinal plants' were used as keywords in search engine. Tropicos and
Reflora websites were used to verify the origin of the plants, and only the
native plants of Brazil were included in this review. The publications reporting
the use of well-accepted scientific protocols to corroborate the anti
inflammatory activities of Brazilian medicinal plants with anti-inflammatory
potential were considered. RESULTS: We selected 70 Brazilian medicinal plants
with anti-inflammatory activity. The plants were grouped according to their anti
inflammatory mechanisms of action. The main mechanisms involved inflammatory
mediators, such as interleukins (ILs), nuclear factor kappa B (NF-kappaB),
prostaglandin E2 (PGE2), cyclooxygenase (COX) and reactive oxygen species (ROS).
CONCLUSIONS: The collected data on Brazilian medicinal plants, in the form of
crude extract and/or isolated compounds, showed significant anti-inflammatory
activities involving different mechanisms of action, indicating Brazilian plants
as an important source of anti-inflammatory compounds.
PMID- 29648508
TI - Avoiding bias in trials in which allocation ratio is varied.
PMID- 29648509
TI - Time to regenerate: the doctor in the age of artificial intelligence.
PMID- 29648506
TI - Systemic equid alphaherpesvirus 9 in a Grant's zebra.
AB - A 2-y-old female Grant's zebra ( Equus quagga [ burchellii] boehmi) was presented
with a clinical history of depression, anorexia, and weakness of 1-wk duration.
Postmortem examination identified ulcers on the tongue and palate; a large
abscess adjacent to the larynx; left lung consolidation; mild swelling,
darkening, and congestion of the liver with accentuation of the lobular pattern;
and edema and congestion of the distal small and large intestines. Histologic
examination identified necrotizing bronchopneumonia, necrotizing hepatitis,
nephritis, and enterocolitis. Eosinophilic intranuclear inclusions were detected
in syncytial cells and degenerate bronchial epithelium in the lungs and in some
hepatocytes associated with necrotic foci. Bacterial cultures of the lung, liver,
and laryngeal abscess failed to detect any significant pathogen. Lung and liver
tested positive for equine herpesvirus with neuropathogenic marker by real-time
PCR. Subsequently, equine herpesvirus was isolated in tissue culture, and the
entire viral DNA polymerase gene (ORF30) was sequenced. The zebra lung isolate
had a very close nucleotide and amino acid sequence identity to equid
alphaherpesvirus 9 (EHV-9; 99.6% and 99.8%, respectively) in contrast to the
neuropathogenic T953 strain of EHV-1 (94.7% and 96.6%, respectively). Although
zebras are considered the natural host for EHV-9, we document an unusual acute
systemic, fatal EHV-9 infection in a 2-y-old Grant's zebra.
PMID- 29648510
TI - A doctor who regenerates.
PMID- 29648512
TI - Malignant ureteric obstruction decompression: how much gain for how much pain? A
narrative review.
AB - Over the last thirty years, the management of Malignant Ureteric Obstruction
(MUO) has evolved from a single disciplinary decision to a multi-disciplinary
approach. Careful consideration must be given to the risks and benefits of
decompression of hydronephrosis for an individual patient. There is a lack of
consensus of opinion as well as strong evidence to support the decision process.
Outcomes that were identified amongst patients undergoing treatment for MUO
included prognosis, quality of life (QOL), complications, morbidity and
prognostication tools. A total of 63 papers were included. Median survival was
6.4 months in the 53 papers that stated this outcome. Significant predictors to
poor outcomes included low serum albumin, hyponatremia, the number of malignancy
related events, and performance status of 2 or worse on the European cooperative
cancer group. We propose a multi-centre review of outcomes to enable evidence
based consultations for patients and their families.
PMID- 29648514
TI - Beneficial impacts of regular exercise on platelet function in sedentary older
adults: evidence from a randomized 6-mo walking trial.
AB - Platelet activation, including the formation of monocyte platelet aggregates
(MPAs), contributes to atherosclerosis, thrombus formation, and acute coronary
syndromes. Regular participation in exercise can lower cardiovascular risk, but
little is known regarding the impact of exercise training on platelet function.
We investigated the effect of 6 mo of walking exercise on platelet function in
sedentary older adults without significant cardiovascular disease. Twenty-seven
participants were randomly allocated to 6 mo of either: no-exercise ( n = 13) or
3 * 50 min/wk of supervised center-based walking ( n = 14). Circulating and
agonist-induced MPAs were assessed using flow cytometry before [ month 0 (0M)]
and after [ month 6 (6M)] the intervention. Circulating MPAs increased from 0M
(3.7 +/- 1.0%) to 6M (4.7 +/- 1.6%) in the no-exercise group ( P = 0.009),
whereas a nonsignificant decrease was observed in the walking group (0M 4.3 +/-
1.7 vs. 6M 3.7 +/- 1.2 %, P = 0.052). The change in MPAs between groups was
significant ( P = 0.001). There were no differences between groups in platelet
responses to agonists across the interventions (all P > 0.05). Collectively,
these data suggest that the absence of regular exercise may increase MPAs, which
are cellular mediators involved in atherosclerosis, while regular walking
inhibits such increases. The thrombotic function of platelets appears to be
relatively unaltered by exercise training. This study provides novel data related
to the cardioprotective effects associated with participation in exercise. NEW &
NOTEWORTHY Monocyte-platelet aggregates contribute to atherosclerosis and
exercise can lower cardiovascular risk. This is the first study to discover that
a lack of regular physical activity is associated with increased monocyte
platelet aggregates over a 6-mo intervention period. In contrast, walking
exercise inhibits increased monocyte-platelet aggregates in the circulation. This
study highlights a novel pathway by which regular participation in exercise
exerts its cardioprotective effects.
PMID- 29648515
TI - Peripheral revascularization attenuates the exercise pressor reflex and increases
coronary exercise hyperemia in peripheral arterial disease.
AB - Peripheral arterial disease (PAD) is associated with augmented blood pressure
(BP) and impaired coronary blood flow responses to exercise, which may increase
cardiovascular risk. We investigated the effects of leg revascularization on the
BP and coronary blood flow responses to exercise in PAD. Seventeen PAD patients
(11 men, 66 +/- 2 yr) performed single-leg plantar flexion exercise 24 h before
and 1 mo following leg revascularization. BP and heart rate (HR) were measured
continuously, and rate pressure product (systolic BP * HR) was calculated as an
index of myocardial oxygen demand. Coronary blood velocity was obtained by
transthoracic Doppler echocardiography in 8/17 subjects. The mean BP response to
plantar flexion exercise was attenuated by leg revascularization (pre
revascularization: 15 +/- 4 vs. post-revascularization: 7 +/- 3 mmHg, P = 0.025).
The HR response to plantar flexion was also attenuated following leg
revascularization (pre-revascularization: 9 +/- 1 vs. post-revascularization: 6
+/- 1 beats/min, P = 0.006). The change in coronary blood velocity with exercise
was greater at the post-revascularization visit: 4 +/- 1 vs. pre
revascularization: -1 +/- 2 cm/s ( P = 0.038), even though the change in rate
pressure product was not greater following revascularization in these subjects
(pre-revascularization: 2,796 +/- 871 vs. post-revascularization: 1,766 +/- 378
mmHg.beats/min, P = 0.082). These data suggest that leg revascularization alters
reflex control of BP, HR, and coronary blood flow in response to exercise in
patients with PAD. NEW & NOTEWORTHY We found that peripheral revascularization
procedures lowered exercise blood pressure and improved coronary blood flow in
patients with peripheral arterial disease.
PMID- 29648516
TI - The phosphodiesterase-4 inhibitor roflumilast reverts proteolysis in skeletal
muscle cells of patients with COPD cachexia.
AB - Peripheral muscle weakness and mass loss are characteristic features in severe
chronic obstructive pulmonary disease (COPD). We hypothesized that the
phosphodiesterase (PDE)-4 inhibitor roflumilast-induced cAMP may ameliorate
proteolysis and metabolism in skeletal muscles of COPD patients with severe
muscle wasting. In myogenic precursor cells (isolated from muscle biopsies and
cultured up to obtain differentiated myotubes) from 10 severe COPD patients and
10 healthy controls, which were treated with 1 MUM roflumilast N-oxide (RNO) for
three time cohorts (1, 6, and 24 h), genes of antioxidant defense and oxidative
stress marker, myogenesis and muscle metabolism, proteolysis (tyrosine release
assay) and ubiquitin-proteasome system markers, autophagy, and myosin isoforms
were analyzed using RT-PCR and immunoblotting. In COPD patients at 6 h RNO
treatment, myotube tyrosine release, total protein ubiquitination, and tripartite
motif-containing protein 32 levels were significantly lower than healthy
controls, whereas at 24 h RNO treatment, myotube myosin heavy chain ( MyHC) -I
and MyHC-IIx expression levels were upregulated in both patients and controls. In
the 6-h RNO cohort, in patients and controls, myotube expression of nuclear
factor (erythroid-derived 2)-like 2 ( NRF2) and its downstream antioxidants
sirtuin-1, FGF-inducible 14, and insulin-like growth factor-1 was upregulated,
whereas that of myocyte-specific enhancer factor 2C, myogenic differentiation,
myogenin, myostatin, atrogin-1, and muscle RING-finger protein-1 was
downregulated. In myotubes of severe COPD patients with cachexia, roflumilast
induced cAMP signaling exerts beneficial effects by targeting muscle protein
breakdown (tyrosine release), along with reduced expression of proteolytic
markers of the ubiquitin-proteasome system and that of myostatin. In both
patients and controls, roflumilast also favored antioxidant defense through
upregulation of the NRF2 pathway and that of the histone deacetylase sirtuin-1,
whereas it improved the expression of slow- and fast-twitch myosin isoforms.
These findings show that muscle dysfunction and wasting may be targeted by
roflumilast-induced cAMP signaling in COPD. These results have potential
therapeutic implications, as this PDE-4 inhibitor is currently available for the
treatment of systemic inflammation and exacerbations in patients with severe
COPD. NEW & NOTEWORTHY In myotubes of cachectic chronic obstructive pulmonary
disease (COPD) patients, cAMP signaling exerted beneficial effects by targeting
muscle proteolysis and reducing gene expression of proteolytic markers of the
ubiquitin-proteasome system and that of myostatin. In myotubes of patients and
controls, roflumilast also favored antioxidant defense through upregulation of
the nuclear factor (erythroid-derived 2)-like 2 pathway, of sirtuin-1, and of
gene expression of slow- and fast-twitch isoforms. These findings have potential
clinical implications for the treatment of muscle wasting in patients with COPD
and cachexia.
PMID- 29648517
TI - Preliminary evidence of reductive stress in human cytotoxic T cells following
exercise.
AB - This study investigated immunophenotypic differences in intracellular thiol redox
state of peripheral blood mononuclear cells (PBMCs) isolated from trained [ n =
9, means +/- SD: age 28 +/- 5 yr; (body mass index) BMI 23.2 +/- 2.6 kg/m2;
Vo2max (maximal oxygen intake)56.9 +/- 6.1 ml.kg-1.min-1] and recreationally
active (RA, n = 11, means +/- SD: age 27 +/- 6 yr; BMI 24.2 +/- 3.7 kg/m2; Vo2max
45.1 +/- 6.4 ml.kg-1.min-1) participants before and after a maximal aerobic
exercise tolerance test. Blood samples were taken before (Pre), during (sample
acquired at 70% maximum heart rate), immediately after (Post + 0), and 15 min
postexercise (Post + 15). PBMCs were isolated, and reduced thiol analysis
[fluorescein-5 maleimide (F5M)] by immunophenotype [cluster of differentiation
(CD)3+, CD4+, and CD8+] was performed using flow cytometry. A significant
increase in cellular F5M fluorescence was observed in CD3+ T cells at Post + 0,
with changes driven to a greater extent by CD8+ T cells (fold change in both
groups CD4: +2.3, CD8: +2.8; P < 0.05). Further analysis revealed a population of
highly reduced CD8+ T cells (CD8+T-reduced+) that significantly increased from
Pre to Post + 0 in RA participants only (RA: +272 cell/ul, P < 0.05). To
understand these results further, CD8+T-reduced+ and CD8+T-reduced- cells were
analyzed for immunophenotype in response to the same exercise protocol ( n = 6,
means +/- SD: age 24 +/- 5 yr; BMI 25.7 +/- 4.1 kg.m-2; Vo2max 41.33 +/- 7.63
ml.kg-1.min-1). CD8+T-reduced+ had significantly less lymphoid homing potential
(chemokine receptor type 7) Post + 0 compared with Pre. This study is the first,
to our knowledge, to demonstrate that lymphocyte populations become more
reductive in response to acute exercise. NEW & NOTEWORTHY The study presented
provides the first evidence to suggest that cytotoxic T cells become transiently
reductive in healthy individuals following a single bout of cycling. Detection of
these cells was enabled via the use of a flow cytometric assay that incorporates
the thiol reactive probe fluorescein-5 maleimide. Using this method, transient
reductive stress in viable T cells is permissible and provides the basis for
further research in the area of exercise immunology.
PMID- 29648518
TI - Heritability of major components of proprioception.
PMID- 29648519
TI - Glutamate dehydrogenase: role in regulating metabolism and insulin release in
pancreatic beta-cells.
AB - Regulation of insulin release and glucose homeostasis by pancreatic beta-cells is
dependent on the metabolism of glucose by glucokinase (GK) and the influence of
that activity on oxidative phosphorylation. Genetic alterations that result in
hyperactivity of mitochondrial glutamate dehydrogenase (GDH-1) can cause
hypoglycemia-hyperammonemia following high protein meals, but the role of GDH-1
remains poorly understood. GDH-1 activity is strongly inhibited by GTP, to near
zero in the absence of ADP, and cooperatively activated ( n = 2.3) by ADP. The
dissociation constant for ADP is near 200 uM in vivo, but leucine and its
nonmetabolized analog 2-amino-2-norbornane-carboxylic acid (BCH) can activate GDH
1 by increasing the affinity for ADP. Under physiological conditions, as [ADP]
increases GDH-1 activity remains very low until ~35 uM (threshold) and then
increases rapidly. A model for GDH-1 and its regulation has been combined with a
previously published model for glucose sensing that coupled GK activity and
oxidative phosphorylation. The combined model (GK-GDH-core) shows that GK
activity determines the energy state ([ATP]/[ADP][Pi]) in beta-cells for glucose
concentrations > 5 mM ([ADP] < 35 uM). As glucose falls < 5 mM the [ADP]
dependent increase in GDH-1 activity prevents [ADP] from rising above ~70 uM.
Thus, GDH-1 dynamically buffers beta-cell energy metabolism during hypoglycemia,
maintaining the energy state and the basal rate of insulin release. GDH-1
hyperactivity suppresses the normal increase in [ADP] in hypoglycemia. This leads
to hypoglycemia following a high protein meal by increasing the basal rate of
insulin release (beta-cells) and decreasing glucagon release (alpha-cells). NEW &
NOTEWORTHY A model of beta-cell metabolism and regulation of insulin release is
presented. The model integrates regulation of oxidative phosphorylation,
glucokinase (GK), and glutamate dehydrogenase (GDH-1). GDH-1 is near equilibrium
under physiological conditions, but the activity is inhibited by GTP. In
hypoglycemia, however, GK activity is low and [ADP], a potent activator of GDH-1,
increases. Reducing equivalents from GDH dynamically buffers the
intramitochondrial [NADH]/[NAD+], and thereby the energy state, preventing
hypoglycemia-induced substrate deprivation.
PMID- 29648520
TI - Transfer coefficients better reflect emphysematous changes than carbon monoxide
diffusing capacity in obstructive lung diseases.
AB - The overlap between asthma and chronic obstructive pulmonary disease (COPD) has
attracted the interest of pulmonary physicians; thus, measurement of carbon
monoxide diffusion capacity (DLco) and/or transfer coefficients (Kco, DLco/VA)
may become valuable in clinical settings. How these parameters behave in chronic
obstructive lung diseases is poorly understood. We predicted that Kco might more
accurately reflect emphysematous changes in the lungs than DLco. We examined DLco
and Kco in nonsmokers and smokers with asthma and investigated their
relationships with forced expiratory volume in 1 s (%FEV1) by group. We then
selected nonsmokers (As-NS) and smokers with asthma (As-Sm) in both groups and
those with COPD while controlling for the degree of airflow limitation across
groups. Emphysema volumes [%lung attenuation volume (%LAV)] and percentage of
cross-sectional area of small pulmonary vessels <5 mm2 (%CSA<5) were measured by
computed tomography. In As-NS, %Kco was significantly higher when FEV1% was
reduced, but such a correlation was not seen in As-Sm. %Kco successfully
differentiated among the three groups when airflow limitation levels were
matched. However, %DLc, was significantly reduced only in patients with COPD.
Both %LAV and %CSA<5 were better correlated with %Kco than with %DLco. There was
discordance between %DLCO and %Kco in As-Sm, which was not seen in As-NS.
Overall, %Kco better reflects emphysematous changes in obstructive lung diseases
than %DLco. NEW & NOTEWORTHY Despite differing behaviors of %Kco and %DLco in
several diseases, the characteristics of these parameters have not been fully
examined in smokers with asthma. Here, we demonstrated that %Kco is a more
sensitive parameter of pathophysiology, better reflecting emphysematous changes
in chronic obstructive lung diseases overall, compared with %DLco. Thus, more
precise interpretations of %DLco and %Kco may provide clues for understanding the
pathophysiology of obstructive lung diseases.
PMID- 29648521
TI - Understanding Angiogenesis During Aging: Opportunities for Discoveries and New
Models.
AB - Microvascular network growth and remodeling are common denominators for most age
related pathologies. For multiple pathologies (myocardial infarction, stroke,
hypertension) promoting microvascular growth, termed angiogenesis, would be
beneficial. For others (cancer, retinopathies, rheumatoid arthritis) blocking
angiogenesis would be desirable. Most therapeutic strategies, however, are
motivated based on studies using adult animal models. This approach is
problematic and does not account for the impaired angiogenesis and the inherent
network structure changes that might result from age. Considering the common
conception that angiogenesis is impaired with age, a need exists to identify the
causes and mechanisms of angiogenesis in aged scenarios, and for new tools to
enable comparison of aged versus adult responses to therapy. The objective of
this article will be to introduce opportunities for advancing our understanding
of angiogenesis in aging through the discovery of novel cell changes along aged
microvascular networks and the development of novel ex vivo models.
PMID- 29648523
TI - Feasibility and costs of a targeted cholera vaccination campaign in Ethiopia.
AB - ShancholTM, a WHO-prequalified oral cholera vaccine (OCV), has been used to
control endemic cholera in Asia, as well as in emergencies and outbreaks
elsewhere. The vaccine has not been used by public health systems in cholera
endemic settings of Africa although several outbreak response campaigns have been
conducted. Here we present experiences from a mass vaccination campaign in a
cholera-endemic setting of Ethiopia in which ShancholTM was introduced through
the public health system. The vaccination site was selected based on cholera
cases reported in previous years. Social mobilization involved sensitization of
community leaders, household visits, and mass distribution of banners, posters
and leaflets. The vaccination was implemented after careful microplanning of
logistics and cold chain, manpower, transportation, vaccine supply and
supervision and monitoring of adverse events. Vaccine administration was recorded
on individual vaccination cards. Vaccine delivery costs were collected and
analyzed after vaccination. As there was no experience with ShancholTM in
Ethiopia, a bridging trial was conducted to demonstrate safety and immunogenicity
of the vaccine in the local population prior to the mass vaccination. Oral
cholera vaccination was conducted in two rounds of four days each in February
2015 and March 2015 in 10 selected villages of Shashemenae rural district of
Ethiopia. A total of 62,161 people targeted. 47,137 people (76%) received the
first dose, and 40,707 (65%) received two doses. The financial cost of the
vaccination campaign was estimated at US $2.60 per dose or US $5.64 per fully
immunized person. The cost of vaccine delivery excluding vaccine procurement was
$0.68 per dose or $1.48 per fully immunized person. The study demonstrates that
mass cholera vaccination administered through the public health system in
Ethiopia is feasible, can be implemented through the existing health system at an
affordable cost, and the vaccine is acceptable to the community. The lessons from
this study are useful for deploying OCV in other African endemic settings through
the public health system and may guide future immunization policy decisions.
PMID- 29648522
TI - Impact of recurrent laryngeal nerve lesion on oropharyngeal muscle activity and
sensorimotor integration in an infant pig model.
AB - The successful performance of a swallow requires dynamic integration between a
wide range of sensory inputs and muscle activities to produce the coordinated
kinematics of oropharyngeal structures. Damage to the recurrent laryngeal nerve
(RLN) produces dysphagia in infants, with food or liquid entering the airway
despite this nerve having minimal direct sensory or motor connections to the act
of swallowing, apart from vocal fold closure. Previous results have demonstrated
that a complete RLN lesion disrupts both performance and kinematics before
initiation of the pharyngeal swallow in infants. We tested the hypothesis that a
RLN lesion produces changes in the normal activity of oral floor, tongue, and
infrahyoid muscles during a swallow. We recorded swallowing in our validated
infant pig model, with synchronous high-speed imaging and fine-wire, chronic
electromyography. We found changes in the timing, duration, and amplitude of the
motor pattern in an array of muscles that are supplied by several different
cranial and cervical nerves. Some of these changes in muscle activity are
associated with the preparatory aspects of bolus aggregation or movement and so
occur before the pharyngeal swallow. Taken with previous biomechanical results,
these patterns suggest an intricate brain stem sensorimotor integration that
occurs as part of a swallow. In particular, the execution of oral motor function
is changed as a result of this simple lesion. NEW & NOTEWORTHY Damage to the
recurrent laryngeal nerve compromises swallowing despite an absent or minimal
contribution to either the motor or sensory aspects of this function. This study
documents EMG changes, following RLN lesion, to non-RLN innervated muscles that
are active during swallowing in an infant model. Some of these muscles fire
before the pharyngeal swallow and are associated with the preparatory aspects of
bolus aggregation and movement, suggesting important sensorimotor integration at
a brain stem level.
PMID- 29648525
TI - Foreword: The Year in Review: Comments on Plants, Cyclodextrins, Microbiota, and
Diabetes.
PMID- 29648524
TI - Preface: The Wealth of microRNA Kingdom: From Plant Defense to Cancer Prevention.
PMID- 29648526
TI - Fluviicola kyonggii sp. nov., a bacterium isolated from forest soil and emended
description of the genus Fluviicola.
AB - A novel bacterium, designated strain CA-1T, was isolated from forest soil in
Kyonggi University. Cells were strictly aerobic, Gram-stain-negative, catalase
positive, oxidase-negative, non-motile, non-spore-forming, rod-shaped and red
orange-pigmented. Strain CA-1T hydrolysed casein and DNA. It was able to grow at
15-37 degrees C, pH 5.5-9.0 and at 0-2 % (w/v) NaCl concentration. Flexirubin
type pigments were present. Phylogenetic analysis based on its 16S rRNA gene
sequence indicated that strain CA-1T formed a lineage within the family
Crocinitomicaceae of the phylum Bacteroidetes that was distinct from Fluviicola
hefeinensis MYL-8T (96.8 % sequence similarity) and Fluviicola taffensis DSM
16823T (96.1 %). Strain CA-1T contained menaquinone-6 as a sole respiratory
quinone. The major polar lipids were phosphatidylethanolamine, unidentified
aminolipids, an unidentified aminophospholipid and an unidentified lipid. The
major cellular fatty acids were iso-C15 : 0, iso-C17 : 0 3-OH, C15 : 0 2-OH,
summed feature 3 (C16 : 1omega7c and/or C16 : 1omega6c) and iso-C15 : 1 G. The
DNA G+C content of strain CA-1T was 44.1 mol%. The polyphasic characterization
revealed that strain CA-1T represents a novel species in the genus Fluviicola,
for which the name Fluviicola kyonggii sp. nov. is proposed. The type strain is
CA-1T (=KEMB 9005-526T=KACC 19148T=NBRC 112684T).
PMID- 29648527
TI - Streptomyces manganisoli sp. nov., a novel actinomycete isolated from manganese
contaminated soil.
AB - A novel actinomycete isolate, designated strain MK44T, was isolated from a
Manganese-polluted soil sample collected near Xiangtan Manganese Mine, South
Central China and subjected to a polyphasic taxonomic characterization.
Comparison of 16S rRNA gene sequences showed that strain MK44T was a member of
the genus Streptomyces and most closely related to Streptomyces specialis JCM
16611T (97.9 %) and Streptomyces mayteni JCM 16957T (97.4 %). The DNA-DNA
relatedness between strain MK44T and the above two related type species were
30.9+/-0.3 and 29.9+/-3.5 %, respectively, values which are far lower than the 70
% threshold for the delineation of a novel prokaryotic species. Furthermore, the
results of physiological, biochemical and chemotaxonomic tests allowed further
phenotypic differentiation. Therefore, it is concluded that strain MK44T
represents a novel species of the genus Streptomyces, for which the name
Streptomyces manganisoli sp. nov. is proposed. The type strain is MK44T (=GDMCC
4.137T=KCTC 39920T).
PMID- 29648528
TI - Lactobacillus micheneri sp. nov., Lactobacillus timberlakei sp. nov. and
Lactobacillus quenuiae sp. nov., lactic acid bacteria isolated from wild bees and
flowers.
AB - Gram-stain-positive, rod-shaped, non-spore forming bacteria have been isolated
from flowers and the guts of adult wild bees in the families Megachilidae and
Halictidae. Phylogenetic analysis of the 16S rRNA gene indicated that these
bacteria belong to the genus Lactobacillus, and are most closely related to the
honey-bee associated bacteria Lactobacillus kunkeei (97.0 % sequence similarity)
and Lactobacillus apinorum (97.0 % sequence similarity). Phylogenetic analyses of
16S rRNA genes and six single-copy protein coding genes, in situ and in silico
DNA-DNA hybridization, and fatty-acid profiling differentiates the newly isolated
bacteria as three novel Lactobacillus species: Lactobacillus micheneri sp. nov.
with the type strain Hlig3T (=DSM 104126T,=NRRL B-65473T), Lactobacillus
timberlakei with the type strain HV_12T (=DSM 104128T,=NRRL B-65472T), and
Lactobacillus quenuiae sp. nov. with the type strain HV_6T (=DSM 104127T,=NRRL B
65474T).
PMID- 29648529
TI - Gram-negative bloodstream infections in hemodialysis patients: A retrospective
study?.
AB - BACKGROUND: Bloodstream infections (BSIs) are an important cause of
hospitalizations and mortality among hemodialysis (HD) patients. Epidemiology of
these infections is changing, with increasing rates of Gram-negative pathogens,
including resistant ones. Few studies have focused on the characteristics and
outcomes of these infections. OBJECTIVE: We aimed to document the causative
pathogens of BSIs in HD patients and their clinical outcomes during 2008 - 2015,
and to compare risk factors, clinical features, appropriateness of therapy, and
outcomes between patients with Gram-negative vs. Gram-positive BSIs. MATERIALS
AND METHODS: A single-center retrospective cohort study. Charts of 120 HD
patients hospitalized with first BSI were reviewed. RESULTS: A total of 120
patients were included, 61 episodes of Gram-negative (51.8%) and 59 episodes of
Gram-positive bacteria (49.2%). Source of infection was significantly more likely
to be urinary or abdominal among patients with Gram-negative infection.
Otherwise, no statistically significant differences were documented between
groups in terms of baseline characteristics, presentation of infection and
outcomes. Most Gram-negative BSIs were caused by enterobacteriaceae, followed by
Pseudomonas spp. Previous clinical or surveillance cultures added little to
accurate prediction of the causative organism. CONCLUSION: In a cohort of HD
patients with BSI, no significant differences were found between Gram-negative
and Gram-positive BSIs, besides source of infection. A urinary or abdominal
source strongly suggests a Gram-negative pathogen. Otherwise, patient's
characteristics, clinical presentation, and previous cultures, all cannot
accurately predict the causative pathogen of BSI, and broad-spectrum antibiotics
should be used empirically.?.
PMID- 29648530
TI - Clinical and histological features of antineutrophil cytoplasmic antibody
associated vasculitis related to antithyroid drugs?.
AB - BACKGROUND: Antithyroid drugs such as propylthiouracil and methimazole have been
reported to cause antineutrophil cytoplasmic antibody (ANCA)-associated
vasculitis (AAV), but little is known about long-term outcomes. MATERIALS AND
METHODS: We identified AAV patients who underwent renal biopsy and
retrospectively assessed their clinical and histological findings. Patients with
AAV who had received propylthiouracil or methimazole were defined as having
antithyroid drug-associated AAV (ATD-AAV), and the other patients were defined as
having primary AAV. RESULTS: Seven patients with ATD-AAV and 83 patients with
primary AAV were identified. Compared with the primary AAV group, the patients
with ATD-AAV were significantly younger (mean +/- standard deviation; 45.4 +/-
21.4 years vs. 65.9 +/- 13.8 years, p < 0.01), and had lower serum creatinine
(median [interquartile range]; 0.7 mg/dL [0.6 - 1.5] vs. 2.3 mg/dL [1.0 - 4.0], p
= 0.02), as well as a higher frequency of positivity for MPO--ANCA/PR3-ANCA (42.9
vs. 4.8%, p < 0.01). While glomerular crescents varied, interstitial fibrosis and
tubular atrophy were milder in ATD-AAV patients. Kaplan-Meier analysis showed a
significantly higher kidney survival rate in patients with ATD-AAV than in those
with primary AAV (p = 0.05). CONCLUSION: Patients with ATD-AAV were younger and
had milder kidney involvement, resulting in a better long-term outcome compared
with primary AAV.?.
PMID- 29648531
TI - Propafenone quantification in human plasma by high-performance liquid
chromatography coupled with electrospray tandem mass spectrometry in a
bioequivalence study?.
AB - Propafenone is an antiarrhythmic drug applied to ventricular arrhythmias,
initially recognized as a sodium channel blocker. This study aims to evaluate the
bioequivalence of two propafenone formulations (300 mg tablet) in healthy
subjects under non-fasting conditions. The study was conducted as an open,
randomized, 2-period design with a 2-sequence (RT, TR) with a 1-week washout
interval. The subjects were selected for the study after having their health
status previously assessed by a clinical evaluation and laboratory tests
(biochemical and hematological parameters, and urinalysis). Debrisoquine
phenotype of healthy subjects was determined by analysis of urinary excretion of
debrisoquine and its major metabolite, 4-hydroxydebrisoquine. A single
propafenone tablet (300 mg) was given in each occasion. Plasma propafenone
concentrations were analyzed by liquid chromatography coupled to tandem mass
spectrometry (HPLC/MS/MS) with positive ion electrospray ionization using
multiple reactions monitoring (MRM). The geometric mean and 90% confidence
intervals (CI) of propafenone/Ritmonorm(r) (T/R) percent ratio were
100.44% (88.39 - 114.13%) for AUClast, 99.84% (90.31 - 110.36%) for
AUCinf, and 99.30% (90.08 - 109.47%) for Cmax. Since the
90% CI for Cmax, AUClast, and AUCinf ratios were
all inside the 80 - 125% interval proposed by the US Food and Drug Administration
Agency, it was concluded that the propafenone formulation elaborated by Biolab
Sanus Farmaceutica Ltda. is bioequivalent to Ritmonorm(r) formulation
for both the rate and the extent of absorption. The drug was well tolerated by
the subjects, indicating that it is safe to perform propafenone bioequivalence
studies in healthy subjects with intermediate/extensive metabolism.?.
PMID- 29648532
TI - Bioequivalence of a fixed-dose repaglinide/metformin combination tablet and
equivalent doses of repaglinide and metformin tablets?.
AB - OBJECTIVE: This study was conducted to determine whether a fixed-dose combination
(FDC) tablet of repaglinide/metformin (2/500 mg) is equivalent to
coadministration of equivalent doses of individual (EDI) tablets of repaglinide
(2 mg) and metformin (500 mg) in healthy Korean male subjects. MATERIALS AND
METHODS: This study was conducted as an open-label, randomized, single-dose, two
period, two-sequence crossover design in 50 healthy Korean male subjects who
received an FDC tablet or EDI tablets. Plasma concentrations of repaglinide and
metformin were determined for up to 24 hours using a validated UPLC-MS/MS method.
Bioequivalence was assessed according to current guidelines issued by the U.S.
Food and Drug Administration (FDA) and Korean legislation. Tolerability was also
evaluated throughout the study via subject interview, vital signs, and blood
sampling. RESULTS: Point estimates (90% CIs) for AUC0-t, AUC0
infinity, and Cmax based on EDI tablets were 110.07 (102.25 -
118.49), 109.90 (101.70 - 118.39), and 112.60 (101.49 - 124.85), respectively,
for repaglinide. They were 95.18 (89.62 - 101.05), 95.00 (89.74 - 100.65), and
98.44 (92.72 - 104.50), respectively, for metformin. These results satisfied the
bioequivalence criteria of 80.00 - 125.00% proposed by the FDA and Korean
legislation. CONCLUSION: Results of pharmacokinetic analysis suggested that
repaglinide and metformin in FDC tablets were bioequivalent to EDI tablets of
repaglinide (2 mg) and metformin (500 mg) in healthy Korean male subjects. Both
formulations appeared to be well tolerated.?.
PMID- 29648533
TI - Effect of fluconazole on the pharmacokinetics of everolimus and tacrolimus in a
heart transplant recipient: Case report.
AB - OBJECTIVE: Everolimus is an inhibitor of the mammalian target of rapamycin (mTOR)
and has been used in combination with calcineurin inhibitors (tacrolimus and
cyclosporine) to prevent allograft rejection following organ transplantation. In
heart transplant recipients, everolimus should be maintained at a target blood
concentration of 3 - 8 ng/mL, in combination with reduced-dose calcineurin
inhibitors and therefore, requires strict monitoring. Fluconazole, an azole
antifungal agent, affects blood concentration of tacrolimus by inhibiting the
cytochromes P450 (CYP) 3A4 and 3A5. Therefore, to avoid overexposure during
everolimus-azole cotreatment, the dose of everolimus should be reduced. However,
the mechanism of interaction between everolimus and fluconazole remains unclear.
CASE REPORT: We report the case of a heart transplant recipient who experienced a
2.8-fold increase in everolimus clearance and a 3.5-fold increase in everolimus
dosage, following withdrawal of fluconazole therapy. The clearance and dosage of
tacrolimus increased 4.7- and 3.0-fold, respectively. CONCLUSION: The
concentrations of everolimus and tacrolimus should be carefully monitored when
administered concomitantly with fluconazole to heart transplant recipients. The
patient in this case had a CYP3A5*1/*3 genotype, and CYP3A5 constituted the
metabolic pathway. Therefore, concomitant use of fluconazole might have a
relatively small impact on everolimus and tacrolimus pharmacokinetics in this
case.?.
PMID- 29648534
TI - Chromatin-associated RNA sequencing (ChAR-seq) maps genome-wide RNA-to-DNA
contacts.
AB - RNA is a critical component of chromatin in eukaryotes, both as a product of
transcription, and as an essential constituent of ribonucleoprotein complexes
that regulate both local and global chromatin states. Here, we present a
proximity ligation and sequencing method called Chromatin-Associated RNA
sequencing (ChAR-seq) that maps all RNA-to-DNA contacts across the genome. Using
Drosophila cells, we show that ChAR-seq provides unbiased, de novo identification
of targets of chromatin-bound RNAs including nascent transcripts, chromosome
specific dosage compensation ncRNAs, and genome-wide trans-associated RNAs
involved in co-transcriptional RNA processing.
PMID- 29648535
TI - Evidence for loss and reacquisition of alcoholic fermentation in a fructophilic
yeast lineage.
AB - Fructophily is a rare trait that consists of the preference for fructose over
other carbon sources. Here, we show that in a yeast lineage (the
Wickerhamiella/Starmerella, W/S clade) comprised of fructophilic species thriving
in the high-sugar floral niche, the acquisition of fructophily is concurrent with
a wider remodeling of central carbon metabolism. Coupling comparative genomics
with biochemical and genetic approaches, we gathered ample evidence for the loss
of alcoholic fermentation in an ancestor of the W/S clade and subsequent
reinstatement through either horizontal acquisition of homologous bacterial genes
or modification of a pre-existing yeast gene. An enzyme required for sucrose
assimilation was also acquired from bacteria, suggesting that the genetic
novelties identified in the W/S clade may be related to adaptation to the high
sugar environment. This work shows how even central carbon metabolism can be
remodeled by a surge of HGT events.
PMID- 29648536
TI - Silencing of transposable elements may not be a major driver of regulatory
evolution in primate iPSCs.
AB - Transposable elements (TEs) comprise almost half of primate genomes and their
aberrant regulation can result in deleterious effects. In pluripotent stem cells,
rapidly evolving KRAB-ZNF genes target TEs for silencing by H3K9me3. To
investigate the evolution of TE silencing, we performed H3K9me3 ChIP-seq
experiments in induced pluripotent stem cells from 10 human and 7 chimpanzee
individuals. We identified four million orthologous TEs and found the SVA and ERV
families to be marked most frequently by H3K9me3. We found little evidence of
inter-species differences in TE silencing, with as many as 82% of putatively
silenced TEs marked at similar levels in humans and chimpanzees. TEs that are
preferentially silenced in one species are a similar age to those silenced in
both species and are not more likely to be associated with expression divergence
of nearby orthologous genes. Our data suggest limited species-specificity of TE
silencing across 6 million years of primate evolution.
PMID- 29648537
TI - The conformation of the histone H3 tail inhibits association of the BPTF PHD
finger with the nucleosome.
AB - Histone tails harbor a plethora of post-translational modifications that direct
the function of chromatin regulators, which recognize them through effector
domains. Effector domain/histone interactions have been broadly studied, but
largely using peptide fragments of histone tails. Here, we extend these studies
into the nucleosome context and find that the conformation adopted by the histone
H3 tails is inhibitory to BPTF PHD finger binding. Using NMR spectroscopy and MD
simulations, we show that the H3 tails interact robustly but dynamically with
nucleosomal DNA, substantially reducing PHD finger association. Altering the
electrostatics of the H3 tail via modification or mutation increases
accessibility to the PHD finger, indicating that PTM crosstalk can regulate
effector domain binding by altering nucleosome conformation. Together, our
results demonstrate that the nucleosome context has a dramatic impact on
signaling events at the histone tails, and highlights the importance of studying
histone binding in the context of the nucleosome.
PMID- 29648538
TI - Wnt5a signaling induced phosphorylation increases APT1 activity and promotes
melanoma metastatic behavior.
AB - Wnt5a has been implicated in melanoma progression and metastasis, although the
exact downstream signaling events that contribute to melanoma metastasis are
poorly understood. Wnt5a signaling results in acyl protein thioesterase 1 (APT1)
mediated depalmitoylation of pro-metastatic cell adhesion molecules CD44 and
MCAM, resulting in increased melanoma invasion. The mechanistic details that
underlie Wnt5a-mediated regulation of APT1 activity and cellular function remain
unknown. Here, we show Wnt5a signaling regulates APT1 activity through induction
of APT1 phosphorylation and we further investigate the functional role of APT1
phosphorylation on its depalmitoylating activity. We found phosphorylation
increased APT1 depalmitoylating activity and reduced APT1 dimerization. We
further determined APT1 phosphorylation increases melanoma invasion in vitro, and
also correlated with increased tumor grade and metastasis. Our results further
establish APT1 as an important regulator of melanoma invasion and metastatic
behavior. Inhibition of APT1 may represent a novel way to treat Wnt5a driven
cancers.
PMID- 29648539
TI - Horizontal Gene Transfer in Thermus spp.
AB - The small amount of genetic content in thermophiles generally limits their
adaptability to environmental changes. In Thermus spp., very active horizontal
gene transfer (HGT) mechanisms allow the rapid spread of strain-specific adaptive
gene modules among the entire population. Constitutive expression of a rather
particular and highly efficient DNA transport apparatus (DTA) is at the center of
this HGT-mediated enhanced adaptability. The function of the DTA is dependent on
the integrity and longevity of the extracellular DNA (eDNA) being transformed,
which can be improved by the production of extracellular vesicles (EV) through
lysis of a fraction of the population. The DTA must also contend with the
recipient cell's defensive barriers, namely restriction enzymes, a panoply of
CRISPR-Cas systems, and the argonaute-like protein TtAgo, which may be bypassed
by transjugation, a new class of bidirectional transformation-dependent
conjugation. Efficient transjugation depends on the presence of the ICETh1, an
integrative and conjugative element which promotes simultaneous, generalized DNA
transfer from several points in the genome. Transjugation shows preference for
genes located within a megaplasmid replicon, where the main strain-specific
adaptive modules are located. Contribution of transformation, vesicle-mediated
eDNAs, and transjugation to HGT in this genus is discussed.
PMID- 29648540
TI - Editorial: ICE and Small.
AB - Bacterial genomes vary considerably in terms of size and gene content. The
proportion of a genome composed of horizontally acquired DNA or mobile genetic
elements also varies, but follows an ecological pattern with more mobile genetic
element genes being found in facultative intracellular bacteria than those
considered extracellular and both containing more than obligately intracellular
bacteria.
PMID- 29648541
TI - Horizontal Gene Transfers in Mycoplasmas (Mollicutes).
AB - The class Mollicutes (trivial name "mycoplasma") is composed of wall-less
bacteria with reduced genomes whose evolution was long thought to be only driven
by gene losses. Recent evidences of massive horizontal gene transfer (HGT) within
and across species provided a new frame to understand the successful adaptation
of these minimal bacteria to a broad range of hosts. Mobile genetic elements are
being identified in a growing number of mycoplasma species, but integrative and
conjugative elements (ICEs) are emerging as pivotal in HGT. While sharing common
traits with other bacterial ICEs, such as their chromosomal integration and the
use of a type IV secretion system to mediate horizontal dissemination, mycoplasma
ICEs (MICEs) revealed unique features: their chromosomal integration is totally
random and driven by a DDE recombinase related to the Mutator-like superfamily.
Mycoplasma conjugation is not restricted to ICE transmission, but also involves
the transfer of large chromosomal fragments that generates progenies with mosaic
genomes, nearly every position of chromosome being mobile. Mycoplasmas have thus
developed efficient ways to gain access to a considerable reservoir of genetic
resources distributed among a vast number of species expanding the concept of
minimal cell to the broader context of flowing information.
PMID- 29648542
TI - The indispensable role of the transversal spin fluctuations mechanism in laser
induced demagnetization of Co/Pt multilayers with nanoscale magnetic domains.
AB - The switching of magnetic domains induced by an ultrashort laser pulse has been
demonstrated in nanostructured ferromagnetic films. This leads to the dawn of a
new era in breaking the ultimate physical limit for the speed of magnetic
switching and manipulation, which is relevant to current and future information
storage. However, our understanding of the interactions between light and spins
in magnetic heterostructures with nanoscale domain structures is still lacking.
Here, both time-resolved magneto-optical Kerr effect experiments and atomistic
simulations are carried out to investigate the dominant mechanism of laser
induced ultrafast demagnetization in [Co/Pt]20 multilayers with nanoscale
magnetic domains. It is found that the ultrafast demagnetization time remains
constant with various magnetic configurations, indicating that the domain
structures play a minor role in laser-induced ultrafast demagnetization. In
addition, both in experiment and atomistic simulations, we find a dependence of
ultrafast demagnetization time tau M on the laser fluence, which is in contrast
to the observations of spin transport within magnetic domains. The remarkable
agreement between experiment and atomistic simulations indicates that the local
dissipation of spin angular momentum is the dominant demagnetization mechanism in
this system. More interestingly, we made a comparison between the atomistic spin
dynamic simulation and the longitudinal spin flip model, highlighting that the
transversal spin fluctuations mechanism is responsible for the ultrafast
demagnetization in the case of inhomogeneous magnetic structures. This is a
significant advance in clarifying the microscopic mechanism underlying the
process of ultrafast demagnetization in inhomogeneous magnetic structures.
PMID- 29648543
TI - Modeling and predictions of biphasic mechanosensitive cell migration altered by
cell-intrinsic properties and matrix confinement.
AB - Motile cells sense the stiffness of their extracellular matrix through adhesions
and respond by modulating the generated forces, which in turn lead to varying
mechanosensitive migration phenotypes. Through modeling and experiments, cell
migration speed is known to vary with matrix stiffness in a biphasic manner, with
optimal motility at an intermediate stiffness. Here, we present a 2D cell model
defined by nodes and elements, integrated with subcellular modeling components
corresponding to mechanotransductive adhesion formation, force generation,
protrusions and node displacement. On 2D matrices, our calculations reproduce the
classic biphasic dependence of migration speed on matrix stiffness and predict
that cell types with higher force-generating ability do not slow down on very
stiff matrices, thus disabling the biphasic response. We also predict that cell
types defined by a lower number of total receptors require stiffer matrices for
optimal motility, which also limits the biphasic response. For a cell type with
robust biphasic migration on a 2D surface, simulations in channel-like confined
environments of varying width and height predict faster migration in more
confined matrices. Simulations performed in shallower channels predict that the
biphasic mechanosensitive cell migration response is more robust on 2D micro
patterns compared to the channel-like 3D confinement. Thus, variations in the
dimensionality of matrix confinement alters the way migratory cells sense and
respond to the matrix stiffness. Our calculations reveal new phenotypes of
stiffness- and topography-sensitive cell migration that critically depend on both
cell-intrinsic and matrix properties. These predictions may inform our
understanding of various mechanosensitive modes of cell motility that could
enable tumor invasion through topographically heterogeneous microenvironments.
PMID- 29648544
TI - SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.
AB - In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was
investigated in a 300 mm industrial reduced pressure-chemical vapour deposition
tool. An integration scheme based on diblock copolymer patterning was used to
fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars.
Results showed highly selective and uniform processes for the epitaxial growth of
Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano
pillars and characterised by atomic force microscopy, x-ray diffraction and
transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation
were obtained in the 650 degrees C-700 degrees C range for 2D SiGe layers grown
either on Si or SiGe nano-pillars.
PMID- 29648545
TI - Enhancement of aerodynamic performance of a heaving airfoil using synthetic-jet
based active flow control.
AB - In this study, we explore the use of synthetic jet (SJ) in manipulating the
vortices around a rigid heaving airfoil, so as to enhance its aerodynamic
performance. The airfoil heaves at two fixed pitching angles, with the Strouhal
number, reduced frequency and Reynolds number chosen as St = 0.3, k = 0.25
and Re = 100, respectively, all falling in the ranges for natural flyers. As
such, the vortex force plays a dominant role in determining the airfoil's
aerodynamic performance. A pair of in-phase SJs is implemented on the airfoil's
upper and lower surfaces, operating with the same strength but in opposite
directions. Such a fluid-structure interaction problem is numerically solved
using a lattice Boltzmann method based numerical framework. It is found that, as
the airfoil heaves with zero pitching angle, its lift and drag can be improved
concurrently when the SJ phase angle [Formula: see text] relative to the heave
motion varies between [Formula: see text] and [Formula: see text]. But this
concurrent improvement does not occur as the airfoil heaves with [Formula: see
text] pitching angle. Detailed inspection of the vortex evolution and fluid
stress over the airfoil surface reveals that, if at good timing, the suction and
blowing strokes of the SJ pair can effectively delay or promote the shedding of
leading edge vortices, and mitigate or even eliminate the generation of trailing
edge vortices, so as to enhance the airfoil's aerodynamic performance. Based on
these understandings, an intermittent operation of the SJ pair is then proposed
to realize concurrent lift and drag improvement for the heaving airfoil with
[Formula: see text] pitching angle.
PMID- 29648546
TI - Photoreduction of carbon dioxide under visible light by ultra-small Ag
nanoparticles doped into Co-ZIF-9.
AB - Metal-organic frameworks (MOFs) are well-known porous materials able to adsorb
CO2, and their performance in CO2 reduction has attracted much attention from
researchers. A classical Co-MOF, Co-ZIF-9, has been proposed as a novel
photocatalyst for reducing CO2 into chemical feedstocks. Herein, Co-ZIF-9 with a
rod-like structure was obtained through reflux. Ultra-small silver nanoparticles
(Ag NPs, smaller than 5 nm) were doped into Co-ZIF-9 by the photodeposition
method. With the assistance of a photosensitizer, the resultant composite Ag@Co
ZIF-9 shows catalytic reactivity in converting CO2 into CO under visible light
irradiation. Compared with bare Co-ZIF-9, the photocatalytic performance of Ag@Co
ZIF-9 increases by more than twofold (around 28.4 MUmol CO) and the selectivity
is enhanced by about 20% (22.9 MUmol H2) for 0.5 h of irradiation. This
demonstrates that Ag NPs doping may provide a possible way to promote the
efficiency and selectivity of MOF materials in CO2 photoreduction.
PMID- 29648547
TI - Controllable synthesizing DLC nano structures as a super hydrophobic layer on
cotton fabric using a low-cost ethanol electrospray-assisted atmospheric plasma
jet.
AB - The surface modification of cotton samples was carried out using a liquid
(ethanol) electrospray-assisted atmospheric pressure plasma jet. X-ray
photoelectron spectroscopy (XPS) and Raman analysis confirmed the successful
deposition of diamond like carbon (DLC) nano structures on the cotton surface.
The super hydrophobic state of the samples was probed by contact angle
measurements. The water repellency of the layers was tuned by controlling the
voltage applied to the electrospray electrode. An investigation of the
morphological and chemical structures of the samples by field emission scanning
microscopy, atomic force microscopy (AFM) and XPS indicated that the physical
shape, distribution and amorphization of the DLC structures were successfully
adjusted and improved by applying a voltage to the electrospray electrode.
Finally wash durability of the best sample was tested for 35 cycles. In this
work, the use of a well-developed atmospheric pressure plasma jet for DLC nano
structures deposition can enable a promising environmentally friendly and low
cost approach for modifying cotton fabrics for super water-repellent fabric
applications.
PMID- 29648548
TI - Dimensional quantization effects in the thermodynamics of conductive filaments.
AB - We consider the physical effects of dimensional quantization in conductive
filaments that underlie operations of some modern electronic devices. We show
that, as a result of quantization, a sufficiently thin filament acquires a
positive charge. Several applications of this finding include the host material
polarization, the stability of filament constrictions, the equilibrium filament
radius, polarity in device switching, and quantization of conductance.
PMID- 29648549
TI - Morphology effect on photocatalytic activity in Bi3Fe0.5Nb1.5O9.
AB - In this work, the Aurivillius-phase ferroelectric Bi3Fe0.5Nb1.5O9 were
synthesized by hydrothermal (BFNO-H) and solid state methods (BFNO-S),
respectively. The BFNO-H shows a hierarchical morphology, which is stacked by
intersecting single-crystal nanosheets with {001} and {110} exposed facets, while
the BFNO-S shows disorganized micron-scale morphology. BFNO-H shows a much
stronger photodegradation activity (10.4 times and 9.8 times) than BFNO-S in the
visible-light photodegradation of rhodamine B (RhB) and salicylic acid. The
higher photodegradation activity of BFNO-H was firstly ascribed to the
hierarchical structure and the larger specific surface area (16.586 m2 g-1)
because a large specific surface area can increase reactive sites and shorten
photogenerated carrier migration distance. However, after being normalized by the
specific surface area, BFNO-H still performs better than BFNO-S, implying that
the specific surface area is not the only factor that determines the
photocatalytic activity. Considering that the built-in electric field originating
from spontaneous polarization in Bi3Fe0.5Nb1.5O9 has existed in both ab plane and
c direction, it matches well with the {001} and {110} exposed facets of BFNO-H
nanosheets. This appropriate matching in BFNO-H nanosheets may improve the
separation and transmission of photogenerated electron-hole pairs and further
enhance its photocatalytic activity. Moreover, the trapping experiments reveals
that holes (h +) are the main active species and hole-derived oxidation is the
main redox reaction during photodegradation of organic pollutions.
PMID- 29648550
TI - Continuous real-time breath analysis in ruminants: effect of eructation on
exhaled VOC profiles.
AB - BACKGROUND: The analysis of volatile organic compounds (VOCs) in breath allows
non-invasive investigations of diseases. Animal studies are conducted as a model
to perform research of VOCs and their relation to diseases. In large animal
models ruminants were often used as experimental targets. The effect of their
physiological eructation on VOC exhalation has not been examined yet and is the
objective of this study. METHODS: Continuous breath profiles of two young cattle,
four adult goats and four adult sheep were measured through a mask, covering
mouth and nose, in real-time (200 ms) by means of proton transfer reaction time
of flight mass spectrometry. Each animal was analysed twelve times for 3
consecutive minutes. RESULTS: Real-time monitoring yielded a distinction of
different episodes in the breath profiles of ruminants. An algorithm to separate
eructation episodes and alveolar breath was established. In the first exhalation
after eructation at least 19 VOC concentrations increased (up to 36-fold) and
went back to initial levels in subsequent exhalations in all investigated
ruminants. Decay of concentrations was substance specific. In goats, less VOCs
were affected by the eructation compared to cattle and sheep. Breath profiles
without exclusion of eructation episodes showed higher variations and median
values than profiles where eructation episodes were excluded. CONCLUSION: Real
time breath analysis of ruminants enables the discrimination and characterisation
of alveolar breath and eructation episodes. This leads to a better understanding
of variation in breath data and possible origins of VOCs: breath or digestion
related. To avoid impairment of breath gas results and to gain further
information on bacterial products from the rumen, eructation and alveolar breath
data should be analysed separately.
PMID- 29648551
TI - Size-dependent single electron transfer and semi-metal-to-insulator transitions
in molecular metal oxide electronics.
AB - All-inorganic self-arranged molecular transition metal oxide hyperstructures
based on polyoxometalate molecules (POMs) are fabricated and tested as
electronically tunable components in emerging electronic devices. POM
hyperstructures reveal great potential as charging nodes of tunable charging
level for molecular memories and as enhancers of interfacial electron/hole
injection for photovoltaic stacks. STM, UPS, UV-vis spectroscopy and AFM
measurements show that this functionality stems from the films' ability to
structurally tune their HOMO-LUMO levels and electron localization length at room
temperature. By adapting POM nanocluster size in solution, self-doping and
current modulation of four orders of magnitude is monitored on a single
nanocluster on SiO2 at voltages as low as 3 Volt. Structurally driven insulator
to-semi-metal transitions and size-dependent current regulation through single
electron tunneling are demonstrated and examined with respect to the
stereochemical and electronic structure of the molecular entities. This extends
the value of self-assembly as a tool for correlation length and electronic
properties tuning and demonstrate POM hyperstructures' plausibility for on-chip
molecular electronics operative at room temperature.
PMID- 29648552
TI - Role of Ni2+(d8) ions in electrical, optical and magnetic properties of CdS
nanowires for optoelectronic and spintronic applications.
AB - For the first time, 1D Ni ion doped CdS nanowires (NWs) were synthesized via
chemical vapour deposition (CVD). The synthesized Cd0.886Ni0.114S NWs were single
crystalline. We have reported here the investigation of optical, electrical and
magnetic properties of prepared NWs for optoelectronic and spintronic
applications. Successful incorporation of Ni ions in an individual CdS NW has
been confirmed through several characterization tools: significantly higher angle
and phonon mode shift were observed in the XRD and Raman spectra. SEM-EDX and XPS
analysis also confirmed the presence of Ni2+ ions. Room temperature
photoluminescence (RT-PL) showed multiple peaks: two emission peaks in the
visible region centered at 517.1 nm (green), 579.2 nm (orange), and a broad-band
near infra-red (NIR) emission centered at 759.9 nm. The first peak showed 5 nm
red shift upon Ni2+ doping, hinting at the formation of exciton magnetic polarons
(EMPs), and broad NIR emission was observed in both chlorides and bromides, which
was assigned to d-d transition of Ni ions whose energy levels lying at 749.51 nm
(13 342 cm-1) and 750.98 nm (13 316 cm-1) are very close to NIR emission. Orange
emission not only remained at same peak position-its PL intensity was also
significantly enhanced at 78 K; this was assigned to d-d transition (3A2g -> 1Eg)
of Ni2+ ions. It was observed that 11.4% Ni2+ ion doping enhanced the
conductivity of our sample around 20 times, and saturation magnetization (Ms)
increased from 7.2 * 10-5 Am2/Kg to 1.17 * 10-4 Am2/Kg, which shows promise for
optoelectronic and spintronic applications.
PMID- 29648554
TI - Discovery of quinazoline-2,4(1H,3H)-dione derivatives as novel PARP-1/2
inhibitors: design, synthesis and their antitumor activity.
AB - Novel quinazoline-2,4(1H,3H)-dione derivatives bearing a 3-amino pyrrolidine
moiety were designed and synthesized as PARP-1/2 inhibitors. Structure-activity
relationships were examined which revealed a number of potent PARP-1/2 inhibitors
with moderate selectivity toward PARP-1 over PARP-2. These compounds had IC50
values against PARP-1 at the 10-9 M level and against PARP-2 at the 10-8 M level.
Among all the synthesized compounds, compounds 10 and 11 displayed strong
cytotoxicities which are either used as a single agent or in combination with
temozolomide (TMZ) in MX-1 cells (10, IC50 < 3.12 MUM, PF50 > 10; 11, IC50 = 3.02
MUM, PF50 ~ 10). In vivo tumor growth inhibition was investigated using compound
11 in combination with TMZ, and it was demonstrated that compound 11 could
strongly potentiate the cytotoxicity of TMZ in a MX-1 xenograft tumor model. The
co-crystal structure of compound 11 complexed with PARP-1 was achieved and
demonstrated a unique binding mode.
PMID- 29648553
TI - Health-related quality of life in bladder cancer patients undergoing radical
cystectomy and urinary stoma: still many gaps.
PMID- 29648555
TI - Thermal conductivity of ice polymorphs: a computational study.
AB - Thermal transport in ice features an unusual response. In addition to its
intrinsic scientific interest, an understanding of the mechanisms determining the
thermal conductivity of ice might be relevant in climate modelling and planetary
science. Accurate microscopic models can provide important molecular insight into
these mechanisms. In this work, we quantify using molecular simulations and state
of the art forcefields, the thermal conductivity of ice Ih, VI, VII and a plastic
phase that has been proposed very recently at pressures in the GPa range. The
TIP4P models used in this study underestimate significantly the thermal
conductivity of ice Ih and ice VII, while they show good agreement with
experimental measurements of ice VI. The discrepancies observed are examined by
investigating the temperature dependence of the thermal conductivity. The
simulations indicate that the models are too anharmonic and they potentially
feature a higher structural disorder than the experimental systems. We suggest
that at high pressures the simulated thermal conductivities can be rationalized
in terms of the performance of the models in predicting the equation of state of
ice. The thermal conductivity of the plastic phase is very similar to that of the
coexisting ice VII. Since the water molecules in the plastic phase feature
orientational disorder, these results indicate that the hydrogen bond network
does not play a significant role in defining the thermal transport mechanisms of
ice at high pressures.
PMID- 29648556
TI - Selective formation of formamidines, carbodiimides and formimidates from
isocyanide complexes of Mn(i) mediated by Ag2O.
AB - The isocyanide ligands in complexes fac-[Mn(CNR)(bipy)(CO)3]+ are selectively
transformed into formamidines, carbodiimides and formimidates upon nucleophilic
addition of NH2Me or alkoxides and subsequent treatment with Ag2O, which promotes
tautomerization or oxidation processes of the former carbene ligands.
PMID- 29648557
TI - Ligand-mediated synthesis of compositionally related cesium lead halide CsPb2X5
nanowires with improved stability.
AB - Compositionally related cesium lead halide materials, such as CsPb2X5, have
attracted great interest due to their considerable optoelectronic/optical
properties as well as improved stability. Currently, CsPb2Br5 nanocrystals can be
well-designed by tuning the ligands or precursor ratio, whereas, CsPb2X5 (with Cl
or I-) nanocrystals can only be obtained by the anion exchange method. Herein,
we report a method to directly synthesize CsPb2X5 facilitated by thiol ligands.
The morphology of CsPb2X5 can be designed as a nanowire. Importantly, the
stability of directly synthesized CsPb2X5 nanowires is much improved when
compared with the stabilities of the materials obtained by the anion-exchange
method. We believe that this method will promote the application of 1D tetragonal
CsPb2X5 in optoelectronics, optics and other fields.
PMID- 29648558
TI - Photo-induced anticancer activity and singlet oxygen production of prodigiosenes.
AB - The photo-induced cytotoxicity of prodigiosenes is reported. One prodigiosene
represents a synthetic analogue of the natural product prodigiosin, and two are
conjugated to molecules that target the estrogen receptor (ER). A comparison of
incubation and irradiation frameworks for the three prodigiosenes is reported,
with activity against ER- and ER+ lines explored. Furthermore, the ability of the
three prodigiosenes to photosensitise the production of singlet oxygen is
demonstrated, shedding mechanistic light onto possible photodynamic therapeutic
effects of this class of tripyrroles.
PMID- 29648560
TI - Multifunctional hybrids with versatile types of nanoparticles via self-assembly
for complementary tumor therapy.
AB - Self-assembly is a promising method for the construction of multifunctional
nanohybrids for biomedical application. In this work, self-assembled
multifunctional nanohybrids with a controllable disassembly property have been
successfully fabricated. By modification with cyclodextrin (CD)-decorated
ethylenediamine-functionalized poly(glycidyl methacrylate) (PGED), CD groups and
polycations were conjugated onto Au nanorods (Au NRs) or Fe3O4 nanoparticles
(denoted as Au-PGED-CD or Fe3O4-PGED-CD), and different SiO2@Fe3O4-PGED (SFP) or
SiO2@Au-PGED (SAP) nanohybrids were readily fabricated by the host-guest
interaction between Au-PGED-CD or Fe3O4-PGED-CD and adamantyl (Ad)-functionalized
chiral silica NRs under mild conditions. The DNA condensation ability of the
polycation, the photothermal effects of Au NRs or Fe3O4 nanoparticles, as well as
the unique structure of chiral silica NRs were integrated into one nanohybrid.
Such nanohybrids have high gene transfection efficiency and low cytotoxicity. The
photothermal effects of the nanohybrids could be utilized for photothermal
therapy, and also could induce the disassembly of the nanohybrids, which is
beneficial for DNA release. The nanohybrids with good transfection performance
and excellent photothermal effects were further applied for multimodal therapy.
This work presents a flexible strategy for the fabrication of multifunctional
nanoplatforms with integration of the advantages of various types of
nanoparticles.
PMID- 29648559
TI - Significant effects of counteranions on the anticancer activity of iridium(iii)
complexes.
AB - The rational design of the ligands around transition metals has achieved success
in the development of anticancer complexes. In this contribution, a series of
organometallic half-sandwich iridium(iii) complexes with various corresponding
counteranions have been prepared and characterized. The size and coordination
ability of the counteranions exert a great influence on the chemical reactivity
and anticancer activity of these complexes. The influence of the counteranions on
the cell cycle, apoptosis, ROS and mitochondrial membrane potential is also
discussed. This work has shown for the first time that the modification of
counteranions can affect the anticancer activity of transition metal-based
complexes.
PMID- 29648561
TI - Highlights from the Faraday discussion on photoinduced processes in nucleic acids
and proteins.
PMID- 29648562
TI - Synthesis and crystal structure of solvent-free dodecahydro closo-dodecaborate of
nickel, NiB12H12.
AB - Nickel closo-dodecaborate NiB12H12 was prepared by mechanosynthesis (ball
milling) of mixtures of Na2B12H12 + NiCl2 followed by hydration and drying under
dynamic vacuum. The crystal structures of hydrated and anhydrous closo
dodecaborates were characterized by temperature dependent synchrotron radiation X
ray powder diffraction, ab initio calculations, thermal analysis and infrared
spectroscopy. Three different water containing complexes were found: a homoleptic
octahedral complex in Ni(H2O)6B12H12 crystallizing in two different deformation
variants of a complex centred closo-dodecaborate cube, and a heteroleptic
octahedral complex in Ni(H2O)4B12H12 containing four water molecules and two
hydrogens and centring also a deformed closo-dodecaborate cube. Anhydrous nickel
closo-dodecaborate was obtained by drying the hydrated sample under dynamic
vacuum. It crystallizes with bcc packing of B12H122- anions and Ni2+ is
disordered close to the triangular face of the tetrahedral interstice coordinated
by a H5 square pyramid.
PMID- 29648563
TI - Trisubstituted olefin synthesis via Ni-catalyzed hydroalkylation of internal
alkynes with non-activated alkyl halides.
AB - The stereoselective synthesis of tri-substituted alkenes is challenging. Herein,
we report a Ni-catalyzed regio- and stereo-selective hydroalkylation of internal
alkynes with non-activated alkyl halides. This method does not use any sensitive
organometallic reagents and shows good functional group compatibility, which
enables the efficient synthesis of many tri-substituted olefins from readily
available coupling partners. It also provides a straightforward method for the
modification of bioactive organic molecules.
PMID- 29648564
TI - Site-selective reversible Diels-Alder reaction between a biphenylene-based
polyarene and a semiconductor surface.
AB - Understanding the mechanisms involved in the covalent attachment of organic
molecules to surfaces is a major challenge for nanotechnology and surface
science. On the basis of classical organic chemistry mechanistic considerations,
key issues such as selectivity and reactivity of the organic adsorbates could be
rationalized and exploited for the design of molecular-scale circuits and
devices. Here we use tris(benzocyclobutadieno)triphenylene, a singular Y-shaped
hydrocarbon containing antiaromatic cyclobutadienoid rings, as a molecular probe
to study the reaction of polycyclic conjugated molecules with atomic scale
moieties, dangling-bond (DB) dimers on a hydrogen-passivated Ge(001):H surface.
By combining molecular design, synthesis, scanning tunneling microscopy and
spectroscopy (STM/STS) and computational modeling, we show that the attachment
involves a concerted [4+2] cycloaddition reaction that is completely site
selective and fully reversible. This selectivity, governed by the bond
alternation induced by the presence of the cyclobutadienoid rings, allows for the
control of the orientation of the molecules with respect to the surface DB
patterning. We also demonstrate that by judicious modification of the electronic
levels of the polycyclic benzenoid through substituents, the reaction barrier
height can be modified. Finally, we show that after deliberate tip-induced
covalent bond cleavage, adsorbed molecules can be used to fine tune the
electronic states of the DB dimer. This power to engineer deliberately the
bonding configuration and electronic properties opens new perspectives for
creating prototypical nanoscale circuitry.
PMID- 29648565
TI - The influence of pseudohalide ligands on the SIM behaviour of four-coordinate
benzylimidazole-containing cobalt(ii) complexes.
AB - Three, mononuclear complexes of the formula [Co(bmim)2(SCN)2] (1),
[Co(bmim)2(NCO)2] (2) and [Co(bmim)2(N3)2] (3) [bmim = 1-benzyl-2
methylimidazole] were prepared and structurally analyzed by single-crystal X-ray
crystallography. The cobalt(ii) ions in 1-3 are tetrahedrally coordinated with
two bmim molecules and two pseudohalide anions. The angular distortion parameter
delta was calculated and the SHAPE program (based on the CShM concept) was used
for 1-3 to estimate the angular distortion from an ideal tetrahedron. The
molecules of 1-3 are effectively separated, and the values of the shortest
distance of cobalt-cobalt are 8.442(6) and 6.774(8) A for 1, 10.349(8) and
10.716(8) A for 2 and 6.778(1) and 9.232(1) A for 3. Direct current (dc) magnetic
susceptibility measurements on the crushed crystals of 1-3 were carried out in
the temperature range 1.9-295 K. The variable-temperature magnetic data of 1-3
mainly obey the zero-field splitting effect (D) of the 4A2 ground term of the
tetrahedral cobalt(ii) complexes (2D being the energy gap between the |+/-1/2 and
|+/-3/2 levels of the spin). The analysis of their magnetic data through the
Hamiltonian H = D[S2z - S(S + 1)/3] + E(Sx2 - Sy2) + gbetaHS led to the following
best-fit parameters: g = 2.29, D = -7.5 cm-1 and E/D = 0.106 (1), g = 2.28, D = +
6.3 cm-1 and E/D = 0.007 (2) and g = 2.36, D = + 6.7 cm-1 and E/D = 0.090 (3).
The signs of D for 1-3 were confirmed by Q-band EPR spectra on powdered samples
in the temperature range 4.0-20 K. Field-induced SIM behaviour was observed for 1
3 below 4.0 K, and the frequency-dependent maxima of chi''M were observed for 1
and only incipient signals of chi''M occurred for 2 and 3. The values of the
exponential factor (tau0) and activation energy (Ea) for 1-3 which were obtained
from the Arrhenius plot suggest a single relaxation process characteristic of an
Orbach mechanism.
PMID- 29648566
TI - SERS-based lateral flow assay for quantitative detection of C-reactive protein as
an early bio-indicator of a radiation-induced inflammatory response in nonhuman
primates.
AB - In accidental irradiation situations, rapid in-field evaluation of acute
radiation syndrome is critical for effective triage and timely medical treatment
of irradiated individuals. A surface-enhanced Raman scattering (SERS)-based
lateral flow assay was developed for the quantitative detection of C-reactive
protein (CRP) as an early bio-indicator of a radiation-induced inflammatory
response in nonhuman primates. Raman reporter-embedded gold-core silver-shell
nanoparticles with built-in hot spots were synthesized and conjugated with a CRP
detection antibody to serve as SERS tags in the lateral flow assay. The proposed
SERS-based lateral flow assay can rapidly detect CRP with a limit of detection of
0.01 ng mL-1 and quantitative analysis ability. Furthermore, the assay was
applied to evaluate the CRP levels in plasma samples of irradiated nonhuman
primates at 0 to 80 h after exposure to sublethal (4 Gy) and lethal (8 Gy) doses
of total body irradiation (n = 3 animals per group). The plasma CRP levels
increase rapidly within few hours after irradiation. The CRP level peaks are
observed at 12 or 24 h after irradiation, with a concentration of 201.30, 386.06
and 475.18 MUg mL-1 for the 4 Gy irradiated animals and 197.14, 69.52 and 358.03
MUg mL-1 for the 8 Gy irradiated animals. The results indicate the potential
application of the proposed SERS-based lateral flow assay to serve as a rapid and
accurate point-of-care biodosimetry assay for the quantitative detection of bio
indicators to triage irradiated individuals in the field of a radiation accident.
PMID- 29648567
TI - Salt-Sensitivity of Blood Pressure: Is It Time to Customize the Antihypertensive
Therapy?
PMID- 29648568
TI - A Novel High-Resolution Magnetic Resonance Imaging Protocol Detects Aldosterone
Producing Adenomas in Patients With Negative Computed Tomography.
PMID- 29648569
TI - The Childhood Role in Development of Primary Hypertension.
AB - Primary hypertension is not just an adult disorder. Current US population data on
children and adolescents demonstrate a prevalence of elevated blood pressure (BP)
and hypertension combined of over 10%. Recent reports from prospective cohort
studies describe an association of high BP in childhood with hypertension in
young adulthood. Excess adiposity is strongly associated with higher BP in
childhood and increases risk for hypertension in adulthood. In addition to
overweight/obesity, other exposures that raise the risk for high BP include low
birthweight, dietary sodium, and stress. Using intermediate markers of
cardiovascular injury, studies on hypertensive children report findings of
cardiac hypertrophy, vascular stiffness, and early atherosclerotic changes.
Impaired cognitive function has also been demonstrated in hypertensive children.
Recent advances in clinical and translational research support the concept that
the evolution of primary hypertension begins in childhood.
PMID- 29648570
TI - RET-fusions: a novel paradigm in colorectal cancer.
PMID- 29648571
TI - Engaging Fathers to Increase Physical Activity in Girls: The "Dads And Daughters
Exercising and Empowered" (DADEE) Randomized Controlled Trial.
AB - Background: Existing strategies to increase girls' physical activity levels have
seen limited success. Fathers may influence their children's physical activity,
but often spend more time with their sons and rarely participate in family-based
programs. Purpose: To test a novel program designed to increase the physical
activity levels of fathers and their daughters. Methods: In a two-arm RCT, 115
fathers (29-53 years) and 153 daughters (4-12 years) were randomized to (i) the
"Dads And Daughters Exercising and Empowered" (DADEE) program, or (ii) a wait
list control. The 8-week program included weekly educational and practical
sessions plus home tasks. Assessments were at baseline, 2 months
(postintervention), and 9 months. The primary outcomes were father-daughter
physical activity levels (pedometry). Secondary outcomes included screen-time,
daughters' fundamental movement skill proficiency (FMS: perceived and objective),
and fathers' physical activity parenting practices. Results: Primary outcome data
were obtained from 88% of daughters and 90% of fathers at 9 months. Intention-to
treat analyses revealed favorable group-by-time effects for physical activity in
daughters (p = .02, d = 0.4) and fathers (p < .001, d = 0.7) at postintervention,
which were maintained at 9 months. At postintervention and follow-up, significant
effects (p < .05) were also identified for daughters' FMS competence (objective:
d = 1.1-1.2; perceived: d = 0.4-0.6), a range of fathers' physical activity
parenting practices (d = 0.3-0.8), and screen-time for daughters (d = 0.5-0.8)
and fathers (d = 0.4-0.6, postintervention only). Program satisfaction and
attendance were very high. Conclusions: This study provided the first
experimental evidence that efforts to increase physical activity behavior in
preadolescent girls would benefit from a meaningful engagement of fathers.
Clinical Trial information: Australian New Zealand Clinical Trials Registry:
ACTRN12615000022561.
PMID- 29648572
TI - When is crossover desirable in cancer drug trials and when is it problematic?
PMID- 29648573
TI - A comprehensive web tool for toehold switch design.
AB - Motivation: Toehold switches are a class of RNAs with a hairpin loop that can be
unfolded upon binding a trigger RNA, thereby exposing a ribosome binding site
(RBS) and permitting translation of the reporter protein. They have been shown
very useful in detecting a variety of targets including RNAs from Zika and Ebola
viruses. The base complementation between the toehold switch and the trigger RNA
also makes it sensitive to sequence variations. Design of toehold switches
involves a series of considerations related to their sequence properties,
structures and specificities. Results: Here we present the first comprehensive
web tool for designing toehold switches. We also propose a score for predicting
the efficacy of designed toehold switches based on properties learned from ~180
experimentally tested switches. Availability and implementation: The toehold
switch web tool is available at https://yiplab.cse.cuhk.edu.hk/toehold/.
PMID- 29648574
TI - Association of Frailty with Recovery from Disability among Community-Dwelling
Older Adults: Results from Two Large U.S. Cohorts.
AB - Background: Disability in activities of daily living (ADLs) is a dynamic process
and transitions among different disability states are common. However, little is
known about factors affecting recovery from disability. We examined the
association between frailty and recovery from disability among non-disabled
community-dwelling elders. Methods: We studied 1023 adults from the
Cardiovascular Health Study (CHS) and 685 adults from the Health and Retirement
Study (HRS), who were >=65 years and had incident disability, defined as having
difficulty in >=1 ADL (dressing, eating, toileting, bathing, transferring,
walking across a room). Disability recovery was defined as having no difficulty
in any ADLs. Frailty was assessed by slowness, weakness, exhaustion, inactivity,
and shrinking. Persons were classified as "non-frail" (0 criteria), "prefrail" (1
2 criteria), or "frail" (3-5 criteria). Results: In total, 539 (52.7%) CHS
participants recovered from disability within one year. Almost two-thirds of non
frail persons recovered, while less than two-fifths of the frail recovered. In
the HRS, 234 (34.2%) participants recovered from disability within two years.
Approximately half of the non-frail recovered, while less than one-fifth of the
frail recovered. After adjustment, prefrail and frail CHS participants were 16%
and 36% less likely to recover than the non-frail, respectively. In the HRS,
frail persons had a 41% lower likelihood of recovery than the non-frail.
Conclusions: Frailty is an independent predictor of poor recovery from disability
among non-disabled older adults. These findings validate frailty as a marker of
decreased resilience and may offer opportunities for individualized interventions
and geriatric care based on frailty assessment.
PMID- 29648575
TI - Early evolutionary divergence between papillary and anaplastic thyroid cancers.
AB - Background: Papillary thyroid cancer (PTC) is the most common thyroid carcinoma
and exhibits an almost uniformly good prognosis, while anaplastic thyroid cancer
(ATC) is less frequent and is one of the most aggressive cancers usually
resistant to conventional treatment. Current hypothesis posits that ATC derives
from PTC through the progressive acquisition of a discrete number of genomic
alterations and implies that the mutational landscape of ATC resembles that of
PTC. However, the clinical behaviour of ATC and PTC is radically different. We
decided to address the disconnection between the clinical behaviour of ATC and
PTC and the proposed model of the progressive development of ATC from PTC.
Patients and methods: We carried out exome sequencing of DNA from 14 ATC
specimens including three cases of concomitant ATC and PTC as well as their
corresponding normal DNA from 14 patients. The sequencing results were validated
using droplet digital PCR. We carried out immunohistochemistry and
immunofluorescence studies of the concomitant ATC and PTC cases. In addition, we
integrated our sequencing results with the existing TCGA data. Results: Most of
the somatic mutations identified in the ATC component differed from the ones in
PTC in the cases of concomitant ATC and PTC. The trunks of the phylogenetic trees
representing the somatic mutations were short with long branches. In one case of
concomitant PTC and ATC specimens, we observed an infiltration of PTC cells
within the ATC component. Moreover, we integrated our results with data obtained
from TCGA and observed that the most frequent mutations found in ATC presented
high cancer cell fraction values and were significantly different from the PTC
ones. Conclusion: ATC diverge from PTC early in tumour development and both
tumour types evolve independently. Our work allows the understanding of the
relationship between ATC and PTC facilitating the clinical management of these
malignancies.
PMID- 29648577
TI - Purchasing silence.
PMID- 29648576
TI - Life-space mobility in Parkinson's disease: Associations with motor and non-motor
symptoms.
AB - Background: To describe life-space mobility and explore associations of motor and
non-motor symptoms with life-space mobility in people with Parkinson's disease
(PD). Methods: 164 community-dwelling persons with PD (mean age 71.6 years, 64.6%
men) received a postal survey and a subsequent home visit. Motor assessments
included perceived walking difficulties (Walk-12G), mobility (Timed Up and Go
test), motor symptoms (UPDRS-III) and freezing of gait (item 3, FOG-Qsa). Non
motor symptoms included depressive symptoms (GDS-15), pain, fatigue (NHP-EN) and
global cognition (MoCA). Life-space mobility was assessed with the life-space
assessment (LSA). Calculations included composite score (range 0-120; higher
indicating better life-space mobility), independent life-space (range 0-5),
assisted life-space (range 0-5), and maximal life-space (range 0-5). Associations
were analyzed with linear regression models, adjusted for age, sex, and PD
severity (Hoehn and Yahr). Results: Mean life-space mobility score was 72.3 (SD
28.8). Almost all participants (90 %) reached the highest life-space level
(beyond town). Half of these reached this level independently, while one-third
were unable to move outside their bedroom without assistive devices or personal
help. When adjusted for confounders, depressive symptoms, pain, and perceived
walking difficulties was negatively associated with life-space mobility. In the
multivariable model, only perceived walking difficulties was associated with life
space mobility. Conclusions: Our findings indicate that perceived walking
difficulties should be targeted to maintain or improve life-space mobility in
people with PD. Depressive symptoms and pain may also merit consideration. More
research is needed to elucidate the role of environmental and personal factors
for life-space mobility in PD.
PMID- 29648578
TI - Gastric Adenocarcinoma of the Fundic Gland Type: Update and Literature Review.
AB - Objectives: Gastric adenocarcinoma of the fundic gland type (GA-FG) is a newly
described entity with a lack of awareness amongst general surgical pathologists
and this review highlights the key features and controversies associated with
this uncommon neoplasm. Methods: A literature search through PubMed using
synonyms for GA-FG was conducted to obtain 111 cases. Results: GA-FG is a well
differentiated neoplasm of oxyntic mucosa, that is comprised of chief cells and
parietal cells. Chief cell differentiation is highlighted with Muc-6, RUNX3, and
pepsinogen. Parietal cells are highlighted with H+/K+ ATPase and PDGFRA-alpha.
Association with Helicobacter infection, chronic gastritis, intestinal
metaplasia, or gastric atrophy is not seen. Most GA-FGs are confined to the
mucosa. Deeper invasion, lymphovascular invasion, nodal metastasis, and
extragastric spread are uncommon. Conclusions: GA-FGs are rare lesions that
typically follow a benign course. However, despite features of malignancy in some
cases, complete surgical excision, sometimes with endoscopic mucosal resection,
seems adequate treatment.
PMID- 29648579
TI - Capn4 contributes to tumor invasion and metastasis in clear cell renal cell
carcinoma cells via modulating talin-focal adhesion kinase signaling pathway.
AB - Calpain small subunit 1 (Capn4) has been shown to correlate with the
metastasis/invasion of clear cell renal cell carcinoma (ccRCC). This study aimed
to further elucidate the molecular mechanisms underlying Capn4-mediated ccRCC
progression. The mRNA expression levels in ccRCC cells were measured by
quantitative real-time PCR. The effects of Capn4 on cell adhesion, invasion, and
migration were examined by cell adhesion assay, cell invasion assay, and wound
healing assay, respectively. The protein levels were detected by western blot
analysis. The effect of Capn4 on cancer metastasis in vivo was assessed in a nude
mice xenograft model. It was found that Capn4 was up-regulated in the ccRCC
cells, and Capn4 overexpression suppressed cell adhesion activity and increased
cell invasion and migration in 786-O cells, while Capn4 silencing increased cell
adhesion activity and impaired the invasion and migration ability of Caki-1
cells. Capn4 overexpression also increased the protein level of cleaved talin in
786-O cells, while Capn4 silencing decreased the protein level of cleaved talin
in Caki-1 cells. The focal adhesion kinase (FAK)/AKT/MAPK signaling was activated
by Capn4 overexpression in 786-O cells, and was inhibited by Capn4 down
regulation in Caki-1 cells. Capn4 overexpression increased the protein levels of
matrix metalloproteinase 2 (MMP-2), vimentin, N-cadherin, and down-regulated E
cadherin in 786-O cells, while Capn4 silencing decreased the protein levels of
MMP-2, vimentin, N-cadherin, and up-regulated E-cadherin in Caki-1 cells. Capn4
also promoted cancer metastasis in the in vivo nude mice xenograft model. Our
results implicate the functional role of Capn4 in ccRCC invasion and migration,
which may contribute to cancer metastasis in ccRCC.
PMID- 29648580
TI - Bevacizumab plus hypofractionated radiotherapy versus radiotherapy alone in
elderly patients with glioblastoma: the randomized, open-label, phase II ARTE
trial.
AB - Background: The addition of bevacizumab to temozolomide-based chemoradiotherapy
(TMZ/RT -> TMZ) did not prolong overall survival (OS) in patients with newly
diagnosed glioblastoma in phase III trials. Elderly and frail patients are
underrepresented in clinical trials, but early reports suggested preferential
benefit in this population. Patients and methods: ARTE was a 2 : 1 randomized,
multi-center, open-label, non-comparative phase II trial of hypofractionated RT
(40 Gy in 15 fractions) with bevacizumab (10 mg/kg*14 days) (arm A, N = 50) or
without bevacizumab (arm B, N = 25) in patients with newly diagnosed glioblastoma
aged >=65 years. The primary objective was to obtain evidence for prolongation of
median OS by the addition of bevacizumab to RT. Response was assessed by RANO
criteria. Quality of life (QoL) was monitored by the EORTC QLQ-C30/BN20 modules.
Exploratory studies included molecular subtyping by 450k whole methylome and gene
expression analyses. Results: Median PFS was longer in arm A than in arm B (7.6
and 4.8 months, P = 0.003), but OS was similar (12.1 and 12.2 months, P = 0.77).
Clinical deterioration was delayed and more patients came off steroids in arm A.
Prolonged PFS in arm A was confined to tumors with the receptor tyrosine kinase
(RTK) I methylation subtype (HR 0.25, P = 0.014) and proneural gene expression
(HR 0.29, P = 0.025). In a Cox model of OS controlling for established prognostic
factors, associations with more favorable outcome were identified for age <70
years (HR 0.52, P = 0.018) and Karnofsky performance score 90%-100% (HR 0.51, P =
0.026). Including molecular subtypes into that model identified an association of
the RTK II gene methylation subtype with inferior OS (HR 1.73, P = 0.076).
Conclusion: Efficacy outcomes and exploratory analyses of ARTE do not support the
hypothesis that the addition of bevacizumab to RT generally prolongs survival in
elderly glioblastoma patients. Molecular biomarkers may identify patients with
preferential benefit from bevacizumab. Clinical trial registration number:
NCT01443676.
PMID- 29648582
TI - Inference of the human polyadenylation code.
AB - Motivation: Processing of transcripts at the 3'-end involves cleavage at a
polyadenylation site followed by the addition of a poly(A)-tail. By selecting
which site is cleaved, the process of alternative polyadenylation enables genes
to produce transcript isoforms with different 3'-ends. To facilitate the
identification and treatment of disease-causing mutations that affect
polyadenylation and to understand the sequence determinants underlying this
regulatory process, a computational model that can accurately predict
polyadenylation patterns from genomic features is desirable. Results: Previous
works have focused on identifying candidate polyadenylation sites and classifying
tissue-specific sites. By training on how multiple sites in genes are
competitively selected for polyadenylation from 3'-end sequencing data, we
developed a deep learning model that can predict the tissue-specific strength of
a polyadenylation site in the 3' untranslated region of the human genome given
only its genomic sequence. We demonstrate the model's broad utility on multiple
tasks, without any application-specific training. The model can be used to
predict which polyadenylation site is more likely to be selected in genes with
multiple sites. It can be used to scan the 3' untranslated region to find
candidate polyadenylation sites. It can be used to classify the pathogenicity of
variants near annotated polyadenylation sites in ClinVar. It can also be used to
anticipate the effect of antisense oligonucleotide experiments to redirect
polyadenylation. We provide analysis on how different features affect the model's
predictive performance and a method to identify sensitive regions of the genome
at the single-based resolution that can affect polyadenylation regulation.
Supplementary information: Supplementary data are available at Bioinformatics
online.
PMID- 29648581
TI - Multiple sclerosis lesions affect intrinsic functional connectivity of the spinal
cord.
AB - Patients with multiple sclerosis present with focal lesions throughout the spinal
cord. There is a clinical need for non-invasive measurements of spinal cord
activity and functional organization in multiple sclerosis, given the cord's
critical role in the disease. Recent reports of spontaneous blood oxygenation
level-dependent fluctuations in the spinal cord using functional MRI suggest
that, like the brain, cord activity at rest is organized into distinct,
synchronized functional networks among grey matter regions, likely related to
motor and sensory systems. Previous studies looking at stimulus-evoked activity
in the spinal cord of patients with multiple sclerosis have demonstrated
increased levels of activation as well as a more bilateral distribution of
activity compared to controls. Functional connectivity studies of brain networks
in multiple sclerosis have revealed widespread alterations, which may take on a
dynamic trajectory over the course of the disease, with compensatory increases in
connectivity followed by decreases associated with structural damage. We build
upon this literature by examining functional connectivity in the spinal cord of
patients with multiple sclerosis. Using ultra-high field 7 T imaging along with
processing strategies for robust spinal cord functional MRI and lesion
identification, the present study assessed functional connectivity within
cervical cord grey matter of patients with relapsing-remitting multiple sclerosis
(n = 22) compared to a large sample of healthy controls (n = 56). Patient
anatomical images were rated for lesions by three independent raters, with
consensus ratings revealing 19 of 22 patients presented with lesions somewhere in
the imaged volume. Linear mixed models were used to assess effects of lesion
location on functional connectivity. Analysis in control subjects demonstrated a
robust pattern of connectivity among ventral grey matter regions as well as a
distinct network among dorsal regions. A gender effect was also observed in
controls whereby females demonstrated higher ventral network connectivity.
Wilcoxon rank-sum tests detected no differences in average connectivity or power
of low frequency fluctuations in patients compared to controls. The presence of
lesions was, however, associated with local alterations in connectivity with
differential effects depending on columnar location. The patient results suggest
that spinal cord functional networks are generally intact in relapsing-remitting
multiple sclerosis but that lesions are associated with focal abnormalities in
intrinsic connectivity. These findings are discussed in light of the current
literature on spinal cord functional MRI and the potential neurological
underpinnings.
PMID- 29648584
TI - The American College of Cardiology 2018; a Starbuckian ODYSSEY and a Black
Barbershop.
PMID- 29648583
TI - LipidPedia: a comprehensive lipid knowledgebase.
AB - Motivation: Lipids are divided into fatty acyls, glycerolipids,
glycerophospholipids, sphingolipids, saccharolipids, sterols, prenol lipids and
polyketides. Fatty acyls and glycerolipids are commonly used as energy storage,
whereas glycerophospholipids, sphingolipids, sterols and saccharolipids are
common used as components of cell membranes. Lipids in fatty acyls,
glycerophospholipids, sphingolipids and sterols classes play important roles in
signaling. Although more than 36 million lipids can be identified or
computationally generated, no single lipid database provides comprehensive
information on lipids. Furthermore, the complex systematic or common names of
lipids make the discovery of related information challenging. Results: Here, we
present LipidPedia, a comprehensive lipid knowledgebase. The content of this
database is derived from integrating annotation data with full-text mining of
3923 lipids and more than 400 000 annotations of associated diseases, pathways,
functions and locations that are essential for interpreting lipid functions and
mechanisms from over 1 400 000 scientific publications. Each lipid in LipidPedia
also has its own entry containing a text summary curated from the most frequently
cited diseases, pathways, genes, locations, functions, lipids and experimental
models in the biomedical literature. LipidPedia aims to provide an overall
synopsis of lipids to summarize lipid annotations and provide a detailed listing
of references for understanding complex lipid functions and mechanisms.
Availability and implementation: LipidPedia is available at
http://lipidpedia.cmdm.tw. Supplementary information: Supplementary data are
available at Bioinformatics online.
PMID- 29648585
TI - Interspecies conflict affects RNA expression.
AB - Predation is an extreme form of competition between bacteria, involving the
secretion of antimicrobial substances by predators, often packaged within outer
membrane vesicles (OMVs). Recent studies into the Myxococcus xanthus/Escherichia
coli predator/prey relationship have illuminated transcriptional changes during
predation, identifying likely targets of predatory attack in the prey and
nutrient assimilation strategies of the predator. Abundant non-coding RNAs can be
observed in the predator and prey transcriptomes, with evidence of predation
dependent regulation of RNA levels. Given the observed secretion of regulatory
RNAs within OMVs by bacteria, it will next be exciting to test whether the
intercellular trafficking of regulatory RNAs is employed by predator and/or prey
in their survival struggles.
PMID- 29648587
TI - Characterisation of the ruminal fermentation and microbiome in lambs supplemented
with hydrolysable and condensed tannins.
AB - This study characterised the response of ruminal fermentation and the rumen
microbiome in lambs fed commercial vegetal sources of hydrolysable tannins (HT)
and condensed tannins (CT). Forty-four lambs (19.56 +/- 2.06 kg) were randomly
assigned to either a concentrate diet (CON, n = 8) or CON supplemented with 4% of
two HT [chestnut (Castanea sativa, HT-c) and tara (Caesalpinia spinosa, HT-t)]
and CT [mimosa (Acacia negra, CT-m) and gambier (Uncaria gambir, CT-g)] extracts
(all, n = 9) for 75 days pre-slaughter. Tannin supplementation did not influence
ruminal fermentation traits. Quantitative PCR demonstrated that tannins did not
affect the absolute abundance of ruminal bacteria or fungi. However, CT-m (
12.8%) and CT-g (-11.5%) significantly reduced the abundance of methanogens,
while HT-t (-20.7%) and CT-g (-20.8%) inhibited protozoal abundance. Ribosomal
amplicon sequencing revealed that tannins caused changes in the phylogenetic
structure of the bacterial and methanogen communities. Tannins inhibited the
fibrolytic bacterium, Fibrobacter and tended to suppress the methanogen genus,
Methanosphaera. Results demonstrated that both HT and CT sources could impact the
ruminal microbiome when supplemented at 4% inclusion level. HT-t, CT-m and CT-g
extracts displayed specific antimicrobial activity against methanogens and
protozoa without compromising ruminal fermentation in a long-term feeding trial.
PMID- 29648586
TI - Direct loop-mediated isothermal amplification assay for on-site detection of
Staphylococcus aureus.
AB - Staphylococcus aureus (S. aureus) is a major human pathogen that may produce a
variety of toxins and cause staphylococcal food poisoning. In the present study,
a direct loop-mediated isothermal amplification (LAMP) assay was developed and
validated to detect S. aureus in food samples. Without prior cultural enrichment
and DNA extraction steps, bacterial DNA was released by heating at 100 degrees C
for 5 min and directly subjected to LAMP assay. Using a set of LAMP primers
recognizing six distinct regions of nuc gene, the developed direct LAMP assay was
highly specific, and the analytical sensitivity was determined to be 7.6 * 102
CFU/mL. Moreover, with the pre-mixed LAMP reagents stored at -20 degrees C, the
entire assay should be finished within 40 min. These features greatly simplified
the operating procedure and made the direct LAMP a powerful tool for rapid and on
site detection of S. aureus in food samples.
PMID- 29648588
TI - Influence of three-dimensional lung epithelial cells and interspecies
interactions on antibiotic efficacy against Mycobacterium abscessus and
Pseudomonas aeruginosa.
AB - Mycobacterium abscessus lung infection is a major health problem for cystic
fibrosis (CF) patients. Understanding the in vivo factors that influence the
outcome of therapy may help addressing the poor correlation between in vitro and
in vivo antibiotic efficacy. We evaluated the influence of interspecies
interactions and lung epithelial cells on antibiotic efficacy. Therefore, single
and dual-species biofilms of M. abscessus and a major CF pathogen (Pseudomonas
aeruginosa) were cultured on a plastic surface or on in vivo-like three
dimensional (3-D) lung epithelial cells, and the activity of antibiotics
(colistin, amikacin, clarithromycin, ceftazidime) in inhibiting biofilm formation
was evaluated. Using the most physiologically relevant model (dual-species
biofilms on 3-D cells), we observed that treatment with antibiotics during
biofilm development inhibited P. aeruginosa but not M. abscessus biofilms,
resulting in a competitive advantage for the latter. Clarithromycin efficacy
against P. aeruginosa was inhibited by 3-D lung cells. In addition, biofilm
induction of M. abscessus was observed by certain antibiotics on plastic but not
on 3-D cells. Pseudomonas aeruginosa influenced the efficacy of certain
antibiotics against M. abscessus, but not vice versa. In conclusion, these
results suggest a role of host cells and interspecies interactions in bacterial
responses to antimicrobials.
PMID- 29648589
TI - Analysis of the 3H8 antigen of Candida albicans reveals new aspects of the
organization of fungal cell wall proteins.
AB - The walls of both, yeast and mycelial cells of Candida albicans possess a species
specific antigen that is recognized by a monoclonal antibody (MAb 3H8). This
antigen can be extracted in the form of a very high Mr complex, close or over 106
Da, by treatment, with beta-1,3-glucanase, beta mercaptoethanol or dithothreitol,
or mild alkali, but not by saturated hydrogen fluoride (HF) in pyridine,
suggesting that the complex is bound to wall beta-1,3 glucans, and to proteins by
disulfide bonds, but not to beta-1,6 glucans. Through its sensitivity to trypsin
and different deglycosylation procedures, it was concluded that the epitope is
associated to a glycoprotein containing N-glycosidic, but not O-glycosidic mannan
moieties. By means of electrophoresis in polycrylamide gradient gels, followed by
mass spectrometric analysis, the epitope was pinpointed to a very high MW complex
containing Agglutinin-Like Sequence (ALS) family proteins, and other cytoplasmic,
membrane and secreted proteins. The components of this complex are bound by
unknown covalent bonds. The material extracted with beta mercaptoethanol or
dilute alkali appeared under the electron microscope as large aggregates in the
form of spheroidal and mostly web-like structures of large sizes. These, and
additional data, suggest that this protein complex may constitute an important
part of the basic glycoprotein structure of C. albicans. The possibility that
similar complexes exist in the wall of other fungi is an attractive, although yet
untested possibility.
PMID- 29648590
TI - Sequence modification of the master regulator Pdr1 interferes with its
transcriptional autoregulation and confers altered azole resistance in Candida
glabrata.
AB - The transcriptional regulator Pdr1 plays a positive role in regulating azole drug
resistance in Candida glabrata. Previous studies have shown the importance of the
carboxyl (C)-terminal sequence of Pdr1 in fulfilling its function, as this region
mediates interactions between Pdr1 and the co-activator Gal11A and is crucial for
activation of Pdr1 targets. However, mechanisms of how Pdr1 is regulated,
especially implication of its C-terminus in the regulatory activity, remain
uncharacterized. In this study, we unexpectedly observed that the C-terminal
modification of Pdr1 in an azole-resistant clinical isolate harboring a single
GOF mutation, resulted in adverse effects such as decreased expression levels of
Pdr1, downregulation of Pdr1 targets and azole hypersensitivity. Importantly, the
C-terminal 3 * FLAG tagging significantly decreased the binding of Pdr1 to the
pleiotropic drug response elements in its own promoter, promoted an irregular
cellular mislocalization and thereby disrupted the transcriptional autoregulation
of this master regulator. Unexpectedly, the aberrant cytoplasmic localization
caused a non-functional interaction with Gal11A, a co-activator involved in drug
resistance. Based on these findings, we proposed that C-terminal sequence of Pdr1
is vital for its stability and functionality, and targeting regulation of this
region may represent a promising future strategy for combating C. glabrata
infection and drug resistance.
PMID- 29648591
TI - Analysis of the effect of temperature on protein abundance in Demodex-associated
Bacillus oleronius.
AB - A potential role for bacteria in the induction of rosacea has been suggested. The
aim of this work was to characterise the effect of temperature on the production
of immunostimulatory proteins by Bacillus oleronius-a bacterium to which rosacea
patients show sera reactivity and which was originally isolated from a Demodex
mite from a rosacea patient. The affected skin of rosacea patients is at a higher
temperature than unaffected skin, and it was postulated that this might alter the
protein expression pattern of B. oleronius. B. oleronius growth was reduced at 37
degrees C compared to 30 degrees C but resulted in increased expression of the
immune-reactive 62kDa protein (1.65 fold [P < 0.05]). Proteomic analysis revealed
increased abundance of a wide range of proteins involved in the stress response
(e.g. stress proteins [21.7-fold increase], phosphocarrier protein HPr [438.5
fold increase], 60 kDa chaperonin [12.6-fold increase]). Proteins decreased in
abundance after growth at 37 degrees C included ferredoxin (325-fold decrease)
and peptidase (244-fold decrease). This work indicates that the increased skin
temperature of rosacea patients may alter the growth and protein production
pattern of B. oleronius and lead to the greater production of immuo-stimulatory
proteins.
PMID- 29648592
TI - Yeast 2.0-connecting the dots in the construction of the world's first functional
synthetic eukaryotic genome.
AB - Historians of the future may well describe 2018 as the year that the world's
first functional synthetic eukaryotic genome became a reality. Without the
benefit of hindsight, it might be hard to completely grasp the long-term
significance of a breakthrough moment in the history of science like this. The
role of synthetic biology in the imminent birth of a budding Saccharomyces
cerevisiae yeast cell carrying 16 man-made chromosomes causes the world of
science to teeter on the threshold of a future-defining scientific frontier. The
genome-engineering tools and technologies currently being developed to produce
the ultimate yeast genome will irreversibly connect the dots between our improved
understanding of the fundamentals of a complex cell containing its DNA in a
specialised nucleus and the application of bioengineered eukaryotes designed for
advanced biomanufacturing of beneficial products. By joining up the dots between
the findings and learnings from the international Synthetic Yeast Genome project
(known as the Yeast 2.0 or Sc2.0 project) and concurrent advancements in
biodesign tools and smart data-intensive technologies, a future world powered by
a thriving bioeconomy seems realistic. This global project demonstrates how a
collaborative network of dot connectors-driven by a tinkerer's indomitable
curiosity to understand how things work inside a eukaryotic cell-are using
cutting-edge biodesign concepts and synthetic biology tools to advance science
and to positively frame human futures (i.e. improved quality of life) in a
planetary context (i.e. a sustainable environment). Explorations such as this
have a rich history of resulting in unexpected discoveries and unanticipated
applications for the benefit of people and planet. However, we must learn from
past explorations into controversial futuristic sciences and ensure that
researchers at the forefront of an emerging science such as synthetic biology
remain connected to all stakeholders' concerns about the biosafety, bioethics and
regulatory aspects of their pioneering work. This article presents a shared
vision of constructing a synthetic eukaryotic genome in a safe model organism by
using novel concepts and advanced technologies. This multidisciplinary and
collaborative project is conducted under a sound governance structure that does
not only respect the scientific achievements and lessons from the past, but that
is also focussed on leading the present and helping to secure a brighter future
for all.
PMID- 29648593
TI - Validity and reliability of the Turkish version of the European Health Literacy
Survey Questionnaire.
AB - Health literacy is a public health priority which refers to individual's
knowledge, motivation and competence to access, understand, appraise and apply
health information to prevent disease and promote health in daily life. This
study aimed to adapt European Health Literacy Survey Questionnaire (HLS-EU-Q47)
into Turkish and to investigate its psychometric properties. The questionnaire
was translated into Turkish by using both group translation and expert opinion
methods. Forward translation-back translation method was used for language
validity and the final Turkish version (HLS-TR) was formed. HLS-EU-Q47 and Health
Awareness Scale (HAS) were administered to 505 respondents. The scale reliability
was examined using Crohnbach's alpha coefficient and the construct validity was
assessed by principal axis factoring procedure. The convergent validity was
obtained by Pearson correlation coefficients between HLS-TR and HAS scores and
discriminant validity was examined comparing the scores of participants who were
stratified according to ages, educational status, gender, general health status
and social status. Cronbach's alpha coefficient for the whole scale was 0.95.
Principal axis factoring extracted nine factors which eigenvalues were >1 and
explained 50.01% of total variance. Factor matrix displayed that all items gave
greater load in factor 1, showing that health literacy measured with one factor.
Positive and significant correlation was found between HLS-TR and HAS.
Significant relations were found between HLS-TR scores and selected determinants
of health. This study revealed that the HLS-TR was a valid and reliable measuring
instrument with appropriate psychometric characteristics.
PMID- 29648594
TI - Active Case Finding for Communicable Diseases in Prison Settings: Increasing
Testing Coverage and Uptake Among the Prison Population in the European
Union/European Economic Area.
AB - Prison populations are disproportionally affected by communicable diseases when
compared with the general community because of a complex mix of socioeconomic
determinants and environmental factors. Tailored and adequate health care
provision in prisons has the potential to reach vulnerable and underserved groups
and address their complex needs. We investigated the available evidence on
modalities and effectiveness of active case-finding interventions in prisons by
searching PubMed, Embase, and the Cochrane Library for records on prison and
active case finding with no language limit. Conference abstracts and unpublished
research reports also were retrieved. We analyzed the findings by testing
modality, outcomes, and study quality. The included 90 records-63 peer-reviewed,
26 from gray literature, and 1 systematic review-reported variously on viral
hepatitis, human immunodeficiency virus, sexually transmitted infections, and
tuberculosis. No records were retrieved for other communicable diseases. Provider
initiated opt-in testing was the most frequently investigated modality. Testing
at entry and provider-initiated testing were reported to result in comparatively
higher uptake ranges. However, no comparative studies were identified that
reported statistically significant differences between testing modalities.
Positivity rates among tested inmates ranged broadly but were generally high for
all diseases. The evidence on active case finding in correctional facilities is
limited, heterogeneous, and of low quality, making it challenging to draw
conclusions on the effect of different testing modalities. Scale-up of provider
initiated testing in European correctional facilities could substantially reduce
the undiagnosed fraction and, hence, prevent additional disease transmission in
both prison settings and the community at large.
PMID- 29648595
TI - Progesterone as a Postnatal Prophylactic Agent for Encephalopathy Caused by
Prenatal Hypoxic Ischemic Insult.
AB - Brain damage caused by hypoxic ischemic insult during the perinatal period causes
hypoxic ischemic encephalopathies (HIEs). Therapeutic hypothermia is indicated
for HIE, but because the therapeutic burden is large for its limited therapeutic
effectiveness, another strategy is needed. Progesterone (P4) plays a
neuroprotective role through the actions of its metabolite, allopregnanolone
(Allo), on P4 receptor, gamma-aminobutyric acid type A receptors or both. We
examined the therapeutic potential of P4 using a newborn rat model of HIE. Fetal
rats were exposed to transient ischemic hypoxia by 30-minute bilateral uterine
artery clamping on gestational day 18. After spontaneous birth, newborn pups were
subcutaneously injected with P4 (0.10 or 0.01 mg), medroxyprogesterone acetate
(MPA; 0.12 mg), or Allo (0.10 mg) through postnatal days (PDs) 1 to 9. Brain
damage in the rats was assessed using the rotarod test at PD50. The HIE insult
reduced the rats' ability in the rotarod task, which was completely reversed by
P4 and Allo, but not by MPA. Histological examination revealed that the HIE
insult decreased neuronal (the cortex and the hippocampal CA1 region) and
oligodendroglial cell density (the corpus callosum) through PD0 to PD50. The axon
fiber density and myelin sheath thickness in the corpus callosum were also
reduced at PD50. The time-course study revealed that P4 restored oligodendroglial
cells by PD5, which was followed by neuroprotective action of P4 that lasted long
over the injection period. These results suggest that P4 protects the neonatal
brain from HIE insult via restoration of oligodendroglial cells.
PMID- 29648597
TI - Secondary bile acids inhibit Candida albicans growth and morphogenesis.
AB - Candida albicans is one of the most common causes of fungal infections in humans
with a significant mortality rate. However, the factors involved in C. albicans
gastrointestinal (GI) colonization remain unclear. We hypothesize that secondary
bile acids have direct antifungal activity against C. albicans and may play a
critical role in maintaining GI colonization resistance against C. albicans. In
this study, we investigated the effect of secondary bile acids including
lithocholic acid (LCA) and deoxycholic acid (DCA) on C. albicans growth and
morphogenesis. Results indicate that LCA and DCA at in vivo cecal micelle
concentrations inhibit C. albicans growth in vitro. Interestingly, LCA and DCA
also significantly inhibited the germ tube, hyphae and biofilm formation in C.
albicans. In addition, pre-treatment of C. albicans with LCA and DCA
significantly reduced the percentage of C. albicans cells attached to a colon
cancer cell line. Collectively, our results demonstrate that secondary bile acids
play an important role in controlling the growth and morphological switching of
C. albicans. Results from this study demonstrate that secondary bile acid possess
direct antifungal activity against C. albicans, explaining a potential mechanism
for gastrointestinal colonization resistance against C. albicans.
PMID- 29648598
TI - A New Brief Measure of Executive Function: Adapting the Head-Toes-Knees-Shoulders
Task to Older Adults.
AB - Background and Objectives: Executive function (EF) abilities are recognized as
components of cognition most likely to show age-related declines. Measurement of
EF in older adults is often computer-based, takes place in a laboratory setting,
and thus lacks ecological validity. We sought to investigate a new way of
measuring EF in older adults by adapting a brief, behavioral measure of EF in
children, the Head-Toes-Knees-Shoulders task (HTKS). Research Design and Methods:
A sample of 150 community-dwelling older adults (Mean age = 68.55, SD = 6.34)
completed the HTKS, NIH Toolbox: Cognition Battery (NIHTB-CB) and Positive and
Negative Affect Schedule. Results: The HTKS showed adequate internal consistency,
alpha = .84. Significant associations between HTKS variables and measures of
attention and inhibitory control were robust to the influences of age, processing
speed, and subjective health ratings. HTKS completion time exhibited the
strongest associations to NIHTB-CB measures, suggesting that the time it takes
older adults to complete the HTKS may be a better measure of EF than the total
score. Nonsignificant associations between HTKS variables and positive and
negative affect demonstrated discriminant validity. Discussion and Implications:
These results provide initial evidence for use of the HTKS as a brief, low-cost,
easy to administer measure of EF in older adults. Further research is needed to
determine its potential to identify individuals at risk for poor cognitive
outcomes. A brief, valid measure may allow for wider screenings aimed at early
intervention, when cognitive interventions are most effective.
PMID- 29648599
TI - Polycystic Ovary Syndrome Is Associated With Adverse Mental Health and
Neurodevelopmental Outcomes.
AB - Context: Polycystic ovary syndrome (PCOS) is characterized by hyperandrogenism
and subfertility, but the effects on mental health and child neurodevelopment are
unclear. Objectives: To determine if (1) there is an association between PCOS and
psychiatric outcomes and (2) whether rates of autism spectrum disorder (ASD) and
attention deficit hyperactivity disorder (ADHD) are higher in children of mothers
with PCOS. Design: Data were extracted from the Clinical Practice Research
Datalink. Patients with PCOS were matched to two control sets (1:1) by age, body
mass index, and primary care practice. Control set 2 was additionally matched on
prior mental health status. Primary outcomes were the incidence of depression,
anxiety, and bipolar disorder. Secondary outcomes were the prevalence of ADHD or
ASD in the children. Results: Eligible patients (16,986) were identified; 16,938
and 16,355 were matched to control sets 1 and 2, respectively. Compared with
control set 1, baseline prevalence was 23.1% vs 19.3% for depression, 11.5% vs
9.3% for anxiety, and 3.2% vs 1.5% for bipolar disorder (P < 0.001). The hazard
ratio for time to each endpoint was 1.26 (95% confidence interval 1.19 to 1.32),
1.20 (1.11 to 1.29), and 1.21 (1.03 to 1.42) for set 1 and 1.38 (1.30 to 1.45),
1.39 (1.29 to 1.51), and 1.44 (1.21 to 1.71) for set 2. The odds ratios for ASD
and ADHD in children were 1.54 (1.12 to 2.11) and 1.64 (1.16 to 2.33) for set 1
and 1.76 (1.27 to 2.46) and 1.34 (0.96 to 1.89) for set 2. Conclusions: PCOS is
associated with psychiatric morbidity and increased risk of ADHD and ASD in their
children. Screening for mental health disorders should be considered during
assessment.
PMID- 29648596
TI - Unraveling synthesis of the cryptococcal cell wall and capsule.
AB - Fungal pathogens cause devastating infections in millions of individuals each
year, representing a huge but underappreciated burden on human health. One of
these, the opportunistic fungus Cryptococcus neoformans, kills hundreds of
thousands of patients annually, disproportionately affecting people in resource
limited areas. This yeast is distinguished from other pathogenic fungi by a
polysaccharide capsule that is displayed on the cell surface. The capsule
consists of two complex polysaccharide polymers: a mannan substituted with xylose
and glucuronic acid, and a galactan with galactomannan side chains that bear
variable amounts of glucuronic acid and xylose. The cell wall, with which the
capsule is associated, is a matrix of alpha and beta glucans, chitin, chitosan,
and mannoproteins. In this review, we focus on synthesis of the wall and capsule,
both of which are critical for the ability of this microbe to cause disease and
are distinct from structures found in either model yeasts or the mammals
afflicted by this infection. Significant research effort over the last few
decades has been applied to defining the synthetic machinery of these two
structures, including nucleotide sugar metabolism and transport,
glycosyltransferase activities, polysaccharide export, and assembly and
association of structural elements. Discoveries in this area have elucidated
fundamental biology and may lead to novel targets for antifungal therapy. In this
review, we summarize the progress made in this challenging and fascinating area,
and outline future research questions.
PMID- 29648600
TI - Comparison of two alternate PGF2alpha products in two estrus synchronization
protocols in beef heifers.
AB - Two experiments were conducted to evaluate the effects of a high concentrate,
s.c. PGF2alpha compared with a conventionally concentrated, i.m. PGF2alpha in
estrus synchronization protocols for heifers. In Exp. 1, 869 Angus-based beef
heifers were enrolled at 8 locations. All heifers were exposed to the 7-d CO
Synch + controlled internal drug release (CIDR) estrus synchronization protocol.
On day 7 of the protocol heifers received 100 ug of GnRH i.m., and a CIDR insert
for 7 d. On day 0, at CIDR removal, estrous detection patches were applied to
heifers and, within location, heifers randomly received 1 of 2 PGF2alpha
treatments: 5 mL of Lutalyse i.m. (CONTROL; n = 434) or a 2 mL of Lutalyse
HighCon s.c. (HiCON; n = 435). A second GnRH injection was administered at 54 +/-
2 h and heifers were fixed-time AI (TAI). Heifers were evaluated for estrous
activity at TAI by determining the activation of estrous detection patches.
Pregnancy rates to AI (PR/AI) were diagnosed by transrectal ultrasonography
between 35 and 55 d after TAI. The percentage of heifers exhibiting estrus
between day 0 and TAI did not differ (P = 0.68) between CONTROL and HiCON
treatments (47 vs. 46 +/- 4%, respectively). Additionally, PR/AI were similar (P
= 0.65) between CONTROL and HiCON treatments (46 vs. 45 +/- 3%). In Exp. 2, 190
Angus-based beef heifers were enrolled at 2 locations. Heifers were exposed to
the melengestrol acetate (MGA)-PGF2alpha protocol where they were offered 0.5 mg
MGA per day from days 1 to 14. On day 33, heifers were randomly assigned to
receive CONTROL (n = 95) or HiCON (n = 95) treatment, and estrous detection aids
were applied. Heifers were exposed to AI 12 h after detection of estrus. Heifers
not detected in estrus at location 1 received a second PGF2alpha injection 6 d
after the initial PGF2alpha injection and were placed with fertile bulls. Heifers
at location 2 that did not express estrus were administered 100 ug of GnRH i.m.
and exposed to TAI 96 h after the initial PGF2alpha injection. Transrectal
ultrasonography was used to diagnose PR/AI between 51 and 57 d after the initial
PGF2alpha injection. The percentage of heifers exhibiting estrus during the
estrus detection period was similar (P = 0.40) between CONTROL and HiCON
treatments (82 vs. 87 +/- 4%). Furthermore, PR/AI were similar (P = 0.62) between
CONTROL and HiCON treatments (60 vs. 65 +/- 5%). In summary, the 2 concentrations
and corresponding routes of administration of PGF2alpha were similar in efficacy
at synchronizing estrus in beef heifers.
PMID- 29648601
TI - Compensating Injury to Autonomy in English Negligence Law: Inconsistent
Recognition.
AB - Recently in Shaw v Kovac, the Court of Appeal seemed to have rejected a
standalone injury to autonomy (ITA) as actionable in negligence, in an informed
consent case. In this article, I argue that Shaw can be explained away, and that
English law recognizes ITA as actionable in a series of cases, some of which
Bhamra, Tracey, and Yearworth-were not hitherto understood to do so. However, the
under-theorization in the cases leads to inconsistencies. Like cases
(Rees/Yearworth; Chester/Tracey) are not treated alike; ITA is misunderstood to
be about 'religious offence' (Bhamra) and property loss (Yearworth) and worse
still, the more serious type 2 ITA (Rees) gives rise to a weaker remedy (of
exceptional nature aside) than the less serious type 1 injury (Chester). A better
understanding of the different manifestations of ITA will lead to results which
are both more consistent and more justified on the merit.
PMID- 29648604
TI - UMLS to DBPedia link discovery through circular resolution.
AB - Objective: The goal of this work is to map Unified Medical Language System (UMLS)
concepts to DBpedia resources using widely accepted ontology relations from the
Simple Knowledge Organization System (skos:exactMatch, skos:closeMatch) and from
the Resource Description Framework Schema (rdfs:seeAlso), as a result of which a
complete mapping from UMLS (UMLS 2016AA) to DBpedia (DBpedia 2015-10) is made
publicly available that includes 221 690 skos:exactMatch, 26 276 skos:closeMatch,
and 6 784 322 rdfs:seeAlso mappings. Methods: We propose a method called circular
resolution that utilizes a combination of semantic annotators to map UMLS
concepts to DBpedia resources. A set of annotators annotate definitions of UMLS
concepts returning DBpedia resources while another set performs annotation on
DBpedia resource abstracts returning UMLS concepts. Our pipeline aligns these 2
sets of annotations to determine appropriate mappings from UMLS to DBpedia.
Results: We evaluate our proposed method using structured data from the Wikidata
knowledge base as the ground truth, which consists of 4899 already existing UMLS
to DBpedia mappings. Our results show an 83% recall with 77% precision-at-one
(P@1) in mapping UMLS concepts to DBpedia resources on this testing set.
Conclusions: The proposed circular resolution method is a simple yet effective
technique for linking UMLS concepts to DBpedia resources. Experiments using
Wikidata-based ground truth reveal a high mapping accuracy. In addition to the
complete UMLS mapping downloadable in n-triple format, we provide an online
browser and a RESTful service to explore the mappings.
PMID- 29648602
TI - Factors Associated With Prolonged Viral Shedding in Patients With Avian Influenza
A(H7N9) Virus Infection.
AB - Background: Data are limited on the impact of neuraminidase inhibitor (NAI)
treatment on avian influenza A(H7N9) virus RNA shedding. Methods: In this
multicenter, retrospective study, data were collected from adults hospitalized
with A(H7N9) infection during 2013-2017 in China. We compared clinical features
and A(H7N9) shedding among patients with different NAI doses and combination
therapies and evaluated factors associated with A(H7N9) shedding, using Cox
proportional hazards regression. Results: Among 478 patients, the median age was
56 years, 71% were male, and 37% died. The median time from illness onset to NAI
treatment initiation was 8 days (interquartile range [IQR], 6-10 days), and the
median duration of A(H7N9) RNA detection from onset was 15.5 days (IQR, 12-20
days). A(H7N9) RNA shedding was shorter in survivors than in patients who died (P
< .001). Corticosteroid administration (hazard ratio [HR], 0.62 [95% confidence
interval {CI}, .50-.77]) and delayed NAI treatment (HR, 0.90 [95% CI, .91-.96])
were independent risk factors for prolonged A(H7N9) shedding. There was no
significant difference in A(H7N9) shedding duration between NAI combination
treatment and monotherapy (P = .65) or between standard-dose and double-dose
oseltamivir treatment (P = .70). Conclusions: Corticosteroid therapy and delayed
NAI treatment were associated with prolonged A(H7N9) RNA shedding. NAI
combination therapy and double-dose oseltamivir treatment were not associated
with a reduced A(H7N9) shedding duration as compared to standard-dose
oseltamivir.
PMID- 29648603
TI - PHYD prevents secondary dormancy establishment of seeds exposed to high
temperature and is associated with lower PIL5 accumulation.
AB - Dormancy cycling controls the seasonal conditions under which seeds germinate,
and these conditions strongly influence growth and survival of plants. Several
endogenous and environmental signals affect the dormancy status of seeds. Factors
such as time, light, and temperature influence the balance between abscisic acid
(ABA) and gibberellic acid (GA), two phytohormones that play a key role in seed
dormancy and germination. High temperatures have been shown to increase ABA level
and prevent seed germination, a process known as thermoinhibition. High
temperature can also cause the acquisition of secondary dormancy, preventing
germination of seeds upon their return to favorable germination conditions. The
mechanisms and conditions linking thermoinhibition and secondary dormancy remain
unclear. Phytochromes are photoreceptors known to promote seed germination of
many plant species including Arabidopsis thaliana. Here, we demonstrate a role
for PHYD in modulating secondary dormancy acquisition in seeds exposed to high
temperature. We found that a functional PHYD gene is required for the germination
of seeds that experienced high temperature, and that ABA- and GA-related gene
expression during and after pre-incubation at high temperatures was altered in a
phyD mutant. We further show that the level of PHYD mRNA increased in seeds pre
incubated at high temperature and that this increase correlates with efficient
removal of the germination repressor PIL5.
PMID- 29648605
TI - Lexical analysis suggests differences between subgroups in anxieties over
radiation exposure in Fukushima.
AB - Although many experts have attempted communication about radiation risk, fears
about radiation exposure stemming from the Fukushima Daiichi Nuclear Power Plant
accident remain deeply rooted. The characteristics of the anxiety vary with
social background, which makes it difficult to alleviate with one approach. Our
ultimate goal is therefore to create risk-communication materials tailored to
various groups with differing social backgrounds. Towards that end, the purpose
of the present study was to clarify potential factors associated with radiation
related anxieties within these groups. After obtaining informed consent, we
conducted focus group interviews (FGIs) with Fukushima residents from various
social groups, including evacuees, emergency responders, government personnel,
medical staff, and decontamination workers. We obtained narrative comments
specifically related to the following two themes: (i) lessons learned (at work or
home) in light of one's position in society, and (ii) health issues. After
transcribing the comments, we examined potential factors associated with
radiation-related anxieties using both quantitative and qualitative study methods
simultaneously, using the KH Coder software and the Steps for Coding and
Theorization (SCAT) method respectively. FGIs were undertaken with 141 persons.
Categories, words and storylines extracted in this study might indicate potential
anxieties that are unique to each group, but our analysis also suggested that
some anxieties were common to all groups. We expect to continue the analyses and,
ultimately, to establish group-tailored risk-communication materials for
achieving our final goal of adapting and better managing risk-communication
efforts to help people deal more effectively with the scientific, technological
and societal changes that came about after the disaster.
PMID- 29648607
TI - NICE public health guidance update.
PMID- 29648606
TI - ECD1 functions as an RNA-editing trans-factor of rps14-149 in plastids and is
required for early chloroplast development in seedlings.
AB - Chloroplast development is a highly complex process and the regulatory mechanisms
have not yet been fully characterized. In this study, we identified Early
Chloroplast Development 1 (ECD1), a chloroplast-localized pentatricopeptide
repeat protein (PPR) belonging to the PLS subfamily. Inactivation of ECD1 in
Arabidopsis led to embryo lethality, and abnormal embryogenesis occurred in
ecd1/+ heterozygous plants. A decrease in ECD1 expression induced by RNAi
resulted in seedlings with albino cotyledons but normal true leaves. The aberrant
morphology and under-developed thylakoid membrane system in cotyledons of RNAi
seedlings suggests a role of ECD1 specifically in chloroplast development in
seedlings. In cotyledons of ECD1-RNAi plants, RNA-editing of rps14-149 (encoding
ribosomal protein S14) was seriously impaired. In addition, dramatically
decreased plastid-encoded RNA polymerase-dependent gene expression and abnormal
chloroplast rRNA processing were also observed. Taken together, our results
indicate that ECD1 is indispensable for chloroplast development at the seedling
stage in Arabidopsis.
PMID- 29648608
TI - RAB39A: a Rab small GTPase with a prominent role in cancer stemness.
AB - RAB39A is a Rab small GTPase that localizes at distinct subcellular compartments
and regulates intracellular membrane trafficking pathways in vertebrate cells.
RAB39A interacts with various molecules and modulates vesicular trafficking that
regulates multiple biological pathways such as neuronal differentiation and/or
autophagy. Among these pathways are Hippo and Notch signallings, microtubular
organization and mitophagy/autophagy. Although RAB39A has never been studied in
cancer biology, it has been recently shown to promote cancer stemness and
tumorigenesis. Molecular pathways regulated by RAB39A are transcriptionally
maintained by the formation of molecular complex with RXRB, NCOR and HDAC that
also contribute to cancer stemness. In this review, we provide current knowledge
on the oncogenic function of RAB39A and summarize the effect of different
microenvironments on RAB39A activity and subcellular localization in cancer
cells.
PMID- 29648609
TI - Elite UK winter wheat cultivars differ in their ability to support the
colonization of beneficial root-infecting fungi.
AB - In numerous countries, Gaeumannomyces species, within the Magnaporthaceae family,
have previously been implicated in the suppression of take-all root disease in
wheat. A UK arable isolate collection (n=47) was gathered and shown to contain
Gaeumannomyces hyphopodioides and an unnamed Magnaporthaceae species. A novel
seedling pot bioassay revealed that both species had a similar ability to
colonize cereal roots; however, rye (Secale cereale) was only poorly colonized by
the Magnaporthaceae species. To evaluate the ability of 40 elite UK winter wheat
cultivars to support soil inoculum of beneficial soil-dwelling fungi, two field
experiments were carried out using a naturally infested arable site in south-east
England. The elite cultivars grown in the first wheat situation differed in their
ability to support G. hyphopodioides inoculum, measured by colonization on
Hereward as the subsequent wheat in a seedling soil core bioassay. In addition,
the root colonization ability of G. hyphopodioides was influenced by the choice
of the second wheat cultivar. Nine cultivars supported the colonization of the
beneficial root fungus. Our findings provide evidence of complex host genotype-G.
hyphopodioides interactions occurring under field conditions. This new knowledge
could provide an additional soil-based crop genetic management strategy to help
combat take-all root disease.
PMID- 29648610
TI - Chiron: translating nanopore raw signal directly into nucleotide sequence using
deep learning.
AB - Sequencing by translocating DNA fragments through an array of nanopores is a
rapidly maturing technology that offers faster and cheaper sequencing than other
approaches. However, accurately deciphering the DNA sequence from the noisy and
complex electrical signal is challenging. Here, we report Chiron, the first deep
learning model to achieve end-to-end basecalling and directly translate the raw
signal to DNA sequence without the error-prone segmentation step. Trained with
only a small set of 4,000 reads, we show that our model provides state-of-the-art
basecalling accuracy, even on previously unseen species. Chiron achieves
basecalling speeds of more than 2,000 bases per second using desktop computer
graphics processing units.
PMID- 29648612
TI - Analysis of Escherichia coli STs and resistance mechanisms in sewage from
Islamabad, Pakistan indicates a difference in E. coli carriage types between
South Asia and Europe.
AB - Objectives: To discover the Escherichia coli STs and associated resistance
mechanisms in the community in Islamabad, Pakistan by analysis of E. coli
isolates in sewage. Methods: One hundred and ten E. coli were isolated from
sewage across the city of Islamabad without antibiotic bias and confirmed as E.
coli by MALDI-TOF MS. Isolates were characterized by fumC/fimH (CH) typing and
core-genome MLST. Resistance mechanisms, virulence genes, phylotypes and plasmid
incompatibility types were determined in a subset of isolates by in silico
analysis. The genomic position of blaCTX-M-15 was determined using S1-PFGE,
probing and Nanopore MinION sequencing. Results and conclusions: The most
prevalent STs were ST394, ST10 and ST648, accounting for 39% of all isolates
collected and were found at many sites across Islamabad. Carbapenemase genes were
absent and only a single isolate of ST131 was found. The most prevalent
resistance mechanisms were qnrS1 and blaCTX-M-15, with blaCTX-M-15 penetrating
many STs and found in 31% of all collected isolates. However, the majority of the
successful STs were blaCTX-M-15 negative indicating that resistance is not the
main driver of prevalence. Twenty-three percent of blaCTX-M-15 genes were
chromosomally encoded and large ISEcp1-mediated insertions included qnrS1 and
several plasmid genes. In all chromosomally encoded isolates no plasmid copies of
blaCTX-M-15 were found. The most prevalent ST (ST394) contained many
enteroaggregative E. coli virulence genes and the fimH30 variant allele
previously linked to the success of ST131.
PMID- 29648611
TI - Fine Mapping the Interaction Between Dendritic Cell-Specific Intercellular
Adhesion Molecule (ICAM)-3-Grabbing Nonintegrin and the Cytomegalovirus Envelope
Glycoprotein B.
AB - Background: Human cytomegalovirus (HCMV) is a leading cause of virally induced
congenital disorders and morbidities in immunocompromised individuals, ie,
transplant, cancer, or acquired immune deficiency syndrome patients. Human
cytomegalovirus infects virtually all cell types through the envelope
glycoprotein complex gH/gL/gO with or without a contribution of the pentameric
gH/gL/pUL128L. Together with gH/gL, the HCMV envelope glycoprotein B (gB)
contributes to the viral fusion machinery. Methods: We previously showed that gB
is a ligand for the C-type lectin dendritic cell-specific intercellular adhesion
molecule-3-grabbing nonintegrin (DC-SIGN) contributing to HCMV attachment to and
infection of DC-SIGN-expressing cells. However, the features of the DC-SIGN/gB
interaction remain unclear. To address this point, the role of glycans on gB and
the consequences of mutagenesis and antibody-mediated blockades on both partners
were examined in this study. Results: We identified DC-SIGN amino acid residues
involved in this interaction through an extensive mutagenesis study. We also
showed the importance of high-mannose N-glycans decorating the asparagine residue
at position 208, demonstrating that the antigenic domain 5 on gB is involved in
the interaction with DC-SIGN. Finally, antibody-mediated blockades allowed us to
identify DC-SIGN as a major HCMV attachment receptor on monocyte-derived
dendritic cells. Conclusions: Taken together, these results have permitted us to
fine-map the interaction between DC-SIGN and HCMV gB.
PMID- 29648613
TI - Trending on Pinterest: an examination of pins about skin tanning.
AB - Rates of melanoma and nonmelanoma skin cancers are on the rise in the USA with
data revealing disproportionate increase in female young adults. The popularity
of intentional skin tanning among U.S. adolescents is attributed to several
factors, including prioritization of physical appearance, media images of tanned
celebrities, ease of availability of artificial tanning facilities, and more
recently, the prevalence and celebration of tanned skin on social media.
Pinterest, as the third most popular social media platform, was searched for
"pins" about skin tanning. The resultant "pins" were examined to understand the
extent and characteristics of skin tanning portrayed on Pinterest. We analyzed
pins on Pinterest about skin tanning (n = 501) through a quantitative content
analysis. Overall, results indicated an overwhelmingly protanning characteristic
of pins about skin tanning on Pinterest, with over 85% of pins promoting tanning
behavior. The pins were generally characterized by the portrayal of a female
subject (61%) and provided positive reinforcement for tanning (49%). Use of
tanning for enhancing appearance was the main positive outcome expectancy
portrayed in the pins (35%), and nudity or exposure of skin on arms (32%) and
legs (31%) was evident in about a third of pins. With overwhelmingly positive
pins promoting tanning, use of female subjects, exhibiting nudity, and appearance
enhancement, there seems be to a consistent targeting of female users to accept
tanning as a socially acceptable and popular behavior. The findings indicate a
need for developing sun protection messages and the leveraging of social media
for dissemination of skin cancer prevention and detection messages.
PMID- 29648615
TI - A multicomponent behavioral intervention for smoking cessation during pregnancy:
a nonconcurrent multiple-baseline design.
AB - Given serious consequences of maternal smoking, we aimed to develop and test a
multicomponent behavioral intervention to enhance smoking cessation during
pregnancy. In this nonconcurrent, multiple-baseline intervention pilot study, 48
daily smoking pregnant women (mean 13.7 weeks of gestation) were recruited from
Buffalo, NY, USA. Upon completion of the repeated baseline smoking monitoring (up
to 3 weeks), 30 continuous smokers received a contingent financial incentive
based intervention with three additional components (education and counseling,
monitoring and feedback, and family support). After the quit date, participants
met with counselors (~1 hr/visit) daily for 2 weeks and twice a week for another
6 weeks. Twenty-one out of 30 participants quit smoking completely (verified by
urine cotinine) after receiving the intervention, and the other nine nonquitters
decreased smoking substantially. The estimated smoking cessation rate was 70.0%
(21/30) at the second week of the intervention, and 63.3% (19/30) at the
conclusion of the 8-week intervention assuming the dropouts as smoking. In
interrupted time series analysis, the mean daily number of cigarettes smoked
among quitters decreased by 6.52, 5.34, and 4.67 among early, delayed, and late
intervention groups, respectively. Quitters' mean urine cotinine level maintained
stably high before the intervention but decreased rapidly to the nonsmoking range
once the intervention was initiated. Most participants (85.7%) reported meeting
or exceeding expectations, and 100% would recommend the program to others. This
pilot multicomponent intervention was feasible and acceptable to most
participants, resulting in a high smoking cessation rate among pregnant smokers
who were unlikely to quit spontaneously.
PMID- 29648614
TI - Overexpression of OsPGIP2 confers Sclerotinia sclerotiorum resistance in Brassica
napus through increased activation of defense mechanisms.
AB - Sclerotinia stem rot (SSR), caused by Sclerotinia sclerotiorum, is the most
serious disease affecting the yield of the agriculturally and economically
important crop Brassica napus (rapeseed). In this study, Oryza sativa
polygalacturonase-inhibiting protein 2 (OsPGIP2) was found to effectively
enhanced rapeseed immunity against S. sclerotiorum infection. Leaf extracts of B.
napus plants overexpressing OsPGIP2 showed enhanced S. sclerotiorum resistance by
delaying pathogen infection. The constitutive expression of OsPGIP2 in rapeseed
plants provided a rapid and effective defense response, which included the
production of reactive oxygen species, interactions with S. sclerotiorum
polygalacturonases (SsPG3 and SsPG6), and effects on the expression of defense
genes. RNA sequencing analysis revealed that the pathogen induced many
differentially expressed genes associated with pathogen recognition, redox
homeostasis, mitogen-activated protein kinase signaling cascades, hormone
signaling pathways, pathogen-/defense-related genes, and cell wall-related genes.
The overexpression of OsPGIP2 also led to constitutively increased cell wall
cellulose and hemicellulose contents in stems without compromising seed quality.
The results demonstrate that OsPGIP2 plays a major role in rapeseed defense
mechanisms, and we propose a model for OsPGIP2-conferred resistance to S.
sclerotiorum in these plants.
PMID- 29648616
TI - Sustained benefits of delaying school start time on adolescent sleep and well
being.
AB - Study Objectives: To investigate the short- and longer-term impact of a 45-min
delay in school start time on sleep and well-being of adolescents. Methods: The
sample consisted of 375 students in grades 7-10 (mean age +/- SD: 14.6 +/- 1.15
years) from an all-girls' secondary school in Singapore that delayed its start
time from 07:30 to 08:15. Self-reports of sleep timing, sleepiness, and well
being (depressive symptoms and mood) were obtained at baseline prior to the
delay, and at approximately 1 and 9 months after the delay. Total sleep time
(TST) was evaluated via actigraphy. Results: After 1 month, bedtimes on school
nights were delayed by 9.0 min, while rise times were delayed by 31.6 min,
resulting in an increase in time in bed (TIB) of 23.2 min. After 9 months, the
increase in TIB was sustained, and TST increased by 10.0 min relative to
baseline. Participants also reported lower levels of subjective sleepiness and
improvement in well-being at both follow-ups. Notably, greater increase in sleep
duration on school nights was associated with greater improvement in alertness
and well-being. Conclusions: Delaying school start time can result in sustained
benefits on sleep duration, daytime alertness, and mental well-being even within
a culture where trading sleep for academic success is widespread.
PMID- 29648617
TI - Society of Behavioral Medicine (SBM) position statement: Enact taxes on sugar
sweetened beverages to prevent chronic disease.
AB - The Society of Behavioral Medicine (SBM) encourages stakeholders to implement a
sugar sweetened beverage excise tax. Sugar sweetened beverages are the largest
source of added sugars in the USA and have detrimental effects on population
health by increasing risks for chronic diseases. Based on existing research
evidence, SBM supports an excise tax equivalent to at least 20% to meaningfully
affect consumption patterns. As evidenced by research studies in Mexico and the
USA, sugar sweetened beverage taxes can have positive impacts on population
health and can raise significant tax revenue. To avoid potential unintended
consequences that may arise from taxes to improve diet-related behaviors, it is
important to monitor industry and consumer behavior in response to the tax.
PMID- 29648619
TI - Impact of warming, moderate nitrogen addition and bark herbivory on BVOC
emissions and growth of Scots pine (Pinus sylvestris L.) seedlings.
AB - The changing climate will expose boreal forests to rising temperatures,
increasing soil nitrogen (N) levels and an increasing risk of herbivory. The
single and interaction effects of warming (+2 degrees C increase), moderate N
addition (30 kg ha-1 year-1) and bark herbivory by large pine weevil (Hylobius
abietis L.) on growth and emissions of biogenic volatile organic compounds
(BVOCs) from shoots of Scots pine (Pinus sylvestris L.) seedlings were studied in
growth chambers over 175 days. In addition, warming and N addition effects on
shoot net photosynthesis (Pn) were measured. Nitrogen addition increased both
shoot and root dry weights, whereas warming, in combination with herbivory,
reduced stem height growth. Warming together with N addition increased current
year shoot Pn, whereas N effects on previous-year shoot Pn were variable over
time. Warming decreased non-oxygenated monoterpene (MT) emissions in June and
increased them in July. Of individual MT compounds, alpha-pinene, delta-3-carene,
gamma-terpinene and terpinolene were among the most frequently responsive
compounds in warming treatments in the May-July period. Sesquiterpene emissions
were observed only from warming treatments in July. Moderate N addition increased
oxygenated monoterpenes in May, and MTs in June and September. However, N
addition effect on MTs in June was clearer without warming than with warming.
Bark herbivory tended to increase MT emissions in combination with warming and N
addition 3 weeks after the damage caused by weevils. Of individual compounds in
other BVOC blends, herbivory increased the emissions of methyl-benzene, benzene
and hexanal in July. Hence, though both warming and N addition have a potential
to change BVOC emissions from Scots pines, the N effect may also be partly
cancelled by warming. Furthermore, herbivory pressure in combination with climate
warming and N addition may, at least periodically, increase BVOC release to the
atmosphere from young Scots pine seedlings.
PMID- 29648618
TI - Inflammation in Schizophrenia: Pathogenetic Aspects and Therapeutic
Considerations.
AB - This paper discusses the current evidence from animal and human studies for a
central role of inflammation in schizophrenia. In animal models, pre- or
perinatal elicitation of the immune response may increase immune reactivity
throughout life, and similar findings have been described in humans. Levels of
pro-inflammatory markers, such as cytokines, have been found to be increased in
the blood and cerebrospinal fluid of patients with schizophrenia. Numerous
epidemiological and clinical studies have provided evidence that various
infectious agents are risk factors for schizophrenia and other psychoses. For
example, a large-scale epidemiological study performed in Denmark clearly showed
that severe infections and autoimmune disorders are such risk factors. The
vulnerability-stress-inflammation model may help to explain the role of
inflammation in schizophrenia because stress can increase pro-inflammatory
cytokines and may even contribute to a chronic pro-inflammatory state.
Schizophrenia is characterized by risk genes that promote inflammation and by
environmental stress factors and alterations of the immune system. Typical
alterations of dopaminergic, serotonergic, noradrenergic, and glutamatergic
neurotransmission described in schizophrenia have also been found in low-level
neuroinflammation and consequently may be key factors in the generation of
schizophrenia symptoms. Further support for the relevance of a low-level
neuroinflammatory process in schizophrenia is provided by the loss of central
nervous system volume and microglial activation demonstrated in neuroimaging
studies. Last but not least, the benefit of anti-inflammatory medications found
in some studies and the intrinsic anti-inflammatory and immunomodulatory effects
of antipsychotics provide further support for the role of inflammation in this
debilitating disease.
PMID- 29648621
TI - The MEF2 transcriptional target DMPK induces loss of sarcomere structure and
cardiomyopathy.
AB - Aims: The pathology of heart failure is characterized by poorly contracting and
dilated ventricles. At the cellular level, this is associated with lengthening of
individual cardiomyocytes and loss of sarcomeres. While it is known that the
transcription factor myocyte enhancer factor-2 (MEF2) is involved in this
cardiomyocyte remodelling, the underlying mechanism remains to be elucidated.
Here, we aim to mechanistically link MEF2 target genes with loss of sarcomeres
during cardiomyocyte remodelling. Methods and results: Neonatal rat
cardiomyocytes overexpressing MEF2 elongated and lost their sarcomeric structure.
We identified myotonic dystrophy protein kinase (DMPK) as direct MEF2 target gene
involved in this process. Adenoviral overexpression of DMPK E, the isoform
upregulated in heart failure, resulted in severe loss of sarcomeres in vitro, and
transgenic mice overexpressing DMPK E displayed disruption of sarcomere structure
and cardiomyopathy in vivo. Moreover, we found a decreased expression of
sarcomeric genes following DMPK E gain-of-function. These genes are targets of
the transcription factor serum response factor (SRF) and we found that DMPK E
acts as inhibitor of SRF transcriptional activity. Conclusion: Our data indicate
that MEF2-induced loss of sarcomeres is mediated by DMPK via a decrease in
sarcomeric gene expression by interfering with SRF transcriptional activity.
Together, these results demonstrate an unexpected role for DMPK as a direct
mediator of adverse cardiomyocyte remodelling and heart failure.
PMID- 29648620
TI - Comparative transcriptome analysis in Arabidopsis ein2/ore3 and ahk3/ore12
mutants during dark-induced leaf senescence.
AB - Leaf senescence involves degenerative but active biological processes that
require balanced regulation of pro- and anti-senescing activities. Ethylene and
cytokinin are major antagonistic regulatory hormones that control the timing and
progression rate of leaf senescence. To identify the roles of these hormones in
the regulation of leaf senescence in Arabidopsis, global gene expression profiles
in detached leaves of the wild type, an ethylene-insensitive mutant (ein2/ore3),
and a constitutive cytokinin response mutant (ahk3/ore12) were investigated
during dark-induced leaf senescence. Comparative transcriptome analyses revealed
that genes involved in oxidative or salt stress response were preferentially
altered in the ein2/ore3 mutant, whereas genes involved in ribosome biogenesis
were affected in the ahk3/ore12 mutant during dark-induced leaf senescence.
Similar results were also obtained for developmental senescence. Through
extensive molecular and physiological analyses in ein2/ore3 and ahk3/ore12 during
dark-induced leaf senescence, together with responses when treated with cytokinin
and ethylene inhibitor, we conclude that ethylene acts as a senescence-promoting
factor via the transcriptional regulation of stress-related responses, whereas
cytokinin acts as an anti-senescing agent by maintaining cellular activities and
preserving the translational machinery. These findings provide new insights into
how plants utilize two antagonistic hormones, ethylene and cytokinin, to regulate
the molecular programming of leaf senescence.
PMID- 29648622
TI - Deep learning of genomic variation and regulatory network data.
AB - The human genome is now investigated through high-throughput functional assays,
and through the generation of population genomic data. These advances support the
identification of functional genetic variants and the prediction of traits (e.g.
deleterious variants and disease). This review summarizes lessons learned from
the large-scale analyses of genome and exome data sets, modeling of population
data and machine-learning strategies to solve complex genomic sequence regions.
The review also portrays the rapid adoption of artificial intelligence/deep
neural networks in genomics; in particular, deep learning approaches are well
suited to model the complex dependencies in the regulatory landscape of the
genome, and to provide predictors for genetic variant calling and interpretation.
PMID- 29648623
TI - Bipartite graphs in systems biology and medicine: a survey of methods and
applications.
AB - The latest advances in high-throughput techniques during the past decade allowed
the systems biology field to expand significantly. Today, the focus of biologists
has shifted from the study of individual biological components to the study of
complex biological systems and their dynamics at a larger scale. Through the
discovery of novel bioentity relationships, researchers reveal new information
about biological functions and processes. Graphs are widely used to represent
bioentities such as proteins, genes, small molecules, ligands, and others such as
nodes and their connections as edges within a network. In this review, special
focus is given to the usability of bipartite graphs and their impact on the field
of network biology and medicine. Furthermore, their topological properties and
how these can be applied to certain biological case studies are discussed.
Finally, available methodologies and software are presented, and useful insights
on how bipartite graphs can shape the path toward the solution of challenging
biological problems are provided.
PMID- 29648624
TI - Evaluation of Light Attraction for the Stored-Product Psocids, Liposcelis
entomophila, Liposcelis paeta, and Liposcelis brunnea.
AB - Psocids have become global pests of stored commodities as they can cause
considerable economic losses. These insects are difficult to control because they
have developed resistance to many chemical insecticides. Therefore, it is crucial
to investigate alternative integrated pest management (IPM) approaches, such as
the use of light attraction for monitoring and/or controlling psocids. Light
attraction has been studied for Liposcelis bostrychophila Badonnel (Psocoptera:
Liposcelididae) but not for other psocid species. In this study, we investigated
the response of adults of three psocid species (Psocoptera: Liposcelididae),
Liposcelis entomophila (Enderlein), Liposcelis paeta Pearman, and Liposcelis
brunnea Motschulsky, to six wavelengths of light from light-emitting diode (LED)
in paired-choice pitfall tests. L. entomophila females and males were not
attracted to any of the wavelengths tested. L. paeta females responded positively
to two ultraviolet (UV) wavelengths (351 and 400 nm) and to green light (527 nm),
while males did not respond to any light. L. brunnea females and males responded
positively to all six wavelengths evaluated. Most of the LEDs that elicited
positive responses to L. paeta females and L. brunnea females and males were also
preferred when these lights were presented against brewer's yeast, a food
attractant highly preferred by several psocid species. Females of L. paeta and L.
brunnea were attracted to white light when compared with a blank, but females of
L. entomophila were not attracted to white light compared to a blank.
PMID- 29648625
TI - Corrigendum to 'Prognostic value of 3-dimensional echocardiographical heart
volume assessment in patients scheduled for left ventricular assist device
implantation' [Eur J Cardiothorac Surg doi:10.1093/ejcts/ezy002].
PMID- 29648627
TI - Late outcomes of subcostal exchange of the HeartMate II left ventricular assist
device: a word of caution.
AB - OBJECTIVES: Previous studies have shown the usefulness of the subcostal exchange
of the HeartMate II left ventricular assist device for device malfunction.
However, long-term data are still limited. METHODS: Between March 2004 and July
2017, 41 of 568 (7.2%) patients who had received a HeartMate II implant at our
institution had a device exchange via a subcostal incision. We summarized early
and late outcomes. RESULTS: Forty-one patients had a total of 48 subcostal pump
exchanges. Indications for device exchange included device thrombosis (n = 31,
76%), driveline infection (n = 2, 5%) and driveline injury (n = 8, 19%). All of
the procedures were successful, and there were no in-hospital deaths. A Kaplan
Meier survival curve showed 30-day and 1-year survival rates after subcostal
exchange of 100% and 94.6%, respectively. However, 10 (25%) patients had left
ventricular assist device-related infections following subcostal exchange that
included 7 pump pocket infections and 3 driveline infections. Freedom from left
ventricular assist device-related infection at 1 year after subcostal exchange
was 79.3%. Thirteen (32%) patients had device malfunction due to pump thrombosis
that required a 2nd device exchange. Seven patients had recurrent thrombosis.
Three (7%) patients had a stroke. Freedom from device thrombosis and from a
stroke event at 1 year was 74.4%. CONCLUSIONS: Subcostal pump exchange can be
safely performed. However, there is a substantial risk of infection and recurrent
thrombosis. Careful follow-up for late complications is mandatory.
PMID- 29648626
TI - Regulation of Intracellular Triiodothyronine Is Essential for Optimal Macrophage
Function.
AB - Innate immune cells, including macrophages, have recently been identified as
target cells for thyroid hormone. We hypothesized that optimal intracellular
concentrations of the active thyroid hormone triiodothyronine (T3) are essential
for proinflammatory macrophage function. T3 is generated intracellularly by type
2 deiodinase (D2) and acts via the nuclear thyroid hormone receptor (TR). In
zebrafish embryos, D2 knockdown increased mortality during pneumococcal
meningitis. Primary murine D2 knockout macrophages exhibited impaired
phagocytosis and partially reduced cytokine response to stimulation with
bacterial endotoxin. These effects are presumably due to reduced intracellular T3
availability. Knockdown of the main TR in macrophages, TRalpha, impaired
polarization into proinflammatory macrophages and amplified polarization into
immunomodulatory macrophages. Intracellular T3 availability and action appear to
play a crucial role in macrophage function. Our data suggest that low
intracellular T3 action has an anti-inflammatory effect, possibly due to an
effect on macrophage polarization mediated via the TRalpha. This study provides
important insights into the link between the endocrine and innate immune system.
PMID- 29648628
TI - The Serosal Immune System of the Thorax in Toxicology.
AB - The thoracic cavities receive increasing attention in toxicology, because inhaled
fibers and (nano)particles can reach these cavities and challenge the local
lymphoid tissues. The thoracic and abdominopelvic cavities are controlled by the
serosal immune system with its special, loosely organized lymphoid clusters,
namely the fat-associated lymphoid clusters and milky spots, which together can
be denoted as serosa-associated lymphoid clusters. These clusters house numerous
innate lymphoid cells, namely the nonconventional, innate B lymphoid cell and
innate lymphocyte type 2 populations. The fat depots in the thorax play a
significant role in the serosal immunity, and they can be modulated by health
issues such as metabolic syndrome. The serosal immune system operates in a unique
way at the interface of the innate and acquired immunity and therefore exposure
related modulation of the system may have a distinct impact on the body's
immunity. To add to the investigation of the serosal immune system in the thorax,
this review describes the (micro)anatomy of the immune system in relation to
exposure, with a focus on the rat and mouse as preferred species in toxicology
and immunology.
PMID- 29648629
TI - Antibiotic pressure on the acquisition and loss of antibiotic resistance genes in
Klebsiella pneumoniae.
AB - Objectives: In this study, we characterize a concurrent disseminated infection
with a virulent hypermucoviscous (HMV) Klebsiella pneumoniae and an OXA-181
producing XDR K. pneumoniae from a patient with recent hospitalization in India.
During exposure to meropenem therapy, the highly susceptible HMV K. pneumoniae
became resistant to carbapenems, consistent with the acquisition of blaOXA-181.
Methods: Twelve K. pneumoniae isolates were recovered from the patient and the
hospital room environment over a 3 month hospitalization. Phenotypic and
molecular studies were completed to characterize the isolates. Oxford Nanopore
and Illumina MiSeq WGS were performed to study phylogeny (MLST and SNPs),
plasmids and virulence genes and demonstrate changes in the organism's resistome
that occurred over time. Results: WGS revealed that the HMV K. pneumoniae
belonged to ST23 and harboured an IncH1B virulence plasmid, while the XDR K.
pneumoniae belonged to ST147 and possessed two MDR plasmids (IncR and IncFII),
the blaOXA-181-bearing ColKP3 plasmid and chromosomal mutations conferring the
XDR phenotype. Sequential isolates demonstrated plasmid diversification (fusion
of the IncR and IncFII plasmids), mobilization of resistance elements (ompK35
inactivation by ISEcp1-blaCTX-M-15 mobilization, varying numbers of resistance
genes on plasmid scaffolds) and chromosomal mutations (mutations in mgrB) leading
to further antibiotic resistance that coincided with antibiotic pressure.
Importantly, the HMV strain in this study was unable to preserve the carbapenem
resistant phenotype without the selective pressure of meropenem. Conclusions: To
the best of our knowledge, we are the first to report a carbapenem-resistant HMV
K. pneumoniae strain in the USA. Ultimately, this case demonstrates the role of
antibiotic pressure in the acquisition and loss of important genetic elements.
PMID- 29648630
TI - Identification of Prosthetic Joint Infection Pathogens Using a Shotgun
Metagenomics Approach.
AB - Background: Metagenomic shotgun sequencing has the potential to change how many
infections, particularly those caused by difficult-to-culture organisms, are
diagnosed. Metagenomics was used to investigate prosthetic joint infections
(PJIs), where pathogen detection can be challenging. Methods: Four hundred eight
sonicate fluid samples generated from resected hip and knee arthroplasties were
tested, including 213 from subjects with infections and 195 from subjects without
infection. Samples were enriched for microbial DNA using the MolYsis basic kit,
whole-genome amplified, and sequenced using Illumina HiSeq 2500 instruments. A
pipeline was designed to screen out human reads and analyze remaining sequences
for microbial content using the Livermore Metagenomics Analysis Toolkit and
MetaPhlAn2 tools. Results: When compared to sonicate fluid culture, metagenomics
was able to identify known pathogens in 94.8% (109/115) of culture-positive PJIs,
with additional potential pathogens detected in 9.6% (11/115). New potential
pathogens were detected in 43.9% (43/98) of culture-negative PJIs, 21 of which
had no other positive culture sources from which these microorganisms had been
detected. Detection of microorganisms in samples from uninfected aseptic failure
cases was conversely rare (7/195 [3.6%] cases). The presence of human and
contaminant microbial DNA from reagents was a challenge, as previously reported.
Conclusions: Metagenomic shotgun sequencing is a powerful tool to identify a wide
range of PJI pathogens, including difficult-to-detect pathogens in culture
negative infections.
PMID- 29648631
TI - Myocardial tissue characteristics undoubtedly differ by gender but not age.
PMID- 29648633
TI - Inhibition of A-Type K+ Channels by Urotensin-II Induces Sensory Neuronal
Hyperexcitability Through the PKCalpha-ERK Pathway.
AB - Previous studies have implicated urotensin-II in the nociception of sensory
neurons. However, to date the relevant mechanisms remain unknown. In the current
study we determined the role of urotensin-II in the regulation of transient
outward A-type potassium currents (IA) and neuronal excitability in trigeminal
ganglion (TG) neurons. We found that application of urotensin-II to small
diameter TG neurons decreased IA in a dose-dependent manner, whereas the delayed
rectifier potassium current was unaffected. The IA decrease induced by urotensin
II depended on the urotensin-II receptor (UT-R) and was associated with a
hyperpolarizing shift in the steady-state inactivation curve. Exposure of TG
cells to urotensin-II markedly increased protein kinase C (PKC) activity, and PKC
inhibition eliminated the UT-R-mediated IA decrease. Antagonism of PKCalpha,
either pharmacologically or genetically, but not of PKCbeta prevented the
decrease in IA induced by urotensin-II. Analysis of phospho-extracellular signal
regulated kinase (p-ERK) revealed that urotensin-II significantly increased the
expression level of p-ERK, whereas p-p38 and p-c-Jun N-terminal kinase remained
unchanged. Inhibition of mitogen-activated protein kinase/ERK signaling by the
kinase antagonist U0126 and PD98059 completely abolished the UT-R-mediated IA
decrease. Moreover, urotensin-II significantly increased the action potential
firing rate of small TG neurons; pretreatment with 4-aminopyridine prevented this
effect. In summary, our findings suggest that urotensin-II selectively attenuated
IA through stimulation of the PKCalpha-dependent ERK1/2 signaling pathway. This
UT-R-dependent mechanism might contribute to neuronal hyperexcitability in TG
neurons.
PMID- 29648634
TI - Personalizing therapy for atrial fibrillation: the role of stem cell and in
silico disease models.
AB - Atrial fibrillation (AF) is the most common cardiac arrhythmia and is associated
with substantial morbidity. There is considerable inter-patient variability in
the pathologic processes that promote AF, and this variability likely has a
significant genetic basis. Clinically this is reflected by the observation that
anti-arrhythmic drugs and interventional procedures have highly variable
efficacy, and this highlights the need for adopting a more efficacious
personalized approach. We explore recent advancements in both in silico and stem
cell disease models that set the stage for a personalized approach. Specifically
we highlight new mechanistic insights in AF; the future role of computational
models in planning personalized ablation strategies; the potential role of stem
cell models as a preclinical platform for drug development; and the potential to
use gene-editing technology to create patient-specific stem cell models. Finally,
we introduce the concept of integrating stem cell models with computational
modelling to create a novel pipeline for patient-specific drug discovery and
development.
PMID- 29648635
TI - A slowly growing mass in the left chest wall: additive value of real time
myocardial contrast echocardiography.
PMID- 29648636
TI - The root-knot nematode Meloidogyne incognita produces a functional mimic of the
Arabidopsis INFLORESCENCE DEFICIENT IN ABSCISSION signaling peptide.
AB - INFLORESCENCE DEFICIENT IN ABSCISSION (IDA) is a signaling peptide that regulates
cell separation in Arabidopsis including floral organ abscission and lateral root
emergence. IDA is highly conserved in dicotyledonous flowering plant genomes. IDA
like sequences were also found in the genomic sequences of root-knot nematodes,
Meloidogyne spp., which are globally deleterious pathogens of agriculturally
important plants, but the role of these genes is unknown. Exogenous treatment of
the Arabidopsis ida mutant with synthetic peptide identical to the M. incognita
IDA-like 1 (MiIDL1) protein sequence minus its N-terminal signal peptide
recovered both the abscission and root architecture defects. Constitutive
expression of the full-length MiIDL1 open reading frame in the ida mutant
substantially recovered the delayed floral organ abscission phenotype whereas
transformants expressing a construct missing the MiIDL1 signal peptide retained
the delayed abscission phenotype. Importantly, wild-type Arabidopsis plants
harboring an MiIDL1-RNAi construct and infected with nematodes had approximately
40% fewer galls per root than control plants. Thus, the MiIDL1 gene produces a
functional IDA mimic that appears to play a role in successful gall development
on Arabidopsis roots.
PMID- 29648638
TI - Ovulatory Induction of SCG2 in Human, Nonhuman Primate, and Rodent Granulosa
Cells Stimulates Ovarian Angiogenesis.
AB - The luteinizing hormone (LH) surge is essential for ovulation, but the
intrafollicular factors induced by LH that mediate ovulatory processes (e.g.,
angiogenesis) are poorly understood, especially in women. The role of
secretogranin II (SCG2) and its cleaved bioactive peptide, secretoneurin (SN),
were investigated as potential mediators of ovulation by testing the hypothesis
that SCG2/SN is induced in granulosa cells by human chorionic gonadotropin (hCG),
via a downstream LH receptor signaling mechanism, and stimulates ovarian
angiogenesis. Humans, nonhuman primates, and rodents were treated with hCG in
vivo resulting in a significant increase in the messenger RNA and protein levels
of SCG2 in granulosa cells collected early during the periovulatory period and
just prior to ovulation (humans: 12 to 34 hours; monkeys: 12 to 36 hours;
rodents: 4 to 12 hours post-hCG). This induction by hCG was recapitulated in an
in vitro culture system utilizing granulosa-lutein cells from in vitro
fertilization patients. Using this system, inhibition of downstream LH receptor
signaling pathways revealed that the initial induction of SCG2 is regulated, in
part, by epidermal growth factor receptor signaling. Further, human ovarian
microvascular endothelial cells were treated with SN (1 to 100 ng/mL) and
subjected to angiogenesis assays. SN significantly increased endothelial cell
migration and new sprout formation, suggesting induction of ovarian angiogenesis.
These results establish that SCG2 is increased in granulosa cells across species
during the periovulatory period and that SN may mediate ovulatory angiogenesis in
the human ovary. These findings provide insight into the regulation of human
ovulation and fertility.
PMID- 29648637
TI - Medium and long-term emergency department utilization after oesophagectomy: a
population-based analysis.
AB - OBJECTIVES: Oesophagectomy is a complex operation with the potential for
prolonged recovery. The aim of this study was to evaluate healthcare resource
utilization, specifically emergency department (ED) visits within 1 year of
oesophagectomy, and to identify risk factors for ED visits and frequent ED use
(FEDU). METHODS: A retrospective cohort study of consecutive oesophagectomies for
cancer in all Ontario hospitals was conducted using linked health data (2000
2012) including the ability to identify ED visits at non-index hospitals. Ontario
has a single-payer healthcare system with a population of 13.8-million people.
Multivariable regression was used to identify independent factors associated with
ED visits and FEDU (>=3 ED visits) within 1 year after oesophagectomy. RESULTS:
There were 3344 oesophagectomies with in-hospital mortality of 5.8% (n = 193). Of
those discharged, 16.4% (n = 549), 36.0% (n = 1203) and 55.8% (n = 1866) had ED
visits within 30 days, 90 days and 1 year, respectively. Higher comorbidity
[adjusted odds ratio (aOR) = 1.08, 95% confidence interval (CI): 1.05-1.11, P <
0.0001], rurality (aOR = 1.40, 95% CI: 1.10-1.78, P = 0.006) and receipt of
chemotherapy and/or radiation therapy (aOR = 2.55, 95% CI: 2.12-3.08, P < 0.0001)
were independent risk factors for ED visits within 1 year of oesophagectomy.
Thoracoscopic-assisted surgery was independently associated with decreased ED
visits (aOR = 0.67, 95% CI: 0.45-0.99, P = 0.049). Eight hundred and thirteen
(24.3%) patients had FEDU. Higher comorbidity (aOR = 1.11, 95% CI: 1.08-1.14, P <
0.0001), rurality (aOR = 1.66, 95% CI: 1.31-2.10, P < 0.0001) and receipt of
chemotherapy and/or radiation therapy (aOR = 2.38, 95% CI: 1.93-2.93, P < 0.0001)
were independent risk factors for FEDU. One health region had more ED visits (P =
0.04) and more FEDU (P = 0.001) when compared with the other regions. There were
higher ED visits and FEDU in the later years of the study period (both P <
0.0001). CONCLUSIONS: ED visits are common after oesophagectomy with almost 25%
of patients having >=3 visits and >50% having >=1 visit within 1 year of
oesophagectomy. We have identified demographic, surgical and regional risk
factors for the potential targeted quality improvement.
PMID- 29648640
TI - Should extended blastocyst culture include Day 7?
AB - Extended culture to the blastocyst stage is widely practised, improving embryo
selection and promoting single embryo transfer. Selection of useable blastocysts
typically occurs on Days 5 and 6 of embryo culture. Embryos not suitable for
transfer, biopsy or cryopreservation after Day 6 are routinely discarded. Some
embryos develop at a slower rate, however, forming blastocysts on Day 7 of
culture. Day 7 blastocysts can be viable, they can be of top morphological grade,
euploid and result in a healthy live birth. Since ending culture on Day 6 is
current practice in most clinics, viable Day 7 blastocysts may be prematurely
discarded. Although Day 7 blastocysts make up only 5% of useable blastocysts,
those which are suitable for cryopreservation or biopsy are clinically
significant. Overall, culturing embryos an additional day increases the number of
useable embryos per IVF cycle and provides further opportunity for pregnancy for
patients, especially those who have only a few or low-quality blastocysts.
PMID- 29648639
TI - A natural non-Watson-Crick base pair in human mitochondrial tRNAThr causes
structural and functional susceptibility to local mutations.
AB - Six pathogenic mutations have been reported in human mitochondrial tRNAThr
(hmtRNAThr); however, the pathogenic molecular mechanism remains unclear.
Previously, we established an activity assay system for human mitochondrial
threonyl-tRNA synthetase (hmThrRS). In the present study, we surveyed the
structural and enzymatic effects of pathogenic mutations in hmtRNAThr and then
focused on m.15915 G > A (G30A) and m.15923A > G (A38G). The harmful evolutionary
gain of non-Watson-Crick base pair A29/C41 caused hmtRNAThr to be highly
susceptible to mutations disrupting the G30-C40 base pair in various ways; for
example, structural integrity maintenance, modification and aminoacylation of
tRNAThr, and editing mischarged tRNAThr. A similar phenomenon was observed for
hmtRNATrp with an A29/C41 non-Watson-Crick base pair, but not in bovine mtRNAThr
with a natural G29-C41 base pair. The A38G mutation caused a severe reduction in
Thr-acceptance and editing of hmThrRS. Importantly, A38 is a nucleotide
determinant for the t6A modification at A37, which is essential for the coding
properties of hmtRNAThr. In summary, our results revealed the crucial role of the
G30-C40 base pair in maintaining the proper structure and function of hmtRNAThr
because of A29/C41 non-Watson-Crick base pair and explained the molecular outcome
of pathogenic G30A and A38G mutations.
PMID- 29648641
TI - Factors associated with ever use of mammography in a limited resource setting. A
mixed methods study.
AB - Objective: To evaluate facilitators and barriers influencing mammography
screening participation among women. Design: Mixed methods study. Setting: Three
hospital catchment areas in Hidalgo, Mexico. Participants: Four hundred and fifty
five women aged 40-69 years. Intervention: Three hundred and eighty women
completed a survey about knowledge, beliefs and perceptions about breast cancer
screening, and 75 women participated in semi-structured, in-person interviews.
Survey data were analyzed using logistic regression; semi-structured interviews
were transcribed and analyzed using elements of the grounded theory method. Main
Outcome Measure: Women were categorized as never having had mammography or having
had at least one mammogram in the past. Results: From survey data, having had a
Pap in the past year was associated with ever having had breast screening (odds
ratio = 2.15; 95% confidence interval 1.30-3.54). Compared with never-screened
women, ever-screened women had better knowledge of Mexican recommendations for
the frequency of mammography screening (49.5% vs 31.7% P < 0.001). A higher
percentage of never-screened women perceived that a mammography was a painful
procedure (44.5% vs 33.8%; P < 0.001) and feared receiving bad news (38.4% vs
22.2%; P < 0.001) compared with ever-screened women. Women who participated in
semi-structured, in-person interviews expressed a lack of knowledge about Mexican
standard mammographic screening recommendations for age for starting mammography
and its recommended frequency. Women insured under the 'Opportunities' health
insurance program said that they are referred to receive Pap tests and
mammography. Conclusions: Local strategies to reduce mammogram-related pain and
fear of bad news should work in tandem with national programs to increase access
to screening.
PMID- 29648642
TI - A novel forehead temperature-regulating device for insomnia: a randomized
clinical trial.
AB - Study Objectives: Insomnia is one of the most common disorders in the general
population. Hypnotic medications are efficacious, but their use is limited by
adverse events (AEs). This study evaluated the safety and efficacy of a novel
forehead temperature-regulating device that delivers frontal cerebral thermal
therapy (maintained at 14-16 degrees C, equivalent to 57-61 degrees F) for the
treatment of insomnia. Methods: This was a prospective, randomized controlled
trial involving two nights of therapy in 106 adults diagnosed with insomnia. The
main outcome measures included latency to persistent sleep and sleep efficiency
derived from polysomnographic (PSG) recordings and frequency and severity of AEs.
Results: The safety profile was comparable to sham treatment. Statistically
significant differences were not found in the two a priori co-primary endpoint
measures absolute latency to persistent sleep (p = 0.092) or absolute sleep
efficiency. Frontal cerebral thermal therapy produced improvements over sham in
other convergent measures of sleep latency including relative changes from
baseline in latency to persistent sleep (p = 0.013), the latency to stage 1 NREM
sleep (p = 0.006), the latency to stage 2 NREM sleep (p = 0.002), a trend for the
latency to stage 3 NREM sleep (p = 0.055), and an increase in the minutes of
sleep during the first hour of the night (p = 0.024). Conclusions: Two-night
frontal cerebral thermal therapy produced improvements in PSG measures of
insomnia patients' ability to fall asleep and had a benign safety profile.
Further studies are warranted to determine the role of this therapy in the longer
term management of insomnia. Trial Registration: clinicaltrials.gov Identifier:
NCT01966211.
PMID- 29648644
TI - Management of patients with coronary heart disease in family medicine: correlates
of quality of care.
AB - Objectives: Family medicine plays an important role in quality of care (QoC) of
coronary heart disease (CHD) patients. This study's aim was to determine the
quality of secondary cardiovascular disease prevention in the everyday practice
of family physicians. Design: This study was observational cross-sectional.
Setting: About 36 randomly selected family medicine practices stratified by size
and location in Slovenia. Participants: CHD patients randomly selected from a
patient register available in family medicine practices. Main Outcome Measure(s):
The instrument for assessment of quality included a form for collecting data from
medical records, a general practice assessment questionnaire and a patient
questionnaire. QoC was defined by two composite variables, namely risk factor
registration and CHD patient process of care, as the two care outcomes. In
multivariate analysis, we performed multilevel regression analysis to identify
the associations between QoC, the patient and the practice characteristics.
Results: The final sample included 423 CHD patients from 36 family medicine
practices. Risk factor registration was associated with the practice organisation
score (P = 0.004), practice size (P = 0.042), presence of comorbid
atherosclerotic diseases (P = 0.043) and a lower age of CHD patients (P = 0.001).
CHD patient process of care was associated with the practice organisation score
(0.045) and a lower age of CHD patients (P = 0.035). Conclusions: The most
important factors affecting the quality of CHD patient care were linked to the
organisational characteristics of the family medicine practices.
PMID- 29648643
TI - Mutations in glycyl-tRNA synthetase impair mitochondrial metabolism in neurons.
AB - The nuclear-encoded glycyl-tRNA synthetase gene (GARS) is essential for protein
translation in both cytoplasm and mitochondria. In contrast, different genes
encode the mitochondrial and cytosolic forms of most other tRNA synthetases.
Dominant GARS mutations were described in inherited neuropathies, while recessive
mutations cause severe childhood-onset disorders affecting skeletal muscle and
heart. The downstream events explaining tissue-specific phenotype-genotype
relations remained unclear. We investigated the mitochondrial function of GARS in
human cell lines and in the GarsC210R mouse model. Human-induced neuronal
progenitor cells (iNPCs) carrying dominant and recessive GARS mutations showed
alterations of mitochondrial proteins, which were more prominent in iNPCs with
dominant, neuropathy-causing mutations. Although comparative proteomic analysis
of iNPCs showed significant changes in mitochondrial respiratory chain complex
subunits, assembly genes, Krebs cycle enzymes and transport proteins in both
recessive and dominant mutations, proteins involved in fatty acid oxidation were
only altered by recessive mutations causing mitochondrial cardiomyopathy. In
contrast, significant alterations of the vesicle-associated membrane protein
associated protein B (VAPB) and its downstream pathways such as mitochondrial
calcium uptake and autophagy were detected in dominant GARS mutations. The role
of VAPB has been supported by similar results in the GarsC210R mice. Our data
suggest that altered mitochondria-associated endoplasmic reticulum (ER) membranes
(MAM) may be important disease mechanisms leading to neuropathy in this
condition.
PMID- 29648646
TI - Learning from errors for continuously improving patient safety.
PMID- 29648645
TI - Does human endometrial LGR5 gene expression suggest the existence of another
hormonally regulated epithelial stem cell niche?
AB - STUDY QUESTION: Is human endometrial leucine-rich repeat-containing G-protein
coupled receptor 5 (LGR5) gene expression limited to the postulated epithelial
stem cell niche, stratum basalis glands, and is it hormonally regulated? SUMMARY
ANSWER: LGR5 expressing cells are not limited to the postulated stem cell niche
but LGR5 expression is hormonally regulated. WHAT IS KNOWN ALREADY: The human
endometrium is a highly regenerative tissue; however, endometrial epithelial stem
cell markers are yet to be confirmed. LGR5 is a marker of stem cells in various
epithelia. STUDY DESIGN, SIZE, DURATION: The study was conducted at a University
Research Institute. Endometrial samples from 50 healthy women undergoing benign
gynaecological surgery with no endometrial pathology at the Liverpool Women's
hospital were included and analysed in the following six sub-categories;
proliferative, secretory phases of menstrual cycle, postmenopausal, those using
oral and local progestagens and samples for in vitro explant culture.
PARTICIPANTS/MATERIALS, SETTING, METHODS: In this study, we used the gold
standard method, in situ hybridisation (ISH) along with qPCR and a systems
biology approach to study the location of LGR5 gene expression in full thickness
human endometrium and Fallopian tubes. The progesterone regulation of endometrial
LGR5 was examined in vivo and in short-term cultured endometrial tissue explants
in vitro. LGR5 expression was correlated with epithelial proliferation (Ki67),
and expression of previously reported epithelia progenitor markers (SOX9 and SSEA
1) immunohistochemistry (IHC). MAIN RESULTS AND THE ROLE OF CHANCE: LGR5 gene
expression was significantly higher in the endometrial luminal epithelium than in
all other epithelial compartments in the healthy human endometrium, including the
endometrial stratum basalis (P < 0.05). The strongest SSEA-1 and SOX9 staining
was observed in the stratum basalis glands, but the general trend of SOX9 and
SSEA-1 expression followed the same cyclical pattern of expression as LGR5.
Stratum functionalis epithelial Ki67-LI and LGR5 expression levels correlated
significantly (r = 0.74, P = 0.01), however, they did not correlate in luminal
and stratum basalis epithelium (r = 0.5 and 0.13, respectively). Endometrial LGR5
demonstrates a dynamic spatiotemporal expression pattern, suggesting hormonal
regulation. Oral and local progestogens significantly reduced endometrial LGR5
mRNA levels compared with women not on hormonal treatment (P < 0.01). Our data
were in agreement with in silico analysis of published endometrial microarrays.
LARGE SCALE DATA: We did not generate our own large scale data but interrogated
publically available large scale data sets. LIMITATIONS, REASONS FOR CAUTION: In
the absence of reliable antibodies for human LGR5 protein and validated lineage
markers for the various epithelial populations that potentially exist within the
endometrium, our study does not formally characterise or examine the functional
ability of the resident LGR5+ cells as multipotent. WIDER IMPLICATIONS OF THE
FINDINGS: These data will facilitate future lineage tracing studies in the human
endometrial epithelium; to identify the location of stem cells and further
complement the in vitro functional studies, to confirm if the LGR5 expressing
epithelial cells indeed represent the epithelial stem cell population. STUDY
FUNDING/COMPETING INTEREST(S): This work was supported by funding from the
Wellbeing of Women project grant (RTF510) and Cancer Research UK (A14895). None
of the authors have any conflicts of interest to disclose.
PMID- 29648647
TI - A prospective study of physical activity and fecundability in women with a
history of pregnancy loss.
AB - STUDY QUESTION: Is physical activity (PA) associated with fecundability in women
with a history of prior pregnancy loss? SUMMARY ANSWER: Higher fecundability was
related to walking among overweight/obese women and to vigorous PA in women
overall. WHAT IS KNOWN ALREADY: PA may influence fecundability through altered
endocrine function. Studies evaluating this association have primarily utilized
Internet-based recruitment and self-report for pregnancy assessment and have
yielded conflicting results. STUDY DESIGN, SIZE, DURATION: This is a secondary
analysis of the Effects of Aspirin in Gestation and Reproduction (EAGeR) trial
(2007-2011), a multisite, randomized controlled trial of preconception-initiated
low-dose aspirin. PARTICIPANTS/MATERIALS, SETTING, METHODS: Healthy women (n =
1214), aged 18-40 and with 1-2 prior pregnancy losses, were recruited from four
US medical centers. Participants were followed for up to six menstrual cycles
while attempting pregnancy and through pregnancy for those who became pregnant.
Time to hCG detected pregnancy was assessed using discrete-time Cox proportional
hazard models to estimate fecundability odds ratios (FOR) adjusted for
covariates, accounting for left truncation and right censoring. MAIN RESULTS AND
THE ROLE OF CHANCE: The association of walking with fecundability varied
significantly by BMI (P-interaction = 0.01). Among overweight/obese women,
walking >=10 min at a time was related to improved fecundability (FOR = 1.82, 95%
CI: 1.19, 2.77). In adjusted models, women reporting >4 h/wk of vigorous activity
had significantly higher fecundability (FOR = 1.69, 95% CI: 1.24, 2.31) compared
to no vigorous activity. Associations of vigorous activity with fecundability
were not significantly different by BMI (P-interaction = 0.9). Moderate activity,
sitting, and International Physical Activity Questionnaire (IPAQ) categories were
not associated with fecundability overall or in BMI-stratified analyses.
LIMITATIONS, REASONS FOR CAUTION: Some misclassification of PA levels as
determined by the short form of the IPAQ is likely to have occurred, and may have
led to non-differential misclassification of exposure in our study. Information
on diet and change in BMI was not collected and may have contributed to some
residual confounding in our results. The generalizability of our results may be
limited as our population consisted of women with a history of one or two
pregnancy losses. WIDER IMPLICATIONS OF THE FINDINGS: These findings provide
positive evidence for the benefits of PA in women attempting pregnancy,
especially for walking among those with higher BMI. Further study is necessary to
clarify possible mechanisms through which walking and vigorous activity might
affect time-to-pregnancy. STUDY FUNDING/COMPETING INTEREST(S): This work was
funded by the Intramural Research Program of the Eunice Kennedy Shriver National
Institute of Child Health and Human Development. The authors report no conflicts
of interest in this work. TRIAL REGISTRATION NUMBER: #NCT00467363.
PMID- 29648648
TI - FGF signaling deregulation is associated with early developmental skeletal
defects in animal models for mucopolysaccharidosis type II (MPSII).
AB - Skeletal abnormalities represent a major clinical burden in patients affected by
the lysosomal storage disorder mucopolysaccharidosis type II (MPSII, OMIM
#309900). While extensive research has emphasized the detrimental role of stored
glycosaminoglycans (GAGs) in the bone marrow (BM), a limited understanding of
primary cellular mechanisms underlying bone defects in MPSII has hampered the
development of bone-targeted therapeutic strategies beyond enzyme replacement
therapy (ERT). We here investigated the involvement of key signaling pathways
related to the loss of iduronate-2-sulfatase activity in two different MPSII
animal models, D. rerio and M. musculus. We found that FGF pathway activity is
impaired during early stages of bone development in IDS knockout mice and in a
newly generated Ids mutant fish. In both models the FGF signaling deregulation
anticipated a slow but progressive defect in bone differentiation, regardless of
any extensive GAGs storage. We also show that MPSII patient fibroblasts harboring
different mutations spanning the IDS gene exhibit perturbed FGF signaling-related
markers expression. Our work opens a new venue to discover possible druggable
novel key targets in MPSII.
PMID- 29648651
TI - Is Lean sustainable in today's NHS hospitals? A systematic literature review
using the meta-narrative and integrative methods.
AB - Purpose: Methodological variance and quality, heterogeneity of value and
divergent approaches are reasons for the varied results of Lean interventions in
healthcare despite ongoing global popularity. However, there is piecemeal
evidence addressing the sustainability of initiatives-the aim of this review is
to use an integrative approach to consider Lean's sustainability and the quality
of available evidence in today's National Health Service (NHS). Data sources: A
literature review of AMED, CINAHL, Cochrane, JBI, SCOPUS, DelphiS, MEDLINE,
EMBASE, MIDIRS, Web of Science and PsycINFO electronic databases was conducted.
Study selection: Peer-reviewed studies in NHS hospitals/trusts that concerned
undiluted, service-wide Lean adoption and contained quantitative data were
included. Reference lists were consulted for evidence via a snowball approach.
Methodological quality was assessed using an adapted critical appraisal tool.
Data extraction: Research design, method of intervention, outcome measures and
sustainability were extracted. Results of data synthesis: Electronic searches
identified 12 studies eligible for inclusion. This comprised of five quasi
experimental designs (one mixed-method), three multi-site analyses, one action
research, one failure mode and effects analysis, one content analysis of annual
reports and one systematic review. Six articles considered sustainability with
two of these providing measured successes. Despite diverse and positive outcomes
studies lacked scientific rigour, failed to consider confounding issues, were at
risk of positive bias and did not demonstrate sustainability with any statistical
significance. Conclusion: Lean has ostensible value but it is difficult to draw a
conclusion on efficacy or sustainability. Higher quality scientific research into
Lean and the effect of staffing cultures on initiatives are needed to ascertain
the extent that Lean can affect healthcare quality and subsequently be sustained.
PMID- 29648650
TI - NAFLD risk alleles in PNPLA3, TM6SF2, GCKR and LYPLAL1 show divergent metabolic
effects.
AB - Fatty liver has been associated with unfavourable metabolic changes in
circulation. To provide insights in fatty liver-related metabolic deviations, we
compared metabolic association profile of fatty liver versus metabolic
association profiles of genotypes increasing the risk of non-alcoholic fatty
liver disease (NAFLD). The cross-sectional associations of ultrasound-ascertained
fatty liver with 123 metabolic measures were determined in 1810 (Nfatty liver =
338) individuals aged 34-49 years from The Cardiovascular Risk in Young Finns
Study. The association profiles of NAFLD-risk alleles in PNPLA3, TM6SF2, GCKR,
and LYPLAL1 with the corresponding metabolic measures were obtained from a
publicly available metabolomics GWAS including up to 24 925 Europeans. The risk
alleles showed different metabolic effects: PNPLA3 rs738409-G, the strongest
genetic NAFLD risk factor, did not associate with metabolic changes. Metabolic
effects of GCKR rs1260326-T were comparable in many respects to the fatty liver
associations. Metabolic effects of LYPLAL1 rs12137855-C were similar, but
statistically less robust, to the effects of GCKR rs1260326-T. TM6SF2 rs58542926
T displayed opposite metabolic effects when compared with the fatty liver
associations. The metabolic effects of the risk alleles highlight heterogeneity
of the molecular pathways leading to fatty liver and suggest that the fatty liver
related changes in the circulating lipids and metabolites may vary depending on
the underlying pathophysiological mechanism. Despite the robust cross-sectional
associations on population level, the present results showing neutral or
cardioprotective metabolic effects for some of the NAFLD risk alleles advocate
that hepatic lipid accumulation by itself may not increase the level of
circulating lipids or other metabolites.
PMID- 29648652
TI - Arabidopsis Aspartic Protease ASPG1 Affects Seed Dormancy, Seed Longevity and
Seed Germination.
AB - Seed storage proteins (SSPs) provide free amino acids and energy for the process
of seed germination. Although degradation of SSPs by the aspartic proteases
isolated from seeds has been documented in vitro, there is still no genetic
evidence for involvement of aspartic proteases in seed germination. Here we
report that the aspartic protease ASPG1 (ASPARTIC PROTEASE IN GUARD CELL 1) plays
an important role in the process of dormancy, viability and germination of
Arabidopsis seeds. We show that aspg1-1 mutants have enhanced seed dormancy and
reduced seed viability. A significant increase in expression of DELLA genes which
act as repressors in the gibberellic acid signal transduction pathway were
detected in aspg1-1 during seed germination. Seed germination of aspg1-1 mutants
was more sensitive to treatment with paclobutrazol (PAC; a gibberellic acid
biosynthesis inhibitor). In contrast, seed germination of ASPG1 overexpression
(OE) transgenic lines showed resistant to PAC. The degradation of SSPs in
germinating seeds was severely impaired in aspg1-1 mutants. Moreover, the
development of aspg1-1 young seedlings was arrested when grown on the nutrient
free medium. Thus ASPG1 is important for seed dormancy, seed longevity and seed
germination, and its function is associated with degradation of SSPs and
regulation of gibberellic acid signaling in Arabidopsis.
PMID- 29648654
TI - Response to Jolobe: 'Medical abbreviations generate potentially dangerous
ambiguity'.
PMID- 29648653
TI - Neural substrates of social facilitation effects on incentive-based performance.
AB - Throughout our lives we must perform tasks while being observed by others.
Previous studies have shown that the presence of an audience can cause increases
in an individual's performance as compared to when they are not being observed -
a phenomenon called 'social facilitation'. However, the neural mechanisms
underlying this effect, in the context of skilled-task performance for monetary
incentives, are not well understood. We used functional magnetic resonance
imaging (fMRI) to monitor brain activity while healthy human participants
performed a skilled-task during conditions in which they were paid based on their
performance and observed and not observed by an audience. We found that during
social facilitation, social signals represented in the dorsomedial prefrontal
cortex (dmPFC) enhanced reward value computations in ventromedial cortex (vmPFC).
We also found that functional connectivity between dmPFC and ventral striatum
(vSTR) was enhanced when participants exhibited social facilitation effects,
indicative of a means by which social signals serve to modulate brain regions
involved in regulating behavioral motivation. These findings illustrate how
neural processing of social judgments gives rise to the enhanced motivational
state that results in social facilitation of incentive-based performance.
PMID- 29648655
TI - Cerebral cysticercosis.
PMID- 29648649
TI - Infectious, inflammatory and 'autoimmune' male factor infertility: how do rodent
models inform clinical practice?
AB - BACKGROUND: Infection and inflammation of the reproductive tract are significant
causes of male factor infertility. Ascending infections caused by sexually
transmitted bacteria or urinary tract pathogens represent the most frequent
aetiology of epididymo-orchitis, but viral, haematogenous dissemination is also a
contributory factor. Limitations in adequate diagnosis and therapy reflect an
obvious need for further understanding of human epididymal and testicular
immunopathologies and their contribution to infertility. A major obstacle for
advancing our knowledge is the limited access to suitable tissue samples.
Similarly, the key events in the inflammatory or autoimmune pathologies affecting
human male fertility are poorly amenable to close examination. Moreover, the
disease processes generally have occurred long before the patient attends the
clinic for fertility assessment. In this regard, data obtained from experimental
animal models and respective comparative analyses have shown promise to overcome
these restrictions in humans. OBJECTIVE AND RATIONALE: This narrative review will
focus on male fertility disturbances caused by infection and inflammation, and
the usefulness of the most frequently applied animal models to study these
conditions. SEARCH METHODS: An extensive search in Medline database was performed
without restrictions until January 2018 using the following search terms:
'infection' and/or 'inflammation' and 'testis' and/or 'epididymis', 'infection'
and/or 'inflammation' and 'male genital tract', 'male infertility', 'orchitis',
'epididymitis', 'experimental autoimmune' and 'orchitis' or 'epididymitis' or
'epididymo-orchitis', antisperm antibodies', 'vasectomy'. In addition to that,
reference lists of primary and review articles were reviewed for additional
publications independently by each author. Selected articles were verified by
each two separate authors and discrepancies discussed within the team. OUTCOMES:
There is clear evidence that models mimicking testicular and/or epididymal
inflammation and infection have been instructive in a better understanding of the
mechanisms of disease initiation and progression. In this regard, rodent models
of acute bacterial epididymitis best reflect the clinical situation in terms of
mimicking the infection pathway, pathogens selected and the damage, such as
fibrotic transformation, observed. Similarly, animal models of acute testicular
and epididymal inflammation using lipopolysaccharides show impairment of
reproduction, endocrine function and histological tissue architecture, also seen
in men. Autoimmune responses can be studied in models of experimental autoimmune
orchitis (EAO) and vasectomy. In particular, the early stages of EAO development
showing inflammatory responses in the form of peritubular lymphocytic
infiltrates, thickening of the lamina propria of affected tubules, production of
autoantibodies against testicular antigens or secretion of pro-inflammatory
mediators, replicate observations in testicular sperm extraction samples of
patients with 'mixed atrophy' of spermatogenesis. Vasectomy, in the form of sperm
antibodies and chronic inflammation, can also be studied in animal models,
providing valuable insights into the human response. WIDER IMPLICATIONS: This is
the first comprehensive review of rodent models of both infectious and autoimmune
disease of testis/epididymis, and their clinical implications, i.e. their
importance in understanding male infertility related to infectious and non
infectious/autoimmune disease of the reproductive organs.
PMID- 29648656
TI - Pursuing origins of (poly)ethylene glycol-induced G-quadruplex structural
modulations.
AB - Molecular crowding conditions provided by high concentration of cosolutes are
utilized for characterization of biomolecules in cell-mimicking environment and
development of drug-delivery systems. In this context, (poly)ethylene glycols are
often used for studying non-canonical DNA structures termed G-quadruplexes, which
came into focus by emerging structural biology findings and new therapeutic drug
design approaches. Recently, several reports were made arguing against using
(poly)ethylene glycols in role of molecular crowding agents due to their direct
impact on DNA G-quadruplex stability and topology. However, the available data on
structural details underlying DNA interaction is very scarce and thus limits in
depth comprehension. Herein, structural and thermodynamic analyses were
strategically combined to assess G-quadruplex-cosolute interactions and address
previously reported variances regarding the driving forces of G-rich DNA
structural transformations under molecular crowding conditions. With the use of
complementary (CD, NMR and UV) spectroscopic methods and model approach we
characterized DNA G-quadruplex in the presence of the smallest and one of the
largest typically used (poly)ethylene glycols. Dehydration effect is the key
contributor to ethylene-glycol-induced increased stability of the G-quadruplex,
which is in the case of the large cosolute mainly guided by the subtle direct
interactions between PEG 8000 and the outer G-quartet regions.
PMID- 29648657
TI - Influences of the Menstrual Phase on Cortisol Response to Stress in Nicotine
Dependent Women: A Preliminary Examination.
AB - Introduction: Evidence indicates that menstrual cycle phase plays a role in
smoking withdrawal symptoms and craving. Stress increases these symptoms. Whether
the stress regulatory mechanism is associated with menstrual phase and withdrawal
symptoms is not well understood. Methods: Thirty-seven female smokers and 16
female nonsmokers were asked to complete a laboratory session. In each group,
approximately half of the participants were tested when they were in the
follicular phase and the other half was tested in the luteal phase. The session
included resting baseline, stress, and recovery periods. Saliva samples for the
measurement of cortisol and subjective measures of craving and withdrawal
symptoms were collected at the end of each period. Results: A series of repeated
measures ANCOVAs found a significant smoking group x menstrual phase x sampling
time interaction in cortisol levels (p < .05). Follow-up analyses indicated a
reduced cortisol stress response in the luteal group relative to the follicular
group in smokers (p < .02). This difference was not found in nonsmokers.
Conclusions: Menstrual cycle phase is related to hormonal stress response and
smoking withdrawal symptomatology. Implications: We show influences of the
menstrual cycle phase on stress response among smokers. This is demonstrated by a
reduced cortisol response to stress in the luteal group relative to the
follicular group among smokers. This menstrual phase difference was not found in
nonsmokers.
PMID- 29648659
TI - Comment on "Trivalent CAR T cells overcome interpatient antigenic variability in
glioblastoma".
PMID- 29648658
TI - Pseudomonas aeruginosa partitioning protein ParB acts as a nucleoid-associated
protein binding to multiple copies of a parS-related motif.
AB - ParA and ParB homologs are involved in accurate chromosome segregation in
bacteria. ParBs participate in the separation of ori domains by binding to parS
palindromes, mainly localized close to oriC. In Pseudomonas aeruginosa neither
ParB deficiency nor modification of all 10 parSs is lethal. However, such mutants
show not only defects in chromosome segregation but also growth retardation and
motility dysfunctions. Moreover, a lack of parB alters expression of over 1000
genes, suggesting that ParB could interact with the chromosome outside its
canonical parS targets. Here, we show that indeed ParB binds specifically to
hundreds of sites in the genome. ChIP-seq analysis revealed 420 ParB-associated
regions in wild-type strain and around 1000 in a ParB-overproducing strain and in
various parS mutants. The vast majority of the ParB-enriched loci contained a
heptanucleotide motif corresponding to one arm of the parS palindrome. All
previously postulated parSs, except parS5, interacted with ParB in vivo. Whereas
the ParB binding to the four parS sites closest to oriC, parS1-4, is involved in
chromosome segregation, its genome-wide interactions with hundreds of parS half
sites could affect chromosome topology, compaction and gene expression, thus
allowing P. aeruginosa ParB to be classified as a nucleoid-associated protein.
PMID- 29648660
TI - dUTPs conjugated with zwitterionic Cy3 or Cy5 fluorophore analogues are effective
substrates for DNA amplification and labelling by Taq polymerase.
AB - To develop structural modifications of dNTPs that are compatible with Taq DNA
polymerase activity, we synthesized eight dUTP derivatives conjugated with Cy3 or
Cy5 dye analogues that differed in charge and charge distribution throughout the
fluorophore. These dUTP derivatives and commercial Cy3- and Cy5-dUTP were studied
in Taq polymerase-dependent polymerase chain reactions (PCRs) and in primer
extension reactions using model templates containing one, two and three adjacent
adenine nucleotides. The relative amounts of amplified DNA and the kinetic
parameters Km and Vmax characterizing the incorporation of labelled dUMPs have
been estimated using fluorescence measurements and analysed. The dUTPs labelled
with electroneutral zwitterionic analogues of Cy3 or Cy5 fluorophores were used
by Taq polymerase approximately one order of magnitude more effectively than the
dUTPs labelled with negatively charged analogues of Cy3 or Cy5. The nucleotidyl
transferase activity of Taq polymerase was also observed and resulted in the
addition of dUMPs labelled with electroneutral or positively charged fluorophores
to the 3' ends of DNA. The introduction of mutually compensating charges into
fluorophores or other functional groups conjugated to dNTPs can be considered a
basis for the creation of PCR-compatible modified nucleoside triphosphates.
PMID- 29648661
TI - Anticoagulation therapy in patients with non-valvular atrial fibrillation
hospitalized in the Department of Medicine in the NOACs era.
AB - Background: The treatment of atrial fibrillation (AF) includes anticoagulation
(AC) therapy to prevent systemic emboli. Until recently, warfarin was the main AC
agent, while in recent years, the new oral anticoagulants (NOACs) are
increasingly being used. Aim: The aim of our study was to characterize the AC
treatment policy of AF patients at the department of medicine in the NOACs era.
Methods and Design: An observational study of consecutive hospitalized patients
with non-valvular AF for a period of 3 months in Beilinson hospital (January to
March 2017). Demographic characteristics, clinical data and AC therapeutic
approach were compared to those from the pre-NOACs era, based on a previous
study. Results: A total of 335 patients were hospitalized with either new (21%)
or prior (79%) non-valvular AF. An increase in AC therapy among patients with
prior and new AF was observed compared to the pre-NOACs era (76% vs. 59%; P <
0.001 and 68% vs. 49%; P < 0.001, respectively). Totally, 76% of all patients
were discharged with AC therapy compared to 55% in the pre-NOACs era. As in the
pre-NOACs era, prior AC therapy was the main predictor for the prescription of AC
therapy during hospitalization and discharge (OR = 13, 95% CI; 7-25, P = 0.0001).
Conclusion: There is a significant increase in the AC therapy prescription,
mainly NOACs, in hospitalized non-valvular AF patients. This increase could be
explained by the difficulties in warfarin treatment and the benefits of NOACs.
Nevertheless, a large observational study is required to prove these findings.
PMID- 29648662
TI - Long-term causes of death in patients with infective endocarditis who undergo
medical therapy only or surgical treatment: a nationwide population-based study.
AB - OBJECTIVES: It is known that patients surviving infective endocarditis have a
poor long-term prognosis; however, few studies have addressed the long-term
causes of death in patients surviving the initial hospitalization. METHODS: Using
Danish administrative registries, we identified patients admitted to a hospital
with 1st time infective endocarditis in the period from January 1996 to December
2014, who were alive at the time of discharge. The study population was
categorized into (i) patients undergoing medical therapy only and (ii) patients
undergoing surgical and medical treatment. We examined the cardiovascular and non
cardiovascular causes of death. Using the Cox analysis, we investigated the
associated risk of dying from a specific prespecified cause of death (heart
failure, infective endocarditis and stroke) within the surgery group when
compared with the medically treated group. RESULTS: We identified 5576 patients:
4220 patients belonged to the medically treated group and 1356 patients to the
surgery group. At the 10-year follow-up, the mortality rate was 63.1% and 41.6%
in the medically treated group and the surgery group, respectively.
Cardiovascular disease was the most frequent cause of death in both groups
accounting for 52.5% in the medically treated group and 55.2% in the surgery
group. Patients undergoing surgery were associated with a lower risk of dying
from heart failure and stroke when compared with medically treated patients
[hazard ratio = 0.66 (95% confidence interval: 0.46-0.94) and hazard ratio = 0.59
(95% confidence interval: 0.37-0.96), respectively]. CONCLUSIONS: No major
differences were found in the main causes of death between groups. Patients in
the surgical group were associated with a lower risk of dying from heart failure
and stroke when compared with medically treated patients.
PMID- 29648663
TI - Incidence and Classification of Postcolonoscopy Colorectal Cancers in
Inflammatory Bowel Disease: A Dutch Population-Based Cohort Study.
AB - Background and Aims: Patients with inflammatory bowel disease [IBD] colitis are
at increased risk for colorectal cancer [CRC]. We examined the proportion and
most likely aetiology of potentially preventable postcolonoscopy CRCs [PCCRCs] in
a population-based cohort. Furthermore, adherence to IBD surveillance guidelines
was evaluated in both PCCRCs and the remainder of prevalent CRCs. Methods: All
IBD patients diagnosed from 1991 to 2011 in the South Limburg region of The
Netherlands [i.e. IBDSL cohort] were included. CRC cases were cross-checked with
the Dutch pathology database and cancer registry. PCCRCs were defined as cancers
diagnosed within 6-60 months after a colonoscopy and were classified as
attributable to 'inappropriate surveillance interval', 'inadequate bowel
examination', 'incomplete resection', 'missed lesion' or 'newly developed
cancer'. Results: Twenty CRC cases were identified during 25,931 patient years of
follow-up in 2,801 patients. The proportion of PCCRCs was 45.0%. Of these, 55.6%
could be considered a 'missed lesion', while other possible aetiologies occurred
only once. Considering both PCCRCs [n=9] and prevalent CRCs [n=11], ten were
detected after publication of the surveillance guideline, but only three patients
were enrolled. Moreover, 6 CRCs [30.0%] were detected before the recommended
start of surveillance. Conclusions: In the IBDSL cohort, 45.0% of all CRCs were
considered to be PCCRCs, mainly classified as missed lesions. Additionally, a
large proportion of CRCs in our cohort were observed before a surveillance
endoscopy was performed. Therefore, stringent adherence to IBD surveillance
guidelines, improving endoscopy techniques and adjusting the surveillance program
may lead to a decrease in CRC incidence.
PMID- 29648664
TI - How does the association of general and central adiposity with glycaemia and
blood pressure differ by gender and area of residence in a Malawian population: a
cross-sectional study.
AB - Background: In high-income settings, body mass index (BMI) and measures of
central adiposity, such as waist-to-hip ratio (WHR) are associated with
cardiometabolic risk, but evidence from low-income settings, particularly sub
Saharan Africa (SSA), is limited. We assessed whether there are differences
between central and general adiposity in their associations with fasting glucose,
diabetes, systolic and diastolic blood pressures and hypertension, and whether
these associations differ with gender or rural/urban setting in Malawi. Methods:
We used data from a population-based study of 27 880 Malawian adults aged >=18
years, from both rural and urban areas. We used age-standardized z-scores of the
means of BMI and WHR to directly compare their associations with glycaemic and
blood pressure outcomes. Results: Mean fasting glucose and blood pressure values
and odds of hypertension increased linearly across fifths of BMI and WHR, with
stronger associations with BMI. For both BMI and WHR, the associations with
outcomes were stronger in urban versus rural residents. The association with
diabetes was stronger in women than men, whereas for blood-pressure related
outcomes a stronger association was seen in men. Conclusions: BMI is more
strongly associated with cardiometabolic risk in SSA, and might be a more useful
measure than WHR, in this population. The greater positive association of
adiposity with cardiometabolic outcomes in urban residents (where rates of
overweight/obesity are already high) highlights the particular importance of
addressing obesity within urban SSA populations.
PMID- 29648666
TI - The Basic Helix-Loop-Helix Transcription Factor GubHLH3 Positively Regulates
Soyasaponin Biosynthetic Genes in Glycyrrhiza uralensis.
AB - Glycyrrhiza uralensis (licorice) is a widely used medicinal plant belonging to
the Fabaceae. Its main active component, glycyrrhizin, is an oleanane-type
triterpenoid saponin widely used as a medicine and as a natural sweetener.
Licorice also produces other triterpenoids, including soyasaponins. Recent
studies have revealed various oxidosqualene cyclases and cytochrome P450
monooxygenases (P450s) required for the biosynthesis of triterpenoids in
licorice. Of these enzymes, beta-amyrin synthase (bAS) and beta-amyrin C-24
hydroxylase (CYP93E3) are involved in the biosynthesis of soyasapogenol B (an
aglycone of soyasaponins) from 2,3-oxidosqualene. Although these biosynthetic
enzyme genes are known to be temporally and spatially expressed in licorice, the
regulatory mechanisms underlying their expression remain unknown. Here, we
identified a basic helix-loop-helix (bHLH) transcription factor, GubHLH3, that
positively regulates the expression of soyasaponin biosynthetic genes. GubHLH3
preferentially activates transcription from promoters of CYP93E3 and CYP72A566,
the second P450 gene newly identified and shown to be responsible for C-22beta
hydroxylation in soyasapogenol B biosynthesis, in transient co-transfection
assays of promoter-reporter constructs and transcription factors. Overexpression
of GubHLH3 in transgenic hairy roots of G. uralensis enhanced the expression
levels of bAS, CYP93E3 and CYP72A566. Moreover, soyasapogenol B and sophoradiol
(22beta-hydroxy-beta-amyrin), an intermediate between beta-amyrin and
soyasapogenol B, were increased in transgenic hairy root lines overexpressing
GubHLH3. We found that soyasaponin biosynthetic genes and GubHLH3 were co
ordinately up-regulated by methyl jasmonate (MeJA). These results suggest that
GubHLH3 regulates MeJA-responsive expression of soyasaponin biosynthetic genes in
G. uralensis. The regulatory mechanisms of triterpenoid biosynthesis in legumes
are compared and discussed.
PMID- 29648665
TI - Homozygous TAF8 mutation in a patient with intellectual disability results in
undetectable TAF8 protein, but preserved RNA polymerase II transcription.
AB - The human general transcription factor TFIID is composed of the TATA-binding
protein (TBP) and 13 TBP-associated factors (TAFs). In eukaryotic cells, TFIID is
thought to nucleate RNA polymerase II (Pol II) preinitiation complex formation on
all protein coding gene promoters and thus, be crucial for Pol II transcription.
In a child with intellectual disability, mild microcephaly, corpus callosum
agenesis and poor growth, we identified a homozygous splice-site mutation in TAF8
(NM_138572.2: c.781-1G > A). Our data indicate that the patient's mutation
generates a frame shift and an unstable TAF8 mutant protein with an unrelated C
terminus. The mutant TAF8 protein could not be detected in extracts from the
patient's fibroblasts, indicating a loss of TAF8 function and that the mutation
is most likely causative. Moreover, our immunoprecipitation and proteomic
analyses show that in patient cells only partial TAF complexes exist and that the
formation of the canonical TFIID is impaired. In contrast, loss of TAF8 in mouse
embryonic stem cells and blastocysts leads to cell death and to a global decrease
in Pol II transcription. Astonishingly however, in human TAF8 patient cells, we
could not detect any cellular phenotype, significant changes in genome-wide Pol
II occupancy and pre-mRNA transcription. Thus, the disorganization of the
essential holo-TFIID complex did not affect global Pol II transcription in the
patient's fibroblasts. Our observations further suggest that partial TAF
complexes, and/or an altered TFIID containing a mutated TAF8, could support human
development and thus, the absence of holo-TFIID is less deleterious for
transcription than originally predicted.
PMID- 29648667
TI - Epidemiology, risk factors and characteristics of pediatric stroke: a nationwide
population-based study.
AB - Background: Stroke, classically characterized as an acute acquired neurological
deficit, is an important leading cause of death and chronic morbidity in
children. Aims: This study reported the period prevalence, incidence and risk
factors of pediatric stroke in Taiwan. Methods and procedures: All Taiwan
inhabitants aged 1 month to 18 years registered in the National Health Insurance
Research Database between 2010 and 2011 were enrolled in this study. Factors
including age, sex, location and household income levels were collected.
Incidence, period prevalence, mortality rate and the possible risks were
completely evaluated. Outcomes and results: Hemorrhagic stroke has a
significantly higher mortality rate than ischemic stroke (27.6% vs. 10.2%,
P<0.05). Risk factors or underlying diseases for stroke were identified in 77.8%
of the patients and 16.2% had more than one risk factor. The most common risk
factors were vascular diseases (26.3%), infection (14.0%) and cardiac disorders
(9.1%). Conclusions and implications: Infants younger than 2 years, boys and
children in lower socioeconomic status have a significantly higher risk of
stroke. Hemorrhagic stroke has a significantly higher mortality rate than
ischemic stroke. More than half of the children with stroke had underlying
diseases and the causes of hemorrhagic stroke are significantly different from
ischemic stroke.
PMID- 29648669
TI - A Clinical Prediction Model for Surgical Site Infections in Dermatological
Surgery.
AB - To adequately identify patients at risk for surgical site infection in
dermatological surgery and effectively prescribe antibiotic prophylaxis, a
prediction model may be helpful. Such a model was developed using data from 1,407
patients who underwent dermatological surgery without antibiotic prophylaxis. The
multivariable logistic regression model included type of closure, tumour location
and defect size as risk factors. Bootstrapping was used for internal validation.
The overall performance of the model was good, with an area under the curve of
84.1%. The decision curve analysis showed that the model is potentially useful if
one is willing to treat more than 8 patients with antibiotic prophylaxis to avoid
one infection. For those who prefer more restrictive use of antibiotic
prophylaxis, a default strategy of treating no patients at all with prophylaxis
would be the best choice. External validation of the model is required before it
can be widely applied.
PMID- 29648668
TI - Widespread enhancer activation via ERalpha mediates estrogen response in vivo
during uterine development.
AB - Little is known regarding how steroid hormone exposures impact the epigenetic
landscape in a living organism. Here, we took a global approach to understanding
how exposure to the estrogenic chemical, diethylstilbestrol (DES), affects the
neonatal mouse uterine epigenome. Integration of RNA- and ChIP-sequencing data
demonstrated that ~80% of DES-altered genes had higher H3K4me1/H3K27ac signal in
close proximity. Active enhancers, of which ~3% were super-enhancers, had a high
density of estrogen receptor alpha (ERalpha) binding sites and were correlated
with alterations in nearby gene expression. Conditional uterine deletion of
ERalpha, but not the pioneer transcription factors FOXA2 or FOXO1, prevented the
majority of DES-mediated changes in gene expression and H3K27ac signal at target
enhancers. An ERalpha dependent super-enhancer was located at the Padi gene locus
and a topological connection to the Padi1 TSS was documented using 3C-PCR.
Chromosome looping at this site was independent of ERalpha and DES exposure,
indicating that the interaction is established prior to ligand signaling.
However, enrichment of H3K27ac and transcriptional activation at this locus was
both DES and ERalpha-dependent. These data suggest that DES alters uterine
development and consequently adult reproductive function by modifying the
enhancer landscape at ERalpha binding sites near estrogen-regulated genes.
PMID- 29648671
TI - Effect of Age on Melanoma Risk, Prognosis and Treatment Response.
AB - As for all types of cancer, the incidence of melanoma increases with age.
However, naevus counts (the principal risk factor for melanoma) decrease with
age; hence the relationship between ageing and melanoma is complex. Subjects who
maintain a high naevus count after the age of 50 years are more likely to be
affected by melanoma, as their lesions do not senesce. Longer telomere length,
which is strongly related to age, is linked to high naevus counts/melanoma risk;
thus melanoma biology is influenced by factors that slow down ageing. Age is also
an important prognostic factor in melanoma. Increasing age leads to worse
survival in stages I, II and III. Sentinel lymph node (SLN) status, which is a
strong predictor of melanoma survival, is also affected by age, as SLN positivity
decreases with age. However, the prognostic value of SLN on survival increases
with age, so, again, these relationships are complex. In patients with stage IV
melanoma, age impacts on survival because it affects responses to treatment. This
review examines the effects of age on melanoma risk, prognostic factors and
responses to treatment.
PMID- 29648670
TI - Lower-limb Ulcers in Systemic Sclerosis: A Multicentre Retrospective Case-control
Study.
AB - Lower-limb ulcers in systemic sclerosis patients are rarely reported. The aim of
this study was to describe the main causes and outcomes of lower-limb ulcers in
systemic sclerosis patients and to assess factors associated with ischaemic
causes (arterial disease and/or microvascular impairment). A retrospective,
multicentre, case-control study was conducted in 2013 and 2014, including 45
systemic sclerosis patients presenting lower-limb ulcers between 2008 and 2013.
The estimated prevalence of lower-limb ulcers among systemic sclerosis patients
was 12.8%. Ulcers were related to venous insufficiency in 22 cases (49%),
ischaemic causes in 21 (47%) and other causes in 2 (4%). Complete healing was
observed in 60% of cases in a mean time of 10.3 months; 59% relapsed during a
mean follow-up of 22 months. Ischaemic lower-limb ulcer outcomes were poor, with
a 28.6% amputation rate. Logistic-regression multivariate analyses between
ischaemic lower-limb ulcer cases and matched systemic sclerosis-controls
identified past or concomitant digital ulcer and cutaneous sclerosis of the feet
as independent risk factors associated with ischaemic lower-limb ulcers.
PMID- 29648672
TI - Auditory System Involvement in Psoriasis.
AB - Psoriasis is a systemic inflammatory disorder associated with many other chronic
and progressive diseases. There are few studies on the association of psoriasis
with alterations in auditory function. A clinical and instrumental pilot study of
auditory function was performed with 77 psoriatic patients and 77 age- and sex
matched healthy controls. The main results were: (i) hearing loss, mostly of
sensorineural type, was significantly more frequent in patients than in controls;
(ii) conductive and mixed hearing loss were more frequent in arthropathic than in
non-arthropathic psoriatic patients; (iii) duration of psoriasis > 10 years or
smoking were associated with higher frequency of hearing loss; (iv) psoriasis was
more severe in patients with hearing loss than in those without hearing loss.
Tympanogram abnormalities were found in patients more often than in controls.
These data expand the list of extracutaneous conditions associated with
psoriasis, and support the need for further basic and clinical research in this
field.
PMID- 29648673
TI - Structured Diagnostic Approach and Risk Assessment in Mucous Membrane Pemphigoid
with Oesophageal Involvement.
AB - Oesophageal involvement in mucous membrane pemphigoid is considered rare, but it
may be underdiagnosed. To assess the incidence of oesophageal involvement in a
group of patients with newly diagnosed mucous membrane pemphigoid we
retrospectively analysed the medical records of 30 consecutive patients with
mucous membrane pemphigoid diagnosed between 2006 and 2016 at the Department of
Dermatology, University Hospital Wurzburg. Twenty-one patients (70%) reported
symptoms indicative of oesophageal mucous membrane pemphigoid. Twelve patients
(40%) underwent oesophagogastroduodenoscopy, and oesophageal pathology compatible
with mucous membrane pemphigoid was endoscopically found in 9 cases (30%). In all
patients indirect and direct immunofluorescence were performed. Patients with and
without oesophageal involvement did not differ with regard to the results of
indirect immunofluorescence on salt-split human skin and monkey oesophagus. Study
results demonstrate the necessity of a standardized diagnostic work-up, including
adequate tissue samples for direct immunofluorescence, to prevent underdiagnosis
of oesophageal mucous membrane pemphigoid.
PMID- 29648674
TI - Patient Burden is Associated with Alterations in Quality of Life in Adult
Patients with Atopic Dermatitis: Results from the ECLA Study.
PMID- 29648675
TI - Clinical Features of Chronic Spontaneous Urticaria that Predict Disease Prognosis
and Refractoriness to Standard Treatment.
AB - Chronic spontaneous urticaria (CSU) is characterized by heterogeneous activity,
evolution, associated comorbidities and response to treatment. The aim of this
study was to identify prognostic factors in patients with CSU that predict
disease course and response to standard treatments. An observational
retrospective study was conducted in a cohort of 549 patients with CSU, comparing
patients with isolated CSU and those with CSU with concomitant inducible
urticaria (CSU-CIndU). The factors associated with a worse prognosis in terms of
duration and/or CSU activity and its episodes were: multiple episodes of CSU
(19.2% had more than one lifetime episode of CSU), late-onset (63.6% of patients
developed first onset of CSU after the age of 45 years), concomitant CIndU
(20.2%) and functional serum autoreactivity. Patients with CSU-CIndU required
more frequent therapy after 5 years and higher doses of 2nd-generation H1
antihistamines. Of patients with a baseline Urticaria Activity Score 7 (UAS7)
between 16 and 42, 84.6% required cyclosporine or omalizumab to achieve symptom
control, compared with 15.4% of patients with a baseline UAS7 between 0 and 15
(p?=?0.0013). Baseline CSU activity is the only factor found to be predictive for
refractoriness to treatment with H1-antihistamines.
PMID- 29648677
TI - Excess Productivity Costs of Systemic Lupus Erythematosus, Systemic Sclerosis,
and Sjogren's Syndrome: A General Population-Based Study.
AB - OBJECTIVE: Determine excess productivity losses and costs of systemic lupus
erythematosus (SLE), systemic sclerosis (SSc), and Sjogren's syndrome (SjS) at
the population level. METHODS: Administrative databases from the province of
British Columbia, Canada, were used to establish population-based cohorts of SLE,
SSc, and SjS, and matched comparison cohorts selected from the general
population. Random samples from these cohorts were surveyed about time absent
from paid and unpaid work, and working at reduced levels/efficiency
(presenteeism), using validated labour questionnaires. We estimated excess
productivity losses and costs of each diagnosis (over-and-above non-SARDs), using
two-part models, and work disability rates (not-employed, due to health).
RESULTS: Surveys were completed by 167 SLE, 42 SSc, 90 SjS, and 375 non-SARDs
(comparison group). Altogether, predicted excess hours of paid and unpaid work
loss were 3.5, 3.2, and 3.4 per-week for SLE, SSc, and SjS, respectively; excess
costs of $86, $69, and $84 (2015 Canadian) per-week, or $4,494, $3,582, and
$4,357 per-person annually. Costs for productivity losses from paid work stemmed
mainly from presenteeism (SLE=69% of costs, SSc=67%, SjS=64%, non-SARDs=53%), not
absenteeism. However, many working-age patients were not-employed at all, due to
health (SLE=36%, SSc=32%, SjS=30%, non-SARDs=18%), and majority of total
productivity costs were from unpaid work loss (SLE=73% of costs, SSc=74%,
SjS=60%, non-SARDs=47%). Adjusted excess costs from these unpaid production
losses were $127, $100, and $82 per-week, respectively, among SLE, SSc, and SjS.
CONCLUSION: In this population-based sample of prevalent SLE, SSc, and SjS, lost
productivity costs were substantial, mainly from presenteeism and unpaid work
impairments. This article is protected by copyright. All rights reserved.
PMID- 29648676
TI - Sentinel Lymph Node Biopsy in Cutaneous Squamous Cell Carcinoma Series of 37
Cases and Systematic Review of the Literature.
AB - Cutaneous squamous cell carcinoma (cSSC) is one of the most common skin cancers
and can lead to patient death. Early detection of node metastasis is a major goal
for dermatologists and oncologists. The procedure sentinel lymph node biopsy has
been proposed to improve early detection of node metastasis. The aim of this
study was to evaluate the efficacy and impact of this technique on the prognosis
of cSSC. A total of 37 patients (Saint Louis Hospital, Paris, France) who had
undergone sentinel lymph node biopsy and 290 cases from the literature were
analysed. The mean rate of positive sentinel lymph node biopsy was 0.14 [95% CI
0.09-0.22]. However, relapse-free survival and overall survival were not affected
by sentinel lymph node status (log-rank test; p = 0.08 and p = 0.31,
respectively), suggesting that this procedure is not mandatory in the management
of cSSC.
PMID- 29648678
TI - A shortage of cadavers: The predicament of regional anatomy education in mainland
China.
AB - Both in mainland China and around the world, regional anatomy stands as one of
the most important basic science courses in medical school curricula. As such,
dissection of human cadavers and use of prosected specimens remains the most
essential teaching method in anatomy education. However, medical educators have
raised increasing concerns about an ongoing shortage of cadavers for medical use
in mainland China, a problem which may seriously limit the future development of
human anatomy education. Based on a survey on cadaver usage in anatomy education
in mainland China, this study found that the cadaver resources of most given
medical schools in mainland China are associated with their geographic location,
academic ranking, and local support for body donation policies. Effective
measures to alleviate this shortage of cadavers may include future efforts to
promote national-level body donation legislation, broader acceptance of body
donation among Chinese citizens, and an efficient and humane protocol for body
donation. Anat Sci Educ 11: 397-402. (c) 2018 American Association of Anatomists.
PMID- 29648679
TI - The effect of content delivery style on student performance in anatomy.
AB - The development of new technologies and ensuing pedagogical research has led many
tertiary institutions to integrate and adopt online learning strategies. The
authors of this study have incorporated online learning strategies into existing
educational practices of a second year anatomy course, resulting in half of the
course content delivered via face-to-face lectures, and half delivered online via
tailored video vignettes, with accompanying worksheets and activities. The effect
of the content delivery mode on student learning was analyzed by tailoring
questions to content presented either face-to-face or online. Four practical
tests were conducted across the semester with each consisting of four questions.
Within each test, two questions were based on content delivered face-to-face, and
two questions were based on content delivered online. Examination multiple choice
questions were similarly divided and assessed. Findings indicate that student
learning is consistent regardless of the mode of content delivery. However,
student viewing habits had a significant impact on learning, with students who
viewed videos multiple times achieving higher marks than those less engaged with
the online content. Student comments also indicated that content delivery mode
was not an influence on learning. Therefore student engagement, rather than the
mode of content delivery, is a determinant of student learning and performance in
human anatomy. Anat Sci Educ. (c) 2018 American Association of Anatomists.
PMID- 29648681
TI - OMIP-045: Characterizing human head and neck tumors and cancer cell lines with
mass cytometry.
PMID- 29648680
TI - Review: What Is the Current Evidence for Disease Subsets in Giant Cell Arteritis?
AB - Giant cell arteritis (GCA) is an autoimmune vasculitis affecting large and medium
sized arteries. Ample evidence indicates that GCA is a heterogeneous disease in
terms of symptoms, immune pathology, and response to treatment. In the current
review, we discuss the evidence for disease subsets in GCA. We describe clinical
and immunologic characteristics that may impact the risk of cranial ischemic
symptoms, relapse rates, and long-term glucocorticoid requirements in patients
with GCA. In addition, we discuss both proven and putative immunologic targets
for therapy in patients with GCA who have an unfavorable prognosis. Finally, we
provide recommendations for further research on disease subsets in GCA.
PMID- 29648682
TI - Elderly-Onset Varicella Pneumonia in a Patient With Rheumatoid Arthritis Treated
With Tofacitinib.
PMID- 29648683
TI - Long-Term Outcomes Following Achievement of Clinically Inactive Disease in
Juvenile Idiopathic Arthritis: The Importance of Definition.
AB - OBJECTIVE: Potential targets for treat-to-target strategies in juvenile
idiopathic arthritis are minimal disease activity (MDA) and clinically inactive
disease (CID). We undertook this study to compare short- and long-term outcomes
following achievement of MDA and CID on the 10-joint clinical Juvenile Arthritis
Disease Activity Score (cJADAS10) and following achievement of CID on Wallace et
al's preliminary criteria. METHODS: Children recruited to the Childhood Arthritis
Prospective Study, a UK multicenter inception cohort, were selected if they were
recruited prior to January 2011 and diagnosed as having oligoarthritis or
rheumatoid factor-negative or -positive polyarthritis. One year following
diagnosis, children were assessed for MDA on the cJADAS10 and for CID on both
Wallace et al's preliminary criteria and the cJADAS10. Associations were tested
between those disease states and functional ability, absence of joints with
limited range of motion, psychosocial health, and pain at 1 year and annually to
5 years. RESULTS: Of 832 children, 70% were female and the majority had
oligoarthritis (68%). At 1 year, 21% had achieved CID according to both
definitions, 7% according to Wallace et al's preliminary criteria alone, and 16%
according to the cJADAS10 alone; 56% had not achieved CID. Only 10% of children
in the entire cohort achieved MDA without also achieving CID. Achieving either
early CID state was associated with a greater absence of joints with limited
range of motion. However, only CID according to the cJADAS10 was associated with
improved functional ability and psychosocial health. Achieving CID was superior
to achieving MDA in terms of short- and long-term pain and the absence of joints
with limited range of motion. CONCLUSION: CID on the cJADAS10 may be preferable
as a treatment target to CID on Wallace et al's preliminary criteria in terms of
both feasibility of application and long-term outcomes.
PMID- 29648684
TI - Inhibitory Receptor Expression on T Cells as a Marker of Disease Activity and
Target to Regulate Effector Cellular Responses in Rheumatoid Arthritis.
AB - OBJECTIVE: Inhibitory receptors are essential for the regulation of effector
immune responses and may play critical roles in autoimmune diseases. We evaluated
whether inhibitory receptor expression on T cells from patients with rheumatoid
arthritis (RA) were correlated with immune activation, disease activity, and
response to treatment, as well as whether inhibitory receptor-mediated pathways
were functional. METHODS: Using flow cytometry, we performed extensive phenotypic
and functional evaluation of CD4+ and CD8+ T cells from the blood and synovial
fluid (SF) of RA patients ex vivo and after culture. The relationship of each
parameter with the Disease Activity Score in 28 joints using the erythrocyte
sedimentation rate (DAS28-ESR) and response to treatment was examined. RESULTS:
In RA patients with low levels of T cell activation, inhibitory receptor
expression showed an inverse relationship with the DAS28-ESR. The frequency of T
cells expressing multiple inhibitory receptors was reduced in untreated RA
patients but returned to normal levels in treated patients. RA patients who
responded to treatment showed an augmented frequency of inhibitory receptor
expressing T cells that correlated with reduced inflammatory cytokine production
in comparison to nonresponders. Higher frequencies of effector and memory T cells
that expressed multiple inhibitory receptors were seen in SF than in peripheral
blood. Notably, inhibitory pathways were operative in blood and synovial T cells
from all RA patients, although cells from nonresponder patients were less
sensitive to inhibition. CONCLUSION: Inhibitory receptor expression on T cells
from RA patients is inversely correlated with effector T cell function and
disease activity and may predict response to treatment. Furthermore, different
inhibitory pathways are functional and cooperatively suppress synovial T cells,
providing a rationale for new treatment strategies to regulate acute local
inflammation.
PMID- 29648685
TI - Association of physical examination knee effusion with bone marrow lesions: cross
sectional and longitudinal analyses of a population-based cohort.
AB - OBJECTIVE: To determine the association of physical examination (PE) effusion
with prevalence of bone marrow lesions (BML) on MRI, and incidence/progression of
BML over 3 years in knee osteoarthritis (OA). METHODS: A population-based cohort
with knee pain (N=255) was assessed for PE effusion. On MRI, BML was graded 0-3
(none, mild, moderate, severe), incidence/progression defined as a worsening in
the sum of BML scores over six surfaces by >=1 grade. We analyzed the full cohort
and mild disease subsample with Kellgren-Lawrence (KL) grade <3. Cross-sectional
logistic and longitudinal exponential regression analyses were performed,
adjusted for age, sex, BMI and pain. We calculated sensitivity, specificity,
positive predictive value (PPV) and negative predictive value (NPV) for PE
effusion vs. BML (prevalence and incidence/progression). RESULTS: Weighted mean
age was 56.7 years, mean BMI 26.5, 56.3% were female, 20.1% had PE effusion and
80.7% had KL<3. PE effusion was significantly associated with prevalent BML in
the full cohort (OR 6.10; 95% CI 2.77, 13.44) and KL<3 cohort (OR 6.88, 95% CI
2.76, 17.15). Sensitivity/specificity/PPV/NPV were respectively
34.6/92.5/79.9/62.1% and 31.7/94.0/75.5/70.1%. Longitudinally, PE effusion was
not significantly associated with BML incidence/progression in the full cohort
(HR 1.83, 95% CI 0.95, 3.52) or KL<3 cohort (HR 1.73, 95% CI 0.69, 4.33).
Sensitivity/specificity/PPV/NPV were respectively 32.0/82.2/42.2/74.9% and
21.2/85.6/30.1/78.8%. CONCLUSION: BMLs on MRI can be predicted from PE effusion
cross-sectionally with high PPV of 79.9%. Assessment for knee effusion on
physical examination is useful for determining potential candidates with BML
before costly MRI screening for recruitment into clinical trials. This article is
protected by copyright. All rights reserved.
PMID- 29648686
TI - Development and Validation of a Novel Evidence-Based Lupus Multivariable Outcome
Score for Clinical Trials.
AB - OBJECTIVE: Trials of new systemic lupus erythematosus (SLE) treatments are
hampered by the lack of effective outcome measures. To address this, we developed
a novel Lupus Multivariable Outcome Score (LuMOS) and assessed its performance
using data from 2 randomized controlled trials of belimumab in patients with SLE.
METHODS: The LuMOS formula was developed by analyzing raw data from 2 pivotal
trials, the Study of Belimumab in Subjects with SLE 52-week (BLISS-52) and 76
week (BLISS-76) trials, which are the basis for approval of belimumab. Using the
BLISS-76 trial data as the learning data set, we carried out multivariable
logistic regression analyses to optimize discrimination of outcomes between
patients treated with 10 mg/kg belimumab and patients receiving placebo over the
first 52 weeks of follow-up. In addition, the performance of LuMOS was assessed
using an independent validation data set from the BLISS-52 trial. RESULTS: The
LuMOS model incorporated the following response criteria: a >=4-point reduction
on the Safety of Estrogens in Lupus Erythematosus National Assessment version of
the SLE Disease Activity Index, an increase in C4 levels, a decrease in anti
double-stranded DNA titers, and changes in the British Isles Lupus Assessment
Group scores for organ system manifestations (no worsening in renal components,
and improvements in mucocutaneous components). A decrease in the prednisone dose
and increase in C3 levels had very minor impacts on the total LuMOS score. In all
analyses of the BLISS-76 and BLISS-52 trial data sets, the mean LuMOS scores were
significantly higher (P < 0.0001) in patients treated with 1 mg or 10 mg
belimumab compared to placebo. In contrast to the performance of the SLE
Responder Index 4 (SRI-4), the LuMOS revealed significant differences between the
active treatment group (1 mg belimumab in the BLISS-76 cohort) and placebo group.
The effect sizes were significantly much higher with the LuMOS than with the SRI
4. CONCLUSION: The evidenced-based LuMOS outcome scoring system, developed with
data from the BLISS-76 trial of belimumab in patients with SLE and validated with
data from the BLISS-52 trial, exhibits a superior capacity to discriminate
responders from nonresponders when compared to the SRI-4. Use of the LuMOS may
improve the efficiency and power of analyses in future lupus trials.
PMID- 29648687
TI - Lipid Testing and Statin Prescription among Medicaid Recipients with Systemic
Lupus Erythematosus, Diabetes Mellitus and the General Medicaid Population.
AB - OBJECTIVE: Cardiovascular disease (CVD) risks among patients with systemic lupus
erythematosus (SLE) are similar to those in diabetes mellitus (DM). We
investigated whether patients with SLE receive lipid testing and statin
prescriptions comparably to DM patients and to individuals without either
disease. METHODS: We identified U.S. Medicaid beneficiaries ages 18-65, residing
in 29 states from 2007-2010 with prevalent SLE. Each SLE patient was age- and sex
matched to two DM, and four general Medicaid recipients without either disease.
We compared the proportions of patients in each cohort who received > 1 lipid
test and > 1 statin prescription during one-year follow-up. We used multivariable
logistic regression to calculate the odds of lipid testing and statin
prescription and conditional logistic regression to compare matched cohorts.
RESULTS: We identified three Medicaid patient cohorts: 25,950 SLE; 51,900 DM; and
103,800 with neither condition. In these cohorts, lipid testing was performed in
24% of SLE, 43% of DM and 16% with neither, and statin prescriptions were
dispensed in 11%, 33% and 7%. SLE patients were 66% less likely (OR 0.34, 95% CI
0.34-0.35) to have lipids tested and 82% less likely (OR 0.18, 95% CI 0.18-0.18)
to fill a statin prescription than DM patients. They were also less likely (OR
0.89, 95% CI 0.84-0.94) to fill a statin prescription than general Medicaid
patients. CONCLUSIONS: Despite elevated CVD risk, SLE patients receive less lipid
testing and statin prescriptions than age- and sex-matched DM and general
Medicaid patients and this gap should be a target for improvement. This article
is protected by copyright. All rights reserved.
PMID- 29648688
TI - Quantitative signal intensity alteration in infrapatellar fat pad predict
incident radiographic osteoarthritis: the Osteoarthritis Initiative.
AB - OBJECTIVES: To determine if infrapatellar fat pad (IPFP) signal intensity (SI)
measures are predictive of incident radiographic osteoarthritis (iROA) over 4
years in the OA Initiative (OAI) study. METHODS: Case knees (n=355) defined by
iROA were matched one-to-one by gender, age and radiographic status with control
knees. T2-weighted MR images were assessed at P0 (the visit when iROA was found
on radiograph), P-1 (1 year prior to P0) and baseline, and utilized to assess
IPFP SI semi-automatically using MATLAB. Conditional logistic regression analyses
were used to assess risk of iROA associated with IPFP SI alteration after
adjustment for covariates. RESULTS: Participants were on average 60.2 years old,
predominantly female (66.7%) and overweight (mean BMI: 28.3). Baseline IPFP
measures including mean value and standard deviation of IPFP SI [Mean(IPFP),
sDev(IPFP)] (HR, 95%CI: 5.2, 1.1 to 23.6 and 5.7, 2.2 to 14.5, respectively),
mean value and standard deviation of IPFP high SI [Mean(H), sDev(H)] (HR, 95%CI:
3.3, 1.7 to 6.4 and 3.1, 1.3 to 7.7, respectively), median value and upper
quartile value of IPFP high SI [Median(H), UQ(H)], and clustering effect of high
SI [Clustering factor(H)] were associated with iROA during 4 years. All P-1 IPFP
measures were associated with iROA after 12 months. P-0 IPFP SI measures were all
associated with ROA. CONCLUSIONS: The quantitative segmentation of high signal in
IPFP is confirming previous work based on semiquantitative assessment suggesting
its predictive validity. The IPFP high SI alteration could be an important
imaging biomarker to predict the occurrence of radiographic OA. This article is
protected by copyright. All rights reserved.
PMID- 29648689
TI - Linking Neighborhood Context and Health in Community-Dwelling Older Adults in the
Medicare Advantage Program.
AB - OBJECTIVES: To examine whether neighborhood context moderates the relationship
between multiple chronic conditions (MCCs) and function in Medicare Advantage
(MA) beneficiaries. DESIGN: Cross-sectional study. SETTING: Medicare Health
Outcome Survey Cohort 16 baseline data from 2013. PARTICIPANTS: MA beneficiaries
aged 65 and older (N=187,434). MEASUREMENTS: We defined disadvantaged
neighborhoods as those with an Area Deprivation Index greater than the 85th
percentile. MCCs was defined as having 2 or more chronic conditions. The primary
outcome was any self-reported functional limitations with a basic or instrumental
activity of daily living. We used survey-weighted multivariate linear probability
regression to examine whether the neighborhood disadvantage moderates the
relationship between MCCs and report of a functional limitation. RESULTS: More
than one third (35.6%) of the sample reported a functional limitation.
Beneficiaries with MCCs were more likely to report a functional limitation those
with 0 or 1 chronic condition (odds ratio (OR)=2.63, 95% confidence interval
(CI)=2.50-2.77). Beneficiaries in more-disadvantaged neighborhoods were more
likely to report a functional limitation than those in less-disadvantaged
neighborhoods (OR=1.14, 95% CI=1.08-1.21). In older adults with MCCs, the
probability of reporting a functional limitation was 12 percentage points greater
in those living in more-disadvantaged neighborhoods than in those living in less
disadvantaged neighborhoods. CONCLUSION: Neighborhood context is a significant
predictor of functional limitation and moderates the association between chronic
conditions and functional limitations. Health plans and public health officials
could use publicly available indicators such as the ADI to target interventions
to reduce functional impairment.
PMID- 29648690
TI - Incremental value of left atrial active function measured by speckle tracking
echocardiography in patients with hypertrophic cardiomyopathy.
AB - PURPOSE: Hypertrophic cardiomyopathy (HCM) impairs left ventricular (LV)
diastolic function leading to left atrial (LA) dilatation. Because Doppler
echocardiography cannot accurately assess LV diastolic function in hearts with
heterogeneous hypertrophy, assessment of LA function might be useful for risk
stratification of patients with HCM. This study aimed to elucidate the impact of
LA function on outcome in patients with patients. METHODS: Seventy-six patients
with HCM who underwent echocardiographic and cardiac magnetic resonance imaging
were retrospectively enrolled. Twenty-six control subjects were also included.
Using speckle tracking echocardiography, LA function was divided into active and
passive strain indices based on the timing of the second positive peak of LA
strain rate that occurred during LV systole. RESULTS: Left atrial strain indices
of active and passive function were significantly impaired concomitantly with
increased LA volume index in HCM patients compared with controls. During follow
up (2.6 +/- 1.7 years), 14 patients with HCM developed cardiac events (heart
failure hospitalization or atrial fibrillation). The association of LA active
strain with cardiac events was independent of and incremental to clinical and
echocardiographic parameters (age, gender, E/e', LV global longitudinal strain,
and LA volume index) in sequential models. Cardiac events were more frequent in
HCM patients with LA active strain <20.3% than with active strain >=20.3% (P =
.01). CONCLUSION: Loss of LA active function was associated with increased
cardiac events in patients with HCM.
PMID- 29648691
TI - Implementing the End TB Strategy in the Western Pacific Region: Translating
vision into reality.
AB - The End TB Strategy aims to end the global tuberculosis (TB) epidemic by 2035 in
line with the sustainable development goals targets and has been implemented in
the World Health Organization (WHO) Western Pacific Region since 2015.
Significant progress has been made in implementing this strategy. However,
several challenges still remain. In 2016, an estimated 1.8 million people
developed TB in the region, and of these about 20% were missed by national TB
programmes. The gap in diagnosis and enrolment as well as treatment completion is
greater with drug-resistant TB. Many TB-affected families face catastrophic costs
due to the disease. Sustaining financing for TB care is a long-term challenge in
many countries. This article emphasizes targeted interventions in high-risk
populations, including systematic screening and patient-centred TB care. Several
other approaches including improving TB diagnostic tools and algorithm, and
engaging all care providers are suggested to find missing TB patients. Drug
resistant TB requires additional resourcing for laboratories, enrolment and
patient support. Specific measures are required at different levels to mitigate
financial burden due to TB including linking TB to overall social protection
schemes. The Moscow Ministerial conference in 2017 and upcoming United Nations
(UN) 2018 high-level meeting provide an opportunity to raise TB higher on the
global agenda, forge partnerships and move towards universal health coverage.
PMID- 29648692
TI - Multimodality imaging for evaluation of chest pain using strain analysis at rest
and peak exercise.
AB - BACKGROUND: Exercise stress echocardiography (SE) is commonly used for diagnosing
coronary artery disease (CAD). The use of quantitative echocardiographic measures
such as strain imaging is expected to improve the sensitivity of conventional SE
which relies on the visual diagnosis of wall-motion abnormalities (WMAs). This
study is set to demonstrate the added value of resting and exercise strain
analysis to detect underlying microangiopathy and inducible myocardial ischemia
during a resting and SE in comparison with the results of coronary computed
tomographic angiogram (CCTA). METHODS: A total of 103 consecutive outpatients
from the executive screening program were identified and underwent resting and
SE. Global longitudinal strain (GLS) and wall-motion changes at rest and peak
exercise were analyzed and compared. Baseline demographics, heart rate, and blood
pressure measurements were retrospectively extracted for analysis. CCTA was
chosen as an alternative test to match with wall motion and strain imaging.
RESULTS: Patients who had abnormal CCTA had lower resting GLS (14.85% +/- 3.05 vs
17.99% +/- 2.88, P-value = .001) when compared to patients with normal CCTA. All
patients who had abnormal CCTA had higher wall-motion score index (1.35 +/- 0.2
vs 1.00, P-value < .0001) and lower and abnormal peak stress GLS (pGLS) as
compared to patients with normal CCTA (14.89% +/- 3.35 vs 18.44% +/- 4.27, P
value = .007). However, all patients with pGLS >= 20% had normal/nonobstructive
CCTA. Patients with multiple comorbidities showed reduced and lower values of
resting and pGLS (P-value < .0001), suggesting associated subclinical left
ventricular dysfunction. CONCLUSIONS: Global longitudinal strain offers
quantitative interpretation of the resting and SE study, detects underlying
subclinical left ventricular dysfunction and a peak stress value more than 20%
excludes obstructive CAD on CCTA.
PMID- 29648693
TI - Anomalous systemic and pulmonary veins-An unusual coexistence.
AB - A 12-year-old child presented with recurrent respiratory infections and was
diagnosed with Scimitar syndrome. Drainage of IVC and course of aberrant arterial
supply from aorta were not clear by echocardiogram, and hence, additional imaging
was planned. CT unraveled the presence of a rare combination of anomalous
pulmonary venous connection (APVC) to IVC, with near-atresia/severe stenosis of
IVC, superior to the drainage of scimitar vein. There was a prominent azygos vein
with preferential contrast opacification on lower limb injection.
PMID- 29648694
TI - Safety of nifedipine in threatened preterm labor: Investigation by three
dimensional echocardiography.
AB - OBJECTIVE: To evaluate atrial and ventricular parameters using real time three
dimensional transthoracic echocardiography (RT3DTTE) in women treated with
nifedipine in the early third trimester (III-T) of pregnancy. METHODS: A
prospective single-subject design study in a university-affiliated hospital,
where each participant served as her own control. We studied 25 pregnant women at
a gestational age of 25-33 weeks with TPTL prior to vs 48 hours postnifedipine
treatment. Two-dimensional transthoracic echocardiography (2DTTE) and RT3DTTE
were used to study 3D left atrial (LA) volumes and indexes, emptying fraction,
left ventricular and LA cavities, and total vascular resistance (TVR). RESULTS:
Two-dimensional transthoracic echocardiography showed a significant increase in
LA area (from 15.2 +/- 2.62 to 16.16 +/- 2.21 mm2 , P = .02) before vs after
nifedipine; RT3DTTE showed a significant change in LA end-diastolic volume index
(from 23.7 +/- 4.2 to 26.75 +/- 3.8 mL/m2 , P = .008). LA end-systolic volume and
index were not significantly different before vs after nifedipine (from 24.56 +/-
8 to 25.3 +/- 5.5 mL, from 13.6 +/- 5.3 to 14.8 +/- 3.4 mL/m2 ); P > .05,
respectively. E/a ratio, E-tdi, and E/E-tdi did not change significantly ([from
2.54 +/- 4.46 to 2.54 +/- 4.1], [from 11.9 +/- 1.9 to 11.9 +/- 2], [from 7.8 +/-
1.4 to 7.6 +/- 1.1], respectively, P > .05). Tricuspid annular plane systolic
excursion (TAPSE) did not change significantly from 23.77 +/- 4.2 to 23.9 +/-
3.3, P = .1. There was a significant decrease in pulmonary pressure (from 25.4 +/
4.2 to 23 +/- 2.5 mm Hg, P = .02), in mean arterial pressure (from 80 +/- 4 to
76 +/- 3 mm Hg, P < .001) and in TVR (from 1160 +/- 260 to 1050 +/- 206 dyne s/cm
5 , P = .04). CONCLUSIONS: According to RT3DTTE measurements, in pregnant women
treated with nifedipine for tocolysis, there were no detrimental cardiovascular
effects detected 48 hours postnifedipine treatment. RT3DTTE could show accurately
the compensatory response of the left heart to the cardiovascular changes induced
by treatment with nifedipine.
PMID- 29648695
TI - Silicateins, silicatein interactors and cellular interplay in sponge
skeletogenesis: formation of glass fiber-like spicules.
PMID- 29648696
TI - How to design an outstanding poster.
AB - Poster sessions are an important forum for getting feedback on your results and
engaging with the scientific community. In this instalment of the Words of Advice
series, we provide you with a guide to designing an outstanding poster and offer
tips on how to effectively communicate your results using your poster.
PMID- 29648699
TI - Editorial.
PMID- 29648697
TI - Silintaphin-1 - interaction with silicatein during structure-guiding bio-silica
formation.
PMID- 29648700
TI - Serial echocardiographic changes with different body positions and sleeping side
preference in heart failure patients.
AB - BACKGROUND: The sleeping position plays an important role in overall health in
both healthy individuals and heart failure (HF) patients, which complain of
increasing dyspnea when adopting left lateral decubitus position (LLDP) that
improves when turning over to the right lateral decubitus position (RLDP).
Several theories have been proposed to explain this preference of HF patients;
however, the underlying mechanisms remain unclear. METHOD: We evaluated
consecutive dilated cardiomyopathy (DCMP) patients with regard to whether they
had a sleeping position preference and analyzed early and late left ventricular
filling velocities, tissue Doppler recordings, tricuspid annular plane systolic
excursion (TAPSE), and left ventricular outflow tract time-velocity integral
(LVOT-TVI). Baseline echocardiographic parameters were obtained first by LLDP,
followed by the supine position (SP) and finally RLDP in each position for 10
minutes to ensure a stabilized hemodynamic milieu. RESULTS: A total of 26 DCMP
patients were included in this study and a 78 echocardiographic examination
performed. We detected that many HF patients preferred the right lateral
decubitus sleeping position (RLDSP, 54%) and avoided from the left lateral
decubitus sleeping position (LLDSP, 40%); the echocardiographic LVOT TVI and
TAPSE parameters were statistically higher in RLDP compared to SP and LLDP.
CONCLUSION: The echocardiographic LVOT TVI and TAPSE values as functional
parameters of left and right ventricular function change with body position, and
these hemodynamic changes may explain why HF patients willingly adopt RLDSP.
PMID- 29648703
TI - Editorial Comment to Robot-assisted laparoscopic partial nephrectomy versus
laparoscopic partial nephrectomy: A propensity score-matched comparative analysis
of surgical outcomes and preserved renal parenchymal volume.
PMID- 29648701
TI - Chronological changes in the epidemiological characteristics of upper urinary
tract urolithiasis in Japan.
AB - OBJECTIVES: To assess epidemiological and chronological trends of upper urinary
tract stones in Japan in 2015. METHODS: Patients with a first episode of upper
urinary tract stones in 2015 were enrolled in this nationwide survey. The study
included all hospitals approved by the Japanese Board of Urology, therefore
covering most of the hospitals where urologists practice in Japan. The annual
incidence and composition of urolithiasis were evaluated by age and sex. These
results were compared with the previous results of the nationwide surveys from
1965 to 2005 to analyze temporal trends. RESULTS: The estimated annual incidence
of a first-episode upper urinary tract stone in 2015 was 137.9 (191.9 in men and
86.9 in women) per 100 000. The estimated age-standardized first-episode upper
urinary tract stone incidence in 2015 was 107.8 (150.6 in men and 63.3 in women)
per 100 000, which did not represent a significant increase since 2005. An
equivalent incidence was observed in patients aged >50 years, whereas a reduced
incidence was observed in patients aged <50 years in both sexes. The proportion
of patients who received percutaneous nephrolithotomy and/or ureteroscopy
increased by approximately fivefold in the past 10 years. CONCLUSIONS: The steady
increase in the annual incidence of upper urinary tract stones since 1955 leveled
off in 2015. The current results show novel trends in the incidence and treatment
modalities in the nationwide surveys of urolithiasis in Japan.
PMID- 29648704
TI - Abnormal ventricular repolarization in long QT syndrome carriers is related to
short left ventricular filling time and attenuated stroke volume response during
exercise.
AB - BACKGROUND: Long QT syndrome (LQTS) carriers are characterized by abnormal
ventricular repolarization, prolonged systole, and mechanical dispersion.
Prolonged left ventricular (LV) systole has been shown to result in
disproportionate shortening of LV filling in other conditions. The aim of this
study was to assess LV filling, diastolic function, and stroke volume (SV)
response to dynamic exercise, in a group of LQTS carriers. METHODS: Forty-seven
LQTS carriers (45 +/- 15 years, 20 symptomatic) and 35 healthy individuals
underwent bicycle stress echocardiogram. Electrocardiographic and
echocardiographic measurements were obtained at rest, peak exercise, and 4
minutes into recovery. RESULTS: Long QT syndrome carriers and controls did not
differ in age, gender, heart rate, QRS duration, or LV ejection fraction. At
rest, LQTS carriers had longer QTc and shorter filling time (FT). At peak
exercise, QTc increased and remained longer than controls at recovery. A negative
correlation was found between QTc and FT (r = -.398, P = .001) with greater fall
in FT in LQTS carriers than in controls at peak exercise (-23% +/- 10 vs +2% +/-
3, P < .0001). FT correlated with SV (r = +.27, P = .001), which increased more
in controls than in LQTS carriers (+32% +/- 4 vs +2% +/- 1, P < .05). These
differences were more pronounced in symptomatic LQTS carriers who had shorter FT
and smaller SV at peak exercise and during recovery compared to asymptomatics (P
< .05). CONCLUSIONS: Long QT syndrome carriers have longer QTc, but also shorter
FT. These disturbances worsen at peak exercise (particularly in symptomatics)
compromising LV filling and SV, hence a potential pathomechanism for adverse
events.
PMID- 29648706
TI - METHODS FOR OBTAINING POLY-epsilon-CAPROLACTONE FOR PRODUCING MICRO- AND
NANOSCALE DRUG CARRIERS.
AB - Poly-epsilon-caprolactone due to favorable properties including biocompatibiity,
miscibility and controlled degradation is promising material for long term drug
delivery devices preparation, especially in blend with other polymers.
Commercially available polymer is synthesized without considering this way of
utilization, and may contain potentially toxic residues of compounds used during
polymerization process. Therefore, we attempt to summarize in this work available
methods for synthesis of poly-epsilon-caprolactone using nontoxic reactants. The
product properties important for polymer drug delivery system such as number
average molecular weight and polydyspersity index (PDI) were included. Also we
present known methods for purification of the polymer from catalyst residues, and
other methods that may improve process of polymerization catalyzed by less active
compounds.
PMID- 29648705
TI - A rare giant congenital left atrial appendage aneurysm in a 1-day-old newborn.
AB - Congenital left atrial appendage aneurysm (LAAA) is a very rare condition and
occurs as a result of congenital dysplasia of musculi pectinate. These patients
may be asymptomatic and/or may present with dyspnea, and thromboembolic events.
The most common complications are life-threatening thromboembolic events and
supraventricular tachyarrhythmias. Transthoracic echocardiography plays a very
important role in the diagnosis of LAAA. Herein, we present a rare case of giant
congenital LAAA.
PMID- 29648707
TI - EXPERIMENTALLY-INDUCED ANIMAL MODELS OF PREDIABETES AND INSULIN RESISTANCE: A
REVIEW.
AB - Animal models are very common in diabetes research when rodents are mostly used
in this regard. Although a number of animal models of type 1 and type 2 diabetes
(T2D) are developed in last few decades, the numbers of animal models of
prediabetes and insulin resistance are very scanty. Due to the rapidly changing
pathogenesis of the disease and preventive study, the popularity of the
prediabetic and insulin resistance models are largely increased in the recent
years. Some genetically or spontaneously induced models of diabetes are used as
models for prediabetes and insulin resistance in the early stage of their lives
such as prediabetic SHROB rats, Zucker Diabetic Fatty (ZDF) rats, Goto Kakizaki
rats, Otsuka Long Evan Tokushima Fatty Rats (OLETF), prediabetic BB-DP rats and
prediabetic Chinese hamster (non-genetic model), however, these models are
relatively expensive, not widely available compared experimentally-induced non
genetic models hence not suitable for routine pharmacological screening of anti
diabetic agents. In the present review, we carefully discussed the induction
method, induction period, advantages, disadvantages and suitability of various
non- genetic or experimentally-induced animal models of prediabetes and insulin
resistance. We have also summa- rized the key factors of different models in a
couple of tables to give a quick overview to the diabetes researchers in order to
more appropriately select an authentic animal model of prediabetes and/or insulin
resistance to achieve their specific research outcomes.
PMID- 29648708
TI - POTENTIAL APPROACHES FOR REDUCING AMYLOID beta PRODUCTION.
AB - Alzheimer's disease (AD), a neurodegenerative disorder, is associated with the
mitochondrial dysfunction, defective synapses, and impaired cognition in elderly
patients. The accumulation of amyloid beta (Abeta) in synapses and synaptic
mitochondria is thought one of the critical pathological events of synaptic
defect and impaired mitochondrial dynamics in AD neurons. In order to understand
disease progression and designing therapeutic agents using available molecular
targets, extensive research is in progress throughout the world. However, no drug
has been reported, up to now, as effectively preventing and treating moiety for
AD, due to hidden knowledge about exact mode of AD pathogenesis. However, some
hypotheses based-drugs, possessing capability of regulating amyloid beta
precursor protein, have been indicated for alleviation of psychological and
behavioral symptoms of AD patients. This review article briefly describes the
recent developments made for exploring the Abeta induced-mitochondrial defects in
AD and some treatment possibilities through Abeta-targeting approaches for AD
therapy.
PMID- 29648709
TI - INHIBITORS OF LEUKOTRIENES SYNTHESIS: NOVEL AGENTS AND THEIR IMPLEMENTATION.
AB - Leukotrienes (LTs) belong to pro-inflammatory mediators that are biosynthesized
from arachidonic acid (AA), inter alia, by 5-lipoxygenase (5-LOX) enzyme in
association with 5-LOX-activating protein (FLAP). An activation of LTs synthesis
pathway occurs during the development and maintenance of numerous diseases such
as asthma, anaphylactic shock, allergic rhinitis, psoriasis, rheumatoid
arthritis, osteoporosis, as well as cardiovascular diseases, neurodegenerative
diseases and certain types of cancer. The main goal of this review was to present
recent advances on the new compounds influencing the LOX pathway, which are under
going clinical studies. The mechanisms of action and possible implementations of
these molecules in a treatment of asthma, cancer and cardiovascular diseases are
discussed.
PMID- 29648710
TI - CHLOROGENIC ACID: A PHARMACOLOGICALLY POTENT MOLECULE.
AB - Chlorogenic acid (CGA; (IS,3R,4R.5R)-3-{[(2Z)-3-(3,4-dihydroxyphenyl)prop-2
enoyl]oxy}-1,4,5-trihydroxycyclohexanecarboxylic acid) is a naturally occurring
polyphenol mostly present in vegetables and fruits. CGA is a prominent component
of Traditional Chinese Medicines and is known for various pharmacological
activities such as antioxidant, antimicrobial, anti-inflammatory and
hepatoprotective etc. This mini-review is an attempt to summarize the available
literature in the last decade and to point out future perspectives in this area
of research.
PMID- 29648711
TI - DECOCTION PROCESS OPTIMIZATION AND QUALITY EVALUATION OF YI-HUANG DECOCTION BY
HPLC FINGERPRINT ANALYSIS.
AB - Yi Huang decoction (YHD) has been used as one of famous traditional formula
because of its unique effectiveness against gynecological diseases. YHD is
composed of five herbs, including the rootstock of Dioscoma opposita Thunb.
(Dioscoreaceae), the kernel of Etayale ferx Salisb. (Nymphaeaceae), the bark of
Phellodendron chinense Schneid. (Rutaceae), the seed of Plantago asiatica L.
(Plantaginaceae), and the seed of Ginkgo biloba L. (Ginkgoaceae). To effectively
control the quality, the processing method for YHD was optimized by means of
single factor test as well as orthogonal test in this study. A completely
validated method based on HPLC coupled with diode array detector was performed on
a Kromasil C(18) column at 30 degrees with mobile phase of 0.1% aqueous
phosphoric acid and acetonitrile. As a result, HPLC fingerprint on the basis of
the chromatographic data from 32 batches of samples was obtained, which contained
44 common peaks. Among these common peaks, 6 peaks were identified as geniposidic
acid, berberine hydrochloride, palmatine hydrochloride, phellodendrine chloride,
magnoflorine, and verbascoside, respectively, based on their retention time
relative to the standards. Meanwhile, the contents of these 6 compounds were also
simultaneously examined. In sum, this study offered valuable information for the
proper processing and quality control for YHD.
PMID- 29648712
TI - THE COMPARISON OF THE STABILITY INDICATING TWO HPLC METHODS AND THEIR APPLICATION
FOR THE DETERMINATION OF BOSENTAN IN COATED TABLETS.
AB - Due to the raising requirements of drug quality, there is an increasing need for
fast liquid separations of pharmaceutical substances with high efficiency and
good resolution. The ultra-high pressure liquid chromatography (UHPLC) has been
considered to meet this challenge. However, it was found that this fast method
has also serious disadvantages. The range of applications of the UHPLC in the
analysis of pharmaceutical substances and dosage forms is currently extensively
discussed. In this study we investigated the consequences of the shortening of
the analysis time of the liquid chromatographic method. Bosentan, a non-peptide
antagonist of human endothelin receptors, was chosen as an example in this study,
due to its therapeutic importance and lack of the reported analytical methods of
the drug product. Two high-performance, reversed phase liquid chromatography
methods with UV detection at 220 nm were developed for this purpose. Both methods
were validated and the resulting performance characteristics were compared. The
first separation (method A) was achieved on Kinetex column, (2.6 MU C18 1OOA, 150
x 4.6 mm), the second-fast (method B) employed Kinetex column, (1.7 MU XB-C18
100A 50 x 3.0 mm). Both methods were performed with a buffered mobile phase
containing 0.1% of triethylamine in water brought to the pH 2.5 with phosphoric
acid and methanol as the solvent A and acetonitrile as the solvent B. Gradient
program was used and flow rate of 0.8 mL/min and 0.4 mL/min, for the methods A
and B, respectively. The methods were validated according to the ICH guidelines
for specificity, precision on the specified and LOQ limits, intermediate
precision, accuracy, linearity (correlation co-efficient =0.999) and robustness.
The robustness was confirned using four factors: the mobile phase pH, the flow
rate of the mobile phase, column temperature and the second column of the same
kind. The limits of detection and quantification were established as 0.0132 and
0.1505 MUg/mL for methods A and B, respectively. Both validated methods complied
with the acceptance criteria. The method B was 3.5 times faster than the method
A, but the method A showed much better sensitivity. The resolution between
compound B and bosentan was 3.39 and 1.75 for methods A and B, respectively. The
lower sensitivity limits the use of Method B, especially in the analyses at low
levels of active substances (e.g., bioanalysis, validation of the cleaning
procedures) and makes method A more suitable for this purpose.
PMID- 29648713
TI - ANALYSIS OF omega-3 FATTY ACID CONTENT OF POLISH FISH OIL DRUG AND DIETARY
SUPPLEMENTS.
AB - Study results indicate that a diet rich in polyunsaturated fatty acids omega-3
(PUFA n-3) exerts favorable effect on human health, accounting for reduced
cardiovascular morbidity and mortality. PUFA n-3 contained in marine fish oils,
particularly eicosapentaenoic (EPA, 20:5 n-3) and docosahexaenoic (DHA, 22:6 n-3)
acids, are attributed antithrombotic, anti-inflammatory, anti-atherosclerotic and
anti-arrhythmic effects. They have also beneficial effects on cognitive functions
and immunological mechanisms of an organism. Considering the fact that marine
fish are not abundant in Western diet, the pharmaceutical industry reacts with a
broad selection of PUFA n-3 containing dietary supplements and drugs. Increased
consumers' interest with those products has been observed recently. Therefore,
their quality, understood as reliability of manufacturer's declaration of
composition of offered dietary supplements, is highly important. We have tested
22 products available in pharmacies and supermarkets, manufacturers of which
declared content of n-3 fatty acids (21 dietary supplements and I drug). Identity
and content of DHA and EPA were assessed using 1H NMR spectroscopy, based on
characteristic signals from protons in methylene groups. Almost one in five of
the examined dietary supplements contains < 89% of the PUFA n-3 amount declared
by its manufacturer. For a majority of tested products the manufacturer-declared
information regarding DHA (58%) and EPA (74%) content was consistent with the
actual composition. It is notable that more cases of discrepancy between the
declared and the actual content regarded DHA than EPA, which indicates a less
favorable balance, considering the pro-health effect of those acids. Over a half
of tested products provides the supplementary dose (250 mg/day) with one capsule
taken daily, and in 27% of cases the daily dosage should be doubled. Only 10% of
those products ensure the appropriate dose for cardiovascular patients (1 g/day)
with the use of I capsule a day. Correct information provided by a manufacturer
on a label regarding the total amount of DHA and EPA is a basis for selection of
an appropriate dosage.
PMID- 29648714
TI - 2D LC HEART CUTTING ON-LINE OF PHENOLIC COMPOUNDS FROM THREE SPECIES OF THE GENUS
SALIX.
AB - The 2D LC heart-cutting on-line system was elaborated and employed to the
analysis of simple phenols and polyphenols occurring in willow barks. Using the
test-set of 52 compounds, the conditions of chromatographic separation in each
dimension were optimized. The worked-up system was based on RP-separation in both
dimensions and the use of different elution profiles on the first- and second
dimensional columns: gradient and multistep gradient elution, respectively. In
all analyses the UV detector was used. Under optimized separation conditions
slightly modified in respect to chemical composition of the each analyzed MeOH
extracts from three willow barks: Salix daphnoides, S. puiputea and S.
sachalinensis 'Sekka' the differences in phenolie acid and flavonoid compositions
were revealed.
PMID- 29648715
TI - BLOCKADE OF LARGE CONDUCTANCE CA(2+) ACTIVATED K(+) CHANNEL MAY PROTECT NEURONAL
CELLS FROM HYPOXIA MIMETIC INSULT AND OXIDATIVE STRESS.
AB - Previous studies have linked neuronal cell death with changes of intracellular
Ca(2+)([Ca(2+)](i)) homeostasis. Such changes of [Ca(2+)](I) has been noticed in
various neurodegenerative models. It has also been suggested that K(+)channel,
such as large conductance Ca(2+)-activated K(+) channel (BK), might present a
neuronal protective effect. Hence, this study has established two cell insult
models, oxidative stress induced by H(2)0(2) and hypoxia mimetic induced by
CoCl(2+), on a human neuronal cell line SH-SY5Y, since both insults are related
to neurodegeneration and are able to increase the [Ca(2+)](i). MTS assays were
used to test the possible effect of BK activators and blockers. According to the
results, BK activators, NS1619 and isopimaric acid (IPA), would potentiate
toxicity to the cells under both of the two insults. But the K(+) channel
blockers, tetraethylammonium (TEA) and tetrandrine, have presented the neuronal
protective effect against CoCl(2), insult. Considering that [Ca(2+)](i), which
would activate the BK channel, is the key issue in neurodegeneration, it would be
suggested by the results from this study that K(+) channel blocker, rather than
activator, would potentially present the neuronal protective effect.
PMID- 29648716
TI - FLUPHENAZINE AND PERPHENAZINE IMPACT ON MELANOGENESIS AND ANTIOXIDANT ENZYMES
ACTIVITY IN NORMAL HUMAN MELANOCYTES.
AB - Fluphenazine and perphenazine as a phenothiazine-class antipsychotic drugs are
widely used to treat psychoses and schizophrenia, however their use is associated
with significant side effects such as extrapyramidal symptoms as well as ocular
and skin disorders. The aim of this study was to examine the effect of
fluphenazine and perphenazine on cell viability, melanogenesis and antioxidant
defense system in normal human melanocytes. It has been shown that both
phenothiazines induce concentration-dependent loss in cell viability. The value
of EC50. was calculated to be 1.24 and 2.76 MUM for fluphenazine and
perphenazine, respectively. Fluphenazine in concentration of 1.0 MUM and
perphenazine in concentrations of 1.0 and 3.0 MUM inhibied melanogenesis and
decreased microphthalmia-associated transcription factor content. To study the
effect of both analyzed drugs on antioxidant defense system in melanocytes, the
level of hydrogen peroxide and the activities of antioxidant enzymes: superoxide
dismutase, catalase and glutathione peroxidase were determined. Fluphenazine and
perphenazine in higher analyzed concentrations caused depletion of melanocytes
antioxidant status, what indicated the induction of oxidative stress. The
observed changes in melanization process and antioxidant defense system in
pigmented cells exposed to fluphenazine and perphenazine in vibo suggest a
significant role of melanin and melanocytes in the mechanisms of undesirable side
effects of these drugs in vivo, especially directed to pigmented tissues.
Moreover, the presented differences in modulation of biochemical processes in
melanocytes may be an explanation for various toxic activity of the analyzed
phenothiazine derivatives in vivo.
PMID- 29648717
TI - SYNTHESIS OF IMIDAZO[2,1-b][1,3,4]THIADIAZOLE DERIVATIVES AS POSSIBLE
BIOLOGICALLY ACTIVE AGENTS.
AB - A series of 2-(4-methylbenzyl)-5,6-substituted-imidazo[2,1-b][1,3,4]thiadiazole
derivatives were synthesized, characterized and evaluated for antiproliferative
activity and cancer chemopreventive activity. Results showed that molecules with
formyl and thiocyanate substiments at the 5 position exhibited an increase in
activity against the full panel of 60 human tumor cell lines at a minimum of five
concentrations at 10-fold dilutions. Derivative 22 displayed significant in vino
anticancer activity against colon cancer (MID GI50 = 0.75 MUM). The cancer
chemopreventive effect of 19 (IC50 = 489 nM) was almost equipotent to standard
oleanolic acid (IC50 = 449 nM).
PMID- 29648718
TI - SYNTHESIS, STRUCTURE AND PHARMACOLOGICAL EVALUATION OF 1-(1H-PYRROL-1-YLMETHYL)-4
AZATRICYCLO[5.2.1.0(2,6)] DEC-8-ENE-3,5-DIONE.
AB - A set of arylpiperazine derivatives with imide fragments, 1-(1H-pyrrol-1
ylmethyl)-10-oxa-4-azatricyclo[5.2.1.0(2,6)-]dec-8-ene-3,5-dione connected by
propyl and butyl linkers, were synthesized and tested for the potential
anxiolytic and antidepressant activities. Compounds 3a and 3b demonstrated
antidepressant activity in the forced swimming tests in mice and were devoid of
neurotoxic effects. (chimney test in mice).
PMID- 29648719
TI - SYNTHESIS AND PHARMACOLOGICAL ACTIVITY OF IMIDAZO[2,1- b][1,3,4]THIADIAZOLE
DERIVATIVES.
AB - In this paper, a series of imidazo[2,l-b][1,3,4]thiadiazoles have been prepared
by reacting 2-amino-1,3,4-thiadiazole with various phenacyl bromides in alcohol.
The structures of all the derivatives were con- firmed by IR, NMR and mass
spectroscopy. All the derivatives have been tested for cytostatic activity
against human T-lymphocyte cells (CEM), human cervix carcinoma cells (HeLa),
murine leukemia cell line (L1210), and antiviral activity. Among the tested
compounds, derivatives 5b, 5c, and 7a were cytostatic between 49-63 mM against
Hela and 7g was cytotoxic at 23 mM against L1210 and CEM cell lines. Compounds 5h
and 7h emerged as antiviral agents with slight activity against influenza A and
B.
PMID- 29648720
TI - PHYTOCHEMICAL ANALYSIS AND CARDIOTONIC ACTIVITY OF METHANOLIC EXTRACT OF
RANUNCULUS MURICATUS LINN. IN ISOLATED RABBIT HEART.
AB - Ranunculus muricatus Linn. (RML) have been traditionally used for the treatment
of various cardiovascular disorders. The aim of present study was to evaluate
their cardiovascular effects in isolated perfused rabbit heart. The methanolic
extract of RML was prepared by cold maceration process. The methanolic extract of
RML (1 ng to 10 mg) was used to determine the percentage change in force of
contraction (FC), heart rate (HR) and perfusion pressure (PP) by using
Langendorff's Perfused Heart Apparatus. The PP, FC and HR of isolated rabbit
heart were measured by power lab data acquisition system. Moreover, phytochemical
analysis and acute toxicity study were also performed. The methanolic extract at
the doses from I ng to 10 mg exhibited a significant increase in perfusion
pressure and force of contraction. Moreover, the crude extract of RML revealed a
significant increase in heart rate at doses from 1 ng to MUg. The maximum rise in
all the thee parameters was observed at 1 MUg and 1 ng, respectively In another
study, the melhanoliC extract was tested in the presence of propranolol and
verapamil on isolated perfused rabbit heart. The study shown that the increase in
HR and FC produced by the plant extracts was significantly reduced in the
presence of propranolol whereas PP remained significantly raised even in the
presence of propranolol. However, in the presence of verapamil, this increased PP
was significantly reversed to a decrease while a significant positive inotropic
and chronotropic effects were observed. It is concluded that the cardiotonic
activity of methanolic extract of RML might be due certain cardio active chemical
compounds. Further studies are needed to isolate these pharmacologically active
phytochemical constituents and elucidate their exact mechanism of action.
PMID- 29648721
TI - APPLICATION OF DRY HAWTHORN (CRATAEGUS OXYACANTHA L.) EXTRACT IN NATURAL TOPICAL
FORMULATIONS.
AB - There is a great potential for a semi-solid preparation for topical application
to the skin that would use materials of natural origin not only as an active
substance but also as its base. The aim of this research was to model semisolid
preparations containing hawthorn extract and to determine the effect of their
bases (carriers) on the release of active components from experimental dosage
forms, based on the results of the in vitro studies of the bioactivity of
hawthorn active components and ex vivo skin penetration studies. The active
compounds of hawthorn were indentified and quantified by validated HPLC method.
The antimicrobial and anti-radical activity of dry hawthorn extract were
evaluated by methods in vitro. The penetration of active substances into the full
undamaged human skin was evaluated by method ex vivo. Natural topical composition
was chosen according to the results of release of active compounds. Release
experiments were performed with modified Franz type diffusion cells. B.ceieus was
the most sensitive bacteria for the hawthorn extract. Extract showed antiradical
activity, however the penetration was limited. Only traces of hyperoside and
isoquercitrin were founded in epidermis. Protective topical preparation with shea
butter released 41.4-42.4% of active substances. Four major compounds of dry
hawthorn extract were identified. The research showed that extract had
antimicrobial and antiradical activity, however compounds of hawthorn stay on the
surface of the undamaged human skin. Topical preparation containing beeswax did
not release active compounds. Beeswax was identified as suspending agent. Topical
preparations released active compounds when shea butter was used instead of
beeswax.
PMID- 29648722
TI - EVALUATION OF ANTI-DIABETIC EFFECTS OF POLY-HERBAL PRODUCT "DIABETIC BAL" IN
ALLOXAN-INDUCED DIABETIC RABBITS.
AB - The current study was conducted to evaluate the anti-diabetic effect of
polyherbal product "diabetic bal" in normal and alloxan induced diabetic rabbits.
Glibenclamide was used as standard drug. Diabetes was induced by single i.v.
injection of 150 mg/kg b.w. of alloxan monohydrate in rabbits. "Diabetic bal"
(250 and 500 mg/kg) significantly decreased the blood glucose level both in
normal and diabetic rabbits in dose dependent manner. In oral glucose tolerance
test, "Diabetic bal" demonstrated a significant inhibitory effect on rise of
blood glucose level compared to control. "Diabetic bal" showed synergistic anti
hyperglycemic effect with dif- ferent units of insulin in diabetic rabbits. The
"diabetic bal" decreased the glucose level and prevented the weight loss of
diabetic rabbits as compared to control for an extended period of one month. It
caused a significant increase (p < 0.001) in the insulin level of treated
diabetic rabbits in 30 days study. In addition AST, ALT, ALP, cholesterol, LDLs,
VLDLs and triglyceride level were significantly reduced whereas HDLs level was
sig- nificantly elevated in diabetic rabbits with 500 mg/kg dose. The herbal
product did not cause any significant change in CBC as compared to normal control
in diabetic rabbits for one month. It is conceivable; therefore, that "diabetic
bal" is effective in diabetes and its associated complications which support its
use in folklore.
PMID- 29648723
TI - ACTIVITY OF THYME OIL (OLEUM THYMI) AGAINST MULTIDRUG-RESISTANT ACINETOBACTER
BAUMANNII AND PSEUDOMONAS AERUGINOSA.
AB - Almost as soon as antibiotics were introduced to treat infectious diseases, it
could be observed that bacteria were able to develop resistance against them.
Currently, multidrug-resistant strains are being isolated mainly in the hospital
environment. These are primarily non-fermenting Gram-negative bacilli, which
exhibit both natural and acquired resistance to multiple antibiotics and
disinfectants rendering them difficult to eradicate. The development of new,
effective and safe substances that prevent troublesome infections is greatly
needed to provide alternative therapeutic options for patients. There is
increasing interest in drugs of natural origin, including essential oils. It is
of particular interest that, although active against many bacterial strains, they
do not contribute to antibacterial resistance against their components. The aim
of our study was to evaluate the in vino antibacterial activity of thyme oil
against multidrug-resistant strains of A. baumannii and P. aeriginosa using the
disc diffusion and macrodilution methods. The strains were isolated from patients
hospitalized in the years 2013-2014. The in vitto antibacterial activity of thyme
oil was assessed by the disc diffusion method and the inhibition zones for the
oil at different concentrations, produced against A. baumannii, ranged from 7 to
44 mm. Low level of activity of thyme oil was observed against P. aeruginosa
strains. The results of serial dilution tests confirmed the high activity of
thyme oil against A. baumannii isolates, expressed as MIC values ranging from
0.25 to 2 MUL/mL. These results suggest the need for further studies of
antibacterial activity of essential oils, especially against multidrug-resistant
bacterial isolates.
PMID- 29648724
TI - ANALGESIC, ANTIPYRETIC AND ANTI-INFLAMMATORY ACTIVITIES OF GREWIA ASIATICA FRUIT
EXTRACTS IN ALBINO MICE.
AB - The present study was aimed to assess biological (analgesic, antipyretic and anti
inflammatory) activities of methanolic and aqueous fruit extracts of Grewia
asiatica. The study was performed on albino mice. Analgesic effect of the
extracts was determined by acetic acid induced writhing. Antipyretic potential of
the tested fruit extracts was assessed by brewer's yeast induced pyrexia.
Carrageenan induced paw edema method was used to evaluate the anti-inflammatory
activity. Both the extracts showed biological effects in a dose dependent fashion
at doses 125 mg/kg, 250 mg/kg and 500 mg/kg orally. Analysis of variance (ANOVA)
was used for data analysis and the values having p-value smaller than 0.05 were
considered significant. Both the extracts had significant analgesic, antipyretic
and anti-inflammatory activities.
PMID- 29648725
TI - PROTECTIVE EFFECT OF POMEGRANATE SEED OIL AGAINST MERCURIC CHLORIDE-INDUCED
HEPATOTOXICITY IN RAT.
AB - Mercuric chloride (HgCL2) is an environmental and industrial toxicant that
affects many tissues. Considering oxidative stress is an important component of
mercury induced hepatotoxicity, antioxidants are expected to play a protective
role against it. The present study was designed to investigate the probable
effects of pomegranate seed oil (PSO) on hepatotoxicity induced by HgCL2,
administration in rats. Rats were divided into five groups. Group 1 and 2
received corn oil (1 mL/kg, i.p.) and PSO (0.8 mL/kg, i.p.), respectively. Group
3 was treated with HgCl, (5 mg/kg, i.p.) for 3 days. In groups 4 and 5 PSO (0.4
and 0.8 mL/kg i.p., respectively) was given 1 h before HgCl2 administration.
Twenty four hours after last injection of HgCl2, blood samples and specimens of
liver were taken. HgCl2 administration led to significant increase in liver
malondialdehyde level, significant reduction in total sulfhydryl content and
significant changes in serum alanine aminotransferase (ALT) and aspartate
aminotransferase activity (AST), compared to control group. The histopathological
changes such as necrosis, inflammatory cell infiltration and hepatocellular
vacuolization were observed. PSO administration (0.8 mL/kg i.p.) improved the
liver function in HgCL2 intoxicated animals as indicated by the significant
decline in increased levels of AST, ALT in serum, MDA level and significant
elevation in decreased total sulfhydryl content. Histological studies revealed
milder hepatic lesions in PSO treated samples. The results indicated that
oxidative stress may be an important mechanism of HgCl2 induced hepatic injury
and dysfunction and PSO may be a useful agent for the prevention of HgCl2 induced
oxidative damage in rat liver.
PMID- 29648726
TI - COMPARATIVE BIOAVAILABILITY ANALYSIS OF ORAL ALENDRONATE SODIUM FORMULATIONS IN
PAKISTAN.
AB - Alendronate sodium, a bisphosphonate drug, it is used to treat osteoporosis and
other bone diseases. The present study was designed to conduct comparative
bioavailability analysis of oral formulations of aledronate sodium through an
open-label, randomized, 2-sequence, 2-period crossover study. Healthy adult male
Pakistani volunteers received a single 70 mg dose of the test or reference
formulation of alendronate sodium followed by a 7 day washout period. Plasma drug
concentrations were determined using a validated HPLC post column fluorescence
derivatization method. AUC(01,) AUC(0-8,) C(max). and T(max) were determined by
non-compartmental analysis and were found within the permitted range of 80% to
125% set by the US Food and Drug Administration (FDA). Results show that both in
vitio and in vivo assays of all test brands were within the spec- ification of
the US Pharmacopoeial limits and were statistically bioequivalent. No adverse
events were reported in this study.
PMID- 29648727
TI - DEVELOPMENT AND CHARACTERIZATION OF SMART DRUG DELIVERY SYSTEM.
AB - Present work concerned with development and evaluation of an innovative drug
carrier, as smart drug delivery system for highly acid labile drug. Free radical
polymerization technique was employed to develop pH sensitive drug delivery
system by using carboxymethyl cellulose (polymer), methacrylic acid (monomer),
potassium persulfate (initiator) and N,N methylene bisacrylamide (crosslinker).
Prepared crosslinked polymer was characterized by swelling analysis at acidic and
basic pH to evaluate pH responsive swelling, instrumental analysis (SEM, FIIR and
thermal analysis) and pH responsive release of model drug rabeprazole sodium.
Characterization of smart drug delivery concluded that pH responsive swelling and
drug release parameters were directly related with methacrylic acid concentration
in the crosslinked polymer. It was observed that by raising methacrylic acid
contents swelling at basic pH enhanced and crosslinker contents increment reduce
swelling. Among nine formulations with varying formulation contents, CMA2
exhibited more pH sensitive swelling and cumulative drug release at alkaline pH.
Results of investigation recommended that CMA2 can be a best crosslinked polymer
as smart drug carrier.
PMID- 29648728
TI - RELATIVE BIOAVAILABILITY STUDY OF SUCCINIC ACID COCRYSTAL TABLET AND MARKETED
CONVENTIONAL IMMEDIATE RELEASE TABLET FORMULATION OF CARBAMAZEPINE 200 MG IN
RABBITS.
AB - A single-dose study was performed to observe the bioequivalence of the newly
formulated carbamazepine-succinic cocrystal (CBZ-SUC) immediate release tablet
(F(1)) with marketed immediate release formulation Epitoll 200 mg tablet (F(0)).
In this study on albino rabbits, the plasma levels resulting from 250 mg
cocrystal equivalent to 200 mg of carbamazepine and conventional tablets 200 mg
immediate release tablets were compared. An open-label, randomized 2 x 2
crossover study design, with a 1-week washout period, was used. Carbamazapine
(CBZ) plasma concentrations were determined by a high-performance liquid
chromatography validated method using ultraviolet detection. CBZ plasma levels
were measured at predose and various postdose time points up to 72 h and the
following pharmacokinetic parameters were used for evaluation: area under the
curve (AUC), maximum plasma drug concentration (C(max)), time to achieve C(max),
and elimination rate constant. (K(e)). By applying paired t-test to AUC2(0-72)
(calculated by linear trapezoidal rule), the experimental formulation F(1), was
found to have statistically significant (***p < 0.05) improvement in
bioavailability of CBZ. However, these statistical differences do not have
practical implications and the two formulations (F(0) and F(1)) were found to be
bioequivalent as the relative bioavailability of both formulations (106.9%) falls
with- in the acceptable FDA set range of two bioequivalent products 80-125%.
PMID- 29648729
TI - EFFECT OF SIMULTANEOUSLY SILICIFIED MICROCRYSTALLINE CELLULOSE AND PREGELATINIZED
STARCH ON THE THEOPHYLLINE TABLETS STABILITY.
AB - High profitability and simplicity of direct compression, encourages
pharmaceutical industry to create universal excipients to improve technology
process. Prosolv(r) SMCC - silicified microcrystalline cellulose and Starch
1500(r) - pregelatinized starch, are the example of multifunctional excipients.
The aim of the present study was to evaluate the stability of theophylline (API)
in the mixtures with excipients with various physico-chemical properties
(Prosolv(r) SMCC 90, Prosolv(r) SMCC HD 90, Prosolv* SMCC 50(r), Starch 1500(r)
and magnesium stearate). The study presents results of thermal analysis of the
mixtures with theophylline before and after 6 months storage of the tablets at
various temperatures and relative humidity conditions (25 +/- 2 degrees C/40 +/-
5% RH, 40 +/- 2 degrees C/75 +/- 5% RH). It was shown that high concentration of
Starch 1500(r) (49%) affects the stability of the theophylline tablets with
Prosolv(r) SMCC. Prosolv(r) SMCC had no effect on API stability as confirmed by
the differential scanning calorimetry (DSC). Changes in peak placements were
observed just after tabletting process, which might indicate that compression
accelerated the incompatibilities between theophylline and Starch 1500. TGA
analysis showed loss in tablets mass equal to water content in starch. GC-MS
study established no chemical decomposition of theophylline. We demonstrated that
high content of Starch 1500(r) (49%) in the tablet mass, affects stability on
tablets containing theophylline and Prosolv(r) SMCC.
PMID- 29648730
TI - FORMULATION, EVALUATION AND IN VITRO DISSOLUTION PERFORMANCE OF ENALAPRIL MALEATE
SUSTAINED RELEASE MATRICES: EFFECT OF POLYMER COMPOSITION AND VISCOSITY GRADE.
AB - The present study aimed at developing the sustained release matrix tablets of
enalapril maleate and evaluating the effect of polymer concentration and
viscosity grade on drug release. The sustained release enalapril maleate tablets
were successfully formulated by direct compression method using nonionic
cellulose ethers HPMC K15, HPMC K100 and HPC polymers either alone or in
combination. In-vitio drug release study was carried out in phosphate buffer (pH
6.8) for a period of 24 h following USP dissolution apparatus II i.e., paddle
apparatus. Model dependent approaches like zero-order, first order, Higuchi's
model and Korsmeyer-Peppas model were used to assess drug release from various
formulations. All the three polymers alone or in combination sustained the drug
release. The drug release characteristics from HPMC and HPC polymer followed zero
order release kinetics except for 45% concentration of all polymers alone or in
combination where by the drug release followed Higuchi's model. In all cases, the
drug release mechanism was both diffusion as well as erosion.
PMID- 29648731
TI - PREPARATION AND EVALUATION OF pH RESPONSIVE POLY(2-HYDROXYETHYL METHACRYLATE-CO
ITACONIC ACID) MICROGELS FOR CONTROLLED DRUG DELIVERY.
AB - In this study, a series of pH sensitive microgels (MGs) were prepared by modified
free radical suspension polymerization of 2-hydroxyethyl methacrylate (HEMA) and
itaconic acid (IA), using ethylene glycol dimethacrylate (EGDMA) as crosslinker.
Equilibrium swelling technique was employed for esomeprazole magnesium trihydrate
(EMT) loading. Prepared microgels were characterized through Fourier transforms
infrared spectroscopy (FTIR), thermogravimetric analysis (TGA), dynamic light
scattering technique (DLS), scanning electron microscopy (SEM), equilibrium
swelling and in vitro drug release kinetics. FTIR and TGA confirmed the formation
of copolymeric p(HEMA-co-IA) network. SEM and DLS revealed smooth, round and
uniformly distributed microspheres with particle size up to 10 MUm. Developed
microgels found to be pH responsive in nature. All the formulations (HIDI - HID5)
followed Higuchi model with non-Fickian diffusion mechanism of drug release. It
was concluded that p(HEMA-co-IA) microgels have potential to be used as drug
carriers for site specific and controlled drug delivery.
PMID- 29648732
TI - QUINIDINE AND DOMPERIDONE INTERACTIONS IN THE RAT EXPERIMENTAL MODEL OF REPEATED
ADMINISTRATION.
AB - This study has investigated domperidone (DOM) and quinidine (QD) interaction in
the Wistar rat experimental model of repeated administration. We used
nonconventional administration model consistent with occasional administration
method. Difference in administration was related to sequence of domperidone alone
or with quinidine dosage. Expected domperidone-quinidine interactions could have
its origin both in the ability of quinidine to inhibit P-glycoprotein (P-gp)
activity as well as cytochrome P450-mediated metabolism of both compounds. There
also were examined kinetics of acetaminophen (PAM) administered (30 mg/kg) with
domperidone as an indicator of gastric emptying, showing domperidone prokinetic
activity, as well as quinidine anticholinergic activity. Domperidone (30 mg/kg)
with PAM and with/without quinidine (25 mg/kg) was administered orally according
to the disposition regiment different for six examined rat groups. DOM and PAM
concentrations in plasma were assayed by HPLC method. Following changes were
observed: domperidone did not modify the duration of the uptake phase of
acetaminophen; quinidine prolongs gastric emptying time (as a result of
anticholinergic action); quinidine given as the fourth or fifth dose with
domperidone promotes growth of its concentration in plasma; analysis of changes
in the value of AUC(0-2) at the initial three weeks of experiment suggests
intensity of domperidone absorption processes, the following week increase in the
value AUC(4-6) suggests inhibition of domperidone hepatic biotransformation and
the mechanism of induction of absorption during domperidone administration is
different from the absorption - inducing effects of quinidine. Both effects are
superimposed and produce large, 2, 3-fold change in domperidone's AUC(0-6).
PMID- 29648733
TI - EXCRETION OF ETHYL GLUCURONIDE IN THE URINE OF WARSAW HIGH PREFERRING RATS
DEPENDS ON THE CONCENTRATION OF INGESTED ETHANOL.
AB - Ethyl glucuronide (EtG) is a direct ethanol metabolite. The presence of EtG in
urine can be used as a laboratory test to detect recent alcohol consumption.
Several earlier studies in humans and in rats revealed that the same amount of
ethanol ingested at different concentrations results in different blood ethanol
concen- trations. The effect of different concentrations of ingested ethanol on
the resulting EtG levels in urine was tested in WHP rats. The EtG concentration
was also measured in rat hair. A significant (p < 0.05) decrease in the total
amount of urine EtG after administration of the higher concentration (50%)
ethanol solution as compared to 30% ethanol at the same dose of ethanol (3 g/kg)
was observed. Median EtG concentration in rat hair of 1.5 ng/mg (range: 0.7-2.3
ng/mg) was observed. Our results demonstrate that EtG production and excretion in
WHP rats is dependent on alcohol concentration administered orally. EtG levels in
hair closely reflect the fate of EtG in the rat.
PMID- 29648734
TI - EFFECT OF DIETARY FLAVONOID NARINGENIN ON BONES IN RATS WITH OVARIECTOMY-INDUCED
OSTEOPOROSIS.
AB - Naringenin is a dietary flavanone which can be found in many products such as
citrus fruits. This substance reveals multiple pharmacological activities such as
antiinflammatory and antioxidative. During the menopause, the estrogen deficiency
occurs, thus naringenin, which is also considered as a phytoestrogen, may be
useful in the treatment of menopause-associated osteoporosis. The aim of the
presented study was to examine the effect of naringenin on the mechanical
properties, chemical composition and the histomorphological parameters of bones
in the rats with ovariectomy-induced osteoporosis. The female Wistar rats were
divided into 4 groups: sham-operated, ovariectomized, ovariectoiized treated with
estradiol (0.2 mg/kg p.o.) and ovariectomized treated with naringenin (50 mg/kg
p.o.), and the tested substances were administered for 4 weeks. The obtained
results show that ovariectomy caused the characteristic changes in the skeletal
system of rats - there was deterioration in mechanics, chemistry and
histomorphometry. The estradiol administered to the rats served as positive
control for the experiment. Administration of naringenin to the ovariectomized
rats affected neither the bone chemical content nor the mechanical properties,
however, there was a slight improvement in the bone microarchitecture in the
tissue affected by osteoporosis. It can be concluded that the intake of
naringenin in dietary products is not harmful and may even bring beneficial
effect on the bones histomorphometry during postmenopausal osteoporosis.
PMID- 29648735
TI - TELEVISION ADVERTISING OF SELECTED MEDICINAL PRODUCTS IN POLAND AND IN THE UNITED
STATES - A COMPARATIVE ANALYSIS OF SELECTED TELEVISION COMMERCIALS.
AB - The aim of the analysis was to establish the differences between television
commercials of OTC drugs broadcast in Poland and in the U.S. The study covered
100 commercials of medicinal products of various producers applied to treat a
variety of symptoms and diseases. The analysis demonstrated that there are both
similarities and differences. The differences concerned e.g., spot length, the
time of placement of a brand name and the diversity of advertising slogans. The
most significant similarities concerned applied manipulation techniques,
locations featured in commercials and the choice of actors.
PMID- 29648736
TI - Development of a financial literacy course for patients with newly diagnosed
cancer.
AB - OBJECTIVES: Although patients with cancer often face serious financial hardships,
few studies have reported on strategies to mitigate this burden. Improving
literacy about the financial aspects of cancer care may decrease the negative
financial impact of cancer diagnosis and treatment. We obtained input from
patient stakeholders on the perceived value and optimal design of a financial
literacy program in the advanced cancer setting. STUDY DESIGN: Prospective cohort
survey. METHODS: A series of semi-structured interviews were conducted, during
which patients with either colorectal or breast cancer were asked to describe the
impact of cancer on their finances and employment, to state their preferences
about discussing costs with their providers, and to give input on development of
a financial literacy course. RESULTS: Twenty-one patients (76% Caucasian)
completed interviews, the majority of whom had Medicare or commercial insurance
(71%). Lost income from early retirement or disability was the most financially
burdensome experience for 67% of patients. The majority of patients (76%)
reported that a financial literacy course would be helpful in navigating the cost
of cancer care. Most preferred the course be administered at diagnosis in a live
group format. CONCLUSIONS: Feedback from patients with cancer supported the
development of a group financial literacy course that addresses barriers to
discussing cost concerns, employment changes during cancer, and available
resources for financial assistance.
PMID- 29648737
TI - Reducing barriers to medication access and adherence for ACA and Medicaid
participants: a peer-to-peer, community-based approach.
AB - OBJECTIVES: To identify how peer-to-peer support programs empower patients by
improving health literacy and providing education on important patient issues,
including increasing access and reducing barriers to life-saving medications and
how these programs improve patient outcomes. STUDY DESIGN: A review of current
literature, identification of gaps in research, and case studies. METHODS: An
analysis of data collected from the cluster sample and a review of secondary data
from patient case studies were used to create a theoretical framework of
community-based, peer support services. The research examines how peer-to-peer
support services empower and educate patients to improve access and adherence to
critical medications. Mended Hearts is made up of such peer support groups,
comprising heart patients and caregivers; it studies patient populations in
addition to piloting new programs. RESULTS: Patients who receive peer-to-peer
support services are more empowered and educated, and as a result, are able to
make better healthcare choices and access resources that reduce many of the
barriers that Affordable Care Act exchange and Medicaid participants face.
CONCLUSIONS: Peer-to-peer support and education models reduce barriers to
accessing medications and improve medication adherence, which subsequently
improve patient outcomes.
PMID- 29648738
TI - The increasing need for the safety net: an introduction to the Patient Access
Network Foundation and AJMC collaborative supplement.
AB - This supplement showcases the winning papers and case studies from the PAN
Challenge, which aimed to stimulate a dialogue on ways to reduce or eliminate the
barriers and disparities that Medicare and ACA enrollees face in obtaining
medications to treat life-threatening, chronic, and rare diseases.
PMID- 29648739
TI - Reducing out-of-pocket cost barriers to specialty drug use under Medicare Part D:
addressing the problem of "too much too soon".
AB - OBJECTIVES: Medicare Part D specialty drug users not qualifying for low-income
subsidies (non-LIS beneficiaries) face high and variable cost sharing during the
calendar year. We examined their out-of-pocket (OOP) cost patterns under the
existing Part D cost-sharing policies and proposed changes to these policies.
METHODS: Using 100% Medicare claims data from 2012, we examined mean annual and
monthly OOP drug costs for Medicare Part D patients who were full-year users of
Part D specialty drugs for rheumatoid arthritis (RA) (n = 1063), multiple
sclerosis (MS) (n = 2256), or chronic myeloid leukemia (CML) (n = 1135) under
existing policy. Using the same data, we simulated costs under both proposed
Medicare Payment Advisory Commission (MedPAC) policy recommendations and our own
recommendations. RESULTS: In 2012, our sample faced mean annual cumulative OOP
drug costs (for all medications) of $3949 (RA), $5238 (MS), and $6322 (CML). Mean
OOP costs were $977 (RA), $1613 (MS), and $2456 (CML) in January alone. A
substantial proportion of total annual OOP prescription spending also occurred
during the catastrophic coverage phase (RA: $1229 [31%]; MS: $2456 [47%]; CML:
$3546 [56%]). Under proposed MedPAC changes, patients would have faced maximum
annual OOP spending of $4700, but mean OOP costs in January and February would
have been higher compared with the existing policy. Under our proposed strategy,
OOP costs would have been spread evenly over 12 months (<=$392 per month). The
potential incremental costs of our proposed strategy would have been $23.55 per
non-LIS Part D beneficiary per year. CONCLUSIONS: The existing Part D cost
sharing structure creates a substantial financial burden for specialty drug
users, especially early in the year. Implementing both annual and monthly OOP
maximum spending limits would result in lower, more consistent OOP costs,
potentially increasing patients' ability to access treatments for life
threatening, chronic, and rare diseases.
PMID- 29648740
TI - Impact of a value-based formulary in three chronic disease cohorts.
AB - OBJECTIVES: Value-based insurance design has been suggested as an effective
approach to ensure access to highvalue medications in health insurance markets.
Premera Blue Cross, a large regional health plan, implemented a value-based
formulary (VBF) for pharmaceuticals in 2010 that explicitly used cost
effectiveness analysis to inform medication co-payments. This study assesses the
impact of a VBF on adherence and patient and health plan expenditures on 3
chronic disease states: diabetes, hypertension, and hyperlipidemia. STUDY DESIGN:
Interrupted time series design of employer-sponsored plans from 2006 to 2013.
Beneficiaries exposed to the VBF formed the intervention group, and beneficiaries
in similar plans without any changes in pharmacy benefits formed the control
group. METHODS: We measured medication expenditures from member, health plan, and
member-plus-health plan (overall) perspectives and medication adherence as
proportion of days covered. We conducted an exploratory analysis of medication
utilization classifying medications according to whether co-payments moved up or
down in the year following VBF implementation. RESULTS: For the diabetes cohort,
there was a statistically significant reduction in member and overall
expenditures of $5 per member per month (PMPM) and $9 PMPM, respectively. For the
hypertension cohort, there was a statistically significant reduction in member
expenditures of $4 PMPM and an increase in health plan expenditures of $3 PMPM.
There were no statistically significant effects on hyperlipidemia cohort
expenditures or on medication adherence in any of the 3 disease cohorts.
Exploratory analyses suggest that patients in the diabetes and hyperlipidemia
cohorts were switching to higher-value medications. CONCLUSIONS: A VBF can ensure
access to high-value medications while maintaining affordability.
PMID- 29648741
TI - The effect of fatigability on Expanded Disability Status Scale components in
multiple sclerosis.
AB - Introduction: The Expanded Disability Status Scale (EDSS) is the most widely used
disability measure inmultiple sclerosis (MS). The effect of fatigability on EDSS
components has been underreported to date. Objective: We investigated daytime
variability in EDSS score and EDSS components - functional scores(FS) and walking
distance (WD) up to 500 m, in MS patients who underwent a standardized
fatiguingexercise. Methods: Twenty-four patients with relapsing-remitting MS (n =
7), secondary-progressive MS (n = 8)and primary-progressive MS (n = 9) were
included. Exclusion criteria were as follows: current MS
relapse,infection/fever/flu-like symptoms, conditions prohibiting safe exercise
testing, current medication affectingfatigue. One trained examiner performed
baseline (BL) and follow-up (FU) assessments (FU1 aftera standardized fatiguing
exercise, FU2 after rest) over a single day. EDSS score change of >=1 point if
BLEDSS score was <5.5 or of >=0.5 point if BL EDSS score was >=5.5 were
considered clinically meaningful. Results: In progressive MS subtypes, WD
decreased at FU1, but recovered at FU2, more so in secondary progressiveMS
subgroup with the highest BL EDSS score. Although BL EDSS scores (median, 5.0;
range4.0-6.5) and FS remained relatively stable over repeated assessments in the
total group, a clinically meaningful transitory post-exercise EDSS score increase
was observed in three patients with progressive MS. Conclusion: WD seems to be
more influenced by fatigability than the total EDSS score, more so in
patientswith progressive MS and higher disability. WD should be assessed after
rest and this strategy should beimplemented into protocols of clinical trials
recruiting patients with progressive MS phenotypes.
PMID- 29648742
TI - Size-Dependent Localized Surface Plasma Resonance of Au Nanoparticles in Au/ZnO
Photoanodes for Dye-Sensitized Solar Cells.
AB - The size effect of Au nanoparticles on plasmonic ZnO dye-sensitized cells (DSSCs)
was investigated. Different sized Au nanoparticles (~5 nm, 10 nm, and 20 nm) were
directly deposited on ZnO nanostructures via an in situ reduction technique. The
size and the loading of Au nanoparticle were controlled by varying the amount of
reducing agent and the reaction time, respectively. By introducing a proper
amount of Au nanoparticles into the photoanode, plasmon-enhanced light
absorption, photocurrent and power conversion efficiency were demonstrated, with
the enhancement increased with decreasing Au particle size. Overloading the
photoanode with Au nanoparticles, however, led to a decline in photocurrent and
thus the cell efficiency. Au/ZnO DSSCs with optimized film thickness and 5 nm-Au
loading attained an efficiency of 3.49%, corresponding to a 59% improvement over
that of pure ZnO DSSCs. The improvement in cell efficiency was ascribed to a
significant increase in the photocurrent of Au/ZnO devices, as a result of
enhanced light harvesting and reduced interfacial resistance in the photoanode.
PMID- 29648743
TI - Relationship between serum tumor necrosis factor receptor-2 concentration and
periodontal destruction in patients with type 2 diabetes: Cross-sectional study.
AB - Introduction: The role of tumor necrosis factor-alpha (TNFalpha) is well
documented in pathogenesis of chronicperiodontitis (CP) and type 2 diabetes
(T2D). Considering short half-life of TNFalpha, tumor necrosis factorreceptor-2
(TNFR2) is used as prosperous surrogate marker of TNFalpha activity. Objective:
The aim was to detect TNFR2 serum concentration and correlate it with periodontal
destructionin patients with diagnosed T2D and nondiabetics. Methods: The study
included 85 patients divided into three groups: T2D + CP (group T2D, n = 34);
nondiabetics+ CP (Group PD, n = 27); and healthy controls (group HC, n = 24). T2D
was diagnosed according toWHO criteria (2013) and periodontitis was diagnosed
using International Workshop for a Classificationof Periodontal Diseases and
Conditions criteria (1999). TNFR2 level was measured by enzyme-linked
immunosorbent assay (ELISA). Results: There was no difference in TNFR2 level
among the groups (Kruskal-Wallis, p = 0.482). Significantcorrelation (Pearson's
correlation coefficient) was observed between clinical attachment loss (CAL)
andTNFR2 concentration in PD group (rp = -0.460, p = 0.016). In T2D group,
correlations were observed betweenTNFR2 concentration and CAL (rp = 0.363, p =
0.005) and periodontal inflamed surface area (PISA)(rp = 0.345, p = 0.046) and
periodontal epithelial surface area (PESA) (rp = 0.578, p = 0.000). Conclusion:
Higher concentration of TNFR2 was associated with higher CAL, PESA, and PISA
scores in T2Dgroup. Contrary to that, nondiabetics with higher values of CAL
exhibited lower concentration of TNFR2,presenting potential protective effect on
periodontal destruction. These results imply that diabetes mayalter TNFR2
secretion originated from periodontium.
PMID- 29648744
TI - Comparative effects of riboflavin, nicotinamide and folic acid on alveolar bone
loss: A morphometric and histopathologic study in rats.
AB - Introduction: Periodontitis is a chronic inflammatory and osteolytic disease.
Vitamin B complex is a classof water-soluble vitamins that play important roles
in cell metabolism. Objective: The aim of this study was to evaluate the effects
of riboflavin (RBF), nicotinamide (NA), andfolic acid (FA) on alveolar bone loss
in experimental periodontitis rat model. Methods: Sixty-four male Wistar rats
were randomly divided into the following eight groups: Control,Ligated, RBF50
(RBF, 50 mg/kg daily), NA50 (NA, 50 mg/kg daily), FA50 (FA, 50 mg/kg daily),
RBF100 (RBF,100 mg/kg daily), NA100 (NA, 100 mg/kg daily), and FA100 (FA, 100
mg/kg daily). Periodontitis was inducedusing silk ligature around the right first
mandibular molar. After 11 days the rats were sacrificed.Mandible and serum
samples were collected. Changes in alveolar bone levels were measured
clinically,and periodontal tissues were examined histopathologically. Serum IL
1beta (pg/ml) levels were analyzedby using ELISA. Results: Mean alveolar bone
loss in the mandibular first molar tooth revealed to be significantly lowerin
RBF100 group than in the Control group. In the Ligated group, alveolar bone loss
was significantlyhigher than in all other groups. The ratio of presence of
inflammatory cell infiltration in the Ligated groupwas significantly higher than
in the Control group. The differences in the serum IL-1beta levels betweenthe
groups were not statistically significant. Osteoclasts that were observed in the
Ligated group weresignificantly higher than those of the Control and FA100
groups. The osteoblastic activity in the Ligatedgroup, RBF100, and NA100 groups
were shown to be significantly higher than those in the Control group.
Conclusion: This study has demonstrated that systemic administration of RBF, NA,
and FA in differentdosages (50-100 mg/kg) reduced alveolar bone loss in
periodontal disease in rats.
PMID- 29648745
TI - Cytotoxicity investigation of a new hydroxyapatite scaffold with improved
structural design.
AB - Introduction: Biodegradable porous scaffolds are found to be very promising bone
substitutes, actingas a temporary physical support to guide new tissue
regeneration, until the entire scaffold is totallydegraded and replaced by the
new tissue. Objective: The aim of this study was to investigate cytotoxicity of a
synthesized calcium hydroxyapatitebasedscaffold, named ALBO-OS, with high
porosity and optimal topology. Methods: The ALBO-OS scaffold was synthesized by
the method of polymer foam template. The analysisof pore geometry and scaffold
walls' topography was made by scanning electron microscope (SEM). Thebiological
investigations assumed the examinations of ALBO-OS cytotoxicity to mouse L929
fibroblasts,using 3-(4,5-Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromidefor
(MTT) and lactate dehydrogenase(LDH) tests and inverse phase microscopy. Results:
The SEM analysis showed high porosity with fair pore distribution and interesting
morphologyfrom the biological standpoint. The biological investigations showed
that the material is not cytotoxicto L929 cells. Comparison of ALBO-OS with Bio
Oss, as the global gold standard as a bone substitute,showed similar results in
MTT test, while LDH test showed significantly higher rate of cell
multiplicationwith ALBO-OS. Conclusion: The scaffold design from the aspect of
pore size, distribution, and topology seems to be veryconvenient for cell
adhesion and occupation, which makes it a promising material as a bone
substitute.The results of biological assays proved that ALBO-OS is not cytotoxic
for L929 fibroblasts. In comparisonwith Bio-Oss, similar or even better results
were obtained.
PMID- 29648746
TI - Tensor fascia lata flap is a workhorse for defects after inguinal lymph node
block dissection.
AB - Introduction: Enlarged inguinal lymph nodes very often present a site of
metastatic disease. Inguinallymph node block dissection is a demanding procedure,
which usually requires at least one of reconstructivemodalities. Among different
reconstruction options we selected the tensor fascia lata (TFL)musculocutaneous
flap. Objective: The paper aims at presenting a series of inguinal block
dissections, followed by immediatereconstruction, using the TFL flap, and
evaluation of tumor type, flap dimension, complication rate andthe duration of
hospital stay. Methods: We present a consecutive case series of 25 conducted
block dissections. The defects werereconstructed using TFL flap, because of the
extent and site of the tissue defects, reliability of the flap,and potentially
primarily infected exulcerated tumors. Results: The reconstruction was successful
in all cases, the incidence of surgical complications was 16%,no further
complications, such as lymphedema or gait disturbances, were noted. Primary skin
tumorswere predominant (13 cases), followed by genitalia tumors (four cases). The
male sex was more frequentlyaffected (14 vs. 11 cases). Conclusion: Having in
mind that TFL presents as a flap of adjustable size, length, shape, and
volume,with negligible donor site morbidity, and after comparing of our results
to those of other authors, weadvise broader use of TFL flap. As a reliable flap,
not too difficult to harvest, with a low complication rate,it must be taken into
consideration regarding the benefits for the patient, and, on the other hand,
thesurgery cost and duration.
PMID- 29648747
TI - Results of open tibial fracture treatment using external fixation.
AB - Introduction: Open lower leg fractures are the most common open fractures of the
locomotor systemand their treatment is associated with a number of complications.
Objective: The aim of the paper was to present the results of the treatment of 68
patients with open lowerleg fractures, as well as the complications that
accompany the treatment of these fractures. Methods: In the analyzed group, there
were 45 (66.18%) men and 23 (33.82%) women. The majority ofpatients - 33 (48.53%)
of them - were injured in motor vehicle accidents, whereas 24 (35.29%)
patientssustained injuries due to falls from heights. In two (2.94%) patients the
cause of open tibial fractureswas gunshot injuries. In the analyzed group, there
were 18 (26.47%) type I open fractures, 21 (30.88%)type II open fractures, 19
(27.94%) type IIIA open fractures, seven (10.29%) type IIIB open fractures,
andthree (4.41%) type IIIC open fractures. Results: The tibial shaft fracture
healed without serious complications in 50 (73.53%) patients, whereasin 18
(26.47%) patients we observed some complications. Nonunion was found in 10
(14.71%) patients,osteitis in four (5.88), malunion in two (2.94%) patients.
Milder complications such as soft tissue pintract infection developed in 13
(19.12%) patients, infection of the open fracture wound soft tissue wasobserved
in four (5.88%) patients. Conclusion: Basic principles in the treatment of open
lower leg fractures in this study are thorough primaryopen fracture wound
treatment followed by the delayed wound closure, stable fracture fixationusing
unilateral external skeletal device, proper antibiotic treatment and tetanus
prophylaxis. The resultscorrelate with similar studies.
PMID- 29648748
TI - Synthesis of Different Shapes ZnFe2O4-BiOCl Nanocomposites for Photocatalytic
Reduction of CO2 in Cyclohexanol.
AB - Nanosheet and hierarchical microsphere nanostructures of ZnFe2O4-BiOCl
nanocomposites with various ZnFe2O4 contents were prepared through hydrothermal
deposition method. The morphology and structure of the as-prepared samples were
systematically characterized by field emission scanning electron microscopy,
transmission electron microscopy, X-ray diffraction, X-ray photoelectron
spectroscopy, UV-vis diffuse reflectance spectroscopy, Brunauer-Emmert-Teller
method, and photoluminescence spectra. The photocatalytic activities of the two
different shapes of ZnFe2O4-BiOCl composites have been evaluated by
photocatalytic reduction of CO2 in cyclohexanol under UV light irradiation. The
results showed that cyclohexanol was oxidized to cyclohexanone (CH), and CO2 was
reduced and then reacted with cyclohexanol to produce cyclohexyl formate (CF).
The ZnFe2O4-BiOCl composites with different shapes showed much higher CF and CH
yields than those of pristine BiOCl and mechanically mixed samples, respectively.
When the ZnFe2O4 content in the composites reached 9%, two different shapes of
ZnFe2O-BiOCl composites both achieved the highest photocatalytic activities. In
contrast, the activities for photocatalytic reduction of CO2 in cyclohexanol over
hierarchical microsphere ZnFe2O4-BiOCl composites were higher than those of
nanosheet structure samples. The higher activities over hierarchical microsphere
composites could be attributed to its unique hierarchical structure, large
illumination area, and low Photoluminescence (PL) emission intensity, which were
beneficial for the separation of photogenerated charge carriers. This work
provided a novel approach for the design and construction of highly efficient
photocatalyst and reaction system for photoreduction of CO2.
PMID- 29648749
TI - Human papillomavirus infection prevalence in female university students in Novi
Sad, Serbia.
AB - Introduction: Cervical cancer, attributed to human papillomavirus (HPV)
infection, represents the fourthmost common and lethal cancer in Serbian women,
and the second most common cancer in womenaged 15-44. Objective: The aim of the
study was to determine the presence of high-risk and low-risk HPV types
inpopulation of unvaccinated female university students in Novi Sad, Serbia, and
to evaluate possible riskfactors for HPV infection. Methods: Sample consisted of
250 young women (19-26 years of age) attending outpatient clinics forscreening
gynecological examination. All participants in the study completed a specially
designed anonymousquestionnaire. For the detection of HPV DNA, two commercial
kits - High Risk HPV Real-TM andLow Risk HPV 6/11 Real-TM (Sacace
Biotechnologies, Como, Italy) were used. Thirty positive samples wereretested by
GenoFlow HPV Array Test (DiagCor Bioscience Incorporation Limited, Hong Kong,
China). Results: The overall prevalence rate of HPV was 61.6%. The most common
HPV types in the presentstudy were as follows: HPV 16, 31, 51, 52, and 18. Female
students with only one sexual partner hadsignificantly lower chance of having HPV
infection. Other variables describing lifestyle did not showstatistical
significance. Conclusion: The present paper provides data on the prevalence of
high- and low-risk HPV genotypesamong university students in Novi Sad. Obtained
results indicate the need for educational activities onsexually transmitted
infections, including HPV, together with promotion of healthy lifestyles.
Accordingto our results, bivalent and quadrivalent prophylactic vaccines have the
potential to prevent over 50% ofinfections. Percentage of protection with a
second-generation prophylactic nonavalent vaccine wouldbe more than 80%.
PMID- 29648750
TI - Microstructure and Thermal Conductivity of Carbon Nanotube Reinforced Cu
Composites.
AB - Microstructure and thermal conductivity (TC) of carbon nanotubes reinforced Cu
(CNT-Cu) composites have been studied. When CNTs were coated with nano Cu by
electroless plating, the TC of CNT-Cu composites showed a noticeable improvement
and increased with CNT contents. When 1.0 vol% CNTs was added, the TC of CNT-Cu
composites increased to 420.4 W/(m . K), 30% higher than that of monolithic Cu
(323.1 W/(m . K)). According to the measured TC of CNT-Cu composites, the
interfacial thermal resistance of CNT-Cu composites was calculated as 3.0 * 10-9
m2 K/W which was lower than the reported values of CNTs reinforced polymer matrix
composites and ceramic matrix composites. Microstructures showed that CNTs
modified with nano Cu were homogeneously dispersed and embedded in the Cu matrix,
indicating that there was strong bonding between CNTs and Cu. The homogeneously
dispersed CNTs and reduction of interfacial thermal resistance resulted in the
improvement of thermal conductivity of CNT-Cu composites. Therefore, the prepared
CNT-Cu composites are promising materials for thermal management applications.
PMID- 29648751
TI - Detection of carbapenemase genes in Klebsiella pneumoniae isolates.
AB - Introduction: Klebsiella pneumoniae is one of the leading causes of serious
hospital-acquired infectionsworldwide among Enterobacteriaceae species. It is the
most common producer of carbapenemases inmany parts of the world. Objective: The
aim of the study was to determine which enzymes were responsible for resistance
tocarbapenems in Klebsiella pneumoniae strains isolated at the Centre of
Microbiology of Public HealthInstitute of Vojvodina. Methods: A total of 29
Klebsiella pneumoniae non-duplicated strains resistant to at least one
carbapenemisolated from clinical samples of hospitalized patients between
November 1st 2013 and April 30th2014 were studied. The species identification and
susceptibility were done using VITEK 2 (bioMerieux,Marcy-l'Etoile, France)
system. Phenotypic conformation of carbapenemase production was done bydouble
disc synergy test. PCR technique was performed for detection of genes encoding
production ofcarbapenemases (bls(KPC), bla(VIM), bla (NDM), bla(OXA-48)).
Results: Isolates of Klebsiella pneumoniae resistant to at least one carbapenem
showed positive on double-disc synergy test between meropenem and dipicolinic
acid. All strains positive in phenotypic testcontained bla(NDM) gene. In isolates
resistant only to ertapenem, neither production of carbapenemasesnor presence of
genes encoding these enzymes were detected. Among these isolates, nine
producedextended-spectrum beta-lactamase. Conclusion: The presence of NDM metallo
beta-lactamase was determined in all Klebsiella pneumoniaeisolates resistant to
at least one carbapenem.
PMID- 29648752
TI - The role of apraclonidine in Horner's syndrome - A case report.
AB - Introduction: Horner's syndrome is an interruption of the sympathetic nervous
system at any pointalong its course between the hypothalamus and the orbit.
Horner's syndrome is classically presentedas an ipsilateral miosis, subtle
ptosis, and facial anhidrosis. Pharmacologic testing is very useful in
thediagnosis of Horner's syndrome as it could help to localize the lesioned
neuron in the sympatheticpathway, suggesting an etiology. Case Outline: We
present a case report of a 41-year-old woman who reported right eyelid drooping
immediatelyafter operation of sympathetic chain schwannoma. We performed
apraclonidine test for thediagnosis of Horner's syndrome, which produced
mydriasis on the affected eye, while there was no significantchange of the normal
eye. Based on the clinical presentation of anisocoria and one-sided ptosis,and
previous medical history of surgical removal of the mediastinal tumor, the
patient was diagnosedwith a right-sided, partial Horner's syndrome. Conclusion:
Timely recognition, exact localization of the lesioned neuron, and referral for
urgent imagingstudies are important for ophthalmologists in order to prevent and
treat life-threatening conditions.Besides its diagnostic value in Horner's
syndrome, topical apraclonidine could correct ptosis for the sakeof esthetics or
when ptosis reduces the superior visual field.
PMID- 29648753
TI - Recurrent malignant otitis externa with multiple cranial nerve involvement: A
case report.
AB - Introduction: Necrotizing otitis externa is a rare but conditionally fatal
infection of external auditory canalwith extension to deep soft tissue and bones,
resulting in necrosis and osteomyelitis of the temporal boneand scull base. This
condition is also known as malignant otitis due to an aggressive behavior and
poortreatment response. Early diagnosis of malignant otitis is a difficult
challenge. We present an illustrativecase of necrotizing otitis externa and
suggest some strategies to avoid diagnostic and treatment pitfalls. Case Outline:
A 70-year-old patient presented with signs of malignant otitis externa,
complicated byperipheral facial palsy. Adequate diagnostic and treatment
procedures were performed with clinicalsigns of resolution. The recurrence of
malignant infection had presented three months after previousinfection with
multiple cranial nerve neuropathies and signs of jugular vein and lateral sinus
thrombosis.An aggressive antibiotic treatment and surgery were carried out,
followed by substantial recovery of thepatient and complete restoration of
cranial nerves' functions. Conclusion: Necrotizing otitis externa is a serious
condition with uncertain prognosis. The suspicion ofmalignant external otitis
should be raised in cases of resistance to topical treatment, especially in
patientwith predisposing factors. Evidence-based guideline for necrotizing otitis
externa still doesn't exist andtreatment protocol should be adjusted to
individual presentation of each patient.
PMID- 29648754
TI - Acute renal failure and hepatocellular damage as presenting symptoms of type II
aortic dissection.
AB - Introduction: Pericardial effusion can be a consequence of a number of
pathological conditions, and assuch it can cause impaired left ventricular
filling followed by decreased cardiac output and blood pressure.This kind of
hemodynamic compromise and its consequences are extremely uncommon
unlesspericardial effusion causes tamponade. Case Outline: We describe a very
rare case of a 30-year old male patient, with an acute aortic dissectiontype II
causing pericardial effusion without clinical nor echocardiographic signs of
tamponade, whilepresenting with an acute renal and hepatic failure. After initial
diagnostic uncertainties, and followingfinal diagnosis of an acute aortic
dissection, this patient underwent surgical aortic valve replacementwith a
satisfactory outcome. Conclusion: It is important to underscore the significance
of clinical situation of simultaneously existingacute renal and hepatic failures
in the setting of a "non-tamponade" pericardial effusion, following atype II
aortic dissection. Although most commonly aortic dissection presents itself with
typical clinicalsymptoms or patient history data, it is not that unusual for it
to be hidden in an entirely atypical clinicalmilieu as the one described in this
case.
PMID- 29648755
TI - Early post-transplant lymphoproliferative disorder - Case of fatal lymphoma after
kidney transplantation.
AB - Introduction: Post-transplant lymphoproliferative disorder (PTLD) is a common
malignancy followingorgan transplantation. Risk for PTLD is associated with the
use of anti-thymocyte globulin in the preventionand treatment of acute rejection
following kidney transplantation. Case Outline: We report a case of fatal PTLD
presented with sudden onset of fever. A 33-year-old malepatient with primary
diagnosis of left kidney agenesia underwent kidney transplantation six years
followinghemodialysis treatment initiation. Deceased donor was a 66-year-old
female whose cause of deathwas cerebrovascular accident. Immunosuppressive
regimen consisted of basiliximab, corticosteroids,tacrolimus, and mycophenolate
mofetil. Six months upon transplantation the patient was hospitalizeddue to fever
of unknown origin. All microbiological samples were negative, but abdominal
ultrasoundrevealed round solid mass in the right native kidney. Right nephrectomy
was performed showing tumor35 * 35 * 20 mm in size within the 70 * 40 * 35 mm
kidney. Pathohistological analysis confirmed veryrare monomorphic B-cell PTLD - B
cell lymphoma, unclassifiable, with features intermediate betweendiffuse large B
cell lymphoma and classical Hodgkin lymphoma. Conclusion: We consider this case
of PTLD following kidney transplantation particular because of thetumor mass in
native kidney after basiliximab induction and rare pathohistology. In a
transplanted patientwith fever, PTLD must always be considered, irrespective of
immunosuppressive regimen.
PMID- 29648756
TI - Synthesis and Spark Plasma Sintering of Soft Magnetic Composite in a Fe2O3-Al
System by Mechanical Alloying.
AB - We have applied mechanical alloying (MA) to produce soft magnetic composite
material using a mixture of elemental Fe2O3-Al powders. An optimal milling and
sintering conditions to obtain soft magnetic alpha-Fe/Al2O3 composite with fine
microstructure were investigated by X-ray diffraction, differential scanning
calorimeter and vibrating sample magnetometer measurements. It is found that the
average grain sizes of alpha-Fe in alpha-Fe/Al2O3 composite ball-milled for 5
hours is estimated to be in the range of 50 nm. The saturation magnetization of
ball-milled powders showed a maximum value of 88 emu/g after 30 min. of MA and
reaches to 77 emu/g after 5 h of MA. The magnetic hardening due to the reduction
of the alpha-Fe grain size with ball milling was also observed. Densification of
the ball-milled powders was performed in the spark plasma sintering (SPS) machine
at 1000 degrees C and 1100 degrees C. FE-SEM observation shows that the average
grain size of alpha-Fe in alpha-Fe/Al2O3 composite sintered at 1000 degrees C is
in the range of 100 nm, which is nearly same value estimated from the so-called
Hall plot. It can be also seen that the coercivity of SPS sample sintered at 1000
degrees C is still high value of 92 Oe, suggesting that the grain growth of
magnetic alpha-Fe phase during SPS process tends to be suppressed.
PMID- 29648757
TI - Metastatic malignant melanoma of the uterus diagnosed by colposcopy.
AB - Introduction: Primary and metastatic malignant melanomas represent a rare
diagnosis with a small numberof described cases. The aggressive nature of the
tumor, non-specific symptoms, difficult diagnosis,and no official protocol about
the treatment result in poor disease prognosis. Case Outline: The authors
presented a 41-year-old multigravida patient. She had an operation of
malignantmelanoma in the occipital area of the head. She went to her gynecologist
because of increased palepink vaginal secretion. Gynecological examination didn't
show any significant abnormalities apart from aslightly enlarged uterus.
Papanicolaou test and vaginal secretion examination were normal.
Colposcopically,a significant dark brown hyperpigmented area around 1 cm in size
was observed on the posterior lipof the cervix, near the orifice and cervical
canal, suspicious of melanoma, which was proven on targetedbiopsy of the
hyperpigmented change on the cervix, and by magnetic resonance imaging of the
lesserpelvis. Classic hysterectomy with adnexectomy and regional pelvic
lymphadenectomy were performed. Conclusion: This case report pointed out the
significance of applying colposcopy in diagnosing suspectedmetastatic melanoma of
the uterine cervix, along with other diagnostic methods and anamnestic data.
PMID- 29648758
TI - Anorectal melanoma and seborrheic dermatitis - A case report.
AB - Introduction: Anorectal melanoma (ARM) is a rare and aggressive neoplasm with
predisposition for earlyinfiltration, distant spread, and unfavorable prognosis.
It has been speculated that Malassezia yeastscould possibly have an impact on
skin carcinogenesis and development of melanoma, especially inpatients with
seborrheic dermatitis (SD), due to production of aryl hydrocarbon receptor (AhR)
agonists. Case Outline: A 52-year-old man with intensive SD complained of a four
month-long rectal bleeding,tenesmus, pain, and difficulty during defecation. On
examination, a rectal tumor was detected and histopathologyof tumor tissue
revealed ARM with positive protein S100, melanoma antigen HMB45 andmelan-A
expression. After the diagnosis was established, abdominoperineal resection of
the anus andrectum was performed, since the tumor was large, obstructive, and the
anal sphincter was invaded. Conclusion: Because of the possible impact of
intensive SD to the cross-link between Malassezia yeasts AhRagonists and skin
carcinogenesis, we discussed on this matter and reviewed the literature data
regardingARM. In addition to "pathogenic" and "non-pathogenic" Malassezia
subtypes based on AhR agonist production,future studies on Malassezia
metabolites, their carcinogenic effect in the skin and development ofmelanoma are
needed. If the cross-link between Malassezia AhR agonists and skin carcinogenesis
exists,timely prevention of ARM could be done with Malassezia eradication,
especially in patients with severe SD.
PMID- 29648759
TI - Laterality in living beings, hand dominance, and cerebral lateralization.
AB - To date, lateralization in living beings is a phenomenon almost mythologically
unexplored. Scientistshave proved that lateralization is not exclusively a human
feature. Investigations in molecular biology,protein structure, mobility of
bacteria, and intracellular lateralization in ciliates, shows important
anduniversal nature of lateralization in living systems. Dominant lateralization
implies the appearance of adominant extremity, or a dominant sense during the
performance of complex psychomotor activities.Hand dominance is usually defined
as a tendency to use one hand rather than another to perform mostactivities and
this is considered to be the most obvious example of cerebral lateralization and
exclusivecharacteristic of humans. However, there are some exceptions in other
species. The dominant hand isable to perform more complex and subtle manual tasks
than the non-dominant hand, and this behavioralsuperiority is the absolute result
of additional cerebral support. The asymmetry of brain organizationwas confirmed
in rats, chimpanzees, dogs and birds, some fishes and lizards. The relationships
betweenhand dominance with brain structure and function remain far from clear.
For a long time, lateralizationwas considered unique to humans, but recently it
has become clear that lateralization is a fundamentalcharacteristic of the
organization of brain and behavior in all vertebrates. It has been questioned to
whatextent lateralization in humans and other vertebrates may be comparable.
PMID- 29648760
TI - Current issues on sublingual allergen-specific immunotherapy in children with
asthma and allergic rhinitis.
AB - In 1993 the European Academy of Allergy and Clinical Immunology was the first
official organizationto recognize that sublingual administration could be
"promising route" for allergic desensitization. Afew years later, the World
Health Organization recommended this therapy as "a viable alternative to
theinjection route in adults." The first meta-analysis showed sublingual allergen
specific immunotherapy(SLIT) effectiveness for allergic rhinitis and another
study showed SLIT can actually help prevent thedevelopment of asthma both in
adults and in children. The main goal of this review article is to presentinsight
into the most up-to-date understanding of the clinical efficacy and safety of
immunotherapy inthe treatment of pediatric patients with allergic rhinitis and
asthma. A literature review was performed onPubMed from 1990 to 2015 using the
terms "asthma," "allergic rhinitis," "children," "allergen specific
immunetherapy." Evaluating data from double-blind placebo-controlled randomized
clinical trials (DB-PC-RCTs),the clinical efficacy (assessed as the reduction of
symptom score and the need of rescue medicament)of SLIT for allergic rhinitis and
allergic asthma, has been confirmed in various meta-analysis Outcomessuch as
rhinoconjunctivitis score and medication scores, combined scores, quality of
life, days with severesymptoms, immunological endpoints, and safety parameters
were all improved in the SLIT-tabletcompared with placebo group. SLIT safety has
been already proven in many DB-PC-RCTs and real-lifesettings. In accordance with
all of the above mentioned, the goals for future trials and studies are
thedevelopment of comprehensive guidelines for clinical practice on
immunotherapy, embracing all thedifferent potential participants. The importance
of allergen immunotherapy is of special relevance inthe pediatric age, when the
plasticity and modulability of the immune system are maximal, and
whenpreventative effects can be reasonably expected.
PMID- 29648761
TI - Post-Annealing Effect on Magnetic, Electrical, and Adhesive Properties of FePdB
Thin Films.
AB - FePdB was sputtered on glass substrate at room temperature (RT) to thicknesses of
1000 A, 2000 A, 3000 A, 4000 A and 5000 A with post-annealing treatment at 150
degrees C and 250 degrees C. X-ray diffraction (XRD) revealed that each FePdB
thin-film yielded a significant FePd (111) crystalline peak with a 2theta of
41.17 degrees . As the film thickness increased with the annealing temperature,
the FePd (111) crystallinity became stronger. The FePd (111) texture induced the
magneto crystalline anisotropy, which reduced electrical resistivity (rho) and
was associated with increased low-frequency alternative-current magnetic
susceptibility (chiac). The value of chiac increased with the thickness and post
annealing temperature due to magneto crystalline anisotropy. The maximum value of
chiac was 4.2, which was obtained at a thickness of 5000 A with post-annealing
250 degrees C at the optimal resonance frequency (f res) of 250 Hz, and
corresponded to the highest spin sensitivity. The resistivity (rho) fell as the
FePdB thickness and post-annealing temperature increased, because the grain
boundaries and the thin-film surface scattered the electrons. The 5000 A-thick
FePdB thin film that was post-annealed at 250 degrees C had the lowest rho,
which was approximately 246 MUOmega . cm. Adhesion critically affects the surface
energy of a film. The surface energies of the as-deposited FePdB films herein
exceeded those of the post-annealed films, revealing that the adhesion of as
deposited FePdB films was stronger than that of post-annealed films, owing to
different degrees of crystallinity. According to the chiac and rho values of the
films, the 5000 A-thick FePdB thin film that was post-annealed at 250 degrees C
was the best suited to magnetic and electric component applications. The as
deposited 1000 A-thick FePdB had the highest surface energy and adhesion, and can
be combined with other layers in various applications.
PMID- 29648762
TI - [Recommendation for gastroprotection in gastrointestinal bleeding prevention].
AB - Incidence of gastrointestinal bleeding in most populations isabout 1 per 1,000
inhabitants. More than 65% of all bleedingepisodes are associated with drug use.
The most often involvedare non-steroidal antiinflammatory drugs and low doses
ofacetyl-salicylic acid. The mortality within the first month afterthe bleeding
episode is about 10-12%, and has not significantlychanged in the last decade.
Therefore, bleeding prevention isof major importance. Appropriate selection of
patients, properdrug choice, application of lowest efficient doses of
potentiallyulcerogenic drugs, and use of drugs that inhibit gastric acidsecretion
remain cornerstone preventive measures of gastrointestinalbleeding.
PMID- 29648763
TI - Sudden cardiac death and guidelines for pre-participation examination in
athletes.
AB - Incidence of sudden cardiac death (SCD) in athletes under 35 years of age is
between 0.4 and 4.4 in100,000. The highest mortality is seen in older athletes
(>=35 years) who engage in running, mostly becauseof complications of
atherosclerotic coronary ischemic disease. Majority of European countries
areguided by European Society of Cardiology's (ESC) pre-participation screening
(PPS) recommendationsthat include electrocardiography (ECG), while in the United
States of America the ECG is not a routinepart of the PPS examination. In Serbia,
the ESC guidelines are being used, but there are no referencesprescribed by the
Ministry of Health. The authors of this study believe that the national strategy
for sportimprovement should be accompanied with clear and well defined PPS
recommendations that could betenable in our health system.
PMID- 29648764
TI - Covalent Functionalization of Multi-Walled Carbon Nanotubes Surface via Chemical
Treatment.
AB - Due to the strong hydrophobic and van der Waals interactions between individual
carbon nanotubes (CNTs), these particles easily aggregate with themselves. When
CNTs were introduced into a polymer matrix as a filler, aggregations formed that
can adversely affect the mechanical and thermal properties of polymer/CNTs
composites. To prevent aggregation, covalent functionalizations via chemical
treatments using H2SO4/HNO3, H2O2/H2O and a silane coupling agent(STX)
glycidoxypropyltrimethoxysilane, GPTMS) on the CNTs were chosen in this study.
Moreover, the effect of the functional groups on the solubility of CNTs in
tetrahydrofuran (THF) was investigated. The surface-modified multi-walled carbon
nanotubes (MWCNTs) were also characterized and compared with pristine MWCNTs
using several techniques. Morphology changes in surfacemodified MWCNTs were
observed by Raman spectroscopy and Field-Emission Scanning Electron Microscopy
(FE-SEM) images. Qualitative analyses of the functional groups on the surface
modified MWCNTs were performed by Fourier Transform Infrared Spectroscopy (FT
IR). Additionally, quantitative analyses were performed by X-Ray Photoelectron
Spectroscopy (XPS), Energy Dispersive Spectroscopy (EDS), a titration method and
Thermogravimetric analysis (TGA).
PMID- 29648769
TI - Oriented and Yellow-Emitting Nano-Phosphor Films of High Transparency Assembled
from Exfoliated Nanosheets of Layered Rare-Earth Hydroxide (LRH).
AB - (Y0(.975- x)) Gd(x)Dy(0.025)(2)(OH)(5)NO(3) . nH2O (0 <= x <= 0.975) LRH crystals
with tens of micron sized laterals have been hydrothermally synthesized by adding
mineralizer NH(4)NO(3). Smaller LRHs particles, expanded main layer, and shorter
interlayer distance were found at a higher Gd incorporation. Free anions NO- 3 at
the interlayer of LRHs have been completely replaced by oleate anions with the
help of hydrothermal processing, thus weakening the interaction of layers.
Therefore, tens of micron sized LRH nanosheets can be easily exfoliated from the
oleate-intercalated LRHs in toluene. Highly [111] oriented and transparent films
of (Y0975- x Gd x Dy0.025)2O3 (0 <= x <= 0.975), with thicknesses of ~12 nm, have
been constructed through spin-coating the colloidal suspension of exfoliated
nanosheets on quartzs, followed by calcination at 800 degrees C. Under
excitation at 270 nm, the oxide films exhibit typical yellow emission at 576 nm,
whose intensity shows a clear dependence on Gd3+ concentration. Increasing the Gd
content from 0 to 97.5 at.% yielded a 450% increase in the yellow emission
intensity, owing to the energy transfer from Gd(3+) to Dy(3+). Because Gd(3+)
content has little influence on fluorescence lifetime, fluorescence decay
analysis yields similar lifetimes of ~1.45 +/- 0.30 ms for all the samples.
PMID- 29648770
TI - Preparation and Characterization of Ni Nanostructures Coated on the Substrates
for Glycerol Steam Reforming.
AB - Glycerol is produced as a major byproduct in a biodiesel production process but
it has been thrown out as a waste. The glycerol byproduct will increase rapidly
in amount if the biodiesel commercialization is attainable, so a reforming
technology is required for glycerol to be used as a high value product. In the
present study, the catalytic activity of several supported catalysts for glycerol
steam reforming was measured. The glycerol conversion of Ni foam-supported
catalyst was higher than that of other supported catalysts such as Al2O3,
monolith, and SBA-15. The Ni foam-supported catalyst had a good thermal
dispersion over the catalyst surface because it has higher thermal conductivity
than other supported catalysts. However, hydrogen selectivity of all support
catalysts showed the similar result except for SBA-15 supported catalyst on which
C2H4 and C2H6 were produced.
PMID- 29648771
TI - Effect of Nickel Coated Multi-Walled Carbon Nanotubes on Electrochemical
Performance of Lithium-Sulfur Rechargeable Batteries.
AB - Conventional lithium-sulfur batteries suffer from severe capacity fade, which is
induced by low electron conductivity and high dissolution of intermediated
polysulfides. Recent studies have shown the metal (Pt, Au, Ni) as electrocatalyst
of lithium polysulfides and improved the performance for lithium sulfur
batteries. In this work, we present the nickel coated multi-walled carbon
nanotubes (Ni-MWNTs) as additive materials for elemental sulfur positive
electrodes for lithium-sulfur rechargeable batteries. Compared with MWNTs, the
obtained Ni-MWNTs/sulfur composite cathode demonstrate a reversible specific
capacity approaching 545 mAh after 200 cycles at a rate of 0.5C as well as
improved cycling stability and excellent rate capacity. The improved
electrochemical performance can be attributed to the fact the MWNTs shows a vital
role on polysulfides adsorption and nickel has a catalytic effect on the redox
reactions during charge-discharge process. Meanwhile, the Ni-MWNTs is a good
electric conductor for sulfur cathode.
PMID- 29648772
TI - Synthesis and Thermal Analysis of Nano-Aluminum/Fluorinated Polyurethane
Elastomeric Composites for Structural Energetics.
AB - Here we describe the synthesis of polyurethane (PU)-based energetic
nanocomposites loaded with nano-aluminum (n-Al) particles. The energetic
nanocomposite was prepared by polyurethane reaction of poly(glycidyl azide-co
tetramethylene glycol) (PGT) prepolymers and IPDI/N-100 isocyanates with
simultaneous catalyst-free azide-alkyne Click reaction in the presence of n-Al.
Initial study carried out with various n-Al/fluorinated PGT blends and
demonstrated the potential of fluorinated PGT prepolymer for an energetic PU
matrix. Thermal analysis of n-Al/fluorinated PGT-based PU energetic nanocomposite
was performed using DSC and TGA.
PMID- 29648773
TI - Electrochemical Properties of Electrodeposited Reduced Graphene Oxide and Carbon
Nanotubes.
AB - In this paper, detailed consideration for electrochemical fabrication and
optimization of different components used in energy storage device. Fabricated
electrode contained three layers: RGO, CNT, and RGO (RGO/CNT/RGO). GO nanosheets
were electrochemically modified to RGO utilizing potential scanning from 0 to
1.5 V versus silver chloride electrode during electrodeposition. ITO coated glass
and glassy carbon electrode has been utilized as substrate through this research
investigation to understand the physical, morphological and structural
characteristics of the fabricated electrode. AFM and FESEM were performed to
identify morphological and structural properties of the fabricated electrodes
components. Besides, electrochemical properties were studied by Cyclic
Voltammetry which indicates electrode surface area enhanced due to incorporation
of RGO and CNT. Accordingly, results show the fabricated electrodes could enhance
performance of energy storage devices. Since unique surface area can boost
mobility of electrons on top of surface there must be a good trade-off accessible
among the electrical conductivity and the total ion transportation in structural
pores.
PMID- 29648774
TI - Synthesis of a Graphene-Like Nanofilm from Polyacrylonitrile.
AB - There are various different approaches in synthesizing graphene including
chemical vapor deposition (CVD) and solid-phase method, where gas or solid type
carbon source, to be converted into graphene, interacts with transition metals
such as nickel and copper. When any thin nickel layer coated atop the
polyacrylonitrile (PAN) film is pyrolyzed at a sufficiently high temperature, it
is impossible to grow a continuous graphene film with a large area owing to
dewetting, which has restricted the subsequent utilization in practical
applications. Herein, we suggest a method to synthesize a continuous graphene
like nanofilm with a nickel coated thin PAN film through pyrolysis at 750 to 800
degrees C in a high-vacuum furnace without a reductive gas flow. The graphene
like nanofilm obtained was characterized using Raman spectroscopy, Raman mapping,
field-emission scanning electron microscopy, X-ray photoelectron spectroscopy,
and field-emission transmission electron microscopy.
PMID- 29648775
TI - La2O3/Reduced Graphene Oxide Nanocomposite: A Highly Efficient, Reusable
Heterogeneous Catalyst for the Synthesis of Biologically Important
Bis(indolyl)methanes Under Solvent Free Conditions.
AB - Synthesis and characterization of Lanthanum Oxide-reduced graphene oxide
(La2O3/RGO) nanocomposite and its application as heterogeneous, reusable catalyst
has been reported in this article. Biologically important molecules
bis(indolyl)methanes are synthesized in mild reaction condition with excellent
yield under solvent free condition. Catalyst was reused for four times without
any significant changes in the yields obtained. Reusability, green synthesis and
environmentally benign nature makes La2O3/RGO one of the best catalyst for the
synthesis of biologically important bis(indolyl)methanes.
PMID- 29648777
TI - Applications of Light-Responsive Systems for Cancer Theranostics.
AB - Achieving controlled and targeted delivery of chemotherapeutic drugs and other
therapeutic agents to tumor sites is challenging. Among many stimulus strategies,
light as a mode of action shows various advantages such as high spatiotemporal
selectivity, minimal invasiveness and easy operation. Thus, drug delivery systems
(DDSs) have been designed with the incorporation of various functionalities
responsive to light as an exogenous stimulus. Early development has focused on
guiding chemotherapeutic drugs to designated location, followed by the
utilization of UV irradiation for controlled drug release. Because of the
disadvantages of UV light such as phototoxicity and limited tissue penetration
depth, scientists have moved the research focus onto developing nanoparticle
systems responsive to light in the visible region (400-700 nm), aiming to reduce
the phototoxicity. In order to enhance the tissue penetration depth, near
infrared light triggered DDSs become increasingly important. In addition, light
based advanced systems for fluorescent and photoacoustic imaging, as well as
photodynamic and photothermal therapy have also been reported. Herein, we
highlight some of recent developments by applying light-responsive systems in
cancer theranostics, including light activated drug release, photodynamic and
photothermal therapy, and bioimaging techniques such as fluorescent and
photoacoustic imaging. Future prospect of light-mediated cancer treatment is
discussed at the end of the review. This Spotlights on Applications article aims
to provide up-to-date information about the rapidly developing field of light
based cancer theranostics.
PMID- 29648776
TI - Organogel-Derived Covalent-Noncovalent Hybrid Polymers as Alkali Metal-Ion
Scavengers for Partial Deionization of Water.
AB - We show that crown ethers (CEs) 1-5 congeal both polar and nonpolar solvents via
their self-assembly through weak noncovalent interactions (NCIs) such as CH...O
and CH...pi interactions. Diisopropylidene-mannitol (6) is a known gelator that
self-assembles through stronger OH...O H bonding. These two gelators together
also congeal nonpolar solvents via their individual self-assembly. Gelator 6 self
assembles swiftly to fibers, which act as templates and attract CE to their
surface through H bonding and thereby facilitate their self-assembly through weak
NCI. Polymerization of styrene gels made from CE and 6, followed by the washing
off of the sacrificial gelator 6, yields robust porous polystyrene-crown ether
hybrid matrices (PCH), having pore-exposed CEs. These PCHs not only were
efficient in sequestering alkali metal ions from aqueous solutions but also can
be recycled. This novel use of organogels for making solid sorbents for metal-ion
scavenging might be of great interest.
PMID- 29648778
TI - Metal-Organic Framework-Derived Hollow Carbon Nanocubes for Fast Solid-Phase
Microextraction of Polycyclic Aromatic Hydrocarbons.
AB - Developing novel coating materials for fast and sensitive solid-phase
microextraction (SPME) is highly desired but few are achieved. In this work, a
new material of metal-organic framework (MOF)-derived hollow carbon nanocubes
(HCNCs) was prepared as a fiber coating material for SPME. The HCNC-coated fiber
(denoted as HCNCs-F) exhibited a better enrichment performance than solid carbon
nanocube (SCNC)-coated fiber (denoted as SCNCs-F) and commercial fibers based on
the abundant active sites of the hollow structure, hydrophobic interactions, and
pi-pi interactions. Moreover, because of the reduced mass-transport lengths of
the hollow mesoporous structure, the HCNCs-F demonstrated a faster mass transfer
compared with the SCNCs-F. The HCNCs-F was used to determine the six hydrophobic
polycyclic aromatic hydrocarbons (PAHs) with wide linear ranges (10-2000 ng L-1
for naphthalene and 5-2000 ng L-1 for the other five analytes), good
reproducibility (relative standard deviation < 8.8%), and low detection limits
(0.03-0.70 ng L-1). Finally, the HCNCs-F was successfully applied for the
determination of PAHs from the real water samples. It can be concluded from the
results that MOF-derived hollow carbon materials are promising candidates for the
fast SPME and can be used for practical applications in analytical chemistry.
PMID- 29648779
TI - The Role of Membrane Curvature in Nanoscale Topography-Induced Intracellular
Signaling.
AB - Over the past decade, there has been growing interest in developing biosensors
and devices with nanoscale and vertical topography. Vertical nanostructures
induce spontaneous cell engulfment, which enhances the cell-probe coupling
efficiency and the sensitivity of biosensors. Although local membranes in contact
with the nanostructures are found to be fully fluidic for lipid and membrane
protein diffusions, cells appear to actively sense and respond to the surface
topography presented by vertical nanostructures. For future development of
biodevices, it is important to understand how cells interact with these
nanostructures and how their presence modulates cellular function and activities.
How cells recognize nanoscale surface topography has been an area of active
research for two decades before the recent biosensor works. Extensive studies
show that surface topographies in the range of tens to hundreds of nanometers can
significantly affect cell functions, behaviors, and ultimately the cell fate. For
example, titanium implants having rough surfaces are better for osteoblast
attachment and host-implant integration than those with smooth surfaces. At the
cellular level, nanoscale surface topography has been shown by a large number of
studies to modulate cell attachment, activity, and differentiation. However, a
mechanistic understanding of how cells interact and respond to nanoscale
topographic features is still lacking. In this Account, we focus on some recent
studies that support a new mechanism that local membrane curvature induced by
nanoscale topography directly acts as a biochemical signal to induce
intracellular signaling, which we refer to as the curvature hypothesis. The
curvature hypothesis proposes that some intracellular proteins can recognize
membrane curvatures of a certain range at the cell-to-material interface. These
proteins then recruit and activate downstream components to modulate cell
signaling and behavior. We discuss current technologies allowing the
visualization of membrane deformation at the cell membrane-to-substrate interface
with nanometer precision and demonstrate that vertical nanostructures induce
local curvatures on the plasma membrane. These local curvatures enhance the
process of clathrin-mediated endocytosis and affect actin dynamics. We also
present evidence that vertical nanostructures can induce significant deformation
of the nuclear membrane, which can affect chromatin distribution and gene
expression. Finally, we provide a brief perspective on the curvature hypothesis
and the challenges and opportunities for the design of nanotopography for
manipulating cell behavior.
PMID- 29648780
TI - Effective Bioactivity Retention of Low-Concentration Antibodies on HFBI-Modified
Fluorescence ICTS for Sensitive and Rapid Detection of PSA.
AB - Nowadays, increasing analytical sensitivity is still a big challenge in
constructing membrane-based fluorescence immunochromatography test strips
(FICTS). However, the bioactivity of antibody (Ab) immobilized on the test line
(T line) of porous nitrocellulose membrane (PNM), which directly influences the
analytical sensitivity, is less studied. In this work, a novel amphiphilic
hydrophobin (HFBI) protein was introduced to modify the T line to effectively
retain the Abs' bioactivity. The results indicated that HFBI could self-assemble
on the PNM and immobilize the Abs in the "stand-up" orientation. Compared with
the conventional FICTS, the HFBI-modified FICTS with only 0.2 mg/mL of monoclonal
Abs on T line enable more accurate quantitative detection and better sensitivity
(0.06 ng/mL for prostate specific antigen), which is more than 2 orders of
magnitude lower than that of the conventional FICTS with the same concentration
of monoclonal Abs on T line. Furthermore, the accuracy of this HFBI-modified
FICTS was investigated by testing 150 clinical serum samples and the detection
results were coincident with those by electrochemiluminescence immunoassay. Our
results provide a novel and promising strategy of Ab immobilization on FICTS for
near-patient and point-of-care application.
PMID- 29648781
TI - Polymer-Magnetic Composite Fibers for Remote-Controlled Drug Release.
AB - An efficient method is reported, for the fabrication of composite microfibers
that can be magnetically actuated and are biocompatible, targeting controlled
drug release. Aqueous solutions of polyvinyl alcohol, incorporated with citric
acid-coated Fe3O4 magnetic nanoparticles (MNPs), are subject to infusion gyration
to generate 100-300 nm diameter composite fibers, with controllable MNP loading.
The fibers are stable in polar solvents, such as ethanol, and do not show any
leaching of MNPs for over 4 weeks. Using acetaminophen as an example, we
demonstrate that this material is effective in immobilization and triggered
release of drugs, which is achieved by a moving external magnetic field. The
remote actuation ability, coupled with biocompatibility and lightweight property,
renders enormous potential for these fibers to be used as a smart drug release
agent.
PMID- 29648782
TI - Van der Waals Coupled Organic Molecules with Monolayer MoS2 for Fast Response
Photodetectors with Gate-Tunable Responsivity.
AB - As a direct-band-gap transition metal dichalcogenide (TMD), atomic thin MoS2 has
attracted extensive attention in photodetection, whereas the hitherto unsolved
persistent photoconductance (PPC) from the ungoverned charge trapping in devices
has severely hindered their employment. Herein, we demonstrate the realization of
ultrafast photoresponse dynamics in monolayer MoS2 by exploiting a charge
transfer interface based on surface-assembled zinc phthalocyanine (ZnPc)
molecules. The formed MoS2/ZnPc van der Waals interface is found to favorably
suppress the PPC phenomenon in MoS2 by instantly separating photogenerated holes
toward the ZnPc molecules, away from the traps in MoS2 and the dielectric
interface. The derived MoS2 detector then exhibits significantly improved
photoresponse speed by more than 3 orders (from over 20 s to less than 8 ms for
the decay) and a high responsivity of 430 A/W after Al2O3 passivation. It is also
demonstrated that the device could be further tailored to be 2-10-fold more
sensitive without severely sacrificing the ultrafast response dynamics using gate
modulation. The strategy presented here based on surface-assembled organic
molecules may thus pave the way for realizing high-performance TMD-based
photodetection with ultrafast speed and high sensitivity.
PMID- 29648783
TI - Emergence of Fourier's Law of Heat Transport in Quantum Electron Systems.
AB - The microscopic origins of Fourier's venerable law of thermal transport in
quantum electron systems has remained somewhat of a mystery, given that previous
derivations were forced to invoke intrinsic scattering rates far exceeding those
occurring in real systems. We propose an alternative hypothesis, namely, that
Fourier's law emerges naturally if many quantum states participate in the
transport of heat across the system. We test this hypothesis systematically in a
graphene flake junction and show that the temperature distribution becomes nearly
classical when the broadening of the individual quantum states of the flake
exceeds their energetic separation. We develop a thermal resistor network model
to investigate the scaling of the sample and contact thermal resistances and show
that the latter is consistent with classical thermal transport theory in the
limit of large level broadening.
PMID- 29648784
TI - Design of Mannose-Functionalized Curdlan Nanoparticles for Macrophage-Targeted
siRNA Delivery.
AB - 6-Amino-6-deoxy-curdlan is a promising nucleic acid carrier that efficiently
delivers plasmid DNA as well as short interfering RNA (siRNA) to various cell
lines. The highly reactive C6-NH2 groups of 6-amino-6-deoxy-curdlan prompt
conjugation of various side groups including tissue-targeting ligands to enhance
cell-type-specific nucleic acid delivery to specific cell lines. Herein, to test
the primary-cell-targeting efficiency of the curdlan derivative, we chemically
conjugated a macrophage-targeting ligand, mannose, to 6-amino-6-deoxy-curdlan.
The resulting curdlan derivative (denoted CMI) readily complexed with siRNA and
formed nanoparticles with a diameter of 50-80 nm. The CMI nanoparticles
successfully delivered a dye-labeled siRNA to mouse peritoneal macrophages. The
delivery efficiency was blocked by mannan, a natural ligand for a macrophage
surface mannose receptor (CD206), but not by zymosan, a ligand for the dectin-1
receptor, which is also present on the surface of macrophages. Moreover, CMI
nanoparticles were internalized by macrophages only at 37 degrees C, suggesting
that the cellular uptake of CMI nanoparticles was energy-dependent. Furthermore,
CMI nanoparticle efficiently delivered siRNA against tumor necrosis factor alpha
(TNFalpha) to lipopolysaccharide-stimulated primary mouse peritoneal macrophages.
In vivo experiments demonstrated that CMI nanoparticles successfully delivered
siTNFalpha to mouse peritoneal macrophages, liver, and lung and induced
significant knockdown of the TNFalpha expression at both messenger RNA and
protein levels. Therefore, our design of CMI may be a promising siRNA carrier for
targeting CD206-expressing primary cells such as macrophage and dendritic cells.
PMID- 29648785
TI - An Optical Technique for Mapping Microviscosity Dynamics in Cellular Organelles.
AB - Microscopic viscosity (microviscosity) is a key determinant of diffusion in the
cell and defines the rate of biological processes occurring at the nanoscale,
including enzyme-driven metabolism and protein folding. Here we establish a rotor
based organelle viscosity imaging (ROVI) methodology that enables real-time
quantitative mapping of cell microviscosity. This approach uses environment
sensitive dyes termed molecular rotors, covalently linked to genetically encoded
probes to provide compartment-specific microviscosity measurements via
fluorescence lifetime imaging. ROVI visualized spatial and temporal dynamics of
microviscosity with suborganellar resolution, reporting on a microviscosity
difference of nearly an order of magnitude between subcellular compartments. In
the mitochondrial matrix, ROVI revealed several striking findings: a broad
heterogeneity of microviscosity among individual mitochondria, unparalleled
resilience to osmotic stress, and real-time changes in microviscosity during
mitochondrial depolarization. These findings demonstrate the use of ROVI to
explore the biophysical mechanisms underlying cell biological processes.
PMID- 29648786
TI - Autonomous Thermal-Oxidative Composition Inversion and Texture Tuning of Liquid
Metal Surfaces.
AB - Droplets capture an environment-dictated equilibrium state of a liquid material.
Equilibrium, however, often necessitates nanoscale interface organization,
especially with formation of a passivating layer. Herein, we demonstrate that
this kinetics-driven organization may predispose a material to autonomous thermal
oxidative composition inversion (TOCI) and texture reconfiguration under
felicitous choice of trigger. We exploit inherent structural complexity,
differential reactivity, and metastability of the ultrathin (~0.7-3 nm)
passivating oxide layer on eutectic gallium-indium (EGaIn, 75.5% Ga, 24.5% In
w/w) core-shell particles to illustrate this approach to surface engineering. Two
tiers of texture can be produced after ca. 15 min of heating, with the first
evolution showing crumpling, while the second is a particulate growth above the
first uniform texture. The formation of tier 1 texture occurs primarily because
of diffusion-driven oxide buildup, which, as expected, increases stiffness of the
oxide layer. The surface of this tier is rich in Ga, akin to the ambient formed
passivating oxide. Tier 2 occurs at higher temperature because of thermally
triggered fracture of the now thick and stiff oxide shell. This process leads to
inversion in composition of the surface oxide due to higher In content on the
tier 2 features. At higher temperatures (>=800 degrees C), significant changes
in composition lead to solidification of the remaining material. Volume change
upon oxidation and solidification leads to a hollow structure with a textured
surface and faceted core. Controlled thermal treatment of liquid EGaIn therefore
leads to tunable surface roughness, composition inversion, increased stiffness in
the oxide shell, or a porous solid structure. We infer that this tunability is
due to the structure of the passivating oxide layer that is driven by differences
in reactivity of Ga and In and requisite enrichment of the less reactive
component at the metal-oxide interface.
PMID- 29648787
TI - Measuring the Global Substrate Specificity of Mycobacterial Serine Hydrolases
Using a Library of Fluorogenic Ester Substrates.
AB - Among the proteins required for lipid metabolism in Mycobacterium tuberculosis
are a significant number of uncharacterized serine hydrolases, especially lipases
and esterases. Using a streamlined synthetic method, a library of immolative
fluorogenic ester substrates was expanded to better represent the natural
lipidomic diversity of Mycobacterium. This expanded fluorogenic library was then
used to rapidly characterize the global structure activity relationship (SAR) of
mycobacterial serine hydrolases in M. smegmatis under different growth
conditions. Confirmation of fluorogenic substrate activation by mycobacterial
serine hydrolases was performed using nonspecific serine hydrolase inhibitors and
reinforced the biological significance of the SAR. The hydrolases responsible for
the global SAR were then assigned using gel-resolved activity measurements, and
these assignments were used to rapidly identify the relative substrate
specificity of previously uncharacterized mycobacterial hydrolases. These
measurements provide a global SAR of mycobacterial hydrolase activity, a picture
of cycling hydrolase activity, and a detailed substrate specificity profile for
previously uncharacterized hydrolases.
PMID- 29648789
TI - Copper-Catalyzed Oxidative Carbon-Carbon and/or Carbon-Heteroatom Bond Formation
with O2 or Internal Oxidants.
AB - Selective oxidation, a fundamental organic transformation of critical importance,
produces value-added products from simple organic molecules. This process is
extensively used to incorporate heteroatoms into carbon-based molecules, where
high-valent metal salts, hypervalent halogen reagents, and peroxides are widely
used as oxidants. Oxidation reactions are extremely challenging because their
selectivity is hard to control and/or they form significant quantities of
unwanted waste derived from the stoichiometric oxidants. Undoubtedly, the
utilization of green oxidants such as molecular oxygen (O2) or internal oxidants
provides tunable oxidation abilities and produces no environmentally hazardous
byproducts. Thus, synthetic chemists have devoted increasing attention to the
utilization of green oxidants to obtain valuable products. Since the first
industrial application of noble metal-catalyzed oxidation, i.e., Pd/Cu/O2
mediated Wacker oxidation, precious metal-catalyzed organic reactions have
undergone significant development in both the laboratory and industry. However,
the high cost and considerable toxicity of precious metals compel chemists to
explore the catalytic activities of earth-abundant, first-row transition metals.
Copper is abundant, easy to utilize, and relatively insensitive to water and air.
Controllable access to Cu(0), Cu(I), Cu(II), and Cu(III) oxidation states ensures
that copper can be applied as a tunable and multifunctional catalyst. Copper
catalyzed transformations involve single-electron transfer (SET), two-electron
processes (TEPs) and even the cooperation of SET and TEPs. More importantly, in
Cu/O2 catalytic systems, ligands, additives, and solvents can tune the oxidation
state of copper from Cu(I) to Cu(III). As a result, the development of copper
catalyzed aerobic oxidative reactions is possible and desirable. Progress in
these synthetic methods will enable breakthroughs in natural product synthesis,
materials science, and bioorganic chemistry. This Account describes our efforts
over the last several years to develop copper-catalyzed C-C or C-heteroatom bond
formation reactions with oxygen or internal oxidants as the oxidant. We primarily
focused on reaction with simple substrates, including cross-couplings,
cycloadditions, cyclizations, and condensations. These transformations provide
convenient and efficient strategies for constructing multiple bonds, such as C
C/C-O bonds, C-C/C-N bonds, and C-N/C-S bonds, in one pot. Various alkynes,
furans, benzofurans, lactones, sulfones, thioethers, and nitrogen-containing
heterocyclic compounds were synthesized with high selectivity and atom economy
from abundant, commercially available and inexpensive starting materials. These
methods were successfully applied to the construction of drug molecules and
skeletons of natural products. Additionally, the designed control experiments and
serendipitous observations have given us mechanistic insights into copper
catalyzed green oxidation. Uncovering the activity of copper-catalyzed green
oxidation involving oxygen and oxime esters has allowed us to extend the scope of
those green oxidation reactions. We believe that copper-catalyzed green oxidation
transformations can be made even more eco-friendly and economical in the
synthesis of valuable compounds.
PMID- 29648788
TI - Tyr1-psi[( Z)CF?CH]-Gly2 Fluorinated Peptidomimetic Improves Distribution and
Metabolism Properties of Leu-Enkephalin.
AB - Opioid peptides are key regulators in cellular and intercellular physiological
responses, and could be therapeutically useful for modulating several
pathological conditions. Unfortunately, the use of peptide-based agonists to
target centrally located opioid receptors is limited by poor physicochemical
(PC), distribution, metabolic, and pharmacokinetic (DMPK) properties that
restrict penetration across the blood-brain barrier via passive diffusion. To
address these problems, the present paper exploits fluorinated peptidomimetics to
simultaneously modify PC and DMPK properties, thus facilitating entry into the
central nervous system. As an initial example, the present paper exploited the
Tyr1-psi[( Z)CF?CH]-Gly2 peptidomimetic to improve PC druglike characteristics
(computational), plasma and microsomal degradation, and systemic and CNS
distribution of Leu-enkephalin (Tyr-Gly-Gly-Phe-Leu). Thus, the fluoroalkene
replacement transformed an instable in vitro tool compound into a stable and
centrally distributed in vivo probe. In contrast, the Tyr1-psi[CF3CH2-NH]-Gly2
peptidomimetic decreased stability by accelerating proteolysis at the Gly3-Phe4
position.
PMID- 29648790
TI - High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned
Fine Channel Bank Structure.
AB - A self-aligned inkjet printing process has been developed to construct small
channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom
gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to
pattern hydrophobic banks on the transparent substrate instead of commonly used
self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside
using bottom-gate electrodes as mask formed hydrophilic channel areas for the
TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic
channel region and confined by the hydrophobic bank structure, resulting in the
precise deposition of semiconductor layers just above the gate electrodes. Inkjet
printed IGZO TFTs with independent gate electrodes of 10 MUm width have been
demonstrated, avoiding completely printed channel beyond the broad of the gate
electrodes. The TFTs showed on/off ratios of 108, maximum mobility of 3.3 cm2 V-1
s-1, negligible hysteresis, and good uniformity. This method is conductive to
minimizing the area of printed TFTs so as to the development of high-resolution
printing displays.
PMID- 29648791
TI - Anticancer Pyrroloquinazoline LBL1 Targets Nuclear Lamins.
AB - Target identification of bioactive compounds is critical for understanding their
mechanism of action. We previously discovered a novel pyrroloquinazoline compound
LBL1 with significant anticancer activity. However, its molecular targets remain
to be established. Herein, we developed a clickable photoaffinity probe based on
LBL1. Using extensive chemical, biochemical, and cellular studies with this probe
and LBL1, we found that LBL1 targets nuclear lamins, which are type V
intermediate filament (IF) proteins. Further studies showed that LBL1 binds to
the coiled-coil domain of lamin A. These results revealed that IF proteins can
also be targeted with appropriate small molecules besides two other cytoskeletal
proteins actin filaments and microtubules, providing a novel avenue to
investigate lamin biology and a novel strategy to develop distinct anticancer
therapies.
PMID- 29648792
TI - Color-Changing Microfiber-Based Multifunctional Window Screen for Capture and
Visualized Monitoring of NH3.
AB - Air pollution is one of the most serious issues affecting the world today.
Instead of expensive and energy-intensive air filtering devices, a fiber-based
transparent air filter coated on a window screen is seen as one of the state-of
the-art filtration technologies to combat the seriously growing problem,
delivering the advantages of simplicity, convenience, and high filtering
efficiency. However, such a window screen is currently limited to particulate
matter (PM) filtration and ineffective with other air pollutants. Here, we report
the use of a newfangled type of color-changing fibers, porous Prussian blue
analogues (CuHCF)/polymer composite microfibers, for transparent window screens
toward air pollutant filtration. To increase pollution filtration, pores and
dimples are purposely introduced to the fibers using binary solvent systems
through a nonsolvent-induced phase separation mechanism. Such composite
microfibers overcome some of the limitations of those previously used fibers and
could simultaneously capture PM2.5, PM10, and NH3 with high efficiency. More
interestingly, a distinct color change is observed upon exposure to air
pollutants in such window screens, which provides multifunctional capability of
simultaneous pollutant capture and naked eye screening of the pollutant amount.
Specifically, in the case of long-term exposure to low-concentration NH3, the
symbol displayed in such window screens changes from yellow color to brown and
the coloration rate is directly controlled by the NH3 concentration, which may
serve as a careful reminder for those people who are repeatedly exposed to low
concentration ammonia gas (referred to as chronic poisoning). In contrast, after
short-term exposure to a high concentration of ammonia gas, the yellow symbol
immediately becomes blackened, which provides timely information about the risk
of acute ammonia poisoning or even ammonia explosion. Further spectroscopic
results show that the chromatic behaviors in response to different concentrations
of NH3 are fundamentally different, which is related to the different locations
of ammonia in the lattice of CuHCF, either in its interstitial sites or at the
Fe(CN)6 vacancy sites, largely distinguished by the absence or presence of
atmospheric moisture.
PMID- 29648793
TI - Thermophoresis-Controlled Size-Dependent DNA Translocation through an Array of
Nanopores.
AB - Large arrays of nanopores can be used for high-throughput biomolecule
translocation with applications toward size discrimination and sorting at the
single-molecule level. In this paper, we propose to discriminate DNA length by
the capture rate of the molecules to an array of relatively large nanopores (50
130 nm) by introducing a thermal gradient by laser illumination in front of the
pores balancing the force from an external electric field. Nanopore arrays
defined by photolithography were batch processed using standard silicon
technology in combination with electrochemical etching. Parallel translocation of
single, fluorophore-labeled dsDNA strands is recorded by imaging the array with a
fast CMOS camera. The experimental data show that the capture rates of DNA
molecules decrease with increasing DNA length due to the thermophoretic effect of
the molecules. It is shown that the translocation can be completely turned off
for the longer molecule using an appropriate bias, thus allowing a size
discrimination of the DNA translocation through the nanopores. A derived
analytical model correctly predicts the observed capture rate. Our results
demonstrate that by combining a thermal and a potential gradient at the
nanopores, such large nanopore arrays can potentially be used as a low-cost, high
throughput platform for molecule sensing and sorting.
PMID- 29648794
TI - Bathochromic Shifts in Rhenium Carbonyl Dyes Induced through Destabilization of
Occupied Orbitals.
AB - A series of rhenium diimine carbonyl complexes was prepared and characterized in
order to examine the influence of axial ligands on electronic structure.
Systematic substitution of the axial carbonyl and acetonitrile ligands of
[Re(deeb)(CO)3(NCCH3)]+ (deeb = 4,4'-diethylester-2,2'-bipyridine) with
trimethylphosphine and chloride, respectively, gives rise to red-shifted
absorbance features. These bathochromic shifts result from destabilization of the
occupied d-orbitals involved in metal-to-ligand charge-transfer transitions. Time
Dependent Density Functional Theory identified the orbitals involved in each
transition and provided support for the changes in orbital energies induced by
ligand substitution.
PMID- 29648795
TI - It Takes Two To Target: A Study in KRAS Dimerization.
PMID- 29648796
TI - Measurement of Interpeptidic Cu(II) Exchange Rate Constants by Static
Fluorescence Quenching of Tryptophan.
AB - The interpeptidic exchange of Cu(II) between biologically relevant peptides like
Gly-His-Lys (GHK) was measured through proximity static fluorescence quenching of
a noncoordinating tryptophan residue by Cu(II). The inability to spectrally
distinguish between starting and final Cu(H-1GHK)+ complexes by the current
methods was solved by the replacement of noncoordinating lysine for tryptophan in
the starting complex, Cu(H-1GHW). Because the apoGHW is the only fluorescent
species, the recovered fluorescence is directly proportional to the
[Cu(II)]exchanged between GHW and GHK. The apparent second-order rate constants
of the exchanges from Cu(H-1GHW) to GHK and DAHK are 1.6 (+/-0.2) * 102 and 5.0
(+/-0.7) * 101 M-1 s-1, respectively. The easy-to-implement kinetic fluorescent
method described here for Cu(II) interpeptidic exchange can be expanded to other
biological systems.
PMID- 29648797
TI - Theoretical Modeling of Singlet Fission.
AB - Singlet fission is a photophysical reaction in which a singlet excited electronic
state splits into two spin-triplet states. Singlet fission was discovered more
than 50 years ago, but the interest in this process has gained a lot of momentum
in the past decade due to its potential as a way to boost solar cell
efficiencies. This review presents and discusses the most recent advances with
respect to the theoretical and computational studies on the singlet fission
phenomenon. The work revisits important aspects regarding electronic states
involved in the process, the evaluation of fission rates and interstate
couplings, the study of the excited state dynamics in singlet fission, and the
advances in the design and characterization of singlet fission compounds and
materials such as molecular dimers, polymers, or extended structures. Finally,
the review tries to pinpoint some aspects that need further improvement and
proposes future lines of research for theoretical and computational chemists and
physicists in order to further push the understanding and applicability of
singlet fission.
PMID- 29648798
TI - Biosynthesis of Thermoresponsive Magnetic Nanoparticles by Magnetosome Display
System.
AB - Thermoresponsive magnetic nanoparticles (MNPs) were synthesized using a
magnetosome display system. An elastin-like polypeptide decamer of VPGVG (ELP10),
which is hydrophobic above the transition temperature ( Tt) and can form an
insoluble aggregation, was immobilized on biogenic MNPs in the magnetotactic
bacterium, Magnetospirillum magneticum AMB-1. It was suggested that
hydrophobicity of the MNP surface increased at 60 degrees C compared with 20
degrees C by the immobilization of ELP10. Size distribution analysis indicated
that the immobilization of ELP10 onto MNPs induced the increased hydrophobicity
with increasing temperatures up to 60 degrees C, promoting aggregation of the
particles by hydrophobic and magnetic interactions. These results suggest that
the acceleration of magnetic collection at 60 degrees C was caused by particle
aggregation promoted by hydrophobic interaction between ELP-MNPs. Furthermore,
the immobilization of ELP on MNPs gave a quick magnetic collection at 60 degrees
C by external magnetic field. The thermoresponsive properties will further expand
the utility of biotechnological applications of biogenic MNPs.
PMID- 29648799
TI - Comparative Assessment of Active Targeted Redox Sensitive Polymersomes Based on
pPEGMA-S-S-PLA Diblock Copolymer with Marketed Nanoformulation.
AB - In the present work, polymersomes based on self-assembled, folate-targeted, redox
responsive, ATRP-based amphiphilic diblock copolymer poly(polyethylene glycol)-S
S-polylactide with disulfide linkage were developed for efficient doxorubicin
(DOX) delivery and compared with marketed DOXIL nanoformulation. The polymersomes
formulation was optimized by quality by design approach providing monodisperse
nanostructures of ~110 nm and enhanced DOX loading of ~20%. Polymersomes showed
excellent stability as per the ICH guidelines over the extended storage period of
3 months. The in vitro drug release profile confirmed the redox sensitive
behavior of polymersomes providing ~80% drug release in endosomal pH 5 with 10
mmol GSH as compared to ~20% release at pH 7.4. The targeted polymersomes
achieved enhanced cellular internalization in folate receptor overexpressing cell
lines, MDA-MB-231 and HeLa, providing ~24% higher tumor reduction than DOXIL in
Ehrlich ascites tumor bearing Swiss albino mice.
PMID- 29648800
TI - Highly Self-Healable 3D Microsupercapacitor with MXene-Graphene Composite
Aerogel.
AB - High-performance microsupercapacitors (MSCs) with three-dimensional (3D)
structure provide an effective approach to improve the ability of energy storage.
Because the electrodes with 3D structure are generally easily destroyed under
mechanical deformation in practical applications, we fabricated a self-healable
3D MSC consisting of MXene (Ti3C2T x)-graphene (reduced graphene oxide, rGO)
composite aerogel electrode by wrapping it with a self-healing polyurethane as an
outer shell. The MXene-rGO composite aerogel combining large specific surface
area of rGO and high conductivity of the MXene can not only prevent the self
restacking of the lamella structure but also resist the poor oxidization of MXene
to a degree. The MSC based on a 3D MXene-rGO aerogel delivers a large area
specific capacitance of 34.6 mF cm-2 at a scan rate of 1 mV s-1 and an
outstanding cycling performance with a capacitance retention up to 91% over 15
000 cycles. The 3D MSC presents an excellent self-healing ability with specific
capacitance retention of 81.7% after the fifth healing. The preparation of this
self-healable 3D MSC can provide a method for designing and manufacturing next
generation long-life multifunctional electronic devices further to meet the
requirements of sustainable development.
PMID- 29648802
TI - Quenching Pathways in NaYF4:Er3+,Yb3+ Upconversion Nanocrystals.
AB - Lanthanide-doped upconversion (UC) phosphors absorb low-energy infrared light and
convert it into higher-energy visible light. Despite over 10 years of
development, it has not been possible to synthesize nanocrystals (NCs) with UC
efficiencies on a par with what can be achieved in bulk materials. To guide the
design and realization of more efficient UC NCs, a better understanding is
necessary of the loss pathways competing with UC. Here we study the excited-state
dynamics of the workhorse UC material beta-NaYF4 co-doped with Yb3+ and Er3+. For
each of the energy levels involved in infrared-to-visible UC, we measure and
model the competition between spontaneous emission, energy transfer between
lanthanide ions, and other decay processes. An important quenching pathway is
energy transfer to high-energy vibrations of solvent and/or ligand molecules
surrounding the NCs, as evidenced by the effect of energy resonances between
electronic transitions of the lanthanide ions and vibrations of the solvent
molecules. We present a microscopic quantitative model for the quenching dynamics
in UC NCs. It takes into account cross-relaxation at high lanthanide-doping
concentration as well as Forster resonance energy transfer from lanthanide
excited states to vibrational modes of molecules surrounding the UC NCs. Our
model thereby provides insight in the inert-shell thickness required to prevent
solvent quenching in NCs. Overall, the strongest contribution to reduced UC
efficiencies in core-shell NCs comes from quenching of the near-infrared energy
levels (Er3+: 4I11/2 and Yb3+: 2F5/2), which is likely due to vibrational
coupling to OH- defects incorporated in the NCs during synthesis.
PMID- 29648801
TI - The Proline Cycle As a Potential Cancer Therapy Target.
AB - Interest in how proline contributes to cancer biology is expanding because of the
emerging role of a novel proline metabolic cycle in cancer cell survival,
proliferation, and metastasis. Proline biosynthesis and degradation involve the
shared intermediate Delta1-pyrroline-5-carboxylate (P5C), which forms l-glutamate
gamma-semialdehyde (GSAL) in a reversible non-enzymatic reaction. Proline is
synthesized from glutamate or ornithine through GSAL/P5C, which is reduced to
proline by P5C reductase (PYCR) in a NAD(P)H-dependent reaction. The degradation
of proline occurs in the mitochondrion and involves two oxidative steps catalyzed
by proline dehydrogenase (PRODH) and GSAL dehydrogenase (GSALDH). PRODH is a
flavin-dependent enzyme that couples proline oxidation with reduction of membrane
bound quinone, while GSALDH catalyzes the NAD+-dependent oxidation of GSAL to
glutamate. PRODH and PYCR form a metabolic relationship known as the proline-P5C
cycle, a novel pathway that impacts cellular growth and death pathways. The
proline-P5C cycle has been implicated in supporting ATP production, protein and
nucleotide synthesis, anaplerosis, and redox homeostasis in cancer cells. This
Perspective details the structures and reaction mechanisms of PRODH and PYCR and
the role of the proline-P5C cycle in cancer metabolism. A major challenge in the
field is to discover inhibitors that specifically target PRODH and PYCR isoforms
for use as tools for studying proline metabolism and the functions of the proline
P5C cycle in cancer. These molecular probes could also serve as lead compounds in
cancer drug discovery targeting the proline-P5C cycle.
PMID- 29648803
TI - Flexible Ferroelectric Sensors with Ultrahigh Pressure Sensitivity and Linear
Response over Exceptionally Broad Pressure Range.
AB - Flexible pressure sensors with a high sensitivity over a broad linear range can
simplify wearable sensing systems without additional signal processing for the
linear output, enabling device miniaturization and low power consumption. Here,
we demonstrate a flexible ferroelectric sensor with ultrahigh pressure
sensitivity and linear response over an exceptionally broad pressure range based
on the material and structural design of ferroelectric composites with a
multilayer interlocked microdome geometry. Due to the stress concentration
between interlocked microdome arrays and increased contact area in the multilayer
design, the flexible ferroelectric sensors could perceive static/dynamic pressure
with high sensitivity (47.7 kPa-1, 1.3 Pa minimum detection). In addition,
efficient stress distribution between stacked multilayers enables linear sensing
over exceptionally broad pressure range (0.0013-353 kPa) with fast response time
(20 ms) and high reliability over 5000 repetitive cycles even at an extremely
high pressure of 272 kPa. Our sensor can be used to monitor diverse stimuli from
a low to a high pressure range including weak gas flow, acoustic sound, wrist
pulse pressure, respiration, and foot pressure with a single device.
PMID- 29648804
TI - Computation-Assisted Nanopore Detection of Thorium Ions.
AB - Thorium is a well-known radioactive and chemically toxic contaminant in the
environment. The continuous exposure to thorium may cause an increased risk of
developing lung and liver diseases as well as lung, pancreas, and bone cancer.
Due to its use in nuclear industry and other industrial applications, thorium may
be accidentally released to the environment from its mining and processing
plants. In this work, we developed a rapid, real-time, and label-free nanopore
sensor for Th4+ detection by using an aspartic acid containing peptide as a
chelating agent and tuning the electrolyte solution pH to control the net charges
of the peptide ligand and its metal ion complex. The method is highly sensitive
with a detection limit of 0.45 nM. Furthermore, the sensor is selective: other
metal ions (e.g., UO22+, Pb2+, Cu2+, Ni2+, Hg2+, Zn2+, As3+, Mg2+, and Ca2+) with
concentrations of up to 3 orders of magnitude greater than that of Th4+ would not
interfere with Th4+detection. In addition, simulated water samples were
successfully analyzed. Our developed computation-assisted sensing strategy should
find useful applications in the development of nanopore sensors for other metal
ions.
PMID- 29648805
TI - Land Use Intensity-Specific Global Characterization Factors to Assess Product
Biodiversity Footprints.
AB - The UNEP-SETAC life cycle initiative recently recommended use of the countryside
species-area relationship (SAR) model to calculate the characterization factors
(CFs; potential species loss per m2) for projecting the biodiversity impact of
land use associated with a products' life cycle. However, CFs based on this
approach are to date available for only six broad land use types without
differentiating between their management intensities and have large uncertainties
that limit their practical applicability. Here we derive updated CFs for
projecting potential species losses of five taxa resulting from five broad land
use types (managed forests, plantations, pasture, cropland, urban) under three
intensity levels (minimal, light, and intense use) in each of the 804 terrestrial
ecoregions. We utilize recent global land use intensity maps and International
Union for Conservation of Nature (IUCN) habitat classification scheme to
parametrize the SAR model. As a case study, we compare the biodiversity impacts
of 1 m3 of wood produced under four different forest management regimes in India
and demonstrate that the new land use intensity-specific CFs have smaller
uncertainty intervals and are able to discern the impacts of intensively managed
land uses from the low intensity regimes, which has not been possible through
previous CFs.
PMID- 29648806
TI - Strategy for Stabilization of CutA1 Proteins Due to Ion-Ion Interactions at
Temperatures of over 100 degrees C.
AB - In order to elucidate the contribution of charged residues to protein
stabilization at temperatures of over 100 degrees C, we constructed many mutants
of the CutA1 protein ( EcCutA1) from Escherichia coli. The goal was to see if one
can achieve the same stability as for a CutA1 from hyperthermophile Pyrococcus
horikoshii that has the denaturation temperature near 150 degrees C. The
hydrophobic mutant of EcCutA1 ( Ec0VV) with denaturation temperature ( Td) of
113.2 degrees C was used as a template for mutations. The highest Td of Ec0VV
mutants substituted by a single charged residue was 118.4 degrees C. Multiple
ion mutants were also constructed by combination of single mutants and found to
have an increased thermostability. The highest stability of multiple mutants was
a mutant substituted by nine charged residues that had a Td of 142.2 degrees C.
To evaluate the energy of ion-ion interactions of mutant proteins, we used the
structural ensemble obtained by a molecular dynamics simulation at 300 K. The Td
of ionic mutants linearly increases with the increments of the computed energy of
ion-ion interactions for ionic mutant proteins even up to the temperatures near
140 degrees C, suggesting that ion-ion interactions cumulatively contribute to
the stabilization of a protein at high temperatures.
PMID- 29648807
TI - Density of Grafted Chains in Thioglycerol-Capped CdS Quantum Dots Determines
Their Interaction with Aluminum(III) in Water.
AB - We aimed to quantify the interaction of water-soluble-functionalized CdS quantum
dots (QDs) with metal cations from their composition and physical properties.
From the diameter of thioglycerol-capped nanoparticles (TG-CdS QDs) measured by
electronic microscopy ( D = 12.3 +/- 0.3 nm), we calculated the molecular mass of
the individual particle MAQD = (3 +/- 0.5) * 106 g.mol-1 and its molar absorption
coefficient epsilon450 = 21 * 106 M-1.cm-1. We built a three-dimensional model of
the TG-CdS QDs in agreement with the structural data, which allowed us to
quantify the number of thioglycerol grafted chains to ~2000 per QD. This value
fully matches the saturation binding curve of Al3+ cations interacting with TG
CdS QDs. The reaction occurred with a slow association rate ( kon = 2.1 * 103 M
1.s-1), as expected for heavy QDs. The photophysical properties of the
functionalized QDs were studied using an absolute QD concentration of 7 nM, which
allowed us to investigate the interaction with 14 metallic cations in water. The
fluorescence intensity of TG-CdS QDs could be quenched only in the presence of
Al3+ ions in the range 0.2-10 MUM but not with other cations and was not observed
with other kinds of grafting chains.
PMID- 29648808
TI - Enhanced Uranium Immobilization by Phosphate Amendment under Variable Geochemical
and Flow Conditions: Insights from Reactive Transport Modeling.
AB - Phosphate amendment has shown promise for enhancing uranium immobilization. The
mechanism of the enhancement, however, has remained unclear with contrasting
observations under variable environmental conditions. A dual-domain reactive
transport model is developed here with constraints from batch and column
experimental data to understand the mechanisms and to explore the effectiveness
of enhanced U(VI) immobilization under variable geochemical and flow conditions.
Modeling results indicate that under low U(VI) conditions in natural waters,
phosphate addition promotes U(VI) immobilization through the formation of U(VI)
phosphate ternary surface complexes and the precipitation of calcium phosphate,
both decreasing the concentrations of mobile U-Ca-CO3 aqueous complexes. This
contrasts with previous hypotheses attributing the immobilization enhancement to
U(VI)-phosphate precipitation under experimental conditions with high U(VI).
Sensitivity analysis shows that phosphate is effective under relatively low Ca
(<0.1 mM) and total inorganic carbon (TIC) (<0.5 mM) conditions, where >60% of
U(VI) still remains on sediments after 113 residence times of flushing with low
phosphate solutions (<0.1 mM). Under high Ca or TIC conditions, a similar level
of U(VI) immobilization can be achieved only when the phosphate concentration is
higher than Ca or TIC concentrations. Compared to the strong geochemical effects,
flow conditions have relatively limited impacts on U(VI) immobilization. These
results explain contrasting field observations on the effectiveness of phosphate
amendment and offer capabilities to extrapolate observations to other
environmental conditions.
PMID- 29648810
TI - FeCl2-Catalyzed Decarboxylative Radical Alkylation/Cyclization of Cinnamamides:
Access to Dihydroquinolinone and Pyrrolo[1,2- a]indole Analogues.
AB - A simple and unified method for the synthesis of alkylated dihydroquinolinone and
pyrrolo[1,2- a]indole derivatives in moderate to high yields (up to 91%) with
excellent diastereoselectivity (>20:1 dr) was developed. The inexpensive
FeCl2.4H2O works as catalyst, and easily prepared peresters (or peroxides) from
aliphatic acids act as alkylating reagents and single electron oxidants. This
environmentally friendly reaction proceeds via an FeCl2-catalyzed alkyl radical
cascade addition/cyclization fashion.
PMID- 29648809
TI - Iron(MCP) Complexes Catalyze Aziridination with Olefins As Limiting Reagents.
AB - Herein described are the first efficient nitrogen-atom transfer reactions
mediated by iron N, N'-dimethyl- N, N'-bis(2-pyridinylmethyl)cyclohexane-1,2
diamine- (MCP-) and 2-({1-[(pyridin-2-ylmethyl)pyrrolidin-2-yl]pyrrolidin-1
yl}methyl)pyridine-type (PDP-type) complexes. These catalysts affect styrene
aziridination under mild conditions based on a limiting quantity of olefin
substrate.
PMID- 29648811
TI - Combating Drug-Resistant Fungi with Novel Imperfectly Amphipathic Palindromic
Peptides.
AB - Antimicrobial peptides are an important weapon against invading pathogens and are
potential candidates as novel antibacterial agents, but their antifungal
activities are not fully developed. In this study, a set of imperfectly
amphipathic peptides was developed based on the imperfectly amphipathic
palindromic structure R n(XRXXXRX)R n ( n = 1, 2; X represents L, I, F, or W),
and the engineered peptides exhibited high antimicrobial activities against all
fungi and bacteria tested (including fluconazole-resistant Candida albicans),
with geometric mean (GM) MICs ranging from 2.2 to 6.62 MUM. Of such peptides, 13
(I6) (RRIRIIIRIRR-NH2) that was Ile rich in its hydrophobic face had the highest
antifungal activity (GMfungi = 1.64 MUM) while showing low toxicity and high salt
and serum tolerance. It also had dramatic LPS-neutralizing propensity and a
potent membrane-disruptive mechanism against microbial cells. In summary, these
findings were useful for short AMPs design to combat the growing threat of drug
resistant fungal and bacterial infections.
PMID- 29648812
TI - Minimum Energy Paths and Transition States by Curve Optimization.
AB - Transition states and minimum energy paths are essential to understand and
predict chemical reactivity. Double-ended methods represent a standard approach
for their determination. We introduce a new double-ended method that optimizes
reaction paths described by curves. Unlike other methods, our approach optimizes
the curve parameters rather than distinct structures along the path. With
molecular paths represented as continuous curves, the optimization can benefit
from the advantages of an integral-based formulation. We call this approach
ReaDuct and demonstrate its applicability for molecular paths parametrized by B
spline curves.
PMID- 29648814
TI - Preparation of Bioactive Polysaccharide Nanoparticles with Enhanced Radical
Scavenging Activity and Antimicrobial Activity.
AB - Because of their biocompatibility and biodegradability in vivo, natural
polysaccharides are effective nanocarriers for delivery of active ingredients or
drugs. Moreover, bioactive polysaccharides, such as tea, Ganoderma lucidum, and
Momordica charantia polysaccharides (TP, GLP, and MCP), have antibacterial,
antioxidant, antitumor, and antiviral properties. In this study, tea, Ganoderma
lucidum, and Momordica charantia polysaccharide nanoparticles (TP-NPs, GLP-NPs,
and MCP-NPs) were prepared via the nanoprecipitation approach. When the ethanol
to water ratio was 10:1, the diameter of the spherical polysaccharide
nanoparticles was the smallest, and the mean particle size of the TP-NPs, GLP
NPs, and MCP-NPs was 99 +/- 15, 95 +/- 7, and 141 +/- 9 nm, respectively. When
exposed to heat, increased ionic strength and pH levels, the nanoparticles
exhibited superior stability and higher activity than the corresponding
polysaccharides. In physiological conditions (pH 7.4), the nanoparticles
underwent different protein adsorption capacities in the following order: MCP
NPs> TP-NPs> GLP-NPs. Moreover, the 2,2-diphenyl-1-picrylhydrazyl (DPPH),
hydroxyl radical, and superoxide anion radical scavenging rates of the
nanoparticles were increased by 9-25% as compared to the corresponding
polysaccharides. Compared to the bioactive polysaccharides, the nanoparticles
enhanced antimicrobial efficacy markedly and exhibited long-acting antibacterial
activity.
PMID- 29648813
TI - Small Molecule Neuropilin-1 Antagonists Combine Antiangiogenic and Antitumor
Activity with Immune Modulation through Reduction of Transforming Growth Factor
Beta (TGFbeta) Production in Regulatory T-Cells.
AB - We report the design, synthesis, and biological evaluation of some potent small
molecule neuropilin-1 (NRP1) antagonists. NRP1 is implicated in the immune
response to tumors, particularly in Treg cell fragility, required for PD1
checkpoint blockade. The design of these compounds was based on a previously
identified compound EG00229. The design of these molecules was informed and
supported by X-ray crystal structures. Compound 1 (EG01377) was identified as
having properties suitable for further investigation. Compound 1 was then tested
in several in vitro assays and was shown to have antiangiogenic, antimigratory,
and antitumor effects. Remarkably, 1 was shown to be selective for NRP1 over the
closely related protein NRP2. In purified Nrp1+, FoxP3+, and CD25+ populations of
Tregs from mice, 1 was able to block a glioma-conditioned medium-induced increase
in TGFbeta production. This comprehensive characterization of a small-molecule
NRP1 antagonist provides the basis for future in vivo studies.
PMID- 29648816
TI - The Pharmacophore Network: A Computational Method for Exploring Structure
Activity Relationships from a Large Chemical Data Set.
AB - Historically, structure-activity relationship (SAR) analysis has focused on small
sets of molecules, but in recent years, there has been increasing efforts to
analyze the growing amount of data stored in public databases like ChEMBL. The
pharmacophore network introduced herein is dedicated to the organization of a set
of pharmacophores automatically discovered from a large data set of molecules.
The network navigation allows to derive essential tasks of a drug discovery
process, including the study of the relations between different chemical series,
the analysis of the influence of additional chemical features on the compounds'
activity, and the identification of diverse binding modes. This paper describes
the method used to construct the pharmacophore network, and a case study dealing
with BCR-ABL exemplifies its usage for large-scale SAR analysis. Thanks to a
benchmarking study, we also demonstrate that the selection of a subset of
representative pharmacophores can be used to conduct classification tasks.
PMID- 29648815
TI - Peptidomimetic-Based Multidomain Targeting Offers Critical Evaluation of Abeta
Structure and Toxic Function.
AB - The prevailing hypothesis stipulates that the preamyloid oligomers of Abeta are
the main culprits associated with the onset and progression of Alzheimer's
disease (AD), which has prompted efforts to search for therapeutic agents with
the ability to inhibit Abeta oligomerization and amyloidogenesis. However,
clinical progress is impeded by the limited structural information about the
neurotoxic oligomers. To address this issue, we have adopted a synthetic
approach, where a library of oligopyridylamide-based small molecules was tested
against various microscopic events implicated in the self-assembly of Abeta. Two
oligopyridylamides bind to different domains of Abeta and affect distinct
microscopic events in Abeta self-assembly. The study lays the foundations for a
dual recognition strategy to simultaneously target different domains of Abeta for
further improvement in antiamyloidogenic activity. The data demonstrate that one
of the most effective oligopyridylamides forms a high affinity complex with
Abeta, which sustains the compound's activity in cellular milieu. The
oligopyridylamide was able to rescue cells when introduced 24 h after the
incubation of Abeta. The rescue of Abeta toxicity is potentially a consequence of
the colocalization of the oligopyridylamide with Abeta. The synthetic tools
utilized here provide a straightforward strategic framework to identify a range
of potent antagonists of Abeta-mediated toxic functions. This approach could be a
powerful route to the design of candidate drugs for various amyloid diseases that
have so far proven to be "untargetable".
PMID- 29648817
TI - Tight-Binding Inhibition of Human Monoamine Oxidase B by Chromone Analogs: A
Kinetic, Crystallographic, and Biological Analysis.
AB - Monoamine oxidase B (MAO-B) is a validated drug target for Parkinson's disease.
Chromone derivatives were identified as novel potent and reversible MAO-B
inhibitors, and herewith we report on a crystallographic and biochemical analysis
to investigate their inhibition mechanism. The crystal structures of human MAO-B
in complex with three chromone analogs bearing different substituents on the
exocyclic aromatic ring (determined at 1.6-1.8 A resolution) showed that they all
bind in the active site cavity of the protein with the chromone moiety located in
front of the FAD cofactor. These inhibitors form two hydrogen bonds with Tyr435
and Cys172 and perfectly fit the hydrophobic flat active site of human MAO-B.
This is reflected in their tight-binding mechanism of inhibition with Ki values
of 55, 17, and 31 nM for N-(3',4'-dimethylphenyl)-4-oxo-4 H-chromene-3
carboxamide (1), N-(3'-chlorophenyl)-4-oxo-4 H-chromene-3-carboxamide (2), and N
(3'-fluorophenyl)-4-oxo-4 H-chromene-3-carboxamide (3), respectively. These
compounds were also 1000-fold more effective than l-deprenyl in reducing the
cellular levels of reactive oxygen species (ROS).
PMID- 29648818
TI - Imidazole Alkaloids and Their Zinc Complexes from the Calcareous Marine Sponge
Leucetta chagosensis.
AB - Five new imidazole derivatives (1-5), together with eight related known
alkaloids, were isolated from a calcareous marine sponge, Leucetta chagosensis,
collected from the South China Sea. Their structures were fully characterized by
spectroscopic methods. Structurally, 1 possesses an unusual skeleton featuring
imidazole and oxazolone rings linked via a nitrogen atom, whereas 2 bears an
intriguing guanylurea-substituted imidazole ring. Compounds 4 and 5 were
identified as zinc complexes; they represent the metal complex analogues of
naamidine J (6) and pyronaamidine (7), respectively. Among the isolated
compounds, 2 and 5 showed significant inhibitory activities toward the LPS
induced production of IL-6 in the human acute monocytic leukemia cell line THP-1,
and 7 displayed cytotoxicity against MCF-7, PC9, A549, and breast cancer stem
cells (MCF-7-Oct4-GFP) with IC50 values of 5.2, 5.6, 7.8, and 10 MUM,
respectively.
PMID- 29648820
TI - Facile C-H Bond Metathesis Mediated by a Stannylene.
AB - The diarylstannylene, :Sn(Ar iPr4)2 (Ar iPr4 = C6H3-2,6-(C6H3-2,6- iPr2)2),
undergoes C-H metathesis with toluene, m-xylene, or mesitylene in solutions of
these solvents at 80 degrees C. The products, [Ar iPr4Sn(CH2Ar)]2 (Aryl=C6H5
(1a), C6H4-3-Me (1b), C6H3-3,5-Me2(1c)) were characterized via 1H, 13C and 119Sn
NMR, UV-vis and IR spectroscopy, and by X-ray crystallography for 1a and 1b. A
stoichiometric amount of the arene, Ar iPr4H, was also produced in these
reactions. The use of EPR spectroscopy indicated the presence of a new type of
one-coordinate, tin-centered radical, :SnAr iPr4, resulting from Sn-C bond
cleavage in Sn(Ar iPr4)2.
PMID- 29648821
TI - One-Pot Synthesis of Trifluoromethylated Quinazolin-4(3 H)-ones with
Trifluoroacetic Acid as CF3 Source.
AB - A novel and convenient one-pot sequential cascade method for the preparation of 2
trifluoromethylquinazolin-4(3 H)-ones is described. Trifluoroacetic acid (TFA)
was employed as inexpensive and readily available CF3 source, which in the
presence of T3P was condensed with a variety of anthranilic acids and amines to
provide the products in up to 75% yield. The protocol was proved to be robust on
80 g scale, and the synthetic versatility of the prepared quinazolinon-4-ones was
demonstrated by derivatization to further useful building blocks.
PMID- 29648822
TI - Effect of Epichloe gansuensis Endophyte on the Nitrogen Metabolism, Nitrogen Use
Efficiency, and Stoichiometry of Achnatherum inebrians under Nitrogen Limitation.
AB - The systemic fungal endophyte of the grass Achnatherum inebrians, Epichloe
gansuensis, has important roles in enhancing resistance to biotic and abiotic
stresses. In this work, we first evaluated the effects of E. gansuensis on
nitrogen metabolism, nitrogen use efficiency, and stoichiometry of A. inebrians
under varying nitrogen concentrations. The results demonstrated that E.
gansuensis significantly improved the growth of A. inebrians under low nitrogen
conditions. The fresh and dry weights, nitrogen reductase, nitrite reductase, and
glutamine synthetase activity, NO3-, NH4+, N, and P content, and also the total N
accumulation, N utilization efficiency, and N uptake efficiency were all higher
in leaves of A. inebrians with E. ganusensis (E+) plants than A. inebrians plants
without this endophyte (E-) under low nitrogen availability. In conclusion, E.
gansuensis has positive effects on improving the growth of A. inebrians under low
nitrogen conditions by modulating the enzymes of nitrogen metabolism and
enhancing nitrogen use efficiency.
PMID- 29648823
TI - Three-Component Site-Selective Synthesis of Highly Substituted 5 H-Chromeno-[4,3-
b]pyridines.
AB - An efficient and concise one-pot procedure was developed based on a cascade
reaction of 3-formylchromones 1 and different types of 1,1-enediamines (EDAMs) 2
with different alcohols or amines 3 by a site-selective synthesis of 5 H
chromeno[4,3- b]pyridines in an environmentally friendly solvent. This protocol
is especially suitable for the efficient and rapid parallel synthesis of 5 H
chromeno[4,3- b]pyridine compounds. It also has some advantages, such as
convenience of operation, short reaction times, use of a green solvent, and ease
of purification by washing the crude products with ethanol.
PMID- 29648824
TI - Impact of Interfacial Composition on Lipid and Protein Co-Oxidation in Oil-in
Water Emulsions Containing Mixed Emulisifers.
AB - The impact of interfacial composition on lipid and protein co-oxidation in oil-in
water emulsions containing a mixture of proteins and surfactants was
investigated. The emulsions consisted of 5% v/v walnut oil, 0.5% w/v whey protein
isolate (WPI), and 0 to 0.4% w/v Tween 20 (pH 3 and pH 7). The protein surface
load, magnitude of the xi-potential, and mean particle diameter of the emulsions
decreased as the Tween 20 concentration was increased, indicating the whey
proteins were displaced by this nonionic surfactant. The whey proteins were
displaced from the lipid droplet surfaces more readily at pH 3 than at pH 7,
which may have been due to differences in the conformation or interactions of the
proteins at the droplet surfaces at different pH values. Emulsions stabilized by
whey proteins alone had relatively low lipid oxidation rates when incubated in
the dark at 45 degrees C for up to 8 days, as determined by measuring lipid
hydroperoxides and 2-thiobarbituric acid-reactive substances (TBARS). Conversely,
the whey proteins themselves were rapidly oxidized, as shown by carbonyl
formation, intrinsic fluorescence, sulfhydryl group loss, and electrophoresis
measurements. Displacement of whey proteins from the interface by Tween 20
reduced protein oxidation but promoted lipid oxidation. These results indicated
that the adsorbed proteins were more prone to oxidation than the nonadsorbed
proteins, and therefore, they could act as better antioxidants. Protein oxidation
was faster, while lipid oxidation was slower at pH 3 than at pH 7, which was
attributed to a higher antioxidant activity of whey proteins under acidic
conditions. These results highlight the importance of interfacial composition and
solution pH on the oxidative stability of emulsions containing mixed emulsifiers.
PMID- 29648825
TI - Rational Drug Design of Topically Administered Caspase 1 Inhibitors for the
Treatment of Inflammatory Acne.
AB - The use of an interleukin beta antibody is currently being investigated in the
clinic for the treatment of acne, a dermatological disorder affecting 650M
persons globally. Inhibiting the protease responsible for the cleavage of
inactive pro-IL1beta into active IL-1beta, caspase-1, could be an alternative
small molecule approach. This report describes the discovery of uracil 20, a
potent (38 nM in THP1 cells assay) caspase-1 inhibitor for the topical treatment
of inflammatory acne. The uracil series was designed according to a published
caspase-1 pharmacophore model involving a reactive warhead in P1 for covalent
reversible inhibition and an aryl moiety in P4 for selectivity against the
apoptotic caspases. Reversibility was assessed in an enzymatic dilution assay or
by using different substrate concentrations. In addition to classical structure
activity-relationship exploration, topical administration challenges such as
phototoxicity, organic and aqueous solubility, chemical stability in solution,
and skin metabolic stability are discussed and successfully resolved.
PMID- 29648826
TI - N-Substituted Prodrugs of Mebendazole Provide Improved Aqueous Solubility and
Oral Bioavailability in Mice and Dogs.
AB - Mebendazole (MBZ) was developed as a broad-spectrum anthelmintic but has recently
shown efficacy as an anticancer agent. The use of MBZ for cancer, however, is
challenging due to its poor solubility leading to poor bioavailability. Herein,
we developed a prodrug approach with various N-linked promoieties including
acyloxymethyl, aminoacyloxymethyl, and substituted phosphonooxymethyl in attempt
to improve these characteristics. Compound 12, containing an
(((((isopropoxycarbonyl)oxy)methoxy)phosphoryl)oxy)methyl promoiety, showed a >10
000-fold improvement in aqueous solubility. When evaluated in mice, 12 displayed
a 2.2-fold higher plasma AUC0- t and a 1.7-fold improvement in brain AUC0- t with
a calculated oral bioavailability of 52%, as compared to 24% for MBZ-polymorph C
(MBZ-C), the most bioavailable polymorph. In dogs, 12 showed a 3.8-fold higher
plasma AUC0- t with oral bioavailability of 41% compared to 11% for MBZ-C. In
summary, we have identified a prodrug of MBZ with better physicochemical
properties and enhanced bioavailability in both mice and dog.
PMID- 29648827
TI - A Concentration-Dependent Insulin Immobilization Behavior of Alkyl-Modified
Silica Vesicles: The Impact of Alkyl Chain Length.
AB - The insulin immobilization behaviors of silica vesicles (SV) before and after
modification with hydrophobic alkyl -C8 and -C18 groups have been studied and
correlated to the grafted alkyl chain length. In order to minimize the influence
from the other structural parameters, monolayered -C8 or -C18 groups are grafted
onto SV with controlled density. The insulin immobilization capacity of SV is
dependent on the initial insulin concentrations (IIC). At high IIC (2.6-3.0
mg/mL), the trend of insulin immobilization capacity of SV is SV-OH > SV-C8 > SV
C18, which is determined mainly by the surface area of SV. At medium IIC (0.6-1.9
mg/mL), the trend changes to SV-C8 >= SV-C18 > SV-OH as both the surface area and
alkyl chain length contribute to the insulin immobilization. At an extremely low
IIC, the hydrophobic-hydrophobic interaction between the alkyl group and insulin
molecules plays the most significant role. Consequently, SV-C18 with longer alkyl
groups and the highest hydrophobicity show the best insulin enrichment
performance compared to SV-C8 and SV-OH, as evidenced by an insulin detection
limit of 0.001 ng/mL in phosphate buffered saline (PBS) and 0.05 ng/mL in
artficial urine determined by mass spectrometry (MS).
PMID- 29648828
TI - Photoactive Molecular Dyads [Ru(bpy)3-M(ttpy)2] n+ on Gold (M = Co(III), Zn(II)):
Characterization, Intrawire Electron Transfer, and Photoelectric Conversion.
AB - We propose in this work a stepwise approach to construct photoelectrodes. This
takes advantage of the self-assembly interactions between thiol with a gold
surface and terpyridine ligands with first-row transition metals. Here, a
[Ru(bpy)3]2+ photosensitive center bearing a free terpyridine group has been used
to construct two linear dyads on gold (Au/[ZnII-RuII]4+ and Au/[CoIII-RuII]5+).
The stepwise construction was characterized by electrochemistry, quartz crystal
microbalance, and atomic force microscopy imaging. The results show that the
dyads behave as rigid layers and are inhomogeneously distributed on the surface.
The surface coverages are estimated to be in the order of 10-11 mol cm-2. The
kinetics of the heterogeneous electron transfer is determined on modified gold
ball microelectrodes using Laviron's formula. The oxidation rates of the terminal
Ru(II) subunits are estimated to be 700 and 2300 s-1 for Au/[ZnII-RuII]4+ and
Au/[CoIII-RuII]5+, respectively. In the latter case, the rate is limited by the
kinetics of electron transfer between an intermediate Co(II) center and the gold
surface. For Au/[ZnII-RuII]4+, the Zn-bis-terpyridine center is not involved in
the electron-transfer process and the oxidation of the Ru(II) subunit occurs
through a superexchange process. In the presence of a tertiary amine in solution,
the electrodes at a bias of 0.12 V behave as photoanodes when subjected to
visible light irradiation. The magnitude of the photocurrent is around 10 MUA cm
2 for Au/[CoIII-RuII]5+ and 5 MUA cm-2 for Au/[ZnII-RuII]4+, proving the
importance of an electron relay on the photon-to-current conversion. The results
suggest an efficient conversion for Au/[CoIII-RuII]5+, since each bound dyad,
once excited, injects an electron around 10 times per second.
PMID- 29648829
TI - Selective Permeation through One-Atom-Thick Nanoporous Carbon Membranes: Theory
Reveals Excellent Design Strategies!
AB - Research on the permeation of various species through one-atom-thick nanoporous
carbon membranes has gained an unprecedented importance in the past decade,
thanks to the development of numerous theoretical design strategies for a
plethora of applications ranging from gas separation, water desalination, isotope
separation, and chiral separation, to DNA sequencing. Although some of the recent
experiments have demonstrated successful performance of such carbon membranes in
sieving, many of the suggested applications are yet to be realized in
experiments. This review aims to draw the attention of the theoretical as well as
the experimental researchers working on two-dimensional carbon materials toward
the recent theoretical developments probing the permeation of various species
such as atoms, ions, small molecules, and biopolymers like DNA through carbon
frameworks like graphynes, graphdiyne, graphenylenes, and various forms of
nanoporous graphene, including graphene crown ethers. The underlying guiding
principles toward the design of carbon-based membranes for nanofiltration are
established using estimates of the adsorption energies, barrier heights for
permeation, rates of permeation, selectivities, permeances, etc. The crucial
roles of tunneling, temperature effects, chemical functionalities, and dynamical
aspects of the nanopores are also highlighted, paving the way to a comprehensive
description of the theoretical design strategies for tailoring the applicability
of novel nanoporous carbon membranes in sieving and related aspects.
PMID- 29648830
TI - Efficient Nitrogen Fixation via a Redox-Flexible Single-Iron Site with Reverse
Dative Iron -> Boron sigma Bonding.
AB - Model systems of the FeMo cofactor of nitrogenase have been explored extensively
in catalysis to gain insights into their ability for nitrogen fixation that is of
vital importance to the human society. Here we investigate the trigonal pyramidal
borane-ligand Fe complex by first-principles calculations, and find that the
variation of oxidation state of Fe along the reaction path correlates with that
of the reverse-dative Fe -> B bonding. The redox-flexibility of the reverse
dative Fe -> B bonding helps to provide an electron reservoir that buffers and
stabilizes the evolution of Fe oxidation state, which is essential for forming
the key intermediates of N2 activation. Our work provides insights for
understanding and optimizing homogeneous and surface single-atom catalysts with
reverse-dative donating ligands for efficient dinitrogen fixation. The extension
of this kind of molecular catalytic active center to heterogeneous catalysts with
surface single-clusters is also discussed.
PMID- 29648831
TI - Molecular Mechanism Behind the Resistance of the G1202R-Mutated Anaplastic
Lymphoma Kinase to the Approved Drug Ceritinib.
AB - Anaplastic lymphoma kinase (ALK) has been regarded as an essential target for the
treatment of nonsmall cell lung cancer (NSCLC). However, the emergence of the
G1202R solvent front mutation that confers resistance to the drugs was reported
for the first as well as the second generation ALK inhibitors. It was thought
that the G1202R solvent front mutation might hinder the drug binding. In this
study, a different fact could be clarified by multiple molecular modeling
methodologies through a structural analogue of ceritinib (compound 10, Cpd-10)
that is reported to be a potent inhibitor against the G1202R mutation. Herein,
molecular docking, accelerated molecular dynamics (aMD) simulations in
conjunction with principal component analysis (PCA), and free energy map
calculations were used to produce reasonable and representative initial
conformations for the conventional MD simulations. Compared with Cpd-10, the
binding specificity of ceritinib between ALK wild-type (ALKWT) and ALK G1202R
(ALKG1202R) are primarily controlled by the conformational change of the P-loop-
and A-loop-induced energetic redistributions, and the variation is nonpolar
interactions, as indicated by conventional MD simulations, PCA, dynamic cross
correlation map (DCCM) analysis, and free energy calculations. Furthermore, the
umbrella sampling (US) simulations were carried out to make clear the principle
of the dissociation processes of ceritinib and Cpd-10 toward ALKWT and ALKG1202R.
The calculation results suggest that Cpd-10 has similar dissociation processes
from both ALKWT and ALKG1202R, but ceritinib is more easily dissociated from
ALKG1202R than from ALKWT, thus less residence time is responsible for the
ceritinib resistance. Our results suggest that both the binding specificity and
the drug residence time should be emphasized in rational drug design to overcome
the G1202R solvent front mutation of ALK resistance.
PMID- 29648833
TI - Manufacturing Amorphous Solid Dispersions with a Tailored Amount of Crystallized
API for Biopharmaceutical Testing.
AB - The preparation of an amorphous solid dispersion (ASD) by dissolving a poorly
water-soluble active pharmaceutical ingredient (API) in a polymer matrix can
improve the bioavailability by orders of magnitude. Crystallization of the API in
the ASD, though, is an inherent threat for bioavailability. Commonly, the impact
of crystalline API on the drug release of the dosage form is studied with samples
containing spiked crystallinity. These spiked samples possess implicit
differences compared to native crystalline samples, regarding size and spatial
distribution of the crystals as well as their molecular environment. In this
study, we demonstrate that it is possible to grow defined amounts of crystalline
API in solid dosage forms, which enables us to study the biopharmaceutical impact
of actual crystallization. For this purpose, we studied the crystal growth in
fenofibrate tablets over time under an elevated moisture using transmission Raman
spectroscopy (TRS). As a nondestructive method to assess API crystallinity in ASD
formulations, TRS enables the monitoring of crystal growth in individual dosage
forms. Once the kinetic trace of the crystal growth for a certain environmental
condition is determined, this method can be used to produce samples with defined
amounts of crystallized API. To investigate the biopharmaceutical impact of
crystallized API, non-QC dissolution methods were used, designed to identify
differences between the various amounts of crystalline materials present. The
drug release in the samples manufactured in this fashion was compared to that of
samples with spiked crystallinity. In this study, we present for the first time a
method for targeted crystallization of amorphous tablets to simulate crystallized
ASDs. This methodology is a valuable tool to generate model systems for
biopharmaceutical studies on the impact of crystallinity on the bioavailability.
PMID- 29648832
TI - Hybrid Density Functional Study of the Local Structures and Energy Levels of
CaAl2O4:Ce3.
AB - First-principles calculations were carried out for the electronic structures of
Ce3+ in calcium aluminate phosphors, CaAl2O4, and their effects on luminescence
properties. Hybrid density functional approaches were used to overcome the well
known underestimation of band gaps of conventional density functional approaches
and to calculate the energy levels of Ce3+ ions more accurately. The obtained 4f
5d excitation and emission energies show good consistency with measured values. A
detailed energy diagram of all three sites is obtained, which explains
qualitatively all of the luminescent phenomena. With the results of energy levels
calculated by combining the hybrid functional of Heyd, Scuseria, and Ernzerhof
(HSE06) and the constraint occupancy approach, we are able to construct a
configurational coordinate diagram to analyze the processes of capture of a hole
or an electron and luminescence. This approach can be applied for systematic high
throughput calculations in predicting Ce3+ activated luminescent materials with a
moderate computing requirement.
PMID- 29648834
TI - Importance of Post-translational Modifications in the Interaction of Proteins
with Mineral Surfaces: The Case of Arginine Methylation and Silica surfaces.
AB - Understanding the mechanisms involved in the interaction of proteins with
inorganic surfaces is of major interest for both basic research and practical
applications involving nanotechnology. From the list of cellular proteins with
the highest affinity for silica nanoparticles, we highlighted the group of
proteins containing arginine-glycine-glycine (RGG) motifs. Biochemical
experiments confirmed that RGG motifs interact strongly with the silica surfaces.
The affinity of these motifs is further increased when the R residue is
asymmetrically, but not symmetrically, dimethylated. Molecular dynamics
simulations show that the asymmetrical dimethylation generates an electrostatic
asymmetry in the guanidinium group of the R residue, orientating and stabilizing
it on the silica surface. The RGG motifs (methylated or not) systematically
target the siloxide groups on the silica surface through an ionic interaction,
immediately strengthened by hydrogen bonds with proximal silanol and siloxane
groups. Given that, in vivo, RGG motifs are often asymmetrically dimethylated by
specific cellular methylases, our data add support to the idea that this type of
methylation is a key mechanism for cells to regulate the interaction of the RGG
proteins with their cellular partners.
PMID- 29648835
TI - Agonists of G-Protein-Coupled Odorant Receptors Are Predicted from Chemical
Features.
AB - Predicting the activity of chemicals for a given odorant receptor is a
longstanding challenge. Here the activity of 258 chemicals on the human G-protein
coupled odorant receptor (OR)51E1, also known as prostate-specific G-protein
coupled receptor 2 (PSGR2), was virtually screened by machine learning using 4884
chemical descriptors as input. A systematic control by functional in vitro assays
revealed that a support vector machine algorithm accurately predicted the
activity of a screened library. It allowed us to identify two novel agonists in
vitro for OR51E1. The transferability of the protocol was assessed on OR1A1,
OR2W1, and MOR256-3 odorant receptors, and, in each case, novel agonists were
identified with a hit rate of 39-50%. We further show how ligands' efficacy is
encoded into residues within OR51E1 cavity using a molecular modeling protocol.
Our approach allows widening the chemical spaces associated with odorant
receptors. This machine-learning protocol based on chemical features thus
represents an efficient tool for screening ligands for G-protein-coupled odorant
receptors that modulate non-olfactory functions or, upon combinatorial
activation, give rise to our sense of smell.
PMID- 29648836
TI - Chromophore-Removal-Induced Conformational Change in Photoactive Yellow Protein
Determined through Spectroscopic and X-ray Solution Scattering Studies.
AB - Photoactive yellow protein (PYP) induces negative phototaxis in Halorhodospira
halophila via photoactivation triggered by light-mediated chromophore
isomerization. Chromophore isomerization proceeds via a volume-conserving
isomerization mechanism due to the hydrogen-bond network and steric constraints
inside the protein, and causes significant conformational changes accompanied by
N-terminal protrusion. However, it is unclear how the structural change of the
chromophore affects the remote N-terminal domain. To understand photocycle
related structural changes, we investigated the structural aspect of chromophore
removal in PYP because it possesses a disrupted hydrogen-bond network similar to
that in photocycle intermediates. A comparison of the structural aspects with
those observed in the photocycle would give a clue related to the structural
change mechanism in the photocycle. Chromophore removal effects were assessed via
UV-vis spectroscopy, circular dichroism, and X-ray solution scattering. Molecular
shape reconstruction and an experiment-restrained rigid-body molecular dynamics
simulation based on the scattering data were performed to determine protein
shape, size, and conformational changes upon PYP bleaching. Data show that
chromophore removal disrupted the holo-PYP structure, resulting in a small N
terminal protrusion, but the extent of conformational changes was markedly less
than those in the photocycle. This indicates that disruption of the hydrogen-bond
network alone in bleached PYP does not induce the large conformational change
observed in the photocycle, which thus must result from the organized structural
transition around the chromophore triggered by chromophore photoisomerization
along with disruption of the hydrogen-bond network between the chromophore and
the PYP core.
PMID- 29648837
TI - Rheology of Ultraswollen Bicontinuous Lipidic Cubic Phases.
AB - Rheological studies of liquid crystalline systems based on monopalmitolein and 5
or 8% of 1,2 distearoylphosphatidylglycerol are reported. Such cubic phases have
been shown to possess unusually large water channels because of their ability of
accommodating up to 80 wt % of water, a feature that renders these systems
suitable for crystallizing membrane proteins with large extracellular domains.
Their mechanical properties are supposed to be substantially different from those
of traditional cubic phases. Rheological measurements were carried out on cubic
phases of both Pn3 m and Ia3 d symmetries. It was verified that these
ultraswollen cubic phases are less rigid than the normal cubic phases, with the
Pn3 m being softer that the Ia3 d ones. Furthermore, for the Pn3 m case, the
longest relaxation time is shown to decrease logarithmically with increasing
surface area per unit volume, proving the critical role of the density of
interfaces in establishing the macroscopic viscoelastic properties of the
bicontinuous cubic phases.
PMID- 29648838
TI - Binding of Small Molecule Drugs to Porcine Vitreous Humor.
AB - Pharmacokinetics in the posterior eye segment has therapeutic implications due to
the importance of retinal diseases in ophthalmology. In principle, drug binding
to the components of the vitreous, such as proteins, collagen, or
glycosaminoglycans, could prolong ocular drug retention and modify levels of
pharmacologically active free drug in the posterior eye segment. Since drug
binding in the vitreous has been investigated only sparsely, we studied vitreal
drug binding of 35 clinical small molecule drugs. Isolated homogenized porcine
vitreous and the drugs were placed in a two-compartment dialysis system that was
used to separate the bound and unbound drug. Free drug concentrations and binding
percentages were quantitated using LC-MS/MS. Drug binding levels varied between
21 and 74% in the fresh vitreous and 0 and 64% in the frozen vitreous. The
vitreal binding percentages did not correlate with those in plasma. Our data
based pharmacokinetic simulations suggest that vitreal binding of small molecule
drugs has only a modest influence on the AUC of free drug or drug half-life in
the vitreous. Therefore, it is likely that vitreal binding is not a major reason
for interindividual variability in ocular drug responses or drug-drug
interactions.
PMID- 29648839
TI - Novel Immunoliposome Technology for Enhancing the Activity of the Agonistic
Antibody against the Tumor Necrosis Factor Receptor Superfamily.
AB - We have developed a technology for efficiently enhancing the anticancer apoptosis
inducing activity of agonistic antibodies against the tumor necrosis factor
receptor (TNFR) superfamily by the formation of immunoliposomes. To induce
apoptosis in cancer cells, agonistic antibodies to the TNFR superfamily normally
need cross-linking by internal immune effector cells via the Fc region after
binding to receptors on the cell membrane. To develop apoptosis-inducing
antibodies that do not require the support of cross-linking by immune cells, we
prepared immunoliposomes conjugated with TRA-8, an agonistic antibody against
death receptor 5 (DR5), with various densities of antibody on the liposome
surface, and evaluated their activities. The TRA-8 immunoliposomes exhibited
apoptosis-inducing activity against various DR5-positive human carcinoma cells at
a significantly lower concentration without cross-linking than that of the
original TRA-8 and its natural ligand (TRAIL). The activity of the
immunoliposomes was correlated with the density of antibodies on the surface. As
the antibody component, not only the full-length antibody but also the Fab'
fragment could be used, and the TRA-8 Fab' immunoliposomes also showed
exceedingly high activity compared with the parental antibody, namely, TRA-8.
Moreover, cytotoxicity of the TRA-8 full-length or Fab' immunoliposome against
normal cells, such as human primary hepatocytes, was lower than that for TRAIL.
Enhanced activity was also observed for immunoliposomes conjugated with other
apoptosis-inducing antibodies against other receptors of the TNFR superfamily,
such as death receptor 4 (DR4) and Fas. Thus, immunoliposomes are promising as a
new modality that could exhibit significant activity at a low dose, for cost
effective application of an antibody fragment and with stable efficacy
independent of the intratumoral environment of patients as a TNF superfamily
agonistic therapy.
PMID- 29648840
TI - Lewis-Base-Catalyzed Reductive Aldol Reaction To Access Quaternary Carbons.
AB - A synthetic method for the efficient construction of beta-hydroxylactones and
lactams bearing alpha-quaternary carbon centers is described. This transformation
relies on an electronically differentiated Lewis base catalyst, which is uniquely
capable of promoting a reductive aldol reaction of alpha,alpha-disubstituted and
alpha,alpha,beta-trisubstituted enones. This approach provides a valuable
synthetic alternative for carbon-carbon bond formation in complex molecular
settings due to its orthogonal reactivity compared to that of traditional aldol
reactions. Based on this method described herein, lactones, lactams, and
morpholine amides bearing alpha-quaternary carbon centers are accessible in
yields up to 85% and 50:1 dr.
PMID- 29648841
TI - Do risk assessment tools help manage and reduce risk of violence and reoffending?
A systematic review.
AB - Although it is widely believed that risk assessment tools can help manage risk of
violence and offending, it is unclear what evidence exists to support this view.
As such, we conducted a systematic review and narrative synthesis. To identify
studies, we searched 13 databases, reviewed reference lists, and contacted
experts. Through this review, we identified 73 published and unpublished studies
(N = 31,551 psychiatric patients and offenders, N = 10,002 professionals) that
examined either professionals' risk management efforts following the use of a
tool, or rates of violence or offending following the implementation of a tool.
These studies included a variety of populations (e.g., adults, adolescents),
tools, and study designs. The primary findings were as follows: (a) despite some
promising findings, professionals do not consistently adhere to tools or apply
them to guide their risk management efforts; (b) following the use of a tool,
match to the risk principle is moderate and match to the needs principle is
limited, as many needs remained unaddressed; (c) there is insufficient evidence
to conclude that tools directly reduce violence or reoffending, as findings are
mixed; and (d) tools appear to have a more beneficial impact on risk management
when agencies use careful implementation procedures and provide staff with
training and guidelines related to risk management. In sum, although risk
assessment tools may be an important starting point, they do not guarantee
effective treatment or risk management. However, certain strategies may bolster
their utility. (PsycINFO Database Record
PMID- 29648843
TI - Sidelining the mean: The relative variability index as a generic mean-corrected
variability measure for bounded variables.
AB - Variability indices are a key measure of interest across diverse fields, in and
outside psychology. A crucial problem for any research relying on variability
measures however is that variability is severely confounded with the mean,
especially when measurements are bounded, which is often the case in psychology
(e.g., participants are asked "rate how happy you feel now between 0 and 100?").
While a number of solutions to this problem have been proposed, none of these are
sufficient or generic. As a result, conclusions on the basis of research relying
on variability measures may be unjustified. Here, we introduce a generic solution
to this problem by proposing a relative variability index that is not confounded
with the mean by taking into account the maximum possible variance given an
observed mean. The proposed index is studied theoretically and we offer an
analytical solution for the proposed index. Associated software tools (in R and
MATLAB) have been developed to compute the relative index for measures of
standard deviation, relative range, relative interquartile distance and relative
root mean squared successive difference. In five data examples, we show how the
relative variability index solves the problem of confound with the mean, and
document how the use of the relative variability measure can lead to different
conclusions, compared with when conventional variability measures are used. Among
others, we show that the variability of negative emotions, a core feature of
patients with borderline disorder, may be an effect solely driven by the mean of
these negative emotions. (PsycINFO Database Record (c) 2018 APA, all rights
reserved).
PMID- 29648842
TI - Ethnoracial differences in brain structure change and cognitive change.
AB - OBJECTIVE: The purpose of this study was to examine longitudinal associations
between structural MRI and cognition in a diverse sample. METHOD: Older adults (n
= 444; Mage = 74.5)-121 African Americans, 212 Whites, and 111 Hispanics
underwent an average of 5.3 annual study visits. Approximately half were
cognitively normal at baseline (global Clinical Dementia Rating M = 0.5). Of the
patients with dementia, most (79%) were diagnosed with Alzheimer's disease (AD).
MRI measures of gray matter volume (baseline and change), and hippocampal and
white matter hyperintensity (WMH) volumes (baseline), were used to predict change
in global cognition. Multilevel latent variable modeling was used to test the
hypothesis that brain effects on cognitive change differed across ethnoracial
groups. RESULTS: In a multivariable model, global gray matter change was the
strongest predictor of cognitive decline in Whites and African Americans and
specific temporal lobe change added incremental explanatory power in Whites.
Baseline WMH volume was the strongest predictor of cognitive decline in Hispanics
and made an incremental contribution in Whites. CONCLUSIONS: We found ethnoracial
group differences in associations of brain variables with cognitive decline. The
unique patterns in Whites appeared to suggest a greater influence of AD in this
group. In contrast, cognitive decline in African Americans and Hispanics was most
uniquely attributable to global gray matter change and baseline WMH,
respectively. Brain changes underlying cognitive decline in older adults are
heterogeneous and depend on fixed and modifiable risk factors that differ based
on ethnicity and race. (PsycINFO Database Record
PMID- 29648844
TI - Acceptability of nonabstinent treatment outcome goals among addiction treatment
providers in Ukraine.
AB - We examined whether acceptability of nonabstinence treatment outcome goals varied
as a function of a patient's severity of diagnosis (ICD-10 harmful use vs.
dependence syndrome; World Health Organization, 1992), finality of outcome goal
(intermediate vs. final), and type of substance (e.g., tobacco, alcohol,
cannabis), among addiction treatment providers in Ukraine. We surveyed 44% of
Ukrainian treatment providers (n = 446/1023; Mage = 40.4, SD = 8.6; Male = 67%;
MYears Of Experience = 10.2, SD = 7.2). For tobacco use, most respondents (78%
93%) rated nonabstinence as acceptable, regardless of diagnostic severity or
finality of outcome goal (i.e., intermediate, final). Most respondents also rated
nonabstinence as acceptable as an intermediate or final goal for patients with
harmful use of alcohol (70% to 86%) or cannabis (71% to 93%); however,
nonabstinence was less commonly indicated by respondents as an intermediate goal
for patients with a dependence syndrome (alcohol = 52%; cannabis = 68%).
Regarding other drug use, although most rated nonabstinence acceptable as an
intermediate goal for patients with harmful use of opioids (68%) or sedatives
(64%), fewer rated nonabstinence acceptable as a final goal (26% to 33%),
particularly for patients with a dependence syndrome (10% to 27%). Very few
providers (5% to 15%) rated nonabstinence acceptable for other substances.
Patients in Ukraine who wish to moderate cannabis or tobacco use will find that
their provider is typically accepting of this goal; however, providers are mixed
regarding whether alcohol and opioid moderation is appropriate, particularly for
those with dependence. Findings support education and research efforts to better
understand how provider and patient alignment regarding goals impact patient
outcomes following substance use treatment in Ukraine. (PsycINFO Database Record
PMID- 29648845
TI - Online and offline awareness deficits: Anosognosia for spatial neglect.
AB - PURPOSE/OBJECTIVE: Anosognosia for spatial neglect (ASN) can be offline or
online. Offline ASN is general unawareness of having experienced spatial
deficits. Online ASN is an awareness deficit of underestimating spatial
difficulties that likely to occur in an upcoming task (anticipatory ASN) or have
just occurred during the task (emergent ASN). We explored the relationships among
spatial neglect, offline ASN, anticipatory ASN, and emergent ASN. Research
Method/Design: Forty-four survivors of stroke answered questionnaires assessing
offline and online self-awareness of spatial problems. The online questionnaire
was asked immediately before and after each of 4 tests for spatial neglect,
including shape cancellation, address and sentence copying, telephone dialing,
and indented paragraph reading. RESULTS: Participants were certain they had
difficulties in daily spatial tasks (offline awareness), in the task they were
about to perform (anticipatory awareness) and had just performed (emergent
awareness). Nonetheless, they consistently overestimated their spatial abilities,
indicating ASN. Offline and online ASN appeared independent. Online ASN improved
after task execution. Neglect severity was not positively correlated with offline
ASN. Greater neglect severity correlated with both greater anticipatory and
emergent ASN. Regardless of neglect severity, we found task-specific differences
in emergent ASN but not in anticipatory ASN. CONCLUSIONS/IMPLICATIONS:
Individuals with spatial neglect acknowledge their spatial difficulty (certainty
of error occurrence) but may not necessarily recognize the extent of their
difficulty (accuracy of error estimation). Our findings suggest that offline and
online ASN are independent. A potential implication from the study is that
familiar and challenging tasks may facilitate emergence of self-awareness.
(PsycINFO Database Record
PMID- 29648846
TI - For whose benefit? Comment on the psychobiography special section (2017).
AB - This commentary addresses a recent special section on psychobiography that
appeared in the pages of the July-August 2017 American Psychologist. The claims
made by the authors of these articles raise a number of serious ethical,
scientific, and historical concerns about psychobiography. These concerns include
the potential public harm from the indiscriminate analysis of public figures; the
inherent problem of publicly analyzing individuals without their participation or
consent; overly deterministic conclusions of such analyses; difficulties
analyzing figures from a distance and in retrospect; the impossibility of
validating psychological theories through singular accounts; the presumption that
psychological knowledge is ahistorical; the highly selective nature of
psychobiography; and a focus on largely White, male figures as historically
significant. These issues highlight the potential risks of this approach for both
individuals under analysis and the broader public, while also questioning the
professed benefit of psychobiography to psychological science and its value to
historical scholarship. (PsycINFO Database Record
PMID- 29648847
TI - Advancing psychobiography: Reply to Young and Collins (2018).
AB - In this reply to Young and Collins (2018), the author responds to 3 primary
concerns raised about integrating psychobiography into mainstream psychology:
appropriate historical context and historiographic research methods, avoiding
deterministic conclusions and the role of psychobiography in theory testing, and
ethical concerns related to lack of informed consent of the identified subject.
The author appreciates the thoughtful comments of Young and Collins and hopes
that discussion and debate about psychobiography will continue in the literature.
(PsycINFO Database Record
PMID- 29648848
TI - Howard B. Eichenbaum (1947-2017).
AB - Presents an obituary of Howard B. Eichenbaum (1947-2017). Eichenbaum, a world
leader in the study of memory and the brain, died in Boston, Massachusetts, on
July 21, 2017, at age 69, following recent spine surgery. Eichenbaum was a hugely
creative and integrative scientist whose work combined cognitive and lesion
analyses with high-density neuronal recordings, providing insights into brain
computations and representations that help bridge psychological and physiological
mechanisms of memory. His theories and original and elegant experiments in
animals have greatly advanced our knowledge of the nature and brain mechanisms of
memory in animals and humans alike. (PsycINFO Database Record
PMID- 29648849
TI - Gerald "Jerry" Goldstein (1931-2017).
AB - Presents an obituary of Gerald "Jerry" Goldstein (1931-2017). Jerry passed away
at his home in Pittsburgh, Pennsylvania, on April 8, 2017. Goldstein was famous
for his contributions to the establishment of clinical neuropsychology as a
science and professional specialty. In addition to his extraordinary service to
the specialty, he made important contributions to the neuropsychology of
alcoholism and schizophrenia, as well as to neuropsychological rehabilitation.
(PsycINFO Database Record
PMID- 29648850
TI - C. Keith Conners (1933-2017).
AB - Presents an obituary of C. Keith Conners (1933-2017) who passed away of heart
failure in Durham, North Carolina, on July 6, 2017. Conners was a pioneer in
attention-deficit/hyperactivity disorder (ADHD) research and treatment. (PsycINFO
Database Record
PMID- 29648851
TI - Eugene T. Gendlin (1926-2017).
AB - Presents an obituary of Eugene T. Gendlin (1926 -2017) who passed on May 1, 2017,
at the age of 90 in Spring Hill, New York. Gendlin, an American philosopher and
psychologist, is perhaps best known for his impact on psychology, psychotherapy,
and research on the effectiveness of psychotherapy even though he regarded
himself first as a philosopher. He established the subfield of experiential
psychotherapy and was the founding editor of the American Psychological
Association's (APA) Psychotherapy: Theory, Research, and Practice journal.
(PsycINFO Database Record
PMID- 29648852
TI - Dorothy G. Singer (1927-2016).
AB - Presents an obituary of Dorothy G. Singer (1927-2016) who passed away on November
19, 2016, at Yale New Haven Hospital, at the age of 89. Singer was a professor,
research scientist, consultant, child therapist, and author. As the author or
coauthor of more than 25 books and 200 scientific and popular articles, Singer
brought new insights to child development, particularly in the areas of
imaginative play and the effects of TV on children. She was a tireless advocate
for the power of play in children's lives. (PsycINFO Database Record
PMID- 29648853
TI - Individualized motivational plans in batterer intervention programs: A randomized
clinical trial.
AB - OBJECTIVE: Treatment compliance and motivation to change are among the main
challenges to improving batterer intervention program (BIP) effectiveness. This
study examined whether adding an individualized motivational plan (IMP) to a
standard BIP (SBIP) increased intervention effectiveness relative to BIP alone.
METHOD: One hundred sixty males convicted of intimate partner violence were
randomly assigned to receive 70 hr of either SBIP or SBIP plus IMP. The IMP is
based on motivational interviewing, stages of change, and strength-based theory
principles. We collected the data at baseline, at the end of the 9-month program
and at 6-month follow-up. Final outcome was recidivism (recidivism data obtained
from official databases, self-reported recidivism, and therapists' assessment of
recidivism risk), and proximal outcomes included treatment compliance (dropout
and intervention dose), and stage of change. We analyzed the results using both
intent-to-treat (ITT) and per-protocol (PP) approaches. RESULTS: Findings
indicated that the SBIP plus IMP participants received significantly more
intervention dose (R2 = .08), finished the intervention in a more advanced stage
of change (ITT, R2 = .17; PP, R2 = .22), reported less physical violence after
treatment (ITT, odds ratio = .63; PP, odds ratio = .34), and had a higher
reduction in recidivism risk (ITT, R2 = .64; PP, R2 = .56) than SBIP
participants. CONCLUSIONS: These results highlight the relevance of alternative
approaches, including strategies to increase treatment compliance and motivation
for change, in BIPs. (PsycINFO Database Record
PMID- 29648854
TI - Potential mechanisms involved in the effect of cognitive behavioral therapy on
fatigue severity in Type 1 diabetes.
AB - OBJECTIVE: To identify mediators of the beneficial effect of cognitive-behavioral
therapy (CBT) on fatigue severity in chronically fatigued patients with Type 1
diabetes. METHOD: We performed secondary analyses of a randomized controlled
trial testing the efficacy of CBT. Primary outcome was fatigue severity assessed
with the Checklist Individual Strength, subscale fatigue severity. We used
multiple mediation analysis to determine potential mediators of the treatment
effect. Proposed mediators were symptom focusing, self-efficacy concerning
fatigue and pain, perceived activity, sleep disturbances, confidence in diabetes
self-care, diabetes distress and discrepancy regarding social support. Actigraphy
was used to assess the level of physical activity. The analysis was repeated with
depressive symptoms as potential mediator to test whether this caused a change in
the other fatigue-related mediators. RESULTS: The effect of CBT on fatigue
severity was partly mediated by a change in symptom focusing (-1.39, 95% CI [
3.32, -0.19]), fear avoidance (-1.10, 95% CI [-2.49, -0.22]), self-efficacy
concerning fatigue (-1.95, 95% CI [-4.51, -0.40]), and perceived physical
activity (-2.44, 95% CI [-4.53, -1.07]). Depressive symptoms were also a mediator
(-1.22, 95% CI [-2.56, -0.38]), but the aforementioned fatigue-perpetuating
factors still explained part of the treatment effect. CONCLUSIONS: Changes in
cognitions about fatigue and activity, and a change in depressive symptoms partly
mediated the treatment effect of CBT on fatigue severity in Type 1 diabetes. The
mediators found are similar to those explaining the effects of CBT in other
chronic diseases. This provides knowledge for the development of more effective
and efficient interventions for fatigue. (PsycINFO Database Record
PMID- 29648855
TI - Session-to-session effects of alliance ruptures in outpatient CBT: Within- and
between-patient associations.
AB - OBJECTIVE: The concept of alliance ruptures has had a large impact on
contemporary studies of the alliance. Although this debate mainly focuses on the
effect of ruptures and repairs on subsequent alliance and outcome levels within
patients, to date no study has actually examined these within-patient effects.
The present study fills this lacuna by examining the effect of alliance ruptures
and in-session repairs on alliance ratings and symptom impairment in the
subsequent session, accounting for the temporal sequence of ruptures and symptom
impairment. METHOD: Ruptures and in-session repairs were rated by 1,210 patients
and 147 therapists using a postsession questionnaire (PSQ-P/-T). Alliance was
assessed with the Bern postsession reports and symptomatic impairment with the
Hopkins symptom checklist, short form. RESULTS: Patient- and therapist-reported
ruptures were significant predictors of subsequent alliance and symptom
impairment. While sessions in which both patient and therapist perceived a
rupture were especially detrimental for next session symptom distress, they were
less damaging to next session alliance levels than sessions in which either only
the patient or the therapist experienced the rupture. Neither the intensity of
the rupture nor the perceived level of in-session repair were associated with
next-session fluctuations in symptom or alliance levels within-patient.
CONCLUSION: The findings demonstrate the importance of disentangling between- and
within-patient rupture and in-session repair processes to better understand the
roles of rupture and repair in treatment. (PsycINFO Database Record
PMID- 29648856
TI - Treatment expectancy and working alliance in pharmacotherapy as predictors of
outcomes in complicated grief.
AB - OBJECTIVE: Nonspecific factors, such as treatment outcome expectancy and working
alliance, can influence treatment outcome. No studies to date have examined the
role of expectancy and alliance on pharmacotherapy outcomes in individuals with
complicated grief (CG). METHOD: This secondary analysis of a larger randomized,
control trial (RCT) examined the relationship between pharmacotherapy expectancy
and alliance on treatment outcome in adults with CG who were participating in a
multisite, double-blind, RCT examining the efficacy of citalopram and complicated
grief treatment (CGT). Participants (n = 202) were randomized to one of four
treatment conditions: citalopram (CIT), placebo (PBO), CGT + citalopram (CGT +
CIT), or CGT + placebo (CGT + PBO). RESULTS: Pharmacotherapy outcome expectancy
and working alliance were higher among individuals randomized to CGT + CIT and
CGT + PBO compared with CIT or PBO without CGT. Pharmacotherapy outcome
expectancy was higher at Week 2 among individuals who ultimately responded to
treatment compared with those who did not and among those who remained in
treatment compared with those who dropped out. In contrast, working alliance did
not correlate with dropout or treatment outcomes in pharmacotherapy. CONCLUSIONS:
Expectancy for medication was higher among individuals randomized to receive CGT.
Clinicians should assess symptoms and expectancies in the first weeks of
treatment because these could be early markers of drop out and treatment
response. (PsycINFO Database Record
PMID- 29648857
TI - Associations of patient-rated emotional bond and vocally encoded emotional
arousal among clinicians and acutely suicidal military personnel.
AB - OBJECTIVE: To determine if synchrony in emotional arousal and affective
regulation between patients and clinicians reflect emotional bonding during
emergency behavioral health appointments. METHOD: Audio recordings of suicide
risk assessment interviews and crisis intervention planning with 54 suicidal
active duty soldiers presenting to an emergency department or behavioral health
clinic were analyzed. Emotional arousal was assessed using mean fundamental
frequency. Patient-rated emotional bond was assessed with the Working Alliance
Inventory, Short Form (Hatcher & Gillaspy, 2014). Actor-partner interdependence
modeling was used to identify moment-to-moment patterns of covariance among
clinician and patient emotional arousal. RESULTS: Greater synchrony in clinician
and patient emotional arousal was positively associated with higher emotional
bond ratings during the crisis intervention but not the risk assessment
interview. During the risk assessment interview, higher emotional bond was
associated with a dysregulating effect of the clinician on the patient's
emotional arousal (i.e., larger fluctuations in the patient's emotional arousal).
The reverse pattern was seen during the intervention: Higher emotional bond was
associated with a regulating effect of the clinician on the patient's emotional
arousal (i.e., smaller fluctuations in the patient's emotional arousal).
Emotional bond during the intervention was also positively associated with a
regulating effect of the patient on the clinician's emotional arousal.
CONCLUSION: Emotional bonding during emergency clinical encounters is associated
with patient-clinician synchrony in emotional states. During crisis
interventions, emotional bonding is also associated with mutual down-regulation
of emotional arousal among patients and clinicians. (PsycINFO Database Record
PMID- 29648858
TI - The effect of alliance-focused training on a cognitive-behavioral therapy for
personality disorders.
AB - OBJECTIVE: To improve success rates in psychotherapy, we developed and evaluated
an alliance-focused training (AFT) protocol with regard to patient-therapist
interpersonal behavior in a 30-session protocol of cognitive-behavioral therapy
(CBT) for outpatients comorbid with Axis I and II conditions. METHOD:
Participants included 40 patients treated by 40 therapists in a multiple baseline
design in which novice therapists trained to fidelity standards in CBT were
introduced to AFT at different time intervals (after either 8 or 16 sessions)
during a 30-session CBT protocol. Interpersonal behaviors were assessed with a
simplified version of the Structural Analysis of Social Behavior (SASB) on
videotaped sessions sampled from the early (between Sessions 6 through 8), mid
(Sessions 14 through 16), and late (Sessions 22 through 24) phases of therapy.
RESULTS: As predicted, several significant interactions were observed between
within-subject interpersonal change and between-groups differences in exposure to
AFT. Specifically, there were decreases in patient dependence and in therapist
control (including criticism), plus increases in patient expressiveness and in
therapist affirmation and expressiveness, all of which could be attributed to
AFT. The predictive relationship of several of these variables to session-level
and overall treatment outcome was also demonstrated. CONCLUSIONS: This study
demonstrates that novice CBT therapists can be trained to improve their
interpersonal process with patients who present with comorbid diagnoses,
including a personality disorder. (PsycINFO Database Record
PMID- 29648859
TI - When therapist estimations of the process of treatment can predict patients
rating on outcome: The case of the working alliance.
AB - OBJECTIVE: It has been demonstrated that patient perspective on alliance can
predict subsequent treatment outcome as reported by the therapist but not the
other way around. This study aimed to investigate the circumstances in which
therapists can provide estimations of alliance capable of predicting patient
perceptions of subsequent session outcome. The study focused on 2 potential
indicators: time in treatment and treatment efficacy. METHOD: Data of 107
treatment completers assigned to either cognitive-behavioral therapy or alliance
focused therapy were analyzed. Data included session-to-session assessments of
alliance and the session outcome measure across the 30 weeks of treatment as well
as pretreatment to posttreatment assessments of treatment efficacy using the
Symptom Checklist-90-Revised. An actor-partner interdependence model of
longitudinal hierarchically nested data, disentangled for within- and between
patients effects, was used. The interactive effects of time and treatment
efficacy and their combined effect were examined. RESULTS: At the sample level,
as expected, the therapist perspective of alliance did not significantly predict
patient perception of subsequent session outcome, but significant interaction
effects were detected. Therapists' perspective on the alliance was a stronger
predictor of patients' perception of subsequent session outcome when therapy was
more rather than less effective. This effect was evident mainly early in
treatment, during which greater variability across dyads was found. CONCLUSIONS:
Findings suggest that although therapists' ratings of the alliance are not
consistently predictive of patients' rating of subsequent session outcome, they
are better predictors in more than in less effective treatments. (PsycINFO
Database Record
PMID- 29648860
TI - Motivation and readiness for tobacco cessation among nicotine dependent
postmenopausal females: A pilot study.
AB - Despite considerable health risks due to lower levels of estrogen production and
the compounding antiestrogenic effects of nicotine, postmenopausal females
continue to smoke. These females face significant barriers to cessation,
including negative affect, weight concerns, and menopausal symptom severity. The
current pilot study explored the effect of negative affect, weight concerns, and
menopausal symptom severity on motivation and readiness to quit smoking. Eighteen
postmenopausal smokers were randomized to receive brief motivational interviewing
(B-MI; n = 8) or control treatment (i.e., a 1-hour video, n = 10). Participants
completed measures of negative affect, weight concerns, and menopausal symptoms,
as well as measures of motivation and readiness to quit. Motivation and readiness
to quit were reassessed one week following treatment. At baseline, weight
concerns, specifically surrounding smoking to prevent overeating, were identified
as related to increased motivation to quit smoking. Menopausal symptom severity,
specifically somatic symptoms, assessed at baseline, was associated with
increased readiness for cessation. B-MI did not increase motivation or readiness
to quit; however, results indicate that cigarettes per day decreased from
baseline to follow-up by approximately 20-30%. These results provide valuable
insight into enhancing engagement in a cessation treatment among this population.
(PsycINFO Database Record
PMID- 29648861
TI - An assessment of concurrent cannabidiol and Delta9-tetrahydrocannabinol
administration in place aversion and taste avoidance conditioning.
AB - Rising interest in medical marijuana has prompted research into its
phytocannabinoid constituents, particularly Delta9-tetrahydrocannabinol (THC) and
cannabidiol (CBD). Coadministration of CBD with THC has been shown to modulate a
number of THC's effects, including its negative stimulus properties (e.g.,
anxiety, paranoia, psychosis) in a clinical setting. The present series of
experiments extended these analyses by examining the ability of CBD to impact the
aversive effects of THC as assessed in a combined taste and place conditioning
procedure. In Experiment 1, male and female Wistar rats were given access to a
novel saccharin solution, injected with a vehicle solution CBD (0.075, 0.75
mg/kg), THC (0.75 mg/kg) or several combinations of CBD and THC (1:10 or 1:1 dose
ratio), and then placed in a distinct chamber of a place conditioning apparatus.
When THC was administered alone, it induced significant place aversions and taste
avoidance. At both dose ratios, CBD failed to modulate either effect. There were
no sex differences in either assay or at any ratio. A follow-up experiment
(Experiment 2) employed identical dose ratios, but a higher dose of THC (7.5
mg/kg) and corresponding CBD doses (0.75, 7.5 mg/kg). Similar to the initial
assessment, CBD had no effect on THC-induced place or taste conditioning at
either dose ratio. These results may reflect the specific phytocannabinoid dose
ratios examined or species differences in cannabinoid action. The current
findings further suggest that altering CBD content in medicinal cannabis will
likely have minimal effects in terms of tolerability. (PsycINFO Database Record
PMID- 29648862
TI - Two sources of information in reconstructing event sequence.
AB - Reconstructing memory for sequences is a complex process, likely involving
multiple sources of information. In 3 experiments, we examined the source(s) of
information that might underlie the ability to accurately place an event within a
temporal context. The task was to estimate, after studying each list, the
temporal position of a single test word within that list. In the first 2
experiments, we demonstrated that memory for temporal location was better
following semantic encoding than silent reading of the list, which in turn was
better than orthographic encoding of the list. Although other measures of
sequence retention have revealed impaired memory for order with greater item
level encoding, these experiments demonstrated that item-level encoding improved
memory for temporal-location. A 3rd experiment extended these findings by
measuring interitem associations in addition to item memory, demonstrating that
memory for temporal location within a list was more closely related to item
information than to interitem relational information. It is now clear that
reconstructing an event sequence can involve at least 2 distinct sources of
information-both item and relational encoding can play important roles, depending
on the nature of the test for order. (PsycINFO Database Record
PMID- 29648863
TI - Is the phonological similarity effect in working memory due to proactive
interference?
AB - Immediate serial recall of verbal material is highly sensitive to impairment
attributable to phonological similarity. Although this has traditionally been
interpreted as a within-sequence similarity effect, Engle (2007) proposed an
interpretation based on interference from prior sequences, a phenomenon analogous
to that found in the Peterson short-term memory (STM) task. We use the method of
serial reconstruction to test this in an experiment contrasting the standard
paradigm in which successive sequences are drawn from the same set of
phonologically similar or dissimilar words and one in which the vowel sound on
which similarity is based is switched from trial to trial, a manipulation
analogous to that producing release from PI in the Peterson task. A substantial
similarity effect occurs under both conditions although there is a small
advantage from switching across similar sequences. There is, however, no evidence
for the suggestion that the similarity effect will be absent from the very first
sequence tested. Our results support the within-sequence similarity rather than a
between-list PI interpretation. Reasons for the contrast with the classic
Peterson short-term forgetting task are briefly discussed. (PsycINFO Database
Record
PMID- 29648864
TI - Mechanisms underlying effects of approach-avoidance training on stimulus
evaluation.
AB - Over the past decade an increasing number of studies across a range of domains
have shown that the repeated performance of approach and avoidance (AA) actions
in response to a stimulus leads to changes in the evaluation of that stimulus.
The dominant (motivational-systems) account in this area claims that these
effects are caused by a rewiring of mental associations between stimulus
representations and AA systems that evolved to regulate distances to positive and
negative stimuli. In contrast, two recently forwarded alternative accounts
postulate that AA effects are caused by inferences about the valence of actions
and stimuli (inferential account) or a transfer of valenced action codes to
stimulus representations (common-coding account). Across four experiments we set
out to test these three competing accounts against each other. Experiments 1-3
illustrate that changes in stimulus evaluations can occur when people perform
valenced actions that bear no relation to a distance regulation, such as moving a
manikin upward or downward. The observed evaluative effects were dependent on the
evaluative implication of the instructed movement goal rather than whether the
action implied a movement toward or away from the stimuli. These results could
not be explained with a rewiring of associations to motivational systems.
Experiment 4 showed that changes in stimulus evaluations occurred after
participants passively observed approach-avoidance movements, supporting an
explanation in terms of cognitive inferences. (PsycINFO Database Record
PMID- 29648865
TI - Production of familiar phrases: Frequency effects in native speakers and second
language learners.
AB - Current evidence suggests that native speakers and, to a lesser degree, second
language learners are sensitive to the frequency with which phrases occur in
language. Much of this evidence, however, comes from language comprehension.
While a number of production studies have looked at phrase frequency effects in a
first language, little evidence exists with respect to the production of phrases
in a second language. The present study addressed this gap by examining the
production of English binomial expressions by first and late second language
speakers. In a phrase elicitation task, participants produced binomial
expressions (bride and groom) and their reversed forms (groom and bride), which
are identical in form and meaning but differ in frequency. Mixed-effects modeling
revealed that native speakers' articulatory durations were modulated by phrase
frequency, but not the type of stimulus (binomial vs. reversed). Nonnative
speakers' articulatory durations were not affected either by phrase frequency or
stimulus type. Our findings provide further evidence for the effect of multiword
information on language production in native speakers, and raise important
questions about the effects of phrase frequency on language production in second
language learners. (PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29648866
TI - A spacing account of negative recency in final free recall.
AB - The well-known recency effect in immediate free recall reverses when subjects
attempt to recall items studied and tested on a series of prior lists, as in the
final-free-recall procedure (Craik, 1970). In this case, the last few items on
each list are actually remembered less well than are the midlist items. Because
dual-store theories of recall naturally predict negative recency, this phenomenon
has long been cited as evidence favoring these models. In a final-free-recall
study, we replicate the negative-recency effect for the within-list serial
position curve and the positive-recency effect for the between-list serial
position curve. Whereas we find prominent negative recency for items recalled
early in the initial recall period, this effect is markedly reduced for items
recalled later in the recall period. When considering initial recall as a second
presentation of studied items, we find that the probability of final free recall
increases as the number of items between initial presentation and initial recall
increases. These results suggest that negative recency may reflect the beneficial
effects of spaced practice, in which end-of-list items recalled early constitute
massed repetitions and end-of-list items recalled late are spaced repetitions. To
help distinguish between the spacing account and the prevailing dual-store,
rehearsal-based account, we examined negative recency in continual-distractor
free recall. Contrary to the dual-store account, but in accord with the spacing
account, we find robust negative recency in continual-distractor free recall,
which is greater for those items recalled early in output. (PsycINFO Database
Record
PMID- 29648867
TI - Learning of pitch and time structures in an artificial grammar setting.
AB - Despite the empirical evidence for the power of the cognitive capacity of
implicit learning of structures and regularities in several modalities and
materials, it remains controversial whether implicit learning extends to the
learning of temporal structures and regularities. We investigated whether (a) an
artificial grammar can be learned equally well when expressed in duration
sequences as when expressed in pitch sequences, (b) learning of the artificial
grammar in either duration or pitch (as the primary dimension) sequences can be
influenced by the properties of the secondary dimension (invariant vs.
randomized), and (c) learning can be boosted when the artificial grammar is
expressed in both pitch and duration. After an exposure phase with grammatical
sequences, learning in a subsequent test phase was assessed in a grammaticality
judgment task. Participants in both the pitch and duration conditions showed
incidental (not fully implicit) learning of the artificial grammar when the
secondary dimension was invariant, but randomizing the pitch sequence prevented
learning of the artificial grammar in duration sequences. Expressing the
artificial grammar in both pitch and duration resulted in disproportionately
better performance, suggesting an interaction between the learning of pitch and
temporal structure. The findings are relevant to research investigating the
learning of temporal structures and the learning of structures presented
simultaneously in 2 dimensions (e.g., space and time, space and objects). By
investigating learning, the findings provide further insight into the potential
specificity of pitch and time processing, and their integrated versus independent
processing, as previously debated in music cognition research. (PsycINFO Database
Record
PMID- 29648868
TI - Does visual salience of action affect gesture production?
AB - Past research suggests that speakers gesture more when motor simulations are more
strongly activated. We investigate whether simulations of a perceptual nature
also influence gesture production. Participants viewed animations of a spider
moving with a manner of motion that was either highly salient (n = 29) or less
salient (n = 31) and then described each motion event. Speakers in the high
salience condition produced significantly more gestures that depicted manner
information. However, they did not produce significantly more gestures overall,
more gestures that depicted the spider's path and direction of motion, or more
manner descriptions in speech. Moreover, the effect of visual salience on manner
gestures persisted after controlling for expression of manner in speech. These
findings suggest that speakers selectively produce gestures expressing features
of perceptual representations that are highly salient. (PsycINFO Database Record
PMID- 29648869
TI - The role of semantic representations in verbal working memory.
AB - Two main mechanisms, articulatory rehearsal and attentional refreshing, are
argued to be involved in the maintenance of verbal information in working memory
(WM). Whereas converging research has suggested that rehearsal promotes the
phonological representations of memoranda in working memory, little is known
about the representations that refreshing may promote. Not only would examining
this question address this gap in the literature, but the investigation has
profound implications for different theoretical proposals of how refreshing
functions and on the relationships between WM and long-term memory (LTM).
Accordingly, we tested predictions from 5 models regarding how refreshing may
moderate the semantic representation of memoranda in verbal WM. This series of 4
experiments presented a cue word that was either semantically or phonologically
related to a target during the recall phase of a complex span task. Experiment 1
established the benefit of semantic over phonological retrieval cues, and
Experiment 2 established that this semantic benefit was specific to a refreshing
rather than a rehearsal-based maintenance strategy. Finally, we showed that this
semantic benefit did not vary with the cognitive load of the concurrent task
(Experiments 3 and 4) or the intention to learn the memoranda (Experiment 4).
These results indicate that cue-based retrieval from episodic LTM may strongly
contribute to semantic processing effects in WM recall, but this influence of
episodic LTM is independent of the function of refreshing to reactivate memory
traces. Accordingly, these results have strong implications for the functioning
of refreshing and the links between WM and LTM. (PsycINFO Database Record
PMID- 29648870
TI - The role of preview validity in predictability and frequency effects on eye
movements in reading.
AB - A word's predictability, as measured by its cloze probability, has a robust
influence on the time a reader's eyes spend on the word, with more predictable
words receiving shorter fixations. However, several previous studies using the
boundary paradigm have found no apparent effect of predictability on early
reading time measures when the reader does not have valid parafoveal preview of
the target word. The present study directly assesses this pattern in two
experiments, demonstrating evidence for a null effect of predictability on first
fixation and gaze duration with invalid preview, supported by Bayes factor
analyses. While the effect of context independent word frequency is shown to
survive with invalid preview, consistent with previous studies, the effect of
predictability is eliminated with both unrelated word previews and random letter
string previews. These results suggest that a word's predictability influences
early stages of orthographic processing, and does so only when perceptual
evidence is equivocal, as is the case when the word is initially viewed in
parafoveal vision. Word frequency may influence not only early orthographic
processing, but also later processing stages. (PsycINFO Database Record
PMID- 29648871
TI - Semantic and functional relationships among objects increase the capacity of
visual working memory.
AB - Visual working memory (VWM) has a limited capacity of approximately 3-4 visual
objects. Current theories of VWM propose that a limited pool of resources can be
flexibly allocated to objects, allowing them to be represented at varying levels
of precision. Factors that influence the allocation of these resources, such as
the complexity and perceptual grouping of objects, can thus affect the capacity
of VWM. We sought to identify whether semantic and functional relationships
between objects could influence the grouping of objects, thereby increasing the
functional capacity of VWM. Observers viewed arrays of 8 to-be-remembered objects
arranged into 4 pairs. We manipulated both the semantic association and
functional interaction between the objects, then probed participants' memory for
the arrays. When objects were semantically related, participants' memory for the
arrays improved. Participants' memory further improved when semantically related
objects were positioned to interact with each other. However, when we increased
the spacing between the objects in each pair, the benefits of functional but not
semantic relatedness were eliminated. These findings suggest that action-relevant
properties of objects can increase the functional capacity of VWM, but only when
objects are positioned to directly interact with each other. (PsycINFO Database
Record
PMID- 29648872
TI - The misinterpretation of noncanonical sentences revisited.
AB - Most current models of sentence comprehension assume that the human parsing
mechanism (HPM) algorithmically computes detailed syntactic representations as
basis for extracting sentence meaning. These models share the assumption that the
representations computed by the HPM accurately reflect the linguistic input. This
assumption has been challenged by Ferreira (2003), who showed that comprehenders
sometimes misinterpret unambiguous sentences in which subject and object appear
in noncanonical order, such as passives or object-clefts. According to Ferreira,
these misinterpretations show that parallel to an algorithmic analysis, the HPM
performs a heuristic analysis sometimes resulting in interpretations not licensed
by the grammar. Our study investigated whether misinterpretation effects indeed
reflect an erroneous mapping of form to meaning due to heuristic processing
strategies. Using an experimental design closely following Ferreira (2003),
Experiment 1 demonstrates that errors with noncanonical sentences show up in
German as well, despite the fact that German provides morphological case, which a
heuristic strategy should use. Experiment 2 required participants to judge the
plausibility of the same sentences. With this task, no evidence for
misinterpretation of noncanonical sentences was found. Taken together, our
results suggest that misinterpretation errors do not reflect errors in the
mapping of form to meaning, but task-specific difficulties that arise when
participants retrieve information from the memory representation of a sentence.
Consequently, misinterpretation errors do not provide evidence for the claim that
the HPM pursues a heuristic analysis in addition to an algorithmic analysis. Our
results instead lend support to models of the HPM that assume algorithmic
processing only. (PsycINFO Database Record
PMID- 29648873
TI - The benefits of retrieval practice depend on item difficulty and intelligence.
AB - The authors examined whether individual differences in fluid intelligence (gF)
modulate the testing effect. Participants studied Swahili-English word pairs and
repeatedly studied half the pairs or attempted retrieval, with feedback, for the
remaining half. Word pairs were easy or difficult to learn. Overall, participants
showed a benefit of testing over restudy. However, almost 1/3 of the sample had a
negative testing effect and benefitted more from restudy than testing, as well as
performing better overall. These individuals self-reported less use of shallower
encoding strategies than positive testing effect participants but did not differ
in other dimensions. For individuals with a positive testing effect, difficulty
had differential effects on participants who scored high or low on a measure of
gF, with high gF participants showing larger testing effects for difficult over
easy items, whereas low gF participants showed the opposite. Working memory
performance was not related to the magnitude of the testing effect; however,
vocabulary knowledge revealed a similar pattern as gF, with higher vocabulary
associated with a testing effect for difficult but not easy items. This suggests
that the benefit of retrieval practice varies with item difficulty and
participant abilities. Thus, recommendations to engage in retrieval practice
should take into consideration the interactive effects of to-be-learned materials
and individual differences in the learners. (PsycINFO Database Record
PMID- 29648874
TI - Piloting of COPES: An Empirically Informed Psychosocial Intervention on an
Adolescent Psychiatric Inpatient Unit.
AB - OBJECTIVES: This study evaluated the feasibility and initial efficacy of an
empirically informed psychosocial intervention on an adolescent psychiatric
inpatient unit. METHODS: Data were obtained for 463 adolescents 12-16 years of
age on a psychiatric inpatient unit. Information collected included demographics,
psychiatric diagnoses, length of inpatient stay, completion of four treatment
modules, rehospitalizations, and emergency room visits during 12 months after
discharge from index admission. RESULTS: Around 98.70% of patients completed at
least one out of the four treatment modules and 93.95% of patients completed two
modules. There were no significant barriers to completing treatment modules on
the basis of participant characteristics (demographics, psychiatric diagnosis,
number of diagnoses, or length of stay). Completion of the four treatment
modules, particularly modules on developing a safety plan and enhancing life,
predicted lower risk for rehospitalization and emergency room contact in the 12
months postdischarge. CONCLUSION: Findings suggest that the intervention is
feasible to implement regardless of common barriers in an inpatient psychiatric
setting, such as complex psychopathology and brief duration of hospitalization.
Completion of treatment modules significantly reduces risk for subsequent
emergency intensive service utilization, suggesting this intervention may be an
effective method for reducing acute clinical events.
PMID- 29648876
TI - Use of Patient-Specific 3D-Printed Titanium Implants for Complex Foot and Ankle
Limb Salvage, Deformity Correction, and Arthrodesis Procedures.
AB - BACKGROUND: The advancement of 3D printing technology has allowed for the use of
custom-designed implants for difficult-to-treat foot and ankle pathologies. This
study reports on the radiographic and functional outcomes of a case series of
patients treated with patient-specific 3D-printed titanium implants. METHODS:
Fifteen consecutive patients treated with custom-designed 3D-printed implant
cages for severe bone loss, deformity correction, and/or arthrodesis procedures
were included in this study. A minimum of 1 year of clinical and radiographic
follow-up was required. No patients were lost to follow-up. Patients completed a
visual analog scale for pain, the Foot and Ankle Ability Measure Activities of
Daily Living score, and the American Orthopaedic Foot & Ankle Society Score
outcomes questionnaires preoperatively and at most recent follow-up. All patients
had postoperative radiographs and computed tomography (CT) scans to assess bony
incorporation. The mean age was 53.3 years (range, 22-74 years) with a mean
follow-up of 22 months (range, 12-48 months) for these 15 patients. RESULTS:
Radiographic fusion verified by CT scan occurred in 13 of 15 patients. There was
significant improvement in pain and all functional outcome score measures. All
patients who went on to fusion were satisfied with their surgery. There were 2
failures, consisting of 1 infection and 1 nonunion, with an overall clinical
success rate of 87%. CONCLUSION: These patients demonstrated the successful use
of patient-specific 3D-printed titanium implants to treat complex large bony
defects, deformities, and arthrodesis procedures. These implants offer surgeons a
novel and promising approach to treat both lower extremity pain and deformity
that is not always available with current techniques. LEVEL OF EVIDENCE: Level
IV, retrospective case series.
PMID- 29648875
TI - Regulated Proteolysis in Bacteria.
AB - Regulated proteolysis is a vital process that affects all living things. Bacteria
use energy-dependent AAA+ proteases to power degradation of misfolded and native
regulatory proteins. Given that proteolysis is an irreversible event, specificity
and selectivity in degrading substrates are key. Specificity is often augmented
through the use of adaptors that modify the inherent specificity of the
proteolytic machinery. Regulated protein degradation is intricately linked to
quality control, cell-cycle progression, and physiological transitions. In this
review, we highlight recent work that has shed light on our understanding of
regulated proteolysis in bacteria. We discuss the role AAA+ proteases play during
balanced growth as well as how these proteases are deployed during changes in
growth. We present examples of how protease selectivity can be controlled in
increasingly complex ways. Finally, we describe how coupling a core recognition
determinant to one or more modifying agents is a general theme for regulated
protein degradation.
PMID- 29648877
TI - Role of mitophagy regulation by ROS in hepatic stellate cells during acute liver
failure.
AB - Liver sinusoids serve as the first line of defense against extrahepatic stimuli
from the intestinal tract. Hepatic stellate cells (HSCs) are pericytes residing
in the perisinusoidal space that integrate cytokine-mediated inflammatory
responses in the sinusoids and relay these signals to the liver parenchyma.
Oxidative stress has been shown to promote inflammation during acute liver
failure (ALF). Whether and how oxidative stress is involved in HSC inflammation
during ALF remains unclear. Level of systemic oxidative stress is reflected by
superoxide dismutase (SOD). Thus, ALF patients were recruited to investigate the
correlation between plasma SOD levels and clinical features. Liver tissues were
collected from chronic hepatitis patients by biopsy and from ALF patients who had
undergone liver transplantation. SOD2 expression and HSCs activation were
investigated by immunohistochemistry. Inflammation, mitophagy, and apoptosis were
investigated by immunoblot analysis and flow cytometry in HSCs treated with
lipopolysaccharide (LPS) and reactive oxygen species (ROS) donors. The plasma SOD
level was significantly increased in patients with ALF compared with those with
cirrhosis (444.4 +/- 23.58 vs. 170.07 +/- 3.52 U/ml, P < 0.01) and was positively
correlated with the Model for End-Stage Liver Disease-Na score ( R2 = 0.4720, P <
0.01). In vivo observations revealed that SOD2 immunostaining was increased in
ALF patients and mice models, and in vitro experiments demonstrated that LPS/ROS
promoted inflammation via inhibiting mitophagy. Moreover, the regulation of
inflammation was apoptosis independent in HSCs. LPS-induced increases in
oxidative stress promote inflammation through inhibiting mitophagy in HSCs during
the process of ALF, providing a novel strategy for the treatment of patients with
ALF. NEW & NOTEWORTHY Here we demonstrate that the serum superoxide dismutase
(SOD) level is significantly increased in patients with acute liver failure
(ALF), and, correlated with the Model for End-Stage Liver Disease-Na score, SOD
level dropped in the remission stage of ALF. We identify that, in liver tissue
from ALF patients and mice models, manganese-dependent SOD was overexpressed, and
show lipopolysaccharide/H2O2 inhibits mitophagy via reactive oxygen species in
hepatic stellate cells (HSCs). We show that inhibited mitophagy promotes
inflammation in HSCs, whereas mitophagy inducer rescues HSCs from
lipopolysaccharide-induced inflammation.
PMID- 29648879
TI - Erratum.
PMID- 29648880
TI - Evolving Challenges in Pediatric Pulmonary Medicine. New Opportunities to
Reinvigorate the Field.
PMID- 29648878
TI - Protective effect of Lactobacillus reuteri DSM 17938 against experimental
necrotizing enterocolitis is mediated by Toll-like receptor 2.
AB - Lactobacillus reuteri DSM 17938 (LR 17938) has been shown to reduce the incidence
and severity of necrotizing enterocolitis (NEC). It is unclear if preventing NEC
by LR 17938 is mediated by Toll-like receptor 2 (TLR2), which is known to mediate
proinflammatory responses to bacterial cell wall components. NEC was induced in
newborn TLR2-/- or wild-type (WT) mice by the combination of gavage-feeding cow
milk-based formula and exposure to hypoxia and cold stress. Treatment groups were
administered formula supplemented with LR 17938 or placebo (deMan-Rogosa-Sharpe
media). We observed that LR 17938 significantly reduced the incidence of NEC and
reduced the percentage of activated effector CD4+T cells, while increasing Foxp3+
regulatory T cells in the intestinal mucosa of WT mice with NEC, but not in TLR2
/- mice. Dendritic cell (DC) activation by LR 17938 was mediated by TLR2. The
percentage of tolerogenic DC in the intestine of WT mice was increased by LR
17938 treatment during NEC, a finding not observed in TLR2-/- mice. Furthermore,
gut levels of proinflammatory cytokines IL-1beta and IFN-gamma were decreased
after treatment with LR 17938 in WT mice but not in TLR2-/- mice. In conclusion,
the combined in vivo and in vitro findings suggest that TLR2 receptors are
involved in DC recognition and DC-priming of T cells to protect against NEC after
oral administration of LR 17938. Our studies further clarify a major mechanism of
probiotic LR 17938 action in preventing NEC by showing that neonatal immune
modulation of LR 17938 is mediated by a mechanism requiring TLR2. NEW &
NOTEWORTHY Lactobacillus reuteri DSM 17938 (LR 17938) has been shown to protect
against necrotizing enterocolitis (NEC) in neonates and in neonatal animal
models. The role of Toll-like receptor 2 (TLR2) as a sensor for gram-positive
probiotics, activating downstream anti-inflammatory responses is unclear. Our
current studies examined TLR2 -/- mice subjected to experimental NEC and
demonstrated that the anti-inflammatory effects of LR 17938 are mediated via a
mechanism requiring TLR2.
PMID- 29648882
TI - Endobronchial Mucormycosis Successfully Treated with Flexible Bronchoscopic
Cryotherapy.
PMID- 29648881
TI - Chronic Obstructive Pulmonary Disease: Abandoning the "Streetlight Effect".
PMID- 29648885
TI - Commentary on Testing of Non-Adenocarcinomas.
PMID- 29648884
TI - Association between Pulmonary Hypertension and Clinical Outcomes in Hospitalized
Patients with Sickle Cell Disease.
PMID- 29648886
TI - BRAF Adds an Additional Piece of the Puzzle to Precision Oncology-Based Treatment
Strategies in Lung Cancer.
PMID- 29648887
TI - Molecular Testing for Stage IV Non-Small Cell Lung Cancer Patients With
Targetable Mutations Following Disease Progression.
PMID- 29648888
TI - Major Differences in Minor Allometries: A Reply to Moczek.
AB - By comparing alternative measurements of horn length in the beetle Onthophagus
taurus, we have investigated why scaling patterns differ between laboratories. We
show that some measurements are confounded by including part of the head in the
horn size measurement and consistently underestimate the allometry of horns in
minor males. Our data show how linear measures that avoid confounding horn length
with head size produce scaling patterns that support a positive allometry rather
than a reprogramming model of horn growth. We also found horn volume was highly
positively allometric this estimate of growth therefore further supports a
positive allometry model.
PMID- 29648889
TI - Patient-Reported Allergies Do Not Predict Poorer PROMIS Function, Pain, and
Depression Scores Following Foot and Ankle Surgery.
AB - BACKGROUND: Identifying preoperative risk factors that may portend poorer
operative outcomes remains a topic of current interest. In hip and knee
arthroplasty patients, the presence of patient-reported allergies (PRAs) has been
associated with worse pain and function after joint replacement. However, these
results have not been replicated across studies, including in shoulder
arthroplasty cases. The impact of PRAs on foot and ankle outcomes has yet to be
studied. The purpose of our study was to evaluate whether PRAs influence patient
reported outcome in foot and ankle surgery. METHODS: To determine if PRAs are
linked to poorer operative outcomes, we retrospectively identified 159 patients
who underwent elective foot and ankle surgery. PRA data were obtained via chart
review, and patient-reported outcomes were assessed preoperatively and
postoperatively via multiple domains, including Patient Reported Outcome
Measurement Information System (PROMIS) physical function, pain interference, and
depression measures. Consistent with prior methodology, we compared outcome
measures (preoperative, postoperative, and the change in outcome scores) between
patients without self-reported allergies to patients with at least 1 PRA.
RESULTS: There were 159 patients studied; 79 patients had no allergies listed,
and 80 patients had at least 1 PRA. Of the 80 patients with at least 1 PRA, there
were a total of 170 possible allergies. There were no differences in
preoperative, postoperative, or the change in outcome scores for all PROMIS
measures (physical function, pain interference, and depression; P > .05) between
patients with at least 1 PRA and those patients without any listed PRAs.
CONCLUSIONS: We were unable to prove our hypothesis that PRAs were linked to
poorer patient-reported outcomes following foot and ankle surgery. Closer review
of the published reports linking PRAs to worse total joint arthroplasty outcomes
revealed data that, while statistically significant, are likely not clinically
relevant. Our negative findings, then, may in fact parallel prior studies on hip,
knee, and shoulder arthroplasty patients. The presence of PRAs does not appear to
be a risk factor for suboptimal outcomes in foot and ankle surgery. LEVEL OF
EVIDENCE: Level III, comparative series.
PMID- 29648890
TI - Phelligridin D-loaded oral nanotube titanium implant enhances osseointegration
and prevents osteolysis in rat mandible.
AB - Poor bone quality and osteolysis are the major causes of implant failure in
dentistry. Here, this study tested the effect of phelligridin D-loaded nanotubes
titanium (Ti) for bone formation around the dental implants. The purpose of this
study was to enhance osseointegration of phelligridin D-loaded implant into the
bone for bone formation and prevention of osteolysis. Cell viability, crystal
violet staining, Western blot, alizarin red S staining, alkaline phosphatase
activity, tartrate-resistant acid phosphatase staining, micro-computed
tromography (MU-CT), hematoxylin and eosin (H&E) and immunohistochemical staining
were used in vitro and in vivo to test the biocompatibility of phelligridin D.
Phelligridin D enhanced osteoblast differentiation and mineralization by
increasing bone morphogenic protein-2/7 (BMP-2/7), Osterix, Runx-2,
osteoprotegerin (OPG), alkaline phosphatase and inhibited osteoclast
differentiation by decreasing receptor activator of nuclear factor kappa-B ligand
(RANKL) in MC-3T3 E1 cells. Further, phelligridin D promoted bone regeneration
around nanotube Ti implant surface by increasing the levels of BMP-2/7 and OPG in
a rat model. Phelligridin D also inhibited osteolysis by suppressing the
expression of RANKL. These findings strongly suggest that phelligridin D is a new
compound representing a potential therapeutic candidate for implant failure
caused by osteolysis and poor bone quality of teeth.
PMID- 29648891
TI - Muscular and Vascular Issues Induced by Prolonged Standing With Different Work
Rest Cycles With Active or Passive Breaks.
AB - OBJECTIVE: The aim of this study was to evaluate the long-lasting motor,
behavioral, physiological, and perceptual effects of prolonged standing work in
three work-rest cycle conditions including passive or active rest breaks.
BACKGROUND: Muscle fatigue has been evidenced after prolonged standing work
through physiological and neuromotor measures. It has been postulated that muscle
fatigue induced by prolonged work could be attenuated by appropriate scheduling
of work and rest periods. However, investigations in this domain remain limited.
METHOD: Thirty participants simulated standing work for 5 hr with work-rest
cycles of short, medium, or long standing periods including passive or active
breaks. Lower-leg muscle twitch force (MTF), muscle oxygenation, lower-leg
volume, postural stability, force control, and discomfort perception were
quantified on 2 days. RESULTS: Prolonged standing induced significant changes in
all measures immediately after 5 hr of work, indicating a detrimental effect in
long-lasting muscle fatigue, performance, discomfort, and vascular aspects.
Differences in the measures were not significant between work cycles and/or break
type. CONCLUSION: Similar physiological and motor alterations were induced by
prolonged standing. The absence of difference in the effects induced by the
tested work-rest cycles suggests that simply altering the work-rest cycle may not
be sufficient to counteract the effects of mainly static standing work. Finally,
standing for 3 hr or more shows clear detrimental effects. APPLICATION: Prolonged
standing is likely to contribute to musculoskeletal and vascular symptoms. A
limitation to less than 3 hr of mostly static standing in occupational activities
could avoid alterations leading to these symptoms.
PMID- 29648892
TI - Assessment of the Readiness for Interprofessional Learning Scale (RIPLS): An item
response theory analysis.
AB - This short report aims to bring evidence from modern psychometric methods to bear
on a popularly deployed questionnaire in interprofessional education (IPE)
assessment. Specifically, three interrelated problems raised against the
Readiness for Interprofessional Learning Scale (RIPLS) are examined in a study
with 280 medical and nursing student participants. Firstly, findings support
RIPLS overall reliability, but fail to support subscale reliabilities. Secondly,
findings indicate a strong, general factor underlying the RIPLS that supports
unidimensional interpretations. Thirdly, findings support the RIPLS potential
sensitivity to changes with appropriate lower ranges for our pre-training student
sample. Recommendations for refinement to the RIPLS include: use of more
appropriate reliability indices; factor generalizability; and a subset of items.
More generally, refinement is possible, whereas RIPLS disuse or continued misuse
with problematic scales is likely to hinder progress in the field of IPE.
PMID- 29648893
TI - Ethnohistory and the Dead: Cultures of Colonial Epidemiology.
AB - What were the dead to colonial epidemiologists? Doctors and colonial scientists
involved in the response to epidemics of plague in Madagascar in the first half
of the twentieth century speculated about the role of Malagasy mortuary ritual in
the spread of plague, and sought to bring Malagasy ritual into line with
Pasteurian hygienic norms. I examine confrontations over death and the management
of the dead in Madagascar, tracing the textured form of epidemiological knowledge
that arose from the confrontation between Malagasy cosmology and Pasteurian
counter epidemic technique.
PMID- 29648894
TI - Controlling for Response Bias in Self-Ratings of Personality: A Comparison of
Impression Management Scales and the Overclaiming Technique.
AB - Self-serving response distortions pose a threat to the validity of personality
scales. A common approach to deal with this issue is to rely on impression
management (IM) scales. More recently, the overclaiming technique (OCT) has been
proposed as an alternative and arguably superior measure of such biases. In this
study (N = 162), we tested these approaches in the context of self- and other
ratings using the HEXACO personality inventory. To the extent that the OCT and IM
scales can be considered valid measures of response distortions, they are
expected to account for inflated self-ratings in particular for those personality
dimensions that are prone to socially desirable responding. However, the results
show that neither the OCT nor IM account for overly favorable self-ratings. The
validity of IM as a measure of response biases was further scrutinized by a
substantial correlation with other-rated honesty-humility. As such, this study
questions the use of both the OCT and IM to assess self-serving response
distortions.
PMID- 29648895
TI - Functional Polymorphisms of the Type 1 and Type 2 Iodothyronine Deiodinase Genes
in Autoimmune Thyroid Diseases.
AB - Graves' disease (GD) and Hashimoto's disease (HD) are major autoimmune thyroid
diseases (AITDs), and their pathological conditions vary among patients. Type 1
iodothyronine deiodinase (D1) and type 2 iodothyronine deiodinase (D2) convert
from thyroxine (T4) to triiodothyronine (T3). However, few findings have been
described concerning the association between polymorphisms in D1 and D2 genes and
AITD. Therefore, we genotyped D1 rs11206244, D2 rs225014, and rs12885300
polymorphisms in 134 GD patients, including 54 patients with intractable GD and
44 patients with GD in remission and 132 HD patients, including 57 patients with
severe HD, 45 patients with mild HD, and 84 healthy controls using PCR-RFLP. In
the D2 rs225014 polymorphism, the TT genotype, which was correlated with higher
D2 activity, was less frequent in AITD, especially in HD, than in control
subjects (P = 0.0032 and 0.0002, respectively). Moreover, they were also less
frequent in HD than in GD (P = 0.0199). The TT genotype and T allele were less
frequent in severe HD and mild HD than in control subjects (P = 0.0003, 0.0006,
0.0432, and 0.0427, respectively). In conclusion, the low frequency of the TT
genotype D2 rs225014 polymorphism was associated with the development of AITD and
severity of HD.
PMID- 29648896
TI - Does the dysregulation of matrix metalloproteinases contribute to recurrent
implantation failure?
AB - INTRODUCTION: The progress in in vitro fertilization (IVF) techniques for
infertility management has led to the investigation of embryo implantation site
proteins such as Matrix metalloproteinases (MMPs), which may have a key role in
embryo-endometrium crosstalk and in the molecular mechanisms of the embryo
implantation. Areas covered: Numerous studies have generated much information
concerning the relation between the different proteins at the site of
implantation such as cytokines, growth factors, adhesion molecules and MMPs.
However, the exact role of the MMPs in embryo implantation and the impact of
their dysregulation in recurrent implantation failure have yet to be
characterized. Expert commentary: The proteomic investigation of the MMPs and
their molecular pathways may enable scientists and clinicians to correct this
dysregulation (via appropriate means of prevention and treatment), better manage
embryo transfer during IVF cycles, and thus increase the ongoing pregnancy rate.
PMID- 29648897
TI - Meta-analysis of the association of IL2RA polymorphisms rs2104286 and rs12722489
with multiple sclerosis risk.
AB - BACKGROUND: The interleukin-2 receptor alpha (IL2RA) gene polymorphisms may be
implicated in the genetic susceptibility to multiple sclerosis (MS). This meta
analysis aims to evaluate the relationship of the IL2RA polymorphisms rs2104286
and rs12722489 with MS risk in different populations. METHODS: Eligible
association studies were identified through search in Pubmed, Medline, Web of
Science, and Scopus (end of search: August 2017). Summary odds ratios (ORs) with
95% confidence intervals (CIs) were calculated using random-effects or fixed
effects models. All statistical analyses were two-sided. RESULTS: Eleven studies
including 8608 cases and 9061 controls evaluated rs2104286. The results
demonstrated that the A allele of rs2104286 was associated with increased risk of
MS in Caucasians (OR = 1.19, 95%CI: 1.13-1.25, p < 0.001) and Asians (OR = 1.25,
95%CI: 1.01-1.55, p = 0.041), respectively. Concerning rs12722489, six studies
with 4259 cases and 5420 controls were eligible. We found that the C allele of
rs12722489 was associated with elevated MS risk in Caucasians (OR = 1.20, 95% CI:
1.12-1.29, p < 0.001) but not in Asians (OR = 1.10, 95%CI: 0.75-1.63, p = 0.629).
Statistical evidence from the Egger and Begg tests showed absence of publication
bias. Sensitivity analysis showed that the results were stable. CONCLUSION: Our
meta-analysis suggests that the rs2104286 A allele is associated with increased
MS risk in both Caucasians and Asians, whereas the rs12722489 C allele is
associated with elevated MS risk in Caucasians but not in Asians.
PMID- 29648898
TI - Use of Standard Guidelines for Department of Medicine Summary Letters.
AB - : Phenomenon: Fourth-year medical students obtain Department of Medicine (DOM)
letters ("Chair" letters) to support their residency applications. Writing and
interpreting DOM letters are challenging. There is heterogeneity in the letters
that makes it difficult to both write and read these letters. APPROACH: The
purpose of this study is to determine the value of new guidelines developed by a
task force of clerkship directors and program directors in internal medicine and
assess the implementation of these guidelines. The Clerkship Directors in
Internal Medicine performed a cross-sectional survey of internal medicine
clerkship directors at U.S. and Canadian medical schools in 2014. In addition,
the primary author's institution reviewed 1,347 DOM letters between 2012 and 2014
to assess the implementation of these guidelines. FINDINGS: The survey response
rate was 78%. DOM letter writers reported the guidelines were better, easier to
implement, and more compatible with the purpose of DOM letters than previously.
Most letter readers reported that letters using the guidelines were more
credible. Writers of DOM letters in lower academic ranks rated the letters with
guidelines higher in several domains than those in higher academic ranks. Readers
of DOM letters in higher academic ranks rated the letters with guidelines higher
in several domains than those in lower academic ranks. In the DOM letters
examined, the odds of meeting each guideline increased with each additional year.
However, for 3 guidelines there was an initial decline in adherence from 2012 to
2013 before increasing again in 2014-the recommended length, clerkship
description, and detailed narrative guidelines. Letters solely written by a chair
were less likely to incorporate the guidelines. Insights: Clerkship directors
often write the DOM letters and identify with the purpose of the guidelines. As
writers, lower ranking academic faculty value the guidelines more than higher
ranking academic faculty. As readers of DOM letters, higher academic ranking
faculty value letters that incorporate the guidelines more than lower academic
ranking faculty. DOM letters implemented more guideline criteria since the
guidelines were released. If implementing the guidelines, chairs should solicit
the help of their clerkship director or educational representative when writing
DOM letters. Although many clerkship directors read letters for their residency
programs, additional program directors' opinions are needed.
PMID- 29648899
TI - Role of Hoxc genes in the development of the limb integumentary organ (nail,
claw, or hoof).
AB - The developing vertebrate limb has long proved as an excellent system for
studying the mechanisms involved in pattern formation and morphogenesis and more
recently in transcriptional regulation and morphological evolution. To elucidate
the stage-specific expression profiles of the components of the developing limb,
we have generated the temporal transcriptome of the limb progenitors and of the
overlying ectoderm separately. Our study has uncovered a collinear activation of
Hoxc genes in the limb ectoderm that we have validated by in situ hybridization.
However, while members of the HoxA and HoxD clusters show complex and dynamic
patterns of expression during limb development that correlate with the morphology
of the different limb segments, no specific function for the HoxC or HoxB
clusters has been identified ( 1 - 3 ). To investigate the function of Hoxc genes
in the limb ectoderm, we have reexamined the HoxC cluster null mice. Remarkably,
and despite exhibiting normal terminal phalanges, these mice didn't form claws
(anonychia). Morphological and immunohistochemical analysis identified a failure
in the differentiation of the main components of the nail/claw organ. To unravel
the transcriptional regulation of Hoxc genes in the limb ectoderm, we used the
ATACseq technique. Using this approach, we identified two putative regulatory
regions which activity was tested in mouse transgenic enhancer assays. It is
currently considered that Hox genes have played a key role in the evolution of
morphological traits, probably associated with changes in their regulatory
landscapes ( 4 ). Given that the form and size of the distal limb integumentary
organ (nail, claw or hoof) correlates with that of the distal phalanx and that
the development of hooves was a major innovation in the evolution of a cursorial
lifestyle, we are also exploring the possible implication of Hoxc genes in the
nail/claw/hoof transition. This abstract is from the Experimental Biology 2018
Meeting. There is no full text article associated with this abstract published in
The FASEB Journal.
PMID- 29648900
TI - No evidence of disease activity in patients receiving fingolimod at private or
academic centers in clinical practice: a retrospective analysis of the multiple
sclerosis, clinical, and magnetic resonance imaging outcomes in the USA (MS
MRIUS) study.
AB - OBJECTIVE: The impact of multiple sclerosis (MS) center type on outcomes has not
been investigated. This study aimed to evaluate baseline characteristics and
clinical and magnetic resonance imaging (MRI) outcomes in patients with MS
receiving fingolimod over 16 months' follow-up at private or academic centers in
the USA. METHODS: Clinical and MRI data collected in clinical practice from
patients initiating fingolimod were stratified by center type and retrospectively
analyzed. No evidence of disease activity (NEDA-3) was defined as patients with
no new/enlarged T2/gadolinium-enhancing lesions, no relapses, and no disability
progression (Expanded Disability Status Scale scores). RESULTS: Data were
collected for 398 patients from 25 private centers and 192 patients from eight
academic centers. Patients were older (median age = 43 vs 41 years; p = .0047)
and had a numerically shorter median disease duration (7.0 vs 8.5 years; p =
.0985) at private vs academic centers. Annualized relapse rate (ARR) was higher
in patients at private than academic centers in the pre-index (0.40 vs 0.29; p =
.0127) and post-index (0.16 vs 0.08; p = .0334) periods. The opposite was true
for T2 lesion volume in the pre-index (2.86 vs 5.23 mL; p = .0002) and post-index
(2.86 vs 5.11 mL; p = .0016) periods; other MRI outcomes were similar between
center types. After initiating fingolimod, ARRs were reduced, disability and most
MRI outcomes remained stable, and a similar proportion of patients achieved NEDA
3 at private and academic centers (64.1% vs 56.1%; p = .0659). CONCLUSION:
Patient characteristics differ between private and academic centers. Over 55% of
patients achieved NEDA-3 during fingolimod treatment at both center types.
PMID- 29648901
TI - Training Health Professions preceptors in rural practices: A challenge for
Interprofessional practice and education.
AB - The ever-increasing mandate for interprofessional practice and education (IPE)
faces challenges in rural settings. Oregon Health & Science University (OHSU)
launched a preceptor development program as part of its commitment to training
interprofessional student groups in rural settings. The objectives of the program
were to (1) encourage preceptors to exemplify team behaviors; (2) characterize
contemporary learners and learning styles of trainees; (3) encourage
interprofessional precepting skills, and (4) apply practical teaching tools in
the clinical setting. This was a qualitative observational project performed at
OHSU rural faculty primary care clinics. Subjects were a convenience sample of
rural interprofessional preceptors who volunteered to participate. Each
educational session was based on a prior interview identifying their specific
training needs. Data analysis was based on results from an evaluation survey and
comments from providers at these sites. Key factors such as dedicated time for
preceptor development, good communication between the rural practices and the
academic health center, and concerns about billing revenue were discovered to be
critical to the success of the program.
PMID- 29648902
TI - Diagnostic evaluation in steroid-induced myopathy: case report suggesting
clinical utility of quantitative muscle ultrasonography.
AB - PURPOSE: We present a patient with adrenal Cushing's syndrome causing steroid
myopathy. The purpose of the case report is to illustrate the clinical usefulness
of quantitative muscle ultrasonography for the assessment of glucocorticoid
induced changes in muscle mass (MM) and structure. METHODS: Assessments of
physical performance, muscle strength, MM (i.e., total body skeletal MM,
appendicular skeletal MM, and thickness of lower limb muscles), and muscle
structure (i.e., echo intensity of lower limb muscles) were performed in the
patient both in the active phase of the disease (preoperatively) and 6 months
after surgical intervention (postoperatively). RESULTS: Muscle strength, physical
performance, and MM were low both preoperatively and postoperatively. We also
found preoperatively an increased echo intensity that normalized postoperatively.
CONCLUSIONS: Clinical implications of these findings are double-fold. First,
although the muscle structure can recover quickly in steroid myopathy patients,
the recovery of MM may take months to years. Second, we show that muscle echo
intensity can be useful to track the progression of steroid myopathy overtime and
may help to indicate early response to therapeutic interventions. Further
prospective studies are needed to confirm the value of muscle echo intensity in
patients with endogenous or exogenous Cushing's syndrome presenting with steroid
myopathy.
PMID- 29648903
TI - Cardiotoxicity of anthracycline (ANT) treatment in children with malignant
tumors.
AB - OBJECTIVE: To investigate the cardiotoxicity indexes in children with malignant
tumors after the administration of anthracycline (ANT) chemotherapy. MATERIALS
AND METHODS: Data from 131 children with malignant tumors who were treated using
ANT chemotherapy at our hospital from January 2011 to December 2015 were
collected to analyze the serologic indexes (such as N-terminal pro-brain
natriuretic peptide [NT-proBNP] and isoenzyme of creatine kinase [CK-MB]) and
changes in corrected QT interval(QT-c) and left ventricular ejection fraction
(LVEF) before and after treatment with different ANT cumulative doses. RESULTS:
General clinical data revealed that 2 of the 131 children developed clinical
cardiotoxicity. The ANT cumulative dose range was 12-697 mg/m2. All patients were
divided into three groups according to the ANT cumulative dose: group 1 (<100
mg/m2), 2 (>=100 and <200 mg/m2), and 3 (>=200 mg/m2). Although NT-proBNP and
LVEF among the three groups differed significantly after chemotherapy (p = 0.022
and 0.035, respectively), no significance was noted for CK-MB and QT-c among the
three groups after chemotherapy (p = 0.190 and p = 0.084, respectively). Multiple
linear regression analysis revealed that the ANT cumulative dose had the most
significant impact on NT-proBNP (standardized coefficient 0.423, p = 0). Pearson
correlation analysis revealed that ANT cumulative dose was positively correlated
with NT-proBNP post-treatment (correlation coefficient 0.423), but LVEF was
negatively correlated with NT-proBNP after chemotherapy (correlation coefficient
0.542). CONCLUSIONS: NT-proBNP showed significant changes when the ANT dose was
>200 mg/m2. Post-treatment serum NT-proBNP was linearly correlated with ANT
cumulative dose, hence strictly controlling the ANT cumulative dose and
monitoring serum NT-proBNP may have certain clinical significance in predicting
cardiotoxicity.
PMID- 29648904
TI - Inflammatory cytokines and depression in children with cancer: A review of the
literature.
AB - Compared to the general pediatric population, pediatric cancer patients are at
increased risk of experiencing depressive symptoms during and after their
treatment. Clinically, there exist few resources to guide health care
professionals in the care of children with cancer who report depressive
symptomatology. Pediatric cancer patients experience unique inflammatory changes
secondary to their disease and accompanying treatments. It has been reported that
inflammatory changes in the context of illness are related to cytokine
dysregulation which in turn may influence the expression of depressive symptoms.
In this review of current literature, we summarize the existing knowledge,
relevant models and studies in progress with respect to this concept.
PMID- 29648906
TI - The Effects of a Community-Based Lifestyle Intervention on Metabolic Syndrome and
Its Components in Adolescents: Findings of a Decade Follow-Up.
AB - BACKGROUND: The increasing prevalence of metabolic syndrome (MetS) in childhood
makes lifestyle interventions imperative during adolescence. This study aimed to
assess the effects of a community-based lifestyle intervention on MetS and its
components in adolescents. METHODS: Adolescents, based on their residential area
were categorized into three groups: complete intervention (residing in the
intervention area at baseline and during all follow-ups), incomplete intervention
(residing in either the intervention or control areas and were in transition
between these two areas), and control group (residing in the control area at
baseline and throughout all follow-ups). All measurements were repeated every 3
years for up to 9 years. Lifestyle intervention aimed at achieving healthy
dietary patterns and increasing physical activity. Generalized estimating
equation models were used to analyze data. RESULTS: In boys with incomplete
intervention versus controls, the prevalence of MetS was significantly lower in
the short term (14.0 vs. 22.9), but not in long term. In boys with complete
intervention, the prevalence was significantly lower versus controls (9.0 vs.
23.4) in the long term. In girls, the short-term prevalence of MetS was
significantly lower in the complete intervention group compared with controls
(2.5 vs. 9.1) and then remained constantly low in all study groups after that.
Among MetS components, in boys, triglycerides and fasting plasma glucose in the
short term and high-density lipoprotein mid term, and in girls HDL in mid and
long term were both significantly improved. The odds of MetS decreased
significantly in the complete [odds ratio (OR): 0.516, confidence interval (95%
CI): 0.273-0.973] and incomplete (OR: 0.591, 95% CI: 0.358-0.976) intervention
groups only in boys. The short- and long-term interventions resulted improvement
in some of the MetS components in both genders. CONCLUSIONS: The healthy
lifestyle intervention reduced the risk of MetS in both genders in short and long
term, but with different patterns and improved some of the MetS components.
PMID- 29648907
TI - Impact of coping strategies on quality of life of adolescents and young women
with endometriosis.
AB - PURPOSE: Endometriosis is a hormone-dependent, inflammatory, painful condition
affecting 1 in 10 women during their reproductive years. The symptoms of
endometriosis-dysmenorrhea, dyspareunia, infertility-negatively impact the
quality of life (QoL) of the affected women. Few studies have been conducted on
mental health and QoL impact in a younger endometriosis patient population
(adolescents and young women). This study quantitative, cross-sectional study was
designed to address this gap by ascertaining whether coping strategies may impact
the QoL of this patient population. METHODS: After consent, participants (n = 24)
completed a sociodemographic questionnaire, Beck Anxiety Inventory (BAI), Beck
Depression Inventory II (BDI-II), Coping Strategies Inventory (CSI),
Endometriosis Health Patient-5 (EHP-5) and Visual Analogue Scale (VAS). RESULTS:
Participants reported the use of both positive and maladaptive strategies to deal
with the symptomatology, which were associated with QoL levels and mental health
status. Associations between QoL and maladaptive coping strategies (e.g.
autocriticism, social withdrawal) were uncovered. Cognitive restructuring was
identified as an adaptive coping strategy that impacts QoL positively.
CONCLUSION: These results provide additional evidence showing that endometriosis
symptoms substantially affect the psychological well-being of young patients and
identify opportunities for interventions (e.g. cognitive behavioral,
rational/emotive therapy) to implement coping styles leading to improved QoL.
PMID- 29648908
TI - Support Needs for Family Caregivers of Clients with Mental Illness in Iran: A
Qualitative Study.
AB - This paper explored the support needs of family caregivers of people living with
a mental illness in Iran. This descriptive study focused on the experiences of 20
family caregivers as well as the views of 29 professional support workers through
individual face-to-face interviews. From these interviews three key themes
emerged in regards to the care needs of family caregivers: (i) social support;
(ii) emotional support; and (iii) safety and security. These themes highlighted
the complex role of caring for a family member with a mental illness and the
emotional, social and economic challenges that these caregivers experienced as a
result. Iranian caregivers garnered support not only from other family members
but also from neighbors and religious leaders but lacked the much needed respite
care found in western countries. This research study highlighted the importance
of ensuring that the caregivers themselves receive appropriate and adequate
support to fulfill their caregiving role.
PMID- 29648909
TI - Diabetes-Related Emergency Medical Service Activations in 23 States, United
States 2015.
AB - OBJECTIVE: The use of emergency medical services (EMS) for diabetes-related
events is believed to be substantial but has not been quantified nationally
despite the diverse acute complications associated with diabetes. We describe
diabetes-related EMS activations in 2015 among people of all ages from 23 U.S.
states. METHODS: We used data from 23 states that reported >=95% of their EMS
activations to the U.S. National Emergency Medical Services Information System
(NEMSIS) in 2015. A diabetes-related EMS activation was defined using coded EMS
provider impressions of "diabetes symptoms" and coded complaints recorded by
dispatch of "diabetic problem." We described activations by type of location,
urbanicity, U.S. Census Division, season, and time of day; and patient-events by
age category, race/ethnicity, disposition, and treatment with glucose. Crude and
age-adjusted diabetes-related EMS patient-level event rates were calculated for
adults >=18 years of age with diagnosed diabetes using the Behavioral Risk Factor
Surveillance System to estimate the population denominator. RESULTS: Of
10,324,031 relevant EMS records, 241,495 (2.3%) were diabetes-related
activations, which involved over 235,000 hours of service. Most activations
occurred in urban or suburban environ- ments (86.4%), in the home setting
(73.5%), and were slightly more frequent in the summer months. Most patients
(72.6%) were >=45 years of age and over one-half (55.4%) were transported to the
emergency department. The overall age-adjusted diabetes-related EMS event rate
was 33.9 per 1,000 persons with diagnosed diabetes; rates were highest in
patients 18-44 years of age, males, and non-Hispanic blacks and varied by U.S.
Census Division. CONCLUSIONS: Diabetes results in a substantial burden on EMS
resources. Collection of more detailed diabetes complication information in
NEMSIS may help facilitate EMS resource planning and prevention strategies.
PMID- 29648910
TI - Usefulness of positron emission tomography-CT for diagnosis of primary bone
marrow lymphoma in children.
AB - Primary bone marrow lymphoma (PBML) is hard to diagnose in children, due to the
difficult identification of malignant cells in bone marrow. The first case, a 5
year-old boy, showed knee swelling with an intermittent fever. The second case, a
12-year-old girl, showed fever of unknown origin without lymphadenopathy or
hepatosplenomegaly. In both cases, the diagnosis was not confirmed despite the
repeated bone marrow aspirations. Finally, bone marrow aspiration and biopsy at
the positive site by positron emission tomography (PET)-CT contributed to
definitive diagnosis of PBML. The PET-CT is useful for the accurate diagnosis of
PBML in children with non-specific symptoms.
PMID- 29648911
TI - Body Image Perceptions of Women Veterans with Military Sexual Trauma.
AB - The researchers were invited to a transitional home for homeless women veterans
to help veterans with body image issues. Convenience sampling was used to recruit
12 veterans who perceived they had a physical difference due to military service.
Data were obtained in focus groups where the veterans were invited to share
stories. Ricoeur's hermeneutic phenomenology guided the study. The research team
learned early in the data collection stage that 11 of the 12 participants
suffered military sexual trauma (MST). Three structures emerged in the data: (a)
to speak up or not to speak, (b) from military pride to shameful anguish, and (c)
invisible scars versus visible scars. A phenomenological interpretation of these
invisible scars uncovered that viewing self in a mirror was depicted as viewing a
stranger. Being with others, including family, was described as wearing a fake
face. The phrase I am broken defined intimate relationships which were non
existent or strained. Shame permeated all body image structures. As the veterans
listened to each other, they began to see themes in their stories. There was a
shared sense of identity and a movement toward greater self-understanding and
resolving. In addition to the recommendations the participants had regarding
prevention of MST and recovery care of those with MST, implications for research
and practice are provided.
PMID- 29648912
TI - Implementing a Trauma-Informed Model of Care in a Community Acute Mental Health
Team.
AB - In this paper, we demonstrate the value of implementing a Trauma-Informed Model
of Care in a Community Acute Mental Health Team by providing brief intensive
treatment (comprising risk interventions, brief counselling, collaborative
formulation and pharmacological treatment). The team utilised the Conversational
Model (CM), a psychotherapeutic approach for complex trauma. Key features of the
CM are described in this paper using a clinical case study. The addition of the
Conversational Model approach to practice has enabled better understandings of
consumers' capacities and ways to then engage, converse, and intervene. The
implementation of this intervention has led to a greater sense of self-efficacy
amongst clinicians, who can now articulate a clear counselling model of care.
PMID- 29648913
TI - Being present with the patient-A critical investigation of bodily sensitivity and
presence in the field of physiotherapy.
AB - This article advocates integrating ideas from phenomenological theory regarding
the body with a psychoanalytical theory of language to enrich our understanding
of the meaning of bodily presence in the practice of physiotherapy. The authors
use this theoretical framework to explore bodily presence as a source for
physiotherapists' professional development. They are using research on children
as moving and meaning-producing subjects11 The concept of subject is used in
different ways in phenomenology and psychoanalysis, and also in varying ways in
each of the two traditions. However, here the concept is used more in line with
ordinary language, in the sense that it emphasizes the child's active position in
her/his being in the world. to illustrate the relevance of their perspectives.
They argue that the perspectives might contribute to a physiotherapeutic practice
that incorporates bodily presence in the professional language in addition to
specific methods and techniques. Understanding bodily presence involves the
physiotherapist recognizing the Other (i.e., the patient/child) in the present
moment and trusting her/his own capacity to become aware of her/his own bodily
presence. The authors assert that being aware of one's own bodily presence
enables therapists to develop an appreciation of their own bodies and the bodies
of their patients as they are and move in mutual relation to each other. Applying
the article's theoretical framework, the authors consider the body as the
starting point for speech,22 The concept of speech is used in a wide sense,
including voice, tone, and rhythm in line with the article's psychoanalytical
framework of Kristeva and the late Lacan. Thus, the call for being present for
the child (as a patient) in the here and now includes participating in the speech
of the child, even though the child does not use words. and suggest that
introducing a richer professional language encourages practitioners to become
more aware of the dialectic between body and language: how the body is the anchor
for speech and how language influences the experience of the body.
PMID- 29648915
TI - Response to Modabber and Harissi-Dagher's Letter: "Type 1 Boston Keratoprosthesis
for Limbal Stem Cell Deficiency in Epidermolysis Bullosa".
PMID- 29648914
TI - TX99 Is a Neutralizing Monoclonal Antibody Against Mouse TIGIT.
AB - T cell immunoglobulin and ITIM domains (TIGIT) is an inhibitory immunoreceptor
expressed on NK cells, effector and memory T cells, and regulatory T cells
(Tregs). The ligands for TIGIT are CD155 (PVR) and CD112 (PVRL2, nectin-2), which
are broadly expressed on hematopoietic cells and nonhematopoietic cells. TIGIT
negatively regulates antitumor responses, but promotes autoimmune reaction.
Although neutralizing anti-human TIGIT mAbs are under clinical trials for
cancers, how the blockade of TIGIT interaction with the ligands shows tumor
immunity still remains unclear. Although analyses of mouse tumor model using a
neutralizing anti-mouse TIGIT (mTIGIT) mAbs should be useful to address this
issue, there are limitations to this type of studies due to unavailability of
neutralizing anti-mTIGIT mAbs. In this study, we generated five clones of anti
mTIGIT mAbs, designated TX99, TX100, TX103, TX104, and TX105. We show that TX99
and TX100 showed the strongest binding to TIGIT. We also show that TX99
interfered with the interaction between TIGIT and CD155 and increased NK cell
mediated cytotoxicity against CD155-expressing RMA-S cells. Thus, TX99 is a
unique neutralizing mAb that can be used for studies of mTIGIT functions.
PMID- 29648916
TI - Comparison of Lipid Accumulation Product Index with Body Mass Index and Waist
Circumference as a Predictor of Metabolic Syndrome in Indian Population.
AB - BACKGROUND: Metabolic syndrome (MetS), which confers a high risk for
cardiovascular diseases, needs early diagnosis and treatment to reduce morbidity
and mortality. Lipid accumulation product index has been reported to be an
inexpensive marker of visceral fat and metabolic syndrome. This study aimed to
evaluate lipid accumulation product index as a marker for metabolic syndrome in
the Indian population where the prevalence of the condition is steadily
increasing. METHODS: A hospital-based, case-control study was conducted with 72
diagnosed cases of metabolic syndrome and 79 control subjects. In all the
participants, body mass index (BMI) and lipid accumulation product index were
calculated. The difference between cases and controls in BMI, waist circumference
(WC), and lipid accumulation product index was assessed by Mann-Whitney U
test/unpaired t-test. Associations of BMI, WC, and lipid accumulation product
index with metabolic syndrome were compared by multiple logistic regression
analysis and receiver operating characteristic analysis. RESULTS: BMI, WC, and
lipid accumulation product index were significantly higher in metabolic syndrome
(P < 0.05). Although all were independently associated with metabolic syndrome,
lipid accumulation product index had the highest prediction accuracy. The
parameter also had a high area under curve of 0.901 (95% confidence interval 0.85
0.95) and a high sensitivity (76.4%), specificity (91.1%), positive predictive
value (88.7%), and negative predictive value (80.9%) for detection of metabolic
syndrome. CONCLUSION: In the Indian population, lipid accumulation product index
is a better predictor of metabolic syndrome compared to BMI and WC and should be
incorporated in laboratory reports as early, accurate, and inexpensive indicator
of metabolic syndrome.
PMID- 29648917
TI - Successful treatment of systemic EBV positive T-cell lymphoma of childhood using
the SMILE regimen.
PMID- 29648918
TI - Implementation of an Interdisciplinary Communication Workgroup on an Acute
Psychiatric Unit.
AB - Communication among Interdisciplinary Healthcare Teams is an essential component
of providing optimal patient care. Staff members at one U. S. Department of
Veterans Affairs on an Acute Psychiatric Unit identified fragmented communication
on the unit and expressed interest in forming an interdisciplinary communication
workgroup. This quality improvement (QI) project was designed to enhance
communication among the Interdisciplinary Healthcare Team on the Acute
Psychiatric Unit. A workgroup was formed and met four times at one-hour
intervals. A pre/post-test was used to determine the initial effectiveness of the
intervention. The results of the intervention suggested increased communication
among the Interdisciplinary Healthcare Team as an upward trend of the mean scores
was noted.
PMID- 29648919
TI - Oligomers of styrene are not endocrine disruptors.
AB - Oligomers of styrene have been identified in polystyrene (PS) polymer samples
intended for food packaging. Such oligomers contribute to nonintentionally added
substances (NIAS) that may migrate into food or food simulants and therefore have
to be assessed for the potential risk to health. No oligomers larger than dimers
and trimers of styrene have been found to be present in PS. Some in vivo and in
vitro information indicative of an endocrine activity for some specific oligomers
suggest concerns for their potential for endocrine disruption in humans. Data on
endocrine activity available from in vitro and in vivo screening approaches and
from non-guideline studies in experimental animals were evaluated. The different
test methods were classified according to the OECD Conceptual Framework for
Testing and Assessment of Endocrine Disruptors (OECD) and the ranking system of
Borgert et al. proposed in 2014. The quality and reliability of each study is
further assessed by professional judgment. The integration of the total
information supports the conclusion that neither specific oligomers, nor their
mixtures, potentially migrating into food are endocrine disruptors according to
the definition of EFSA and WHO/IPCS.
PMID- 29648921
TI - Optimizing Anticancer Therapy in Metastatic Non-Castrate Prostate Cancer: ASCO
Clinical Practice Guideline Summary.
PMID- 29648922
TI - Reducing Wait Time Between Admission and Chemotherapy Initiation.
AB - PURPOSE: Reducing the length of stay is a high-priority objective for all health
care institutions. Delays in chemotherapy initiation for planned preadmissions
lead to patient dissatisfaction and prolonged length of stay. PATIENTS AND
METHODS: A multidisciplinary team was formed as part of the ASCO Quality Training
Program. We aimed to reduce the time to initiation of chemotherapy from patient
arrival at Parkland Hospital from a median of 6.2 hours at baseline to 4 hours
over a 6-month period (35% reduction). The team identified inconsistency in blood
work requirements, poor communication, and nonstandard patient arrival times as
key causes of delay in the process. Plan-Do-Study-Act (PDSA) cycles were
implemented based on identified improvement opportunities. The outcome measure
was time from arrival to chemotherapy start. Data were obtained from time stamps
in the electronic health record. RESULTS: The first PDSA cycle included patient
reminders to arrive at specific times, improved communication using a smartphone
secure messaging application, and preadmission notes by oncology fellows
detailing whether fresh blood work were needed on admission. Baseline data from
36 patients and postimplementation data from 28 patients were analyzed. Median
time from admission to chemotherapy initiation preprocess change was 6.2 hours;
it was 3.2 hours postchange. A sustained shift in the process was apparent on a
control chart. CONCLUSION: Delays in initiation of chemotherapy can be prevented
using classic quality improvement methodology and a multidisciplinary team. We
aim to further refine our PDSA cycles and ensure sustainability of change.
PMID- 29648920
TI - Functional domain analysis of SOX18 transcription factor using a single-chain
variable fragment-based approach.
AB - Antibodies are routinely used to study the activity of transcription factors,
using various in vitro and in vivo approaches such as electrophoretic mobility
shift assay, enzyme-linked immunosorbent assay, genome-wide method analysis
coupled with next generation sequencing, or mass spectrometry. More recently, a
new application for antibodies has emerged as crystallisation scaffolds for
difficult to crystallise proteins, such as transcription factors. Only in a few
rare cases, antibodies have been used to modulate the activity of transcription
factors, and there is a real gap in our knowledge on how to efficiently design
antibodies to interfere with transcription. The molecular function of
transcription factors is underpinned by complex networks of protein-protein
interaction and in theory, setting aside intra-cellular delivery challenges,
developing antibody-based approaches to modulate transcription factor activity
appears a viable option. Here, we demonstrate that antibodies or an antibody
single-chain variable region fragments are powerful molecular tools to unravel
complex protein-DNA and protein-protein binding mechanisms. In this study, we
focus on the molecular mode of action of the transcription factor SOX18, a key
modulator of endothelial cell fate during development, as well as an attractive
target in certain pathophysiological conditions such as solid cancer metastasis.
The engineered antibody we designed inhibits SOX18 transcriptional activity, by
interfering specifically with an 8-amino-acid motif in the C-terminal region
directly adjacent to alpha-Helix 3 of SOX18 HMG domain, thereby disrupting
protein-protein interaction. This new approach establishes a framework to guide
the study of transcription factors interactomes using antibodies as molecular
handles.
PMID- 29648923
TI - Impact on Oncology Practices of Including Drug Costs in Bundled Payments.
AB - INTRODUCTION: This analysis evaluates the impact of bundling drug costs into a
hypothetic bundled payment. METHODS: An economic model was created for patient
vignettes from: advanced-stage III colon cancer and metastatic non-small-cell
lung cancer. First quarter 2016 Medicare reimbursement rates were used to
calculate the average fee-for-service (FFS) reimbursement for these vignettes.
The probabilistic risk faced by practices was captured by the type of patients
seen in practices and randomly assigned in a Monte Carlo simulation on the basis
of the given distribution of patient types within each cancer. Simulations were
replicated 1,000 times. The impact of bundled payments that include drug costs
for various practice sizes and cancer types was quantified as the probability of
incurring a loss at four magnitudes: any loss, > 10%, > 20%, or > 30%. A loss was
defined as receiving revenue from the bundle that was less than what the practice
would have received under FFS; the probability of loss was calculated on the
basis of the number of times a practice reported a loss among the 1,000
simulations. RESULTS: Practices that treat a substantial proportion of patients
with complex disease compared with the average patient in the bundle would have
revenue well below that expected from FFS. Practices that treat a
disproportionate share of patients with less complex disease, as compared with
the average patient in the bundle, would have revenue well above the revenue
under FFS. Overall, bundled payments put practices at greater risk than FFS
because their patient case mix could greatly skew financial performance.
CONCLUSION: Including drug costs in a bundle is subject to the uncontrollable
probabilistic risk of patient case mixes.
PMID- 29648925
TI - Intravenous carbamazepine for the treatment of epilepsy.
AB - INTRODUCTION: Recently, an intravenous formulation of carbamazepine (CBZ)
(sulphobutylether-7-beta-cyclodextrine carbamazepine, SBECD CBZ) has been
developed and approved by the U.S. Food and Drug Administration. It is indicated
as a short-term replacement therapy for oral CBZ formulations, when oral
administration is temporarily not feasible and in adults with focal seizures with
complex symptomatology as well as generalized tonic-clonic seizures and mixed
seizure patterns. Areas covered: This review focuses on the drug development,
pharmacokinetics and pharmacodynamics of intravenous CBZ and provides a
comprehensive overview of the studies assessing its clinical efficacy,
tolerability and safety in adults with epilepsy. Expert opinion: Intravenous CBZ
has favorable pharmacokinetics and is well tolerated and safe when used as a
short-term substitution for oral CBZ. Seizure control was unchanged after
switching from oral to IV formulations. Overall, this new formulation represents
a useful option to enhance continuity of care in adults with focal or generalized
tonic-clonic seizures when oral CBZ administration is temporarily unfeasible.
Further studies are needed to assess the efficacy and tolerability of IV CBZ used
at larger doses (above 1600 mg/day), for a period longer than 7 days or for other
indications not approved by FDA, such as prolonged convulsive seizures and status
epilepticus.
PMID- 29648926
TI - HIV/STI interventions targeting women who experience forced sex: A systematic
review of global literature.
AB - Women are disproportionately affected by HIV in many regions of the world and
they represent the fastest growing demographic in the HIV epidemic. In addition,
sexual violence against women is a global public health issue which increases
women's vulnerability of HIV/STI acquisition. However, the relationship between
sexual violence and HIV/STI risk are complex and contribute to the growing
epidemic of women infected with HIV/STIs. Our purpose for this review is to
examine existing HIV/STI interventions that target women who experience forced
sex. Interventions designed to address women's unique needs in HIV/STI prevention
are critical in reducing women's vulnerability to HIV/STIs.
PMID- 29648924
TI - Operative Treatment of Isolated Meniscus Injuries in Adolescent Patients: A Meta
Analysis and Review.
AB - CONTEXT: With the rise in sports participation and increased athleticism in the
adolescent population, there is an ever-growing need to better understand
adolescent meniscus pathology and treatment. OBJECTIVE: To better understand the
operative management of meniscus tears in the adolescent population. DATA
SOURCES: A systematic review of PubMed (MEDLINE) and Google Scholar was performed
for all archived years. STUDY SELECTION: Studies that reported on isolated
meniscus tears in adolescent patients (age, 10-19 years) were included. STUDY
DESIGN: Systematic review and meta-analysis. LEVEL OF EVIDENCE: Level 4. DATA
EXTRACTION: Two authors reviewed and extracted data from studies that fulfilled
all inclusion criteria. RESULTS: Nine studies on isolated meniscus tears in
adolescent patients were found, with level of evidence ranging from 3 to 4. These
studies evaluated a total of 373 patients (248 males, 125 females) and 390 knees.
Seven studies were published between 1979 and 2000, all of which discuss
meniscectomy as the primary treatment. Two studies were published after 2000 and
report on meniscus repair surgery. The mean patient age was 14.4 years. A total
of 308 meniscectomies and 64 meniscus repairs were performed. Follow-up ranged
from 1.8 to 30 years (mean, 10.8 years). A 37% retear rate was reported for
patients undergoing meniscus repair. Different outcome measures were used for
meniscectomy versus meniscus repair. Three studies evaluating meniscectomy
reported Tapper-Hoover scores, showing 54 patients with an excellent result, 58
with good, 57 with fair, and 23 with poor results. CONCLUSION: A shift in the
management of isolated adolescent meniscal tears is reflected in the literature,
with a recent increase in operative repair. This is likely secondary to poor
outcomes after meniscectomy reflected in long-term follow-up studies. The current
literature highlights the need for improved description of tear patterns,
standardized reporting of outcome measures, and improved study methodologies to
help guide orthopaedic surgeons on operative treatment of meniscal tears in
adolescent patients.
PMID- 29648927
TI - One Teacher's Experience of Teaching Physical Education and Another School
Subject: An Inter-Role Conflict?
AB - PURPOSE: This study was situated within a longitudinal study of 5 teachers
examining the realities of teaching physical education by determining the impact
of individual dispositions and contextual factors on the career trajectories of
postprimary physical education teachers in Ireland (Iannucci & MacPhail, 2017).
One of these participants, Jane, was examined in this study to gain a greater
understanding of the realities and tensions experienced by a postprimary teacher
enacting 2 distinct sets of role expectations when teaching physical education
and another school subject concurrently. METHOD: Data reported in this article
were collected through a semistructured interview and living graph. An
interpretative framework was used for analysis, assessing Jane's perceived
meanings of the identified critical incidents in relation to role theory.
RESULTS: Teachers timetabled with physical education and another subject
concurrently may be expected to navigate and negotiate 2 distinctly different
roles within the school community causing difficulty in assuming both roles
simultaneously. Short narratives were used to convey 2 themes: (a) role
prioritization and (b) role performance. CONCLUSION: The study results suggest
that the already complex and multifaceted role of a school teacher (Richards,
Templin, Levesque-Bristol, & Blankenship, 2014) seems to be further complicated
when teachers are tasked with simultaneously teaching physical education and
another school subject. With the presence of a role conflict management strategy
such as role prioritization (Stryker, 1968), one can presume that teachers who
are tasked with teaching physical education and another school subject may
experience some level of role conflict.
PMID- 29648928
TI - An Optimistic Outlook Creates a Rosy Past: The Impact of Episodic Simulation on
Subsequent Memory.
AB - People frequently engage in future thinking in everyday life, but it is unknown
how simulating an event in advance changes how that event is remembered once it
takes place. To initiate study of this important topic, we conducted two
experiments in which participants simulated emotional events before learning the
hypothetical outcome of each event via narratives. Memory was assessed for
emotional details contained in those narratives. Positive simulation resulted in
a liberal response bias for positive information and a conservative bias for
negative information. Events preceded by positive simulation were considered more
favorably in retrospect. In contrast, negative simulation had no impact on
subsequent memory. Results were similar across an immediate and delayed memory
test and for past and future simulation. These results provide novel insights
into the cognitive consequences of episodic future simulation and build on the
optimism-bias literature by showing that adopting a favorable outlook results in
a rosy memory.
PMID- 29648929
TI - Composition and Diversity of Communities of Dactylogyrus spp. In Wild and Farmed
Goldfish Carassius auratus.
AB - Species composition and diversity of dactylogyrids were compared on gills of wild
and cultured goldfish (silver crucian carp) Carassius auratus from 3 naturally
populated lakes and 3 stocked aquaculture ponds in the Hubei province of China to
examine the differences in the gill parasite community between these natural and
farmed waters. Of the 7 Dactylogyrus spp. detected, all were found in lakes and 5
in ponds, with Dactylogyrus inexpectatus and Dactylogyrus anchoratus being absent
from ponds. No significant correlation was found between the species richness and
habitat area or host size, nor was there a significant difference in mean species
richness between lakes (0.41-0.65) and ponds (0.30-0.76). Brillouin's diversity
in lakes (0.049-0.067) was higher than that in ponds (0.024-0.046), but not
significantly so. Although the diversity of parasite communities was higher in
wild goldfish, higher mean abundance of some Dactylogyrus spp. was found in
cultured goldfish. Based on Bray-Curtis similarity, it was difficult to
differentiate parasite communities in lakes from those in ponds at the
infracommunity level, whereas the 3 lakes and Guanqiao pond differed markedly
from the remaining 2 ponds at the component community level. Although
infracommunities differed among waterbodies, no effects of fish length or
waterbody type were found on infracommunity or component community structure.
Together, these results suggest that abundance and species richness of
Dactylogyrus spp. on goldfish in lakes and farm ponds are influenced by habitat
specific environmental factors.
PMID- 29648930
TI - Antioxidant and Antimicrobial Effects of Catechin Liposomes on Chinese Dried
Pork.
AB - In this study, catechin (CT), catechin liposome (CTL), and alpha-tocopherol (TP)
were added to Chinese dried pork to achieve a healthy lipid composition. Their
effectiveness in prevention of lipid oxidation was determined by measuring the
values of thiobarbituric acid-reactive substances and peroxides. The total viable
count in samples was used to identify the antimicrobial activities of CT, CTL,
and TP, and the pH values of the samples were determined. Chinese dried pork with
antioxidants added at 600 mg/kg was subjected to sensory evaluation.
Thiobarbituric acid-reactive substance values, peroxide values, and total viable
counts indicated that CTL significantly enhanced the antioxidant and
antibacterial effects of CT on Chinese dried pork, especially after storage at
room temperature for 25 days. Compared with the two other antioxidants, CTL could
better maintain the pH stability of Chinese dried pork at room temperature.
Sensory evaluation revealed that the scores of CTL were better than those of CT
and TP in terms of preserving the color, flavor, tenderness, and overall
acceptability of Chinese dried pork. Use of CTL in Chinese dried pork had good
antioxidant and antibacterial effects and maintained color, flavor, and
tenderness at a relatively stable level, suggesting that CTL could be used as an
antioxidant in Chinese dried pork to enhance oxidative stability and prolong
shelf life.
PMID- 29648931
TI - Contamination by Meat Juice When Shopping for Packages of Raw Poultry.
AB - Raw poultry products often are contaminated with Salmonella and Campylobacter,
and these bacteria can be transmitted through meat juice on the packages. An
observational study was conducted to assess consumer exposure to meat juice
during shopping and to quantify the transmission of meat juice from poultry
packages to hands and other surfaces. Ninety-six participants completed the
shopping study; 402 swabs were collected and analyzed for the presence of meat
juice by an immunoassay. Overall, meat juice was detected on 61% of poultry
package surfaces, 34% of shoppers' hands, 41% of grocery bags, 60% of kitchen
surfaces, and 51% of food item surfaces. When meat juice was detected on the
purchased poultry packages, the chance of the meat juice being on the shopper's
hands, grocery bags, food items, and kitchen surfaces was significantly higher (
P < 0.005) compared with packages on which meat juice was not present. Shoppers
who had poultry wrapped separately during checkout had a significantly lower ( P
< 0.05) chance of meat juice on the food items. However, using plastic bags and
wrapping poultry separately did not significantly reduce the likelihood of meat
juice on kitchen surfaces at home due to consumers' practices of repackaging
before storage. Results suggested that the transfer of meat juice through direct
contact with the poultry packages is a major concern during shopping and should
be prevented.
PMID- 29648932
TI - Response Surface Methodology for Salmonella Inactivation during Extrusion
Processing of Oat Flour.
AB - An increase in the number of foodborne outbreaks and recalls due to Salmonella in
low-moisture foods has resulted in the need for the development and validation of
process controls to ensure their microbiological safety. Furthermore, the Food
Safety Modernization Act Preventive Controls for Human Food final rule requires
food processors to validate their process controls to ensure food safety. The
objective of this study was to develop a response surface model to predict
Salmonella inactivation in oat flour, as affected by moisture, fat content, screw
speed, and temperature. Oat flour was adjusted to different moisture (14 to 26%
wet basis) and fat (5 to 15% [w/w]) contents and was then inoculated with a five
strain cocktail of Salmonella. Inoculated material was extruded through a single
screw extruder running at different screw speeds (75 to 225 rpm) and temperatures
(65 to 85 degrees C), without a die. Once steady-state conditions were attained,
extruded samples were collected, cooled, and stored under refrigeration, and
Salmonella survivors were enumerated. A split-plot central composite second-order
response surface design was used, with the central point replicated six times.
Temperature showed a significant ( P < 0.0005) positive effect on microbial
reduction. Moisture content showed significant linear ( P = 0.0014) and quadratic
( P = 0.0005) effects, whereas higher fat content showed a significant ( P <
0.0001) protective effect on Salmonella destruction. The screw speed did not play
a major role in inactivating Salmonella, but it had a significant ( P = 0.0004)
interactive effect with temperature. Results indicated that a >5.5-log reduction
was achieved in oat flour extruded at a temperature above 85 degrees C at all
moisture and fat contents evaluated at a screw speed of 150 rpm. The developed
response surface model can be used to identify the extrusion process conditions
to achieve a desired reduction of Salmonella based on the moisture and fat
contents of the product.
PMID- 29648934
TI - Understanding Prediabetes in a Medicare Advantage Population Using Data Adaptive
Techniques.
AB - The objective was to identify individuals with undiagnosed prediabetes from
administrative data using adaptive techniques. The data source was a national
Medicare Advantage Prescription Drug (MAPD) plan administrative data set. A
retrospective, cross-sectional study developed and evaluated data adaptive
logistic regression, decision tree, neural network, and ensemble predictive
models for metabolic syndrome and prediabetes using 3 mutually exclusive cohorts
(N = 279,903). The misclassification rate (MCR), average squared error (ASE), c
statistics, sensitivity (SN), and false positive (FP) rates were compared to
select the final predictive models. MAPD individuals with continuous enrollment
from 2013 to 2014 were included. Metabolic syndrome and prediabetes were defined
using clinical guidelines, diagnosis, and laboratory data. A total of 512
variables identified through subject matter expertise in addition to utilizing
all data available were evaluated for the modeling. The ensemble model
demonstrated better discrimination (c-statistics, MCR, and ASE of 0.83, 0.24, and
0.16, respectively), high SN, and low FP rate in predicting metabolic syndrome
than the individual data adaptive modeling techniques. Logistic regression
demonstrated better discrimination (c-statistics, MCR, and ASE of 0.67, 0.13, and
0.11 respectively), high SN, and low FP rate in predicting prediabetes than the
other adaptive modeling techniques or ensemble methods. The scored data predicted
prediabetes in 44% of the MAPD population, which is comparable to 2005-2006
National Health and Nutrition Examination Survey prediabetes rates of 41%. The
logistic regression model demonstrated good performance in predicting undiagnosed
prediabetes in MAPD individuals.
PMID- 29648933
TI - Influence of Body Position on Shoulder and Trunk Muscle Activation During
Resisted Isometric Shoulder External Rotation.
AB - BACKGROUND: External rotation (ER) strengthening of the shoulder is an integral
component of rehabilitative and preventative programs for overhead athletes. A
variety of shoulder ER strengthening exercises are reported, including those
intended to integrate the core musculature. The purpose of this study was to
examine ER torque and electromyographic (EMG) activation of shoulder and trunk
muscles while performing resisted isometric shoulder ER in 3 positions (standing,
side lying, and side plank). HYPOTHESIS: Significantly greater force and shoulder
muscle activation would be generated while side lying given the inherent
stability of the position, and greater trunk muscle activation would be generated
in the less stable plank position. STUDY DESIGN: Quasi-experimental repeated
measures study. LEVEL OF EVIDENCE: Level 5. METHODS: A convenience sample of 25
healthy overhead recreational athletes (9 men, 16 women) participated in this
study. EMG electrodes were placed on the infraspinatus, posterior deltoid, middle
trapezius, multifidi, internal obliques, and external obliques. EMG signals were
normalized to a maximal isometric contraction. Participants performed resisted
isometric ER in standing, side-lying, and side plank positions. Results were
analyzed using a repeated-measures analysis of variance with post hoc Bonferroni
corrections (alpha = 0.05). RESULTS: There was no significant difference in ER
torque between positions (alpha = 0.05). A significant difference in EMG activity
of shoulder and trunk musculature between positions was found in 7 of the 8
muscles monitored. Significantly greater EMG activity in the infraspinatus,
middle trapezius, and the nondominant external and internal obliques was found in
the side plank position as compared with standing and side lying. CONCLUSION:
While there was no difference in ER torque between the 3 exercise positions, EMG
activity of the shoulder and trunk muscles was dependent on body position.
CLINICAL RELEVANCE: If a clinician is seeking to integrate trunk muscle
activation while performing shoulder ER strengthening, the side plank position is
preferred as compared with standing or side lying.
PMID- 29648935
TI - Episiotomy and perineal tear risk factors in a group of 4493 women.
AB - Episiotomy belongs to the most frequent procedures carried out during delivery.
Performing episiotomy should be reduced as there is scientific evidence
indicating that it can cause pain, sexual problems, and serious, long-term health
consequences. The aim of the researchers is to identify factors associated with
episiotomy and the perineal tear. Analyses were performed using a model of a
logistic regression. The study involved 4493 women. The episiotomy risk was
related to: a birth weight exceeding 3500 grams, an instrumental delivery by
means of forceps or vacuum extraction. The decrease of episiotomy rate increased
the rate of perineal tear of first and second degree. The study has shown that
episiotomy protects women from third- and fourth-degree perineal tear.
PMID- 29648936
TI - The Importance of Adolescents' Participation in Organized Sport According to
VO2peak: A Longitudinal Study.
AB - : Research from several countries has documented a decline in physical activity
(PA) levels and in participation in organized sport with increasing age,
indicating that organized sport may be of importance to adolescents'
cardiorespiratory fitness (CRF). PURPOSE: The purpose of this study was to
examine how regular participation in organized and unorganized PA affected the
development of adolescents' CRF (peak oxygen consumption [VO2peak]), when
controlled for sex interaction. METHOD: Data on direct measures of VO2peak and
participation in organized PA among adolescents organized into 3 groups
(participation in organized sport, participation in unorganized PA, and no weekly
PA) were collected from 76 students (39 boys and 37 girls), when they were aged
14 and 19 years old. RESULTS: Statistically significant differences were found
between VO2peak values in the 3 groups at both 14 years of age, F(2, 73) = 7.16,
p < .05, n2 = .170, and 19 years of age, F(2, 73) = 14.00, p < .05, n2 = .300,
independent of sex at both 14 and 19 years of age, F(2, 73) = 0.05, p > .05, n2 =
.02, and F(2, 73) = 0.05, p > .05, n2 = .00. Adolescents participating in
organized sport also had statistically significantly higher VO2peak values than
adolescents participating in unorganized PA and those with no weekly PA, at both
14 and 19 years of age. CONCLUSION: From a health perspective, in terms of CRF,
the findings highlight the importance of encouraging adolescents to participate
in organized sport and to refrain from dropping out of organized sport programs.
PMID- 29648937
TI - Endothelial Wound Repair of the Organ-Cultured Porcine Corneas.
AB - PURPOSE: To assess whether injured porcine endothelium of small and large
corneoscleral disc differ in its reparative/regenerative capacity under various
conditions of organ culture storage. MATERIAL AND METHODS: 166 paired porcine
corneas were trephined to obtain tissues with diameter 12.0 mm and 17.5 mm (with
area neighboring endothelial periphery). In tested discs, central endothelium was
mechanically wounded. Density of live endothelial cells (LECD), percentage of
dead cells (%DC), coefficient of variation and cell hexagonality were assessed in
central and paracentral endothelium following 5- or 9-day incubation in medium
with 2% or 10% fetal bovine serum. The parameters were assessed also in fresh and
intact cultured discs. Dead endothelial cells (EC) were visualized by trypan
blue, cell borders by Alizarin Red S dye. Endothelial imprints were immunoassayed
for the proliferation marker Ki-67 and the nucleolar marker fibrillarin. RESULTS:
In fresh corneas, the LECD/mm2 (mean +/- standard deviation) were 3998.0 +/-
215.4 (central area) and 3888.2 +/- 363.1 (paracentral area). Only the length of
storage had significant effect on wound repair. Lesion was repaired partially
after 5-day and fully after 9-day cultivation. After 9-day storage in medium with
10% serum, the mean LECD detected in small discs were 2409.4 +/- 881.8 (central
area) and 3949.5 +/- 275.5 (paracentral area) and in large discs the mean LECD
were 2555.0 +/- 347.0 (central area) and 4007.5 +/- 261.2 (paracentral area). Ki
67 showed cell proliferation associated with healing of EC of both large and
small corneas. CONCLUSIONS: The lesions were completely repaired within 9 days of
storage. Presence of the area, where stem cells appear to be located, contributes
to stimulation of endothelial reparation less than serum concentration and time
of culture. Both cell migration and proliferation contribute to the wound repair.
PMID- 29648938
TI - Novel assessment tool for coronary artery disease severity during screening
mammography.
AB - Breast arterial calcifications (BACs) are common findings on mammography which
are associated with an increased risk of the coronary artery disease (CAD). Our
aim in the current study was to design measurement instruments of CAD prediction,
with or without BACs, and its discriminatory validity in the diagnosis of CAD
(expressed by Syntax score) in women. This was observational, prospective study
in the women cohort which underwent mammography and angiography. In this study,
we have demonstrated that the total 'Breast Arterial Calcification and Coronary
Artery Disease Scale' was good additional diagnostic tool for detection of
patients with severe CAD.
PMID- 29648939
TI - Reversal of Schistosome Resistance In Biomphalaria glabrata By Heat Shock May Be
Dependent On Snail Genotype.
AB - Several genes have recently been shown to affect the innate resistance of
laboratory strains of Biomphalaria glabrata to infection with Schistosoma
mansoni, including Hsp90, the expression of which following a brief exposure to
elevated temperature can interfere with resistance in juvenile BS-90 snails.
Because a prior study failed to see a similar effect in adult snails, juvenile BS
90 snails were exposed to 10 or 50 miracidia following a 4.5 to 6 hr incubation
at 33 or 37 C. Snails were then monitored for production of secondary sporocysts
or release of cercariae. In addition, snails exposed to 33 C were examined
histologically between 1 and 15 days post exposure (DPE) to 30 miracidia to
assess the fate of primary sporocysts. Other than elevated numbers of viable
primary sporocysts in the tissues of heat shocked snails at 3 DPE, no
statistically significant effect of elevated temperature was observed. This
discrepancy with regard to prior studies is hypothesized to result from genetic
divergence in different laboratory colonies of the same strain of snail.
PMID- 29648940
TI - Transfer of primary aromatic amines from coloured paper napkins into four
different food matrices and into cold water extracts.
AB - The aim of this study was to compare the transfer of primary aromatic amines
(PAAs) from napkins into cold water extract (CWE) with transfer into four
different food matrices. An HPLC-MS/MS multi-analyte method for quantification of
26 PAAs in CWE was validated and applied. In addition, the method was validated
for seven different PAAs in four different food matrices (cucumber, rice, pickled
gherkin and butter cookie) representing wet, dry, acidic and fatty food. The CWEs
of 12 coloured napkin samples were analysed, and 3 napkins released more than
0.01 mg kg-1 PAAs into the CWE. These three napkins were chosen for transfer
testing with food samples. In total, seven different PAAs were quantified in the
food samples. Results show that the transfer of the tested PAAs into the CWE is
in most cases comparable to the transfer into the tested food samples. In some
cases, the CWE overestimates transfer into food, except for the transfer of
aniline into pickled gherkin, where the CWE underestimates transfer. Therefore,
the CWE serves as an adequate and certainly not overestimating simulation of
reality for the tested transfer of PAAs into the food samples.
PMID- 29648941
TI - Development of an immunochromatographic assay for the beta-adrenergic agonist
feed additive zilpaterol.
AB - Zilpaterol is a beta-adrenergic agonist feed additive approved in the United
States to increase weight gain and improve feed efficiency of cattle. A
zilpaterol immunochromatographic assay was developed as an economical and user
friendly rapid detection method for zilpaterol and validated using urine and
tissue samples derived from animal studies. The assay sensitivity was 1.7-23.2 ng
g-1 or mL-1 across a variety of feed and animal matrices and did not cross-react
with clenbuterol or ractopamine. No sample pre-treatment of cattle and sheep
urine was needed, but horse urine and feed required dilution; skeletal muscle
required solvent extraction prior to testing. Of 32 incurred sheep urine samples
tested, zilpaterol content was correctly identified in all but 2 samples. Horse
urine containing >10 ng mL-1 of incurred zilpaterol residue (n = 48) was
correctly identified as zilpaterol positive. The assay correctly identified 0-day
withdrawal sheep muscle samples as zilpaterol positive and the control and longer
withdrawal day sheep muscle samples as negative. Zilpaterol was demonstrated to
be stable in horse urine when stored at -20 degrees C for 7 years.
PMID- 29648942
TI - A phenomenology of informal caregiving for HIV/AIDS in India: Exploring women's
search for authoritative knowledge, self-efficacy and resilience.
AB - Women provide informal caregiving across the world and are often expected to
provide care even when ailing themselves. We explore the lived experience of 33
impoverished married Indian women living with HIV and caring for their husbands
and/or children living with HIV. Drawing on concepts of authoritative knowledge
(AK), self-efficacy and resilience, we found a trajectory that reveals barriers
to accessing care, yet we also found that women developed strengths and
resiliency. Women gather information, develop "environ-info," and deliberatively
weigh AK from health workers, family/friends' advice, and their own experiences.
Over multiple iterations of this process, they build self-reliance and
resilience.
PMID- 29648943
TI - Who SKIPS? Using Temperament to Explain Differential Outcomes of a Motor
Competence Intervention for Preschoolers.
AB - PURPOSE: Although motor skill interventions often improve fundamental motor
skills (FMS) during preschool, the extent of individual children's success in
development of FMS still varies among children receiving the same intervention.
Temperament is multifaceted and includes negative affect (high levels of
frustration or anger), effortful control (focus, self-regulation, and
concentration), and surgency (energy and activity level). Temperament often
influences cognitive, social, and behavioral outcomes and may be a significant
factor in the development of FMS. The purpose of this study was to examine the
influence of temperament on children's improvement in FMS within a gross motor
intervention. METHOD: Participants (N = 80; Mage = 55.36 months, SD = 6.99
months) completed the Test of Gross Motor Development-Second Edition prior to and
after intervention. Teachers completed the Child Behavior Questionnaire-Very
Short Form to examine each child's temperament. To account for possibility of a
Type 1 error, we conducted 6 separate 2 (temperament variable: high, low) * 2
(treatment: intervention, control) analyses of covariance and examined posttest
scores for locomotor and object-control skills with pretest scores as covariates
among participants with high and low surgency, negative affect, and effortful
control. RESULTS: Results revealed children with low levels of negative affect
and surgency and high levels of effortful control demonstrated greater gains
(etap2 = .05-.34) in both locomotor and object-control skills during motor skill
intervention compared with their peers. CONCLUSION: Providing interventions
tailored to temperamental profiles could maximize gains in FMS through
intervention.
PMID- 29648945
TI - The Asian Citrus Psyllid Host Murraya koenigii Is Immune to Citrus Huanglongbing
Pathogen 'Candidatus Liberibacter asiaticus'.
AB - The Asian citrus psyllid (ACP) Diaphorina citri, vector of 'Candidatus
Liberibacter asiaticus' (CLas), the putative causal agent of citrus Huanglongbing
(HLB), is controlled by application of insecticides, which, although effective,
has resulted in serious biological imbalances. New management tools are needed,
and the technique known as "trap crop" has been attracting attention. A potential
plant for use as a trap crop in the management of the ACP is Murraya koenigii
(curry leaf). However, for this plant to be used in the field, it needs to be
attractive for the vector and must not harbor CLas. To verify the potential of
curry leaf as trap crop for the management of HLB, we investigated the ability of
D. citri to transmit CLas to M. koenigii, and to other test plants, including M.
paniculata (orange jasmine) and cultivar Valencia sweet-orange seedlings. For the
tests, the insects were reared on a symptomatic CLas-infected plant and allowed
to feed on the three test plant species. The overall maximum transmission rate
for the citrus seedlings was 83.3%, and for orange jasmine was 33.3%. Successful
transmission of CLas by ACP to the curry-leaf seedlings was not observed, and it
was treated as immune to CLas. Supported by the previous results that M. koenigii
is attractive for ACP, these results indicate that curry leaf is an excellent
candidate for use as a trap crop, to improve the management of the insect vector
and consequently of HLB.
PMID- 29648944
TI - Parents' concerns regarding the growth characteristics of their adolescents: a
qualitative inquiry in Iran.
AB - In recent times, parents have become increasingly concerned, both subjectively
and objectively, about their adolescents' body height/weight growth. Parent
adolescent interactions about this issue and the potential socio-psychological
consequences of such interactions should be considered as an important
influencing factor on the future of adolescents' sexual and reproductive health.
To achieve a greater understanding of such concerns, it is necessary to further
elucidate parents' experiences on this topic, so as to expand the existing
literature. This study aimed to explain the perceptions of parents' concerns
regarding their adolescents' growth characteristics in the socio-cultural context
of Iran as a transitional society. This paper is part of a larger qualitative
study designed using the Constructivist Grounded Theory Methodology (CGTM). We
conducted open-ended intensive interviews with eleven parents individually and
recruited them through purposeful and theoretical sampling from a teaching
hospital, community, and a primary school in Tehran with theoretical sampling
variation in terms of teenagers' age, sex, and birth order, place of residence,
parents' occupation and education, and the self-reported socio-economic status.
Using the analytical procedures of the CGTM, we performed analyses. In the
findings, the concept of 'living with constant sense of uncertainty' emerged from
the subcategories including 'feeling existing and potential concern about
expected minimum and maximum bio-positions of growth,' 'feeling potential concern
about biological health consequences,' 'feeling potential concern about the
emergence of early/late maturity signs,' 'feeling potential concern about
adolescent's emotional threat,' 'feeling concerned about future employment,
education, marriage, and fertility,' and 'feeling potential concern about the
society's view'. These findings suggest that parents are living with a constant
sense of uncertainty about their teens' growth characteristics throughout the
transition from adolescence. All stakeholders including parents, health-care
practitioners and policymakers, and anthropologists/sociologists should be focus
on such concerns, in order to manage them and their possible socio-psychological
burdens.
PMID- 29648946
TI - Changing Host Photosynthetic, Carbohydrate, and Energy Metabolisms Play Important
Roles in Phytoplasma Infection.
AB - Phytoplasmas parasitize plant phloem tissue and cause many economically important
plant diseases. Jujube witches'-broom disease is a destructive phytoplasma
disease of Chinese jujube (Ziziphus jujuba). To elucidate the influence of
phytoplasma on host photosynthetic, carbohydrate and energy metabolisms, four
types of jujube tissues showing disease symptoms with different severity were
investigated at the structural, physiological, and molecular levels. Quantitative
real-time PCR and high-performance liquid chromatography results showed that the
down-regulation of genes related to photosynthesis and the lower contents of
chlorophyll in diseased leaves. This clearly inhibited the light-harvesting and
photosystem II activity of photosynthesis; however, overexpression of genes
related to starch, sucrose and glucose synthesis led to higher contents of these
carbohydrates. Meanwhile, transmission electron microscopy images revealed that
dense amounts of phytoplasmas accumulated in the sieve elements of diseased
petiole phloem, and the structure of the grana and stroma lamellae of
chloroplasts in the diseased leaves was destroyed. Phytoplasma infection
inhibited photosynthesis and led to abnormal carbohydrate accumulation in the
diseased leaves. Furthermore, comparative metabolite analysis indicated that
phytoplasma infection also stimulated amino acids and energy metabolisms of the
diseased leaves. Continually inhibiting the photosynthetic process and
stimulating carbohydrate and energy metabolisms of diseased trees may exhaust
their nutrients. Our results highlight the importance of changing host
metabolisms during the pathogenic process.
PMID- 29648947
TI - Pyramiding for Resistance Durability: Theory and Practice.
AB - Durable disease resistance is a key component of global food security, and
combining resistance genes into "pyramids" is an important way to increase
durability of resistance. The mechanisms by which pyramids impart durability are
not well known. The traditional view of resistance pyramids considers the use of
major resistance gene (R-gene) combinations deployed against pathogens that are
primarily asexual. Interestingly, published examples of the successful use of
pyramids in the traditional sense are rare. In contrast, most published
descriptions of durable pyramids in practice are for cereal rusts, and tend to
indicate an association between durability and cultivars combining major R-genes
with incompletely expressed, adult plant resistance genes. Pyramids have been
investigated experimentally for a diversity of pathogens, and many reduce disease
levels below that of the single best gene. Resistance gene combinations have been
identified through phenotypic reactions, molecular markers, and challenge against
effector genes. As resistance genes do not express equally in all genetic
backgrounds, however, a combination of genetic information and phenotypic
analyses provide the ideal scenario for testing of putative pyramids. Not all
resistance genes contribute equally to pyramids, and approaches have been
suggested to identify the best genes and combinations of genes for inclusion.
Combining multiple resistance genes into a single plant genotype quickly is a
challenge that is being addressed through alternative breeding approaches, as
well as through genomics tools such as resistance gene cassettes and gene
editing. Experimental and modeling tests of pyramid durability are in their
infancy, but have promise to help direct future studies of pyramids. Several
areas for further work on resistance gene pyramids are suggested.
PMID- 29648948
TI - Recessive Resistance to Bean common mosaic virus Conferred by the bc-1 and bc-2
Genes in Common Bean (Phaseolus vulgaris) Affects Long-Distance Movement of the
Virus.
AB - Recessive resistance to Bean common mosaic virus (BCMV) in common bean (Phaseolus
vulgaris) is governed by four genes that include one strain-nonspecific helper
gene bc-u, and three strain-specific genes bc-1, bc-2, and bc-3. The bc-3 gene
was identified as an eIF4E translation initiation factor gene mediating
resistance through disruption of the interaction between this protein and the VPg
protein of the virus. The mode of action of bc-1 and bc-2 in expression of BCMV
resistance is unknown, although bc-1 gene was found to affect systemic spread of
a related potyvirus, Bean common mosaic necrosis virus. To investigate the
possible role of both bc-1 and bc-2 genes in replication, cell-to-cell, and long
distance movement of BCMV in P. vulgaris, we tested virus spread of eight BCMV
isolates representing pathogroups I, IV, VI, VII, and VIII in a set of bean
differentials expressing different combinations of six resistance alleles
including bc-u, bc-1, bc-12, bc-2, bc-22, and bc-3. All studied BCMV isolates
were able to replicate and spread in inoculated leaves of bean cultivars
harboring bc-u, bc-1, bc-12, bc-2, and bc-22 alleles and their combinations,
while no BCMV replication was found in inoculated leaves of cultivar IVT7214
carrying the bc-u, bc-2, and bc-3 genes, except for isolate 1755a, which was
capable of overcoming the resistance conferred by bc-2 and bc-3. In contrast, the
systemic spread of all BCMV isolates from pathogroups I, IV, VI, VII, and VIII
was impaired in common bean cultivars carrying bc-1, bc-12, bc-2, and bc-22
alleles. The data suggest that bc-1 and bc-2 recessive resistance genes have no
effect on the replication and cell-to-cell movement of BCMV, but affect systemic
spread of BCMV in common bean. The BCMV resistance conferred by bc-1 and bc-2 and
affecting systemic spread was found only partially effective when these two genes
were expressed singly. The efficiency of the restriction of the systemic spread
of the virus was greatly enhanced when the alleles of bc-1 and bc-2 genes were
combined together.
PMID- 29648950
TI - Growing the Soft Robotics Community Through Knowledge-Sharing Initiatives.
PMID- 29648949
TI - Induced Systemic Resistance Against Citrus Canker Disease by Rhizobacteria.
AB - Citrus canker, caused by Xanthomonas citri subsp. citri, is an important citrus
disease that causes significant economic losses worldwide. All commercial citrus
varieties are susceptible to citrus canker. Currently, chemical control with
copper based products is the main approach to control X. citri subsp. citri
dispersal and plant colonization. However, extensive use of copper compounds can
result in copper-resistant strains and cause adverse effects on the environment.
Alternatives to chemical control involve the activation of citrus immunity to
control the disease. Here, we investigated the ability of multiple rhizobacteria
to induce a systemic defense response in cultivar Duncan grapefruit. Burkholderia
territorii strain A63, Burkholderia metallica strain A53, and Pseudomonas
geniculata strain 95 were found to effectively activate plant defense and
significantly reduce symptom development in leaves challenged with X. citri
subsp. citri. In the priming phase, root application of P. geniculata induced the
expression of salicylic acid (SA)-signaling pathway marker genes (PR1, PR2, PR5,
and salicylic acid carboxyl methyltransferase [SAM-SACM]). Gene expression
analyses after X. citri subsp. citri challenge showed that root inoculation with
P. geniculata strain 95 increased the relative levels of phenylalanine ammonia
lyase 1 and SAM-SACM, two genes involved in the phenylpropanoid pathway as well
as the biosynthesis of SA and methyl salicylate (MeSA), respectively. However,
hormone analyses by UPLC-MS/MS showed no significant difference between SA in P.
geniculata-treated plants and control plants at 8 days post-beneficial bacteria
root inoculation. Moreover, P. geniculata root-treated plants contained higher
reactive oxygen species levels in aerial tissues than control plants 8 days post
treatment application. This study demonstrates that rhizobacteria can modulate
citrus immunity resulting in a systemic defense response against X. citri subsp.
citri under greenhouse conditions.
PMID- 29648951
TI - Design of a Lightweight Soft Robotic Arm Using Pneumatic Artificial Muscles and
Inflatable Sleeves.
AB - As robots begin to interact with humans and operate in human environments, safety
becomes a major concern. Conventional robots, although reliable and consistent,
can cause injury to anyone within its range of motion. Soft robotics, wherein
systems are made to be soft and mechanically compliant, are thus a promising
alternative due to their lightweight nature and ability to cushion impacts, but
current designs often sacrifice accuracy and usefulness for safety. We,
therefore, have developed a bioinspired robotic arm combining elements of rigid
and soft robotics such that it exhibits the positive qualities of both, namely
compliance and accuracy, while maintaining a low weight. This article describes
the design of a robotic arm-wrist-hand system with seven degrees of freedom
(DOFs). The shoulder and elbow each has two DOFs for two perpendicular rotational
motions on each joint, and the hand has two DOFs for wrist rotations and one DOF
for a grasp motion. The arm is pneumatically powered using custom-built McKibben
type pneumatic artificial muscles, which are inflated and deflated using binary
and proportional valves. The wrist and hand motions are actuated through
servomotors. In addition to the actuators, the arm is equipped with a
potentiometer in each joint for detecting joint angle changes. Simulation and
experimental results for closed-loop position control are also presented in the
article.
PMID- 29648952
TI - Reliability and validity evidence of the Assessment of Language Use in Social
Contexts for Adults (ALUSCA).
AB - BACKGROUND: The appropriate use of language in context depends on the speaker's
pragmatic language competencies. A coding system was used to develop a specific
and adult-focused self-administered questionnaire to adults who stutter and
adults who do not stutter, The Assessment of Language Use in Social Contexts for
Adults, with three categories: precursors, basic exchanges, and extended
literal/non-literal discourse. This paper presents the content validity, item
analysis, reliability coefficients and evidences of construct validity of the
instrument. METHODS: Content validity analysis was based on a two-stage process:
first, 11 pragmatic questionnaires were assessed to identify items that probe
each pragmatic competency and to create the first version of the instrument;
second, items were assessed qualitatively by an expert panel composed by adults
who stutter and controls, and quantitatively and qualitatively by an expert panel
composed by clinicians. A pilot study was conducted with five adults who stutter
and five controls to analyse items and calculate reliability. Construct validity
evidences were obtained using the hypothesized relationships method and factor
analysis with 28 adults who stutter and 28 controls. RESULTS: Concerning content
validity, the questionnaires assessed up to 13 pragmatic competencies.
Qualitative and quantitative analysis revealed ambiguities in items construction.
Disagreement between experts was solved through item modification. The pilot
study showed that the instrument presented internal consistency and temporal
stability. Significant differences between adults who stutter and controls and
different response profiles revealed the instrument's underlying construct.
CONCLUSION: The instrument is reliable and presented evidences of construct
validity.
PMID- 29648953
TI - Field Sanitation in U.S. Agriculture: Evidence from NAWS and Future Data Needs.
AB - OBJECTIVES: We studied relationships between demographic and work-related
characteristics and exposure to health-related risk associated with field
sanitation within the population of U.S. farmworkers while critically examining
adequacy of existing data toward understanding patterns. METHODS: We used
statistical and econometric large-sample data methods to analyze correlations
between observable variables and access to field sanitation as measured by
responses to the nationally and regionally representative National Agricultural
Workers Survey (NAWS). RESULTS: Analysis suggests that field sanitation risk is
relatively low on U.S. farms, especially in the most current periods, though
there is regional variation. A number of socioeconomic characteristics are
predictive of remaining gaps in access to basic field sanitation. We found that
men, workers with less education, workers who do not speak English well, and
those from Mexico are systematically more likely to lack access to field
sanitation than are other workers, all else equal. We also found associations
with job-related characteristics. CONCLUSION: We conclude that regulatory
standards do not affect all workers equally and that field sanitation risk for
some workers has continued though the current period. Basic sanitation
definitions provided in available data are limited and may not reflect the true
extent of risk associated with the incomplete nature of field sanitation access.
This motivates the importance of continued study of field sanitation and of
targeted public policies.
PMID- 29648954
TI - What Happens to the Farm? Australian Farmers' Experiences after a Serious Farm
Injury.
AB - OBJECTIVES: To investigate the experiences of farmers on returning to farming
following a serious farm-related injury. METHODS: Patients who had sustained
major trauma on a farm in Victoria, Australia, were identified using the
Victorian State Trauma Registry (VSTR). In depth, semistructured phone interviews
were conducted with 31 participants. Interview data were subjected to thematic
analysis to identify important recurring themes. Interviews continued until data
saturation was achieved. RESULTS: Interviewees included self-employed full-time
farmers, part-time farmers with a supplementary income, and agricultural
employees. Analysis of participant responses connected to returning to farming
after a serious farm-related injury identified five major interconnected themes:
(i) effect on farm work, (ii) farming future, (iii) safety advocacy, (iv) changes
to farming practices, and (v) financial ramifications. CONCLUSION: Farmers who
have sustained a serious farm-related injury are an important resource; their
experiences and perspectives could assist in the development of educational and
transitional support services from recovery back to working at a preinjury level,
while ensuring farming production is sustainable during this period. Furthermore,
farm safety programs can be enhanced by the engagement of farmers, such as
participants in this study as advocates for improved farm safety practices.
PMID- 29648955
TI - Investigation of UK Farmer Go/No-Go Decisions in Response to Tractor-Based Risk
Scenarios.
AB - OBJECTIVES: Tractors are a source of injury and fatality in agriculture. Despite
this farmers continue to engage in risk-taking behaviors, including operating
tractors without appropriate equipment. In order to change behaviors and
attitudes toward safety, it is important to understand how farmers approach
different types of risk relevant to tractor use. The current study used a
qualitative approach to investigate farmer perception of four types of tractor
based risk related to self, equipment, lack of safety features, and environment.
METHOD: A sample of 148 farmers from the United Kingdom and Ireland was recruited
from farming forums to take part in an online scenario-based qualitative study.
Participants were presented with 12 tractor-based scenarios, three from each
category of risk, and asked to indicate if they would proceed to use the tractor
(go) or not (no-go), then report their reasoning. Thematic analysis was used to
identify data patterns. RESULTS: Farmers appeared to assess the consequences of
risk in broader terms than the risk of injury to themselves; they also evaluated
risk in terms of potential costs (e.g., repair bill) and losses (e.g., delay).
Analysis indicated that financial risk was considered with more caution than
personal risk in many cases. Farmers also considered usability, such as the
functionality of tractor seat belts, indicating the importance of ensuring all
safety equipment is fit for purpose. CONCLUSION: The management of agricultural
risk should consider farmer priorities (such as financial costs) in order to
develop effective messaging that focuses on those priorities, with the aim of
reducing risk-taking behaviors.
PMID- 29648956
TI - Chronic Health Risks in Commercial Fishermen: A Cross-Sectional Analysis from a
Small Rural Fishing Village in Alaska.
AB - OBJECTIVES: The purpose of this study is to evaluate chronic health risks before
and during the fishing season in a sample of commercial fishermen, addressing the
NIOSH priority of Total Worker HealthTM. METHODS: Gillnet license holders in
Cordova, Alaska (n = 607) were contacted to participate in a preseason survey
(March 2015) assessing health behaviors. A mid-season survey (July 2015) was also
conducted. Physical exams and additional assessments were performed on a subset
of these fishermen. RESULTS: Sixty-six fishermen participated in the preseason
survey and 38 participated in the mid-season survey. The study population was
overwhelmingly white males with an average age of 49. The average BMI was 27 with
70% of the participants overweight or obese. Nearly 80% of the sample considered
their health good or better. Participants reported longer working hours, less
sleep, and less aerobic exercise during the fishing season (P < .05). FitBitTM
monitoring (n = 8) confirmed less sleep and fewer steps during fishing season. In
one exam (n = 20), 80% of participants showed measured hearing loss at 4 kz
(conversation range), and 70% had one or more upper extremity disorders,
including 40% with rotator cuff tendonitis. CONCLUSIONS: The prevalence of
hearing loss, upper extremity disorders, and sleep apnea risk factors were higher
than in the general population both before and during the fishing season.
Occupational factors including exposure to noise, the upper extremity demands of
gillnetting, and long working hours while fishing exacerbate these chronic health
conditions. Health promotion programs targeted toward these conditions may
present opportunities for improving total worker health.
PMID- 29648957
TI - Asthma among Household Youth on Racial Minority Operated Farms-United States,
2008.
AB - OBJECTIVES: Youth on farms are at risk for injuries and illnesses, including
asthma, which continues to disproportionately affect minority groups. METHODS:
For this study, the 2008 Minority Farm Operator Childhood Agricultural Injury
Survey (M-CAIS) data were used to estimate the prevalence of asthma among youth
aged 0-19 years living on racial minority-operated farms. Youth were considered
to have a lifetime diagnosis of asthma based on an affirmative response to the
question "Has he/she ever been diagnosed as having asthma by a health
professional?" RESULTS: In 2008, of the estimated 37,400 youth (0-19 years) who
lived on racial minority operated farms, 11% had asthma. Asthma prevalence was
highest among males (13%) and youth 10-19 years of age (12%). Asthma prevalence
among youth varied by race, ranging from 13% among household youth living on
Black/African American operated farms to 7% among youth living on Asian/Native
Hawaiian/Pacific Islander operated farms. In 2008, nearly half (46%) of household
youth (0-19 years) worked on the farm. The odds of having asthma were higher
among working youth compared with non-working youth (POR, 1.5; 95% CI: 1.3-1.8).
CONCLUSION: Disparities in asthma among youth living or working on racial
minority-operated farms were observed. These findings may assist agricultural
safety and health researchers, practitioners, and educators in identifying and
designing targeted interventions to reduce asthma burden among youth on racial
minority-operated farms.
PMID- 29648958
TI - Effect of Time Elapsed since Last Pruner Maintenance on Upper-Extremity
Biomechanics during Manual Flower Cutting.
AB - OBJECTIVE: Manual flower cutting imposes large biomechanical demands on workers'
upper extremities. Solutions are required to reduce these demands. This study
investigated the effect of time elapsed since the last pruner maintenance on the
biomechanical demands of the upper extremities during flower-cutting operations.
METHODS: Sixteen experienced workers were recruited. All workers performed flower
cutting 1, 15, and 30 days after standard pruner maintenance, including cleaning,
adjustment, and sharpening. The outcomes included kinematics of the wrist, elbow,
and forearm; muscular activity of the extensor carpi radialis, extensor carpi
ulnaris, flexor carpi radialis, flexor carpi ulnaris, biceps and medium deltoids
of the dominant arm; and self-reported effort. RESULTS: On average across
muscles, a 15-day delay (from 1 to 15 and from 15 to 30 days) in the execution of
pruner maintenance increased over 8% the median recorded muscular activity as
measured by the percentage of the maximum voluntary contraction of the root mean
square signal. An increase in time since last pruner maintenance resulted in an
increase in the 90th percentile pronation-supination posture (larger excursion
toward pronation); the median and the 90th percentile velocity of the wrist and
elbow; and the 90th percentile acceleration in the pronation-supination
direction. There was an increase in perceived effort as measured by a Borg scale
as time since last maintenance increased from 1 to 15 days. CONCLUSION:
Increasing the frequency of pruner maintenance procedures can effectively reduce
workers' mechanical exposures during manual flower-cutting operations. Companies
should implement daily maintenance programs considering their implementation
capacity and expected benefits.
PMID- 29648959
TI - The Feasibility of Telephone-Administered Cognitive Testing in Individuals 1 and
2 Years after Inpatient Rehabilitation for Traumatic Brain Injury.
AB - Traumatic brain injury (TBI) often results in cognitive impairment, and
trajectories of cognitive functioning can vary tremendously over time across
survivors. Traditional approaches to measuring cognitive performance require face
to-face administration of a battery of objective neuropsychological tests, which
can be time- and labor-intensive. There are numerous clinical and research
contexts in which in-person testing is undesirable or unfeasible, including
clinical monitoring of older adults or individuals with disability for whom
travel is challenging, and epidemiological studies of geographically dispersed
participants. A telephone-based method for measuring cognition could conserve
resources and improve efficiency. The objective of this study is to examine the
feasibility and usefulness of the Brief Test of Adult Cognition by Telephone
(BTACT) among individuals who are 1 and 2 years post-moderate-to-severe TBI. A
total of 463 individuals participated in the study at Year 1 post-injury, and 386
participated at Year 2. The sample was mostly male (73%) and white (59%), with an
average age of (mean +/- standard deviation) 47.9 +/- 20.9 years, and 73%
experienced a duration of post-traumatic amnesia (PTA) greater than 7 days. A
majority of participants were able to complete the BTACT subtests (61-69% and 56
64% for Years 1 and 2 respectively); score imputation for those unable to
complete a test due to severity of cognitive impairment yields complete data for
74-79% of the sample. BTACT subtests showed expected changes between Years 1-2,
and summary scores demonstrated expected associations with injury severity,
employment status, and cognitive status as measured by the Functional
Independence Measure. Results indicate it is feasible, efficient, and useful to
measure cognition over the telephone among individuals with moderate-severe TBI.
PMID- 29648960
TI - Running out of time: exploring women's motivations for social egg freezing.
AB - OBJECTIVE: Few qualitative studies have explored women's use of social egg
freezing. Derived from an interview study of 31 participants, this article
explores the motivations of women using this technology. METHODS: Semi-structured
interviews were conducted with 31 users of social egg freezing resident in UK (n
= 23), USA (n = 7) and Norway (n = 1). Interviews were face to face (n = 16),
through Skype and Facetime (n = 9) or by telephone (n = 6). Data were analyzed
using interpretive thematic analysis. RESULTS: Women's use of egg freezing was
shaped by fears of running out of time to form a conventional family,
difficulties in finding a partner and concerns about "panic partnering", together
with a desire to avoid future regrets and blame. For some women, use of egg
freezing was influenced by recent fertility or health diagnoses as well as
critical life events. A fifth of the participants also disclosed an underlying
fertility or health issue as affecting their decision. CONCLUSION: The study
provides new insights in to the complex motivations women have for banking eggs.
It identifies how women's use of egg freezing was an attempt to "preserve
fertility" in the absence of the particular set of "life conditions" they
regarded as crucial for pursuing parenthood. It also demonstrates that few women
were motivated by a desire to enhance their career and that the boundaries
between egg freezing for medical and for social reasons may be more porous than
first anticipated.
PMID- 29648961
TI - Consensual Nonmonogamy in Pregnancy and Parenthood: Experiences of Bisexual and
Plurisexual Women With Different-Gender Partners.
AB - The current study constitutes a qualitative investigation of experiences with and
perceptions of consensual nonmonogamy (CNM) among a sample of 21 bisexual and
plurisexual women with different-gender partners. Participants from
Massachusetts, USA, and Toronto, Canada, were interviewed four times during
pregnancy and the postpartum period. Using an inductive qualitative approach, we
found participants were selective about CNM disclosure, and generally
apprehensive about stigma surrounding CNM involvement. Additionally, results
emphasize the importance of communication and highlight the range of barriers to
and benefits of CNM endorsed by these parents. Directions for future research and
implications for practitioners are discussed.
PMID- 29648962
TI - Communication of Scientific Uncertainty about a Novel Pandemic Health Threat:
Ambiguity Aversion and Its Mechanisms.
AB - Communicating scientific uncertainty about public health threats is ethically
desirable but challenging due to its tendency to promote avoidance of choice
options with unknown probabilities-a phenomenon known as "ambiguity aversion."
This study examined this phenomenon's potential magnitude, its responses to
different communication strategies, and its mechanisms. In a factorial
experiment, 2701 adult laypersons in Spain read one of three versions of a
hypothetical newspaper article describing a pandemic vaccine-preventable disease
(VPD), but varying in scientific uncertainty about VPD risk and vaccine
effectiveness: No-Uncertainty, Uncertainty, and Normalized-Uncertainty
(emphasizing its expected nature). Vaccination intentions were lower for the
Uncertainty and Normalized-Uncertainty groups compared to the No-Uncertainty
group, consistent with ambiguity aversion; Uncertainty and Normalized-Uncertainty
groups did not differ. Ambiguity-averse responses were moderated by health
literacy and mediated by perceptions of vaccine effectiveness, VPD likelihood,
and VPD severity. Communicating scientific uncertainty about public health
threats warrants caution and further research to elucidate its outcomes,
mechanisms, and management.
PMID- 29648963
TI - Organizing seniors to protect the health safety net: the way forward.
AB - Over the past century, the organized voice of seniors has been critical in
building the U.S. health safety net. Since the 2016 election, that safety net,
particularly the Medicaid program, is in jeopardy. As we have seen with the rise
of the Tea Party, senior support for health care programs-even programs that they
use in large numbers-cannot and should not be taken for granted. This article
provides a brief history of senior advocacy and an overview of the current senior
organizing landscape. It also identifies opportunities for building the
transformational organizing of low-income seniors needed to defend against
sustained attacks on critical programs. Several suggestions are made, drawn from
years of work in philanthropy, advocacy, and campaigns, for strengthening the
ability to organize seniors-particularly low-income seniors-into an effective
political force advocating for Medicaid and other safety net programs.
PMID- 29648964
TI - LC-MS/MS-based determination of chloramphenicol, thiamphenicol, florfenicol and
florfenicol amine in poultry meat from the Punjab-Pakistan.
AB - A simple, reliable and sensitive liquid chromatography-tandem mass spectrometry
based confirmatory method was redeveloped and validated for the simultaneous
determination of chloramphenicol, thiamphenicol, florfenicol and florfenicol
amine in chicken muscles. The analytes were extracted from minced chicken muscle
with acetonitrile and ammoniated water mixture. A second extraction with ethyl
acetate was followed by evaporation and dissolution of the residue in ammoniated
methanol before defatting with n-hexane. Finally, the extract was further cleaned
up by dispersive solid phase extraction using C-18 end-capped dispersive
material. The validation protocol was adapted from the European Commission
Decision 2002/657/EC and all the performance characteristics were successfully
satisfied. The recoveries of all the analytes were found to be in the range of
86.4-108.1% and the precision values, within day and between days, ranged from
2.7% to 11% and 4.4% to 16.3%, respectively. The method was tested in various
incurred samples and applied to analyse a wide range of random poultry market
samples (n = 120) collected from three cities of the Punjab, Pakistan.
Chloramphenicol and florfenicol residues were detected at low levels in less than
11% of the samples. Chloramphenicol was detected only in 4 samples with the
concentration range of 0.17-0.477 ug kg-1, whereas the levels of
florfenicol/florfenicol amine residues detected in 9 samples ranged from 8.7 to
32.8 ug kg-1. Moreover, most of the florfenicol residues were identified as
tissue bound, extractable only after strong acid hydrolysis.
PMID- 29648965
TI - Influence of the Perceived Infidelity of Online Sexual Activities (OSAs) on OSA
Experiences Among Chinese Heterosexual Individuals in Committed Relationships.
AB - Previous studies have revealed that many individuals in settled relationships
engage in online sexual activities (OSAs). Considering this, this study examined
the influence the perceived infidelity of OSA has on the OSA experiences of
individuals in such committed relationships. OSAs involve viewing sexually
explicit material, seeking sexual partners, cybersex, and flirting. Taking these
specific activities, we measured perceived infidelity concerning OSAs by asking
our participants (N = 301) to select either "yes" or "no" in regard to whether
they believed each constituted an infidelity. Further, the participants also
mentioned if they had engaged in an OSA within the past 12 months and whether
they considered engaging in OSA in general as an infidelity. Our results
indicated that men are less likely to perceive OSAs as infidelities, and also
that they engage more frequently than women in all subtypes of OSA. More
specifically, individuals who did not perceive OSAs to be infidelities engaged in
more sexual-partner seeking, cybersex, and flirting than their counterparts.
Furthermore, perceived infidelity was found to mediate the relationship between
gender and OSA experiences. The findings indicate that perceived infidelity for
OSA shapes OSA experiences and contributes to gender differences in terms of
opinions on and engagement with OSAs.
PMID- 29648966
TI - Kindergarteners' Narrative Competence Across Tasks and Time.
AB - The authors investigated children's narrative competence by analyzing the
development of the reciprocal relationship between storytelling and story
retelling performances over a school year through a cross-lagged panel design.
The participants in this study were 170 Italian kindergarten pupils (M age = 4.98
+/- 0.31 years). Children were asked to produce oral narratives in two different
tasks: a storytelling and a story retelling task. Narrative competence was
assessed in terms of structure and coherence. The cross-lagged panel analyses
showed that both storytelling and story retelling tasks are stable constructs,
but they differ in the emphasis on coherence. Data confirmed the pivotal role
played by storytelling as a task, and structure as a component in fostering the
development of children's narrative competence. Overall, results from this study
suggest that storytelling and story retelling are tasks that involve interrelated
but not overlapping processes, and trigger different aspects of narrative
competence.
PMID- 29648967
TI - Calcium and Magnesium Contents in Three Hypericum L. Species from Turkey.
AB - Hypericum L. (Hypericaceae), which has 400 species in the world and 96 species in
Turkey, has grown in Europe, Asia, North Africa, and America. Hypericum species
have antidepressant, analgesic, spazmolytic, anti-inflammatory, antiviral, and
wound healing effects. The purpose of this study was to analyze the magnesium
(Mg) and calcium (Ca) contents of three Hypericum species (Hypericum perforatum
L., Hypericum triquetrifolium Turra, and Hypericum empetrifolium Willd.)
collected from the western part of Turkey. Analyses were done by atomic
absorption spectrometer using convenient conditions. Mg and Ca concentrations
were determined in three Hypericum species. Mg levels were determined as 1.053 +/
0.09, 0.792 +/- 0.06, and 0.798 +/- 0.17 mg.g-1 and Ca levels were determined as
0.573 +/- 0.19, 0.433 +/- 0.06, and 0.329 +/- 0.005 mg.g-1 in H. perforatum L.,
H. triquetrifolium Turra, and H. empetrifolium Willd., respectively. The sedative
effect of the drug is compensated with the metal concentration observed from the
analysis, which shows that high Mg levels overlap with the sedative effect of the
drug.
PMID- 29648968
TI - Saccharina japonica Extract Suppresses Stemness of Glioma Stem Cells by Degrading
Epidermal Growth Factor Receptor/Epidermal Growth Factor Receptor Variant III.
AB - Cancer stem cells, a small subpopulation of cells with stem cell-like
characteristics found within most solid tumors, are widely reported to be
responsible for the malignancy of aggressive cancer cells, and targeting these
cells presents a sound therapeutic strategy for reducing the risk of tumor
relapse. In the present study, we examined the effects of an extract of
Saccharina japonica (ESJ) on glioblastoma stem cells (GSCs). Saccharina japonica
is a member of the Phaeophyceae (brown algae) family, which displays biological
activities, including antitumor effects. ESJ inhibited the sphere-forming ability
of GSCs in vitro as evidenced by neurosphere formation and limiting dilution
assays. Treatment with ESJ partially induced apoptosis, reduced cell
invasiveness, and sensitized GSCs to ionizing radiation. In addition, ESJ
inhibited the maintenance of stemness in GSCs by suppressing the expression of
epidermal growth factor receptor (EGFR)/EGFR variant III (EGFRvIII) and Notch
intracellular domain. Intriguingly, the observed ESJ-induced suppression also
appeared to induce the proteasomal degradation of EGFR/EGFRvIII. Our results
indicate that ESJ could be considered a potent therapeutic adjuvant that targets
GSCs.
PMID- 29648969
TI - Lactobacillus curvatus KFP419 and Leuconostoc mesenteroides subsp. mesenteroides
KDK411 Isolated from Kimchi Ameliorate Hypercholesterolemia in Rats.
AB - Western-style diets increase the risk for cardiovascular diseases. It is
suggested that the risk could be prevented by lowering cholesterol concentrations
in blood. In the present study, hypocholesterolemic effects of the probiotics
isolated from kimchi (Lactobacillus curvatus KFP419, Leuconostoc
paramesenteroides KJP421, and Leuconostoc mesenteroide subsp. mesenteroides
KDK411) were investigated in hypercholesterolemia-induced rats. There was no
difference in growth performance between the rats fed high cholesterol diet (HCD)
and normal diet (ND). However, blood total cholesterol, low-density lipoprotein
cholesterol, and hepatic cholesterol were elevated by the HCD compared to ND, and
those concentrations were decreased by dietary supplementation of KFP419 and
KDK411. It was concomitant with an increase in fecal excretion of neutral sterols
(cholesterol, coprostanol, and coprostanone) in the rats fed HCD compared to ND
and was even greater with KDK411 supplementation. These findings indicate that
probiotics L. curvatus KFP419 and L. mesenteroide subsp. mesenteroides KDK411
isolated from kimchi ameliorate hypercholesterolemia in rats by assimilating and
excreting cholesterol in feces.
PMID- 29648970
TI - Posttreatment Effects of Olea Europaea L. Leaf Extract on Carbon Tetrachloride
Induced Liver Injury and Oxidative Stress in Rats.
AB - The aim of this study is to examine the therapeutic effects of Olea europaea L.
leaf extract on carbon tetrachloride (CCl4)-induced liver damage in rats. In the
experiments, 3- to 4-month-old 28 male Sprague-Dawley rats were divided into four
groups: control, O. europaea leaf extract, CCl4, and curative. The CCl4 and
curative groups received CCl4 (0.2 mL/kg) intraperitoneally for 10 days to form
hepatic injury. O. europaea (80 mg/kg) leaf extract was given orally to the
curative group dissolved in distilled water the following 14 days. Hepatic and
antioxidant enzyme levels, p53, caspase 3, lipid peroxidation marker
malondialdehyde (MDA), and also DNA fragmentation levels were determined to
establish oxidative stress in hepatic cell damage and its consequences. After
formation of liver damage, oral administration of the O. europaea significantly
reduced CCl4-induced elevations of serum alkaline phosphatase, aspartate
aminotransferase and alanine aminotransferase levels (P < .001), MDA levels of
both blood (P < .001) and liver tissues (P < .001), DNA fragmentation (P < .001),
p53 (P < .001), and caspase 3 (P < .001) levels of liver tissues. Also this
administration in curative group significantly increased CCl4-induced reductions
of superoxide dismutase (SOD) (P < .001) and catalase (CAT) (P < .001) activity
of blood samples and decreased SOD (P < .001) and CAT (P < .05) activity observed
in liver tissue curative groups compared with CCl4 curative group. In CCl4 group,
liver tissue samples exhibited remarkable damage because of CCl4 and reduction of
these damages were observed in the curative group. Our results showed that O.
europaea leaf extract was effective in reducing hepatic damage caused by CCl4 by
reducing lipid peroxidation, regulating antioxidant enzymes, and minimizing DNA
damage.
PMID- 29648972
TI - Randomized Controlled Trials in Adult Traumatic Brain Injury: A Systematic Review
on the Use and Reporting of Clinical Outcome Assessments.
AB - As part of efforts to improve study design, the use of outcome measures in
randomized controlled trials (RCTs) in traumatic brain injury (TBI) is receiving
increasing attention. This review aimed to assess how clinical outcome
assessments (COAs) have been used and reported in RCTs in adult TBI. Systematic
literature searches were conducted to identify medium to large (n >= 100) acute
and post-acute TBI trials published since 2000. Data were extracted independently
by two reviewers using a set of structured templates. Items from the Consolidated
Standards of Reporting Trials (CONSORT) 2010 Statement and CONSORT patient
reported outcomes (PROs) extension were used to evaluate reporting quality of
COAs. Glasgow Outcome Scale/Extended (GOS/GOSE) data were extracted using a
checklist developed specifically for the review. A total of 126 separate COAs
were identified in 58 studies. The findings demonstrate heterogeneity in the use
of TBI outcomes, limiting comparisons and meta-analyses of RCT findings. The
GOS/GOSE was included in 39 studies, but implemented in a variety of ways, which
may not be equivalent. Multi-dimensional outcomes were used in 30 studies, and
these were relatively more common in rehabilitation settings. The use of PROs was
limited, especially in acute study settings. Quality of reporting was variable,
and key information concerning COAs was often omitted, making it difficult to
know how precisely outcomes were assessed. Consistency across studies would be
increased and future meta-analyses facilitated by (a) using common data elements
(CDEs) recommendations for TBI outcomes and (b) following CONSORT guidelines when
publishing RCTs.
PMID- 29648971
TI - Anti-Inflammatory Effects of a Mixture of Lactic Acid Bacteria and Sodium
Butyrate in Atopic Dermatitis Murine Model.
AB - Atopic dermatitis is a chronic and recurrent inflammatory skin disease. Recently,
probiotics have been shown to suppress allergic symptoms through immunomodulatory
responses. In the present study, combinatorial effects on allergic symptoms were
identified in BALB/c mice fed with a mixture of four species of probiotics,
Bifidobacterium lactis, Lactobacillus casei, Lactobacillus rhamnosus, and
Lactobacillus plantarum, and sodium butyrate. Following sensitization with whey
protein, the mice were challenged and divided into two groups: (1) mice
administered with phosphate-buffered saline as a control and (2) mice
administered with the probiotic mixture and sodium butyrate. Allergic symptoms
were assessed by measuring ear thicknesses, serum histamine and IL-10
concentrations, and the quantities of leaked Evans blue. T cell differentiation
was determined by analyzing the T cells groups in the mesenteric lymph nodes
(MLNs) and spleen. To examine changes in the total gut microbiota, total fecal
microflora was isolated, species identification was performed by DNA sequencing
using Illumina MiSeq, and changes in intestinal beneficial bacteria were analyzed
using quantitative polymerase chain reaction. Treatment with the probiotic
mixture and sodium butyrate reduced ear thicknesses, the quantity of leaked Evans
blue, and serum histamine values, while increasing serum IL-10 values. In the
mouse model, the probiotic mixture and sodium butyrate increased Th1 and Treg
cell differentiation in MLN and spleen tissues; the ratio of
Firmicutes/Bacteroidetes, which is associated with reduction in allergic
reactions; and microorganisms that lead to cell differentiation into Treg. These
results suggest that the probiotic mixture and sodium butyrate can prevent and
alleviate allergic symptoms.
PMID- 29648973
TI - Male and Female Mice Exhibit Divergent Responses of the Cortical Vasculature to
Traumatic Brain Injury.
AB - We previously reported that traumatic brain injuries (TBI) alter the
cerebrovasculature near the injury site in rats, followed by revascularization
over a 2-week period. Here, we tested our hypothesis that male and female adult
mice have differential cerebrovascular responses following a moderate controlled
cortical impact (CCI). Using in vivo magnetic resonance imaging (MRI), a new
technique called vessel painting, and immunohistochemistry, we found no
differences between males and females in lesion volume, neurodegeneration, blood
brain barrier (BBB) alteration, and microglia activation. However, females
exhibited more astrocytic hypertrophy and heme-oxygenase-1 (HO-1) induction at 1
day post-injury (dpi), whereas males presented with increased endothelial
activation and expression of beta-catenin, shown to be involved in angiogenesis.
At 7 dpi, we observed an increase in the number of vessels and an enhancement in
vessel complexity in the injured cortex of males compared with females.
Cerebrovasculature recovers differently after CCI, suggesting biological sex
should be considered when designing new therapeutic agents.
PMID- 29648975
TI - Short-Term Impact of Concussion in the NHL: An Analysis of Player Longevity,
Performance, and Financial Loss.
AB - Many studies have focused on the long-term impact of concussions in professional
sports, but few have investigated short-term effects. This study examines
concussion effects on individual players in the National Hockey League (NHL) by
assessing career length, performance, and salary. Contracts, transactions, injury
reports, and performance statistics from 2008-17 were obtained from the official
NHL online publication. Players who sustained a concussion were compared with the
2008-17 non-concussed player pool. Career length was analyzed using Kaplan-Meier
survival curves and stratification of player age, experience, and longevity.
Player performance and salary changes were evaluated between the years before
versus after concussion. Performance and salary changes were compared against non
concussed NHL athletes before/after their career midpoints. Of the 2194 eligible
NHL players in the 9-year period, 309 sustained 399 concussions resulting in
injury protocol. The probability of playing a full NHL season post-concussion was
significantly decreased compared with the non-concussed pool (p < 0.05),
specifically 65.0% versus 81.2% at 1 year into a player's career, 49.8% versus
67.4% at 2 years, and 14.6% versus 43.7% at 5 years. Performance was reduced at
all non-goalie positions post-concussion (p < 0.05). Players scored 2.5
points/year less following a concussion. The total annualized financial impact
from salary reductions after 1 concussion was $57.0 million, with a decrease of
$292,000 per year in contract value per athlete. This retrospective study
demonstrates that NHL concussions resulting in injury protocol activation lead to
shorter career lengths, earnings reductions, and decreased performance when
compared with non-concussed controls.
PMID- 29648974
TI - Traumatic Brain Injury-Induced Acute Lung Injury: Evidence for Activation and
Inhibition of a Neural-Respiratory-Inflammasome Axis.
AB - Approximately 20-25% of traumatic brain injury (TBI) subjects develop acute lung
injury (ALI), but the pathomechanisms of TBI-induced ALI remain poorly defined.
Our previous work has shown that the inflammasome plays a critical role in TBI
induced secondary pathophysiology and that inflammasome proteins are released in
extracellular vesicles (EV) after TBI. Here we investigated whether EV-mediated
inflammasome signaling contributed to the etiology of TBI-induced ALI. C57/BL6
male mice were subjected to controlled cortical impact (CCI), and the brains and
lungs were examined for inflammasome activation and ALI at 4 and 24 h after TBI.
We show that TBI releases EV containing inflammasome proteins into serum that
target the lung to cause ALI, supporting activation of a neural-respiratory
inflammasome axis. Administration of a low-molecular-weight heparin (enoxaparin,
a blocker of EV uptake) or treatment with a monoclonal antibody against apoptosis
speck-like staining protein containing a caspase recruitment domain (anti-ASC)
after adoptive transfer of EV isolated from TBI-injured mice significantly
inhibited inflammasome activation in the lungs of recipient mice resulting in
improved ALI scores.This axis constitutes an important arm of the innate
inflammatory response in lung pathology after TBI and targeting this axis
represents a novel therapeutic treatment for TBI-induced ALI.
PMID- 29648976
TI - Adherence to Brain Trauma Foundation Guidelines for Management of Traumatic Brain
Injury Patients and Its Effect on Outcomes: Systematic Review.
AB - Traumatic brain injury (TBI) management based on Brain Trauma Foundation (BTF)
guidelines is widely accepted and thought to improve outcome. The objectives of
this study are to provide an overview of adherence to BTF guidelines and to
explore which factors influence adherence. We conducted a search of relevant
electronic bibliographic databases. Twenty articles met inclusion/exclusion
criteria out of 666 articles screened. All were cohort studies. Wide variation in
adherence to BTF guidelines was observed with a median of 66.2% (range 0-100%).
The lowest median adherence was observed with surgical management (14%), whereas
the highest was observed with oxygenation (100%), steroid (97.8%), and blood
pressure recommendation (92.3%). Variability was primarily explained by the
variation in the strength of evidence of each recommendation. Treating patients
with higher severity of injury as well as treatment in a Level I trauma center
positively influenced adherence. Overall, adherence to BTF guidelines varies.
Further research is required to strengthen the current evidence and to identify
factors related to adherence to guidelines from a professional prospective.
PMID- 29648977
TI - Severe Traumatic Brain Injury Patients without Focal Lesion but with Behavioral
Disorders: Shrinkage of Gray Matter Nuclei and Thalamus Revealed in a Pilot Voxel
Based MRI Study.
AB - After a traumatic brain injury (TBI), behavioral disorders can occur without
major focal brain lesion, and in these situations, their pathophysiology remains
unclear. The aim of this study is to examine whether TBI patients with behavioral
disorders but without any focal damage, as observed from an initial clinical CT
scan, present subtle volumetric alterations that could be measured voxel-by-voxel
in the whole brain with MRI. Eight male adults with severe TBI who had behavioral
sequela but not major focal cerebral lesion and 17 age-matched controls underwent
a volumetric T1-weighted 1.5T MRI. A two step analysis was performed. First, gray
matter (GM) and white matter (WM) volumes were compared between groups using
voxel-based morphometry. Second, we examined brain regions systematically damaged
using the sum of the individual binary maps obtained from z-maps thresholded at
1.75 for significant GM and WM atrophy. TBI patients had lower GM volume than
controls (p < 0.001, uncorrected) in the right parahippocampal gyrus; left and
right superior, middle, and inferior temporal gyri; left superior frontal gyrus;
right middle frontal gyrus; thalami; mammillary bodies; caudate nuclei; insulae;
cerebellar cortex; and vermis. WM volume was lower (p < 0.001, uncorrected) in
the TBI group than in controls in the periventricular area and around the basal
nuclei. We found shrinkage in the dorsomedial thalami in each of the TBI
patients, and in the posterior part of the right putamen and caudate nuclei in
seven TBI patients. Shrinkage in the dorsomedial thalami and in the posterior
part of the right putamen and caudate nuclei may be a common effect of the
disseminated microscopic lesions, and be associated with behavioral issues in
severe TBI patients without major focal lesions.
PMID- 29648978
TI - FGF21 Protects the Blood-Brain Barrier by Upregulating PPARgamma via FGFR1/beta
klotho after Traumatic Brain Injury.
AB - Blood-brain barrier (BBB) disruption and dysfunction result in brain edema, which
is responsible for more than half of all deaths after severe traumatic brain
injury (TBI). Fibroblast growth factor 21 (FGF21) has a potential neuroprotective
function in the brain. However, the effects and underlying possible mechanism of
action on BBB integrity following TBI remain unknown. The purpose of the current
study was to determine the effects of FGF21 on BBB protection and TBI treatment.
The effects of recombinant human FGF21 (rhFGF21) on BBB integrity and on tight
junction (TJ) and adhesion junction (AJ) proteins were investigated both in a TBI
mouse model and an in vitro BBB disruption model established with tumor necrosis
factor alpha (TNF-alpha)-induced human brain microvascular endothelial cells
(HBMECs). The ability of rhFGF21 to form an FGF21/FGFR1/beta-klotho complex was
confirmed by in vitro beta-klotho small interfering RNA (siRNA) transfection and
FGFR1 co-immunoprecipitation. In addition, the specific FGFR1 and peroxisome
proliferator-activated receptor gamma (PPARgamma) inhibitors PD173074 and GW9662,
respectively, were applied to further explore the possible mechanism of rhFGF21
in BBB maintenance after TBI. rhFGF21 markedly reduced neurofunctional behavior
deficits and cerebral edema degree, preserved BBB integrity, and recued brain
tissue loss and neuron apoptosis in the mouse model after TBI. Both in vivo and
in vitro, rhFGF21 upregulated TJ and AJ proteins, thereby preserving the BBB.
Moreover, rhFGF21 activated PPARgamma in TNF-alpha-induced HBMECs through
formation of an FGF21/FGFR1/beta-klotho complex. rhFGF21 protected the BBB
through FGF21/FGFR1/beta-klotho complex formation and PPARgamma activation, which
upregulated TJ and AJ proteins.
PMID- 29648980
TI - Cerebrospinal Fluid Endothelin-1 in Severe Traumatic Brain Injury.
PMID- 29648979
TI - Long-Term Functional and Structural Consequences of Primary Blast Overpressure to
the Eye.
AB - Acoustic blast overpressure (ABO) injury in military personnel and civilians is
often accompanied by delayed visual deficits. However, most animal model studies
dealing with blast-induced visual defects have focused on short-term (<=1 month)
changes. Here, we evaluated long-term (<=8 months) retinal structure and function
deficits in rats with ABO injury. Adult male Long-Evans rats were subjected to
ABO from a single blast (approximately 190 dB SPL, ~63 kPa, @80 psi), generated
by a shock tube device. Retinal function (electroretinography; ERG), visual
function (optomotor response), retinal thickness (spectral domain-optical
coherence tomography; SD-OCT), and spatial cognition/exploratory motor behavior
(Y-maze) were measured at 2, 4, 6, and 8 months post-blast. Immunohistochemical
analysis of glial fibrillary acidic protein (GFAP) in retinal sections was
performed at 8 months post-blast. Electroretinogram a- and b-waves, oscillatory
potentials, and flicker responses showed greater amplitudes with delayed implicit
times in both eyes of blast-exposed animals, relative to controls. Contrast
sensitivity (CS) was reduced in both eyes of blast-exposed animals, whereas
spatial frequency (SF) was decreased only in ipsilateral eyes, relative to
controls. Total retinal thickness was greater in both eyes of blast-exposed
animals, relative to controls, due to increased thickness of several retinal
layers. Age, but not blast exposure, altered Y-maze outcomes. GFAP was greatly
increased in blast-exposed retinas. ABO exposure resulted in visual and retinal
changes that persisted up to 8 months post-blast, mimicking some of the visual
deficits observed in human blast-exposed patients, thereby making this a useful
model to study mechanisms of injury and potential treatments.
PMID- 29648981
TI - Glibenclamide Produces Region-Dependent Effects on Cerebral Edema in a Combined
Injury Model of Traumatic Brain Injury and Hemorrhagic Shock in Mice.
AB - Cerebral edema is critical to morbidity/mortality in traumatic brain injury (TBI)
and is worsened by hypotension. Glibenclamide may reduce cerebral edema by
inhibiting sulfonylurea receptor-1 (Sur1); its effect on diffuse cerebral edema
exacerbated by hypotension/resuscitation is unknown. We aimed to determine if
glibenclamide improves pericontusional and/or diffuse edema in controlled
cortical impact (CCI) (5m/sec, 1 mm depth) plus hemorrhagic shock (HS) (35 min),
and compare its effects in CCI alone. C57BL/6 mice were divided into five groups
(n = 10/group): naive, CCI+vehicle, CCI+glibenclamide, CCI+HS+vehicle, and
CCI+HS+glibenclamide. Intravenous glibenclamide (10 min post-injury) was followed
by a subcutaneous infusion for 24 h. Brain edema in injured and contralateral
hemispheres was subsequently quantified (wet-dry weight). This protocol brain
water (BW) = 80.4% vehicle vs. 78.3% naive, p < 0.01) but was not reduced by
glibenclamide (I%BW = 80.4%). Ipsilateral edema also developed in CCI alone (I%BW
= 80.2% vehicle vs. 78.3% naive, p < 0.01); again unaffected by glibenclamide
(I%BW = 80.5%). Contralateral (C) %BW in CCI+HS was increased in vehicle (78.6%)
versus naive (78.3%, p = 0.02) but unchanged in CCI (78.3%). At 24 h,
glibenclamide treatment in CCI+HS eliminated contralateral cerebral edema (C%BW =
78.3%) with no difference versus naive. By 72 h, contralateral cerebral edema had
resolved (C%BW = 78.5 +/- 0.09% vehicle vs. 78.3 +/- 0.05% naive). Glibenclamide
decreased 24 h contralateral cerebral edema in CCI+HS. This beneficial effect
merits additional exploration in the important setting of TBI with polytrauma,
shock, and resuscitation. Contralateral edema did not develop in CCI alone.
Surprisingly, 24 h of glibenclamide treatment failed to decrease ipsilateral
edema in either model. Interspecies dosing differences versus prior studies may
play an important role in these findings. Mechanisms underlying brain edema may
differ regionally, with pericontusional/osmolar swelling refractory to
glibenclamide but diffuse edema (via Sur1) from combined injury and/or
resuscitation responsive to this therapy. TBI phenotype may mandate precision
medicine approaches to treat brain edema.
PMID- 29648983
TI - Enhancement of Brain d-Serine Mediates Recovery of Cognitive Function after
Traumatic Brain Injury.
AB - Cognitive deficits, especially memory loss, are common and devastating
neuropsychiatric sequelae of traumatic brain injury (TBI). The deficits may
persist for years and may be accompanied by increased risk of developing early-
onset dementia. Past attempts to reverse the neuropathological effects of brain
injury with glutamate-N-methyl-d-aspartate (NMDA) antagonists failed to show any
benefits or worsened the outcome, suggesting that activation, rather than
blockage, of the NMDA receptor (NMDAR) may be useful in the subacute period after
TBI and stroke. Activation of the NMDAR requires occupation of the glycine
modulatory site by co-agonists to achieve its synaptic functions. Glycine and d
serine are endogenous ligands/co-agonists of synaptic NMDARs in many areas of the
mature brain. The aim of the present study was to evaluate the effect of 6
chlorobenzo(d)isoxazol-3-ol (CBIO), an inhibitor of D-amino acid oxidase (DAAO),
which degrades d-serine, on cognitive outcome in a mouse model of TBI. Because
treating TBI animals with CBIO elevates the endogenous levels of d-serine, we
compared this novel treatment with treatment by exogenous d-serine alone and
combined with CBIO. The results show that a single treatment (24 h post-injury)
with CBIO in the mouse model of closed head injury significantly improves
cognitive and motor function, and decreases lesion volume and the inflammatory
response. Moreover, the compound proved to be neuroprotective, as the hippocampal
volume and the number of neurons in hippocampal regions increased. Treatment with
CBIO boosted the NR1 and phospho- NR1 subunits of the NMDAR and affected the
CREB, phospho-CREB, and brain-derived neurotropic factor (BDNF) pathways. These
findings render CBIO a promising, novel treatment for cognitive impairment
following TBI.
PMID- 29648982
TI - Effects of Mitochondrial Transplantation on Bioenergetics, Cellular
Incorporation, and Functional Recovery after Spinal Cord Injury.
AB - Our previous studies reported that pharmacological maintenance of mitochondrial
bioenergetics after experimental spinal cord injury (SCI) provided functional
neuroprotection. Recent evidence indicates that endogenous mitochondrial transfer
is neuroprotective as well, and, therefore, we extended these studies with a
novel approach to transplanting exogenous mitochondria into the injured rat
spinal cord. Using a rat model of L1/L2 contusion SCI, we herein report that
transplantation of exogenous mitochondria derived from either cell culture or
syngeneic leg muscle maintained acute bioenergetics of the injured spinal cord in
a concentration-dependent manner. Moreover, transplanting transgenically labeled
turbo green fluorescent (tGFP) PC12-derived mitochondria allowed for
visualization of their incorporation in both a time-dependent and cell-specific
manner at 24 h, 48 h, and 7 days post-injection. tGFP mitochondria co-localized
with multiple resident cell types, although they were absent in neurons. Despite
their contribution to the maintenance of normal bioenergetics, mitochondrial
transplantation did not yield long-term functional neuroprotection as assessed by
overall tissue sparing or recovery of motor and sensory functions. These
experiments are the first to investigate mitochondrial transplantation as a
therapeutic approach to treating spinal cord injury. Our initial bioenergetic
results are encouraging, and although they did not translate into improved long
term outcome measures, caveats and technical hurdles are discussed that can be
addressed in future studies to potentially increase long-term efficacy of
transplantation strategies.
PMID- 29648984
TI - Facilitation of Locomotor Spinal Networks Activity by Buspirone after a Complete
Spinal Cord Lesion in Mice.
AB - Despite efforts to potentiate spinal cord lesioned (SCL) patients' functional
recovery with multi-targeted therapy combining pharmacological treatment and
training, consistent improvements in locomotor control by descending transmission
or spinal network facilitation are still eluding clinicians and researchers.
Lately, United States Food and Drug Administration-approved buspirone has shown
promise and promoted locomotor-like movement occurrence in SCL patients, but
evidence on how and where it exerts its effects is lacking. The objective of the
present study was, first, to verify buspirone effect on locomotor spinal network
and to evaluate if it promoted functional recovery when combined with training.
Also, we evaluated buspirone impact on locomotion in mice that had recovered from
a previous hemisection before sustaining the spinal transection. This dual lesion
paradigm has allowed confirmation of spinal network involvement in recovery after
an incomplete SCL. Buspirone acutely increased the number of steps taken, the
coupling strength between hindlimbs, angular excursion of the hip joint during
locomotion, and improved paw positioning at contact and paw drag (ps < 0.05).
Moreover, it induced long-lasting improvements of paw positioning at contact and
paw drag when combined with training in mice after a dual lesion paradigm.
Altogether, the results indicate that buspirone exerts considerable acute
facilitation of spinally mediated locomotion, and could be used in combination
with training to promote functional recovery after SCL.
PMID- 29648985
TI - Feasibility of Telemetric Intracranial Pressure Monitoring in the Neuro Intensive
Care Unit.
AB - Intracranial pressure (ICP) monitoring is crucial in the management of acute
neurosurgical conditions such as traumatic brain injury (TBI). However,
pathological ICP may persist beyond the admission to the neuro intensive care
unit (NICU). We investigated the feasibility of telemetric ICP monitoring in the
NICU, as this technology provides the possibility of long-term ICP assessment
beyond NICU discharge. In this prospective investigation, we implanted telemetric
ICP sensors (Raumedic Neurovent-P-tel) instead of conventional, cabled ICP
sensors in patients undergoing decompressive craniectomy. We recorded ICP curves,
duration of ICP monitoring, signal quality, and complications. Seventeen patients
were included (median age 55 years) and diagnoses were: severe TBI (8), malignant
middle cerebral artery infarction (8), and spontaneous intracerebral hemorrhage
(1). In total, 3015 h of ICP monitoring were performed, and the median duration
of ICP monitoring was 188 h (interquartile range [IQR] 54-259). The ICP signal
was lost 613 times (displacement of the reader unit on the skin) for a median of
1.5 min, corresponding to 0.8% of the total monitoring period. When the signal
was lost, it could always be restored by realignment of the reader unit on the
skin above the telemetric sensor. Sixteen of 17 patients survived the NICU
admission, and ICP gradually decreased from 10.7 mm Hg (IQR 7.5-13.6) during the
first postoperative day to 6.3 mm Hg (IQR 4.0-8.3) after 1 week in the NICU. All
17 implanted telemetric sensors functioned throughout the NICU admission, and no
wound infections were observed. Therefore, telemetric ICP monitoring in an acute
neurosurgical setting is feasible. Signal quality and stability are sufficient
for clinical decision making based on mean ICP. The low sampling frequency (5 Hz)
does not permit analysis of intracranial pulse wave morphology, but resolution is
sufficient for calculation of derived indices such as the pressure reactivity
index (PRx).
PMID- 29648986
TI - A Single Primary Blast-Induced Traumatic Brain Injury in a Rodent Model Causes
Cell-Type Dependent Increase in Nicotinamide Adenine Dinucleotide Phosphate
Oxidase Isoforms in Vulnerable Brain Regions.
AB - Blast-induced traumatic brain injury (bTBI) is a leading cause of morbidity in
soldiers on the battlefield and in training sites with long-term neurological and
psychological pathologies. Previous studies from our laboratory demonstrated
activation of oxidative stress pathways after blast injury, but their
distribution among different brain regions and their impact on the pathogenesis
of bTBI have not been explored. The present study examined the protein expression
of two isoforms: nicotinamide adenine dinucleotide phosphate (NADPH) oxidase 1
and 2 (NOX1, NOX2), corresponding superoxide production, a downstream event of
NOX activation, and the extent of lipid peroxidation adducts of 4-hydroxynonenal
(4HNE) to a range of proteins. Brain injury was evaluated 4 h after the shock
wave exposure, and immunofluorescence signal quantification was performed in
different brain regions. Expression of NOX isoforms displayed a differential
increase in various brain regions: in hippocampus and thalamus, there was the
highest increase of NOX1, whereas in the frontal cortex, there was the highest
increase of NOX2 expression. Cell-specific analysis of changes in NOX expression
with respect to corresponding controls revealed that blast resulted in a higher
increase of NOX1 and NOX 2 levels in neurons compared with astrocytes and
microglia. Blast exposure also resulted in increased superoxide levels in
different brain regions, and such changes were reflected in 4HNE protein adduct
formation. Collectively, this study demonstrates that primary blast TBI induces
upregulation of NADPH oxidase isoforms in different regions of the brain
parenchyma and that neurons appear to be at higher risk for oxidative damage
compared with other neural cells.
PMID- 29648988
TI - Coccidiostats in milk: development of a multi-residue method and transfer of
salinomycin and lasalocid from contaminated feed.
AB - A confirmatory multi-residue method was developed for the determination in milk
of 19 coccidiostats (amprolium, arprinocid, clazuril, clopidol, decoquinate,
diclazuril, ethopabate, halofuginone, lasalocid, maduramicin, monensin, narasin,
nicarbazin, nequinate, robenidine, salinomycin, semduramicin, toltrazuril sulfone
and toltrazuril sulfoxide). Sample preparation utilising extraction with organic
solvent and clean up by SPE and freezing was found reliable and time-efficient.
Optimised chromatography and MS conditions with positive and negative ESI
achieved sufficient sensitivity and selectivity. Validation experiments has
proven method usefulness for routine analysis of coccidiostats in milk samples.
An on-farm study conducted on dairy cows fed with experimentally contaminated
feed with salinomycin and lasalocid showed negligible transfer to milk. No
residues of lasalocid were found in collected samples. Salinomycin was found only
in 5 of 168 samples analysed, while the concentrations of salinomycin in those
samples (0.119-0.179 ug kg-1) was significantly below the limit of salinomycin in
milk set by European Union legislation. Such low concentrations of both
coccidiostats cannot be explained by conjugation during dairy cows' metabolism,
as shown by experiments with enzymatic hydrolysis.
PMID- 29648987
TI - Variability of Leg Kinematics during Overground Walking in Persons with Chronic
Incomplete Spinal Cord Injury.
AB - Incomplete spinal cord injury (iSCI) often leads to partial disruption of spinal
pathways that are important for motor control of walking. Persons with iSCI
present with deficits in walking ability in part because of inconsistent leg
kinematics during stepping. Although kinematic variability is important for
normal walking, growing evidence indicates that excessive variability may limit
walking ability and increase reliance on assistive devices (AD) after iSCI. The
purpose of this study was to assess the effects of iSCI-induced impairments on
kinematic variability during overground walking. We hypothesized that iSCI
results in greater variability of foot and joint displacement during overground
walking compared with controls. We further hypothesized that variability is
larger in persons with limited walking speed and greater reliance on ADs. To test
these hypotheses, iSCI and control subjects walked overground. Kinematic
variability was quantified as step-to-step foot placement variability (end
point), and variability in hip-knee, hip-ankle, and knee-ankle joint space
(angular coefficient of correspondence [ACC]). We characterized sensitivity of
kinematic variability to cadence, auditory cue, and AD. Supporting our
hypothesis, persons with iSCI exhibited greater kinematic variability than
controls, which scaled with deficits in overground walking speed (p < 0.01).
Significant correlation between ACC and end-point variability, and with walking
speed, indicates that both are markers of walking performance. Moreover, hip-knee
and hip-ankle ACC discriminated AD use, indicating that ACC may capture AD
specific control strategies. We conclude that increased variability of foot and
joint displacement are indicative of motor impairment severity and may serve as
therapeutic targets to restore walking after iSCI.
PMID- 29648989
TI - Analysis of sexual problems in men with psoriasis.
AB - INTRODUCTION: Psoriasis may have negative impact on many aspects of patient life.
OBJECTIVE: The aim of the study was to evaluate the influence of psoriasis on
erectile dysfunction and other sexual problems in men with psoriasis. MATERIALS
AND METHODS: A total of 76 men aged between 20 and 66 years (mean 43.9+/-13.2
years) were enrolled. Psoriasis severity assessed according to PASI ranged from
2.0 to 49.8 points (mean 15.1+/-10.3 points). All patients were asked to complete
International Index of Erectile Function (IIEF-5), Beck's Depression Inventory
and Dermatology Life Quality Index. A detailed medical history regarding sexual
problems was also collected. RESULTS: Erectile dysfunction was found in 43.8% of
patients. The severity of erectile dysfunction negatively correlated with the age
of patients (rho = -0.42; p<0.01) and depressive symptoms assessed by BDI (rho =
0.39, p<0.01). Majority of patients (77.6%) declared, that the skin condition at
least occasionally negatively influenced their sexual life. Patients with more
severe psoriasis more often avoided sexual intercourses (p<0.01) and felt ashamed
in front of their sexual partners (p = 0.04). The vast majority of men (96.1%)
felt unattractive during psoriasis exacerbation and felt embarrassed, if skin
lesions were present on uncovered body regions. More than a half avoided social
activities and sexual intercourses due to psoriasis. Moreover, 44.7% experienced
rejection during their life because of psoriasis. CONCLUSIONS: Psoriasis
negatively influences mostly emotional aspects of sexual life. Psychological
disturbances, severity of the disease, smoking, metabolic syndrome and other
concomitant diseases might influence on presence and progression of erectile
dysfunction in psoriatic patients. Dermatologists should be aware about these
problems to put more attention on this aspect of psoriasis.
PMID- 29648990
TI - The peripheral cannulas in extracorporeal life support.
AB - Femoral cannulation is a minimally invasive method which is an alternative method
for central cannulation. This review focuses on the parameters and features of
the available peripheral cannulas. Nowadays there exist many peripheral cannulas
in a variety of sizes, configurations and lengths to meet the specific needs of
the patients. Modern cannulas are strong, thin-walled and one piece reinforced
constructions. Furthermore, modern cannulas are manufactured from a biocompatible
material and surface coatings are applied to the cannulas to reduce the
activation of the clotting. When peripheral cannulas are applied, bleeding,
thrombosis and hemolysis are the most common complications.
PMID- 29648991
TI - Three-dimensional bioglass-collagen-phosphatidylserine scaffolds designed with
functionally graded structure and mechanical features.
AB - The development of scaffolds featuring spatiotemporal controlled release of drugs
is highly desirable. The goal of this study is to construct an inhomogeneous
scaffold with gradient pore structure from top layer to bottom layer. The
scaffolds were prepared using bioglass (BG), phosphatidylserine (PS) and
steroidal saponins (SS) loaded collagen (COL) microparticles as the main
components. The resulting scaffold constructs were characterized in terms of
their morphology, drug release kinetics and mechanisms, as well as macroscopic
form stability and mechanical properties. Pore interconnectivity and graded
distribution were demonstrated using scanning electron microscopy (SEM). Such
constructs have been further shown to be advantageous for temporal and spatial
control of drug release and deposition in the scaffolds, with a potential to
repair bone defect more precisely and effectively. Changes in the BG content
resulted in distinct macroscopic form stability and mechanical properties to
scaffolds. An increase in the BG content in scaffolds led to less volume swell as
well as higher ultimate strength and compressive modulus, which makes the
scaffolds mechanically adjustable according to certain structures and properties
of different bone defect sites. The developed scaffolds may show promise for
promoting bone tissue regeneration.
PMID- 29648992
TI - International normalized ratio (INR) testing in Europe: between-laboratory
comparability of test results obtained by Quick and Owren reagents.
PMID- 29648993
TI - Serum carbohydrate sulfotransferase 7 in lung cancer and non-malignant pulmonary
inflammations.
AB - BACKGROUND: Carbohydrate sulfotransferases (CHST) were shown to be involved in
carcinogenesis. The aim of the study was to assess the diagnostic value of serum
CHST7 concentration in differentiation between lung cancer and non-malignant
pulmonary inflammations. METHODS: Clinical case-control study involving 125
participants was conducted: the control group containing cases of pneumonia and
chronic obstructive pulmonary disease was compared to the lung cancer group
composed of primary and metastatic cancers. Serum concentrations of CHST7 and
routinely used markers including carcinoembryonic antigen (CEA), cytokeratin
fragment 21-1 (CYFRA 21-1) and neuron-specific enolase (NSE) were determined for
each participant using immunochemical methods. Statistical association, receiver
operating characteristic (ROC) analysis and cross-validation were used for the
evaluation of CHST7 either as a standalone biomarker or as a part of a biomarker
panel. RESULTS: In comparison to the control group, serum CHST7 was elevated in
lung cancer (p<0.001), but no differences between the overall stages of primary
cancers were detected (p=0.828). The differentiation performance in terms of ROC
area under curve (AUC) was 0.848 making CHST7 superior biomarker to the NSE
(p=0.031). In comparison to CEA and CYFRA 21-1, the performance differences were
not detected. CHST7 was not correlated to other biomarkers, and its addition to
the routine biomarker panel significantly improved the cross-validated accuracy
(85.6% vs. 75.2%) and ROC AUC (p=0.004) of the differentiation using a machine
learning approach. CONCLUSIONS: Serum CHST7 is a promising biomarker for the
differentiation between lung cancer and non-malignant pulmonary inflammations.
PMID- 29648994
TI - Within-subject biological variation of activated partial thromboplastin time,
prothrombin time, fibrinogen, factor VIII and von Willebrand factor in pregnant
women.
AB - BACKGROUND: During pregnancy, interpretation of results from coagulation
parameters can be difficult as the physiological changes that occur may affect
the biochemical parameters. The aim of this study was to describe the normal
course of five coagulation parameters in healthy pregnancies, and to estimate the
within-subject biological variation (CVI). METHODS: Blood samples were obtained
every 4th week during pregnancy and three samples after delivery in 20 healthy
women and every 4th week during a 40-week period in 19 healthy non-pregnant
women. Activated partial thromboplastin time (APTT), prothrombin time (PT), PT
International Normalized Ratio (INR), fibrinogen, factor VIII clot (FVIII:C) and
von Willebrand factor antigen (vWF:Ag) were analyzed. The physiological changes
during pregnancy were compensated by transformation into multiples of the median
(MoM) and it is natural logarithm (lnMoM) in order to establish a kind of steady
state, and CVI was calculated from the standard deviation. RESULTS: During
pregnancy, APTT, PT and INR remained unchanged or decreased, depending upon the
reagent used, while fibrinogen, FVIII:C and vWF:Ag increased gradually until
delivery. The CVI in pregnancy were 2.2 and 3.0% for APTT, 2.3 and 2.6% for PT,
2.2 and 2.3% for INR, 7.2% for fibrinogen, 12.2% for FVIII:C and 11.3% for
vWF:Ag, and corresponded with the CVI in non-pregnant women. CONCLUSIONS:
Transformation of coagulation parameters in healthy pregnancies to MoM is a tool
to establish a kind of steady state. Although there is a physiological change in
these coagulation parameters during pregnancy, the CVI after lnMoM transformation
was comparable with the CVI of non-pregnant women.
PMID- 29648995
TI - Cerebrospinal fluid free light chains as diagnostic biomarker in
neuroborreliosis.
AB - BACKGROUND: Free light chains (FLC) have been proposed as diagnostic biomarker in
patients with inflammatory central nervous system diseases. The objective of this
study was to investigate the diagnostic utility of intrathecal kappa- and lambda
FLC synthesis in patients with neuroborreliosis. METHODS: kappa- and lambda-FLC
were measured by nephelometry under blinded conditions in cerebrospinal fluid
(CSF) and serum sample pairs of 34 patients with neuroborreliosis and compared to
a cohort of 420 control patients. kappa-FLC index was calculated as [CSF kappa
FLC/serum kappa-FLC]/[CSF albumin/serum albumin], and lambda-FLC index in
analogy. RESULTS: kappa-FLC and lambda-FLC index were significantly elevated in
patients with neuroborreliosis compared to the control group. At a specificity
level of 95%, kappa-FLC and lambda-FLC index showed a diagnostic sensitivity of
88.2% and 100%. In comparison, IgM and IgG synthesis according to Reiber formula,
IgG index >0.7 and OCB status reached a sensitivity of 83.9%, 44.1%, 58.8% and
64.7%. CONCLUSION: These findings support the diagnostic value of intrathecal FLC
synthesis in neuroborreliosis patients and demonstrate a valid, easy and rater
independent alternative for the detection of an intrathecal immunoglobulin
production.
PMID- 29648996
TI - Serum procalcitonin concentration within 2 days postoperatively accurately
predicts outcome after liver resection.
AB - BACKGROUND: Relatively high mortality and morbidity rates are reported after
liver resection (LR). However, the early predictors of complications after LR are
not clear. This study was performed to clarify the usefulness of procalcitonin
(PCT) for the early prediction of complications after elective LR. METHODS: This
observational study included 72 consecutive patients who underwent elective LR
from December 2015 to March 2017. Patients were categorized into two groups:
those with and without postoperative complications (Clavien-Dindo grade >=II).
The values of postoperative inflammatory markers (white blood cell [WBC] count, C
reactive protein [CRP] and PCT) were compared between the two groups. RESULTS:
CRP and PCT were significantly higher in patients with than without
complications; however, the WBC count showed no difference within 5 days
postoperatively. The maximum area under the receiver operating characteristic
curves within 2 days after LR using the WBC count, CRP and PCT were 0.608, 0.697
and 0.860, respectively, PCT had the best predictive ability in the early
postoperative period. The PCT level peaked within 2 days postoperatively in 61
patients (85%). The maximum PCT level within 2 days postoperatively (PCT1-2) was
significantly higher in patients with than without complications (0.52 vs. 0.19
ng/mL, p<0.001). A cutoff PCT1-2 level of 0.35 ng/mL achieved 80% sensitivity and
83% specificity. In patients without complications, there was no difference in
PCT1-2 even when the surgical procedure differed (p=0.935). CONCLUSIONS: PCT1-2
is an early predictive marker after LR and can be similarly used regardless of
the LR procedure.
PMID- 29648997
TI - Interactive effects of alcohol and chloroquine on hematologic profile of Wistar
rats.
PMID- 29648998
TI - Orphan drugs: trends and issues in drug development.
PMID- 29648999
TI - Cord blood klotho levels are inversely associated with leptin in healthy Latino
neonates at risk for obesity.
AB - BACKGROUND: Klotho serum levels reflect nutritional state in adults including
obesity and anorexia. The relationship between cord blood klotho levels at birth
and parameters of growth including anthropometrics are not known. METHODS: We
evaluated the relationship between cord blood klotho, leptin and adipocyte
hormones and infant, child and maternal anthropometrics and maternal depression
in a cohort of 73 children. Non-parametric tests were used to assess differences
between dichotomous and categorical predictors and klotho levels and Spearman's
rank coefficients were used to assess the relationship between klotho levels and
continuous predictors. A multivariable log transformed linear regression model
was used to test for independent predictors of serum klotho levels. RESULTS: Mean
klotho levels were 2864.9+/-1409.7 (pg/mL) in cord blood and we found no
relationship with infant sex, delivery specifics including gestational age or
anthropometrics at birth. There was similarly no association between klotho
levels at birth and future obesity at age 2. Leptin levels at birth were
inversely associated with klotho levels in multivariable models after adjusting
for other covariates (p<0.01). Similarly, in multivariable models insulin levels
were inversely correlated with klotho levels (p=0.03). Leptin levels in our
cohort of at-risk infants were more than 50% higher than other studies with
neonates. CONCLUSIONS: We found no associations between weight or length at birth
or obesity in early childhood and cord blood klotho levels. Cord blood klotho
levels were inversely correlated with leptin and insulin levels at birth and
should be further investigated to better understand the inter-relationship
between this hormone and key regulators of growth and adiposity.
PMID- 29649000
TI - Review and evaluation of patient-centered psychosocial assessments for children
with central precocious puberty or early puberty.
AB - The objective of this study was to assess the current use of patient-centered
psychosocial assessments for the evaluation of children with central precocious
puberty (CPP). Studies evaluating the psychosocial impact of CPP were identified
through searches of the PubMed and Cochrane Library databases,
ClinicalTrials.gov, a drug prescribing information database, and regulatory
websites. Studies were screened using prespecified inclusion and exclusion
criteria. Potentially relevant patient-centered outcome assessments (including
patient-, parent- or observer-reported measures) used in the identified studies
were evaluated in detail for their relevance in CPP. Of the 467 studies
identified, 15 met the inclusion criteria. Frequently assessed concepts included
depression and anxiety, behavior and behavioral problems, body image and self
esteem and personality type/characteristics. Among the assessments used in the
identified studies, the Child Behavior Checklist, Pediatric Quality of Life
Inventory (PedsQL), SF-10 for Children and Child Health Questionnaire were
comprehensively evaluated. The PedsQL showed promise as a patient-centered
outcome measure in CPP. Although there is a lack of validated tools measuring
psychosocial health and health-related quality of life in patients with CPP, the
PedsQL captures issues seen in this patient population and is relatively easy to
administer. Further studies using this and other tools in children with CPP are
needed.
PMID- 29649001
TI - Trends in characteristics of women choosing contraindicated home births.
AB - OBJECTIVE: To characterize the American College of Obstetricians and
Gynecologists (ACOG) contraindicated home births and the women who are receiving
these births in hopes of identifying venues for intervention. METHODS: The
National Center for Health Statistics (NCHS) birth certificate records from 1990
to 2015 were used. "Planned home births" were defined as those births in which
birthplace was coded as "residence" and birth attendant was coded as "certified
nurse midwife (CNM)" or "other midwife". Contraindicated home births were defined
as "planned home births" from 1990 to 2015 that had one or more of the ACOG risk
factors for home births, which include vaginal birth after prior cesarean
delivery (VBAC), breech presentation and multiple gestations. RESULTS: A review
of trends in contraindicated home births from 1990 to 2015 suggests that they are
increasing in number (481-1396) and as a percentage of total births (0.01%-0.04%,
P<0.001). There has been an increase in the proportion of college-educated women
(31%-51%, P<0.001). Most women receive prenatal care (>95%), which is most
frequently initiated in the first trimester. The majority of home births were
paid out-of-pocket (65%-69%). CONCLUSION: The increasing number of
contraindicated home births in the United States requires public health action.
Home births are likely a matter of choice rather than a lack of resources. It is
unclear if women choose home births while knowing the risk or due to a lack of
information. Prenatal education about contraindicated home births is possible, as
almost all women receive prenatal care.
PMID- 29649004
TI - Atypical Hepatocellular Neoplasms: Review of Clinical, Morphologic,
Immunohistochemical, Molecular, and Cytogenetic Features.
AB - The distinction of hepatocellular adenoma from well-differentiated hepatocellular
carcinoma (HCC) can be difficult in some cases, especially on biopsy specimens.
These borderline cases often occur in men or older patients and may have beta
catenin activation or focal atypical morphologic features (such as small cell
change, prominent pseudoacinar formation, cytologic atypia, focally thick plates,
and/or focal reticulin loss) that are insufficient for an unequivocal diagnosis
of HCC. The term "atypical hepatocellular neoplasm" has been advocated for these
tumors, but a number of other terms, including "atypical adenoma,"
"hepatocellular neoplasm of uncertain malignant potential," and "well
differentiated hepatocellular neoplasm with atypical or borderline features" have
also been proposed. This review proposes guidelines for designating tumors as
atypical hepatocellular neoplasm and describes clinical, morphologic,
immunohistochemical, molecular, and cytogenetic features that distinguish these
tumors from typical hepatocellular adenoma and HCC.
PMID- 29649005
TI - Cutaneous Smooth Muscle Tumors: A Review.
AB - Smooth muscle tumors occur infrequently in the skin. They consist of a diverse
group of lesions representing hamartomas as well as benign and malignant
neoplasms. They may arise from arrector pili muscle, specialized smooth muscle of
the genitalia, or vascular smooth muscle. Although rare, accurate diagnosis and
classification of cutaneous smooth muscle proliferations is important as they can
exhibit a range of clinical behavior and may be associated with underlying
syndromes. This review summarizes the clinicopathologic spectrum of smooth muscle
tumors involving the skin.
PMID- 29649002
TI - JAK1/2 inhibition with baricitinib in the treatment of autoinflammatory
interferonopathies.
AB - BACKGROUND: Monogenic IFN-mediated autoinflammatory diseases present in infancy
with systemic inflammation, an IFN response gene signature, inflammatory organ
damage, and high mortality. We used the JAK inhibitor baricitinib, with IFN
blocking activity in vitro, to ameliorate disease. METHODS: Between October 2011
and February 2017, 10 patients with CANDLE (chronic atypical neutrophilic
dermatosis with lipodystrophy and elevated temperatures), 4 patients with SAVI
(stimulator of IFN genes-associated [STING-associated] vasculopathy with onset in
infancy), and 4 patients with other interferonopathies were enrolled in an
expanded access program. The patients underwent dose escalation, and the benefit
was assessed by reductions in daily disease symptoms and corticosteroid
requirement. Quality of life, organ inflammation, changes in IFN-induced
biomarkers, and safety were longitudinally assessed. RESULTS: Eighteen patients
were treated for a mean duration of 3.0 years (1.5-4.9 years). The median daily
symptom score decreased from 1.3 (interquartile range [IQR], 0.93-1.78) to 0.25
(IQR, 0.1-0.63) (P < 0.0001). In 14 patients receiving corticosteroids at
baseline, daily prednisone doses decreased from 0.44 mg/kg/day (IQR, 0.31-1.09)
to 0.11 mg/kg/day (IQR, 0.02-0.24) (P < 0.01), and 5 of 10 patients with CANDLE
achieved lasting clinical remission. The patients' quality of life and height and
bone mineral density Z-scores significantly improved, and their IFN biomarkers
decreased. Three patients, two of whom had genetically undefined conditions,
discontinued treatment because of lack of efficacy, and one CANDLE patient
discontinued treatment because of BK viremia and azotemia. The most common
adverse events were upper respiratory infections, gastroenteritis, and BK viruria
and viremia. CONCLUSION: Upon baricitinib treatment, clinical manifestations and
inflammatory and IFN biomarkers improved in patients with the monogenic
interferonopathies CANDLE, SAVI, and other interferonopathies. Monitoring safety
and efficacy is important in benefit-risk assessment. TRIAL REGISTRATION:
ClinicalTrials.gov NCT01724580 and NCT02974595. FUNDING: This research was
supported by the Intramural Research Program of the NIH, NIAID, and NIAMS.
Baricitinib was provided by Eli Lilly and Company, which is the sponsor of the
expanded access program for this drug.
PMID- 29649003
TI - Somatic mutation of the cohesin complex subunit confers therapeutic
vulnerabilities in cancer.
AB - A synthetic lethality-based strategy has been developed to identify therapeutic
targets in cancer harboring tumor-suppressor gene mutations, as exemplified by
the effectiveness of poly ADP-ribose polymerase (PARP) inhibitors in BRCA1/2
mutated tumors. However, many synthetic lethal interactors are less reliable due
to the fact that such genes usually do not perform fundamental or indispensable
functions in the cell. Here, we developed an approach to identifying the
"essential lethality" arising from these mutated/deleted essential genes, which
are largely tolerated in cancer cells due to genetic redundancy. We uncovered the
cohesion subunit SA1 as a putative synthetic-essential target in cancers carrying
inactivating mutations of its paralog, SA2. In SA2-deficient Ewing sarcoma and
bladder cancer, further depletion of SA1 profoundly and specifically suppressed
cancer cell proliferation, survival, and tumorigenic potential. Mechanistically,
inhibition of SA1 in the SA2-mutated cells led to premature chromatid separation,
dramatic extension of mitotic duration, and consequently, lethal failure of cell
division. More importantly, depletion of SA1 rendered those SA2-mutated cells
more susceptible to DNA damage, especially double-strand breaks (DSBs), due to
reduced functionality of DNA repair. Furthermore, inhibition of SA1 sensitized
the SA2-deficient cancer cells to PARP inhibitors in vitro and in vivo, providing
a potential therapeutic strategy for patients with SA2-deficient tumors.
PMID- 29649006
TI - The Influence of Volume and Anatomic Location of Optic Disc Drusen on the
Sensitivity of Autofluorescence.
AB - BACKGROUND: Optic disc drusen (ODD) are acellular deposits in the optic nerve
head. ODD can be diagnosed using different imaging modalities, including enhanced
depth imaging optical coherence tomography (EDI-OCT) and autofluorescence (AF).
It is unknown which factors determine the sensitivity of AF. The aim of this
study was to investigate the effect of volume and anatomic location of ODD on the
sensitivity of AF. METHODS: Cross-sectional study. RESULTS: A total of 38
patients (75 eyes) with ODD were included. In 12 of 75 eyes (16%) and in 11 of 38
patients (29%), EDI-OCT detected ODD that were not detected by AF. In 24
distinctly solitary ODD, both increase in ODD volume (P = 0.0388) and a more
superficial ODD location (P < 0.0001) increased the possibility of AF detection
of ODD, when performing a multivariate analysis. CONCLUSIONS: EDI-OCT is superior
to AF in the diagnosis of ODD. Volume and anatomic location of ODD have a
significant impact on the sensitivity of AF.
PMID- 29649007
TI - Practice of Teledermatopathology: A Systematic Review.
AB - INTRODUCTION: Teledermatopathology has the potential to revolutionize the
practice of diagnosing skin diseases. This review provides an overview of the
advantages, limitations, and future directions of teledermatopathology. METHODS:
MEDLINE was searched via PubMed and Google Scholar databases for relevant
articles published from 2012 to the present. Additional articles were also
identified by hand-searching. RESULTS: A total of 2675 citations were identified
in the initial search. Two thousand five hundred seventy-three were excluded
based on duplicates and review of titles and abstracts. Eighty studies failed to
meet the inclusion criteria, resulting in a total of 22 articles for analysis.
Nine additional articles were hand-searched. CONCLUSIONS: Recent studies report
that telepathology increases access to specialists, reduces interpretive errors
and health care expenditures, improves the efficiency of workflow, and optimizes
patient outcomes. It also facilitates international collaboration by widening
global access to dermatopathology services and providing educational resources in
underserved areas. However, the quality and regulations of digital slide imaging
in teledermatopathology need to be improved.
PMID- 29649008
TI - Disseminated Granulomatous Perifollicular Dermatosis With Comedones: A Follicular
Variant of Lichen Nitidus or a New Entity?
AB - The presence of acquired generalized keratotic follicular papules and comedones
developing in adulthood constitute an uncommon clinical situation. Although this
clinical presentation has been described in several noninflammatory,
inflammatory, and neoplastic skin disorders, its association with an exclusive
perifollicular epitheliod or granulomatous inflammatory reaction represents an
exceedingly rare phenomenon. We report a case of a 57-year-old male patient
presenting clinically numerous acquired disseminated follicular papules and
comedones, showing isolated perifollicular sarcoid-like granulomatous
inflammatory infiltrates at the histological examination. These lesions
experienced a complete spontaneous resolution after several months. The
possibility that this disseminated, epithelioid, granulomatous, perifollicular
dermatosis may represent an isolated follicular variant of lichen nitidus or a
previously nonreported skin disorder is discussed.
PMID- 29649009
TI - Papular Lesion on the Knee of a 7-Year-Old Girl.
PMID- 29649011
TI - Ultrasonographic Findings in a Latissimus Dorsi Injury in a Beach Volleyball
Player.
PMID- 29649012
TI - Clinical Improvements Are Not Explained by Changes in Tendon Structure on
Ultrasound Tissue Characterization After an Exercise Program for Patellar
Tendinopathy.
AB - OBJECTIVES: The aim of this study was to investigate the effects of a 4-wk in
season exercise program of isometric or isotonic exercises on tendon structure
and dimensions as quantified by ultrasound tissue characterization (UTC). DESIGN:
This was a randomized clinical trial. Volleyball and basketball players (16-31
yrs, n = 29) with clinically diagnosed patellar tendinopathy were randomized to a
4-wk isometric or isotonic exercise program. The programs were designed to
decrease patellar tendon pain. A baseline and 4-wk UTC scan was used to evaluate
change in tendon structure. RESULTS: No significant change in tendon structure or
dimensions on UTC was detected after the exercise program despite patellar
tendinopathy symptoms improving. The percentage and mean cross-sectional area of
aligned fibrillar structure (echo types I + II) (Z = -0.414, P = 0.679) as well
as disorganized structure (echo types III + IV) (Z = -0.370, P = 0.711) did not
change over the 4-wk exercise program. Change in tendon structure and dimensions
on UTC did not differ significantly between the groups. CONCLUSION: Structural
properties and dimensions of the patellar tendon on UTC did not change after a 4
wk isometric or isotonic exercise program for athletes with patellar tendinopathy
in-season, despite an improvement in symptoms. It seems that structural
improvements are not required for a positive clinical outcome.
PMID- 29649013
TI - EEG Patterns in Patients With Calcified Neurocysticercosis With or Without
Hippocampal Atrophy.
AB - PURPOSE: To assess whether hippocampal atrophy develops in conjunction with
clinical or subclinical epileptiform or encephalopathic activity in subjects with
neurocysticercosis (NCC). METHODS: Using a population-based and nested case
control study design, scalp EEGs and brain MRIs were performed in Atahualpa
residents aged >=40 years, who have imaging-confirmed NCC (case patients), as
well as in age- and sex-matched NCC-free control subjects. RESULTS: Sixty-two
case patients and 62 control subjects were included. Encephalopathic EEG patterns
were more common in five NCC subjects with epilepsy than in those without a
history of seizures. Epileptiform EEG activity was noted in one patient with NCC
but in none of the control subjects. This subject's focal epileptiform discharges
correlated with the location of calcified cysticerci in the brain parenchyma, and
the hippocampus ipsilateral to the epileptiform discharges was more atrophic than
the contralateral hippocampus. The degree of hippocampal atrophy in patients with
NCC without a history of seizures was significantly greater than in control
subjects (P < 0.01) and tended to be even greater in patients with NCC with a
history of seizures. CONCLUSIONS: Hippocampal atrophy may not be exclusively
related to seizure activity in patients with NCC. Other mechanisms, such as
recurrent bouts of inflammation around calcified cysticerci, might explain the
association between NCC and hippocampal atrophy.
PMID- 29649014
TI - Structural MRI Correlates of Cognitive Event-Related Potentials in Multiple
Sclerosis.
AB - PURPOSE: Cognitive impairment in multiple sclerosis has been associated with
cognitive event-related potentials and MRI abnormalities. This study aims to
explore for the first time the association between P300 and MRI in multiple
sclerosis. METHODS: Fifty-eight relapsing-remitting patients (41.5 +/- 10.5 years
old, 41 women, disease duration 139.7 +/- 84.9 months) and 51 healthy controls
were used. Visual P300 responses and a set of 2- or 3-dimensional MRI indices
were obtained. Neuropsychological testing and psychological evaluations were also
performed. RESULTS: Multiple sclerosis patients had significantly lower P300
amplitude and more prolonged P300 latencies and reaction times than healthy
controls. In total, 67.2% of patients were identified with abnormal P300
response. These patients had greater disability and physical fatigue and had
lower visuospatial memory scores than those with normal P300 response. Abnormally
low P300 amplitude was associated with lower peripheral gray matter volume and
was correlated only with normalized frontal horn width and normalized brain
volume, after adjusting for age and education. The moderating role of brain
reserve was also documented. CONCLUSIONS: P300 event-related potential was
related to both linear and volumetric MRI markers. Future studies should expand
these results in other disease types and longitudinally. Event-related potentials
could serve as an ancillary tool for cognitive assessment in multiple sclerosis.
PMID- 29649015
TI - Validation of the 5-Item Medication Adherence Report Scale in Older Stroke
Patients in Iran.
AB - BACKGROUND: There is a lack of feasible and validated measures to self-assess
medication adherence for older patients with stroke. In addition, the potential
determinants of medication adherence for older patients with stroke remain
unclear. OBJECTIVES: The aims of this study were to (1) examine the psychometric
properties of a 5-item questionnaire on medication adherence, specifically the 5
item Medication Adherence Report Scale (MARS-5), and (2) explore the determinants
of medication adherence. METHODS: Stroke patients older than 65 years (N = 523)
filled out the MARS-5 and the Hospital Anxiety and Depression Scale. The
medication possession rate (MPR) was calculated to measure the objective
medication adherence. Several clinical characteristics (stroke types, blood
pressure, comorbidity, HbA1c, quantity of prescribed drugs, fasting blood
glucose, and total cholesterol) and background information were collected. We
used Rasch analysis with a differential item functioning test to examine
psychometric properties. RESULTS: All 5 items in the MARS-5 fit in the same
construct (ie, medication adherence), no differential item functioning items were
displayed in the MARS-5 across gender, and the MARS-5 total score was strongly
correlated with the MPR (r = 0.7). Multiple regression models showed that the
MARS-5 and the MPR shared several similar determinants. In addition, the variance
of the MARS-5 (R = 0.567) was more than that of the MPR (R = 0.300). CONCLUSIONS:
The MARS-5 is a feasible and valid self-assessed medication adherence for older
patients with stroke. In addition, several determinants were found to be related
to medication adherence for older patients with stroke. Healthcare providers may
want to take heed of these determinants to improve medication adherence for this
population.
PMID- 29649016
TI - Thyroid cancer management: from a suspicious nodule to targeted therapy.
AB - Thyroid nodules are very common, and their frequency is four to five times higher
in women than in men. Most of them are benign, with only a very little percentage
revealing a malignant neoplasm. About 50% of thyroid nodules are detected by self
palpation of neck, whereas the other 50% are diagnosed by neck ultrasonography
and following fine-needle aspiration. Management of thyroid nodules is very
difficult, because benign nodules are prevalent, whereas thyroid carcinoma is
uncommon, representing only 1% of all malignancies. A standard diagnostic
approach is represented by 'first-level' exams, consisting in neck
ultrasonography and serum thyroid-stimulating hormone measurement, followed, only
for nodules that are suspicious of malignancy, by 'second-level' exams,
consisting of fine-needle aspiration and mutational test, which does detect
particular DNA mutations present only in malignant cells. In this review, we will
analyze the genetics of thyroid cancer and its heterogeneity, and we will briefly
describe the current available diagnostic and therapeutic approaches.
PMID- 29649017
TI - A Point-based Histologic Scoring System for Hepatocellular Carcinoma Can Stratify
Risk of Posttransplant Tumor Recurrence.
AB - Eligibility for liver transplant is most commonly decided by measuring tumor size
and number on radiographic imaging. However, this method often underestimates the
extent of disease. Evaluation of tumor histology has been shown to improve risk
stratification when compared with imaging-based transplant criteria, but the
World Health Organization (WHO) guidelines for grading hepatocellular carcinoma
(HCC) are imprecise and require subjective interpretation by the pathologist. We
performed a retrospective analysis of 190 explanted livers containing HCC and
correlated histologic features with posttransplant recurrence to formulate a
three-tiered, point-based scoring system that categorizes tumors as having a low,
intermediate, or high risk of recurrence. Our Recurrence Risk Assessment Score
(RRAS) evaluates tumor architecture and specific cytologic features-nuclear
pleomorphism, cytoplasmic amphophilia, and nuclear-to-cytoplasmic ratio-showing
superior stratification of HCC recurrence risk compared with imaging criteria and
grade assigned by WHO methodology. Stratifying tumors using RRAS criteria, the
rate of recurrence after transplant was 0% among low-risk tumors (compared with
3% of well-differentiated tumors), 12% among intermediate-risk tumors (compared
with 15% of moderately differentiated tumors), and 54% among high-risk tumors
(compared with 29% of poorly differentiated tumors). Receiver operating
characteristic analysis shows significantly improved performance of RRAS criteria
in predicting HCC recurrence compared with WHO grade (area under curve of 0.841
and 0.671, respectively; P=0.0061). Our results indicate that evaluation of tumor
histology offers superior prediction of recurrence risk following liver
transplantation compared with radiographic criteria, and that the RRAS system
better stratifies recurrence risk compared with HCC grading by WHO methodology.
PMID- 29649018
TI - Frequency of MAP2K1, TP53, and U2AF1 Mutations in BRAF-mutated Langerhans Cell
Histiocytosis: Further Characterizing the Genomic Landscape of LCH.
AB - Langerhans cell histiocytosis is a proliferative disorder of neoplastic
Langerhans cells with activating mutations in the Erk signaling pathway. TP53 and
U2AF1 mutations have been implicated in other myelomonocytic malignancies and we
hypothesized that mutations in these genes may cosegregate in LCH patients
according to BRAF mutation status. Towards this end, we collected cases with a
pathologic diagnosis of Langerhans cell histiocytosis from Stanford University
Hospital. We analyzed the status of known pathogenic alleles in BRAF, ARAF, TP53,
U2AF1, and MAP2K1 on formalin-fixed, paraffin-embedded tissue by direct
sequencing. A total of 41 cases (71%) had a BRAFV600E allele detected by
sequencing. MAP2K1 mutations were also detected in 5 cases: 3 of 17 (18%) cases
with wild-type BRAF and 2 of 41 (5%) cases with BRAFV600E mutations (P=0.14). No
cases contained the previously reported ARAF mutation, Q347_A348del. All 10 cases
with TP53 mutations contained mutant BRAFV600E allele (P=0.021). Of the 11 cases
with U2AF1 mutated, 9 of 41 cases co-occurred with BRAFV600E mutations (P=0.31)
and 2 of 17 with wild-type BRAF. Interestingly, we do not find that somatic
activating MAP2K1 mutations are mutually exclusive with BRAFV600E mutations as
has been reported previously. Instead, our data suggests that MAP2K1 mutations
may be present along with BRAF either at diagnosis or may be acquired during
disease progression. Furthermore, we demonstrated that likely deleterious TP53
mutations correlate with BRAF mutational status and may play a role in the
underlying pathogenesis.
PMID- 29649019
TI - NUT Carcinoma of the Salivary Glands: Clinicopathologic and Molecular Analysis of
3 Cases and a Survey of NUT Expression in Salivary Gland Carcinomas.
AB - NUT carcinoma (NC) represents a rare subset of highly aggressive poorly
differentiated carcinomas characterized by rearrangement of the NUT (aka NUTM1,
nuclear protein in testis) gene, most commonly fused to BRD4. Originally
described as a mediastinal/thymic malignancy, NC has been reported at a variety
of anatomic regions including the upper and lower aerodigestive tract. To date,
only 7 NC cases of probable salivary gland origin have been reported. We herein
describe 3 new cases (all affecting the parotid gland) in 2 women (39- and 55-y
old) and 1 man (35-y old). Histologic examination showed poorly differentiated
neoplasms composed of poorly cohesive small-sized to medium-sized cells with
variable squamoid cell component that was focal and abrupt. Immunohistochemistry
showed uniform expression of p63 and distinctive punctate expression of the NUT
antigen in the tumor cell nuclei. Review of the reported salivary gland NC cases
(total, 10) showed a male:female ratio of 1.5:1 and an age range of 12 to 55
years (median, 29 y). Site of the primary tumor was the parotid (7), sublingual
(2), and submandibular (1) glands. All presented as rapidly growing masses
treated by surgery followed by adjuvant radiotherapy/chemotherapy. Initial nodal
status was positive in 8/10. At last follow-up (1 to 24 mo; median, 5 mo), 7/10
patients died of disease at a median of 5.5 months (1 to 24 mo) and only 2 were
disease free at 7 and 14 months. Of 9 cases with genetic data, the fusion partner
was BRD4 (n=7), non-BRD4/3 (n=1), or undetermined (n=1). None of 306 carcinomas
spanning the spectrum of salivary carcinoma types screened by NUT
immunohistochemistry was positive. This is the first small series on salivary NC
highlighting the importance to include this rare disease in the differential
diagnosis of poorly differentiated salivary gland carcinomas and in cases of
presumable poorly differentiated carcinoma of unknown origin.
PMID- 29649020
TI - Autologous Bone Flap Resorption Years After Subtemporal Craniotomy.
AB - In Spring of 2012, the partially undressed and skeletonized remains of a homeless
adult Hispanic male was found in a fairly open wooded area in Nebraska. The
remains showed evidence of extensive pathologies, which included healed traumas
and surgeries. Examination of the decedent's medical records revealed that he had
a history of kidney and liver problems, alcohol abuse, several traumas including
a major head injury that necessitated a craniotomy, and radiological features of
neurocysticercosis. The autologous bone flap, which was replaced after the
craniotomy, had resorbed significantly away from the edges of the injury. Death
was variously attributed to craniocerebral injury with hypothermia sequel to
blunt force trauma most probably due to a fall. The manner of death was ruled as
an accident. This study makes use of the forensic evidence and medical records to
examine the possibility that the unique combination of failed cranioplasty,
hypothermia, and neurocysticercosis may have contributed to the victim's death.
Other potential causes of death are considered.
PMID- 29649021
TI - Impressions of Early Mobilization of Critically Ill Children-Clinician, Patient,
and Family Perspectives.
AB - OBJECTIVES: To understand patient, family caregiver, and clinician impressions of
early mobilization, the perceived barriers and facilitators to its
implementation, and the use of in-bed cycling as a method of mobilization.
DESIGN: A qualitative study, conducted as part of the Early Exercise in
Critically ill Youth and Children, a preliminary Evaluation (wEECYCLE) Pilot
randomized controlled trial. SETTING: McMaster Children's Hospital PICU,
Hamilton, ON, Canada. PARTICIPANTS: Clinicians (i.e., physicians, nurses, and
physiotherapists), family caregivers, and capable patients age greater than or
equal to 8 years old who were enrolled in a clinical trial of early mobilization
in critically ill children (wEECYCLE). INTERVENTION: Semistructured, face-to-face
interviews using a customized interview guide for clinicians, caregivers, and
patients respectively, conducted after exposure to the early mobilization
intervention. MEASUREMENTS AND MAIN RESULTS: Thirty-seven participants were
interviewed (19 family caregivers, four patients, and 14 clinicians). Family
caregivers and clinicians described similar interrelated themes representing
barriers to mobilization, namely low prioritization of mobilization by the
medical team, safety concerns, the lack of physiotherapy resources, and low
patient motivation. Key facilitators were family trust in the healthcare team,
team engagement, an a priori belief that physical activity is important, and
participation in research. Increased familiarity and specific features such as
the virtual reality component and ability to execute passive and or active
mobilization helped to engage critically ill children in in-bed cycling.
CONCLUSIONS: Clinicians, patients, and families were highly supportive of
mobilization in critically ill children; however, concerns were identified with
respect to how and when to execute this practice. Understanding key stakeholder
perspectives enables the development of strategies to facilitate the
implementation of early mobilization and in-bed cycling, not just in the context
of a clinical trial but also within the culture of practice in a PICU.
PMID- 29649022
TI - Effectiveness of Chinese Martial Arts and Philosophy to Reduce Reactive and
Proactive Aggression in Schoolchildren.
AB - OBJECTIVE: This study examined the effectiveness of Chinese martial arts in
reducing reactive and proactive aggressive behavior among schoolchildren with a
cluster-randomized trial. METHODS: A screening questionnaire was completed by
3511 schoolchildren of Grades 2 to 5 from 13 sites in Hong Kong. We shortlisted
298 children who scored z >= 1 on the total score of the Reactive-Proactive
Aggression Questionnaire in their respective sites to participate in the
experiment. They were divided into 31 clusters that were blinded and randomly
assigned to one of the 4 conditions: skills only, philosophy only, skills and
philosophy, and physical fitness (placebo). Subjects were assessed at baseline,
posttraining, and 6 months after training using aggression scales. RESULTS:
Results from the linear mixed model indicated that the time * training
interaction effects were significant for aggressive behavior (reactive and
proactive), delinquent behavior, anxiety/depression, and attention problems.
Although all measures declined in all conditions over time, only the skills-and
philosophy condition showed a significant reduction at posttraining and/or 6
month follow-up compared with the placebo. CONCLUSION: The results provided a
theoretical proof for the relationship between aggression and sport involvement
combined with children's moral reasoning. This study gives practical implications
to intervention that solely playing sports or teaching moral lessons is not
effective enough for high-risk schoolchildren with aggressive behavior. However,
combined traditional Chinese martial arts skills and moral philosophy training
could be considered in the school curriculum to reduce school violence and
facilitate creation of harmonious schools.
PMID- 29649024
TI - World Gastroenterology Organisation (WGO) News and Events.
PMID- 29649023
TI - Helping a Child with Autism Spectrum Disorder Cope with Divorce.
AB - CASE: Aaron is an 11-year-old boy with autism spectrum disorder (ASD), with
cognitive and language skills in the above-average range, whose parents have
recently separated. Aaron's mother initiated the separation when she learned that
Aaron's father had maintained a relationship with a woman with whom he has a 10
year-old daughter. When Aaron's mother discovered this relationship, she demanded
that Aaron's father leave their home.Aaron's father has moved in with his long
term girlfriend and keeps in contact with Aaron by calling once a day. Neither
Aaron's father nor mother has discussed the reason for their separation with
Aaron. So far, they have explained their separation by telling Aaron that they
are "taking a break."Aaron's mother has been deeply hurt by Aaron's father's
infidelity and does not want to reconcile with him. Aaron's father recognizes
this but would like to continue to have a close relationship with his son. He
would also like Aaron to get to know his half-sister.Aaron's mother seeks
guidance regarding how to talk to Aaron about the separation and his father's
second family. Given Aaron's diagnosis of ASD, she is particularly concerned
about his ability to cope with this unexpected change in circumstances. What is
your advice?
PMID- 29649025
TI - Risk of Anal Cancer in Women With a Human Papillomavirus-Related Gynecological
Neoplasm: Puerto Rico 1987-2013.
AB - OBJECTIVE: The aim of the study was to estimate the magnitude of the association
between HPV-related gynecological neoplasms and secondary anal cancer among women
in Puerto Rico (PR). MATERIALS AND METHODS: We identified 9,489 women who had
been diagnosed with a primary cervical, vaginal, or vulvar tumor during 1987
2013. To describe the trends of invasive cervical, vulvar, vaginal, and anal
cancer, the age-adjusted incidence rates were estimated using the direct method
(2000 US as Standard Population). Standardized incidence ratios
(observed/expected) were computed using the indirect method; expected cases were
calculated using 2 methods based on age-specific rates of anal cancer in PR. The
ratio of standardized incidence ratios of anal cancer was estimated using the
Poisson regression model to estimate the magnitude of the association between HPV
gynecologic neoplasms and secondary anal cancer. RESULTS: A significant increase
in the incidence trend for anal cancer was observed from 1987 to 2013 (annual
percent change = 1.1, p < .05), whereas from 2004 to 2013, an increase was
observed for cervical cancer incidence (annual percent change = 3.3, p < .05).
The risk of secondary anal cancer among women with HPV-related gynecological
cancers was approximately 3 times this risk among women with non-HPV-related
gynecological cancers (relative risk = 3.27, 95% CI = 1.37 to 7.79). CONCLUSIONS:
Anal cancer is increasing among women in PR. Women with gynecological HPV-related
tumors are at higher risk of secondary anal cancer as compared with women from
the general population and with those with non-HPV-related gynecological cancers.
Appropriate anal cancer screening guidelines for high-risk populations are
needed, including women with HPV-related gynecological malignancies and
potentially other cancer survivors.
PMID- 29649026
TI - Evidence Review Conducted for the Agency for Healthcare Research and Quality
Safety Program for Improving Surgical Care and Recovery: Focus on Anesthesiology
for Colorectal Surgery.
AB - The Agency for Healthcare Research and Quality, in partnership with the American
College of Surgeons and the Johns Hopkins Medicine Armstrong Institute for
Patient Safety and Quality, has developed the Safety Program for Improving
Surgical Care and Recovery (ISCR), which is a national effort to disseminate best
practices in perioperative care to more than 750 hospitals across multiple
procedures in the next 5 years. The program will integrate evidence-based
processes central to enhanced recovery and prevention of surgical site infection,
venous thromboembolic events, catheter-associated urinary tract infections with
socioadaptive interventions to improve surgical outcomes, patient experience, and
perioperative safety culture. The objectives of this review are to evaluate the
evidence supporting anesthesiology components of colorectal (CR) pathways and to
develop an evidence-based CR protocol for implementation. Anesthesiology protocol
components were identified through review of existing CR enhanced recovery
pathways from several professional associations/societies and expert feedback.
These guidelines/recommendations were supplemented by evidence made further
literature searches. Anesthesiology protocol components were identified spanning
the immediate preoperative, intraoperative, and postoperative phases of care.
Components included carbohydrate loading, reduced fasting, multimodal
preanesthesia medication, antibiotic prophylaxis, blood transfusion,
intraoperative fluid management/goal-directed fluid therapy, normothermia, a
standardized intraoperative anesthesia pathway, and standard postoperative
multimodal analgesic regimens.
PMID- 29649027
TI - Pain and Its Long-term Interference of Daily Life After Critical Illness.
AB - BACKGROUND: Persistent pain likely interferes with quality of life in survivors
of critical illness, but data are limited on its prevalence and risk factors. We
sought to determine the prevalence of persistent pain after critical illness and
its interference with daily life. Additionally, we sought to determine if
intensive care unit (ICU) opioid exposure is a risk factor for its development.
METHODS: In a cohort of adult medical and surgical ICU survivors, we used the
brief pain inventory (BPI) to assess pain intensity and pain interference of
daily life at 3 and 12 months after hospital discharge. We used proportional odds
logistic regression with Bonferroni correction to evaluate the independent
association of ICU opioid exposure with BPI scores, adjusting for potential
confounders including age, preadmission opioid use, frailty, surgery, severity of
illness, and durations of delirium and sepsis while in the ICU. RESULTS: We
obtained BPI outcomes in 295 patients overall. At 3 and 12 months, 77% and 74% of
patients reported persistent pain symptoms, respectively. The median
(interquartile range) pain intensity score was 3 (1, 5) at both 3 and 12 months.
Pain interference with daily life was reported in 59% and 62% of patients at 3
and 12 months, respectively. The median overall pain interference score was 2 (0,
5) at both 3 and 12 months. ICU opioid exposure was not associated with increased
pain intensity at 3 months (odds ratio [OR; 95% confidence interval], 2.12 [0.92
4.93]; P = .18) or 12 months (OR, 2.58 [1.26-5.29]; P = .04). ICU opioid exposure
was not associated with increased pain interference of daily life at 3 months
(OR, 1.48 [0.65-3.38]; P = .64) or 12 months (OR, 1.46 [0.72-2.96]; P = .58).
CONCLUSIONS: Persistent pain is prevalent after critical illness and frequently
interferes with daily life. Increased ICU opioid exposure was not associated with
worse pain symptoms. Further studies are needed to identify modifiable risk
factors for persistent pain in the critically ill and the effects of ICU opioids
on patients with and without chronic pain.
PMID- 29649028
TI - Does the Incidence of Postoperative Complications After Inguinal Hernia Repair
Justify Hospital Admission in Prematurely and Term Born Infants?
AB - BACKGROUND: Postoperatively, young infants are admitted overnight in view of the
risk for respiratory complications such as desaturation and apnea. This risk
seems much lower than previously reported. Until what age this risk persists, and
which infants might actually qualify for day-care treatment, is unknown. METHODS:
We retrospectively reviewed medical charts from preterm infants <45 weeks
postconceptional age (PCA), 45-60 weeks PCA, and term infants <3 months admitted
overnight after inguinal hernia repair, from January 2011 to December 2015 in a
large tertiary children's hospital. Postoperative complications (divided into
respiratory, circulatory, neurologic, and other), recurrence, and reoperation
were documented and compared between groups. RESULTS: Medical charts of 485
patients were reviewed. Postoperative respiratory complications (mainly
desaturations or apnea) had been documented for 27 of 76 (35.5%) preterm infants
<45 weeks PCA, for 13 of 221 (5.9%) preterm infants 45-60 weeks PCA, and for 3 of
188 (1.6%) term infants (P < .001). An analysis of the 221 preterm infants 45-60
weeks PCA showed statistically significantly more respiratory complications in 76
infants with a respiratory history (eg, bronchopulmonary dysplasia) compared with
the others (respectively 13.2% vs 0.7%; P < .001). In these infants, lower
gestational age at the time of surgery was statistically significantly predictive
for the development of respiratory complications (odds ratio [OR], 0.68 [95%
confidence interval {CI}, 0.52-0.89]; P = .005), but respiratory history (OR,
3.50 [0.34-36.28]; P = .294) and American Society of Anesthesiologists (ASA)
physical status (OR, 1.54 [95% CI, 0.31-7.65]; P = .598 for ASA physical status
II and OR, 6.11 [95% CI, 0.76-49.05]; P = .089 for ASA physical status III) were
not predictive. CONCLUSIONS: Incidence of postoperative respiratory complications
is high in preterm infants <45 weeks PCA requiring postoperative overnight
saturation and heart rate monitoring. Incidence of postoperative complications in
preterm born infants 45-60 weeks PCA varies. Gestational age and possibly
presence of respiratory history can be used to estimate the need for overnight
admission in these infants. Postoperative respiratory complications after
inguinal hernia repair in ASA physical status I and II term born infants >1 month
of age are uncommon, which justifies day-care admission for this type of surgical
procedure.
PMID- 29649029
TI - Dexmedetomidine Sedation for Paroxysmal Supraventricular Tachycardia Ablation Is
Not Associated With Alteration of Arrhythmia Inducibility.
AB - BACKGROUND: Dexmedetomidine (Dex) is an attractive agent for procedural sedation
due to its unique pharmacodynamic profile, specifically affording predictable
sedation without concurrent respiratory depression. However, Dex has previously
been reported to prevent or terminate arrhythmias. The purpose of this study was
to investigate paroxysmal supraventricular tachycardia (PSVT) inducibility and
homeostatic stability during electrophysiology studies (EPSs) and ablation when a
standardized Dex protocol was used as the primary sedation agent. METHODS: We
performed a retrospective review of 163 consecutive procedures for PSVT ablation
that received Dex as the primary sedative with adjunct fentanyl and midazolam
boluses (DEX-FENT-MIDAZ). This cohort was compared to 163 consecutive control
procedures wherein strictly fentanyl and midazolam were used for sedation. The
primary outcome reviewed was PSVT inducibility assessed before ablation. Reviewed
secondary outcomes included level of sedation and intraprocedure hemodynamics and
oxygenation. RESULTS: The arrhythmia profiles of the DEX-FENT-MIDAZ and control
cohorts were very similar. The overall incidence of a "negative" EPSs in which
arrhythmia was not induced was 24% in the DEX-FENT-MIDAZ group and 26% in the
control group (P = .7). Unintended deep sedation was significantly less with DEX
FENT-MIDAZ (4.3% vs 27%; P <= .0001). However, DEX-FENT-MIDAZ use was associated
with a higher incidence of intraprocedure hypotension. CONCLUSIONS: Dex sedation
during EPSs is not associated with a reduction in PSVT inducibility. The
therapeutic utility of Dex during EPS arises from the predictable sedation Dex
affords but is associated with an increased incidence of intraprocedure
hypotension.
PMID- 29649030
TI - Resistin Is a Novel Marker for Postoperative Pain Intensity.
AB - BACKGROUND: Pro- and anti-inflammatory cytokines (adipokines) associated with
adipose tissue can modulate inflammatory processes and lead to systemic
inflammatory conditions such as metabolic syndrome. In the present pilot study,
we investigated 3 major adipokines (leptin, adiponectin, and resistin) and 2
nonspecific proinflammatory cytokines (tumor necrosis factor alpha and
interleukin-6) with regard to their association with postoperative pain
intensity. METHODS: We analyzed a total of 45 single-nucleotide polymorphisms of
the adipokines in 57 patients with postlaparotomy pain. We adjusted for multiple
testing to reduce the chance of false-positive results by controlling the false
discovery rate. Serum levels of the adipokines and proinflammatory cytokines were
measured in another 36 patients undergoing laparotomy. A stepwise multiple linear
regression analysis using these measurements and opioid dosages as independent
variables was performed to explore the factors associated with postoperative
pain. RESULTS: Only 1 variant of the resistin gene (rs3745367) demonstrated a
significant association with postoperative pain (P < .002). Patients exhibiting
homozygosity for the minor alleles (n = 7; numerical rating scale [NRS], 2.3 +/-
1.3) demonstrated lower pain intensity compared with those exhibiting
homozygosity for the major alleles (n = 29; NRS, 3.8 +/- 1.0; P = .004) and
heterozygosity for the minor alleles (n = 21; NRS, 4.2 +/- 0.8; P < .001). Only
serum resistin levels showed a positive association with postoperative pain.
CONCLUSIONS: A genetic variant of resistin and serum resistin levels were
associated with postoperative pain intensity, while other adipokines and
cytokines exhibit no such association. Resistin can alter the inflammatory
responses in postoperative wounds, although it could be a determinant factor that
is independent of inflammatory processes. Resistin may be a novel marker for
postoperative pain intensity.
PMID- 29649031
TI - Low Level of Vegetative State After Traumatic Brain Injury in a Swiss Academic
Hospital.
AB - BACKGROUND: No standards exist regarding decision making for comatose patients,
especially concerning life-saving treatments. The aim of this retrospective,
single-center study was to analyze outcomes and the decision-making process at
the end of life (EOL) in patients with traumatic brain injury (TBI) in a Swiss
academic tertiary care hospital. METHODS: Consecutive admissions to the surgical
intensive care unit (ICU) with stays of at least 48 hours between January 1, 2012
and June 30, 2015 in patients with moderate to severe TBI and with fatality
within 6 months after trauma were included. Descriptive statistics were used.
RESULTS: Of 994 ICU admissions with TBI in the study period, 182 had an initial
Glasgow Coma Scale <13 and a length of stay in the ICU >48 hours. For 174 of
them, a 6-month outcome assessment based on the Glasgow Outcome Scale (GOS) was
available: 43.1% (36.0%-50.5%) had favorable outcomes (GOS 4 or 5), 28.7% (22.5%
35.9%) a severe disability (GOS 3), 0.6% (0%-3.2%) a vegetative state (GOS 2),
and 27.6% (21.5%-34.7%) died (GOS 1). Among the GOS 1 individuals, 45 patients
had a complete dataset (73% men; median age, 67 years; interquartile range, 43-79
years). Life-prolonging therapies were limited in 95.6% (85.2%-99.2%) of the
cases after interdisciplinary prognostication and involvement of the surrogate
decision maker (SDM) to respect the patient's documented or presumed will. In
97.7% (87.9%-99.9%) of the cases, a next of kin was the SDM and was involved in
the EOL decision and process in 100% (96.3%-100.0%) of the cases. Written advance
directives (ADs) were available for 14.0% (6.6%-27.3%) of the patients, and 34.9%
(22.4%-49.8%) of the patients had shared their EOL will with relatives before
trauma. In the other cases, each patient's presumed will was acknowledged after a
meeting with the SDM and was binding for the EOL decision. CONCLUSIONS: At our
institution, the majority of deaths after TBI follow a decision to limit life
prolonging therapies. The frequency of patients in vegetative state 6 months
after TBI is lower than expected; this could be due to the high prevalence of
limitation of life-prolonging therapies. EOL decision making follows a
standardized process, based on patients' will documented in the ADs or on
preferences assumed by the SDM. The prevalence of ADs was low and should be
encouraged.
PMID- 29649032
TI - Airway Management Practice in Adults With an Unstable Cervical Spine: The
Harborview Medical Center Experience.
AB - BACKGROUND: Airway management in the presence of acute cervical spine injury
(CSI) is challenging. Because it limits cervical spine motion during tracheal
intubation and allows for neurological examination after the procedure, awake
fiberoptic bronchoscopy (FOB) has traditionally been recommended. However, with
the widespread availability of video laryngoscopy (VL), its use has declined
dramatically. Our aim was to describe the frequency of airway management
techniques used in patients with CSI at our level I trauma center and report the
incidence of neurological injury attributable to airway management. METHODS:
Adults presenting to the operating room with CSI without a tracheal tube in situ
between September 2010 and June 2017 were included. All patients were intubated
in the presence of manual-in-line stabilization, a hard cervical collar, or
surgical traction. Worsening neurological status was defined as new motor or
sensory deficits on postoperative examination. RESULTS: Two hundred fifty-two
patients were included, of which 76 (30.2%) had preexisting neurological
deficits. VL was the most frequent initial airway management technique used
(49.6%). Asleep FOB was commonly performed alone (30.6%) or in conjunction with
VL (13.5%). Awake FOB was rarely performed (2.3%), as was direct laryngoscopy
(2.8%). All techniques were associated with high first-attempt success rates, and
no cases of neurological injury attributable to airway management technique were
identified. CONCLUSIONS: Among patients with acute CSI at a high-volume academic
trauma center, VL was the most commonly used initial intubation technique. Awake
FOB and direct laryngoscopy were performed infrequently. No cases of neurological
deterioration secondary to airway management occurred with any method. Assuming
care is taken to limit neck movement, providers should use the intubation
technique with which they have the most comfort and skill.
PMID- 29649033
TI - Predictors of Admission After the Implementation of an Enhanced Recovery After
Surgery Pathway for Minimally Invasive Gynecologic Surgery.
AB - BACKGROUND: Enhanced recovery after surgery (ERAS) pathways in gynecologic
surgery have been shown to decrease length of stay with no impact on readmission,
but no study has assessed predictors of admission in this population. The purpose
of this study was to identify predictors of admission after laparoscopic
hysterectomy (LH) and robotic-assisted hysterectomy (RAH) performed under an ERAS
pathway. METHODS: This is a prospective observational study of women undergoing
LH/RAH for benign indications within an ERAS pathway. Data collected included
same-day discharge, reason for admission, incidences of urgent clinic and
emergency room (ER) visits, readmissions, reoperations, and 9 postulated
predictors of admission listed below. Patient demographics, markers of baseline
health, and clinical outcomes were compared between groups (ERAS patients
discharged on the day of surgery versus admitted) using Fisher exact and Student
t tests. Multivariable logistic regression was used to assess the potential risk
factors for being admitted, adjusting for age, race, body mass index, American
Society of Anesthesiologists (ASA) physical status score, preoperative diagnosis
indicative of hysterectomy, preoperative chronic pain, completion of a
preprocedure pain-coping skills counseling session, procedure time, and
compliance to the ERAS pathway. RESULTS: There were 165 patients undergoing
LH/RAH within an ERAS pathway; 93 (56%) were discharged on the day of surgery and
72 were admitted. There were no significant differences in ER visits,
readmissions, and reoperations between groups (ER visits: discharged 13% versus
admitted 13%, P = .99; 90-day readmission: discharged 4% versus admitted 7%, P =
.51; and 90-day reoperation: discharged 4% versus admitted 3%, P = .70). The most
common reasons for admission were postoperative urinary retention (n = 21, 30%),
inadequate pain control (n = 21, 30%), postoperative nausea and vomiting (n = 7,
10%), and planned admissions (n = 7, 10%). Increased ASA physical status, being
African American, and increased length of procedure were significantly associated
with an increased risk of admission (ASA physical status III versus ASA physical
status I or II: odds ratio [OR], 3.12; 95% confidence interval [CI], 1.36-7.16; P
= .007; African American: OR, 2.47; 95% CI, 1.02-5.96; P = .04; and length of
procedure, assessed in 30-minute increments: OR, 1.23; 95% CI, 1.02-1.50; P =
.04). CONCLUSIONS: We were able to define predictors of admission for patients
having LH/RAH managed with an ERAS pathway. Increased ASA physical status, being
African American, and increased length of procedure were significantly associated
with admission after LH/RAH performed under an ERAS pathway. In addition, the
incidences of urgent clinic and ER visits, readmissions, and reoperations within
90 days of surgery were similar for patients who were discharged on the day of
surgery compared to those admitted.
PMID- 29649034
TI - Obstructive Sleep Apnea in Pregnant Women: A Review of Pregnancy Outcomes and an
Approach to Management.
AB - Among obese pregnant women, 15%-20% have obstructive sleep apnea (OSA) and this
prevalence increases along with body mass index and in the presence of other
comorbidities. Prepregnancy obesity and pregnancy-related weight gain are
certainly risk factors for sleep-disordered breathing in pregnancy, but certain
physiologic changes of pregnancy may also increase a woman's risk of developing
or worsening OSA. While it has been shown that untreated OSA in postmenopausal
women is associated with a range of cardiovascular, pulmonary, and metabolic
comorbidities, a body of literature is emerging that suggests OSA may also have
serious implications for the health of mothers and fetuses during and after
pregnancy. In this review, we discuss the following: pregnancy as a vulnerable
period for the development or worsening of OSA; the associations between OSA and
maternal and fetal outcomes; the current screening modalities for OSA in
pregnancy; and current recommendations regarding peripartum management of OSA.
PMID- 29649035
TI - Pharmacological Characterization of Levorphanol, a G-Protein Biased Opioid
Analgesic.
AB - BACKGROUND: Levorphanol is a potent analgesic that has been used for decades.
Most commonly used for acute and cancer pain, it also is effective against
neuropathic pain. The recent appreciation of the importance of functional bias
and the uncovering of multiple u opioid receptor splice variants may help explain
the variability of patient responses to different opioid drugs. METHODS: Here, we
evaluate levorphanol in a variety of traditional in vitro receptor binding and
functional assays. In vivo analgesia studies using the radiant heat tail flick
assay explored the receptor selectivity of the responses through the use of
knockout (KO) mice, selective antagonists, and viral rescue approaches. RESULTS:
Receptor binding studies revealed high levorphanol affinity for all the MU,
delta, and kappa opioid receptors. In S-GTPgammaS binding assays, it was a full
agonist at most u receptor subtypes, with the exception of MOR-1O, but displayed
little activity in beta-arrestin2 recruitment assays, indicating a preference for
G-protein transduction mechanisms. A KO mouse and selective antagonists confirmed
that levorphanol analgesia was mediated through classical u receptors, but there
was a contribution from 6 transmembrane targets, as illustrated by a lower
response in an exon 11 KO mouse and its rescue with a virally transfected 6
transmembrane receptor splice variant. Compared to morphine, levorphanol had less
respiratory depression at equianalgesic doses. CONCLUSIONS: While levorphanol
shares many of the same properties as the classic opioid morphine, it displays
subtle differences that may prove helpful in its clinical use. Its G-protein
signaling bias is consistent with its diminished respiratory depression, while
its incomplete cross tolerance with morphine suggests it may prove valuable
clinically with opioid rotation.
PMID- 29649036
TI - Association Between Alexithymia and Risk of Incident Cardiovascular Diseases in
the SUpplementation en VItamines et Mineraux AntioXydants (SU.VI.MAX) Cohort.
AB - OBJECTIVE: Although it has been suggested that alexythymia is associated with
cardiovascular diseases, studies are scarce and a causal relationship is
questionable. This study explored the prospective association between alexithymia
and cardiovascular events in middle-aged participants without cardiovascular
history at baseline. METHODS: The 26-item Toronto Alexithymia Scale (TAS-26) was
completed by 5586 participants of the French SUpplementation en VItamines et
Mineraux AntioXydants cohort (41.4% of men, M [SD] age = 52.2 [6.3] years) in
1996-1997. Covariates measured at baseline included age, occupational status,
depressive symptoms, smoking status, body mass index, hypertension, diabetes,
hypercholesterolemia, and hypertriglyceridemia. The follow-up ran from January 1,
1998, to the date of the first cardiovascular event, the date of death or
September 1, 2007, whichever occurred first. Cardiovascular events were validated
by an independent expert committee. Hazard ratios and 95% confidence intervals
were computed with Cox regressions. RESULTS: During an average of 8.9 years of
follow-up, 171 first cardiovascular events were validated. After adjustment for
age, sex, and occupational status, there was no association between baseline
alexithymia and cardiovascular events at follow-up (hazard ratio [95% confidence
interval] for 15 points of TAS-26 = 1.00 [0.81-1.23], p > .99). Adjusting for all
covariates, using binary TAS-26 cut-offs or TAS-26 subscores yielded similar
nonsignificant results. CONCLUSIONS: In this large prospective study, alexithymia
and cardiovascular events were not associated among a nonclinical population.
This casts some doubt on whether alexithymia could be a meaningful target in the
prevention of cardiovascular diseases. CLINICAL TRIAL REGISTRATION:
Clinicaltrials.gov (NCT00272428).
PMID- 29649037
TI - Immunotherapy in head and neck cancer: a new paradigm.
PMID- 29649038
TI - The effect and mechanism of millepachine-disrupted spindle assembly in tumor
cells.
AB - Millepachine (MIL) is a bioactive natural product that shows great potential for
cancer treatment. Previous studies showed that MIL was a novel cancer drug
candidate with a special structure. To provide reference for the research and
development of MIL, we further investigated the mechanism of MIL inducing G2/M
arrest and found MIL disrupted spindle assembly in tumor cells. In this study, we
investigated the disrupting spindle assembly effects of MIL with a focus on its
potential mechanism of action. First, we indicated that MIL did not inhibit
microtubule polymerization from the results of in-vivo microtubule nucleation
assay and microtubule polymerization in-vitro assay but delayed this process by
inhibiting the production of ATP in tumor cells. Thereafter, we investigated the
effect of MIL on the mitotic spindle. We found that MIL induced multipolar
spindles by inhibiting the activity of Eg5 and inhibited mitotic spindle
formation and chromatin condensation by the activation of the spindle assembly
checkpoint (SAC) in tumor cells. These results established a novel function of
MIL in regulating the assembly of mitotic spindle. As Eg5 and SAC are antitumor
targets, effect of MIL on the Eg5 protein and SAC activation hinted that MIL has
novel application in the development of antitumor drugs.
PMID- 29649039
TI - Barriers to Rehabilitation Care in Pediatric Cochlear Implant Recipients.
AB - OBJECTIVE: The purpose of this study was to assess barriers to rehabilitation
care for pediatric cochlear implant (CI) recipients. STUDY DESIGN: Cross
sectional questionnaire study. SETTING: Tertiary medical center. PATIENTS:
Parents of children who received a CI from October 1996 to June 2013. MAIN
OUTCOME MEASURE(S): Factors related to access to and barriers in audiology and
speech therapy services, factors related to CI use, and performance with CI using
the Parents' Evaluation of Aural/Oral Performance of Children (PEACH). RESULTS:
Thirty-five parents participated in the study (21 rural residents and 14 urban
residents). Distance was a significant barrier to audiology services for rural
participants compared with urban participants (p = 0.01). Consistent CI use was
complicated by mechanical complications or malfunction in 70% of rural children
compared with 33% of urban children (p = 0.05). Only 10% of rural children were
able to access speech therapy services at diagnosis compared with 42% of urban
children (p = 0.04). Low socioeconomic (SES) status and Medicaid insurance were
associated with a lack of local speech therapists and medical/mechanical CI
complications. Higher parental educational attainment was associated with higher
PEACH scores in quiet conditions compared with families with lower parental
education (p = 0.04). CONCLUSIONS: Rural children are often delayed in receipt of
CI rehabilitation services. Multiple barriers including low SES, insurance type,
and parental education can affect utilization of these services and may impact
the recipient language development. Close follow-up and efforts to expand access
to care are needed to maximize CI benefit.
PMID- 29649040
TI - Improvement in Patient-reported Hearing After Treatment With Bevacizumab in
People With Neurofibromatosis Type 2.
AB - OBJECTIVE: Assess patient-reported outcomes (PRO) for hearing and tinnitus
relative to clinical hearing assessment in people with neurofibromatosis 2 (NF2)
associated hearing loss. STUDY DESIGN: Prospective, open label, phase-II clinical
trial with PRO administered pre-, post-, and after treatment. SETTING: Three
tertiary referral centers. PATIENTS: Fourteen patients with NF2, median age of 30
years (range, 14-79 yr) and progressive hearing loss (median baseline word
recognition score, 60%; range, 13-82%). Half of these patients achieved objective
hearing response (word recognition score improved beyond the 95% critical
difference versus baseline). INTERVENTION: Bevacizumab 7.5 mg/kg was administered
every 3 weeks for 48 weeks, followed by surveillance for 24 weeks off-drug. MAIN
OUTCOME MEASURES: Speech, spatial, and qualities of hearing scale (SSQ) and
tinnitus reaction questionnaire (TRQ) to assess hearing difficulties in life
situations and tinnitus related distress. RESULTS: Patient-reported speech
understanding and auditory quality improved with bevacizumab treatment and were
significantly correlated with word recognition scores, but not pure tone
threshold average. There was no change in spatial perception after treatment.
Reduction in tinnitus distress after treatment with bevacizumab did not reach
statistical significance. CONCLUSION: Participants had reductions in hearing
difficulty during treatment with bevacizumab, suggesting that patients
subjectively experience hearing-related benefit mirroring clinical hearing
assessments. We suspect the lack of significant reduction in tinnitus distress is
related to small sample size and low intensity of distress in our sample. These
data highlight the usefulness of PRO measures to assess benefits of treatment in
the setting of NF2-associated hearing loss.
PMID- 29649041
TI - Squamous Papilloma of the External Auditory Canal.
PMID- 29649042
TI - Factors Associated With the Benefits of Concurrent Administration of
Intratympanic Steroid Injection With Oral Steroids in Patients With Acute
Acoustic Trauma.
AB - OBJECTIVE: To analyze the factors associated with the benefits of concurrent
administration of intratympanic steroid injection (ITSI) and oral steroids in
patients with acute acoustic trauma (AAT) incurred during military training.
STUDY DESIGN: Retrospective analysis. PATIENTS: Nineteen patients eligible under
the criteria established concerning treatment for AAT were retrospectively
reviewed in this study. INTERVENTIONS: ITSI treatments were administered
simultaneously alongside oral prednisolone. MAIN OUTCOME MEASURES: Patients were
categorized into two groups depending on the time elapsed between exposure to the
noise and treatment initiation: 1) "Early Treatment initiation," defined as the
treatment being initiated between 3 and 7 days; and 2) "Delayed treatment
initiation," defined as the treatment being initiated in >7 days. Pure-tone air
conduction threshold audiometry, to record the pure-tone average (PTA) at 2, 4,
and 8 kHz, was conducted upon each patient's initial visit, and 1 month after
starting treatment. The degree of hearing gain (hearing gain [dB] = [initial PTA]
- [final PTA]) was calculated and used as the metric for determining the
treatment's outcome. The initial PTA and treatment onset were adopted as possible
associated factors. RESULTS: The mean ages of each group were 22.00 +/- 2.12
years and 22.83 +/- 2.64 years, respectively (p = 0.28). The initial PTAs were
46.41 +/- 12.73 dB and 47.22 +/- 14.74 dB, respectively (p = 1.00).In the
multivariable linear regression analysis, the initial PTA and the treatment
initiation showed a significant association (R = 0.37). The unstandardized
regression coefficient of the initial PTA was 0.37 (p = 0.04). Patients with
early treatment initiation showed significant improvement in the degree of
hearing gain compared with delayed treatment initiation (unstandardized
regression coefficient = 12.63, p = 0.01). CONCLUSIONS: We demonstrated the
importance of early treatment onset for maximizing the benefits of concurrent
administration of ITSI with oral steroids in patients with AAT suffered during
military training. Further evaluation is needed to confirm the factors associated
with the efficacy of concurrent ITSI with oral steroids.
PMID- 29649043
TI - Permeation Enhancers for Intratympanically-applied Drugs Studied Using
Fluorescent Dexamethasone as a Marker.
AB - HYPOTHESIS: Entry of locally applied drugs into the inner ear can be enhanced by
chemical manipulations. BACKGROUND: Perilymph drug concentrations achieved by
intratympanic applications are well below the applied concentration due to
limited entry through the round window (RW) membrane and stapes. Chemical
manipulations to increase entry permeability could increase the effectiveness of
drug therapy with local applications. METHODS: Dexamethasone-fluorescein (F-dex)
was used as an entry marker. F-dex was applied to the RW niche of guinea pigs as
a 20 MUL bolus of 1 mM solution. After a 1 hour application, 10 samples of
perilymph were collected sequentially from the lateral semicircular canal,
allowing F-dex distribution throughout the perilymph to be quantified. Entry was
also measured with the applied solution additionally containing dimethyl
sulfoxide (DMSO), N-methylpyrrolidone (NMP), saponin, caprate, benzyl alcohol
(BA) or poloxamer 407 (P407). Combinations of saponin or BA with P407 were also
compared. RESULTS: In control experiments, F-dex entered the inner ear slowly at
both the RW and stapes. The total F-dex recovered in all 10 samples from each
animal averaged 2.1 pMoles for controls, 1.71 pMoles for 17% P407, 3.70 pMoles
for caprate, 8.04 pMoles for DMSO, 16.32 pMoles for NMP, 31.0 pMoles for saponin,
and 67.3 pMoles for 4% BA. Entry with DMSO, NMP, saponin and 4% BA were all
significantly higher than the controls (one-way ANOVA). CONCLUSION: These studies
confirm that entry of drugs into the ear can be markedly enhanced with the use of
chemical permeation-enhancing agents.
PMID- 29649044
TI - Oval Window Size and Shape: a Micro-CT Anatomical Study With Considerations for
Stapes Surgery.
AB - BACKGROUND: The oval window is an important structure with regard to stapes
surgeries, including stapedotomy for the treatment of otosclerosis. Recent study
of perioperative imaging of the oval window has revealed that oval window niche
height can indicate both operative difficulty and subjective discomfort during
otosclerosis surgery. With regard to shape, structures incorporated into the oval
window niche, such as cartilage grafts, must be compatible with the shape of the
oval window. Despite the clinical importance of the oval window, there is little
information regarding its size and shape. METHODS: This study assessed oval
window size and shape via micro-computed tomography paired with modern
morphometric methodology in the fetal, infant, child, and adult populations.
Additionally, the study compared oval window size and shape between sexes and
between left- and right-sided ears. RESULTS: No significant differences were
found among traditional morphometric parameters among age groups, sides, or
sexes. However, geometric morphometric methods revealed shape differences between
age groups. Further, geometric morphometric methods provided the average oval
window shape and most-likely shape variance. CONCLUSION: Beyond demonstrating
oval window size and shape variation, the results of this report will aid in
identifying patients among whom anatomical variation may contribute to surgical
difficulty and surgeon discomfort, or otherwise warrant preoperative adaptations
for the incorporation of materials into and around the oval window.
PMID- 29649045
TI - Clinical Usefulness of 18F-FDG PET/CT for Staging Cancer of the External Auditory
Canal.
AB - OBJECTIVE: Our objective was to evaluate the clinical usefulness of positron
emission tomography/computed tomography using 2-deoxy-2-[F-18]fluoro-D-glucose
(FDG-PET/CT) for staging cancer of the external auditory canal (EAC). STUDY
DESIGN: Retrospective case review. SETTING: Tertiary referral center. PATIENTS:
Twenty-three patients (seven men, 16 women, mean age of 59.6-year-old) who
underwent FDG-PET/CT and high-resolution CT within 1 month for staging cancer of
the EAC between July 2006 and December 2014 were enrolled in this study.
INTERVENTION: FDG-PET/CT, high-resolution CT. MAIN OUTCOME MEASURES: Sensitivity,
specificity, and accuracy for diagnosing nodal metastases. RESULTS: Most primary
tumors were FDG-avid and maximum standardized uptake values were 7.72 +/- 3.04
and 9.06 +/- 3.94 for the early (60 min) and delayed (120 min) phases of FDG
PET/CT, respectively. FDG-PET/CT was capable of detecting small nodal metastases
(short axis <10 mm), and patient-based sensitivity, specificity, and accuracy of
FDG-PET/CT for the N staging were 71.4, 81.3, and 78.3%, respectively. There were
no patients with distant metastases in our cohort. Compared with the combination
of clinical examination and conventional imaging methods, additional FDG-PET/CT
resulted in a change in the surgical strategy in five patients (21.7%).
CONCLUSIONS: Most primary cancers of the EAC are FDG-avid, and FDG-PET/CT is
useful for detecting small nodal metastases, followed by the change in the
surgical strategy.
PMID- 29649046
TI - Range of Peak Head Velocity in Video Head Impulse Testing for Pediatric Patients.
AB - OBJECTIVE: To examine peak head velocity in video head impulse testing of
pediatric patients and its effect on vestibulo-ocular reflex (VOR) gain. STUDY
DESIGN: Retrospective case review. SETTING: Tertiary referral center. PATIENTS:
Pediatric patients ages 3 to 18 years old who underwent video head impulse
testing during clinical evaluation of dizziness and/or imbalance.
INTERVENTION(S): Conducted video head impulse test (vHIT) in horizontal, left
anterior-right posterior (LARP), and right anterior-left posterior (RALP) planes.
MAIN OUTCOME MEASURE(S): Peak head velocity and mean VOR gain for individual
semicircular canals in different age groups. RESULTS: For the horizontal plane,
vHIT peak head velocity greater than 150 degrees/s was achieved in 43 to 63% of
219 patients. In contrast, vHIT peak head velocity greater than 150 degrees/s was
achieved in only 3 to 14% for the LARP and RALP planes. Analyses of differences
in mean VOR gains among three ranges of peak head velocity (100-125 degrees/s,
126-150 degrees/s, and >150 degrees/s) by paired-t tests were not significant (p
> 0.05) within individual patients. Mean VOR gains of three vertical canals in
younger patients were significantly lower with higher peak head velocities
compared with older children (p < 0.05). CONCLUSIONS: Peak head velocities of
greater than 150 degrees/s may not be feasible in a large proportion of children
and adolescents, especially in LARP and RALP planes. However, such high
velocities may not be necessary in the pediatric population since VOR gain values
obtained at lower peak head velocities of 100 to 150 degrees/s were found to be
comparable to those observed at higher velocities.
PMID- 29649047
TI - Preliminary Outcomes Report for CO2 Laser Assisted Electric-Acoustic Cochlear
Implantation.
AB - OBJECTIVE: Report on the safety/efficacy of a novel, carbon dioxide (CO2) laser
assisted protocol for hearing-preservation cochlear implantation (HPCI) and
electric-acoustic stimulation (EAS). STUDY DESIGN: Retrospective case review.
SETTING: Tertiary referral center. PATIENTS: Adult patients meeting established
criteria for HPCI and EAS. INTERVENTION: Therapeutic/rehabilitative. A
standardized protocol used CO2 laser to achieve meticulous hemostasis and perform
cochleostomy was evaluated. MAIN OUTCOME MEASURES: Audiometric assessments
included low-tone pure tone average (LtPTA), consonant-nucleus-consonant (CNC),
and AzBio scores. Primary outcomes were low-tone hearing-preservation (LtHP) and
EAS usage rates. Secondary outcomes included change in LtPTA, outcomes
durability, and the rate/onset/presentation of delayed hearing loss (DHL). Subset
analyses stratified data by presenting LtPTA and surgeon experience. RESULTS:
Forty-seven patients and 52 ears were included. Mean follow-up was 20.7 +/- 12.6
months. When adjusted for preoperative LtPTA less than 60 dB, the LtHP rate was
77%. This was significantly better than for patients with LtPTA more than 60 dB
(24%; p < 0.0001). Outcomes were highly durable. EAS usage was excellent in those
with LtPTA less than 60 dB (100%). Nine patients developed DHL. Three additional
patients (25%) were successfully salvaged via steroids. Both CNC and AzBio scores
improved significantly (p < 0.0001) at definitive testing. Speech-hearing scores
did not differ significantly between patients using/not using EAS. The
complication rate was 3.8%; none were caused by the laser. Surgeon experience was
associated with significantly better outcomes. CONCLUSION: Use of a CO2 laser
assisted HPCI protocol was safe and effective. Outcomes were consistent with
contemporary literature reporting. Patient selection and surgeon experience both
significantly impacted outcomes.
PMID- 29649048
TI - Improved Speech Perception in Cochlear Implant Users With Interleaved High-Rate
Pulse Trains.
AB - OBJECTIVE: Electrical stimulation with a cochlear implant (CI) elicits abnormally
high neural synchrony, which poses significant challenges for speech perception.
Previous investigations showed that constant-amplitude high-rate pulse trains
(HRPs) desynchronize neural responses and improve stimulus encoding. The study
objective was to investigate the effects of HRP on speech perception in adult CI
users. STUDY DESIGN: Prospective, within-subject design. SETTING: Tertiary CI
center. PATIENTS: Ten adult CI recipients. INTERVENTION: Sentence stimuli were
created by modifying a basic continuous interleaved sampling (CIS) strategy
(1,000 pulses per second; pps) with interleaved biphasic pulse trains (3,000 pps)
on even electrodes. Institute of Electrical and Electronics Engineers sentences
in quiet and in noise were tested without HRP, and with HRPs of various
stimulation levels. MAIN OUTCOME MEASURE: Sentence perception in percent correct
was calculated for all conditions. The highest speech perception score with HRP
stimulation was defined as "max-HRP." RESULTS: Group analyses showed
significantly improved sentence perception in background noise with HRPs (p <
0.001). There was no significant difference for sentence perception in quiet for
the group. However, seven of 10 subjects experienced some benefit from HRP
stimuli in quiet and the degree of HRP benefit showed significant relationships
with baseline performance and age at implantation, indicating that HRP stimuli
may be most beneficial for older patients or poorer performers. CONCLUSIONS:
Significant improvement in speech perception in noise was observed with HRP
stimuli. Some recipients may also benefit from HRP stimulation in quiet.
Interleaved HRPs hold promise as a novel stimulation paradigm with clinical sound
processing strategies to improve patient performance.
PMID- 29649049
TI - A Comparison of Repeatability and Usability of Semi-Automated Volume Segmentation
Tools for Measurement of Vestibular Schwannomas.
AB - OBJECTIVE: Semi-automated volume segmentation tools (SAVST) offer a less time
consuming technique compared with manual volume segmentation method. No data
exists to suggest which of the available applications are optimal for use with
vestibular schwannomas (VS). This study aims to compare repeatability and
usability of three different SAVST for measurement of VS. STUDY DESIGN:
Experimental comparison of three SAVST. SETTING: Tertiary skull base unit.
PATIENTS: Twenty-four patients with a unilateral VS imaged with T1-weighted
Gadolinium enhanced MRI. INTERVENTION: Repeated measurements made to determine
intra and inter-observer agreement. This was repeated using three different
SAVST. MAIN OUTCOME MEASURES: 1) Intra- and inter-observer intraclass correlation
coefficients (ICC), repeatability coefficients (RC), and relative smallest
detectable differences (%SDD).2) Usability as determined by the mean number of
steps and time required per tumor measurement and the proportion of cases where
manual editing was required. RESULTS: Intra-observer ICCs were significantly
better for SliceOmatic and OleaSphere than AW VolumeShare (0.998 versus 0.994, p
< 0.05). Inter-observer ICCs were also better for SliceOmatic (0.994) and
OleaSphere (0.989) compared with AW VolumeShare (0.976), however, this was only
significant for SliceOmatic (p = 0.012). SliceOmatic had a poorer usability
profile requiring more manual editing, time, and individual steps per measurement
but its "watershed segmentation" algorithm was better at measuring cystic or
heterogenous tumors. CONCLUSIONS: This is the first study to compare three SAVST
for measurement of VS. While SliceOmatic had the highest repeatability, Olea
Sphere combined comparable repeatability with improved usability and a greater
degree of automation and was, therefore, deemed optimal for use in routine
clinical practice.
PMID- 29649050
TI - Temporal Bone Histopathology in Cockayne Syndrome.
AB - : Cockayne syndrome (CS) is a rare autosomal recessive syndrome resulting in
defective DNA repair. Its features include cachectic dwarfism, hearing loss, skin
hypersensitivity to sunlight, premature aging, and dementia. Presented is a right
temporal bone of a patient who died at the age of 29 years. The clinical course
was compatible with type 1 CS, the classical form. Homozygous missense variant in
the ERCC6 gene (Excision Repair Cross-Complementation group 6) was found,
compatible with CS complementation group B. Five years before his death he
complained of tinnitus. An audiogram 3 and a 1/2 years before his death
demonstrated a moderate symmetrical sensorineural hearing loss at 2 to 8 kHz. The
speech reception threshold was 20 dB, and the word recognition score was 100% on
the right.Histopathology revealed a near normal population of inner hair cells
except in the basal 5 mm of the cochlea, and mild loss of outer hair cells
particularly at the base of the cochlea. Severe atrophy of the spiral ligament
and atrophy of stria vascularis and spiral prominence was present. There was loss
of Claudius cells, outer sulcus cells, and mesenchymal cells on the scala tympani
side of the basilar membrane and loss of cellularity of the limbus. There was a
moderate loss of Scarpa's and spiral ganglion neurons, with the most severe loss
in the basal segment. The vestibular neuro-epithelium was nearly intact, with the
exception of mild loss in the saccule. The vestibular perilymphatic, and to a
lesser extent endolymphatic spaces, were filled with filamentous material and
osteoid. The patient had better hearing and a larger complement of neurons
compared with the few published case reports.Neurodegenerative symptoms are
likely attributed to the effect of intramitochondrial reactive oxygen species.
The pathogenesis of hearing loss in CS may shed light on other causes of hearing
loss, such as that induced by noise.
PMID- 29649051
TI - Comparison of Video Head Impulse Test (vHIT) Gains Between Two Commercially
Available Devices and by Different Gain Analytical Methods.
AB - OBJECTIVES: To evaluate whether video head impulse test (vHIT) gains are
dependent on the measuring device and method of analysis. STUDY DESIGN:
Prospective study. METHODS: vHIT was performed in 25 healthy subjects using two
devices simultaneously. vHIT gains were compared between these instruments and
using five different methods of comparing position and velocity gains during head
movement intervals. RESULTS: The two devices produced different vHIT gain results
with the same method of analysis. There were also significant differences in the
vHIT gains measured using different analytical methods. The gain analytic method
that compares the areas under the velocity curve (AUC) of the head and eye
movements during head movements showed lower vHIT gains than a method that
compared the peak velocities of the head and eye movements. The former method
produced the vHIT gain with the smallest standard deviation among the five
procedures tested in this study. CONCLUSION: vHIT gains differ in normal subjects
depending on the device and method of analysis used, suggesting that it is
advisable for each device to have its own normal values. Gain calculations that
compare the AUC of the head and eye movements during the head movements show the
smallest variance.
PMID- 29649052
TI - Recurrence in Benign Paroxysmal Positional Vertigo: A Large, Single-Institution
Study.
AB - OBJECTIVE: To report rates of recurrence in benign paroxysmal positional vertigo
(BPPV) and associated patient and disease factors. STUDY DESIGN: Retrospective
chart review. SETTING: Single high-volume otology practice. PATIENTS: Patients
diagnosed with BPPV from 2007 to 2016 with documented resolution of symptoms.
INTERVENTION: Diagnostic and particle repositioning maneuvers for BPPV. MAIN
OUTCOME MEASURES: BPPV recurrence, time to recurrence, and ear(s) affected at
recurrence. RESULTS: A total of 1,105 patients meeting criteria were identified.
Of this population, 37% had recurrence of BPPV in either ear or both ears.
Overall same-ear recurrence rate was 28%; 76% of recurrences involved the same
ear(s) as initial presentation. Recurrences that occurred after longer disease
free intervals were more likely to involve the opposite ear than early
recurrences (p = 0.02). Female sex (40.4% versus 32.7%, p = 0.01) and history of
previous BPPV (57.5% versus 32.4%, p < 0.0005) were associated with increased
risk of recurrence, while history of Meniere's disease, diabetes mellitus, and
traumatic etiology were not. Approximately, half (56%) of recurrences occurred
within 1 year of resolution. CONCLUSIONS: A large single-institution study of
recurrence in BPPV is presented along with Kaplan-Meier disease-free survival
curves. Female sex and history of previous BPPV were associated with increased
recurrence, while previously suspected risk factors for recurrence including
history of Meniere's disease, diabetes, and trauma were not. Remote recurrence is
more likely to involve the contralateral ear than early recurrence. These data
solidify the expected course of treated BPPV allowing for improved clinical care
and patient counseling.
PMID- 29649053
TI - Bilateral Congenital Cholesteatoma.
AB - OBJECTIVE: To report the first large case series of extremely rare bilateral
congenital cholesteatoma (CC). STUDY DESIGN: A retrospective cohort study.
SETTING: University hospital otology referral clinic. PATIENTS: Six hundred four
children with surgically confirmed CC. MAIN OUTCOME MEASURES: The bilateral CCs
were compared with the unilateral CCs. RESULTS: The incidence of bilateral CC was
3.6% (22/615) per case or 1.8% (11/604) per child. Bilateral CC did not differ
from unilateral CC regarding its demographics, and invasiveness by the proportion
of advanced CC as 31.8% (7/22) versus 28.2% (167/594). But the invasiveness or
location randomly differed between the ears, that advanced CC per child was
higher as 45.4% (5/11). Bilateral exploration was attempted with a concern for
hearing loss, which featured a combination of laser myringotomy to treat early CC
and endaural laser-assisted single-stage inside-out cholesteatoma surgery to
treat advanced CC. Bilateral exploration was difficult in three children with
initially negative otoendoscopy. Among the seven advanced CC, proportion of
anterior type was 71.4% (5/7), who all exhibited more than 20 dB HL, but two
posterior type retained normal hearing. Therefore, bilateral advanced CCs of
anterior origin showed poorest hearing outcome as bilateral more than 20 dB HL,
which were in two children. Six second-look operations and one third-look
operation were required to treat six residual CCs (30%) in four children (40%),
including bilateral residual CC in two (20%); such reoperations were
significantly more frequent than in unilateral CC. CONCLUSION: The diagnosis of
bilateral CC required high index of suspicion from TBCT, and early bilateral
exploration.
PMID- 29649054
TI - The effect of a single textured insole in gait rehabilitation of individuals with
stroke.
AB - Rehabilitation interventions designed to restore gait symmetry in individuals
with stroke are not always effective. The goal was to evaluate the long-term
effect of using a single textured insole in gait rehabilitation. Ten individuals
with stroke who showed asymmetrical stance were randomly divided into two groups
and participated in physical therapy. Individuals in the experimental group
received a 6-week physical therapy while being provided with a textured insole in
the shoe on the unaffected side. Individuals in the control group received a 6
week physical therapy only. Both groups underwent a battery of identical tests
before the start of the rehabilitation intervention, following its completion,
and 4 months after the end of therapy. After the intervention, weight bearing
(WB) on the affected side and gait velocity increased in the experimental group
(P<0.05) to a larger degree compared with the control group. Moreover, symmetry
of gait was increased in the experimental group (P<0.05). Improvements in WB,
gait velocity, and symmetry of gait were maintained in the experimental group
after the end of 4-month retention period. The study outcome revealed that a 6
week intervention involving a single textured insole could result in a long
lasting improvement of gait velocity, symmetry of WB, and gait in individuals
with stroke.
PMID- 29649055
TI - The Impact of Once- versus Twice-Daily Enoxaparin Prophylaxis on Risk for Venous
Thromboembolism and Clinically Relevant Bleeding.
AB - BACKGROUND: Venous thromboembolism is a life- or limb-threatening complication
that occurs in plastic surgery patients. At present, the optimal dose of
enoxaparin that balances the risk of venous thromboembolism and the risk of
medication-related adverse drug events-specifically, bleeding-remains unknown.
METHODS: This study compared pharmacodynamic and clinical outcomes, including 90
day venous thromboembolism and 90-day clinically relevant bleeding, between two
prospectively performed clinical trials whose sole difference was postoperative
anticoagulation strategy. Patients in trial 1 received enoxaparin 40 mg once
daily for the duration of inpatient stay, and patients in trial 2 received
enoxaparin 40 mg twice daily for the duration of inpatient stay. The study also
examined the potential impact of a weight-based twice-daily prophylaxis strategy
to achieve in-range anti-factor Xa levels. RESULTS: The study compared 94
patients who received once-daily enoxaparin to 118 patients who received twice
daily enoxaparin. Twice-daily enoxaparin was associated with a significant
decrease in 90-day acute venous thromboembolism (0 percent versus 5.3 percent; p
= 0.012) and a nonsignificant increase in 90-day clinically relevant bleeding
(6.8 percent versus 3.2 percent; p = 0.25). Twice-daily enoxaparin at 0.4 to 0.5
mg/kg may allow an increased proportion of patients to avoid both inadequate
anticoagulation and overanticoagulation, based on anti-factor Xa levels.
CONCLUSIONS: Twice-daily enoxaparin is superior to once-daily enoxaparin for 90
day acute venous thromboembolism risk reduction. Twice-daily enoxaparin may
increase clinically relevant bleeding, although observed differences in this
study were not significant. Weight-based twice-daily enoxaparin dosing may
optimize the risks and benefits of prophylactic anticoagulation after plastic and
reconstructive surgery. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, II.
PMID- 29649056
TI - Topical Aloe Vera Gel for Accelerated Wound Healing of Split-Thickness Skin Graft
Donor Sites: A Double-Blind, Randomized, Controlled Trial and Systematic Review.
AB - BACKGROUND: Aloe vera has been used to treat wounds since ancient times. However,
data regarding the efficacy of aloe vera for burns and split-thickness skin graft
donor sites are inconclusive. METHODS: A double-blind, randomized, controlled
trial was conducted. Patients who underwent split-thickness skin graft harvesting
from the thigh were included. Split-thickness skin graft donor sites were divided
into two groups: the aloe vera group and the placebo group. The visual analogue
scale score was used to evaluate pain, and complete epithelialization was
assessed. The authors searched electronic databases and included only
international clinical trials published in the English language. RESULTS: Twelve
patients with 24 donor sites participated. Times to complete epithelization for
the aloe vera and placebo groups were 11.5 +/- 1.45 and 13.67 +/- 1.61 days,
respectively (p < 0.05). Visual analogue scale scores after wound dressing for
the aloe vera and placebo groups were 17.18 +/- 13.17 and 18.63 +/- 11.20,
respectively. No statistical significance was found between groups. Five articles
met the inclusion criteria: four involved burns and one involved split-thickness
skin graft donor sites. Three studies of burn wounds demonstrated improved
epithelization and one did not. The split-thickness skin graft donor-site study
indicated that wound healing time for the control group was significantly
different from that of the aloe vera and placebo groups. The healing rate was not
statistically different between groups. CONCLUSION: Topical aloe vera gel
significantly demonstrated accelerated split-thickness skin graft donor-site
healing but did not show significant pain relief. CLINICAL QUESTION/LEVEL OF
EVIDENCE: Therapeutic, I.
PMID- 29649057
TI - Eliminating Geographic Bias Improves Match Results: An Analysis of Program
Preferences and Their Impact on Rank Lists and Results.
AB - BACKGROUND: Previous studies have demonstrated that programs emphasize United
States Medical Licensing Examination scores, publications, and geography in
creating rank lists. The authors aimed to quantify the importance of geography
and to determine how eliminating geographic preferences would affect Match
outcomes. METHODS: The Match algorithm was implemented and validated on 6 years
of deidentified data from the San Francisco Match (2009 to 2014). A "consensus"
ranking was generated for each year-all applicants were ordered into a single
list using Markov chain rank aggregation. Each program's rank list was reordered
using the consensus list, and a new Match result was simulated. Statistical
analysis was carried out with Microsoft Excel. RESULTS: Variation of program rank
lists from the consensus rank list was driven by geography (training in the same
medical center or state as the ranking program), "pedigree" (top 25 ranking of
applicants' prior training), and foreign medical graduation status. Step 1
scores, publications, and medical school or residency region were not factors.
The simulated Match resulted in a slight increase in the match rate. The median
normalized number needed to match decreased from 6.7 to 6.5, and 80 percent of
applicants had an unchanged or better result compared to the actual Match.
CONCLUSIONS: Geography is the primary driver of variation between program rank
lists. Removing this variation would result in fewer unfilled positions, no
significant change in the average number needed to match, and improved Match
outcomes for most applicants. Programs should critically evaluate whether their
geographic biases reflect underlying information about applicant quality.
PMID- 29649058
TI - Asymptomatic Deep Vein Thrombosis during Free Flap: Concerns in Free Flap
Surgery.
AB - BACKGROUND: Venous insufficiency is the most frequent cause of failure in free
flap reconstruction of the lower extremity. When deep vein thrombosis is detected
during preoperative assessment of the lower extremity, decisions regarding
treatment plans become difficult, and no relevant guidelines regarding surgery
and preoperative treatment of patients with deep vein thrombosis who need a free
flap transfer are currently available. METHODS: To find a relevant guideline in
decision-making regarding surgery and preoperative treatment of patients with
deep vein thrombosis who require free flap reconstruction, a systematic
literature review was conducted searching MEDLINE, PubMed Central, Cochrane, and
Embase databases for articles published between 1996 and 2015. In addition, the
authors introduced six of their cases of deep vein thrombosis diagnosed before
free flap surgery in which the flap survived. RESULTS: The literature review
identified two articles that discussed intraoperative deep vein thrombosis
diagnosed in three patients, including the reported cases. Analyses included
epidemiology, cause, and management strategy. A consensus for the management of
asymptomatic deep vein thrombosis before free flap surgery is still lacking. In
the authors' cases, successful flap transfer was possible by proceeding with an
appropriate preoperative evaluation, intensive anticoagulation treatment,
intraoperative reperfusion procedure, and postoperative care. CONCLUSION:
Preoperatively detected asymptomatic deep vein thrombosis is not a
contraindication for free flap reconstruction, and a flap transfer can be
successfully performed with suitable planning and management. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, V.
PMID- 29649059
TI - Enhancement of Progenitor Cells by Two-Step Centrifugation of Emulsified
Lipoaspirates.
AB - BACKGROUND: Adipose-derived stem cells, endothelial progenitor cells, and soluble
factors jointly contribute to the regenerative effect of fat grafts. Nanofat
grafting emulsifies the lipoaspirate and increases the progenitor cell yield. In
the present study, the authors evaluated their extended nanofat grafting method
that includes two additional centrifugation steps and results in a lipoaspirate
of low volume that they termed "lipoconcentrate." Furthermore, the authors
investigated the oily fractions after centrifugation for their regenerative
potential. METHODS: Lipoaspirates of 20 healthy patients were processed by
emulsification and/or centrifugation. Six groups were created: native (not
emulsified) fat, 1* centrifuged native fat, 2* centrifuged native fat, nanofat
(emulsified), 1* centrifuged nanofat, and lipoconcentrate (i.e., 2* centrifuged
nanofat). The oily phases after the centrifugation steps were collected.
Progenitor cells and basic fibroblast growth factor, insulin-like growth factor
1, matrix metalloproteinase-9, platelet-derived growth factor-BB, and vascular
endothelial growth factor-A levels were measured by flow cytometry and
immunoassays. RESULTS: Lipoconcentrate contained significantly higher numbers of
adipose-derived stem cells and endothelial progenitor cells per gram compared
with all other fractions. No difference of all five soluble factors between
groups was found. The oily phases after centrifugation showed no or very few
adipose-derived stem cells and endothelial progenitor cells, and no or very low
levels of soluble factors. CONCLUSIONS: Centrifugation of emulsified
lipoaspirates increases the progenitor cell count in the lipoaspirate. The oily
phase after centrifugation of lipoaspirates may be disposable because of the
minuscule content of progenitor cells and soluble factors.
PMID- 29649060
TI - Liposuction Aspirate Fluid Adipose-Derived Stem Cell Injection and Secondary
Healing in Fingertip Injury: A Pilot Study.
AB - BACKGROUND: Although fingertip injuries account for a high proportion of trauma
patients, the correct surgical approach is still debated. The authors compared
the traditional conservative approach and a new treatment based on the injection
of liposuction aspirate fluid. METHODS: Forty consecutive patients with a
fingertip injury were dichotomized into group A (control group; conservative
approach) and group B (treatment group). Group B underwent liposuction, followed
by filtration of the lipoaspirate in a closed device (MyStem EVO kit), allowing
the nonenzymatic separation of liposuction aspirate fluid, which was then
injected at the site of injury. Objective outcomes were time for healing,
strength, mobility of joint, and touch and sensory function. Subjective outcomes
were cold intolerance, pain, hand disability, and aesthetic result. An aliquot of
liposuction aspirate fluid was sent to the laboratory for cellular isolation and
analysis by flow cytometry and in vitro differentiation assays. RESULTS: The
average healing time was 22.3 days in group B and 24.9 days in group A (p <
0.05). Eighty-five percent of group B patients and 67 percent of group A patients
scored normal to diminished superficial sensibility (p < 0.05). Group A had
higher pain and cold intolerance scores (p < 0.05). Group B scored greater
aesthetic and disabilities outcome results (p < 0.05). The cell isolation yield
was 8.3 * 10(5)/ml, with a percentage of viable cells of 74.3 percent. Flow
cytometry identified a mesenchymal immunophenotype, and in vitro osteogenic and
adipogenic induction confirmed the bilinear potential of the isolated cells.
CONCLUSION: This clinical study demonstrates for the first time the regenerative
potential of liposuction aspirate fluid adipose-derived stem cells in a clinical
application. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, II.
PMID- 29649061
TI - Anatomical Consideration for the Safe Elevation of the Deep Circumflex Iliac
Artery in Flap Surgery.
AB - BACKGROUND: Deep circumflex iliac artery osteocutaneous flap transfer has
frequently been applied to large defects in the maxillary and mandible regions,
but the use rate has decreased gradually because of the complicated anatomy of
the deep circumflex iliac artery. This study investigated the comprehensive
anatomy of the deep circumflex iliac artery in relation to flap surgery with the
aim of providing navigational guidelines for safe deep circumflex iliac artery
harvesting. METHODS: Sixty-two sides of the hemi-abdominal wall were dissected in
fixed Korean cadavers. Several dimensions of the deep circumflex iliac artery and
its positional relationships with surgical landmarks were measured, and the
patterns of the arterial supply and anastomosis were identified. RESULTS: The
mean distance between the anterior superior iliac spine and the lateral border of
the femoral artery was 57.5 mm. The deep circumflex iliac artery generally
originated almost at the same level as the inguinal ligament, and its highest
level was 14.8 mm superior to that ligament. Emerging points of the ascending
branch were observed both medial and lateral to the anterior superior iliac
spine, but no transverse branch pierced the transversus abdominis muscle medial
to the anterior superior iliac spine. CONCLUSIONS: The incision line for safe
deep circumflex iliac artery harvesting was parallel and 2 cm superior to the
inguinal ligament and 6 cm from the anterior superior iliac spine. This position
of the safe incision line can be easily determined using the thumb. Sex
differences in the incidence of the deep circumflex iliac artery originating
above or below the inguinal ligament will be another useful guide for easily
detecting the deep circumflex iliac artery.
PMID- 29649062
TI - National Mortality Rates after Outpatient Cosmetic Surgery and Low Rates of
Perioperative Deep Vein Thrombosis Screening and Prophylaxis.
AB - BACKGROUND: Concerns have arisen over reports of deaths occurring after certain
outpatient plastic surgery procedures. Here, the authors present a national
analysis, reporting on deaths occurring after outpatient cosmetic surgical
procedures and venous thromboembolism screening. METHODS: A retrospective
analysis of the American Association for Accreditation of Ambulatory Surgical
Facilities database was performed for the years 2012 to 2017. The authors
retrieved data for all deaths occurring in association with cosmetic plastic
surgery procedures. Patient demographics, procedural data, venous thromboembolism
risk factor assessment, and cause of death were analyzed. Deidentified medical
records, including coroner's reports, were reviewed where available. RESULTS:
Data for 42 deaths were retrieved. Of these, 90.5 percent (n=38) were female, and
61.9 percent were Caucasian (n=26). Mean age was 51.6 years, while mean body mass
index was 29.5 kg/m(2). Overall, 54.8 percent of these deaths occurred after
abdominoplasty: 42.9 percent in isolation, 9.5 percent in combination with breast
surgery, and 2.4 percent with facial surgery. Of the causes of death, most (38.1
percent) were thromboembolic in origin. Notably, in 25 of 42 cases, venous
thromboembolism risk factor assessment was incorrect or absent (59.5 percent).
CONCLUSIONS: Accreditation agencies provide transparency and insight into
outpatient surgical mortality on a national scale. Results suggest that adoption
of venous thromboembolism screening techniques may not be universal despite an
existing large body of published evidence. Optimization of thromboembolism
prevention pathways remains vital, and consideration of anticoagulation in those
undergoing abdominoplasty may be important in lowering outpatient mortality.
PMID- 29649063
TI - Ganoderma lucidum Polysaccharide Peptide Attenuates Skin Flap Ischemia
Reperfusion Injury in a Thioredoxin-Dependent Manner.
AB - BACKGROUND: Thioredoxin-1 plays an important role in protecting the skin flap
from ischemia-reperfusion injury. Ganoderma lucidum polysaccharide peptide is the
major component of G. lucidum, which possesses potent antioxidant and
antiapoptotic activity. This study aims to determine whether G. lucidum
polysaccharide peptide could attenuate skin flap ischemia-reperfusion injury and
to investigate possible mechanisms involved. METHODS: G. lucidum polysaccharide
peptide was administered to mice and epidermal cells before ischemia-reperfusion
and hypoxia/reoxygenation, respectively. The thioredoxin-1 inhibitor PX-12 was
introduced in the counterevidence group. The flap tissues and cells were tested
by hematoxylin and eosin and immunohistochemistry staining, terminal
deoxynucleotidyl transferase-mediated dUDP end-labeling assay, superoxide
dismutase and malonic dialdehyde measurement, and Western blot. RESULTS: The
survival rates of ischemia-reperfusion flaps and hypoxia/reoxygenation cells
increased significantly following G. lucidum polysaccharide peptide treatment.
Mitigated tissue damage, reduced apoptosis, and enhanced antioxidant activity
were observed in ischemia-reperfusion flaps replenishing G. lucidum
polysaccharide peptide. Western blot analysis revealed thioredoxin-1 depletion
and a remarkable increase in ASK-1, phospho-p38, cleaved caspase-3, and cleaved
PARP abundance in ischemia-reperfusion flaps and hypoxia/reoxygenation cells,
whereas G. lucidum polysaccharide peptide dramatically up-regulated thioredoxin-1
and reduced the apoptosis-related protein expression. However, the rescue effect
of G. lucidum polysaccharide peptide was notably blunted by supplementation with
PX-12. CONCLUSIONS: The current investigation highlights the protective role of
G. lucidum polysaccharide peptide in skin flap ischemia-reperfusion injury
through a thioredoxin-1-dependent antioxidant and antiapoptotic pathway. This
initial foray demonstrates the therapeutic value of G. lucidum polysaccharide
peptide against ischemia-reperfusion and facilitates the understanding of its
dermoprotective mechanism.
PMID- 29649064
TI - Incomplete Facial Paralysis: The Use of the Ipsilateral Residual Facial Nerve as
a Donor Nerve for Facial Reanimation.
AB - BACKGROUND: The reconstructive approach for incomplete facial paralysis is not
yet determined. In this article, the authors present a new surgical approach for
patients with incomplete facial paralysis in which residual, ineffective movement
is detected preoperatively in the ipsilateral buccozygomatic territory of the
paretic facial nerve. METHODS: Sixteen patients with incomplete facial paralysis
were found eligible for the procedure and underwent one-stage facial reanimation
performed by the senior author (E.G.). Reanimation was performed using free
gracilis muscle transfer with neural coaptation to an active facial nerve
branch(es) responsible for the predetected buccozygomatic residual movement.
Patients were reviewed in a systematic fashion using a combined still
photographic and video scoring scale for symmetry at rest and at dynamic states.
RESULTS: Following surgery, improved symmetry was observed in the majority of
observations of the mouth region at rest and while smiling and of the nasolabial
fold region while smiling. There was no significant change in symmetry in the
majority of observations of the eye region at rest and while smiling and the
nasolabial fold region at rest. Video assessment of dynamic facial symmetry while
smiling demonstrated improved symmetry in 91 percent of the observations (n = 191
observations). Comparison of mean scores for dynamic smile symmetry produced a
statistically significant improvement of 1.68 points following surgery (p <
0.001). CONCLUSION: Based on this series, the authors recommend that use of the
ipsilateral facial nerve buccozygomatic residual branch be considered as a donor
nerve for facial reanimation using a free gracilis muscle transfer in patients
with incomplete facial paralysis with residual preoperative movement in the
midface. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29649065
TI - Ageing effect on 18F-DOPA and 123I-MIBG uptake: a cross-sectional study.
AB - BACKGROUND: The aim of this study was to investigate the relationship between age
and uptake of fluorine-18-L-dihydroxyphenylalanine (F-DOPA) in the brain and
myocardial uptake of iodine-123-metaiodobenzylguanidine (I-MIBG) in normal adult
participants. PARTICIPANTS AND METHODS: To this end, a total of 72 healthy
participants were enroled. Of these, 37 individuals (male, 21; female, 16; mean
age: 60+/-12 years; age range: 38-85 years) underwent F-DOPA PET/CT, whereas 35
individuals (male, 19; female, 16; mean age: 61+/-17 years; age range: 17-87
years) underwent I-MIBG scintigraphy. For F-DOPA PET/CT, regions of interest were
placed on the caudate nucleus, globus pallidus and putamen by means of the WFU
Pickatlas tool implemented in SPM8 and further analysed after a normalization
process. For I-MIBG scintigraphy, regions of interest were set over the upper
mediastinum and a heart-to-mediastinum count ratio was calculated. The relation
between age and normalized F-DOPA values or heart-to-mediastinum ratio values was
examined using correlation analysis of variance and Pearson's correlation
coefficient. RESULTS: We did not find any significant relationship between age
and F-DOPA and I-MIBG uptake, respectively. CONCLUSION: Our findings suggest that
both brain F-DOPA PET/CT and cardiac I-MIBG scintigraphy represent age
independent biomarkers whose analyses of quantitative uptake may not require
adjustment for patients' age.
PMID- 29649066
TI - Macrophage Polarization Favors Epithelial Repair During Acute Respiratory
Distress Syndrome.
AB - OBJECTIVES: Alveolar macrophage polarization and role on alveolar repair during
human acute respiratory distress syndrome remain unclear. This study aimed to
determine during human acute respiratory distress syndrome: the alveolar
macrophage polarization, the effect of alveolar environment on macrophage
polarization, and the role of polarized macrophages on epithelial repair. DESIGN:
Experimental ex vivo and in vitro investigations. SETTING: Four ICUs in three
teaching hospitals. PATIENTS: Thirty-three patients with early moderate-to-severe
acute respiratory distress syndrome were enrolled for assessment of the
polarization of alveolar macrophages. INTERVENTIONS: Polarization of acute
respiratory distress syndrome macrophages was studied by flow cytometry and
quantitative polymerase chain reaction. Modulation of macrophage polarization was
studied in vitro using phenotypic and functional readouts. Macrophage effect on
repair was studied using alveolar epithelial cells in wound healing models.
MEASUREMENTS AND MAIN RESULTS: Ex vivo, alveolar macrophages from early acute
respiratory distress syndrome patients exhibited anti-inflammatory
characteristics with high CD163 expression and interleukin-10 production.
Accordingly, early acute respiratory distress syndrome-bronchoalveolar lavage
fluid drives an acute respiratory distress syndrome-specific anti-inflammatory
macrophage polarization in vitro, close to that induced by recombinant
interleukin-10. Culture supernatants from macrophages polarized in vitro with
acute respiratory distress syndrome-bronchoalveolar lavage fluid or interleukin
10 and ex vivo acute respiratory distress syndrome alveolar macrophages
specifically promoted lung epithelial repair. Inhibition of the hepatocyte growth
factor pathway in epithelial cells and hepatocyte growth factor production in
macrophages both reversed this effect. Finally, hepatocyte growth factor and
soluble form of CD163 concentrations expressed relatively to macrophage count
were higher in bronchoalveolar lavage fluid from acute respiratory distress
syndrome survivors. CONCLUSIONS: Early acute respiratory distress syndrome
alveolar environment drives an anti-inflammatory macrophage polarization favoring
epithelial repair through activation of the hepatocyte growth factor pathway.
These results suggest that macrophage polarization may be an important step for
epithelial repair and acute respiratory distress syndrome recovery.
PMID- 29649067
TI - Outpatient Negative-Pressure Wound Therapy Following Surgical Debridement:
Results and Complications.
AB - OBJECTIVE: To present results and complications in a case series of outpatients
with diabetic and neuropathic foot ulcers with exposed bone following surgical
debridement treated with negative-pressure wound therapy (NPWT). METHODS:
Retrospective data were obtained from digital medical records from the Maccabi
Health Services High Risk Foot Clinic in Tel Aviv, Israel, between 2009 and 2014.
Medical records examined were those of clinic outpatients with exposed bone
following partial foot amputation or selective bone debridement (University of
Texas grade III-A) treated with NPWT. MAIN OUTCOME MEASURES: Wound area, closure
rate, and adverse events. MAIN RESULTS: There were 66 patients provided NPWT in a
total of 77 treatment courses. Mean patient age was 62 +/- 10 years, 82% were
male, and mean diabetes mellitus duration was 14 +/- 8 years. Mean HbA1C levels
were 8.1% +/- 1.7%. Mean treatment course duration was 16 days (range, 2-42
days). Wound area decreased significantly (from 11.3 +/- 16.8 cm to 8.0 +/- 13.3
cm, P < .0001). Ulcer curing was noted in 7% of the cases, and healing
progression was noted in 54%. Seven serious adverse events were recorded.
CONCLUSIONS: Outpatient NPWT is a relatively safe and effective adjuvant to
surgical debridement of neuropathic foot ulcers. In an outpatient setting, one
can expect serious adverse events to occur in 10% of cases, but this seems
unavoidable in patients with diabetic foot ulcers when taking into account their
comorbidities and patient resources. Strict protocols to promote early
recognition of complications and appropriate response to minimize deterioration
must be implemented.
PMID- 29649068
TI - Is Exercise the Right Medicine for Dystrophic Muscle?
AB - INTRODUCTION: Duchenne muscular dystrophy (DMD) is a neuromuscular disease caused
by a dystrophin protein deficiency. Dystrophin functions to stabilize and protect
the muscle fiber during muscle contraction; thus, the absence of functional
dystrophin protein leads to muscle injury. DMD patients experience progressive
muscle necrosis, loss of function, and ultimately succumb to respiratory failure
or cardiomyopathy. Exercise is known to improve muscle health and strength in
healthy individuals as well as positively affect other systems. Because of this,
exercise has been investigated as a potential therapeutic approach for DMD.
METHODS: This review aims to provide a concise presentation of the exercise
literature with a focus on dystrophin-deficient muscle. Our intent was to
identify trends and gaps in knowledge with an appreciation of exercise modality.
RESULTS: After compiling data from mouse and human studies, it became apparent
that endurance exercises such as a swimming and voluntary wheel running have
therapeutic potential in limb muscles of mice and respiratory training was
beneficial in humans. However, in the comparatively few long-term investigations,
the effect of low-intensity training on cardiac and respiratory muscles was
contradictory. In addition, the effect of exercise on other systems is largely
unknown. CONCLUSIONS: To safely prescribe exercise as a therapy to DMD patients,
multisystemic investigations are needed including the evaluation of respiratory
and cardiac muscle.
PMID- 29649069
TI - Older E-bike Users: Demographic, Health, Mobility Characteristics, and Cycling
Levels.
AB - PURPOSE: Electric bicycles (e-bikes) may offer an opportunity to stimulate
physical activity among older adults. The current study compared Flemish
(Belgian) older e-bike users with those not using an e-bike on sociodemographics,
health characteristics, and access to motorized transport. In addition, it
examined the association between e-bike use and levels of cycling and the
moderating effects of sex, body mass index (BMI), and cycling limitations.
METHODS: An online or interview version of the same questionnaire was completed
by 1146 participants. Data were analyzed using logistic regression and hurdle
models. RESULTS: Women, those with a higher BMI, and those with one (compared
with no) motorized vehicle in the household had higher odds of being an e-bike
user. E-bike use was related to higher odds of having cycled for transport in the
past week, and this relationship was stronger among those with a higher BMI (low
BMI: odds ratio, 1.89; 95% confidence interval (CI), 1.24-2.91; high BMI: odds
ratio, 3.34; 95% CI, 2.26-5.00). Among those who cycled for transport in the last
week, e-bike use was associated with 35% more minutes of cycling for transport
(95% CI, 17%-56%). E-bike use was related to 183% higher odds of having biked for
recreation (95% CI, 115%-274%). Among women and those with cycling limitations
who cycled for recreation in the last week, e-bike use was also related to 57%
(95% CI, 18%-109%) and 180% (95% CI, 63%-381%) more minutes of cycling for
recreation, respectively. CONCLUSION: E-bikes may provide an opportunity to
promote cycling among older adults, particularly among subgroups at risk for
physical inactivity.
PMID- 29649070
TI - An accidentally crushed stent identified by optical coherence tomography.
PMID- 29649071
TI - Predictors of early scaffold thrombosis: results from the multicenter prospective
German-Austrian ABSORB RegIstRy.
AB - BACKGROUND: In randomized clinical trials, the risk of thrombotic events with the
absorb bioresorbable vascular scaffold (BVS) was significantly higher than with
metallic drug-eluting stents. We evaluated predictors of scaffold thrombosis in
the large-scale, multicenter German-Austrian ABSORB RegIstRy. METHODS AND
RESULTS: 3178 patients with treatment of 4252 lesions using 5020 scaffolds were
included. Follow-up rate at 6 months was 97.4%. Forty-five (1.42%) patients
experienced definite/probable scaffold thrombosis during follow-up. Multiple
regression analysis showed implantation of absorb BVS in bifurcation lesions
[odds ratio (OR): 4.43; 95% confidence interval (CI): 1.69-11.59; P=0.0024] or
treatment in the years 2013/2014 (OR: 1.88; 95% CI: 1.02-3.47; P=0.04) to be
significant predictors of scaffold thrombosis. Excluding bifurcation lesions, the
incidence of definite/probable scaffold thrombosis decreased from 1.8% (95% CI:
1.17-2.64%) in 2013/2014 to 0.89% (95% CI: 0.5-1.46%) in 2015/2016. In the latter
period, absorb BVS were implanted more often in younger patients with less
complex de novo lesions, and debulking devices and postdilatation were used more
frequently. Between the two treatment periods, there was a significant reduction
in myocardial infarction (2.73-1.24%, P<0.01; OR: 0.45; 95% CI: 0.26-0.77),
definite/probable scaffold thrombosis (1.79-0.88%, P<0.05; OR: 0.49; 95% CI: 0.26
0.93), and target lesion failure and revascularization during follow-up.
CONCLUSION: Improved procedural technique and more strict patient selection may
explain a significant decrease in the absorb BVS thrombosis rates during the
recruitment period of the large-scale German-Austrian ABSORB RegIstRy. In
addition, treatment of bifurcation lesions was identified as an independent
predictor of definite/probable scaffold thrombosis.
PMID- 29649072
TI - A case-control study of risk factors for colorectal cancer in an African
population.
AB - The interplay between hereditary and environmental factors in the causation of
colorectal cancer in sub-Saharan Africa is poorly understood. We carried out a
community based case-control study to identify the risk factors associated with
colorectal cancer in Zimbabwe. We recruited 101 cases of colorectal cancer and
202 controls, matched for age, sex and domicile. Potential risk factors including
family history, socioeconomic status, urbanization, diabetes mellitus and
previous schistosomiasis were evaluated. Conditional logistic regression was used
to estimate the odds ratios associated with the different factors. Cases were
more likely to have a tertiary education (32.7 vs. 13.4%, P<0.001) and a higher
income (18.8 vs. 6.9%, P=0.002). After multivariate analysis, diabetes mellitus
[odds ratio (OR): 5.3; 95% confidence interval (CI): 1.4-19.9; P=0.012], previous
urban domicile (OR: 2.8; 95% CI: 1.0-7.8; P=0.042), previous schistosomiasis (OR:
2.4; 95% CI: 1.4-4.2; P=0.001) and cancer in a first-degree relative (OR: 2.4;
95% CI: 1.2-4.8; P=0.018) were associated independently with colorectal cancer.
Our findings suggest that family history, diabetes mellitus, previous
schistosomiasis and approximation to a western lifestyle are the predominant
associations with colorectal cancer in Africans. This offers opportunities for
targeted prevention and hypothesis-driven research into the aetiology of
colorectal cancer in this population.
PMID- 29649073
TI - Short article: Safety of targeted prophylaxis strategy in patients with resolved
hepatitis B virus infection receiving rituximab for immune-mediated diseases.
AB - OBJECTIVES: Rituximab (RTX) is a monoclonal antibody that is widely used in
hematologic malignancies and immune-mediated diseases (IMID) and has been
associated with the risk of hepatitis B virus reactivation (HBVr). Thus,
antiviral prophylaxis is recommended before RTX treatment in all patients with
chronic hepatitis B virus (HBV) infection and those with resolved infection
affected by onco-hematological conditions. By contrast, the correct management of
HBsAg-negative/HbcAb-positive patients candidates for RTX-containing regimens for
IMID is still debated, owing to few data currently available in this setting.
PATIENTS AND METHODS: We retrospectively evaluated the risk of HBVr in patients
with IMID with resolved HBV infection, referred to the Infectious and Tropical
Diseases Unit Outpatients Service, Careggi Hospital, Florence, Italy, between
September 2013 and September 2017, undergoing RTX without antiviral prophylaxis
and followed up by serial serum HBV-DNA monitoring. RESULTS: Overall, 20 patients
with IMID were identified (70% female, with median age of 57 years) and followed
up for a median period of 19 months (range: 2-36 months). A single HBVr case,
detected in preclinical stage, was observed (1/20, 5%), and targeted prophylaxis
was promptly introduced. CONCLUSION: The results supported the low to moderate
risk of HBVr in HBsAg-negative/HBcAb-positive patients with IMID undergoing RTX,
in contrast to what is observed in onco-hematological settings. The targeted
prophylaxis strategy, based on serum HBV-DNA serial monitoring, seems a safe
option in these patients.
PMID- 29649074
TI - Parental Bereavement in Young Children Living in South Africa and Malawi:
Understanding Mental Health Resilience.
AB - BACKGROUND: Parental loss is a major stressful event found to increase risk of
mental health problems in childhood. Yet, some children show resilient adaptation
in the face of adversity across time. SETTING: This study explores predictors of
mental health resilience among parentally bereaved children in South Africa and
Malawi and their cumulative effect. The study also explores whether predictors of
resilience differed between orphaned and nonorphaned children. METHODS:
Consecutive attenders of community-based organizations (children; 4-13 years, and
their caregivers) were interviewed at baseline and 15- to 18-month follow-up (n =
833). Interviews comprising inventories on demographic information, family data,
child mental health, bereavement experience, and community characteristics.
Mental health screens were used to operationalize resilience as the absence of
symptoms of depression, suicidality, trauma, emotional, and behavioral problems.
RESULTS: Almost 60% of children experienced parental loss. One-quarter of
orphaned children showed no mental health problems at either wave and were
classified as resilient. There were equal proportions of children classified as
resilient within the orphaned (25%) versus nonorphaned group (22%). Being a quick
learner, aiding ill family members, positive caregiving, household employment,
higher community support, and lower exposure to domestic violence, physical
punishment, or stigma at baseline predicted sustained resilience. There were
cumulative influences of resilience predictors among orphaned children.
Predictors of resilience did not vary by child age, sex, country of residence or
between orphaned and nonorphaned children. CONCLUSIONS: This study enhances
understanding of resilience in younger children and identifies a number of
potential environmental and psychosocial factors for bolstering resilience in
orphaned children.
PMID- 29649075
TI - Barriers to HIV Testing Among Men Who Have Sex With Men in India Reached Online:
Implications for Interventions.
PMID- 29649076
TI - Pharmacokinetics of Tenofovir Alafenamide When Coadministered With Other HIV
Antiretrovirals.
AB - BACKGROUND: Tenofovir alafenamide (TAF), a prodrug of the nucleotide analogue
tenofovir (TFV), is an antiretroviral (ARV) agent approved either as a complete
regimen [elvitegravir/cobicistat/emtricitabine (F)/TAF, rilpivirine/F/TAF,
bictegravir/F/TAF], or for use with other ARVs (F/TAF), for treatment of HIV. TAF
is a substrate of P-glycoprotein (P-gp) and breast cancer resistance protein
(BCRP) transporters. Disposition of TAF may be altered by comedications that can
inhibit or induce P-gp or BCRP transporters. The effects of ARVs on the
pharmacokinetics of TAF were evaluated in 3 studies. METHODS: Healthy
participants received TAF administered alone or with rilpivirine in study 1, with
dolutegravir, ritonavir-boosted atazanavir (ATV + RTV), lopinavir (LPV/RTV), or
darunavir (DRV + RTV) in study 2, and with the pharmacokinetic enhancer
cobicistat or efavirenz in study 3. RESULTS: Across the 3 studies, 98
participants received treatment with TAF and a coadministered agent (n = 10
34/cohort). All study treatments were well tolerated. TAF and TFV exposures were
unaffected after co-administration with rilpivirine and dolutegravir.
Coadministration with P-gp/BCRP inhibitors such as cobicistat or PI-based
regimens (ATV + RTV, LPV/r, or DRV + RTV) resulted in a range of 6%-183%
increases in TAF and 105%-316% increases in TFV exposure, whereas
coadministration with a P-gp inducer, efavirenz, resulted in a 15%-24% decrease
in TAF and TFV exposure. CONCLUSIONS: Evaluation of the drug interaction between
TAF and other commonly prescribed boosted and unboosted ARVs provides
characterization of the susceptibility of TAF and/or TFV pharmacokinetics to
inhibitors or inducers of P-gp/BCRP transporters.
PMID- 29649077
TI - Circulating CXCR5-Expressing CD8+ T-Cells Are Major Producers of IL-21 and
Associate With Limited HIV Replication.
AB - BACKGROUND: Despite advances made with the highly active antiretroviral therapy
(HAART) in the control of the HIV 1 infection, a cure has not been achieved
because of the persistence of viral reservoirs. The major HIV reservoirs remain
in the lymphoid follicles because of, among other factors, the partial absence of
CD8 T-cells in these structures. Recently, lymphoid follicle-confined and
circulating CD8 T-cells expressing the C-X-C chemokine receptor type 5 (CXCR5)
were described, possessing antiviral mechanisms that could help to control HIV
replication. SETTING AND METHODS: By flow cytometry, we characterized the
phenotype and function of circulating CXCR5-expressing CD8 T-cells in HIV
infected patients with natural or HAART-induced control of HIV replication.
RESULTS: Circulating CXCR5-expressing CD8 T-cells exhibited low or null
expression of the C-C chemokine receptor type 7 (CCR7) and had a transitional
memory phenotype. Particular redistributions of CXCR5-expressing CD8 T-cells were
found in HIV-infected patients, and they were partially restored by HAART. The
frequency of CXCR5CCR7 CD8 T-cells was higher in spontaneous HIV controllers and
negatively correlated with plasma HIV RNA levels. Total and HIV-specific CXCR5
CD8 T-cells were major producers of interleukin-21, and this function was
positively associated with their interferon-gamma production. CONCLUSIONS:
Circulating CXCR5-expressing CD8 T-cells are associated with low-level HIV
replication; these cells could be novel correlates of protection, and potentially
useful in the eradication of HIV reservoirs.
PMID- 29649078
TI - Point of Diagnosis and Patient Retention in HIV Care in Western Kenya.
AB - BACKGROUND: Home-based counseling and testing (HBCT) achieves earlier HIV
diagnosis than other testing modalities; however, retention in care for these
healthier patients is unknown. The objective of this study was to determine the
association between point of HIV testing and retention in care and mortality.
SETTING: Academic Model Providing Access to Healthcare (AMPATH) has provided HIV
care in western Kenya since 2001. METHODS: AMPATH initiated HBCT in 2007. This
retrospective analysis included individuals 13 years and older, enrolled in care
between January 2008 and September 2016, with data on point of testing. Discrete
time multistate models were used to estimate the probability of transition
between the following states: engaged, disengaged, transfer, and death, and the
association between point of diagnosis and transition probabilities. RESULTS:
Among 77,358 patients, 67% women, median age: 35 years and median baseline CD4:
248 cells/mm. Adjusted results demonstrated that patients from HBCT were less
likely to disengage [relative risk ratio (RRR) = 0.87, 95% CI: 0.83 to 0.91] and
die (RRR = 0.65, 95% CI: 0.55 to 0.75), whereas those diagnosed through provider
initiated counseling and testing were more likely to disengage (RRR = 1.09, 95%
CI: 1.07 to 1.12) and die (RRR = 1.13, 95% CI: 1.06 to 1.20), compared with
patients from voluntary counseling and testing. Once disengaged, patients from
HBCT were less likely to remain disengaged, compared with patients from voluntary
counseling and testing. CONCLUSIONS: Patients entering care from different HIV
testing programs demonstrate differences in retention in HIV care over time
beyond disease severity. Additional research is needed to understand the patient
and system level factors that may explain the associations between testing
program, retention, and mortality.
PMID- 29649079
TI - In Search of Mobile Applications for Urogynecology Providers.
AB - INTRODUCTION: Thousands of medical applications (apps) are available for mobile
devices. Finding accurate, health care provider-centered apps may be time
consuming and frustrating for urogynecologists. The objective of this study was
to identify and evaluate urogynecology (urogyn) apps using a modified
APPLICATIONS scoring system. MATERIALS AND METHODS: Urogyn apps were identified
from the Apple iTunes and Google Play Stores using the following 10 MeSH terms:
urogynecology, incontinence, prolapse, urinary tract infection, pelvic surgery,
fecal incontinence, defecation disorder, voiding disorder, urethral diverticulum,
and fistula. Patient-centered and inaccurate apps were excluded. The remaining
apps were evaluated with a modified APPLICATIONS scoring system, which included
both objective and subjective criteria to determine each app's ability to aid in
clinical decision making and to provide informational data. Objective rating
components were price, paid subscription, literature referenced, in-app
purchases, Internet connectivity, advertisements, text search field,
interplatform compatibility and incorporated images, figures, videos, and special
features. Subjective rating components were ease of navigation and presentation.
RESULTS: Our search yielded 133 and 235 apps in the Apple iTunes and Google Play
Stores, respectively. Only 8 apps (4 of which were in both stores) were
determined to be accurate and useful; these were evaluated using the modified
APPLICATIONS scoring system. The top-rated app was Practical Urology. CONCLUSION:
Few accurate clinical decision-making and informational apps exist for
urogynecologists. Apps varied by comprehensiveness and quality. This study
highlights the importance of systematically reviewing and rating medical apps. It
also emphasizes the need for developing accurate apps for urogynecologists that
improve health care provider performance and patient outcomes.
PMID- 29649080
TI - Investigating Changes in Weight and Body Composition Among Women in Adjuvant
Treatment for Breast Cancer: A Scoping Review.
AB - BACKGROUND: Despite several investigations, findings on weight changes during and
after adjuvant treatment for breast cancer are diverse and point in several
directions. OBJECTIVE: The aims of this study were to investigate changes in
weight and body composition associated with contemporary anticancer medication
and to examine factors that might influence the assessment and diversity of the
findings. METHODS: This article used the method of a scoping review to map the
body of literature. From searching the databases PubMed, CINAHL, and EMBASE using
MeSH terms, CINAHL terms, and Emtree, as well as free text, 19 articles were
selected for further investigation. RESULTS: The scoping review illustrates how
findings in weight and body composition changes fluctuate over time as
illustrated in 4 measure points: short term, 1 year, 18 months/2 years, and long
term. The studies displayed differences regarding study designs, sample sizes,
treatment regimens, measure points and techniques, and cutoff values for
assessing weight changes, which make it difficult to synthesize findings and
provide strong evidence for use in clinical practice. CONCLUSION: Synthesizing
findings over time illustrates the need for attention on younger premenopausal
women given chemotherapy. Weight need to be monitored for at least 2 years as
short-term changes may be caused by increased body water, whereas long-term
changes seem to be related with increased fat mass essential for risking
recurrence and early death. IMPLICATIONS FOR PRACTICE: The diversity in methods
discloses the need for the research community to reach consensus regarding study
designs for future research in this area.
PMID- 29649081
TI - Psychometric Testing of the European Organisation for Research and Treatment of
Cancer Quality of Life Questionnaire-Chemotherapy-Induced Peripheral Neuropathy
20-Item Scale Using Pooled Chemotherapy-Induced Peripheral Neuropathy Outcome
Measures Standardization and Alliance for Clinical Trials in Oncology A151408
Study Data.
AB - BACKGROUND: No criterion-standard patient-reported outcome measure of
chemotherapy-induced peripheral neuropathy (CIPN) exists. OBJECTIVES: The aims of
this study were to reevaluate the sensitivity, reliability, and validity of the
European Organisation for Research and Treatment of Cancer Quality of Life
Questionnaire-CIPN (QLQ-CIPN20) measure and suggest possible revisions that could
strengthen it. METHODS: Cross-sectional QLQ-CIPN20 data from 8 European countries
(n = 271) were pooled with data from 4 North American multisite CIPN intervention
trials (n = 884). The combined sample (N = 1155) included patients with varied
cancer diagnoses who had received neurotoxic chemotherapy. Item score ranges,
Cronbach's alpha, and exploratory factor analysis were used to evaluate
sensitivity, internal consistency, and structural validity. RESULTS: Individual
item mean scores ranged from 1.21 to 2.34 (SD range, 0.55-1.17). All item scores
encompassed the entire 1 to 4 range. We recommend that 4 items be removed because
of low item-item score correlations (r < 0.30). On the basis of the remaining 16
items, 88% of the variance was explained by 2 factors whose Cronbach's alpha
coefficients were .90 and .85. However, items lacked conceptual alignment with
previously published factor structures. CONCLUSION: Using a large, diverse sample
of European and North American participants, the reduced 16-item QLQ-CIPN20 is
sensitive and internally consistent. However, factor analysis results revealed an
unstable factor structure. IMPLICATIONS FOR PRACTICE: The use of a reliable,
valid, and sensitive criterion-standard QLQ-CIPN20 variant in clinical practice
settings could improve function, quality of life, and CIPN symptom control by
facilitating patient reporting and thereby clinician awareness of this
underrecognized consequence of cancer therapy.
PMID- 29649082
TI - Transcutaneous Electrical Nerve Stimulation Reduces Resting Pain in Head and Neck
Cancer Patients: A Randomized and Placebo-Controlled Double-Blind Pilot Study.
AB - BACKGROUND: Individuals receiving radiation for head and neck cancer (HNC) often
develop painful oral mucositis that impairs function, possibly leading to feeding
tubes, hospitalization, and treatment delays. Although pharmacologic medications
provide some relief, many report inadequate analgesia and adverse effects.
Transcutaneous electrical nerve stimulation (TENS) is a safe, nonpharmacologic
intervention; it decreases pain and analgesics and improves function, yet no
studies examined TENS for HNC. OBJECTIVE: The aim of this study was to examine
the efficacy of TENS for pain and function in HNC patients. METHODS: This study
used a randomized, double-blinded crossover design; participants received 3 TENS
treatments during weeks 4 to 6 of radiation: active, placebo, and no TENS over
the temporomandibular joint and upper cervical region. Pain (McGill Pain
Questionnaire, visual analog scale [VAS] resting and function), function (mouth
opening, tongue movement, speaking), fatigue (VAS), and treatment effectiveness
(VAS) were assessed before and after TENS at 3 visits. RESULTS: Resting pain
(McGill Pain Questionnaire and VAS) decreased significantly more after active
TENS than placebo or no TENS; changes in function and pain with function did not
differ between conditions. Active TENS decreased fatigue significantly more than
no TENS and was rated as more effective than placebo TENS. CONCLUSION:
Transcutaneous electrical nerve stimulation improves pain in HNC patients
receiving radiation but not function or pain with function relative to placebo or
no TENS. IMPLICATIONS FOR PRACTICE: Transcutaneous electrical nerve stimulation
may be a viable tool for radiation-induced HNC pain to complement pharmacologic
approaches. This nonpharmacologic intervention could decrease the debilitating
effects of radiation and analgesics, and improve quality of life. Clinical trials
should examine the effects and safety of repeated, daily TENS in HNC patients
receiving radiation.
PMID- 29649083
TI - Targeted Distraction: Spinal Growth in Children With Early-Onset Scoliosis
Treated With a Tail-gating Technique for Magnetically Controlled Growing Rods.
AB - STUDY DESIGN: Prospective cohort study OBJECTIVE.: To understand the efficacy of
a tail-gating technique (TGT) to mirror the normal spinal growth of children with
early-onset scoliosis (EOS) treated with magnetically controlled growing rods
(MCGR). SUMMARY OF BACKGROUND DATA: MCGR allow outpatient distraction and guided
spinal growth without the need for repeat surgery. Two techniques (maximal and
targeted) are currently employed to determine the distraction amount, however the
efficacy of each is unknown. This study specifically assesses the ability of
targeted distraction to achieve physiological growth. METHODS: We performed a
retrospective review of European children treated with MCGR using a TGT and
analyzed the post-operative clinical and radiographic outcomes, including the
sitting height, standing height, coronal Cobb angle, T1-T12 and T1-S1 height.
Furthermore, we compared the post-operative sitting/standing height ratio every 6
months to those reported for normal age- and sex-matched European children.
RESULTS: Thirty-five children were included with a mean follow-up of 3.4 years
(1.8-5.8 years). All clinical and radiographic parameters significantly (P <
0.05) improved immediately post-operatively and were maintained until final
follow-up. The TGT mirrored the normative sitting/standing height ratios for
expected spinal growth (Pearson correlation 0.95 for males and 0.90 for females).
The mean difference between the reference values for the sitting/standing height
ratio and our results was 0.0124 (P < 0.001) for males and 0.0068 (P = 0.010) for
females. CONCLUSION: MCGR can reliably improve the coronal deformity in children
with EOS while maintaining spinal growth. A TGT to determine the distraction
amount at follow-up accurately mirrors the normal growth pattern of age-, sex-,
and ethnicity-matched children. However, patients treated with this technique
have a statistically significant, but clinically insignificant, shorter than
physiologically normal spinal height. LEVEL OF EVIDENCE: 3.
PMID- 29649084
TI - Vertebral Augmentation can Induce Early Signs of Degeneration in the Adjacent
Intervertebral Disc: Evidence from a Rabbit Model.
AB - STUDY DESIGN: An experimental study. OBJECTIVE: The aim of this study was to
determine the effect of polymethylmethacrylate (PMMA) augmentation on the
adjacent disc. SUMMARY OF BACKGROUND DATA: Vertebral augmentation with PMMA
reportedly may predispose the adjacent vertebra to fracture. The influence of
PMMA augmentation on the adjacent disc, however, remains unclear. METHODS: Using
a retroperitoneal approach, PMMA augmentation was performed for 23 rabbits. For
each animal, at least one vertebra was augmented with 0.2 to 0.3 mL PMMA. The
disc adjacent to the augmented vertebra and a proximal control disc were studied
using magnetic resonance (MR) imaging, histological and molecular level
evaluation at 1, 3, and 6 months postoperatively. Marrow contact channels in the
endplate were quantified in histological slices and number of invalid channels
(those without erythrocytes inside) was rated. Terminal deoxynucleotidyl
transferase-mediated dUTP nick-end labeling (TUNEL) was performed to determine
disc cell apoptosis. RESULTS: On MR images, the signal and height of the adjacent
disc did not change 6 months after vertebral augmentation. Histological scores of
the adjacent disc increased over time, particularly for the nucleus pulposus. The
adjacent disc had greater nucleus degeneration score than the control disc at 3
months (5.7 vs. 4.5, P < 0.01) and 6 months (6.9 vs. 4.4, P < 0.001). There were
more invalid marrow contact channels in the endplate of augmented vertebra than
the control (43.3% vs. 11.1%, P < 0.01). mRNA of ADAMTS-5, MMP-13, HIF-1alpha,
and caspase-3 were significantly upregulated in the adjacent disc at 3 and 6
months (P < 0.05 for all). In addition, there were more TUNEL-positive cells in
the adjacent disc than in the control disc (43.4% vs. 24.0%, P < 0.05) at 6
months postoperatively. CONCLUSION: Vertebral augmentation can induce early
degenerative signs in the adjacent disc, which may be due to impaired nutrient
supply to the disc. LEVEL OF EVIDENCE: N/A.
PMID- 29649086
TI - The Morphology and Clinical Significance of the Extraforaminal Ligaments at the
T1-T12 Levels.
AB - STUDY DESIGN: A dissection-based study of 10 embalmed human cadavers. OBJECTIVE:
The purpose of this study was to describe the extraforaminal ligaments in the
exit regions of the T1-T12 intervertebral foramina and to discuss their possible
clinical significance. SUMMARY OF BACKGROUND DATA: The ligaments at the lumbar
intervertebral foramina have been well studied. However, detailed descriptions of
the extraforaminal ligaments at the T1-T12 levels are lacking. METHODS: Two
hundred forty T1-T12 intervertebral foramina from 10 embalmed cadavers were
studied. The presence of the ligament was noted. The quantity, morphology,
distributions, proximal attachments, distal attachments, and spatial orientations
of the extraforaminal ligaments in the exit regions of the T1-T12 intervertebral
foramina were examined. The length, width, diameter, and thickness of the
ligaments were measured with digital calipers by three independent investigators.
RESULTS: A total of 564 extraforaminal ligaments were identified in the 229
intervertebral foramina; no ligaments were found in the other 11 intervertebral
foramina, resulting in an occurrence rate of extraforaminal ligaments of 95.42%.
One hundred thirty-six (24.11%) of the extraforaminal ligaments were radiating
ligaments, and 428 (75.89%) were transforaminal ligaments. Radiating ligaments
had a tendency to be abundant at T1 and T9-T12 and sparse at T2-T8. There were
245 (43.44%) ligaments at the anterior aspect of the exit regions of the
intervertebral foramina, 225 (39.89%) ligaments at the posterior aspect, 64
(11.35%) ligaments at the inferior aspect, and 30 (5.32%) ligaments at the
superior aspect. CONCLUSION: In the exit region of thoracic intervertebral
foramina, there are two types of extraforaminal ligaments. They may serve as a
protective mechanism against traction and play a role in the positioning of the
nerves in the intervertebral foramen. Transforaminal ligaments may be an
underlying cause of rib or chest pain after thoracic fracture and may be of
clinical importance to surgeons. LEVEL OF EVIDENCE: N/A.
PMID- 29649085
TI - Tract-Specific Volume Loss on 3T MRI in Patients With Cervical Spondylotic
Myelopathy.
AB - STUDY DESIGN: Case-control. OBJECTIVE: The aim of this study was to understand
the role of high-resolution magnetic resonance (MR) in identifying regional cord
volume loss in cervical spondylotic myelopathy (CSM). SUMMARY OF BACKGROUND DATA:
Preliminary studies suggest that compression of the ventral region of the cord
may contribute disproportionately to CSM symptomology; however, tract-specific
data are lacking in the CSM population. The current study is the first to use 3T
MR imaging (MRI) images of CSM patients to determine specific volume loss at the
level of detail of individual descending white matter tracts. METHODS: Twelve
patients with CSM and 14 age-matched were enrolled prospectively and underwent 3
Tesla MRI of the cervical spine. Using the high-resolution images of the spinal
cord, straightening and alignment with a template was performed and specific
spinal cord tract volumes were measured using Spinal Cord Tool-box version 3.0.7.
Modified Japanese orthopedic association (mJOA) and Nurick disability scores were
collected in a prospective manner and were analyzed in relation to descending
spinal tract volumes. RESULTS: Having CSM was predicted by anterior/posterior
diameter, eccentricity of the cord [odds ratio (OR) 0.000000621, P = 0.004],
ventral reticulospinal tract volume (OR 1.167, P = 0.063), lateral corticospinal
tract volume (OR 1.034, P = 0.046), rubrospinal tract volume (OR 1.072, P =
0.011), and ventrolateral reticulospinal tract volume (OR 1.474, P = 0.005) on
single variable logistic regression. Single variable linear regression showed
decreases in anterior/posterior spinal cord diameter (P = 0.022), ventral
reticulospinal tract volumes (P = 0.007), and ventrolateral reticulospinal tract
volumes (P = 0.017) to significantly predict worsening mJOA scores. Similarly,
decreases in ventral reticulospinal tract volumes significantly predicted
increasing Nurick scores (P = 0.039). CONCLUSION: High-resolution 3T MRI can
detect tract-specific volume loss in descending spinal cord tracts in CSM
patients. Anterior/posterior spinal cord diameter, ventral reticulospinal tract,
ventrolateral reticulospinal tract, lateral corticospinal tract, and rubrospinal
tract volume loss are associated with CSM symptoms. LEVEL OF EVIDENCE: 2.
PMID- 29649087
TI - Activity of Daily Living After Long Level Fusion in Adult Spinal Deformity:
Compared With Over 60-Year-Old Degenerative Spine Patients Without Adult Spinal
Deformity.
AB - STUDY DESIGN: Prospective single center study. OBJECTIVE: The aim of this study
was to evaluate 1) the activity of daily living (ADL) of three categorized
patients group; over 60-year-old degenerative spine patients without adult spinal
deformity (ASD), nonoperative ASD patients, and operative ASD patients, 2) what
kinds of activities would be impaired, and 3) how the ADL changes over time after
long level fusion. SUMMARY OF BACKGROUND DATA: There is still debate how surgeons
could decide treatment methods for old-aged adult spinal deformity, operatively
or not. There was lack of information how long level fusion impacts daily
activities, especially sedentary Asian lifestyle. In Asia, impaired ADL is much
more important issue because of different lifestyle. METHODS: Patients were
categorized into three groups; Group 1 was over 60-year old aged degenerative
spine disease without deformity, Group 2 was ASD patients who did not have
surgery, and Group 3 was ASD patients who had surgery for deformity correction.
Patients were evaluated using answer Oswestry Low Back Pain Disability
Questionnaire, and Assessment activities of daily living for sedentary Asian
culture (ADL-SA) questionnaire. RESULTS: Group 1 showed nearly full functions in
every activity (ADL-SA: 41.4). ADL-SA scores of Group 2 were similar to Group 1
(P = 0.452). However, get up from bottom (P < 0.001), and pick up object (P <
0.001) were impaired. After long level fusion, ADL was impaired but gradually
improved by time. From postoperative 1 year, total ADL score recovered to
acceptable range. However, among ADL, activities associated sedentary lifestyle
(get up from bottom, wipe floor, pick up object, and sit cross-legged) were still
impaired after 2 years postoperatively. CONCLUSION: ADL was impaired after long
level fusion; however it would improve as time goes by. However, among ADL,
activities associated sedentary lifestyle was still impaired. Hence give enough
information to patients about limited activities before deciding operation. LEVEL
OF EVIDENCE: 3.
PMID- 29649088
TI - Alterations in Intramedullary T2-weighted Increased Signal Intensity following
Laminoplasty in Cervical Spondylotic Myelopathy Patients: Comparison Between Pre-
and Postoperative Magnetic Resonance Images.
AB - STUDY DESIGN: A prospective comparative imaging study. OBJECTIVE: This study
investigated whether the postoperative classification of and alterations in
increased signal intensity (ISI) of the spinal cord reflected the postoperative
severity of symptoms and surgical outcomes in cervical spondylotic myelopathy
(CSM) patients. SUMMARY OF BACKGROUND DATA: Although ISI on performing magnetic
resonance imaging (MRI) is observed in CSM patients, alterations in ISI have not
been investigated. The association of postoperative ISI with surgical outcomes in
CSM patients remains controversial. METHODS: Totally, 505 consecutive CSM
patients (311 males) with a mean age of 66.6 (range, 41-91) years were enrolled.
All were treated with laminoplasty and underwent MRI scans: preoperatively and
after an average of 26.5 months postoperatively (range 12-66 months). ISI was
classified pre- and postoperatively into three groups based on sagittal T2
weighted magnetic resonance images: Grade 0, none; Grade 1, light (obscure); and
Grade 2, intense (bright). The patients' pre- and postoperative neurological
statuses were evaluated using the Japanese Orthopaedic Association scoring system
for cervical myelopathy (JOA score) and other quantifiable tests, including the
10-s grip and release (10-s G&R) test and 10-s step test. RESULTS:
Preoperatively, 168 patients had Grade 0 ISI, 169 had Grade 1, and 168 had Grade
2; postoperatively, 210 patients had Grade 0 ISI, 94 had Grade 1, and 201 had
Grade 2. Patients with postoperative Grade 0 ISI had a better postoperative JOA
score, recovery rate, and 10-s G&R and 10-s step test scores than those with
other grades. The postoperative ISI grade improved in 66 patients (13.1%),
worsened in 57 (11.3%), and remained unchanged in 382 (75.6%). CONCLUSION:
Postoperative ISI partially reflects postoperative symptoms and surgical
outcomes. Alterations in ISI were observed postoperatively in 123 patients
(24.4%) and were not correlated with surgical outcomes. LEVEL OF EVIDENCE: 2.
PMID- 29649089
TI - Prognostic Factors of Patients With Malignant Epithelioid Vascular Tumors in the
Spine: Retrospective Analysis of 46 Patients in a Single Center.
AB - STUDY DESIGN: A retrospective study of 46 patients with malignant epithelioid
vascular tumors (MEVT) in the spine was performed. OBJECTIVE: The purpose of this
study was to illustrate the clinic characteristics of MEVT in the spine and to
discuss prognostic factors by survival analysis. SUMMARY OF BACKGROUND DATA: MEVT
in the spine is relatively uncommon, and there is little published information
regarding this subject. Therefore, prognostic factors of this disease are still
controversial. METHOD: The univariate and multivariate analysis of various clinic
characteristics were performed to identify the independent factor that affects
prognosis for patients with MEVT in the spine who underwent surgical treatment in
Changzheng Hospital Orthopedics Oncological Center (CHOOC) between 2005 and 2015.
Disease-free survival (DFS) and overall survival (OS) were estimated by Kaplan
Meier method to identify potential prognostic factors. Factors with P values of
0.1 or less were subjected to multivariate analysis by Cox regression analysis. P
values of 0.05 or less was considered statistically significant. RESULT: A total
of 46 patients with MEVT in the spine were included in the study. The mean follow
up period was 42.6 months (range, 5-143 mo). Recurrence was detected in 22
patients after initial surgery in our center, whereas death in 18 patients. The
univariate analysis suggested that age (<30 yr/>=30 yr), metastasis, preoperative
Frankel score, postoperative Frankel score, malignant grade and resection mode
were potential prognostic factors for DFS and OS. However, as they were submitted
to multivariate analyses, only malignant grade and resection mode were
independent prognostic factors. CONCLUSION: Total en bloc spondylectomy can
significantly improve DFS for MEVT in the spine and low-grade malignancy was
favorable factor for DFS. Total spondylectomy and low-grade malignancy
considerably improve OS for patients with MEVT in the spine. LEVEL OF EVIDENCE:
4.
PMID- 29649090
TI - Reliability of Rod Lengthening, Thoracic, and Spino-Pelvic Measurements on
Biplanar Stereoradiography in Patients Treated With Magnetically Controlled
Growing Rods.
AB - STUDY DESIGN: Cross-sectional study. OBJECTIVE: To assess the inter- and
intraobserver reliability of thoracic, spino-pelvic, and rod lengthening
measurements made on biplanar spinal stereoradiography (EOS imaging) in children
treated with magnetically controlled growing rod (MCGR). SUMMARY OF BACKGROUND
DATA: MCGR treatment aims to gain spine length while gradually correcting the
spinal deformity. Monitoring thoracic and spino-pelvic parameters is crucial for
successful management. EOS imaging is widely used for diagnosis and monitoring of
children with Early Onset Scoliosis. However, there is a paucity of literature on
the reliability of thoracic and spino-pelvic parameters on EOS imaging in
children treated with MCGR. METHODS: Three independent reviewers independently
read a random assortment of 20 whole spine posteroanterior and lateral
radiographs from patients treated with MCGR. The measurements were repeated 4
weeks after the initial read. The following radiological parameters were
measured: Cobb angle of the main and compensatory curves, coronal balance,
coronal T1-S1 and T1-T12 length, chest width and depth at T6, pelvic inlet width,
MCGR distracted lengths, global kyphosis, proximal and distal junctional angle,
lordosis, sagittal balance, pelvic incidence, pelvic tilt and sacral slope.
Statistical analysis was performed with paired t test and Cronbach alpha for
inter- and intraobserver reliability. RESULTS: All measurements had good or
excellent intra- and interobserver reliability (alpha>0.8; P < 0.05), except
measurements of the proximal junctional angle which showed only poor intra- and
interobserver reliability for patients with an upper instrumented vertebra
cranial to T4. CONCLUSION: EOS imaging is reliable for diagnosis and monitoring
of children with Early Onset Scoliosis treated with MCGR. EOS imaging is
particularly excellent for assessment of MCGR lengthening. Diagnosis and
interpretation of early proximal junctional kyphosis within the cervicothoracic
junction should be made with caution. LEVEL OF EVIDENCE: 3.
PMID- 29649091
TI - The Prognostic Significance of Pedicle Enhancement from Contrast-enhanced MRI for
the Further Collapse in Osteoporotic Vertebral Compression Fractures.
AB - STUDY DESIGN: A retrospective observational study. OBJECTIVE: The aim of this
study was to investigate the prognostic significance of contrast-enhanced
magnetic resonance imaging (MRI) for detecting pedicle enhancement and predicting
future collapse in patients with acute benign osteoporotic vertebral compression
fracture (OVCF). SUMMARY OF BACKGROUND DATA: Traditional morphological
classification regarding compression versus burst fractures cannot accurately
anticipate the prognosis of further collapse. Identifying subgroups with a higher
risk for further collapse may be beneficial in determining the treatment
modality, which should be decided immediately after the injury. METHODS: One
hundred fourteen patients with benign OVCFs who, at the onset, underwent contrast
enhanced spine MRI between 2003 and 2016 were retrospectively analyzed. Patients
were recruited on the basis of predefined inclusion and exclusion criteria. The
primary outcome was the compression progression rate; other potential variables
included demographic and clinical characteristics, initial compression rates, and
kyphotic angles. In addition, other structural abnormalities on MRI were
assessed. The compression progression rates were compared according to pedicle
enhancement, and the prognostic significance of pedicle enhancement for further
collapse were analyzed. RESULTS: Further compression progression rates were
significantly higher in the pedicle-enhanced (PE) group than in the nonenhanced
(NE) group. Multivariate logistic analysis revealed that pedicle enhancement may
be associated with further compression progression >=10%. The vertebral
augmentation (VAG) protective effect against collapse was only significant within
the PE group, while bone densitometry and patients' mobility were significant
only within the NE group. The log-rank test revealed a statistically significant
difference in the rates of further collapse >=10% during the 1 year between the
groups. CONCLUSION: Sign of pedicle enhancement is a potential risk factor for
further compression progression. Contrast-enhanced MRI should be performed at the
onset to better determine the future risk of collapse and to choose a better
treatment modality for benign OVCF patients. LEVEL OF EVIDENCE: 3.
PMID- 29649092
TI - Ascorbic Acid Attenuates Multifidus Muscles Injury and Atrophy After Posterior
Lumbar Spine Surgery by Suppressing Inflammation and Oxidative Stress in a Rat
Model.
AB - STUDY DESIGN: A rat model of multifidus muscles injury and atrophy after
posterior lumbar spine surgery. OBJECTIVE: We determined the effect of ascorbic
acid (AA) on the postoperative multifidus muscles in rat model. SUMMARY OF
BACKGROUND DATA: Previous studies show oxidative stress and inflammation are two
main molecular mechanisms in multifidus muscle injury and atrophy after posterior
lumbar surgery. AA may have a protective effect in postoperative multifidus
muscles. METHODS: Rats were divided into sham surgery, control surgery, and
surgery plus AA groups. Multifidus muscles of the control and AA groups were
excised from the osseous structures. The muscles were retracted continuously for
2 hours. In the sham and AA groups, AA was administered via oral gavage daily in
the first week. In each group, the oxidative stress was evaluated by measuring
malondialdehyde (MDA) and Total superoxide dismutase (T-SOD). The inflammation,
fat degeneration, or fibrosis of multifidus muscle were evaluated by quantitative
real-time polymerase chain reaction (q-PCR), histology, or immunohistochemical
analysis. RESULTS: T-SOD activity was significantly lower in the control group
than that in the AA group in the first week. MDA levels were significantly higher
in the AA group. Interleukin-6 and tumor necrosis factor-alpha in multifidus
muscles also showed significant differences when treated with AA. The
inflammation score on histology was significantly lower in the AA group
postoperatively in the first week. In the long run, marker genes for fibrosis and
fat degeneration, and fibrosis and fat degeneration scores, were significantly
lower in the AA than the control group on days 14 and 28 postoperatively.
CONCLUSION: In conclusion, AA attenuated the oxidative stress and inflammation
response in the postoperative multifidus muscles, and remarkable differences were
observed from the histological assessment and related marker genes expression.
Our results provided important insight into the anti-inflammatory and anti
oxidative effects of AA in the postoperative multifidus muscles. LEVEL OF
EVIDENCE: N/A.
PMID- 29649093
TI - Comparison of CYP3A4-Inducing Capacity of Enzyme-Inducing Antiepileptic Drugs
Using 4beta-Hydroxycholesterol as Biomarker.
AB - BACKGROUND: Enzyme-inducing antiepileptic drugs (EIAEDs) are among the clinically
most important inducers of cytochrome P450 (CYP) 3A4, but there is limited
evidence regarding the comparative potency of each EIAED in raising CYP3A4
activity. The aim of this study was to estimate CYP3A4-inductive potency of
EIAEDs by comparing CYP3A4 activity in patients treated with carbamazepine,
phenobarbital, or phenytoin. METHODS: Residual serum samples from patients
treated with EIAEDs or levetiracetam were collected from a therapeutic drug
monitoring service for analysis of 4beta-hydroxycholesterol (4betaOHC), which is
an indicator of CYP3A4 activity. The samples were collected between January and
September 2016 at Diakonhjemmet Hospital, Oslo, Norway. Concentration of
4betaOHC, EIAEDs, and levetiracetam was measured by ultra-performance liquid
chromatography tandem mass spectrometry. Kruskal-Wallis and Mann-Whitney tests
were used for comparison of 4betaOHC levels between the subgroups. RESULTS: In
total, 4betaOHC measurements for 343 and 339 patients treated with EIAEDs and
levetiracetam, respectively, were included in the study. Compared with
levetiracetam-treated patients, the median 4betaOHC concentration was 3.3-fold,
5.8-fold, and 6.9-fold higher in patients using phenobarbital, phenytoin, or
carbamazepine, respectively (P < 0.0001). Phenytoin users (n = 65) and
carbamazepine users (n = 225) had 1.8- and 2.1-fold higher median 4betaOHC
concentration than phenobarbital users (n = 28), respectively (P <= 0.0001).
CONCLUSIONS: This study shows that phenytoin and carbamazepine have approximately
twice the CYP3A4-inducing potency of phenobarbital. The results indicate that 2
fold higher doses of CYP3A4-metabolized drugs may generally be required during
concurrent treatment with phenytoin or carbamazepine compared with phenobarbital.
PMID- 29649094
TI - Methadone Maintenance Treatment: A 15-year Retrospective Study in Split-Dalmatia
County, Croatia.
AB - BACKGROUND: The aim of this retrospective study is to use existing
epidemiological data on patients in methadone maintenance treatment in Split
Dalmatia County from 2001 to 2015 to evaluate the substitution treatment system
and policy of opiate addicts treatment, the epidemiological trend, and risk of
overdose mortality. In addition, we would like to highlight the problem of poor
control of methadone therapy and thus the possibility of selling methadone. The
result is a greater number of deaths caused by methadone in people who were not
in methadone therapy. METHODS: The research included data collected from 3189
patients who had been in the drug abuse treatment program in Split-Dalmatia
County during 2001-2015 and data gathered from autopsy examinations of patients
in Split-Dalmatia County with emphasis on data for 186 overdosed patients during
research period. RESULTS: The total number of patients in the methadone treatment
program in Split-Dalmatia County during the research period, except in 2005 and
2006, has been stable, while the number of new opiate patients, being in first
time treatment, decreased by 62.5%. The number of addicts who were in a long-term
maintenance program has increased by 198%, whereas the number of addicts who were
in a short-term detoxification treatment has decreased by 96.4%. According to
results obtained from performed autopsies, 186 death cases were determined as
overdoses. Methadone was found in 56 of those cases and was declared as the cause
of death in 39 cases (70%). Of the total number of autopsied patients with
diagnosed methadone overdose, only 23 (59%) had been recorded to receive
methadone therapy in Public Health Institute of Split-Dalmatia County database.
CONCLUSIONS: The results of this study show the favorable epidemiological trend
because of the decreasing number of new opiate patients in treatment. The
retention of opiate patients in substitution therapy indicates the effectiveness
of methadone maintenance programs. Our research did not determine any influence
of methadone substitution therapy on an increasing risk of specific (overdose)
mortality.
PMID- 29649095
TI - Measuring Quality Gaps in TB Screening in South Africa Using Standardised Patient
Analysis.
AB - This is the first multi-district Standardised Patient (SP) study in South Africa.
It measures the quality of TB screening at primary healthcare (PHC) facilities.
We hypothesise that TB screening protocols and best practices are poorly adhered
to at the PHC level. The SP method allows researchers to observe how healthcare
providers identify, test and advise presumptive TB patients, and whether this
aligns with clinical protocols and best practice. The study was conducted at PHC
facilities in two provinces and 143 interactions at 39 facilities were analysed.
Only 43% of interactions resulted in SPs receiving a TB sputum test and being
offered an HIV test. TB sputum tests were conducted routinely (84%) while HIV
tests were offered less frequently (47%). Nurses frequently neglected to ask SPs
whether their household contacts had confirmed TB (54%). Antibiotics were
prescribed without taking temperatures in 8% of cases. The importance of
returning to the facility to receive TB test results was only explained in 28%.
The SP method has highlighted gaps in clinical practice, signalling missed
opportunities. Early detection of sub-optimal TB care is instrumental in
decreasing TB-related morbidity and mortality. The findings provide the rationale
for further quality improvement work in TB management.
PMID- 29649096
TI - Defining Driver DNA Methylation Changes in Human Cancer.
AB - Human malignant tumors are characterized by pervasive changes in the patterns of
DNA methylation. These changes include a globally hypomethylated tumor cell
genome and the focal hypermethylation of numerous 5'-cytosine-phosphate-guanine
3' (CpG) islands, many of them associated with gene promoters. It has been
challenging to link specific DNA methylation changes with tumorigenesis in a
cause-and-effect relationship. Some evidence suggests that cancer-associated DNA
hypomethylation may increase genomic instability. Promoter hypermethylation
events can lead to silencing of genes functioning in pathways reflecting
hallmarks of cancer, including DNA repair, cell cycle regulation, promotion of
apoptosis or control of key tumor-relevant signaling networks. A convincing
argument for a tumor-driving role of DNA methylation can be made when the same
genes are also frequently mutated in cancer. Many of the most commonly
hypermethylated genes encode developmental transcription factors, the methylation
of which may lead to permanent gene silencing. Inactivation of such genes will
deprive the cells in which the tumor may initiate from the option of undergoing
or maintaining lineage differentiation and will lock them into a perpetuated stem
cell-like state thus providing an additional window for cell transformation.
PMID- 29649097
TI - Centrosome Positioning in Dictyostelium: Moving beyond Microtubule Tip Dynamics.
AB - The variability in centrosome size, shape, and activity among different organisms
provides an opportunity to understand both conserved and specialized actions of
this intriguing organelle. Centrosomes in the model organism Dictyostelium sp.
share some features with fungal systems and some with vertebrate cell lines and
thus provide a particularly useful context to study their dynamics. We discuss
two aspects, centrosome positioning in cells and their interactions with nuclei
during division as a means to highlight evolutionary modifications to machinery
that provide the most basic of cellular services.
PMID- 29649098
TI - Three New Polyynes from Codonopsis pilosula and Their Activities on Lipid
Metabolism.
AB - Three new polyynes, named choushenpilosulynes A-C (1-3), were isolated from an
85% aqueous EtOH extract of the roots of Codonopsis pilosula cultivated in
Xundian County of Yunnan province, China. Their structures, including the
absolute configuration of the glucose residue in 1 and 2, were determined by
spectroscopic analysis and gas chromatography (GC). In addition, biological
evaluation shows that all the compounds can inhibit the expression of the
squalene monooxygenase (SQLE) gene in HepG2 cells, suggesting that these
compounds may be involved in lipid metabolism.
PMID- 29649099
TI - Functional and Radiological Results of Proximal Femoral Nail Antirotation (PFNA)
Osteosynthesis in the Treatment of Unstable Pertrochanteric Fractures.
AB - Pertrochanteric femur fractures are considered amongst the most commonly
encountered fractures in the geriatric age group. We evaluated radiographic and
functional outcomes of patients with unstable pertrochanteric fractures treated
with the proximal femur nail antirotation (PFNA). Between March 2013 and December
2015, fifty patients (28 male and 22 females with a mean age of 72.8 years
(range, 20-94)) with unstable pertrochanteric fractures (AO 31.A2 and 31.A3) were
fixed with the PFNA at our institution, and they were retrospectively evaluated.
Forty one patients were treated with short PFNA and nine with long PFNA.
Operative time ranged between 30 and 150 (average 73.60) min, blood loss ranged
between 50 and 250 (average 80) milliliter and hospital stay ranged between 3 and
18 (6.86) days. The mean follow-up period was 18 months (range, 11-31). At final
follow-up, solid union of all fractures had been achieved without any implant
related complications, the mean Harris Hip Score (HHS) was 79.34 +/- 9.10 points
and the mean neck-shaft angle was 127.2 degrees +/- 5.07 degrees . No
significant differences were encountered between the functional and radiographic
outcomes of the PFNA with regards to the AO fracture classification and the
implant version. PFNA is a recommended option for the treatment of unstable
pertrochanteric fractures owing to its easy insertion, reduced blood loss, stable
fixation and satisfactory functional and radiological outcomes.
PMID- 29649100
TI - Targeting the Adenosinergic Axis in Chronic Lymphocytic Leukemia: A Way to
Disrupt the Tumor Niche?
AB - Targeting adenosine triphosphate (ATP) metabolism and adenosinergic signaling in
cancer is gaining momentum, as increasing evidence is showing their relevance in
tumor immunology and biology. Chronic lymphocytic leukemia (CLL) results from the
expansion of a population of mature B cells that progressively occupies the bone
marrow (BM), the blood, and peripheral lymphoid organs. Notwithstanding
significant progress in the treatment of these patients, the cure remains an
unmet clinical need, suggesting that novel drugs or drug combinations are needed.
A unique feature of CLL is its reliance on micro-environmental signals for
proliferation and cell survival. We and others have shown that the lymphoid
niche, an area of intense interactions between leukemic and bystander non-tumor
cells, is a typically hypoxic environment. Here adenosine is generated by
leukemic cells, as well as by cells of myeloid origin, acting through autocrine
and paracrine mechanisms, ultimately affecting tumor growth, limiting drug
responses, and skewing the immune cells towards a tolerant phenotype. Hence,
understanding the mechanisms through which this complex network of enzymes,
receptors, and metabolites functions in CLL, will pave the way to the use of
pharmacological agents targeting the system, which, in combination with drugs
targeting leukemic cells, may get us one step closer to curing these patients.
PMID- 29649102
TI - Development of a Waterproof Crack-Based Stretchable Strain Sensor Based on PDMS
Shielding.
AB - This paper details the design of a poly(dimethylsiloxane) (PDMS)-shielded
waterproof crack-based stretchable strain sensor, in which the electrical
characteristics and sensing performance are not influenced by changes in
humidity. This results in a higher number of potential applications for the
sensor. A previously developed omni-purpose stretchable strain (OPSS) sensor was
used as the basis for this work, which utilizes a metal cracking structure and
provides a wide sensing range and high sensitivity. Changes in the conductivity
of the OPSS sensor, based on humidity conditions, were investigated along with
the potential possibility of using the design as a humidity sensor. However, to
prevent conductivity variation, which can decrease the reliability and sensing
ability of the OPSS sensor, PDMS was utilized as a shielding layer over the OPSS
sensor. The PDMS-shielded OPSS sensor showed approximately the same electrical
characteristics as previous designs, including in a high humidity environment,
while maintaining its strain sensing capabilities. The developed sensor shows
promise for use under high humidity conditions and in underwater applications.
Therefore, considering its unique features and reliable sensing performance, the
developed PDMS-shielded waterproof OPSS sensor has potential utility in a wide
range of applications, such as motion monitoring, medical robotics and wearable
healthcare devices.
PMID- 29649101
TI - Pathobiologic Roles of Epstein-Barr Virus-Encoded MicroRNAs in Human Lymphomas.
AB - Epstein-Barr virus (EBV) is a human gamma-herpesvirus implicated in several human
malignancies, including a wide range of lymphomas. Several molecules encoded by
EBV in its latent state are believed to be related to EBV-induced
lymphomagenesis, among which microRNAs-small RNAs with a posttranscriptional
regulating role-are of great importance. The genome of EBV encodes 44 mature
microRNAs belonging to two different classes, including BamHI-A rightward
transcript (BART) and Bam HI fragment H rightward open reading frame 1 (BHRF1),
with different expression levels in different EBV latency types. These microRNAs
might contribute to the pathogenetic effects exerted by EBV through targeting
self mRNAs and host mRNAs and interfering with several important cellular
mechanisms such as immunosurveillance, cell proliferation, and apoptosis. In
addition, EBV microRNAs can regulate the surrounding microenvironment of the
infected cells through exosomal transportation. Moreover, these small molecules
could be potentially used as molecular markers. In this review, we try to present
an updated and extensive view of the role of EBV-encoded miRNAs in human
lymphomas.
PMID- 29649103
TI - The Anti-Periodontitis Effects of Ethanol Extract Prepared Using Lactobacillus
paracasei subsp. paracasei NTU 101.
AB - Poor oral health and related diseases, including caries, periodontal disease, and
oral cancer, are highly prevalent across the world, particularly in the elderly.
This study aimed to investigate the anti-periodontitis activity of fermented skim
milk produced using the promising probiotic Lactobacillus paracasei subsp.
paracasei NTU 101 (NTU101FM). An initial analysis found that an ethanol extract
of NTU101FM displayed anti-oxidative activities. Further investigation of
pathogen growth inhibition zones, minimum inhibitory concentrations (MICs), and
minimum bactericidal concentrations (MBCs) revealed that the NTU101FM ethanol
extract also had anti-periodontal pathogen activities. In addition, the NTU101FM
ethanol extract significantly decreased the release of pro-inflammatory cytokines
induced by lipopolysaccharide (LPS) in RAW 264.7 macrophage cells. Finally, the
NTU101FM ethanol extract was found to inhibit receptor activator of nuclear
factor-kappaB ligand (RANKL)-induced osteoclast differentiation by reducing
tartrate-resistant acid phosphatase (TRAP) activity and the number of TRAP
positive multinucleated osteoclasts. In summary, our study demonstrated that
ethanol extract prepared from NTU101FM has potential use as an anti-periodontitis
agent.
PMID- 29649104
TI - Coordination of GPR40 and Ketogenesis Signaling by Medium Chain Fatty Acids
Regulates Beta Cell Function.
AB - Diabetes prevalence increases with age, and beta-cell dysfunction contributes to
the incidence of the disease. Dietary lipids have been recognized as contributory
factors in the development and progression of the disease. Unlike long chain
triglycerides, medium chain triglycerides (MCT) increase fat burning in animal
and human subjects as well as serum C-peptide in type 2 diabetes patients. We
evaluated the beneficial effects of MCT on beta-cells in vivo and in vitro. MCT
improved glycemia in aged rats via beta-cell function assessed by measuring
insulin secretion and content. In beta-cells, medium chain fatty acid (MCFA)-C10
activated fatty acid receptor 1 FFAR1/GPR40, while MCFA-C8 induced mitochondrial
ketogenesis and the C8:C10 mixture improved beta cell function. We showed that
GPR40 signaling positively impacts ketone body production in beta-cells, and
chronic treatment with beta-hydroxybutyrate (BHB) improves beta-cell function. We
also showed that BHB and MCFA help beta-cells recover from lipotoxic stress by
improving mitochondrial function and increasing the expression of genes involved
in beta-cell function and insulin biogenesis, such as Glut2, MafA, and NeuroD1 in
primary human islets. MCFA offers a therapeutic advantage in the preservation of
beta-cell function as part of a preventative strategy against diabetes in at risk
populations.
PMID- 29649105
TI - Glyteer, Soybean Tar, Impairs IL-4/Stat6 Signaling in Murine Bone Marrow-Derived
Dendritic Cells: The Basis of Its Therapeutic Effect on Atopic Dermatitis.
AB - Atopic dermatitis (AD) is a common inflammatory skin disease. Recent studies have
revealed the involvement of T helper (Th)2 cytokines including Interleukin 4 (IL
4) in the pathogenesis of AD. Since epidermal Langerhans cells (LCs) and dermal
myeloid dendritic cells (DCs) produce CCL17 and CCL22 that chemoattract Th2
cells, interfering with CCL17 and CCL22 production from LCs and dermal myeloid
DCs may be beneficial in the treatment of AD. To investigate this, we stimulated
murine bone marrow-derived DCs (BMDCs) with IL-4. IL-4 stimulation produced Ccl17
and Ccl22, which was attenuated by soybean tar Glyteer, a known aryl hydrocarbon
receptor (Ahr) activator. Notably, Glyteer treatment blocked the nuclear
translocation of Stat6 induced by IL-4 stimulation, suggesting that this
treatment impairs the IL-4/Stat6 signaling pathway in BMDCs. Unexpectedly,
Glyteer treatment did not potently upregulate the expression of Cyp1a1, a
specific Ahr-responsive gene, suggesting that its inhibitory machinery for Ccl17
and Ccl22 expression is likely to operate in an Ahr-independent manner. These
findings indicate that Glyteer may exhibit therapeutic potential for AD by
downregulating the CCL17 and CCL22 production from DCs in a Th2-deviated
microenvironment.
PMID- 29649106
TI - MOSH Syndrome (Male Obesity Secondary Hypogonadism): Clinical Assessment and
Possible Therapeutic Approaches.
AB - Male obesity secondary hypogonadism (MOSH) impairs fertility, sexual function,
bone mineralization, fat metabolism, cognitive function, deteriorates muscle mass
and alters body composition. The aim of this pilot study was to evaluate the
effect of dietary intervention and physical activity on the MOSH patient's
hormonal profile after a 10% weight loss compared to baseline. Fourteen male
patients were enrolled. Hormonal, lipid, glycemic profiles and body composition
were determined at baseline and after a 10% weight loss. Aging Male Symptoms
Scale (AMS) and Yale Food Addiction Scale (YFAS) were administered to patients in
order to investigate hypogonadal symptoms and food addiction. Compared to
baseline, a significant increase of Total Testosterone (TT) (300.2 +/- 79.5 ng/dL
vs. 408.3 +/- 125.9 ng/dL, p = 0.002, 95% CI 26.8; 167.7) and a reduction of 17
Beta Estradiol level (48.3 +/- 14.9 pg/mL vs. 39.2 +/- 15.2 pg/mL, p = 0.049, 95%
CI 3.1; 0.0) were observed. Total Fat Mass (FM) percentage, android and gynoid
fat mass percentage (39.2 +/- 6.4% vs. 36.2 +/- 5.8%, p = 0.0001, 95% CI 22.5;
62.3; 51.5 +/- 6.8% vs. 47.6 +/- 6.8%, p = 0.001, 95% CI 0.6; 1.8, vs. 39.2 +/-
6.2% vs. 36.5 +/- 6.3% p = 0.0001, 95% CI 0.9; 2.0 respectively) were
significantly decreased after nutritional intervention. In addition, total Fat
Free Mass (FFM) in kg was significantly reduced after 10% weight loss (62.3 +/-
2.8 kg vs. 60.3 +/- 7.7 kg, p = 0.002, 95% CI 45.0; 93.0). Lifestyle changes,
specifically dietotherapy and physical activity, induce positive effects on
hypogonadism due to obesity.
PMID- 29649107
TI - Impaired Hematologic Status in Relation to Clinical Outcomes among HIV-Infected
Adults from Uganda: A Prospective Cohort Study.
AB - Impaired hematologic status (IHS) was investigated as a determinant of immune
function defined as cluster of differentiation 4 (CD4) T-helper cell count,
quality of life (QOL) weight and hospitalization/mortality over 18-months among
398 adult persons living with HIV/AIDS (PLWHA) on anti-retroviral therapy. IHS
was defined as having anemia at baseline (Hemoglobin: <12 g/dL for women and <13
g/dL for men), time-updated anemia or having low (<30 MUg/L) or high (>200 MUg/L
for men and >150 MUg/L for women) ferritin levels at baseline. Months-to
hospitalization/death or study-end (if no event) was calculated from enrollment.
Multivariable linear-mixed models quantified associations between IHS and changes
in CD4 cell-count, weight gain and QOL. Cox proportional hazards models
calculated hazard ratios (HR) and corresponding 95% confidence intervals (CI) for
IHS-related differences in time-to-hospitalization/death. The prevalences of
anemia and high and low ferritin levels at baseline were 48.7% (n = 194), 40.5%
(n = 161) and 17% (n = 68), respectively. Most patients (63.4%, n = 123) remained
anemic during follow-up. Weight gained (ferritin-time interaction, p < 0.01) and
QOL (anemia-time interaction, p = 0.05; ferritin-time interaction, p = 0.01) were
lower for PLWHA with versus without IHS. Relative to anemia-free/normal ferritin,
the risk of hospitalization/death was elevated for PLWHA with anemia (HR = 2.0;
95% CI: 1.2-3.6), low or high ferritin (HR: 1.8-1.9, 95% CI: 0.9-4.1) and those
that developed new/persistent/progressive anemia (HR: 2.3-6.7, 95% CI: 1.0-12.7).
Among PLWHA, IHS predicted deficits in QOL, low weight gain and a high risk of
hospitalization/death. Intervention to mitigate persistent IHS may be warranted
among PLWHA on long-term highly active antiretroviral therapy (HAART) to improve
health outcomes.
PMID- 29649108
TI - Postnatal Growth Disadvantage of the Small for Gestational Age Preterm Twins.
AB - In this study, we examined early growth characteristics among small-for
gestational-age (SGA) preterm twins compared to their appropriate-for-gestational
age (AGA) counterparts. A retrospective study evaluated all consecutive twins
born between 2008 and 2015 at a tertiary referral center whose gestational age
ranged from 30.0 to 34.86 weeks. Included were twins in which one twin was AGA
and the other SGA at birth. Changes of >=2, 1-1.99, and 0-0.99 in z-score between
births and 36 weeks post menstrual age (PMA) were respectively defined as severe,
moderate, and mild postnatal growth failure (PNGF) in weight or head
circumference (HC). Early neonatal morbidities were documented. Multiple logistic
regression analysis was applied to determine conditions associated with PNGF and
its severity. Out of 666 sets of twins, 83 met the inclusion criteria. Weight
PNGF was similar and mild among the SGA and the AGA groups (0.9 +/- 0.46 vs. 0.96
+/- 0.44 z-score, respectively, p = 0.24). At 36 weeks PMA, a significantly
larger proportion of SGAs were below -2 z-scores in weight (84.3%) compared to
birth (31.3%) or to the AGAs (8.4%). In both groups, weight PNGF correlated with
the time needed to regain birth weight. HC PNGF was mild among both groups, yet
significantly more prominent among the AGAs (0.39 +/- 0.72 z-score) vs. SGAs
(0.75 +/- 0.65 z-score, p = 0.001). We suggest that among preterm SGA infants,
the absolute z-score should be used to assess the severity of weight PNGF.
Individual nutritional strategies to decrease time to regain birth weight may
mitigate severe malnutrition among SGAs.
PMID- 29649110
TI - Luminescence Mechanism of Carbon Dots by Tailoring Functional Groups for Sensing
Fe3+ Ions.
AB - In this paper, spherical carbon dots (CDs) with distinct compositions and surface
states have been successfully synthesized by a facile microwave method. From the
fluorescence spectra, several characteristic luminescence features have been
observed: surface amino groups are dominant in the whole emission spectra
centering at 445 nm, and the fingerprint emissions relevant to the impurity
levels formed by some groups related to C and N elements, including C-C/C=C
(intrinsic C), C-N (graphitic N), N-containing heterocycles (pyridine N) and C=O
groups, are located around 305 nm, 355 nm, 410 nm, and 500 nm, respectively.
Those fine luminescence features could be ascribed to the electron transition
among various trapping states within the band structure caused by different
chemical bonds in carbon cores, or functional groups attached to the CDs'
surfaces. According to the theoretical calculations and experimental results, a
scheme of the band structure has been proposed to describe the positions of those
trapping states within the band gap. Additionally, it has also been observed that
the emission of CDs is sensitive to the concentration of Fe3+ ions with a linear
relation in the range of Fe3+ concentration from 12.5 to 250 MUM.
PMID- 29649109
TI - MicroRNA Expression Analysis of In Vitro Dedifferentiated Human Pancreatic Islet
Cells Reveals the Activation of the Pluripotency-Related MicroRNA Cluster miR
302s.
AB - beta-cell dedifferentiation has been recently suggested as an additional
mechanism contributing to type-1 and to type-2 diabetes pathogenesis. Moreover,
several studies demonstrated that in vitro culture of native human pancreatic
islets derived from non-diabetic donors resulted in the generation of an
undifferentiated cell population. Additional evidence from in vitro human beta
cell lineage tracing experiments, demonstrated that dedifferentiated cells derive
from beta-cells, thus representing a potential in vitro model of beta-cell
dedifferentiation. Here, we report the microRNA expression profiles analysis of
in vitro dedifferentiated islet cells in comparison to mature human native
pancreatic islets. We identified 13 microRNAs upregulated and 110 downregulated
in islet cells upon in vitro dedifferentiation. Interestingly, among upregulated
microRNAs, we observed the activation of microRNA miR-302s cluster, previously
defined as pluripotency-associated. Bioinformatic analysis indicated that miR
302s are predicted to target several genes involved in the control of beta
cell/epithelial phenotype maintenance; accordingly, such genes were downregulated
upon human islet in vitro dedifferentiation. Moreover, we uncovered that cell
cell contacts are needed to maintain low/null expression levels of miR-302. In
conclusion, we showed that miR-302 microRNA cluster genes are involved in in
vitro dedifferentiation of human pancreatic islet cells and inhibits the
expression of multiple genes involved in the maintenance of beta-cell mature
phenotype.
PMID- 29649111
TI - Microbial Groundwater Quality Status of Hand-Dug Wells and Boreholes in the
Dodowa Area of Ghana.
AB - To assess the suitability of water sources for drinking purposes, samples were
taken from groundwater sources (boreholes and hand-dug wells) used for drinking
water in the Dodowa area of Ghana. The samples were analyzed for the presence of
fecal indicator bacteria (Escherichia coli) and viruses (Adenovirus and
Rotavirus), using membrane filtration with plating and glass wool filtration with
quantitative polymerase chain reaction (PCR), respectively. In addition, sanitary
inspection of surroundings of the sources was conducted to identify their
vulnerability to pollution. The presence of viruses was also assessed in water
samples from the Dodowa River. More than 70% of the hand-dug wells were sited
within 10 m of nearby sources of contamination. All sources contained E. coli
bacteria, and their numbers in samples of water between dug wells and boreholes
showed no significant difference (p = 0.48). Quantitative PCR results for
Adenovirus indicated 27% and 55% were positive for the boreholes and hand-dug
wells, respectively. Samples from all boreholes tested negative for the presence
of Rotavirus while 27% of the dug wells were positive for Rotavirus. PCR tests of
20% of groundwater samples were inhibited. Based on these results we concluded
that there is systemic microbial and fecal contamination of groundwater in the
area. On-site sanitation facilities, e.g., pit latrines and unlined wastewater
drains, are likely the most common sources of fecal contamination of groundwater
in the area. Water abstracted from groundwater sources needs to be treated before
use for consumption purposes. In addition, efforts should be made to delineate
protected areas around groundwater abstraction points to minimize contamination
from point sources of pollution.
PMID- 29649112
TI - Effects of BTEX on the Removal of Acetone in a Coaxial Non-Thermal Plasma
Reactor: Role Analysis of the Methyl Group.
AB - The removal of acetone and benzene series (BTEX) under individual and concurrent
conditions is carried out in a coaxial nonthermal plasma (NTP) reactor. The
results show that the benzene series has a significant negative impact on acetone
conversion and CO2 selectivity under NTP treatment. Furthermore, it is found that
p-xylene significantly promotes COx selectivity under co-treatment with acetone
because of greater CO generation. Based on the results of transient FTIR, MS, and
GC-MS, it is seen that quantities of formic acid, formaldehyde, and ring-opening
byproducts from benzene series decomposition are reduced, while quantities of
aromatic byproducts with carboxyl, phenolic, and aldehyde groups on the benzene
ring increase under coexistence conditions. With the help of theoretical
calculation and kinetic analysis, hydrogen abstraction from the methyl group and
active hydroxyl radical consumption are proposed as critical factors in the BTEX
inhibition effect on acetone degradation.
PMID- 29649114
TI - Optimized Multi-Spectral Filter Array Based Imaging of Natural Scenes.
AB - Multi-spectral imaging using a camera with more than three channels is an
efficient method to acquire and reconstruct spectral data and is used extensively
in tasks like object recognition, relighted rendering, and color constancy.
Recently developed methods are used to only guide content-dependent filter
selection where the set of spectral reflectances to be recovered are known a
priori. We present the first content-independent spectral imaging pipeline that
allows optimal selection of multiple channels. We also present algorithms for
optimal placement of the channels in the color filter array yielding an efficient
demosaicing order resulting in accurate spectral recovery of natural reflectance
functions. These reflectance functions have the property that their power
spectrum statistically exhibits a power-law behavior. Using this property, we
propose power-law based error descriptors that are minimized to optimize the
imaging pipeline. We extensively verify our models and optimizations using large
sets of commercially available wide-band filters to demonstrate the greater
accuracy and efficiency of our multi-spectral imaging pipeline over existing
methods.
PMID- 29649113
TI - New and Old Genes Associated with Primary and Established Responses to Paclitaxel
Treatment in Ovarian Cancer Cell Lines.
AB - Development of drug resistance is the main reason for low chemotherapy
effectiveness in treating ovarian cancer. Paclitaxel (PAC) is a chemotherapeutic
drug used in the treatment of this cancer. We analysed the development of PAC
resistance in two ovarian cancer cell lines. Exposure of drug-sensitive cell
lines (A2780 and W1) to PAC was used to determine the primary response. An
established response was determined in PAC-resistant sublines of the A2780 and W1
cell lines. qRT-PCR was performed to measure the expression levels of specific
genes. We observed decreased expression of the PCDH9, NSBP1, MCTP1 and SEMA3A
genes in the PAC-resistant cell lines. Short-term exposure to PAC led to
increased expression of the MDR1 and BCRP genes in the A2780 and W1 cell lines.
In the A2780 cell line, we also observed increased expression of the C4orf18 gene
and decreased expression of the PCDH9 and SEMA3A genes after PAC treatment. In
the W1 cell line, short-term treatment with PAC upregulated the expression of the
ALDH1A1 gene, a marker of Cancer stem cells (CSCs). Our results suggest that
downregulation of the PCDH9, NSBP1, MCTP1 and SEMA3A genes and upregulation of
the MDR1, BCRP, C4orf18 and ALDH1A1 genes may be related to PAC resistance.
PMID- 29649115
TI - Public Health Care Financing and the Costs of Cancer Care: A Cross-National
Analysis.
AB - Expenditure and financing aspects in the healthcare system in general, and in
cancer care in particular, are subjects of increasing concern to the medical
community. Nowadays, it is imperative for the healthcare system to respond to the
challenge of universal access to quality healthcare, by measuring the financial
resources within the healthcare sector. The purpose of this review is to
highlight the major gaps in the healthcare expenditures for all types of care, as
well as on cancer and anti-cancer drugs across 28 European Union member states.
The indicators taken into account are divided into two major groups: (1)
healthcare expenditures for all types of care, and (2) healthcare expenditures on
cancer and anti-cancer drugs. The programs used for our analysis are SPSS
Statistics V20.0 (IBM Corporation, Armonk, NY, USA) and Stat World Explorer. The
overall picture confirms that there are considerable disparities between the 28
countries in relation to their expenditures on health. The trend in public
expenditures for all types of care, compared to the share of healthcare
expenditures as a percentage of the GDP, shows the increase of health expenses
between 2010 and 2014, but a lower rise compared to the total GDP increase.
Healthcare expenditure on cancer (%THE) is rather low, despite the high cost
associated with anti-cancer drugs. New treatments and drugs development will be
increasingly difficult to achieve if the share devoted to cancer does not
increase, and the lack of funds may act as a barrier in receiving high-quality
care.
PMID- 29649116
TI - Mechanical Grinding Preparation and Characterization of TiO2-Coated Wollastonite
Composite Pigments.
AB - TiO2-coated wollastonite composite pigments were prepared by the mechano-chemical
grinding of wollastonite and TiO2 powder together in a wet ultrafine stirred
mill. X-ray diffraction, scanning electron microscopy, X-ray photoelectron
spectroscopy and infrared spectra were used to investigate the microstructures
and morphologies of the composite and the reaction mechanism. The results
indicate that the TiO2-coated wollastonite composite pigments have similar
properties to titanium dioxide pigment, showing much better properties than dry
and wet mixing of wollastonite and TiO2. The hiding power of TiO2-coated
wollastonite composite pigments (45% TiO2) is 17.97 g/m2, reaching 81.08% of
titanium dioxide. A firm combination between wollastonite and TiO2 is obtained
through a surface dehydroxylation reaction during the mechano-chemical method.
PMID- 29649117
TI - The Effect of Weld Reinforcement and Post-Welding Cooling Cycles on Fatigue
Strength of Butt-Welded Joints under Cyclic Tensile Loading.
AB - This research deals with the fatigue behavior of butt-welded joints, by
considering the geometry and post-welding cooling cycles, as a result of cooling
in quiet air and immersed in water. ASTM A-36 HR structural steel was used as the
base metal for the shielded metal arc welding (SMAW) process with welding
electrode E6013. The welding reinforcement was 1 mm and 3 mm, respectively; axial
fatigue tests were carried out to determine the life and behavior in cracks
propagation of the tested welded joints, mechanical characterization tests of
properties in welded joints such as microhardness, Charpy impact test and
metallographic analysis were carried out. The latter were used as input for the
analysis by finite elements which influence the initiation and propagation of
cracks and the evaluation of stress intensity factors (SIF). The latter led to
obtaining the crack propagation rate and the geometric factor. The tested
specimens were analyzed, by taking photographs of the cracks at its beginning in
order to make a count of the marks at the origin of the crack. From the results
obtained and the marks count, the fatigue crack growth rate and the influence of
the cooling media on the life of the welded joint are validated, according to the
experimental results. It can be concluded that the welded joints with a higher
weld reinforcement have a shorter fatigue life. This is due to the stress
concentration that occurs in the vicinity of the weld toe.
PMID- 29649118
TI - Optical DNA Biosensor Based on Square-Planar Ethyl Piperidine Substituted
Nickel(II) Salphen Complex for Dengue Virus Detection.
AB - A sensitive and selective optical DNA biosensor was developed for dengue virus
detection based on novel square-planar piperidine side chain-functionalized N,N'
bis-4-(hydroxysalicylidene)-phenylenediamine-nickel(II), which was able to
intercalate via nucleobase stacking within DNA and be functionalized as an
optical DNA hybridization marker. 3-Aminopropyltriethoxysilane (APTS)-modified
porous silica nanospheres (PSiNs), was synthesized with a facile mini-emulsion
method to act as a high capacity DNA carrier matrix. The Schiff base salphen
complexes-labelled probe to target nucleic acid on the PSiNs renders a colour
change of the DNA biosensor to a yellow background colour, which could be
quantified via a reflectance transduction method. The reflectometric DNA
biosensor demonstrated a wide linear response range to target DNA over the
concentration range of 1.0 * 10-16-1.0 * 10-10 M (R2 = 0.9879) with an ultralow
limit of detection (LOD) at 0.2 aM. The optical DNA biosensor response was stable
and maintainable at 92.8% of its initial response for up to seven days of storage
duration with a response time of 90 min. The reflectance DNA biosensor obtained
promising recovery values of close to 100% for the detection of spiked synthetic
dengue virus serotypes 2 (DENV-2) DNA concentration in non-invasive human
samples, indicating the high accuracy of the proposed DNA analytical method for
early diagnosis of all potential infectious diseases or pathological genotypes.
PMID- 29649119
TI - Crystal Structure of Botulinum Neurotoxin A2 in Complex with the Human Protein
Receptor SV2C Reveals Plasticity in Receptor Binding.
AB - Botulinum neurotoxins (BoNTs) are a family of highly dangerous bacterial toxins,
with seven major serotypes (BoNT/A-G). Members of BoNTs, BoNT/A1 and BoNT/B1,
have been utilized to treat an increasing number of medical conditions. The
clinical trials are ongoing for BoNT/A2, another subtype of BoNT/A, which showed
promising therapeutic properties. Both BoNT/A1 and BoNT/A2 utilize three isoforms
of synaptic vesicle protein SV2 (SV2A, B, and C) as their protein receptors. We
here present a high resolution (2.0 A) co-crystal structure of the BoNT/A2
receptor-binding domain in complex with the human SV2C luminal domain. The
structure is similar to previously reported BoNT/A-SV2C complexes, but a shift of
the receptor-binding segment in BoNT/A2 rotates SV2C in two dimensions giving
insight into the dynamic behavior of the interaction. Small differences in key
residues at the binding interface may influence the binding to different SV2
isoforms, which may contribute to the differences between BoNT/A1 and BoNT/A2
observed in the clinic.
PMID- 29649120
TI - What Is the Evidence for "Food Addiction?" A Systematic Review.
AB - The diagnostic construct of "food addiction" is a highly controversial subject.
The current systematic review is the first to evaluate empirical studies
examining the construct of "food addiction" in humans and animals. Studies were
included if they were quantitative, peer-reviewed, and in the English language.
The 52 identified studies (35 articles) were qualitatively assessed to determine
the extent to which their findings indicated the following addiction
characteristics in relation to food: brain reward dysfunction, preoccupation,
risky use, impaired control, tolerance/withdrawal, social impairment, chronicity,
and relapse. Each pre-defined criterion was supported by at least one study.
Brain reward dysfunction and impaired control were supported by the largest
number of studies (n = 21 and n = 12, respectively); whereas risky use was
supported by the fewest (n = 1). Overall, findings support food addiction as a
unique construct consistent with criteria for other substance use disorder
diagnoses. The evidence further suggests that certain foods, particularly
processed foods with added sweeteners and fats, demonstrate the greatest
addictive potential. Though both behavioral and substance-related factors are
implicated in the addictive process, symptoms appear to better fit criteria for
substance use disorder than behavioral addiction. Future research should explore
social/role impairment, preoccupation, and risky use associated with food
addiction and evaluate potential interventions for prevention and treatment.
PMID- 29649121
TI - Synthesis of Hydroxyapatite, beta-Tricalcium Phosphate and Biphasic Calcium
Phosphate Particles to Act as Local Delivery Carriers of Curcumin: Loading,
Release and In Vitro Studies.
AB - The successful synthesis of hydroxyapatite (HA), beta-Tricalcium phosphate (beta
TCP) and two biphasic mixtures (BCPs) of the two was performed by means of wet
precipitation. The resulting crystals were characterized and the BCP composition
was analyzed and identified as 13% HA-87% TCP and 41% HA-59% TCP. All samples
were treated with curcumin solutions, and the degree of curcumin loading and
release was found to be proportional to the TCP content of the ceramic. No
further cytotoxicity was observed upon MG-63 treatment with the curcumin-loaded
ceramics. Finally, the alkaline phosphatase activity of the cells was found to
increase with increasing content of TCP, which provides an encouraging proof of
concept for the use of curcumin-loaded synthetic biomaterials in bone remodeling.
PMID- 29649122
TI - Production and Characterization of an Extracellular Acid Protease from
Thermophilic Brevibacillus sp. OA30 Isolated from an Algerian Hot Spring.
AB - Proteases have numerous biotechnological applications and the bioprospection for
newly-thermostable proteases from the great biodiversity of thermophilic
microorganisms inhabiting hot environments, such as geothermal sources, aims to
discover more effective enzymes for processes at higher temperatures. We report
in this paper the production and the characterization of a purified acid protease
from strain OA30, a moderate thermophilic bacterium isolated from an Algerian hot
spring. Phenotypic and genotypic study of strain OA30 was followed by the
production of the extracellular protease in a physiologically-optimized medium.
Strain OA30 showed multiple extracellular proteolytic enzymes and protease 32-F38
was purified by chromatographic methods and its biochemical characteristics were
studied. Strain OA30 was affiliated with Brevibacillus thermoruber species.
Protease 32-F38 had an estimated molecular weight of 64.6 kDa and was optimally
active at 50 degrees C. It showed a great thermostability after 240 min and its
optimum pH was 6.0. Protease 32-F38 was highly stable in the presence of
different detergents and solvents and was inhibited by metalloprotease
inhibitors. The results of this work suggest that protease 32-F38 might have
interesting biotechnological applications.
PMID- 29649123
TI - Phytochemical Properties and Nutrigenomic Implications of Yacon as a Potential
Source of Prebiotic: Current Evidence and Future Directions.
AB - The human gut is densely populated with diverse microbial communities that are
essential to health. Prebiotics and fiber have been shown to possess the ability
to modulate the gut microbiota. One of the plants being considered as a potential
source of prebiotic is yacon. Yacon is an underutilized plant consumed as a
traditional root-based fruit in South America. Yacon mainly contains
fructooligosaccharides (FOS) and inulin. Therefore, it has bifidogenic benefits
for gut health, because FOS are not easily broken down by digestive enzymes.
Bioactive chemical compounds and extracts isolated from yacon have been studied
for their various nutrigenomic properties, including as a prebiotic for
intestinal health and their antimicrobial and antioxidant effects. This article
reviewed scientific studies regarding the bioactive chemical compounds and
nutrigenomic properties of extracts and isolated compounds from yacon. These
findings may help in further research to investigate yacon-based nutritional
products. Yacon can be considered a potential prebiotic source and a novel
functional food. However, more detailed epidemiological, animal, and human
clinical studies, particularly mechanism-based and phytopharmacological studies,
are lacking for the development of evidence-based functional food products.
PMID- 29649124
TI - Influence of Solvent Composition on the Performance of Spray-Dried Co-Amorphous
Formulations.
AB - Ball-milling is usually used to prepare co-amorphous drug-amino acid (AA)
mixtures. In this study, co-amorphous drug-AA mixtures were produced using spray
drying, a scalable industrially preferred preparation method. The influence of
the solvent type and solvent composition was investigated. Mixtures of
indomethacin (IND) and each of the three AAs arginine, histidine, and lysine were
ball-milled and spray-dried at a 1:1 molar ratio, respectively. Spray-drying was
performed at different solvent ratios in (a) ethanol and water mixtures and (b)
acetone and water mixtures. Different ratios of these solvents were chosen to
study the effect of solvent mixtures on co-amorphous formulation. Residual
crystallinity, thermal properties, salt/partial salt formation, and powder
dissolution profiles of the IND-AA mixtures were investigated and compared to
pure crystalline and amorphous IND. It was found that using spray-drying as a
preparation method, all IND-AA mixtures could be successfully converted into the
respective co-amorphous forms, irrespective of the type of solvent used, but
depending on the solvent mixture ratios. Both ball-milled and spray-dried co
amorphous samples showed an enhanced dissolution rate and maintained
supersaturation compared to the crystalline and amorphous IND itself. The spray
dried samples resulting in co-amorphous samples were stable for at least seven
months of storage.
PMID- 29649125
TI - Bavachinin Induces Oxidative Damage in HepaRG Cells through p38/JNK MAPK
Pathways.
AB - Drug-induced liver injury is one of the main causes of drug non-approval and drug
withdrawal by the Food and Drug Administration (FDA). Bavachinin (BVC) is a
natural product derived from the fruit of the traditional Chinese herb Fructus
Psoraleae (FP). There have been reports of acute liver injury following the
administration of FP and its related proprietary medicines. To explore BVC
hepatotoxicity and its mechanisms, we used the HepaRG cell line. In our recent
research, we showed that BVC induces HepaRG cell death, mainly via BVC-induced
oxidative damage. The formation of ROS is closely related to the activation of
the stress-activated kinases, JNK and p38, while SP600125 (SP, JNK inhibitor) and
SB203580 (SB, p38 inhibitor) pretreatment inhibited the generation of ROS. On the
other hand, N-acetylcysteine (NAC) pretreatment prevented the phosphorylation of
p38 but not that of JNK. Taken together, these data reveal that BVC induces
HepaRG cell death via ROS and the JNK/p38 signaling pathways.
PMID- 29649126
TI - Effects of Rural Medical Insurance on Chronically Ill Patients' Choice of the
Same Hospital Again in Rural Northern China.
AB - The emergence of rural health insurance plays a crucial role in alleviating the
pressure on rural medical expenditure. Under the current medical system in
northern China, rural medical insurance may reduce the free referral of patients
with chronic diseases among hospitals. This study was carried out based on the
results of an investigation of rural chronically-ill patients in eight county
hospitals in northern China, as well as through the comparison and analysis of
patients with chronic diseases, considering whether they were with or without
rural health insurance. The main results showed that both age (chi2 = 22.9, p <
0.000) and income level (chi2 = 18.5, p < 0.000) had considerable impact on the
rural peoples' willingness to buy health insurance. Meanwhile, both the quality
of the hospital's treatment (B = 0.555, p < 0.000), and service quality (B =
0.168, p < 0.000) had a significant positive correlation with the likelihood of a
given patient choosing the same hospital on the next visit, but the medical costs
had a significant negative correlation (B = -0.137, p < 0.000). Eventually, it
was found that the provision of rural health insurance had weakened the three
relationships upon which the aforementioned correlations were based.
PMID- 29649127
TI - Gene Therapy for Chronic HBV-Can We Eliminate cccDNA?
AB - Chronic infection with the hepatitis B virus (HBV) is a global health concern and
accounts for approximately 1 million deaths annually. Amongst other limitations
of current anti-HBV treatment, failure to eliminate the viral covalently closed
circular DNA (cccDNA) and emergence of resistance remain the most worrisome.
Viral rebound from latent episomal cccDNA reservoirs occurs following cessation
of therapy, patient non-compliance, or the development of escape mutants.
Simultaneous viral co-infections, such as by HIV-1, further complicate
therapeutic interventions. These challenges have prompted development of novel
targeted hepatitis B therapies. Given the ease with which highly specific and
potent nucleic acid therapeutics can be rationally designed, gene therapy has
generated interest for antiviral application. Gene therapy strategies developed
for HBV include gene silencing by harnessing RNA interference, transcriptional
inhibition through epigenetic modification of target DNA, genome editing by
designer nucleases, and immune modulation with cytokines. DNA-binding domains and
effectors based on the zinc finger (ZF), transcription activator-like effector
(TALE), and clustered regularly interspaced short palindromic repeat (CRISPR)
systems are remarkably well suited to targeting episomal cccDNA. This review
discusses recent developments and challenges facing the field of anti-HBV gene
therapy, its potential curative significance and the progress towards clinical
application.
PMID- 29649128
TI - Vitamin D Deficiency and Antenatal and Postpartum Depression: A Systematic
Review.
AB - Vitamin D has been implicated in antenatal depression (AD) and postpartum
depression (PPD) in many studies; however, results have been inconsistent due to
the complexity of this association. We searched the MEDLINE, Embase, PsycINFO,
and Maternity and Infant Care databases for literature addressing associations
between vitamin D and AD and PPD. Two independent authors reviewed titles and
abstracts of the search results and selected studies for full review. Data were
extracted, and a quality rating was done using the Newcastle-Ottawa Scale (NOS)
on the selected studies. A total of 239 studies were identified; 14 were included
in the review. The quality assessment of the included studies ranged from
moderate to high. Of the studies on PPD, five of nine (55%) showed a significant
association between vitamin D and PPD. Five of seven (71%) studies on AD showed a
significant association with vitamin D status. As the included studies used
different effect estimates and statistical analyses to report the association, it
was not possible to transform the existing data into one single effect measure to
employ meta-analytic techniques. While results of this systematic review vary,
they indicate a significant association between vitamin D status and AD and PD.
PMID- 29649129
TI - Adsorption Removal of Multiple Dyes Using Biogenic Selenium Nanoparticles from an
Escherichia coli Strain Overexpressed Selenite Reductase CsrF.
AB - Selenite reductase CsrF overexpressed Escherichia coli was used as a microbial
factory to produce Se(0) nanoparticles (Bio-SeNPs). The Bio-SeNPs were
characterized by transmission electronic microscopy, element mapping, scanning
electron microscopy, energy-dispersive X-ray spectrographs, Zeta-potential,
dynamic light scattering, Fourier transform infrared spectroscopy and X-ray
photoelectron spectroscopy analyses. The results indicated that Bio-SeNPs are
irregular spheres with diameters from 60 to105 nm and mainly consist of Se(0),
proteins and lipids. Furthermore, it exhibited maximum adsorption capacity for
anionic dye (congo red) at acidic pH and cationic dyes (safranine T and methylene
blue) at alkaline pH. To gain more insight, adsorption kinetics, adsorption
isotherms and adsorption thermodynamics studies were carried out. These results
showed that the adsorption capacities of congo red, safranine T and methylene
blue were 1577.7, 1911.0 and 1792.2 mg/g, respectively. These adsorption
processes were spontaneous and primarily physical reactions. In addition, Bio
SeNPs can be effectively reused by 200 mmol/L NaCl. To the best of our knowledge,
this is the first report of adsorption removal dyes by Bio-SeNPs. The adsorption
capacities of Bio-SeNPs for congo red, safranine T and methylene blue were 6.8%,
25.2% and 49.0% higher than that for traditional bio-based materials,
respectively.
PMID- 29649130
TI - Separation, Identification, and Antidiabetic Activity of Catechin Isolated from
Arbutus unedo L. Plant Roots.
AB - Phytopharmaceuticals play an essential role in medicine, since the need to
investigate highly effective and safe drugs for the treatment of diabetes
mellitus disease remains a significant challenge for modern medicine. Arbutus
unedo L. root has various therapeutic properties, and has been used widely in the
traditional medicine as an antidiabetic agent. The current study aimed to isolate
the pharmacologically active compound from A. unedo roots using accelerated
solvent extraction technology, to determine its chemical structure using
different instrumental analytical methods, and also to evaluate the alpha
glucosidase inhibitory activity. The roots of A. unedo were exhaustively
extracted by high-pressure static extraction using the Zippertex(r) technology
(Dionex-ASE, Paris, France), and the extract was mixed with XAD-16 resin to reach
quantifiable amounts of active compounds which were identified by high-pressure
liquid chromatography (HPLC), 1H NMR (300 MHz), and 13C NMR. The antidiabetic
activity of the isolated compound was evaluated using the alpha-glucosidase
inhibitory assay. The active compound was isolated, and its structure was
identified as catechin using instrumental analysis.The results revealed that the
isolated compound has potential alpha-glucosidase inhibitory activity with an
IC50 value of 87.55 +/- 2.23 MUg/mL greater than acarbose. This was used as a
positive control, which has an IC50 value of 199.53 +/- 1.12 MUg/mL. According to
the results achieved, the roots of A. unedo were considered the best source of
catechin and the Zippertex(r) technology method of extraction is the best method
for isolation of this therapeutic active compound. In addition, the alpha
glucosidase inhibitory activity results confirmed the traditional use of A. unedo
roots as an antidiabetic agent. Future clinical trials and investigations of
antidiabetic and other pharmacological effects such as anticancer are required.
PMID- 29649131
TI - Identifying Patients with Atrioventricular Septal Defect in Down Syndrome
Populations by Using Self-Normalizing Neural Networks and Feature Selection.
AB - Atrioventricular septal defect (AVSD) is a clinically significant subtype of
congenital heart disease (CHD) that severely influences the health of babies
during birth and is associated with Down syndrome (DS). Thus, exploring the
differences in functional genes in DS samples with and without AVSD is a critical
way to investigate the complex association between AVSD and DS. In this study, we
present a computational method to distinguish DS patients with AVSD from those
without AVSD using the newly proposed self-normalizing neural network (SNN).
First, each patient was encoded by using the copy number of probes on chromosome
21. The encoded features were ranked by the reliable Monte Carlo feature
selection (MCFS) method to obtain a ranked feature list. Based on this feature
list, we used a two-stage incremental feature selection to construct two series
of feature subsets and applied SNNs to build classifiers to identify optimal
features. Results show that 2737 optimal features were obtained, and the
corresponding optimal SNN classifier constructed on optimal features yielded a
Matthew's correlation coefficient (MCC) value of 0.748. For comparison, random
forest was also used to build classifiers and uncover optimal features. This
method received an optimal MCC value of 0.582 when top 132 features were
utilized. Finally, we analyzed some key features derived from the optimal
features in SNNs found in literature support to further reveal their essential
roles.
PMID- 29649132
TI - Effect of Climate and Land Use on the Spatio-Temporal Variability of Tick-Borne
Bacteria in Europe.
AB - The incidence of tick-borne diseases caused by Borrelia burgdorferi sensu lato,
Anaplasma phagocytophilum and Rickettsia spp. has been rising in Europe in recent
decades. Early pre-assessment of acarological hazard still represents a complex
challenge. The aim of this study was to model Ixodes ricinus questing nymph
density and its infection rate with B. burgdorferi s.l., A. phagocytophilum and
Rickettsia spp. in five European countries (Italy, Germany, Czech Republic,
Slovakia, Hungary) in various land cover types differing in use and
anthropisation (agricultural, urban and natural) with climatic and environmental
factors (Normalized Difference Vegetation Index (NDVI), Normalized Difference
Water Index (NDWI), Land Surface Temperature (LST) and precipitation). We show
that the relative abundance of questing nymphs was significantly associated with
climatic conditions, such as higher values of NDVI recorded in the sampling
period, while no differences were observed among land use categories. However,
the density of infected nymphs (DIN) also depended on the pathogen considered and
land use. These results contribute to a better understanding of the variation in
acarological hazard for Ixodes ricinus transmitted pathogens in Central Europe
and provide the basis for more focused ecological studies aimed at assessing the
effect of land use in different sites on tick-host pathogens interaction.
PMID- 29649134
TI - "Gnothi Seauton": Leveraging the Host Response to Improve Influenza Virus Vaccine
Efficacy.
AB - Vaccination against the seasonal influenza virus is the best way to prevent
infection. Nevertheless, vaccine efficacy remains far from optimal especially in
high-risk populations such as the elderly. Recent technological advancements have
facilitated rapid and precise identification of the B and T cell epitopes that
are targets for protective responses. While these discoveries have undoubtedly
brought the field closer to "universal" influenza virus vaccines, choosing the
correct antigen is only one piece of the equation. Achieving efficacy and
durability requires a detailed understanding of the diverse host factors and
pathways that are required for attaining optimal responses. Sequencing
technologies, systems biology, and immunological studies have recently advanced
our understanding of the diverse aspects of the host response required for
vaccine efficacy. In this paper, we review the critical role of the host response
in determining efficacious responses and discuss the gaps in knowledge that will
need to be addressed if the field is to be successful in developing new and more
effective influenza virus vaccines.
PMID- 29649133
TI - Current Approaches Including Novel Nano/Microtechniques to Reduce Silicone
Implant-Induced Contracture with Adverse Immune Responses.
AB - Capsular contracture, which is the pathologic development of fibrous capsules
around implants, is a major complication of reconstructive and aesthetic breast
surgeries. Capsular contracture can cause implant failure with breast hardening,
deformity, and severe pain. The exact mechanisms underlying this complication
remain unclear. In addition, anaplastic large cell lymphoma is now widely
recognized as a very rare disease associated with breast implants. Foreign body
reactions are an inevitable common denominator of capsular contracture. A number
of studies have focused on the associated immune responses and their regulation.
The present article provides an overview of the currently available techniques,
including novel nano/microtechniques, to reduce silicone implant-induced
contracture and associated foreign body responses.
PMID- 29649135
TI - Development and Validation of a Microtiter Plate-Based Assay for Determination of
Bacteriophage Host Range and Virulence.
AB - Bacteriophages, which are the natural predators of bacteria, have re-emerged as
an attractive alternative to combat antibiotic resistant bacteria. Phages are
highly specific at the species and strain level and measurement of the phage host
range plays an important role in utilizing the phage as antimicrobials. The most
common method for phage host range determination has been to spot phage lysates
on soft agar overlays and observe plaque formation. In this study, a liquid
culture-based assay was developed in a 96-well microtiter plate format to measure
the phage host range and virulence for a collection of 15 Salmonella phages
against a panel of 20 Salmonella strains representing 11 serovars. This method
was compared to a traditional spot method. The majority of the host range results
from two methods were in agreement including in cases where a bacterial strain
was insensitive to the phage. Each method produced a false-negative result in
19/300 (6%) of the measured phage-host combinations when compared to the other
method. The spot method tended to indicate greater phage sensitivity than the
microtiter assay even though direct comparisons of the response magnitude between
the two methods is difficult since they operate on different mechanisms. The
microtiter plate assay was able to provide data on both the phage host range and
virulence in greater resolution in a high-throughput format.
PMID- 29649136
TI - Perineuronal Nets in Spinal Motoneurones: Chondroitin Sulphate Proteoglycan
around Alpha Motoneurones.
AB - Perineuronal nets (PNNs) are extracellular matrix structures surrounding neuronal
sub-populations throughout the central nervous system, regulating plasticity.
Enzymatically removing PNNs successfully enhances plasticity and thus functional
recovery, particularly in spinal cord injury models. While PNNs within various
brain regions are well studied, much of the composition and associated
populations in the spinal cord is yet unknown. We aim to investigate the
populations of PNN neurones involved in this functional motor recovery.
Immunohistochemistry for choline acetyltransferase (labelling motoneurones), PNNs
using Wisteria floribunda agglutinin (WFA) and chondroitin sulphate proteoglycans
(CSPGs), including aggrecan, was performed to characterise the molecular
heterogeneity of PNNs in rat spinal motoneurones (Mns). CSPG-positive PNNs
surrounded ~70-80% of Mns. Using WFA, only ~60% of the CSPG-positive PNNs co
localised with WFA in the spinal Mns, while ~15-30% of Mns showed CSPG-positive
but WFA-negative PNNs. Selective labelling revealed that aggrecan encircled ~90%
of alpha Mns. The results indicate that (1) aggrecan labels spinal PNNs better
than WFA, and (2) there are differences in PNN composition and their associated
neuronal populations between the spinal cord and cortex. Insights into the role
of PNNs and their molecular heterogeneity in the spinal motor pools could aid in
designing targeted strategies to enhance functional recovery post-injury.
PMID- 29649137
TI - A Phenotype Classification of Internet Use Disorder in a Large-Scale High-School
Study.
AB - Internet Use Disorder (IUD) affects numerous adolescents worldwide, and
(Internet) Gaming Disorder, a specific subtype of IUD, has recently been included
in DSM-5 and ICD-11. Epidemiological studies have identified prevalence rates up
to 5.7% among adolescents in Germany. However, little is known about the risk
development during adolescence and its association to education. The aim of this
study was to: (a) identify a clinically relevant latent profile in a large-scale
high-school sample; (b) estimate prevalence rates of IUD for distinct age groups
and (c) investigate associations to gender and education. N = 5387 adolescents
out of 41 schools in Germany aged 11-21 were assessed using the Compulsive
Internet Use Scale (CIUS). Latent profile analyses showed five profile groups
with differences in CIUS response pattern, age and school type. IUD was found in
6.1% and high-risk Internet use in 13.9% of the total sample. Two peaks were
found in prevalence rates indicating the highest risk of IUD in age groups 15-16
and 19-21. Prevalence did not differ significantly between boys and girls. High
level education schools showed the lowest (4.9%) and vocational secondary schools
the highest prevalence rate (7.8%). The differences between school types could
not be explained by academic level.
PMID- 29649138
TI - Response of Myeloid Leukemia Cells to Luteolin is Modulated by Differentially
Expressed Pituitary Tumor-Transforming Gene 1 (PTTG1) Oncoprotein.
AB - Luteolin, a flavonoid nutraceutical abundant in vegetables and fruits, exhibits a
wide range of bioactive properties, including antioxidant, anti-inflammatory and
anti-cancer activities. Pituitary tumor-transforming gene 1 (PTTG1), an
oncoprotein that regulates cell proliferation, is highly expressed in several
types of cancer cells including leukemia. In this study, we aim to investigate
the anti-cancer effects of luteolin on cells with differential PTTG1 expression
and their underlying mechanisms in human myeloid leukemia cells. Methyl thiazolyl
tetrazolium (MTT) assay data showed that luteolin (25-100 MUM) significantly
reduced cell viability in THP-1, HL-60 and K562 cells but did not affect normal
peripheral blood mononuclear cells (PBMCs). Flow cytometric analysis and Western
blot data demonstrated that luteolin induced a stronger apoptosis on
undifferentiated myeloid leukemia cells with higher PTTG1 protein levels than on
12-myristate 13-acetate (PMA)- or all-trans-retinoic acid (ATRA)-differentiated
cells with lower PTTG1 expression. Furthermore, PTTG1 knockdown by shRNA in
leukemia cells suppressed cell proliferation, arrested cell-cycle progression and
impaired the effectiveness of luteolin on cell-cycle regulation. Moreover, PTTG1
knockdown cells with luteolin exposure presented a reduction of the apoptotic
proteins and maintained higher levels of the anti-apoptotic proteins such as Mcl
1, Bcl-2 and p21, which exhibited greater resistance to apoptosis. Finally,
microarray analysis showed that 20 genes associated with cell proliferation, such
as CXCL10, VEGFA, TNF, TP63 and FGFR1, were dramatically down-regulated in PTTG1
knockdown cells. Our current findings clearly demonstrate that luteolin-triggered
leukemic cell apoptosis is modulated by the differential expression of the PTTG1.
PTTG1 oncoprotein overexpression may modulate cell proliferation-related
regulators and enhance the response of myeloid leukemia cells to luteolin.
Luteolin is beneficial for the treatment of cancer cells with highly expressed
PTTG1 oncoprotein.
PMID- 29649139
TI - Assessing Photosensitizer Targeting Using Meso-Tetra(Carboxyphenyl) Porphyrin.
AB - Mesotetra(4-carboxyphenyl)porphyrin (mTCPP) is a commercially available small
molecule fluorophore and photosensitizer with four free carboxylic acid groups.
mTCPP can readily be conjugated with amines for facile attachment of functional
groups. In this work, we synthesized and assessed tetravalent, lysine-conjugated
mTCPP, for its potential applications in targeted imaging and photodynamic
therapy. Fmoc-protected d-lysine or l-lysine was conjugated to mTCPP via amide
coupling with the epsilon amine group of lysine, followed by Fmoc deprotection.
The resulting compounds did not dissolve well in aqueous solvent, but could be
solubilized with the assistance of surfactants, including cholic acid. The l
amino acid transporter (LAT1) can uptake diverse neutral l-amino acids. In vitro
studies with U87 cells revealed a non-specific uptake of the hydrophobic Fmoc
protected lysine-conjugated mTCPP precursors, but not d- or l-lysine mTCPP.
Likewise, only the Fmoc-protected compounds induced substantial phototoxicty in
cells following incubation and irradiation with blue light. These experimental
results do not provide evidence to suggest that lysine-mTCPP is able to
specifically target cancer cells. However, they do highlight mTCPP as a
convenient and accessible framework for assessing molecular targeting of
photosensitizers.
PMID- 29649140
TI - Wound Stress, an Unheeded Factor for Echinacoside Accumulation in Cistanche
deserticola Y. C. Ma.
AB - Cistanche deserticola Y. C. Ma, a precious parasitic medicinal herb distributed
in desert areas in the Northwest of China, also known as "desert ginseng", has
been used in China for thousands of years for its nourishing effects. The
phenylethanoid glycosides (PeGs) have been proven as the main effective compounds
due to their neuroprotective effects and were used for quality control. In this
study, echinacoside content, a representative PeG, total phenolic content, DPPH
scavenging activity, and PAL activity were determined in different tissues of C.
deserticola. Our results showed that most indices had a similar pattern of scale
> cambium ring > pith and bottom part > middle part > upper part. Besides,
stereomicroscopic observation showed that the scale surface was densely covered
with physical wounds formed during vertical and broadwise growth in sand. Thus,
wound area was quantified and a linear regression analysis was conducted between
wound area and PAL activity, total phenolics, and echinacoside content. Our
results suggested that physical wounding caused by sand might play an important
role in echinacoside biosynthesis which has never been noticed in C. deserticola
development. Furthermore, the coexistence of the highest PAL activity and highest
echinacoside accumulation in scale tissue might indicate that the biosynthetic
site of echinacoside in C. deseticola Y. C. Ma is mainly in the scale tissue.
PMID- 29649141
TI - Anticancer Activity of Anthopleura anjunae Oligopeptides in Prostate Cancer DU
145 Cells.
AB - Anthopleura anjunae anti-tumor peptide (AAP-H) is a pentapeptide from the sea
anemone Anthopleura anjunae with an amino acid sequence of Tyr-Val-Pro-Gly-Pro
that is obtained by alkaline protease enzymatic hydrolysis extraction. In this
study, we investigated the inhibitory effects of AAP-H on prostate cancer DU-145
cell proliferation using a methylthiazolyldiphenyl-tetrazolium bromide assay.
Cell morphology was analyzed by hematoxylin-eosin staining, acridine
orange/ethidium bromide fluorescence staining, Hoechst 33258 fluorescence
staining, and scanning electron microscopy. The mitochondrial membrane potential
was determined by flow cytometry following JC-1 staining. The cell apoptosis rate
was measured by Annexin V-fluorescein isothiocyanate and propidium iodide
staining followed by flow cytometric analysis, and the expression of apoptosis
associated proteins was assayed by Western blotting. The results demonstrated
that AAP-H induced significant reductions in the number of viable cells and
increased cell death in both a dose-dependent and time-dependent manner, with an
IC50 of approximately 9.605 mM, 7.910 mM, and 2.298 mM at 24 h, 48 h, and 72 h,
respectively. The morphologic characteristics of apoptotic cells were observed
after treatment with AAP-H. The mitochondrial membrane potential was markedly
decreased, and apoptosis increased after AAP-H treatment. Pro-apoptotic proteins,
such as Bax, cytochrome-C, caspase-3, and caspase-9 were increased, but Bcl-2 was
decreased. These findings suggest that AAP-H has moderate inhibitory effects on
prostate cancer DU-145 cells, and the mechanism might involve the mitochondria
mediated apoptotic pathway. Therefore, AAP-H is a candidate anti-prostate cancer
drug or health-care food.
PMID- 29649142
TI - Occurrence and Risk Assessment of PAHs in Surface Sediments from Western Arctic
and Subarctic Oceans.
AB - In the fourth Chinese National Arctic Research Expedition (from July to
September, 2010), 14 surface sediment samples were collected from the Bering Sea,
Chukchi Sea, and Canadian Basin to examine the spatial distributions, potential
sources, as well as ecological and health risk assessment of polycyclic aromatic
hydrocarbons (PAHs). The ?PAH (refers to the sum of 16 priority PAHs)
concentration range from 27.66 ng/g to 167.48 ng/g (dry weight, d.w.).
Additionally, the concentrations of ?PAH were highest in the margin edges of the
Canadian Basin, which may originate from coal combustion with an accumulation of
Canadian point sources and river runoff due to the surface ocean currents. The
lowest levels occurred in the northern of Canadian Basin, and the levels of ?PAH
in the Chukchi Sea were slightly higher than those in the Being Sea. Three isomer
ratios of PAHs (Phenanthrene/Anthracene, BaA/(BaA+Chy), and LMW/HMW) were used to
investigate the potential sources of PAHs, which showed the main source of
combustion combined with weaker petroleum contribution. Compared with four
sediment quality guidelines, the concentrations of PAH are much lower, indicating
a low potential ecological risk. All TEQPAH also showed a low risk to human
health. Our study revealed the important role of the ocean current on the
redistribution of PAHs in the Arctic.
PMID- 29649143
TI - Epigenetic and Transcriptional Modifications in Repetitive Elements in Petrol
Station Workers Exposed to Benzene and MTBE.
AB - Benzene, a known human carcinogen, and methyl tert-butyl ether (MTBE), not
classifiable as to its carcinogenicity, are fuel-related pollutants. This study
investigated the effect of these chemicals on epigenetic and transcriptional
alterations in DNA repetitive elements. In 89 petrol station workers and 90 non
occupationally exposed subjects the transcriptional activity of retrotransposons
(LINE-1, Alu), the methylation on repeated-element DNA, and of H3K9 histone, were
investigated in peripheral blood lymphocytes. Median work shift exposure to
benzene and MTBE was 59 and 408 ug/m3 in petrol station workers, and 4 and 3.5
ug/m3, in controls. Urinary benzene (BEN-U), S-phenylmercapturic acid, and MTBE
were significantly higher in workers than in controls, while trans,trans-muconic
acid (tt-MA) was comparable between the two groups. Increased BEN-U was
associated with increased Alu-Y and Alu-J expression; moreover, increased tt-MA
was associated with increased Alu-Y and Alu-J and LINE-1 (L1)-5'UTR expression.
Among repetitive element methylation, only L1-Pa5 was hypomethylated in petrol
station workers compared to controls. While L1-Ta and Alu-YD6 methylation was not
associated with benzene exposure, a negative association with urinary MTBE was
observed. The methylation status of histone H3K9 was not associated with either
benzene or MTBE exposure. Overall, these findings only partially support previous
observations linking benzene exposure with global DNA hypomethylation.
PMID- 29649146
TI - Pure FPGA Implementation of an HOG Based Real-Time Pedestrian Detection System.
AB - In this study, we propose a real-time pedestrian detection system using a FPGA
with a digital image sensor. Comparing with some prior works, the proposed
implementation realizes both the histogram of oriented gradients (HOG) and the
trained support vector machine (SVM) classification on a FPGA. Moreover, the
implementation does not use any external memory or processors to assist the
implementation. Although the implementation implements both the HOG algorithm and
the SVM classification in hardware without using any external memory modules and
processors, the proposed implementation's resource utilization of the FPGA is
lower than most of the prior art. The main reasons resulting in the lower
resource usage are: (1) simplification in the Getting Bin sub-module; (2)
distributed writing and two shift registers in the Cell Histogram Generation sub
module; (3) reuse of each sum of the cell histogram in the Block Histogram
Normalization sub-module; and (4) regarding a window of the SVM classification as
105 blocks of the SVM classification. Moreover, compared to Dalal and Triggs's
pure software HOG implementation, the proposed implementation's average detection
rate is just about 4.05% less, but can achieve a much higher frame rate.
PMID- 29649145
TI - Combined Effect of Anticancer Agents and Cytochrome C Decorated Hybrid
Nanoparticles for Liver Cancer Therapy.
AB - Hepatocellular carcinoma is an aggressive form of liver cancer that displays
minimal symptoms until its late stages. Unfortunately, patient prognosis still
remains poor with only 10% of patients surviving more than five years after
diagnosis. Current chemotherapies alone are not offering efficient treatment,
hence alternative therapeutic approaches are urgently required. In this work, we
highlight the potential of combination of treatment of hepatocellular carcinoma
with existing chemotherapies in combination with pro-apoptotic factor cytochrome
C. In order to allow cytochrome C to cross the cellular membrane and become
internalized, it has been immobilised onto the surface of hybrid iron oxide-gold
nanoparticles. This novel approach has been tested in vitro on HepG2, Huh-7D and
SK-hep-1 cell lines in order to elucidate potential as a possible alternative
therapy with greater efficacy. The data from our studies show consistently that
combining treatment of clinically used anticancer agents (doxorubicin,
paclitaxel, oxaliplatin, vinblastine and vincristine) significantly increases the
levels of apoptosis within the cell lines, which leads to cellular death. Hence,
this combined approach may hold promise for future treatment regimes.
PMID- 29649144
TI - A Novel G-Protein-Coupled Receptors Gene from Upland Cotton Enhances Salt Stress
Tolerance in Transgenic Arabidopsis.
AB - Plants have developed a number of survival strategies which are significant for
enhancing their adaptation to various biotic and abiotic stress factors. At the
transcriptome level, G-protein-coupled receptors (GPCRs) are of great
significance, enabling the plants to detect a wide range of endogenous and
exogenous signals which are employed by the plants in regulating various
responses in development and adaptation. In this research work, we carried out
genome-wide analysis of target of Myb1 (TOM1), a member of the GPCR gene family.
The functional role of TOM1 in salt stress tolerance was studied using a
transgenic Arabidopsis plants over-expressing the gene. By the use of the
functional domain PF06454, we obtained 16 TOM genes members in Gossypium
hirsutum, 9 in Gossypium arboreum, and 11 in Gossypium raimondii. The genes had
varying physiochemical properties, and it is significant to note that all the
grand average of hydropathy (GRAVY) values were less than one, indicating that
all are hydrophobic in nature. In all the genes analysed here, both the exonic
and intronic regions were found. The expression level of Gh_A07G0747 (GhTOM) was
significantly high in the transgenic lines as compared to the wild type; a
similar trend in expression was observed in all the salt-related genes tested in
this study. The study in epidermal cells confirmed the localization of the
protein coded by the gene TOM1 in the plasma membrane. Analysis of anti-oxidant
enzymes showed higher concentrations of antioxidants in transgenic lines and
relatively lower levels of oxidant substances such as H2O2. The low
malondialdehyde (MDA) level in transgenic lines indicated that the transgenic
lines had relatively low level of oxidative damage compared to the wild types.
The results obtained indicate that Gh_A07G0747 (GhTOM) can be a putative target
gene for enhancing salt stress tolerance in plants and could be exploited in the
future for the development of salt stress-tolerant cotton cultivars.
PMID- 29649147
TI - A Convex Constraint Variational Method for Restoring Blurred Images in the
Presence of Alpha-Stable Noises.
AB - Blurred image restoration poses a great challenge under the non-Gaussian noise
environments in various communication systems. In order to restore images from
blur and alpha-stable noise while also preserving their edges, this paper
proposes a variational method to restore the blurred images with alpha-stable
noises based on the property of the meridian distribution and the total variation
(TV). Since the variational model is non-convex, it cannot guarantee a global
optimal solution. To overcome this drawback, we also incorporate an additional
penalty term into the deblurring and denoising model and propose a strictly
convex variational method. Due to the convexity of our model, the primal-dual
algorithm is adopted to solve this convex variational problem. Our simulation
results validate the proposed method.
PMID- 29649148
TI - Discrimination of Temperature and Strain in Brillouin Optical Time Domain
Analysis Using a Multicore Optical Fiber.
AB - Brillouin optical time domain analysis is the sensing of temperature and strain
changes along an optical fiber by measuring the frequency shift changes of
Brillouin backscattering. Because frequency shift changes are a linear
combination of temperature and strain changes, their discrimination is a
challenge. Here, a multicore optical fiber that has two cores is fabricated. The
differences between the cores' temperature and strain coefficients are such that
temperature (strain) changes can be discriminated with error amplification
factors of 4.57 degrees C/MHz (69.11 MU epsilon /MHz), which is 2.63 (3.67)
times lower than previously demonstrated. As proof of principle, using the
multicore optical fiber and a commercial Brillouin optical time domain analyzer,
the temperature (strain) changes of a thermally expanding metal cylinder are
discriminated with an error of 0.24% (3.7%).
PMID- 29649149
TI - Vehicular Visible Light Networks for Urban Mobile Crowd Sensing.
AB - Crowd sensing is a powerful tool to map and predict interests and events. In the
future, it could be boosted by an increasing number of connected vehicles sharing
information and intentions. This will be made available by on board wireless
connected devices able to continuously communicate with other vehicles and with
the environment. Among the enabling technologies, visible light communication
(VLC) represents a low cost solution in the short term. In spite of the fact that
vehicular communications cannot rely on the sole VLC due to the limitation
provided by the light which allows communications in visibility only, VLC can
however be considered to complement other wireless communication technologies
which could be overloaded in dense scenarios. In this paper we evaluate the
performance of VLC connected vehicles when urban crowd sensing is addressed and
we compare the performance of sole vehicular visible light networks with that of
VLC as a complementary technology of IEEE 802.11p. Results, obtained through a
realistic simulation tool taking into account both the roadmap constraints and
the technologies protocols, help to understand when VLC provides the major
improvement in terms of delivered data varying the number and position of RSUs
and the FOV of the receiver.
PMID- 29649150
TI - Self-Alignment MEMS IMU Method Based on the Rotation Modulation Technique on a
Swing Base.
AB - The micro-electro-mechanical-system (MEMS) inertial measurement unit (IMU) has
been widely used in the field of inertial navigation due to its small size, low
cost, and light weight, but aligning MEMS IMUs remains a challenge for
researchers. MEMS IMUs have been conventionally aligned on a static base,
requiring other sensors, such as magnetometers or satellites, to provide
auxiliary information, which limits its application range to some extent.
Therefore, improving the alignment accuracy of MEMS IMU as much as possible under
swing conditions is of considerable value. This paper proposes an alignment
method based on the rotation modulation technique (RMT), which is completely self
aligned, unlike the existing alignment techniques. The effect of the inertial
sensor errors is mitigated by rotating the IMU. Then, inertial frame-based
alignment using the rotation modulation technique (RMT-IFBA) achieved coarse
alignment on the swing base. The strong tracking filter (STF) further improved
the alignment accuracy. The performance of the proposed method was validated with
a physical experiment, and the results of the alignment showed that the standard
deviations of pitch, roll, and heading angle were 0.0140 degrees , 0.0097
degrees , and 0.91 degrees , respectively, which verified the practicality and
efficacy of the proposed method for the self-alignment of the MEMS IMU on a swing
base.
PMID- 29649152
TI - Study on Interference Suppression Algorithms for Electronic Noses: A Review.
AB - Electronic noses (e-nose) are composed of an appropriate pattern recognition
system and a gas sensor array with a certain degree of specificity and broad
spectrum characteristics. The gas sensors have their own shortcomings of being
highly sensitive to interferences which has an impact on the detection of target
gases. When there are interferences, the performance of the e-nose will
deteriorate. Therefore, it is urgent to study interference suppression techniques
for e-noses. This paper summarizes the sources of interferences and reviews the
advances made in recent years in interference suppression for e-noses. According
to the factors which cause interference, interferences can be classified into two
types: interference caused by changes of operating conditions and interference
caused by hardware failures. The existing suppression methods were summarized and
analyzed from these two aspects. Since the interferences of e-noses are uncertain
and unstable, it can be found that some nonlinear methods have good effects for
interference suppression, such as methods based on transfer learning, adaptive
methods, etc.
PMID- 29649151
TI - DNA Methylation and Histone Modification in Hypertension.
AB - Systemic hypertension, which eventually results in heart failure, renal failure
or stroke, is a common chronic human disorder that particularly affects elders.
Although many signaling pathways involved in the development of hypertension have
been reported over the past decades, which has led to the implementation of a
wide variety of anti-hypertensive therapies, one half of all hypertensive
patients still do not have their blood pressure controlled. The frontier in
understanding the molecular mechanisms underlying hypertension has now advanced
to the level of epigenomics. Particularly, increasing evidence is emerging that
DNA methylation and histone modifications play an important role in gene
regulation and are involved in alteration of the phenotype and function of
vascular cells in response to environmental stresses. This review seeks to
highlight the recent advances in our knowledge of the epigenetic regulations and
mechanisms of hypertension, focusing on the role of DNA methylation and histone
modification in the vascular wall. A better understanding of the epigenomic
regulation in the hypertensive vessel may lead to the identification of novel
target molecules that, in turn, may lead to novel drug discoveries for the
treatment of hypertension.
PMID- 29649153
TI - Health Impacts of Ambient Air Pollution in Finland.
AB - Air pollution has been estimated to be one of the leading environmental health
risks in Finland. National health impact estimates existing to date have focused
on particles (PM) and ozone (O3). In this work, we quantify the impacts of
particles, ozone, and nitrogen dioxide (NO2) in 2015, and analyze the related
uncertainties. The exposures were estimated with a high spatial resolution
chemical transport model, and adjusted to observed concentrations. We calculated
the health impacts according to Word Health Organization (WHO) working group
recommendations. According to our results, ambient air pollution caused a burden
of 34,800 disability-adjusted life years (DALY). Fine particles were the main
contributor (74%) to the disease burden, which is in line with the earlier
studies. The attributable burden was dominated by mortality (32,900 years of life
lost (YLL); 95%). Impacts differed between population age groups. The burden was
clearly higher in the adult population over 30 years (98%), due to the dominant
role of mortality impacts. Uncertainties due to the concentration-response
functions were larger than those related to exposures.
PMID- 29649154
TI - Chemical and Nutritional Composition of Terminalia ferdinandiana (Kakadu Plum)
Kernels: A Novel Nutrition Source.
AB - Terminalia ferdinandiana (Kakadu plum) is a native Australian fruit. Industrial
processing of T. ferdinandiana fruits into puree generates seeds as a by-product,
which are generally discarded. The aim of our present study was to process the
seed to separate the kernel and determine its nutritional composition. The
proximate, mineral and fatty acid compositions were analysed in this study.
Kernels are composed of 35% fat, while proteins account for 32% dry weight (DW).
The energy content and fiber were 2065 KJ/100 g and 21.2% DW, respectively.
Furthermore, the study showed that kernels were a very rich source of minerals
and trace elements, such as potassium (6693 mg/kg), calcium (5385 mg/kg), iron
(61 mg/kg) and zinc (60 mg/kg) DW, and had low levels of heavy metals. The fatty
acid composition of the kernels consisted of omega-6 fatty acid, linoleic acid
(50.2%), monounsaturated oleic acid (29.3%) and two saturated fatty acids namely
palmitic acid (12.0%) and stearic acid (7.2%). The results indicate that T.
ferdinandiana kernels have the potential to be utilized as a novel protein source
for dietary purposes and non-conventional supply of linoleic, palmitic and oleic
acids.
PMID- 29649155
TI - Efficient Active Oxygen Free Radical Generated in Tumor Cell by Loading
(HCONH2).H2O2 Delivery Nanosystem with Soft-X-ray Radiotherapy.
AB - Tumor hypoxia is known to result in radiotherapy resistance and traditional
radiotherapy using super-hard X-ray irradiation can cause considerable damage to
normal tissue. Therefore, formamide peroxide (FPO) with high reactive oxygen
content was employed to enhance the oxygen concentration in tumor cells and
increase the radio-sensitivity of low-energy soft-X-ray. To improve stability of
FPO, FPO is encapsulated into polyacrylic acid (PAA)-coated hollow mesoporous
silica nanoparticles (FPO@HMSNs-PAA). On account of the pH-responsiveness of PAA,
FPO@HMSNs-PAA will release more FPO in simulated acidic tumor microenvironment
(pH 6.50) and subcellular endosomes (pH 5.0) than in simulated normal tissue
media (pH 7.40). When exposed to soft-X-ray irradiation, the released FPO
decomposes into oxygen and the generated oxygen further formed many reactive
oxygen species (ROS), leading to significant tumor cell death. The ROS-mediated
cytotoxicity of FPO@HMSNs-PAA was confirmed by ROS-induced green fluorescence in
tumor cells. The presented FPO delivery system with soft-X-ray irradiation paves
a way for developing the next opportunities of radiotherapy toward efficient
tumor prognosis.
PMID- 29649156
TI - Using Landscape Analysis to Test Hypotheses about Drivers of Tick Abundance and
Infection Prevalence with Borrelia burgdorferi.
AB - Patterns of vector-borne disease risk are changing globally in space and time and
elevated disease risk of vector-borne infection can be driven by anthropogenic
modification of the environment. Incidence of Lyme disease, caused by the
bacterium Borrelia burgdorferi sensu stricto, has risen in a number of locations
in North America and this increase may be driven by spatially or numerically
expanding populations of the primary tick vector, Ixodes scapularis. We used a
model selection approach to identify habitat fragmentation and land-use/land
cover variables to test the hypothesis that the amount and configuration of
forest cover at spatial scales relevant to deer, the primary hosts of adult
ticks, would be the predominant determinants of tick abundance. We expected that
land cover heterogeneity and amount of forest edge, a habitat thought to
facilitate deer foraging and survival, would be the strongest driver of tick
density and that larger spatial scales (5-10 km) would be more important than
smaller scales (1 km). We generated metrics of deciduous and mixed forest
fragmentation using Fragstats 4.4 implemented in ArcMap 10.3 and found, after
adjusting for multicollinearity, that total forest edge within a 5 km buffer had
a significant negative effect on tick density and that the proportion of forested
land cover within a 10 km buffer was positively associated with density of I.
scapularis nymphs. None of the 1 km fragmentation metrics were found to
significantly improve the fit of the model. Elevation, previously associated with
increased density of I. scapularis nymphs in Virginia, while significantly
predictive in univariate analysis, was not an important driver of nymph density
relative to fragmentation metrics. Our results suggest that amount of forest
cover (i.e., lack of fragmentation) is the most important driver of I. scapularis
density in our study system.
PMID- 29649158
TI - Dietary Intakes of Minerals, Essential and Toxic Trace Elements for Adults from
Eragrostis tef L.: A Nutritional Assessment.
AB - This study analysed the contents of thirty-six mineral and trace elements in teff
(Eragrostis tef L.) grains. What is more, dietary intakes were calculated.
Inductively coupled plasma mass spectrometry (ICP-MS) was used to assess mineral
and trace element contents. Consequently, the appropriate Recommended Dietary
Allowance (RDA) or adequate intake (AI), and provisional tolerable weekly intake
(PTWI) or provisional tolerable monthly intake (PTMI) values for adults were
determined according to the Food and Agriculture Organization/World Health
Organization (FAO/WHO) and Institute of Medicine (IOM) regulations. Teff is a
significant contributor to RDAs and AIs for females in the following order: Mn >
Cu > Zn >= Mg > Fe >= P and Ca. For males, teff contributes in the order, Mn > Cu
> Fe > Zn >= P >= Mg > and Ca. The concentration of arsenic (65.9 ug/kg) in brown
teff originating in Bolivia exceeded the average acceptable value set by Reg. No.
1881 of 6-50 ug/kg in cereals consumed in the EU. The PTWIs or PTMIs for Al, Cd,
Sn and Hg were all under 7%, which is below the limits of toxic element intake
related to the body weight of 65 kg for adult females and 80 kg for males, set by
the FAO/WHO. Teff grains can be recommended as a valuable and safe source of
minerals and trace elements.
PMID- 29649157
TI - Triclosan Lacks Anti-Estrogenic Effects in Zebrafish Cells but Modulates Estrogen
Response in Zebrafish Embryos.
AB - Triclosan (TCS), an antimicrobial agent widely found in the aquatic environment,
is suspected to act as an endocrine disrupting compound, however mechanistic
information is lacking in regards to aquatic species. This study assessed the
ability of TCS to interfere with estrogen receptor (ER) transcriptional activity,
in zebrafish-specific in vitro and in vivo reporter gene assays. We report that
TCS exhibits a lack of either agonistic or antagonistic effects on a panel of ER
expressing zebrafish (ZELH-zfERalpha and -zfERbeta) and human (MELN) cell lines.
At the organism level, TCS at concentrations of up to 0.3 uM had no effect on ER
regulated brain aromatase gene expression in transgenic cyp19a1b-GFP zebrafish
embryos. At a concentration of 1 uM, TCS interfered with the E2 response in an
ambivalent manner by potentializing a low E2 response (0.625 nM), but decreasing
a high E2 response (10 nM). Altogether, our study suggests that while modulation
of ER-regulated genes by TCS may occur in zebrafish, it does so irrespective of a
direct binding and activation of zfERs.
PMID- 29649159
TI - Astaxanthin Restrains Nitrative-Oxidative Peroxidation in Mitochondrial-Mimetic
Liposomes: A Pre-Apoptosis Model.
AB - Astaxanthin (ASTA) is a ketocarotenoid found in many marine organisms and that
affords many benefits to human health. ASTA is particularly effective against
radical-mediated lipid peroxidation, and recent findings hypothesize a
"mitochondrial-targeted" action of ASTA in cells. Therefore, we examined the
protective effects of ASTA against lipid peroxidation in zwitterionic
phosphatidylcholine liposomes (PCLs) and anionic phosphatidylcholine:
phosphatidylglycerol liposomes (PCPGLs), at different pHs (6.2 to 8.0), which
were challenged by oxidizing/nitrating conditions that mimic the regular and
preapoptotic redox environment of active mitochondria. Pre-apoptotic conditions
were created by oxidized/nitr(osyl)ated cytochrome c and resulted in the highest
levels of lipoperoxidation in both PCL and PCPGLs (pH 7.4). ASTA was less
protective at acidic conditions, especially in anionic PCPGLs. Our data
demonstrated the ability of ASTA to hamper oxidative and nitrative events that
lead to cytochrome c-peroxidase apoptosis and lipid peroxidation, although its
efficiency changes with pH and lipid composition of membranes.
PMID- 29649160
TI - Eye on the Ball: Table Tennis as a Pro-Health Form of Leisure-Time Physical
Activity.
AB - Background: The article is devoted to an analysis of leisure-time (amateur) table
tennis in Poland, its practitioners and the regularities of their activity.
Methods: The study examined 12,406 persons in 4689 households (representative for
the population). We used binary logistic regression and descriptive statistics in
order to identify the patterns and determinants of table-tennis practice in
Poland. Results: Table tennis is practised by 2.8% of population, and by 6.6% of
physically active Poles. Among adults it is predominantly an occasional
recreational game, not performed as a sport per se. Among children, it is often
the part of physical education (PE) classes. Statistically significant predictors
of contact with table tennis are: gender, age, income, place of residence,
children in the household and being a student. Conclusions: Due to the undeniable
benefits of table tennis (health, pleasure, personal and social development), the
sport is recommended for use as a tool in increasing the (overall low) physical
activity of Poles. Its popularization requires promotion in the media (as a
health-oriented activity) and using various channels, including public places,
the workplace (as part of corporate social responsibility) and physical education
classes at school.
PMID- 29649161
TI - Domain-Specific Adult Sedentary Behaviour Questionnaire (ASBQ) and the GPAQ
Single-Item Question: A Reliability and Validity Study in an Asian Population.
AB - This study examined the validity and reliability of a domain-specific Adult
Sedentary Behaviour Questionnaire (ASBQ) and the Global Physical Activity
Questionnaire (GPAQ) single-item sitting question using self- and interviewer
administered modes of administration against the triaxial ActiGraph wGT3X-BT
accelerometer. The ASBQ and the GPAQ were administered twice, seven days apart.
Participants were asked to put on the waist-worn accelerometer for seven days.
Convergent validity was assessed using Spearman's rho, mean absolute error (MAE),
and Bland-Altman analysis (n = 78). Reliability was assessed using the Spearman's
rho and intraclass correlation coefficient (ICC) (n = 84). Participants were
adults aged 20-65 years and identifying as Chinese, Malay, or Indian. Only the
self-administered GPAQ was significantly correlated with accelerometry-based
measures (rho: 0.46), but not the interviewer-administered version (rho: 0.12).
MAE for GPAQ was 207.5-218.3 min/day in relation to the accelerometer and for
ASBQ was 154.7-174.6 min/day. Bland-Altman plots demonstrated large limits of
agreement between questionnaire and accelerometry-based measures. While the self
administered GPAQ demonstrated a moderate correlation with accelerometry, the
mean bias and the limits of agreement were large. The GPAQ (rho: 0.68-0.79; ICC:
0.68-0.78) and the ASBQ (rho: 0.53-0.64; ICC: 0.66-0.74) showed moderate-to-good
reliability for total sedentary time using either self- or interviewer
administration. Future research should incorporate accelerometers to generate
useful sedentary behaviour measures.
PMID- 29649163
TI - Physio-Microstructural Properties of Aerated Cement Slurry for Lightweight
Structures.
AB - Cementitious composites, including ferrocement and continuous fiber reinforced
cement, are increasingly considered for building construction and repair. One
alternative in processing of these composites is to infiltrate the reinforcement
(continuous fibers or chicken mesh) with a flowable cementitious slurry. The
relatively high density of cementitious binders, when compared with polymeric
binders, are a setback in efforts to introduce cementitious composites as lower
cost, fire-resistant, and durable alternatives to polymer composites. Aeration of
the slurry is an effective means of reducing the density of cementitious
composites. This approach, however, compromises the mechanical properties of
cementitious binders. An experimental program was undertaken in order to assess
the potential for production of aerated slurry with a desired balance of density,
mechanical performance, and barrier qualities. The potential for nondestructive
monitoring of strength development in aerated cementitious slurry was also
investigated. This research produced aerated slurries with densities as low as
0.9 g/cm3 with viable mechanical and barrier qualities for production of
composites. The microstructure of these composites was also investigated.
PMID- 29649162
TI - Identification by Molecular Docking ofHomoisoflavones from Leopoldia comosa as
Ligands of Estrogen Receptors.
AB - The physiological responses to estrogen hormones are mediated within specific
tissues by at least two distinct receptors, ER and ER. Several natural and
synthetic molecules show activity by interacting with these proteins. In
particular, a number of vegetal compounds known as phytoestrogens shows
estrogenic or anti-estrogenic activity. The majority of these compounds belongs
to the isoflavones family and the most representative one, genistein, shows anti
proliferative effects on various hormone-sensitive cancer cells, including
breast, ovarian and prostate cancer. In this work we describe the identification
of structurally related homoisoflavones isolated from Leopoldia comosa (L.) Parl.
(L. comosa), a perennial bulbous plant, potentially useful as hormonal
substitutes or complements in cancer treatments. Two of these compounds have been
selected as potential ligands of estrogen receptors (ERs) and the interaction
with both isoforms of estrogen receptors have been investigated through molecular
docking on their crystallographic structures. The results provide evidence of the
binding of these compounds to the target receptors and their interactions with
key residues of the active sites of the two proteins, and thus they could
represent suitable leads for the development of novel tools for the dissection of
ER signaling and the development of new pharmacological treatments in hormone
sensitive cancers.
PMID- 29649164
TI - The Impact of Abdominal Fat Levels on All-Cause Mortality Risk in Patients
Undergoing Hemodialysis.
AB - Although an increased body mass index is associated with lower mortality in
patients undergoing hemodialysis (HD), known as the "obesity paradox," the
relationship of abdominal fat levels with all-cause mortality has rarely been
studied. We investigated the impact of computed-tomography-measured abdominal fat
levels (visceral fat area (VFA) and subcutaneous fat area (SFA)) on all-cause
mortality in this population. A total of 201 patients undergoing HD were enrolled
and cross-classified by VFA and SFA levels according to each cutoff point, VFA of
78.7 cm2 and SFA of 93.2 cm2, based on the receiver operator characteristic (ROC)
curve as following; group 1 (G1): lower VFA and lower SFA, G2: higher VFA and
lower SFA, G3: lower VFA and higher SFA, G4: higher VFA and higher SFA. During a
median follow-up of 4.3 years, 67 patients died. Kaplan-Meier analysis revealed
10-year survival rates of 29.0%, 50.0%, 62.6%, and 72.4% in G1, G2, G3, and G4 (p
< 0.0001), respectively. The adjusted hazard ratio was 0.30 (95% confidence
interval [CI] 0.05-1.09, p = 0.070) for G2 vs. G1, 0.37 (95% CI 0.18-0.76, p =
0.0065) for G3 vs. G1, and 0.21 (95% CI 0.07-0.62, p = 0.0035) for G4 vs. G1,
respectively. In conclusion, combined SFA and VFA levels were negatively
associated with risks for all-cause mortality in patients undergoing HD. These
results are a manifestation of the "obesity paradox."
PMID- 29649165
TI - Use of a Survey to Assess the Environmental Exposure and Family Perception to
Lead in Children (<6 Years) in Four Valley Cities, Northwestern China.
AB - With the growth of industry, the extensive use of lead, and urban expansion in
Northwestern Valley Cities (NVC) China, there is probable reason for presuming an
increasing risk of lead exposure. However, little is known about the lead
exposure of children less than 6 years old in NVC. As a first investigation, this
study uses a survey to systematically determine the influences of various risk
factors within the family environment, parents' background, children's behavior,
mother's behavior during pregnancy, and parental perception about children's
blood lead (CBL). A total of 596 families were recruited from the general
population in Urumqi, Lanzhou, Xining and Yan'an. Parents, and their children (<6
years old), were asked about the environment and behaviors which could possibly
relate with lead exposure. The results indicated that in the typical NVC of
China, children's environment and behavior, parents' education level, and
mother's pregnancy behavior, were associated with potential CBL. It was noted
that not all parents in NVC China recognized the importance of children's lead
exposure. Therefore, children's health care and medical screening campaigns need
to be designed to improve family's fundamental knowledge of lead hazards,
associated health effects, and prevention in the NVC of China.
PMID- 29649166
TI - Tumor-Associated Macrophages and Mast Cells Positive to Tryptase Are Correlated
with Angiogenesis in Surgically-Treated Gastric Cancer Patients.
AB - Mast cells and macrophages can play a role in tumor angiogenesis by stimulating
microvascular density (MVD). The density of mast cells positive to tryptase
(MCDPT), tumor-associated macrophages (TAMs), and MVD were evaluated in a series
of 86 gastric cancer (GC) tissue samples from patients who had undergone
potential curative surgery. MCDPT, TAMs, and MVD were assessed in tumor tissue
(TT) and in adjacent normal tissue (ANT) by immunohistochemistry and image
analysis. Each of the above parameters was correlated with the others and, in
particular for TT, with important clinico-pathological features. In TT, a
significant correlation between MCDPT, TAMs, and MVD was found by Pearson t-test
analysis (p ranged from 0.01 to 0.02). No correlation to the clinico-pathological
features was found. A significant difference in terms of mean MCDPT, TAMs, and
MVD between TT and ANT was found (p ranged from 0.001 to 0.002). Obtained data
suggest MCDPT, TAMs, and MVD increased from ANT to TT. Interestingly, MCDPT and
TAMs are linked in the tumor microenvironment and they play a role in GC
angiogenesis in a synergistic manner. The assessment of the combination of MCDPT
and TAMs could represent a surrogate marker of angiogenesis and could be
evaluated as a target of novel anti-angiogenic therapies in GC patients.
PMID- 29649167
TI - Assessment of Indoor Air Quality Problems in Office-Like Environments: Role of
Occupational Health Services.
AB - There is an increasing concern about indoor air quality (IAQ) and its impact on
health, comfort, and work-performance in office-like environments and their
workers, which account for most of the labor force. The Scientific Committee on
Indoor Air Quality and Health of the ICOH (Int. Comm. Occup. Health) has
discussed the assessment and management of IAQ problems and proposed a stepwise
approach to be conducted by a multidisciplinary team. It is recommended to
integrate the building assessment, inspection by walk-through of the office
workplace, questionnaire survey, and environmental measurements, in that order.
The survey should cover perceived IAQ, symptoms, and psychosocial working
aspects. The outcome can be used for mapping the IAQ and to prioritize the order
in which problems should be dealt with. Individual health surveillance in
relation to IAQ is proposed only when periodical health surveillance is already
performed for other risks (e.g., video display units) or when specific clinical
examination of workers is required due to the occurrence of diseases that may be
linked to IAQ (e.g., Legionnaire's disease), recurrent inflammation, infections
of eyes, respiratory airway effects, and sensorial disturbances. Environmental
and personal risk factors should also be compiled and assessed. Workplace health
promotion should include programs for smoking cessation and stress and IAQ
management.
PMID- 29649169
TI - Development and Characterization of Novel Genic-SSR Markers in Apple-Juniper Rust
Pathogen Gymnosporangium yamadae (Pucciniales: Pucciniaceae) Using Next
Generation Sequencing.
AB - The Apple-Juniper rust, Gymnosporangium yamadae, is an economically important
pathogen of apples and junipers in Asia. The absence of markers has hampered the
study of the genetic diversity of this widespread pathogen. In our study, we
developed twenty-two novel microsatellite markers for G. yamadae from randomly
sequenced regions of the transcriptome, using next-generation sequencing methods.
These polymorphic markers were also tested on 96 G. yamadae individuals from two
geographical populations. The allele numbers ranged from 2 to 9 with an average
value of 6 per locus. The polymorphism information content (PIC) values ranged
from 0.099 to 0.782 with an average value of 0.48. Furthermore, the observed (HO)
and expected (HE) heterozygosity ranged from 0.000 to 0.683 and 0.04 to 0.820,
respectively. These novel developed microsatellites provide abundant molecular
markers for investigating the genetic structure and genetic diversity of G.
yamadae, which will help us to better understand disease epidemics and the origin
and migration routes of the Apple-Juniper rust pathogen. Further studies will
also be completed to dissect how human activities influence the formation of
current population structures. Furthermore, these SSR (simple sequence repeat)
markers can also be used as tools to identify virulence by mapping the whole
genomes of different virulent populations. These markers will, thus, assist the
development of effective risk-assessment models and management systems for the
Apple-Juniper rust pathogen.
PMID- 29649168
TI - Structure-Function Relationship of Transporters in the Glutamate-Glutamine Cycle
of the Central Nervous System.
AB - Many kinds of transporters contribute to glutamatergic excitatory synaptic
transmission. Glutamate is loaded into synaptic vesicles by vesicular glutamate
transporters to be released from presynaptic terminals. After synaptic vesicle
release, glutamate is taken up by neurons or astrocytes to terminate the signal
and to prepare for the next signal. Glutamate transporters on the plasma membrane
are responsible for transporting glutamate from extracellular fluid to cytoplasm.
Glutamate taken up by astrocyte is converted to glutamine by glutamine synthetase
and transported back to neurons through glutamine transporters on the plasma
membranes of the astrocytes and then on neurons. Glutamine is converted back to
glutamate by glutaminase in the neuronal cytoplasm and then loaded into synaptic
vesicles again. Here, the structures of glutamate transporters and glutamine
transporters, their conformational changes, and how they use electrochemical
gradients of various ions for substrate transport are summarized. Pharmacological
regulations of these transporters are also discussed.
PMID- 29649171
TI - In Situ 3D Monitoring of Geometric Signatures in the Powder-Bed-Fusion Additive
Manufacturing Process via Vision Sensing Methods.
AB - Lack of monitoring of the in situ process signatures is one of the challenges
that has been restricting the improvement of Powder-Bed-Fusion Additive
Manufacturing (PBF AM). Among various process signatures.
PMID- 29649170
TI - UFLC-Q-TOF-MS/MS-Based Screening and Identification of Flavonoids and Derived
Metabolites in Human Urine after Oral Administration of Exocarpium Citri Grandis
Extract.
AB - Exocarpium Citri grandis (ECG) is an important Traditional Chinese Medicine (TCM)
for the treatment of cough and phlegm, and the flavonoids contained were
considered the main effective components. To date, the systematic chemical
profiling of these flavonoids and derived in vivo metabolites in human have not
been well investigated. ECG was extracted using boiling water and then provided
to volunteers for oral administration. Following the ingestion, urine samples
were collected from volunteers over 48 h. The extract and urine samples were
analyzed using ultra-fast liquid chromatography/quadrupole-time-of-flight tandem
mass spectrometry (UFLC-Q-TOF-MS/MS) system to screen and identify flavonoids and
derived in vivo metabolites. A total of 18 flavonoids were identified in the ECG
extract, and 20 metabolites, mainly glucuronide and sulfate conjugates, were
screened in urine samples collected post consumption. The overall excretion of
naringenin metabolites corresponded to 5.45% of intake and occurred mainly within
4-12 h after the ingestion. Meanwhile, another 29 phenolic catabolites were
detected in urine. Obtained data revealed that flavonoids were abundant in the
ECG extract, and these components underwent extensive phase II metabolism in
humans. These results provided valuable information for further study of the
pharmacology and mechanism of action of ECG.
PMID- 29649172
TI - Hadoop Oriented Smart Cities Architecture.
AB - A smart city implies a consistent use of technology for the benefit of the
community. As the city develops over time, components and subsystems such as
smart grids, smart water management, smart traffic and transportation systems,
smart waste management systems, smart security systems, or e-governance are
added. These components ingest and generate a multitude of structured, semi
structured or unstructured data that may be processed using a variety of
algorithms in batches, micro batches or in real-time. The ICT architecture must
be able to handle the increased storage and processing needs. When vertical
scaling is no longer a viable solution, Hadoop can offer efficient linear
horizontal scaling, solving storage, processing, and data analyses problems in
many ways. This enables architects and developers to choose a stack according to
their needs and skill-levels. In this paper, we propose a Hadoop-based
architectural stack that can provide the ICT backbone for efficiently managing a
smart city. On the one hand, Hadoop, together with Spark and the plethora of
NoSQL databases and accompanying Apache projects, is a mature ecosystem. This is
one of the reasons why it is an attractive option for a Smart City architecture.
On the other hand, it is also very dynamic; things can change very quickly, and
many new frameworks, products and options continue to emerge as others decline.
To construct an optimized, modern architecture, we discuss and compare various
products and engines based on a process that takes into consideration how the
products perform and scale, as well as the reusability of the code, innovations,
features, and support and interest in online communities.
PMID- 29649173
TI - A Three-Dimensional Target Depth-Resolution Method with a Single-Vector Sensor.
AB - This paper mainly studies and verifies the target number category-resolution
method in multi-target cases and the target depth-resolution method of aerial
targets. Firstly, target depth resolution is performed by using the sign
distribution of the reactive component of the vertical complex acoustic
intensity; the target category and the number resolution in multi-target cases is
realized with a combination of the bearing-time recording information; and the
corresponding simulation verification is carried out. The algorithm proposed in
this paper can distinguish between the single-target multi-line spectrum case and
the multi-target multi-line spectrum case. This paper presents an improved
azimuth-estimation method for multi-target cases, which makes the estimation
results more accurate. Using the Monte Carlo simulation, the feasibility of the
proposed target number and category-resolution algorithm in multi-target cases is
verified. In addition, by studying the field characteristics of the aerial and
surface targets, the simulation results verify that there is only amplitude
difference between the aerial target field and the surface target field under the
same environmental parameters, and an aerial target can be treated as a special
case of a surface target; the aerial target category resolution can then be
realized based on the sign distribution of the reactive component of the vertical
acoustic intensity so as to realize three-dimensional target depth resolution. By
processing data from a sea experiment, the feasibility of the proposed aerial
target three-dimensional depth-resolution algorithm is verified.
PMID- 29649174
TI - Crowdsourcing-Assisted Radio Environment Database for V2V Communication.
AB - In order to realize reliable Vehicle-to-Vehicle (V2V) communication systems for
autonomous driving, the recognition of radio propagation becomes an important
technology. However, in the current wireless distributed network systems, it is
difficult to accurately estimate the radio propagation characteristics because of
the locality of the radio propagation caused by surrounding buildings and
geographical features. In this paper, we propose a measurement-based radio
environment database for improving the accuracy of the radio environment
estimation in the V2V communication systems. The database first gathers
measurement datasets of the received signal strength indicator (RSSI) related to
the transmission/reception locations from V2V systems. By using the datasets, the
average received power maps linked with transmitter and receiver locations are
generated. We have performed measurement campaigns of V2V communications in the
real environment to observe RSSI for the database construction. Our results show
that the proposed method has higher accuracy of the radio propagation estimation
than the conventional path loss model-based estimation.
PMID- 29649175
TI - A Multi-Technology Communication Platform for Urban Mobile Sensing.
AB - A common concern in smart cities is the focus on sensing procedures to provide
city-wide information to city managers and citizens. To meet the growing demands
of smart cities, the network must provide the ability to handle a large number of
mobile sensors/devices, with high heterogeneity and unpredictable mobility, by
collecting and delivering the sensed information for future treatment. This work
proposes a multi-wireless technology communication platform for opportunistic
data gathering and data exchange with respect to smart cities. Through the
implementation of a proprietary long-range (LoRa) network and an urban sensor
network, our platform addresses the heterogeneity of Internet of Things (IoT)
devices while conferring communications in an opportunistic manner, increasing
the interoperability of our platform. It implements and evaluates a medium access
communication (MAC) protocol for LoRa networks with multiple gateways. It also
implements mobile Opportunistic VEhicular (mOVE), a delay-tolerant network (DTN)
based architecture to address the mobility dimension. The platform provides
vehicle-to-everything (V2X) communication with support for highly reliable and
actionable information flows. Moreover, taking into account the high mobility
pattern that a smart city scenario presents, we propose and evaluate two
forwarding strategies for the opportunistic sensor network.
PMID- 29649176
TI - Improving Efficiency of Passive RFID Tag Anti-Collision Protocol Using Dynamic
Frame Adjustment and Optimal Splitting.
AB - Radio frequency identification is a wireless communication technology, which
enables data gathering and identifies recognition from any tagged object. The
number of collisions produced during wireless communication would lead to a
variety of problems including unwanted number of iterations and reader-induced
idle slots, computational complexity in terms of estimation as well as
recognition of the number of tags. In this work, dynamic frame adjustment and
optimal splitting are employed together in the proposed algorithm. In the dynamic
frame adjustment method, the length of frames is based on the quantity of tags to
yield optimal efficiency. The optimal splitting method is conceived with smaller
duration of idle slots using an optimal value for splitting level M o p t , where
(M > 2), to vary slot sizes to get the minimal identification time for the idle
slots. The application of the proposed algorithm offers the advantages of not
going for the cumbersome estimation of the quantity of tags incurred and the size
(number) of tags has no effect on its performance efficiency. Our experiment
results show that using the proposed algorithm, the efficiency curve remains
constant as the number of tags varies from 50 to 450, resulting in an overall
theoretical gain in the efficiency of 0.032 compared to system efficiency of
0.441 and thus outperforming both dynamic binary tree slotted ALOHA (DBTSA) and
binary splitting protocols.
PMID- 29649177
TI - Practical 3-D Beam Pattern Based Channel Modeling for Multi-Polarized Massive
MIMO Systems.
AB - In this paper, a practical non-stationary three-dimensional (3-D) channel models
for massive multiple-input multiple-output (MIMO) systems, considering beam
patterns for different antenna elements, is proposed. The beam patterns using
dipole antenna elements with different phase excitation toward the different
direction of travels (DoTs) contributes various correlation weights for rays
related towards/from the cluster, thus providing different elevation angle of
arrivals (EAoAs) and elevation angle of departures (EAoDs) for each antenna
element. These include the movements of the user that makes our channel to be a
non-stationary model of clusters at the receiver (RX) on both the time and array
axes. In addition, their impacts on 3-D massive MIMO channels are investigated
via statistical properties including received spatial correlation. Additionally,
the impact of elevation/azimuth angles of arrival on received spatial correlation
is discussed. Furthermore, experimental validation of the proposed 3-D channel
models on azimuth and elevation angles of the polarized antenna are specifically
evaluated and compared through simulations. The proposed 3-D generic models are
verified using relevant measurement data.
PMID- 29649178
TI - Synthesis and Application of the Transition Metal Complexes of alpha-Pyridinyl
Alcohols, alpha-Bipyridinyl Alcohols, alpha,alpha'-Pyridinyl Diols and
alpha,alpha'-Bipyridinyl Diols in Homogeneous Catalysis.
AB - The paper presents a comprehensive survey on the synthetic procedures of
transition metal complexes of alpha-pyridinyl alcoholato, alpha-bipyridinyl
alcoholato, alpha,alpha'-pyridinyl dialcoholato and alpha,alpha'-bipyridinyl
dialcoholato ligands and their coordination chemistry. Greater emphasis is,
however, given to the catalytic activity of the complexes in homogeneous and
asymmetric chemical reactions. The multidentate character of the pyridinyl
alcohols and/or bipyridinyl diols is of great importance in the complexation with
a large number and type of transition metals. The transition metal complexes of
pyridinyl alcoholato or bipyridinyl dialcoholato ligands in most cases, and a few
pyridinyl alcohols alone, were used as catalysts in homogeneous and chemical
asymmetric reactions. In most of the homogeneously catalysed enantioselective
chemical reactions, limited numbers and types of pyridinyl alcohols and or
bipyridinyl diols were used in the preparation of chiral catalysts that led to a
few investigations on the catalytic importance of the pyridinyl alcohols.
PMID- 29649179
TI - Nanocoatings for Chronic Wound Repair-Modulation of Microbial Colonization and
Biofilm Formation.
AB - Wound healing involves a complex interaction between immunity and other natural
host processes, and to succeed it requires a well-defined cascade of events.
Chronic wound infections can be mono- or polymicrobial but their major
characteristic is their ability to develop a biofilm. A biofilm reduces the
effectiveness of treatment and increases resistance. A biofilm is an ecosystem on
its own, enabling the bacteria and the host to establish different social
interactions, such as competition or cooperation. With an increasing incidence of
chronic wounds and, implicitly, of chronic biofilm infections, there is a need
for alternative therapeutic agents. Nanotechnology shows promising openings,
either by the intrinsic antimicrobial properties of nanoparticles or their
function as drug carriers. Nanoparticles and nanostructured coatings can be
active at low concentrations toward a large variety of infectious agents; thus,
they are unlikely to elicit emergence of resistance. Nanoparticles might
contribute to the modulation of microbial colonization and biofilm formation in
wounds. This comprehensive review comprises the pathogenesis of chronic wounds,
the role of chronic wound colonization and infection in the healing process, the
conventional and alternative topical therapeutic approaches designed to combat
infection and stimulate healing, as well as revolutionizing therapies such as
nanotechnology-based wound healing approaches.
PMID- 29649181
TI - Minor Neurological Dysfunctions (MNDs) in Autistic Children without Intellectual
Disability.
AB - BACKGROUND: Children with autism spectrum disorder (ASD) require neurological
evaluation to detect sensory-motor impairment. This will improve understanding of
brain function in children with ASD, in terms of minor neurological dysfunctions
(MNDs). METHODS: We compared 32 ASD children without intellectual disability (IQ
>= 70) with 32 healthy controls. A standardized and age-specific neurological
examination according to Touwen was used to detect the presence of MNDs.
Particular attention was paid to severity and type of MNDs. RESULTS: Children
with ASD had significantly higher rates of MNDs compared to controls (96.9%
versus 15.6%): 81.3% had simple MNDs (p < 0.0001) and 15.6% had complex MNDs (p =
0.053). The prevalence of MNDs in the ASD group was significantly higher (p <
0.0001) than controls. With respect to specific types of MNDs, children with ASD
showed a wide range of fine manipulative disability, sensory deficits and
choreiform dyskinesia. We also found an excess of associated movements and
anomalies in coordination and balance. CONCLUSIONS: Results replicate previous
findings which found delays in sensory-motor behavior in ASD pointing towards a
role for prenatal, natal and neonatal risk factors in the neurodevelopmental
theory of autism.
PMID- 29649180
TI - Establishing a Split Luciferase Assay for Proteinkinase G (PKG) Interaction
Studies.
AB - Nitric oxide (NO/cyclic guanosine monophosphate (cGMP)-regulated cellular
mechanisms are involved in a variety of (patho-) physiological processes. One of
the main effector molecules in this system, proteinkinase G (PKG), serves as a
molecular switch by phosphorylating different target proteins and thereby turning
them on or off. To date, only a few interaction partners of PKG have been
described although the identification of protein-protein interactions (PPI) is
indispensable for the understanding of cellular processes and diseases.
Conventionally used methods to detect PPIs exhibit several disadvantages, e.g.,
co-immunoprecipitations, which depend on suitable high-affinity antibodies.
Therefore, we established a cell-based protein-fragment complementation assay
(PCA) for the identification of PKG target proteins. Here, a reporter protein
(click beetle luciferase) is split into two fragments and fused to two different
possible interaction partners. If interaction occurs, the reporter protein is
functionally complemented and the catalyzed reaction can then be quantitatively
measured. By using this technique, we confirmed the regulator of G-Protein
signaling 2 (RGS2) as an interaction partner of PKGIalpha (a PKG-isoform)
following stimulation with 8-Br-cGMP and 8-pCPT-cGMP. Hence, our results support
the conclusion that the established approach could serve as a novel tool for the
rapid, easy and cost-efficient detection of novel PKG target proteins.
PMID- 29649183
TI - Transcriptome and Metabolome Analyses Reveal That Nitrate Strongly Promotes
Nitrogen and Carbon Metabolism in Soybean Roots, but Tends to Repress It in
Nodules.
AB - Leguminous plants form root nodules with rhizobia that fix atmospheric dinitrogen
(N2) for the nitrogen (N) nutrient. Combined nitrogen sources, particular
nitrate, severely repress nodule growth and nitrogen fixation activity in
soybeans (Glycine max [L.] Merr.). A microarray-based transcriptome analysis and
the metabolome analysis were carried out for the roots and nodules of
hydroponically grown soybean plants treated with 5 mM of nitrate for 24 h and
compared with control without nitrate. Gene expression ratios of nitrate vs. the
control were highly enhanced for those probesets related to nitrate transport and
assimilation and carbon metabolism in the roots, but much less so in the nodules,
except for the nitrate transport and asparagine synthetase. From the metabolome
analysis, the concentration ratios of metabolites for the nitrate treatment vs.
the control indicated that most of the amino acids, phosphorous-compounds and
organic acids in roots were increased about twofold in the roots, whereas in the
nodules most of the concentrations of the amino acids, P-compounds and organic
acids were decreased while asparagine increased exceptionally. These results may
support the hypothesis that nitrate primarily promotes nitrogen and carbon
metabolism in the roots, but mainly represses this metabolism in the nodules.
PMID- 29649182
TI - Applications of Microalgal Biotechnology for Disease Control in Aquaculture.
AB - Aquaculture industries, and in particular the farming of fish and crustaceans,
are major contributors to the economy of many countries and an increasingly
important component in global food supply. However, the severe impact of aquatic
microbial diseases on production performance remains a challenge to these
industries. This article considers the potential applications of microalgal
technology in the control of such diseases. At the simplest level, microalgae
offer health-promoting benefits as a nutritional supplement in feed meal because
of their digestibility and high content of proteins, lipids and essential
nutrients. Furthermore, some microalgal species possess natural anti-microbial
compounds or contain biomolecules that can serve as immunostimulants. In
addition, emerging genetic engineering technologies in microalgae offer the
possibility of producing 'functional feed additives' in which novel and specific
bioactives, such as fish growth hormones, anti-bacterials, subunit vaccines, and
virus-targeted interfering RNAs, are components of the algal supplement. The
evaluation of such technologies for farm applications is an important step in the
future development of sustainable aquaculture.
PMID- 29649185
TI - Erratum: Vol. 66, No. 29.
PMID- 29649184
TI - Immunopathological Characterization of Muscle Biopsy Samples from Immune-Mediated
Necrotizing Myopathy Patients.
AB - BACKGROUND Immune-mediated necrotizing myopathy (IMNM) is a relatively new
proposed category of idiopathic inflammatory myopathies (IIMs), characterized by
the presence of abundant necrotic muscle fibers, myophagocytosis, and sparse
inflammatory infiltrates. The aim of our study was to analyze the
immunopathological characteristics of IMNM by detecting biopsy samples from a
cohort of patients, and to delineate the pathways involved in the pathogenesis.
MATERIAL AND METHODS A retrospective evaluation of muscle biopsy samples,
clinical and laboratory data, and immunohistochemical analysis of macrophages MHC
I and MAC, was performed for all patients diagnosed as having IMNM but without a
prior exposure to statins. RESULTS Immunohistochemical analysis revealed the
presence of CD68+ macrophages mainly in the necrotic muscle fibers and the
endomysial connective tissue. MHC-I and MAC positively stained not only the
necrotic fibers or vessels but also the non-necrotic ones. CONCLUSIONS Our data
describe general immunological features in IMNM patients, which may be helpful in
serving as biomarkers, aid in diagnostic decisions, and provide clues into the
underlying mechanisms involved in this disease.
PMID- 29649186
TI - Current Marijuana Use by Industry and Occupation - Colorado, 2014-2015.
AB - The effects of marijuana use on workplace safety are of concern for public health
and workplace safety professionals. Twenty-nine states and the District of
Columbia have enacted laws legalizing marijuana at the state level for
recreational and/or medical purposes. Employers and safety professionals in
states where marijuana use is legal have expressed concerns about potential
increases in occupational injuries, such as on-the-job motor vehicle crashes,
related to employee impairment. Data published in 2017 by the Colorado Department
of Public Health and Environment (CDPHE) showed that more than one in eight adult
state residents aged >=18 years currently used marijuana in 2014 (13.6%) and 2015
(13.4%) (1). To examine current marijuana use by working adults and the
industries and occupations in which they are employed, CDPHE analyzed data from
the state's Behavioral Risk Factor Surveillance System (BRFSS) regarding current
marijuana use (at least 1 day during the preceding 30 days) among 10,169 persons
who responded to the current marijuana use question. During 2014 and 2015, 14.6%
of these 10,169 Colorado workers reported current marijuana use, with the highest
reported prevalence among workers in the Accommodation and Food Services industry
(30.1%) and Food Preparation and Serving (32.2%) occupations. Understanding the
industries and occupations of adults with reported marijuana use can help direct
and maximize impact of public health messaging and potential safety interventions
for adults.
PMID- 29649188
TI - QuickStats: Age-Adjusted Suicide Rates,*,? by Race/Ethnicity - National Vital
Statistics System, United States, 2015-2016.
PMID- 29649187
TI - Surveillance to Track Progress Toward Polio Eradication - Worldwide, 2016-2017.
AB - Global efforts to eradicate polio began in 1988, and four of the six World Health
Organization (WHO) regions currently have achieved poliofree certification.
Within the remaining two regions with endemic poliomyelitis (African and Eastern
Mediterranean), Afghanistan, Nigeria, and Pakistan have never interrupted
transmission of wild poliovirus (WPV). The primary means of detecting poliovirus
transmission is surveillance for acute flaccid paralysis (AFP) among children
aged <15 years, combined with collection and testing of stool specimens for
detection of WPV and vaccine-derived polioviruses (VDPVs)* in WHO-accredited
laboratories within the Global Polio Laboratory Network (GPLN) (1,2). AFP
surveillance is supplemented by environmental surveillance for polioviruses in
sewage from selected locations. Genomic sequencing of isolated polioviruses
enables the mapping of transmission by time and place, assessment of potential
gaps in surveillance, and identification of the emergence of VDPVs (3). This
report presents poliovirus surveillance data from 2016-2017, with particular
focus on six countries in the Eastern Mediterranean Region (EMR) and 20 countries
in the African Region (AFR) that reported WPV or circulating VDPVs (cVDPVs)
during 2011-2017. Included in the 20 AFR countries are the three most affected by
the 2014-2015 Ebola virus disease (Ebola) outbreak (Guinea, Liberia, and Sierra
Leone), even though only one (Guinea) reported WPV or cVDPVs during the
surveillance period. During 2017, a total of 14 (70%) of the 20 AFR countries and
five (83%) of the six EMR countries met both surveillance quality indicators at
the national level; however, provincial-level variation was seen. Surveillance
strengthening activities are needed in specific countries of these regions to
provide evidence supporting ultimate certification of the interruption of
poliovirus circulation.
PMID- 29649189
TI - Acute Metam Sodium Poisoning Caused by Occupational Exposure at a Flower Farm -
Uganda, October 2016.
AB - On October 25, 2016, media reports alerted the Uganda Ministry of Health to an
outbreak of >80 cases of vomiting, syncope, and acute diarrhea among workers at a
flower farm in central Uganda; 27 workers were hospitalized. On November 1, an
investigation was undertaken by the Uganda Public Health Fellowship Program.* A
case-control study found that working inside greenhouse 7, which had been
fumigated with the organosulfur compound metam sodium the night of October 13,
was strongly associated with illness. Employees who worked in this greenhouse
during October 14-21 reported a strong "suffocating" smell in the greenhouse.
Investigation revealed that, in violation of safety protocols, workers did not
properly cover the soil after fumigation, allowing vapors to become trapped
inside the greenhouse. The farm management, unaware of the lapse, failed to
inform workers to avoid the vicinity of the fumigation. Respiratory protective
measures were not routinely available for workers, which likely contributed to
the severity and extent of the outbreak. Although metam sodium is generally
considered to be of low risk when used according to manufacturer's instructions
(1), occupational exposure in the absence of recommended safety measures can have
serious health consequences. The investigation highlighted the importance of
identifying potential occupational hazards to workers, as well as establishing
safety protocols in occupational settings, training workers at risk, such as
pesticide sprayers and flower pickers,? and ensuring enforcement of safety
protocols. After this outbreak, the farm management reviewed, revised, and
trained the workers on safety protocols to prevent future outbreaks.
PMID- 29649191
TI - Marketing unhealthy commodities on social media.
PMID- 29649190
TI - Updated CDC Recommendations for Using Artemether-Lumefantrine for the Treatment
of Uncomplicated Malaria in Pregnant Women in the United States.
AB - Malaria infection during pregnancy is associated with an increased risk for
maternal and fetal complications. In the United States, treatment options for
uncomplicated, chloroquine-resistant Plasmodium falciparum and P. vivax malaria
in pregnant women are limited to mefloquine or quinine plus clindamycin (1).
However, limited availability of quinine and increasing resistance to mefloquine
restrict these options. Strong evidence now demonstrates that artemether
lumefantrine (AL) (Coartem) is effective and safe in the treatment of malaria in
pregnancy. The World Health Organization (WHO) has endorsed artemisinin-based
combination therapies (ACTs), such as AL, for treatment of uncomplicated malaria
during the second and third trimesters of pregnancy and is currently considering
whether to add ACTs, including AL, as an option for malaria treatment during the
first trimester (2,3). This policy note reviews the evidence and updates CDC
recommendations to include AL as a treatment option for uncomplicated malaria
during the second and third trimesters of pregnancy and during the first
trimester of pregnancy when other treatment options are unavailable. These
updated recommendations reflect current evidence and are consistent with WHO
treatment guidelines.
PMID- 29649192
TI - Tobacco targets-doomed to fail?
PMID- 29649193
TI - Volume, nature and potential impact of advertisements on Facebook and YouTube by
food brands popular in New Zealand.
AB - AIM: To analyse extent, nature and potential impact of marketing by food and
beverage brands popular in New Zealand on Facebook and YouTube. METHOD: Popular
food and beverage brands in New Zealand were selected from Socialbakers. Posts on
Facebook pages of 45 packaged food, beverage and fast food companies over two
months and YouTube channels of 15 companies over two years were analysed for
nutritional quality and use of activities, promotional strategies (eg, cartoons)
and premium offers (eg, competitions). RESULTS: The 45 brands selected made 762
Facebook posts during October-November 2016. About 28% of posts were videos and
2/3 (63%) contained at least one occasional (ie, unhealthy) food. Promotional
strategies were used in 41% of posts, with a famous sportsperson/team being the
most frequently used. Premium offers were used in 34% of posts, with competitions
being the most frequently used. It was estimated some posts could potentially
reach 10% of New Zealand adolescents. The 15 food brands selected posted about
300 videos on their YouTube channels during 2015-2016. About 84% of videos
contained food marketing and 77% of products marketed were occasional.
Promotional strategies and premium offers were used in 61% and 24% of videos
respectively, and the most common marketing techniques were the same as on
Facebook. CONCLUSION: Social media is an important medium for food marketers in
New Zealand and promotional strategies and premium offers are frequently used.
Methodology needs to be developed to monitor actual exposure to such
advertisements.
PMID- 29649194
TI - Regulatory chills: tobacco industry legal threats and the politics of tobacco
standardised packaging in New Zealand.
AB - AIMS: To describe the process of enacting tobacco standardised packaging (SP)
amidst tobacco industry legal threats in New Zealand. METHODS: Relevant
government and NGO documents, and media items were reviewed. Policymakers and
health advocates in New Zealand were interviewed. The data were triangulated and
thematically analysed. RESULTS: In 2011, the New Zealand Government announced the
goal of becoming a smokefree country (reducing smoking prevalence to 5%) by the
year 2025, and considered adopting SP. In April 2012, the Government announced it
would introduce SP, but tobacco companies threatened the Government with
litigation in international courts for violating investment and intellectual
property rights. In response, the Government adopted a 'wait and see' approach,
waiting until two legal challenges against Australia's SP law were resolved
before it enacted its legislation in September 2016. Health advocates, limited
due to funding constraints, attempted to alter the Government's approach to the
legal threats without success. Interviews with policymakers and health advocates
confirmed these threats helped produce a regulatory chill, delaying the
policymaking process by three years. CONCLUSION: The New Zealand case illustrates
how the threat of a potential international lawsuit can create a chilling effect
by helping delay the implementation of public health policies.
PMID- 29649195
TI - Pertussis vaccination uptake in pregnancy: lessons to be learned from an
integrated healthcare approach.
AB - AIM: To determine the proportion of pregnant women vaccinated with the pertussis
booster in the third trimester of their pregnancy, and explore factors
influencing coverage. METHODS: A clinical audit was undertaken at Rotorua
hospital using electronic databases to determine pertussis immunisation among
women who birthed from 25 March to 25 April, 2017 (n=111). Lead maternity carers
(LMCs) were surveyed to assess knowledge of the vaccine and explore suggestions
to increase vaccination coverage. RESULTS: Only 44% (n=49) of women were
vaccinated in 2017. Women 25 years and under, and women from Rotorua were less
likely to be vaccinated. A woman not being recalled to the GP for vaccination was
the biggest reason for not being vaccinated (n=27). Every woman in Taupo/Turangi
was recalled in pregnancy, leading to greater vaccine uptake compared to women in
Rotorua. CONCLUSION: Overall, the proportion of pregnant women vaccinated for
pertussis continue to be low with coverage being disproportionally lower for
younger women. The integrated healthcare approach in Taupo/Turangi has resulted
in improved vaccine uptake. Interventions that allow general practitioners, LMCs
and primary health organisations to work together can improve vaccination rates
of pregnant mothers in New Zealand.
PMID- 29649196
TI - Diagnosis of abdominal tuberculosis in Christchurch New Zealand: a case series.
AB - AIM: Abdominal tuberculosis presents with non-specific symptoms, including
generalised abdominal pain. Prompt and accurate diagnosis is critical to
improving outcomes and avoiding complications. We conducted a retrospective
review of cases of abdominal tuberculosis presenting to Christchurch Hospital to
explore the epidemiology, clinical features and diagnostic modalities used.
METHOD: Cases were identified by searching for relevant ICD discharge codes from
January 1996 to January 2016. Data on age, clinical presentation, investigations
and microbiological results were obtained. RESULTS: There were 20 patients
diagnosed with abdominal tuberculosis over the study period. The median age was
34. Thirteen patients were male (65%), seven female (35%). The majority (11) were
from Asia (predominantly India), five were African, and three were New Zealand
Europeans. Abdominal pain was the most common presenting symptom (70%) followed
by fevers (50%) and night sweats (50%). The C-reactive protein was elevated in 15
patients (75%), anaemia was found in 11 (55%) and nine had abnormal liver
function tests (45%). Abdominal ultrasound (US) and computed tomography (CT)
showed generic inflammatory change in all patients in this series (100%).
Laparoscopy was undertaken in 10 (50%) patients, all of which had positive
laparoscopic biopsies. Ascitic fluid was obtained in nine, with stains for acid
fast bacilli uniformly negative, however three (33%) had mycobacterial growth
from culture. Six colonoscopies were performed: in three (50%) culture and/or
histology was positive. Three lymph node biopsies and two formal laparotomies
were the remaining diagnostic techniques employed with two biopsies and one
laparotomy yielding positive results. Overall, of the 20 cases, 15 (75%) were
able to be definitively confirmed, with the remaining five treated presumptively
for probable abdominal tuberculosis. CONCLUSION: Abdominal tuberculosis is an
uncommon presentation at our institution, with an average of one case each year.
The typical patient was a young immigrant from Asia or Africa. Diagnostic
laparoscopy was the most common and uniformly reliable means of obtaining a
definitive diagnosis.
PMID- 29649197
TI - Metronidazole stewardship initiative at Christchurch hospitals-achievable with
immediate benefits.
AB - AIMS: To evaluate an antimicrobial stewardship (AMS) initiative to change
hospital prescribing practice for metronidazole. METHODS: In October 2015, the
Canterbury District Health Board (CDHB) AMS committee changed advice for
metronidazole to promote two times daily dosing for most indications,
prioritisation of the oral route and avoidance of double anaerobic cover.
Adoption of the initiative was facilitated via change in prescribing guidelines,
education and ongoing pharmacy support. Usage and expenditure on metronidazole
for adult inpatients were compared for the five years pre- and two years post
change. Other district health boards (DHBs) were surveyed to determine their
dosing recommendation for metronidazole IV. RESULTS: Mean annual metronidazole IV
use, as defined daily doses per 1,000 occupied bed days, decreased by 43% post
initiative. Use of non-IV (oral or rectal) formulations increased by 104%. Total
savings associated with the initiative were approximately $33,400 in drug costs
plus $78,200 per annum in IV giving sets and post-dose flushes. Twelve of 20
(60%) DHBs (including CDHB) endorse twice daily IV dosing. CONCLUSIONS: In
addition to financial savings, reduction in IV doses has potential benefits,
including avoidance of IV catheter-associated complications such as bloodstream
infections. Approaches to metronidazole dosing vary across DHBs and could benefit
from national coordination.
PMID- 29649198
TI - Poisoning due to tutin in honey-a report of an outbreak in New Zealand.
AB - AIM: In autumn 2008, an outbreak of toxic honey poisoning was identified. The
outbreak was not recognised initially until three cases from one family group
presented to hospital, with a common factor of recent consumption of locally
produced honey. The aim of this study was to investigate potential cases of this
honey poisoning and determine which toxin was involved. METHOD: The incident was
investigated retrospectively by Waikato District Health Board's Population Health
unit and the New Zealand Food Safety Authority (NZFSA). Identified patients were
followed up by questionnaire to gather case information. HortResearch (now Plant
and Food Research) tested honey samples for toxins. RESULTS: The causative agent
was identified as tutin, which comes from the New Zealand native plant tutu
(Coriaria arborea) which has long been known as a potential source of
contamination of honey produced in the warmer parts of New Zealand. Retrospective
case investigation identified a total of 22 possible or probable cases, based on
a clinical case definition. The spectrum of toxic effects reported were broadly
similar to those previously described for tutin, derived either directly from the
plant itself or indirectly from honey. There were 13 samples of honey, linked to
symptomatic individuals, which were available for testing. Of these, 10 were
positive for tutin and its hydroxy metabolite hyenanchin (hydroxytutin) and one
was positive for hyenanchin alone. CONCLUSION: Toxic honey production is a
significant risk in parts of New Zealand. Beekeepers and health professionals
need to be informed of this risk and know how best to manage it. Due to this
poisoning incident, public and professional awareness of honey poisoning has been
substantially enhanced. This incident led to development of new food safety
standards for New Zealand honey.
PMID- 29649199
TI - The Otago Medical School Anatomy Museum Collection: Taonga for learning in the
21st Century.
PMID- 29649200
TI - Hypercalcemia in a bodybuilder with cosmetic silicone injections.
AB - Granulomatous hypercalcemia due to silicone injections is a rare disease with
scarce literature. We present a case of a 35-year-old Caucasian male bodybuilder
with multiple silicone injections in his upper extremities who developed
hypercalcemia and urinary symptoms. He necessitated two sessions of dialysis. A
biopsy of the upper arm showed granulomatous tissue. Corticosteroids were
administered to relieve symptoms and reverse laboratory abnormalities. Silicone
induced hypercalcemia should be on high alert because of the increasing trend of
body contour enhancements with injections, implants and fillers.
PMID- 29649201
TI - Purulent facial lesion.
PMID- 29649202
TI - If only Teina Pora had a MedicAlert bracelet.
PMID- 29649203
TI - Permanent pacemaker implantation after cardiac surgery: rates, predictors and a
novel risk score.
PMID- 29649204
TI - Gnome medicine: what does genomic medicine mean to our patients and us?
PMID- 29649205
TI - The paradoxical extinction of the most charismatic animals.
AB - A widespread opinion is that conservation efforts disproportionately benefit
charismatic species. However, this doesn't mean that they are not threatened, and
which species are "charismatic" remains unclear. Here, we identify the 10 most
charismatic animals and show that they are at high risk of imminent extinction in
the wild. We also find that the public ignores these animals' predicament and we
suggest it could be due to the observed biased perception of their abundance,
based more on their profusion in our culture than on their natural populations.
We hypothesize that this biased perception impairs conservation efforts because
people are unaware that the animals they cherish face imminent extinction and do
not perceive their urgent need for conservation. By freely using the image of
rare and threatened species in their product marketing, many companies may
participate in creating this biased perception, with unintended detrimental
effects on conservation efforts, which should be compensated by channeling part
of the associated profits to conservation. According to our hypothesis, this
biased perception would be likely to last as long as the massive cultural and
commercial presence of charismatic species is not accompanied by adequate
information campaigns about the imminent threats they face.
PMID- 29649206
TI - Eleven quick tips for finding research data.
PMID- 29649207
TI - Physics-based simulations of aerial attacks by peregrine falcons reveal that
stooping at high speed maximizes catch success against agile prey.
AB - The peregrine falcon Falco peregrinus is renowned for attacking its prey from
high altitude in a fast controlled dive called a stoop. Many other raptors employ
a similar mode of attack, but the functional benefits of stooping remain obscure.
Here we investigate whether, when, and why stooping promotes catch success, using
a three-dimensional, agent-based modeling approach to simulate attacks of falcons
on aerial prey. We simulate avian flapping and gliding flight using an analytical
quasi-steady model of the aerodynamic forces and moments, parametrized by
empirical measurements of flight morphology. The model-birds' flight control
inputs are commanded by their guidance system, comprising a phenomenological
model of its vision, guidance, and control. To intercept its prey, model-falcons
use the same guidance law as missiles (pure proportional navigation); this
assumption is corroborated by empirical data on peregrine falcons hunting lures.
We parametrically vary the falcon's starting position relative to its prey,
together with the feedback gain of its guidance loop, under differing assumptions
regarding its errors and delay in vision and control, and for three different
patterns of prey motion. We find that, when the prey maneuvers erratically, high
altitude stoops increase catch success compared to low-altitude attacks, but only
if the falcon's guidance law is appropriately tuned, and only given a high degree
of precision in vision and control. Remarkably, the optimal tuning of the
guidance law in our simulations coincides closely with what has been observed
empirically in peregrines. High-altitude stoops are shown to be beneficial
because their high airspeed enables production of higher aerodynamic forces for
maneuvering, and facilitates higher roll agility as the wings are tucked, each of
which is essential to catching maneuvering prey at realistic response delays.
PMID- 29649208
TI - Assessing the durability and efficiency of landscape-based strategies to deploy
plant resistance to pathogens.
AB - Genetically-controlled plant resistance can reduce the damage caused by
pathogens. However, pathogens have the ability to evolve and overcome such
resistance. This often occurs quickly after resistance is deployed, resulting in
significant crop losses and a continuing need to develop new resistant cultivars.
To tackle this issue, several strategies have been proposed to constrain the
evolution of pathogen populations and thus increase genetic resistance
durability. These strategies mainly rely on varying different combinations of
resistance sources across time (crop rotations) and space. The spatial scale of
deployment can vary from multiple resistance sources occurring in a single
cultivar (pyramiding), in different cultivars within the same field (cultivar
mixtures) or in different fields (mosaics). However, experimental comparison of
the efficiency (i.e. ability to reduce disease impact) and durability (i.e.
ability to limit pathogen evolution and delay resistance breakdown) of landscape
scale deployment strategies presents major logistical challenges. Therefore, we
developed a spatially explicit stochastic model able to assess the
epidemiological and evolutionary outcomes of the four major deployment options
described above, including both qualitative resistance (i.e. major genes) and
quantitative resistance traits against several components of pathogen
aggressiveness: infection rate, latent period duration, propagule production
rate, and infectious period duration. This model, implemented in the R package
landsepi, provides a new and useful tool to assess the performance of a wide
range of deployment options, and helps investigate the effect of landscape,
epidemiological and evolutionary parameters. This article describes the model and
its parameterisation for rust diseases of cereal crops, caused by fungi of the
genus Puccinia. To illustrate the model, we use it to assess the epidemiological
and evolutionary potential of the combination of a major gene and different
traits of quantitative resistance. The comparison of the four major deployment
strategies described above will be the objective of future studies.
PMID- 29649210
TI - Ten simple rules for responsible referencing.
PMID- 29649209
TI - Gene duplicates cause hybrid lethality between sympatric species of Mimulus.
AB - Hybrid incompatibilities play a critical role in the evolution and maintenance of
species. We have discovered a simple genetic incompatibility that causes
lethality in hybrids between two closely related species of yellow monkeyflower
(Mimulus guttatus and M. nasutus). This hybrid incompatibility, which causes one
sixteenth of F2 hybrid seedlings to lack chlorophyll and die shortly after
germination, occurs between sympatric populations that are connected by ongoing
interspecific gene flow. Using complimentary genetic mapping and gene expression
analyses, we show that lethality occurs in hybrids that lack a functional copy of
the critical photosynthetic gene pTAC14. In M. guttatus, this gene was
duplicated, but the ancestral copy is no longer expressed. In M. nasutus, the
duplication is missing altogether. As a result, hybrids die when they are
homozygous for the nonfunctional M. guttatus copy and missing the duplicate from
M. nasutus, apparently due to misregulated transcription of key photosynthetic
genes. Our study indicates that neutral evolutionary processes may play an
important role in the evolution of hybrid incompatibilities and opens the door to
direct investigations of their contribution to reproductive isolation among
naturally hybridizing species.
PMID- 29649211
TI - Stable centrosomal roots disentangle to allow interphase centriole independence.
AB - The centrosome is a non-membrane-bound cellular compartment consisting of 2
centrioles surrounded by a protein coat termed the pericentriolar material (PCM).
Centrioles generally remain physically associated together (a phenomenon called
centrosome cohesion), yet how this occurs in the absence of a bounding lipid
membrane is unclear. One model posits that pericentriolar fibres formed from
rootletin protein directly link centrioles, yet little is known about the
structure, biophysical properties, or assembly kinetics of such fibres. Here, I
combine live-cell imaging of endogenously tagged rootletin with cell fusion and
find previously unrecognised plasticity in centrosome cohesion. Rootletin forms
large, diffusionally stable bifurcating fibres, which amass slowly on mature
centrioles over many hours from anaphase. Nascent centrioles (procentrioles), in
contrast, do not form roots and must be licensed to do so through polo-like
kinase 1 (PLK1) activity. Transient separation of roots accompanies centriolar
repositioning during the interphase, suggesting that centrioles organize as
independent units, each containing discrete roots. Indeed, forced induction of
duplicate centriole pairs allows independent reshuffling of individual centrioles
between the pairs. Therefore collectively, these findings suggest that
progressively nucleated polymers mediate the dynamic association of centrioles as
either 1 or 2 interphase centrosomes, with implications for the understanding of
how non-membrane-bound organelles self-organise.
PMID- 29649212
TI - Principles that govern competition or co-existence in Rho-GTPase driven
polarization.
AB - Rho-GTPases are master regulators of polarity establishment and cell morphology.
Positive feedback enables concentration of Rho-GTPases into clusters at the cell
cortex, from where they regulate the cytoskeleton. Different cell types
reproducibly generate either one (e.g. the front of a migrating cell) or several
clusters (e.g. the multiple dendrites of a neuron), but the mechanistic basis for
unipolar or multipolar outcomes is unclear. The design principles of Rho-GTPase
circuits are captured by two-component reaction-diffusion models based on
conserved aspects of Rho-GTPase biochemistry. Some such models display rapid
winner-takes-all competition between clusters, yielding a unipolar outcome. Other
models allow prolonged co-existence of clusters. We investigate the behavior of a
simple class of models and show that while the timescale of competition varies
enormously depending on model parameters, a single factor explains a large
majority of this variation. The dominant factor concerns the degree to which the
maximal active GTPase concentration in a cluster approaches a "saturation point"
determined by model parameters. We suggest that both saturation and the effect of
saturation on competition reflect fundamental properties of the Rho-GTPase
polarity machinery, regardless of the specific feedback mechanism, which predict
whether the system will generate unipolar or multipolar outcomes.
PMID- 29649214
TI - When genes move, genomes collide.
PMID- 29649213
TI - New insights into the transposition mechanisms of IS6110 and its dynamic
distribution between Mycobacterium tuberculosis Complex lineages.
AB - The insertion Sequence IS6110, only present in the pathogens of the Mycobacterium
tuberculosis Complex (MTBC), has been the gold-standard epidemiological marker
for TB for more than 25 years, but biological implications of IS6110
transposition during MTBC adaptation to humans remain elusive. By studying 2,236
clinical isolates typed by IS6110-RFLP and covering the MTBC, we remarked a
lineage-specific content of IS6110 being higher in modern globally distributed
strains. Once observed the IS6110 distribution in the MTBC, we selected
representative isolates and found a correlation between the normalized expression
of IS6110 and its abundance in MTBC chromosomes. We also studied the molecular
regulation of IS6110 transposition and we found a synergistic action of two post
transcriptional mechanisms: a -1 ribosomal frameshift and a RNA pseudoknot which
interferes translation. The construction of a transcriptionally active
transposase resulted in 20-fold increase of the transposition frequency. Finally,
we examined transposition in M. bovis and M. tuberculosis during laboratory
starvation and in a mouse infection model of TB. Our results shown a higher
transposition in M. tuberculosis, that preferably happens during TB infection in
mice and after one year of laboratory culture, suggesting that IS6110
transposition is dynamically adapted to the host and to adverse growth
conditions.
PMID- 29649215
TI - Mobile phone specific electromagnetic fields induce transient DNA damage and
nucleotide excision repair in serum-deprived human glioblastoma cells.
AB - Some epidemiological studies indicate that the use of mobile phones causes cancer
in humans (in particular glioblastomas). It is known that DNA damage plays a key
role in malignant transformation; therefore, we investigated the impact of the
UMTS signal which is widely used in mobile telecommunications, on DNA stability
in ten different human cell lines (six brain derived cell lines, lymphocytes,
fibroblasts, liver and buccal tissue derived cells) under conditions relevant for
users (SAR 0.25 to 1.00 W/kg). We found no evidence for induction of damage in
single cell gel electrophoresis assays when the cells were cultivated with serum.
However, clear positive effects were seen in a p53 proficient glioblastoma line
(U87) when the cells were grown under serum free conditions, while no effects
were found in p53 deficient glioblastoma cells (U251). Further experiments showed
that the damage disappears rapidly in U87 and that exposure induced nucleotide
excision repair (NER) and does not cause double strand breaks (DSBs). The
observation of NER induction is supported by results of a proteome analysis
indicating that several proteins involved in NER are up-regulated after exposure
to UMTS; additionally, we found limited evidence for the activation of the gamma
interferon pathway. The present findings show that the signal causes transient
genetic instability in glioma derived cells and activates cellular defense
systems.
PMID- 29649216
TI - Serum microRNA profiles in athyroid patients on and off levothyroxine therapy.
AB - BACKGROUND: Levothyroxine replacement treatment in hypothyroidism is unable to
restore physiological thyroxine and triiodothyronine concentrations in serum and
tissues completely. Normal serum thyroid stimulating hormone (TSH) concentrations
reflect only pituitary euthyroidism and, therefore, novel biomarkers representing
tissue-specific thyroid state are needed. MicroRNAs (miRNAs), small non-coding
regulatory RNAs, exhibit tissue-specific expression patterns and can be
detectable in serum. Previous studies have demonstrated differential expression
of (precursors of) miRNAs in tissues under the influence of thyroid hormone.
OBJECTIVE: To study if serum miRNA profiles are changed in different thyroid
states. DESIGN AND METHODS: We studied 13 athyroid patients (6 males) during TSH
suppressive therapy and after 4 weeks of thyroid hormone withdrawal. A magnetic
bead capture system was used to isolate 384 defined miRNAs from serum.
Subsequently, the TaqMan Array Card 3.0 platform was used for profiling after
individual target amplification. RESULTS: Mean age of the subjects was 44.0 years
(range 20-61 years). Median TSH levels were 88.9 mU/l during levothyroxine
withdrawal and 0.006 mU/l during LT4 treatment with a median dosage of 2.1
MUg/kg. After normalization to allow inter-sample analysis, a paired analysis did
not demonstrate a significant difference in expression of any of the 384 miRNAs
analyzed on and off LT4 treatment. CONCLUSION: Although we previously showed an
up-regulation of pri-miRNAs 133b and 206 in hypothyroid state in skeletal muscle,
the present study does not supply evidence that thyroid state also affects serum
miRNAs in humans.
PMID- 29649219
TI - Stone heterogeneity index on single-energy noncontrast computed tomography can be
a positive predictor of urinary stone composition.
AB - The aim of this study was to investigate the correlation between stone
composition and single-energy noncontrast computed tomography (NCCT) parameters,
including stone heterogeneity index (SHI) and mean stone density (MSD), in
patients with urinary calculi. We retrospectively reviewed medical records of 255
patients who underwent operations or procedures for urinary stones or had
spontaneous stone passage between December 2014 and October 2015. Among these,
214 patients with urinary calculi who underwent NCCT and stone composition
analyses were included in the study. Maximal stone length (MSL), mean stone
density (MSD), and stone heterogeneity index (SHI) were determined on
pretreatment NCCT. The mean MSD (454.68+/-177.80 HU) and SHI (115.82+/-96.31 HU)
of uric acid stones were lower than those of all other types. Based on post hoc
tests, MSD was lower for uric acid stones than for the other types (vs. CaOx:
P<0.001; vs. infection stones: P<0.001). SHI was lower for uric acid stones than
for the other types (vs. CaOx: P<0.001; vs. infection stones: P<0.001) Receiver
operating characteristic curves of uric acid stones for MSD and SHI demonstrated
that SHI (cut-off value: 140.4 HU) was superior to MSD (cut-off value: 572.3 HU)
in predicting uric acid stones (P<0.001).
PMID- 29649217
TI - The UBR-1 ubiquitin ligase regulates glutamate metabolism to generate coordinated
motor pattern in Caenorhabditis elegans.
AB - UBR1 is an E3 ubiquitin ligase best known for its ability to target protein
degradation by the N-end rule. The physiological functions of UBR family
proteins, however, remain not fully understood. We found that the functional loss
of C. elegans UBR-1 leads to a specific motor deficit: when adult animals
generate reversal movements, A-class motor neurons exhibit synchronized
activation, preventing body bending. This motor deficit is rescued by removing
GOT-1, a transaminase that converts aspartate to glutamate. Both UBR-1 and GOT-1
are expressed and critically required in premotor interneurons of the reversal
motor circuit to regulate the motor pattern. ubr-1 and got-1 mutants exhibit
elevated and decreased glutamate level, respectively. These results raise an
intriguing possibility that UBR proteins regulate glutamate metabolism, which is
critical for neuronal development and signaling.
PMID- 29649220
TI - Statistical reporting inconsistencies in experimental philosophy.
AB - Experimental philosophy (x-phi) is a young field of research in the intersection
of philosophy and psychology. It aims to make progress on philosophical questions
by using experimental methods traditionally associated with the psychological and
behavioral sciences, such as null hypothesis significance testing (NHST).
Motivated by recent discussions about a methodological crisis in the behavioral
sciences, questions have been raised about the methodological standards of x-phi.
Here, we focus on one aspect of this question, namely the rate of inconsistencies
in statistical reporting. Previous research has examined the extent to which
published articles in psychology and other behavioral sciences present
statistical inconsistencies in reporting the results of NHST. In this study, we
used the R package statcheck to detect statistical inconsistencies in x-phi, and
compared rates of inconsistencies in psychology and philosophy. We found that
rates of inconsistencies in x-phi are lower than in the psychological and
behavioral sciences. From the point of view of statistical reporting consistency,
x-phi seems to do no worse, and perhaps even better, than psychological science.
PMID- 29649218
TI - Global characterization of copy number variants in epilepsy patients from whole
genome sequencing.
AB - Epilepsy will affect nearly 3% of people at some point during their lifetime.
Previous copy number variants (CNVs) studies of epilepsy have used array-based
technology and were restricted to the detection of large or exonic events. In
contrast, whole-genome sequencing (WGS) has the potential to more comprehensively
profile CNVs but existing analytic methods suffer from limited accuracy. We show
that this is in part due to the non-uniformity of read coverage, even after intra
sample normalization. To improve on this, we developed PopSV, an algorithm that
uses multiple samples to control for technical variation and enables the robust
detection of CNVs. Using WGS and PopSV, we performed a comprehensive
characterization of CNVs in 198 individuals affected with epilepsy and 301
controls. For both large and small variants, we found an enrichment of rare
exonic events in epilepsy patients, especially in genes with predicted loss-of
function intolerance. Notably, this genome-wide survey also revealed an
enrichment of rare non-coding CNVs near previously known epilepsy genes. This
enrichment was strongest for non-coding CNVs located within 100 Kbp of an
epilepsy gene and in regions associated with changes in the gene expression, such
as expression QTLs or DNase I hypersensitive sites. Finally, we report on 21
potentially damaging events that could be associated with known or new candidate
epilepsy genes. Our results suggest that comprehensive sequence-based profiling
of CNVs could help explain a larger fraction of epilepsy cases.
PMID- 29649222
TI - Detecting hierarchical levels of connectivity in a population of Acacia tortilis
at the northern edge of the species' global distribution: Combining classical
population genetics and network analyses.
AB - Genetic diversity and structure of populations at the edge of the species'
spatial distribution are important for potential adaptation to environmental
changes and consequently, for the long-term survival of the species. Here, we
combined classical population genetic methods with newly developed network
analyses to gain complementary insights into the genetic structure and diversity
of Acacia tortilis, a keystone desert tree, at the northern edge of its global
distribution, where the population is under threat from climatic, ecological, and
anthropogenic changes. We sampled A. tortilis from 14 sites along the Dead Sea
region and the Arava Valley in Israel and in Jordan. In addition, we obtained
samples from Egypt and Sudan, the hypothesized origin of the species. Samples
from all sites were genotyped using six polymorphic microsatellite loci.Our
results indicate a significant genetic structure in A. tortilis along the Arava
Valley. This was detected at different hierarchical levels-from the basic unit of
the subpopulation, corresponding to groups of trees within ephemeral rivers
(wadis), to groups of subpopulations (communities) that are genetically more
connected relative to others. The latter structure mostly corresponds to the
partition of the major drainage basins in the area. Network analyses, combined
with classical methods, allowed for the identification of key A. tortilis
subpopulations in this region, characterized by their relatively high level of
genetic diversity and centrality in maintaining gene flow in the population.
Characterizing such key subpopulations may enable conservation managers to focus
their efforts on certain subpopulations that might be particularly important for
the population's long-term persistence, thus contributing to species conservation
within its peripheral range.
PMID- 29649221
TI - Long-acting insulin analogues for type 1 diabetes: An overview of systematic
reviews and meta-analysis of randomized controlled trials.
AB - BACKGROUND: The comparison between long acting insulin analogues (LAIA) and human
insulin (NPH) has been investigated for decades, with many randomized controlled
trials (RCTs) and systematic reviews giving mixed results. This overlapping and
contradictory evidence has increased uncertainty on coverage decisions at health
systems level. AIM: To conduct an overview of systematic reviews and update
existing reviews, preparing new meta-analysis to determine whether LAIA are
effective for T1D patients compared to NPH. METHODS: We identified systematic
reviews of RCTs that evaluated the efficacy of LAIA glargine or detemir, compared
to NPH insulin for T1D, assessing glycated hemoglobin (A1C) and hypoglycemia.
Data sources included Pubmed, Cochrane Library, EMBASE and hand-searching. The
methodological quality of studies was independently assessed by two reviewers,
using AMSTAR and Jadad scale. We found 11 eligible systematic reviews that
contained a total of 25 relevant clinical trials. Two reviewers independently
abstracted data. RESULTS: We found evidence that LAIA are efficacious compared to
NPH, with estimates showing a reduction in nocturnal hypoglycemia episodes (RR
0.66; 95% CI 0.57; 0.76) and A1C (95% CI 0.23; 0.12). No significance was found
related to severe hypoglycemia (RR 0.94; 95% CI 0.71; 1.24). CONCLUSION: This
study design has allowed us to carry out the most comprehensive assessment of
RCTs on this subject, filling a gap in diabetes research. Our paper addresses a
question that is important not only for decision makers but also for clinicians.
PMID- 29649223
TI - Niosomes, an alternative for liposomal delivery.
AB - Niosomes are used in studies for drug delivery or gene transfer. However, their
physical properties and features relative to liposomes are not well documented.
To characterize and more rationally optimize niosome formulations, the properties
of these vesicle systems are compared to those of liposomes composed of
phosphatidylcholine and phosphatidylethanolamine lipids plus cholesterol.
Niosomes are highly stable and only slightly more leaky than liposomes as assayed
by calcein leakage; the permeability for ions (KCl) is higher than that of
liposomes. Contrary to liposomes, the size of niosomes decreases substantially
upon freezing in liquid nitrogen and subsequent thawing, as shown by cryo-EM and
dynamic light scattering. The packing of niosomal membranes was determined by
laurdan fluorescence and is slightly lower than that of liposomes. We did not
succeed in the functional reconstitution of the L-arginine/L-ornithine antiporter
ArcD2 in niosomes, which we attribute to the non-ionic nature of the surfactants.
The antimicrobial peptides alamethicin and melittin act similarly on niosomes and
liposomes composed of unsaturated components, whereas both niosomes and liposomes
are unaffected when saturated amphiphiles are used. In conclusion, in terms of
stability and permeability for drug-size molecules niosomes are comparable to
liposomes and they may offer an excellent, inexpensive alternative for delivery
purposes.
PMID- 29649224
TI - Seasonal asthma in Melbourne, Australia, and some observations on the occurrence
of thunderstorm asthma and its predictability.
AB - We examine the seasonality of asthma-related hospital admissions in Melbourne,
Australia, in particular the contribution and predictability of episodic
thunderstorm asthma. Using a time-series ecological approach based on asthma
admissions to Melbourne metropolitan hospitals, we identified seasonal peaks in
asthma admissions that were centred in late February, June and mid-November.
These peaks were most likely due to the return to school, winter viral infections
and seasonal allergies, respectively. We performed non-linear statistical
regression to predict daily admission rates as functions of the seasonal cycle,
weather conditions, reported thunderstorms, pollen counts and air quality.
Important predictor variables were the seasonal cycle and mean relative humidity
in the preceding two weeks, with higher humidity associated with higher asthma
admissions. Although various attempts were made to model asthma admissions, none
of the models explained substantially more variation above that associated with
the annual cycle. We also identified a list of high asthma admissions days
(HAADs). Most HAADs fell in the late-February return-to-school peak and the
November allergy peak, with the latter containing the greatest number of daily
admissions. Many HAADs in the spring allergy peak may represent episodes of
thunderstorm asthma, as they were associated with rainfall, thunderstorms, high
ambient grass pollen levels and high humidity, a finding that suggests
thunderstorm asthma is a recurrent phenomenon in Melbourne that occurs roughly
once per five years. The rarity of thunderstorm asthma events makes prediction
challenging, underscoring the importance of maintaining high standards of asthma
management, both for patients and health professionals, especially during late
spring and early summer.
PMID- 29649225
TI - Insulin receptor knockdown blocks filarial parasite development and alters egg
production in the southern house mosquito, Culex quinquefasciatus.
AB - Lymphatic filariasis, commonly known as elephantiasis, is a painful and
profoundly disfiguring disease. Wuchreria bancrofti (Wb) is responsible for >90%
of infections and the remainder are caused by Brugia spp. Mosquitoes of the
genera Culex (in urban and semi-urban areas), Anopheles (in rural areas of Africa
and elsewhere), and Aedes (in Pacific islands) are the major vectors of W.
bancrofti. A preventive chemotherapy called mass drug administration (MDA),
including albendazole with ivermectin or diethylcarbamazine citrate (DEC) is used
in endemic areas. Vector control strategies such as residual insecticide spraying
and long-lasting insecticidal nets are supplemental to the core strategy of MDA
to enhance elimination efforts. However, increasing insecticide resistance in
mosquitoes and drug resistance in parasite limit the effectiveness of existing
interventions, and new measures are needed for mosquito population control and
disruption of mosquito-parasite interactions to reduce transmission. Mosquito
insulin signaling regulates nutrient metabolism and has been implicated in
reduced prevalence and intensity of malaria parasite, Plasmodium falciparum,
infection in mosquitoes. Currently no data are available to assess how insulin
signaling in mosquitoes affects the development of multi-cellular parasites, such
as filarial nematodes. Here, we show that insulin receptor knockdown in blood fed
C. quinquefasciatus, the major vector of Wb in India, completely blocks the
development of filarial nematode parasite to the infective L3 stage, and results
in decreased ecdysteroid production and trypsin activity leading to fewer
mosquito eggs. These data indicate that a functional mosquito insulin receptor
(IR) is necessary for filarial parasite development and mosquito reproduction.
Therefore, insulin signaling may represent a new target for the development of
vector control or parasite blocking strategies.
PMID- 29649226
TI - Assessing the efficacy of fathead minnows (Pimephales promelas) for mosquito
control.
AB - Mosquitoes function as important vectors for many diseases globally and can have
substantial negative economic, environmental, and health impacts. Specifically,
West Nile virus (WNv) is a significant and increasing threat to wildlife
populations and human health throughout North America. Mosquito control is an
important means of controlling the spread of WNv, as the virus is primarily
spread between avian and mosquito vectors. This is of particular concern for
avian host species such as the Greater sage-grouse (Centrocercus urophasianus),
in which WNv negatively impacts fitness parameters. Most mosquito control methods
focus on the larval stages. In North America, control efforts are largely limited
to larvicides, which require repeated application and have potentially negative
ecological impacts. There are multiple potential advantages to using indigenous
fish species as an alternative for larval control including lowered environmental
impact, decreased costs in terms of time and financial inputs, and the potential
for the establishment of self-sustaining fish populations. We tested the efficacy
of using fathead minnows (Pimephales promelas) as biological control for mosquito
populations in livestock reservoirs of semiarid rangelands. We introduced minnows
into 10 treatment reservoirs and monitored an additional 6 non-treated reservoirs
as controls over 3 years. Adult mosquitoes of species known to transmit WNv
(e.g., Culex tarsalis) were captured at each site and mosquito larvae were also
present at all sites. Stable isotope analysis confirmed that introduced fathead
minnows were feeding at the mosquito larvae trophic level in all but one
treatment pond. Treatment ponds demonstrated suppressed levels of mosquito larva
over each season compared to controls with a model-predicted 114% decrease in
larva density within treatment ponds. Minnows established self-sustaining
populations throughout the study in all reservoirs that maintained sufficient
water levels. Minnow survival was not influenced by water quality. Though minnows
did not completely eradicate mosquito larvae, minnows are a promising alternative
to controlling mosquito larvae density within reservoirs. We caution that careful
site selection is critical to avoid potential negative impacts, but suggest the
introduction of fathead minnows in reservoirs can dramatically reduce mosquito
larva abundance and potentially help mitigate vector-borne disease transmission.
PMID- 29649227
TI - From kitchen to classroom: Assessing the impact of cleaner burning biomass
fuelled cookstoves on primary school attendance in Karonga district, northern
Malawi.
AB - Household air pollution from burning solid fuels is responsible for an estimated
2.9 million premature deaths worldwide each year and 4.5% of global disability
adjusted life years, while cooking and fuel collection pose a considerable time
burden, particularly for women and children. Cleaner burning biomass-fuelled
cookstoves have the potential to lower exposure to household air pollution as
well as reduce fuelwood demand by increasing the combustion efficiency of cooking
fires, which may in turn yield ancillary benefits in other domains. The present
paper capitalises on opportunities offered by the Cooking and Pneumonia Study
(CAPS), the largest randomised trial of biomass-fuelled cookstoves on health
outcomes conducted to date, the design of which allows for the evaluation of
additional outcomes at scale. This mixed methods study assesses the impact of
cookstoves on primary school absenteeism in Karonga district, northern Malawi, in
particular by conferring health and time and resource gains on young people aged
5-18. The analysis combines quantitative data from 6168 primary school students
with in-depth interviews and focus group discussions carried out among 48
students in the same catchment area in 2016. Negative binomial regression models
find no evidence that the cookstoves affected primary school absenteeism overall
[IRR 0.92 (0.71-1.18), p = 0.51]. Qualitative analysis suggests that the
cookstoves did not sufficiently improve household health to influence school
attendance, while the time and resource burdens associated with cooking
activities-although reduced in intervention households-were considered to be
compatible with school attendance in both trial arms. More research is needed to
assess whether the cookstoves influenced educational outcomes not captured by the
attendance measure available, such as timely arrival to school or hours spent on
homework.
PMID- 29649228
TI - An intragenic approach to confer glyphosate resistance in chile (Capsicum annuum)
by introducing an in vitro mutagenized chile EPSPS gene encoding for a glyphosate
resistant EPSPS protein.
AB - Chile pepper (Capsicum annuum) is an important high valued crop worldwide, and
when grown on a large scale has problems with weeds. One important herbicide used
is glyphosate. Glyphosate inactivates the enzyme 5-enolpyruvylshikimate-3
phosphate synthase (EPSPS), a key enzyme in the synthesis of aromatic amino
acids. A transgenic approach towards making glyphosate resistant plants, entails
introducing copies of a gene encoding for glyphosate-resistant EPSPS enzyme into
the plant. The main objective of our work was to use an intragenic approach to
confer resistance to glyphosate in chile which would require using only chile
genes for transformation including the selectable marker. Tobacco was used as the
transgenic system to identify different gene constructs that would allow for the
development of the intragenic system for chile, since chile transformation is
inefficient. An EPSPS gene was isolated from chile and mutagenized to introduce
substitutions that are known to make the encoded enzyme resistant to glyphosate.
The promoter for EPSPS gene was isolated from chile and the mutagenized chile
EPSPS cDNA was engineered behind both the CaMV35S promoter and the EPSPS
promoter. The leaves from the transformants were checked for resistance to
glyphosate using a cut leaf assay. In tobacco, though both gene constructs
exhibited some degree of resistance to glyphosate, the construct with the CaMV35S
promoter was more effective and as such chile was transformed with this gene
construct. The chile transformants showed resistance to low concentrations of
glyphosate. Furthermore, preliminary studies showed that the mutated EPSPS gene
driven by the CaMV35S promoter could be used as a selectable marker for
transformation. We have shown that an intragenic approach can be used to confer
glyphosate-resistance in chile. However, we need a stronger chile promoter and a
mutated chile gene that encodes for a more glyphosate resistant EPSPS protein.
PMID- 29649229
TI - Association between perceived social support and induced abortion: A study in
maternal health centers in Lima, Peru.
AB - OBJECTIVES: This study aimed to assess the association between perceived social
support and induced abortion among young women in Lima, Peru. In addition,
prevalence and incidence of induced abortion was estimated. METHODS/PRINCIPAL
FINDINGS: A cross-sectional study enrolling women aged 18-25 years from maternal
health centers in Southern Lima, Peru, was conducted. Induced abortion was
defined as the difference between the total number of pregnancies ended in
abortion and the number of spontaneous abortions; whereas perceived social
support was assessed using the DUKE-UNC scale. Prevalence and incidence of
induced abortion (per 100 person-years risk) was estimated, and the association
of interest was evaluated using Poisson regression models with robust variance. A
total of 298 women were enrolled, mean age 21.7 (+/- 2.2) years. Low levels of
social support were found in 43.6% (95%CI 38.0%-49.3%), and 17.4% (95%CI: 13.1%-
21.8%) women reported at least one induced abortion. The incidence of induced
abortion was 2.37 (95%CI: 1.81-3.11) per 100 person-years risk. The multivariable
model showed evidence of the association between low perceived social support and
induced abortion (RR = 1.94; 95%CI: 1.14-3.30) after controlling for confounders.
CONCLUSIONS: There was evidence of an association between low perceived social
support and induced abortion among women aged 18 to 25 years. Incidence of
induced abortion was similar or even greater than rates of countries where
abortion is legal. Strategies to increase social support and reduce induced
abortion rates are needed.
PMID- 29649230
TI - Lower serum IgA is associated with COPD exacerbation risk in SPIROMICS.
AB - BACKGROUND: Decreased but measurable serum IgA levels (<=70 mg/dL) have been
associated with risk for infections in some populations, but are unstudied in
COPD. This study tested the hypothesis that subnormal serum IgA levels would be
associated with exacerbation risk in COPD. METHODS: Data were analyzed from 1,049
COPD participants from the observational cohort study SPIROMICS (535 (51%) women;
mean age 66.1 (SD 7.8), 338 (32%) current smokers) who had baseline serum IgA
measured using the Myriad RBM biomarker discovery platform. Exacerbation data was
collected prospectively (mean 944.3 (SD 281.3) days), and adjusted linear,
logistic and zero-inflated negative binomial regressions were performed. RESULTS:
Mean IgA was 269.1 mg/dL (SD 150.9). One individual had deficient levels of serum
IgA (<7 mg/dL) and 25 (2.4%) had IgA level <=70 mg/dL. Participants with IgA <=70
mg/dL were younger (62 vs. 66 years, p = 0.01) but otherwise similar to those
with higher IgA. In adjusted models, IgA <=70 mg/dL was associated with higher
exacerbation incidence rates (IRR 1.71, 95% CI 1.01-2.87, p = 0.044) and greater
risk for any severe exacerbation (OR 2.99, 95% CI 1.30-6.94, p = 0.010). In
adjusted models among those in the lowest decile (<120 mg/dL), each 10 mg/dL
decrement in IgA (analyzed continuously) was associated with more exacerbations
during follow-up (beta 0.24, 95% CI 0.017-0.46, p = 0.035). CONCLUSIONS:
Subnormal serum IgA levels were associated with increased risk for acute
exacerbations, supporting mildly impaired IgA levels as a contributing factor in
COPD morbidity. Additionally, a dose-response relationship between lower serum
IgA and number of exacerbations was found among individuals with serum IgA in the
lowest decile, further supporting the link between serum IgA and exacerbation
risk. Future COPD studies should more comprehensively characterize immune status
to define the clinical relevance of these findings and their potential for
therapeutic correction.
PMID- 29649232
TI - The experience of discrimination of individuals living with chronic hepatitis B
in four provinces of China.
AB - OBJECTIVES: To assess chronic hepatitis B (CHB) patients' knowledge about
hepatitis B and their experience of discrimination with regard to study, work,
and daily life. METHODS: We administered a questionnaire to 797 CHB patients in
four provinces of China and used one-way analysis of variance (ANOVA) and a
generalized linear model (GLM) to identify factors associated with
discrimination. RESULTS: CHB patients had low levels of knowledge about hepatitis
B. Patients under 40 years of age with a junior college education or above knew
more about hepatitis B than CHB patients over 40 years of age who had only a high
school education. Three-fourths of patients had experienced discrimination
because of their hepatitis B infection, with no differences in the proportion
experiencing discrimination by sex or age. People with more education reported
less discrimination. Patients in Beijing and Henan province perceived less
discrimination than those in Shaanxi and Guangdong provinces. Discrimination was
significantly associated with negative emotions. CHB patients had little
awareness of China's anti-discrimination laws and policies. Among patients who
had experienced discrimination, fewer than 10% knew organizations or institutions
that could offer help. Over 60% of CHB patients who experienced discrimination
chose not to respond. CONCLUSION: CHB patients in China commonly experienced
discrimination, which was associated with significant, negative emotional stress.
To mitigate the damaging effects of discrimination, our study suggests raising
general population knowledge about hepatitis B, raising awareness of the
availability of legal protection and organizations that can fight discrimination,
and providing psychological support for CHB patients.
PMID- 29649231
TI - Application of quality by design for 3D printed bone prostheses and scaffolds.
AB - 3D printing is an emergent manufacturing technology recently being applied in the
medical field for the development of custom bone prostheses and scaffolds.
However, successful industry transformation to this new design and manufacturing
approach requires technology integration, concurrent multi-disciplinary
collaboration, and a robust quality management framework. This latter change
enabler is the focus of this study. While a number of comprehensive quality
frameworks have been developed in recent decades to ensure that the manufacturing
of medical devices produces reliable products, they are centred on the
traditional context of standardised manufacturing techniques. The advent of 3D
printing technologies and the prospects for mass customisation provides
significant market opportunities, but also presents a serious challenge to
regulatory bodies tasked with managing and assuring product quality and safety.
Before 3D printing bone prostheses and scaffolds can gain traction, industry
stakeholders, such as regulators, clients, medical practitioners, insurers,
lawyers, and manufacturers, would all require a high degree of confidence that
customised manufacturing can achieve the same quality outcomes as standardised
manufacturing. A Quality by Design (QbD) approach to custom 3D printed prostheses
can help to ensure that products are designed and manufactured correctly from the
beginning without errors. This paper reports on the adaptation of the QbD
approach for the development process of 3D printed custom bone prosthesis and
scaffolds. This was achieved through the identification of the Critical Quality
Attributes of such products, and an extensive review of different design and
fabrication methods for 3D printed bone prostheses. Research outcomes include the
development of a comprehensive design and fabrication process flow diagram, and
categorised risks associated with the design and fabrication processes of such
products. An extensive systematic literature review and post-hoc evaluation
survey with experts was completed to evaluate the likely effectiveness of the
herein suggested QbD framework.
PMID- 29649233
TI - Euglena gracilis growth and cell composition under different temperature, light
and trophic conditions.
AB - BACKGROUND: Euglena gracilis, a photosynthetic protist, produces protein,
unsaturated fatty acids, wax esters, and a unique beta-1,3-glucan called
paramylon, along with other valuable compounds. The cell composition of E.
gracilis was investigated in this study to understand how light and organic
carbon (photo-, mixo- and heterotrophic conditions) affected growth and cell
composition (especially lipids). Comparisons were primarily carried out in
cultures grown at 23 degrees C, but the effect of growth at higher temperatures
(27 or 30 degrees C) was also considered. CELL GROWTH: Specific growth rates
were slightly lower when E. gracilis was grown on glucose in either heterotrophic
or mixotrophic conditions than when grown photoautotrophically, although the
duration of exponential growth was longer. Temperature determined the rate of
exponential growth in all cultures, but not the linear growth rate during light
limited growth in phototrophic conditions. Temperature had less effect on cell
composition. CELL COMPOSITION: Although E. gracilis was not expected to store
large amounts of paramylon when grown phototrophically, we observed that
phototrophic cells could contain up to 50% paramylon. These cells contained up to
33% protein and less than 20% lipophilic compounds, as expected. The biomass
contained about 8% fatty acids (measured as fatty acid methyl esters), most of
which were unsaturated. The fatty acid content of cells grown in mixotrophic
conditions was similar to that observed in phototrophic cells, but was lower in
cells grown heterotrophically. Heterotrophic cells contained less unsaturated
fatty acids than phototrophic or mixotrophic cells. alpha-Linolenic acid was
present at 5 to 18 mg g-1 dry biomass in cells grown in the presence of light,
but at < 0.5 mg g-1 biomass in cells grown in the dark. Eicosapentaenoic and
docosahexaenoic acids were detected at 1 to 5 mg g-1 biomass. Light was also
important for the production of vitamin E and phytol.
PMID- 29649234
TI - Reliability, minimal detectable change and responsiveness to change: Indicators
to select the best method to measure sedentary behaviour in older adults in
different study designs.
AB - INTRODUCTION: Prolonged sedentary behaviour (SB) is associated with poor health.
It is unclear which SB measure is most appropriate for interventions and
population surveillance to measure and interpret change in behaviour in older
adults. The aims of this study: to examine the relative and absolute reliability,
Minimal Detectable Change (MDC) and responsiveness to change of subjective and
objective methods of measuring SB in older adults and give recommendations of use
for different study designs. METHODS: SB of 18 older adults (aged 71 (IQR 7)
years) was assessed using a systematic set of six subjective tools, derived from
the TAxonomy of Self report Sedentary behaviour Tools (TASST), and one objective
tool (activPAL3c), over 14 days. Relative reliability (Intra Class Correlation
coefficients-ICC), absolute reliability (SEM), MDC, and the relative
responsiveness (Cohen's d effect size (ES) and Guyatt's Responsiveness
coefficient (GR)) were calculated for each of the different tools and ranked for
different study designs. RESULTS: ICC ranged from 0.414 to 0.946, SEM from 36.03
to 137.01 min, MDC from 1.66 to 8.42 hours, ES from 0.017 to 0.259 and GR from
0.024 to 0.485. Objective average day per week measurement ranked as most
responsive in a clinical practice setting, whereas a one day measurement ranked
highest in quasi-experimental, longitudinal and controlled trial study designs.
TV viewing-Previous Week Recall (PWR) ranked as most responsive subjective
measure in all study designs. CONCLUSIONS: The reliability, Minimal Detectable
Change and responsiveness to change of subjective and objective methods of
measuring SB is context dependent. Although TV viewing-PWR is the more reliable
and responsive subjective method in most situations, it may have limitations as a
reliable measure of total SB. Results of this study can be used to guide choice
of tools for detecting change in sedentary behaviour in older adults in the
contexts of population surveillance, intervention evaluation and individual care.
PMID- 29649235
TI - Patient-specific musculoskeletal modeling of the hip joint for preoperative
planning of total hip arthroplasty: A validation study based on in vivo
measurements.
AB - Validation of musculoskeletal models for application in preoperative planning is
still a challenging task. Ideally, the simulation results of a patient-specific
musculoskeletal model are compared to corresponding in vivo measurements.
Currently, the only possibility to measure in vivo joint forces is to implant an
instrumented prosthesis in patients undergoing a total joint replacement. In this
study, a musculoskeletal model of the AnyBody Modeling System was adapted patient
specifically and validated against the in vivo hip joint force measurements of
ten subjects performing one-leg stance and level walking. The impact of four
model parameters was evaluated; hip joint width, muscle strength, muscle
recruitment, and type of muscle model. The smallest difference between simulated
and in vivo hip joint force was achieved by using the hip joint width measured in
computed tomography images, a muscle strength of 90 N/cm2, a third order
polynomial muscle recruitment, and a simple muscle model. This parameter
combination reached mean deviations between simulation and in vivo measurement
during the peak force phase of 12% +/- 14% in magnitude and 11 degrees +/- 5
degrees in orientation for one-leg stance and 8% +/- 6% in magnitude and 10
degrees +/- 5 degrees in orientation for level walking.
PMID- 29649237
TI - Bronchoalveolar lavage (BAL) cells in idiopathic pulmonary fibrosis express a
complex pro-inflammatory, pro-repair, angiogenic activation pattern, likely
associated with macrophage iron accumulation.
AB - Idiopathic pulmonary fibrosis (IPF) is a chronic lung disease of unknown cause
characterized by alveolar epithelial damage, patchy interstitial fibrosis and
diffuse microvascular abnormalities. In IPF, alveolar clustering of iron-laden
alveolar macrophages-a common sign of microhemorrhage, has been associated with
vascular abnormalities and worsening of pulmonary hypertension. As iron-dependent
ROS generation has been shown to induce unrestrained macrophage activation in
disease models of vascular damage, we explored alveolar macrophage activation
phenotype in IPF patients (n = 16) and healthy controls (CTR, n = 7) by RNA
sequencing of bronchoalveolar lavage (BAL) cells. The frequencies of macrophages
in BAL cells were 86+4% and 83.4+8% in IPF and CTR groups, respectively (p-value
= 0.41). In IPF patients, BAL cells showed increased iron-dependent ROS
generation (p-value<0.05 vs CTR). Gene expression analysis showed
overrepresentation of Gene Ontology processes/functions and KEGG pathways
enriched in upregulated M1-type inflammatory (p-value<0.01), M2-type anti
inflammatory/tissue remodeling (p-value<0.0001), and MTPP-type chronic
inflammatory/angiogenic (p-value<0.0001) chemokine and cytokine genes. The ex
vivo finding was confirmed by the induction of iron-dependent ROS generation and
chemokine/cytokine overexpression of Ccl4, Cxcl10 (M1), Il1rn (M2), Cxcl2, and
Cxcl7 (MTPP) in MH-S murine immortalized alveolar macrophages exposed to ferric
ammonium citrate in culture (p-value<0.05 vs CTR). The data show alveolar
macrophage expression of a pro-inflammatory, tissue remodeling and angiogenic
complex activation pattern, suggesting that iron accumulation may play a role in
macrophage activation.
PMID- 29649238
TI - Generation of multidrug resistant human tissues by overexpression of the ABCG2
multidrug transporter in embryonic stem cells.
AB - The ABCG2 multidrug transporter provides resistance against various endo- and
xenobiotics, and protects the stem cells against toxins and stress conditions. We
have shown earlier that a GFP-tagged version of ABCG2 is fully functional and may
be used to follow the expression, localization and function of this transporter
in living cells. In the present work we have overexpressed GFP-ABCG2, driven by a
constitutive (CAG) promoter, in HUES9 human embryonic stem cells. Stem cell
clones were generated to express the wild-type and a substrate-mutant (R482G) GFP
ABCG2 variant, by using the Sleeping Beauty transposon system. We found that the
stable overexpression of these transgenes did not change the pluripotency and
growth properties of the stem cells, nor their differentiation capacity to
hepatocytes or cardiomyocytes. ABCG2 overexpression provided increased toxin
resistance in the stem cells, and protected the derived cardiomyocytes against
doxorubicin toxicity. These studies document the potential of a stable ABCG2
expression for engineering toxin-resistant human pluripotent stem cells and
selected stem cell derived tissues.
PMID- 29649239
TI - Using hydrodynamic focusing to predictably alter the diameter of synthetic silk
fibers.
AB - Spiders and silkworms provide a model of superior processing for multifunctional
and highly versatile high-performance fibers. Mimicking the spider's complex
control system for chemical and mechanical gradients has remained an ongoing
obstacle for synthetic silk production. In this study, the use of hydrodynamic
fluid focusing within a 3D printed biomimetic spinning system to recapitulate the
biological spinneret is explored and shown to produce predictable, small diameter
fibers. Mirroring in silico fluid flow simulations using a hydrodynamic
microfluidic spinning technique, we have developed a model correlating spinning
rates, solution viscosity and fiber diameter outputs that will significantly
advance the field of synthetic silk fiber production. The use of hydrodynamic
focusing to produce controlled output fiber diameter simulates the natural silk
spinning process and continues to build upon a 3D printed biomimetic spinning
platform.
PMID- 29649236
TI - Predictive value for cardiovascular events of common carotid intima media
thickness and its rate of change in individuals at high cardiovascular risk -
Results from the PROG-IMT collaboration.
AB - AIMS: Carotid intima media thickness (CIMT) predicts cardiovascular (CVD) events,
but the predictive value of CIMT change is debated. We assessed the relation
between CIMT change and events in individuals at high cardiovascular risk.
METHODS AND RESULTS: From 31 cohorts with two CIMT scans (total n = 89070) on
average 3.6 years apart and clinical follow-up, subcohorts were drawn: (A)
individuals with at least 3 cardiovascular risk factors without previous CVD
events, (B) individuals with carotid plaques without previous CVD events, and (C)
individuals with previous CVD events. Cox regression models were fit to estimate
the hazard ratio (HR) of the combined endpoint (myocardial infarction, stroke or
vascular death) per standard deviation (SD) of CIMT change, adjusted for CVD risk
factors. These HRs were pooled across studies. In groups A, B and C we observed
3483, 2845 and 1165 endpoint events, respectively. Average common CIMT was 0.79mm
(SD 0.16mm), and annual common CIMT change was 0.01mm (SD 0.07mm), both in group
A. The pooled HR per SD of annual common CIMT change (0.02 to 0.43mm) was 0.99
(95% confidence interval: 0.95-1.02) in group A, 0.98 (0.93-1.04) in group B, and
0.95 (0.89-1.04) in group C. The HR per SD of common CIMT (average of the first
and the second CIMT scan, 0.09 to 0.75mm) was 1.15 (1.07-1.23) in group A, 1.13
(1.05-1.22) in group B, and 1.12 (1.05-1.20) in group C. CONCLUSIONS: We confirm
that common CIMT is associated with future CVD events in individuals at high
risk. CIMT change does not relate to future event risk in high-risk individuals.
PMID- 29649240
TI - A Bayesian approach to modelling the impact of hydrodynamic shear stress on
biofilm deformation.
AB - We investigate the feasibility of using a surrogate-based method to emulate the
deformation and detachment behaviour of a biofilm in response to hydrodynamic
shear stress. The influence of shear force, growth rate and viscoelastic
parameters on the patterns of growth, structure and resulting shape of microbial
biofilms was examined. We develop a statistical modelling approach to this
problem, using combination of Bayesian Poisson regression and dynamic linear
models for the emulation. We observe that the hydrodynamic shear force affects
biofilm deformation in line with some literature. Sensitivity results also showed
that the expected number of shear events, shear flow, yield coefficient for
heterotrophic bacteria and extracellular polymeric substance (EPS) stiffness per
unit EPS mass are the four principal mechanisms governing the bacteria detachment
in this study. The sensitivity of the model parameters is temporally dynamic,
emphasising the significance of conducting the sensitivity analysis across
multiple time points. The surrogate models are shown to perform well, and
produced ~ 480 fold increase in computational efficiency. We conclude that a
surrogate-based approach is effective, and resulting biofilm structure is
determined primarily by a balance between bacteria growth, viscoelastic
parameters and applied shear stress.
PMID- 29649241
TI - Discovery of urinary biomarkers to discriminate between exogenous and semi
endogenous thiouracil in cattle: A parallel-like randomized design.
AB - In the European Union, the use of thyreostats for animal fattening purposes has
been banned and monitoring plans have been established to detect potential abuse.
However, this is not always straightforward as thyreostats such as thiouracil may
also have a semi-endogenous origin. Therefore, this study aimed at defining
urinary metabolites, which may aid in defining the origin of detected thiouracil.
Hereto, a parallel-like randomized in vivo study was conducted in which calves (n
= 8) and cows (n = 8) were subjected to either a control treatment, rapeseed
enriched diet to induce semi-endogenous formation, or thiouracil treatment. Urine
samples (n = 330) were assessed through metabolic fingerprinting, employing
liquid-chromatography and Q-ExactiveTM Orbitrap mass spectrometry. Urinary
fingerprints comprised up to 40,000 features whereby multivariate discriminant
analysis was able to point out significant metabolome differences between
treatments (Q2(Y) >= 0.873). Using the validated models, a total of twelve
metabolites (including thiouracil) were assigned marker potential. Combining
these markers into age-dependent biomarker panels rendered a tool by which sample
classification could be improved in comparison with thiouracil-based thresholds,
and this during on-going thiouracil treatment (specificities >= 95.2% and
sensitivities >= 85.7%), post-treatment (sensitivities >= 80% for >= 24 h after
last administration), and simulated low-dose thiouracil treatment (exogenous
thiouracil below 30 ng MUL-1). Moreover, the metabolic relevance of revealed
markers was supported by the suggested identities, for which a structural link
with thiouracil could be determined in most cases. The proposed biomarker panels
may contribute to a more justified decision-making in monitoring thiouracil
abuse.
PMID- 29649243
TI - Recent trends in population levels and correlates of occupational and leisure
sitting time in full-time employed Australian adults.
AB - This study aimed to explore the trend in population levels, as well as the
correlates, of occupational and leisure sitting time in full-time employed
Australian adults between 2007 and 2015. We used data from the 2007/08, 2011/12
and 2014/15 Australian Health Surveys, in which nationally representative samples
of the Australian population were interviewed. Full-time (>=35 hours/week)
employed respondents reported sitting time at work and during leisure on a usual
workday. Trends over time and associations between socio-demographic and health
related characteristics and sitting time were analysed in the combined dataset
using multivariable logistic regression models. Over 21,000 observations were
included in the analyses. Across the three surveys, approximately 51% of the
respondents reported >=4 hours/workday occupational sitting time, 40% reported
>=4 hours/workday leisure sitting time, and 55% reported >=7 hours/workday
combined occupational and leisure sitting time. There were no clear trends over
time. All potential correlates were associated with occupational sitting time and
all but educational level were associated with leisure sitting time. The
directions of the associations with gender, age and leisure-time physical
activity were reversed for occupational sitting time and leisure sitting time.
These findings show that the average levels of occupational and leisure sitting
time on workdays were high but stable over the past decade. The observed
differences in correlates of occupational and leisure sitting time demonstrate
the need to assess and address sedentary behaviour domains separately in research
and policy.
PMID- 29649242
TI - Innovation in an E. coli evolution experiment is contingent on maintaining
adaptive potential until competition subsides.
AB - Key innovations are disruptive evolutionary events that enable a species to
escape constraints and rapidly diversify. After 15 years of the Lenski long-term
evolution experiment with Escherichia coli, cells in one of the twelve
populations evolved the ability to utilize citrate, an abundant but previously
untapped carbon source in the environment. Descendants of these cells became
dominant in the population and subsequently diversified as a consequence of
invading this vacant niche. Mutations responsible for the appearance of
rudimentary citrate utilization and for refining this ability have been
characterized. However, the complete nature of the genetic and/or ecological
events that set the stage for this key innovation is unknown. In particular, it
is unclear why it took so long for citrate utilization to evolve and why it still
has evolved in only one of the twelve E. coli populations after 30 years of the
Lenski experiment. In this study, we recapitulated the initial mutation needed to
evolve citrate utilization in strains isolated from throughout the first 31,500
generations of the history of this population. We found that there was already a
slight fitness benefit for this mutation in the original ancestor of the
evolution experiment and in other early isolates. However, evolution of citrate
utilization was blocked at this point due to competition with other mutations
that improved fitness in the original niche. Subsequently, an anti-potentiated
genetic background evolved in which it was deleterious to evolve rudimentary
citrate utilization. Only later, after further mutations accumulated that
restored the benefit of this first-step mutation and the overall rate of
adaptation in the population slowed, was citrate utilization likely to evolve.
Thus, intense competition and the types of mutations that it favors can lead to
short-sighted evolutionary trajectories that hide a stepping stone needed to
access a key innovation from many future generations.
PMID- 29649244
TI - The characterization of surgical smoke from various tissues and its implications
for occupational safety.
AB - Electrosurgery produces surgical smoke. Different tissues produce different
quantities and types of smoke, so we studied the particle characteristics of this
surgical smoke in order to analyze the implications for the occupational health
of the operation room personnel. We estimated the deposition of particulate
matter (PM) from surgical smoke on the respiratory tract of operation room
personnel using clinically relevant tissues from Finnish landrace porcine tissues
including skeletal muscle, liver, subcutaneous fat, renal pelvis, renal cortex,
lung, bronchus, cerebral gray and white matter, and skin. In order to standardize
the electrosurgical cuts and smoke concentrations, we built a customized computer
controlled platform. The smoke particles were analyzed with an electrical low
pressure impactor (ELPI), which measures the concentration and aerodynamic size
distribution of particles with a diameter between 7 nm and 10 MUm. There were
significant differences in the mass concentration and size distribution of the
surgical smoke particles depending on the electrocauterized tissue. Of the
various tissues tested, liver yielded the highest number of particles. In order
to better estimate the health hazard, we propose that the tissues can be divided
into three distinct classes according to their surgical smoke production: 1) high
PM tissue for liver; 2) medium-PM tissues for renal cortex, renal pelvis, and
skeletal muscle; and 3) low-PM tissues for skin, gray matter, white matter,
bronchus, and subcutaneous fat.
PMID- 29649245
TI - Women's preferences for alternative financial incentive schemes for
breastfeeding: A discrete choice experiment.
AB - BACKGROUND: Increasing breastfeeding rates have been associated with reductions
in disease in babies and mothers as well as in related costs. 'Nourishing Start
for Health (NoSH)', a financial incentive scheme has been proposed as a
potentially effective way to increase both the number of mothers breastfeeding
and duration of breastfeeding. AIMS: To establish women's relative preferences
for different aspects of a financial incentive scheme for breastfeeding and to
identify importance of scheme characteristics on probability on participation in
an incentive scheme. METHODS: A discrete choice experiment (DCE) obtained
information on alternative specifications of the NoSH scheme designed to promote
continued breastfeeding duration until at least 6 weeks after birth. Four
attributes framed alternative scheme designs: value of the incentive; minimum
breastfeeding duration required to receive incentive; method of verifying
breastfeeding; type of incentive. Three versions of the DCE questionnaire, each
containing 8 different choice sets, provided 24 choice sets for analysis. The
questionnaire was mailed to 2,531 women in the South Yorkshire Cohort (SYC) aged
16-45 years in IMD quintiles 3-5. The analytic approach considered conditional
and mixed effects logistic models to account for preference heterogeneity that
may be associated with a variation in effects mediated by respondents'
characteristics. RESULTS: 564 women completed the questionnaire and a response
rate of 22% was achieved. Most of the included attributes were found to affect
utility and therefore the probability to participate in the incentive scheme.
Higher rewards were preferred, although the type of incentive significantly
affected women's preferences on average. We found evidence for preference
heterogeneity based on individual characteristics that mediated preferences for
an incentive scheme.Conclusions Although participants' opinion in our sample was
mixed, financial incentives for breastfeeding may be an acceptable and effective
instrument to change behaviour. However, individual characteristics could mediate
the effect and should therefore be considered when developing and targeting
future interventions.
PMID- 29649246
TI - Women's relative status and childbearing intentions: Empirical evidence from
Iran.
AB - Childbearing intentions are primary predictor of childbearing behaviors,
particularly in low fertility societies. This study examined the role of relative
status of women in childbearing intentions in Iran where fertility has been
declining since 1986 and it has been around the replacement level during the last
two decades. Data from the 2010 Iran's Multiple Indicator Demographic and Health
Survey (IrMIDHS) were used to estimate the effect of relative status of women on
intention to have more children among women with one child and those with two
children. The results showed modest effect of relative status of women on future
childbearing intentions at both parity one and two controlling for socioeconomic
and demographic factors. One implication from this finding is that within low
fertility regimes where fertility level is around or below replacement level, the
relative status of women is no more as important determinant of childbearing
intention as in situations of high fertility regimes. This interpretation is
consistent with the fact that most of the studies showing strong effect from
relative status of women on childbearing are based on data from the situations
where fertility level has been at the pre-transitional level.
PMID- 29649247
TI - Serum interleukin-6 and tumor necrosis factor-alpha are associated with early
graft regeneration after living donor liver transplantation.
AB - BACKGROUND: Liver graft regeneration is orchestrated by specific and sequential
stimuli, including hepatocyte growth factors, cytokines, and catecholamines. We
evaluated the association between preoperative serum cytokines and early liver
graft regeneration in human living donor liver transplantation (LDLT). PATIENTS
AND METHODS: We retrospectively reviewed the data of adult patients who underwent
LDLT from January 2010 to December 2014. Serum cytokines, including interleukin
(IL)-2, 6, 10, 12, 17, interferon (IFN)-gamma and tumor necrosis factor (TNF)
alpha were measured in the recipients 1 day before surgery and on postoperative
day (POD) 7. Liver graft volume was estimated using abdominal computed tomography
images of the donors and recipients. RESULTS: In total, 226 patients were
analyzed in this study. Median preoperative levels of serum cytokines were as
follows: IL-2, 0.1 (0.1-1.6) pg/mL; IL-6, 7.3 (0.1-30.2) pg/mL; IL-10, 0.5 (0.1
11.0) pg/mL; IL-12, 0.1 (0.1-0.1) pg/mL; IL-17, 2.0 (0.1-16.4) pg/mL; IFN-gamma,
3.2 (0.1-16.0) pg/mL; and TNF-alpha, 9.8 (5.4-17.9) pg/mL. Higher preoperative
serum levels of IL-6, IL-10, and TNF-alpha, dichotomized at the median, were
associated with increased relative liver volumes by POD 7. Multivariate analysis
revealed that higher levels of serum IL-6 and TNF-alpha were independently
associated with increased graft volume during the first 1 week after LDLT, based
on the lower levels of those cytokines. CONCLUSIONS: IL-6 and TNF-alpha were
important mediators of the success of early graft regeneration in patients who
underwent LDLT.
PMID- 29649248
TI - Psychological wellbeing of children at public primary schools in Jimma town: An
orphan and non-orphan comparative study.
AB - INTRODUCTION: Orphans face multiple challenges including insufficient food,
shelter, schooling, and medical care. Most research on orphans in developing
countries concentrates on nutrition and health status. The present study aims to
explore the psychological wellbeing of in-school orphaned and non-orphaned
children. METHOD: A comparative cross-sectional study design was used in 370
randomly selected children aged between 10 and 18. Two rosters (one for orphans
and one for non-orphans) were created, and then 185 were selected from each
roster. Trained field workers used structured questionnaires to obtain
information from participants. An adapted Ryff Psychological Wellbeing Scale was
used to measure psychological wellbeing. Mean scores were determined for each
dimension and for total psychological wellbeing. The mean split was used to
divide psychological wellbeing into "high" and "low". Data were coded, entered,
cleaned, and analyzed using SPSS version 20. The independent sample t-test was
used to determine statistically significant differences in psychological
wellbeing between orphaned and non-orphaned children. P values < 0.05 were deemed
statistically significant. RESULTS: Of 370 children, 185 (50%) were orphans.
Among orphaned children, only 62 (33.5%) scored high on the total psychological
wellbeing scale whereas 107 (57.8%) of their non-orphaned peers scored highly.
The non-orphaned children had about 10.8 higher mean psychological wellbeing
scores than their orphan counterparts (P<0.001). The mean (+/-SD) psychological
wellbeing of the non-orphaned children was 164.0 (17.2) vs. 153.2 (17.2) in the
orphaned group. CONCLUSION: The psychological wellbeing of orphans is
significantly lower than their non-orphaned peers. Orphan support projects must
consider psychosocial wellbeing in addition to material support.
PMID- 29649249
TI - Optimizing adherence in HIV prevention product trials: Development and
psychometric evaluation of simple tools for screening and adherence counseling.
AB - BACKGROUND: Low adherence in recent HIV prevention clinical trials highlights the
need to better understand, measure, and support product use within clinical
trials. Conventional self-reported adherence instruments within HIV prevention
trials, often relying on single-item questions, have proven ineffective. While
objective adherence measures are desirable, none currently exist that apply to
both active and placebo arms. Scales are composed of multiple items in the form
of questions or statements that, when combined, measure a more complex construct
that may not be directly observable. When psychometrically validated, such
measures may better assess the multiple factors contributing to adherence/non
adherence. This study aimed to develop and psychometrically evaluate tools to
screen and monitor trial participants' adherence to HIV prevention products
within the context of clinical trial research. METHODS AND FINDINGS: Based on an
extensive literature review and conceptual framework, we identified and refined
86 items assessing potential predictors of adherence and 48 items assessing
adherence experience. A structured survey, including adherence items and other
variables, was administered to former ASPIRE and Ring Study participants and
similar non-trial participants (n = 709). We conducted exploratory factor
analyses (EFA) to identify a reduced set of constructs and items that could be
used at screening to predict potential adherence, and at follow-up to monitor and
intervene on adherence. We examined associations with other variables to assess
content and construct validity. The EFA of screener items resulted in a 6-factor
solution with acceptable to very good internal reliability (alpha: .62-.84).
Similar to our conceptual framework, factors represent trial-related commitment
(Distrust of Research and Commitment to Research); alignment with trial
requirements (Visit Adherence and Trial Incompatibility); Belief in Trial
Benefits and Partner Disclosure. The EFA on monitoring items resulted in 4
Product-specific factors that represent Vaginal Ring Doubts, Vaginal Ring
Benefits, Ring Removal, and Side Effects with good to very good internal
reliability (alpha = .71-.82). Evidence of content and construct validity was
found; relationship to social desirability bias was examined. CONCLUSIONS: These
scales are easy and inexpensive to administer, available in several languages,
and are applicable regardless of randomization. Once validated prospectively,
they could (1) screen for propensity to adhere, (2) target adherence
support/counselling, and (3) complement biomarker measures in determining true
efficacy of the experimental product.
PMID- 29649250
TI - What drives centralisation in cancer care?
AB - BACKGROUND: To improve quality of care, centralisation of cancer services in high
volume centres has been stimulated. Studies linking specialisation and high
(surgical) volumes to better outcomes already appeared in the 1990's. However,
actual centralisation was a difficult process in many countries. In this study,
factors influencing the centralisation of cancer services in the Netherlands were
determined. MATERIAL AND METHODS: Centralisation patterns were studied for three
types of cancer that are known to benefit from high surgical caseloads:
oesophagus-, pancreas- and bladder cancer. The Netherlands Cancer Registry
provided data on tumour and treatment characteristics from 2000-2013 for
respectively 8037, 4747 and 6362 patients receiving surgery. By plotting
timelines of centralisation of cancer surgery, relations with the appearance of
(inter)national scientific evidence, actions of medical specialist societies,
specific regulation and other important factors on the degree of centralisation
were ascertained. RESULTS: For oesophagus and pancreas cancer, a gradual increase
in centralisation of surgery is seen from 2005 and 2006 onwards following
(inter)national scientific evidence. Centralisation steps for bladder cancer
surgery can be seen in 2010 and 2013 anticipating on the publication of norms by
the professional society. The most influential stimulus seems to have been
regulations on minimum volumes. CONCLUSION: Scientific evidence on the
relationship between volume and outcome lead to the start of centralisation of
surgical cancer care in the Netherlands. Once a body of evidence has been
established on organisational change that influences professional practice, in
addition some form of regulation is needed to ensure widespread implementation.
PMID- 29649251
TI - Linkage mapping of yeast cross protection connects gene expression variation to a
higher-order organismal trait.
AB - Gene expression variation is extensive in nature, and is hypothesized to play a
major role in shaping phenotypic diversity. However, connecting differences in
gene expression across individuals to higher-order organismal traits is not
trivial. In many cases, gene expression variation may be evolutionarily neutral,
and in other cases expression variation may only affect phenotype under specific
conditions. To understand connections between gene expression variation and
stress defense phenotypes, we have been leveraging extensive natural variation in
the gene expression response to acute ethanol in laboratory and wild
Saccharomyces cerevisiae strains. Previous work found that the genetic
architecture underlying these expression differences included dozens of "hotspot"
loci that affected many transcripts in trans. In the present study, we provide
new evidence that one of these expression QTL hotspot loci affects natural
variation in one particular stress defense phenotype-ethanol-induced cross
protection against severe doses of H2O2. A major causative polymorphism is in the
heme-activated transcription factor Hap1p, which we show directly impacts cross
protection, but not the basal H2O2 resistance of unstressed cells. This provides
further support that distinct cellular mechanisms underlie basal and acquired
stress resistance. We also show that Hap1p-dependent cross protection relies on
novel regulation of cytosolic catalase T (Ctt1p) during ethanol stress in a wild
oak strain. Because ethanol accumulation precedes aerobic respiration and
accompanying reactive oxygen species formation, wild strains with the ability to
anticipate impending oxidative stress would likely be at an advantage. This study
highlights how strategically chosen traits that better correlate with gene
expression changes can improve our power to identify novel connections between
gene expression variation and higher-order organismal phenotypes.
PMID- 29649252
TI - Prevalence of psychotic disorders and its association with methodological issues.
A systematic review and meta-analyses.
AB - OBJECTIVES: The purpose of this study is to provide an updated systematic review
to identify studies describing the prevalence of psychosis in order to explore
methodological factors that could account for the variation in prevalence
estimates. METHODS: Studies with original data related to the prevalence of
psychosis (published between 1990 and 2015) were identified via searching
electronic databases and reviewing manual citations. Prevalence estimates were
sorted according to prevalence type (point, 12-months and lifetime). The
independent association between key methodological variables and the mean effect
of prevalence was examined (prevalence type, case-finding setting, method of
confirming diagnosis, international classification of diseases, diagnosis
category, and study quality) by meta-analytical techniques and random-effects
meta-regression. RESULTS: Seventy-three primary studies were included, providing
a total of 101 estimates of prevalence rates of psychosis. Across these studies,
the pooled median point and 12-month prevalence for persons was 3.89 and 4.03 per
1000 respectively; and the median lifetime prevalence was 7.49 per 1000. The
result of the random-effects meta-regression analysis revealed a significant
effect for the prevalence type, with higher rates of lifetime prevalence than 12
month prevalence (p<0.001). Studies conducted in the general population presented
higher prevalence rates than those carried out in populations attended in
health/social services (p = 0.006). Compared to the diagnosis of schizophrenia
only, prevalence rates were higher in the probable psychotic disorder (p = 0.022)
and non-affective psychosis (p = 0.009). Finally, a higher study quality is
associated with a lower estimated prevalence of psychotic disorders (p<0.001).
CONCLUSIONS: This systematic review provides a comprehensive comparison of
methodologies used in studies of the prevalence of psychosis, which can provide
insightful information for future epidemiological studies in adopting the most
relevant methodological approach.
PMID- 29649253
TI - Prevalence and predictors of khat chewing among Ethiopian university students: A
systematic review and meta-analysis.
AB - BACKGROUND: Khat chewing has become a common practice among university students
in developing countries like Ethiopia. It has a potential effect on physical,
mental, social and cognitive aspects of student functioning. In Ethiopia, study
findings regarding the prevalence of khat chewing were highly dispersed and
inconsistent. Therefore, this systematic review and meta-analysis estimates the
pooled prevalence of khat chewing and its predictors among Ethiopian university
students. METHOD: A systematic review and meta-analysis was conducted to assess
the prevalence and predictors of khat chewing among university students in
Ethiopia. We searched literature from the databases of PubMed, Google Scholar,
Science Direct, and the Cochrane Library. A total of 24 Ethiopian studies
reporting the prevalence of khat chewing among university students were included.
Data were extracted using a standardized data extraction format prepared in
Microsoft Excel and the analysis was done using STATA 14 statistical software. To
assess heterogeneity, the Cochrane Q test statistics and I2 test were used. Since
the included studies exhibit high heterogeneity, a random effect meta-analysis
model was computed to estimate the pooled prevalence of khat chewing. Moreover,
the association between predictor variables, and khat chewing practice were
examined. RESULTS: The meta-analysis of 24 studies revealed that the pooled
prevalence of khat chewing among university students in Ethiopia was 23.22% (95%
CI: 19.5, 27.0). In the subgroup analysis, the highest prevalence was observed in
Oromia region (31.6%; 95CI: 21.2, 41.9) whereas the lowest prevalence was
observed in Amhara region (18.1%; 95%CI: 12.4, 23.8). Being male OR: 2.76 (95% CI
1.64, 4.63), family khat chewing practice OR: 2.91 (95% CI 1.06, 7.98), friend
khat chewing habit OR: 4.74 (95% CI 3.48, 13.06), alcohol drinking OR: 7.06 (95%
CI 5.65, 8.82) and cigarette smoking habit OR: 15.11 (95% CI 8.96, 25.51) were
found to be predictors of khat chewing. CONCLUSION: The study found that the
prevalence of khat chewing among university students was quite common, with
slightly more than 1 in 5 students engaging in the use of this substance. Being
male, family khat chewing practice, friend's khat chewing habit, alcohol
drinking, and cigarette smoking were found to be predictors of khat chewing
practice among university students.
PMID- 29649254
TI - UXT is required for spermatogenesis in mice.
AB - Male mammals must simultaneously produce prodigious numbers of sperm and maintain
an adequate reserve of stem cells to ensure continuous production of gametes
throughout life. Failures in the mechanisms responsible for balancing germ cell
differentiation and spermatogonial stem cell (SSC) self-renewal can result in
infertility. We discovered a novel requirement for Ubiquitous Expressed
Transcript (UXT) in spermatogenesis by developing the first knockout mouse model
for this gene. Constitutive deletion of Uxt is embryonic lethal, while
conditional knockout in the male germline results in a Sertoli cell-only
phenotype during the first wave of spermatogenesis that does not recover in the
adult. This phenotype begins to manifest between 6 and 7 days post-partum, just
before meiotic entry. Gene expression analysis revealed that Uxt deletion
downregulates the transcription of genes governing SSC self-renewal,
differentiation, and meiosis, consistent with its previously defined role as a
transcriptional co-factor. Our study has revealed the first in vivo function for
UXT in the mammalian germline as a regulator of distinct transcriptional programs
in SSCs and differentiating spermatogonia.
PMID- 29649255
TI - TERRA and the histone methyltransferase Dot1 cooperate to regulate senescence in
budding yeast.
AB - The events underlying senescence induced by critical telomere shortening are not
fully understood. Here we provide evidence that TERRA, a non-coding RNA
transcribed from subtelomeres, contributes to senescence in yeast lacking
telomerase (tlc1Delta). Levels of TERRA expressed from multiple telomere ends
appear elevated at senescence, and expression of an artificial RNA complementary
to TERRA (anti-TERRA) binds TERRA in vivo and delays senescence. Anti-TERRA acts
independently from several other mechanisms known to delay senescence, including
those elicited by deletions of EXO1, TEL1, SAS2, and genes encoding RNase H
enzymes. Further, it acts independently of the senescence delay provided by RAD52
dependent recombination. However, anti-TERRA delays senescence in a fashion
epistatic to inactivation of the conserved histone methyltransferase Dot1. Dot1
associates with TERRA, and anti-TERRA disrupts this interaction in vitro and in
vivo. Surprisingly, the anti-TERRA delay is independent of the C-terminal
methyltransferase domain of Dot1 and instead requires only its N-terminus, which
was previously found to facilitate release of telomeres from the nuclear
periphery. Together, these data suggest that TERRA and Dot1 cooperate to drive
senescence.
PMID- 29649256
TI - Gene target selection for loop-mediated isothermal amplification for rapid
discrimination of Treponema pallidum subspecies.
AB - We show proof of concept for gene targets (polA, tprL, and TP_0619) that can be
used in loop-mediated isothermal amplification (LAMP) assays to rapidly
differentiate infection with any of the three Treponema pallidum subspecies
(pallidum (TPA), pertenue (TPE), and endemicum (TEN)) and which are known to
infect humans and nonhuman primates (NHPs). Four TPA, six human, and two NHP TPE
strains, as well as two human TEN strains were used to establish and validate the
LAMP assays. All three LAMP assays were highly specific for the target DNA.
Amplification was rapid (5-15 min) and within a range of 10E+6 to 10E+2 of target
DNA molecules. Performance in NHP clinical samples was similar to the one seen in
human TPE strains. The newly designed LAMP assays provide proof of concept for a
diagnostic tool that enhances yaws clinical diagnosis. It is highly specific for
the target DNA and does not require expensive laboratory equipment. Test results
can potentially be interpreted with the naked eye, which makes it suitable for
the use in remote clinical settings.
PMID- 29649257
TI - An experimental Staphylococcus aureus carriage and decolonization model in rhesus
macaques (Macaca mulatta).
AB - Our human model of nasal colonization and eradication of S. aureus is limited by
safety issues. As rhesus macaques are closely related to humans and natural hosts
for S. aureus, we developed an experimental decolonization and inoculation
protocol in these animals. Animals were screened for nasal carriage of S. aureus
and 20 carriers were selected. Decolonization was attempted using nasal mupirocin
(10 animals) or mupirocin plus trimethoprim/sulfadiazine intramuscularly (10
animals) both once daily for 5 days, and checked by follow-up cultures for 10
weeks. Intranasal inoculation was performed with S. aureus strain 8325-4 in
culture-negative animals. 11/20 animals, of which 5 received mupirocin and 6 the
combination treatment, became culture-negative for S. aureus for 10 weeks and
these 11 animals were subsequently inoculated. Swabs were taken once a week for 5
weeks to test for the presence of the inoculated strain. In 3 animals, strain
8325-4 was cultured from the nose 1 week after inoculation, indicating short-term
survival of this strain only, a finding similar to that previously found in our
human model. These data demonstrate that rhesus macaques may constitute a
relevant animal model to perform S. aureus eradication and inoculation studies
with relatively limited invasive handling of the animals.
PMID- 29649258
TI - Clinician ratings of the Comprehensive Assessment of Psychopathic Personality
(CAPP) in a representative sample of Spanish prison inmates: New validity
evidence.
AB - The Comprehensive Assessment of Psychopathic Personality (CAPP) is a concept map
of psychopathic personality disorder (PPD). The CAPP- Institutional Rating Scale
(IRS) is a tool designed to assess CAPP symptoms in institutional settings. The
CAPP contains 33 personality traits organized in six domains: attachment,
behavioural, cognitive, dominance, emotional and self. Until now, much of the
CAPP research has been conducted out of clinical, forensic and correctional
settings using self-ratings. In the current study, the psychometric properties
and construct validity of the CAPP-IRS were evaluated in a non-convenience sample
of 204 Spanish convicts. Clinician ratings were employed. Participants had been
imprisoned for at least 6 months at Pereiro de Aguiar Penitentiary. This group of
inmates was heterogeneous with respect to type of official charges, and
representative as all convicts interned for at least 6 months in this prison were
screened for participation. Classical test theory indexes of reliability,
correlations between CAPP items and domains and external correlations and
structural analyses demonstrated that CAPP assessment is a solid and robust way
of evaluating psychopathy in a correctional setting. Best fit was found for a
three-factor model: attachment and emotional items associated with a callous and
unemotional trait, dominance and self items associated with a pathological
interpersonal style, and behavioural and residual items from other domains
associated with impulsivity.
PMID- 29649259
TI - Development of the multi-epitope chimeric antigen rqTSA-25 from Taenia saginata
for serological diagnosis of bovine cysticercosis.
AB - Bovine cysticercosis is a worldwide distributed zoonosis caused by the larval
form of Taenia saginata present in bovine muscles. The diagnosis is based on the
postmortem inspection at slaughterhouses and consists of the macroscopic
visualization of lesions caused by cysticercosis in muscle sites. However,
parasitized animals can pass unnoticed during sanitary inspection. Thus, the
objective of this study was to characterize and evaluate the performance of
different peptides from different regions of T. saginata for the cysticercosis
diagnosis using enzyme-linked immunosorbent assay. We generated and evaluated a
new recombinant protein chimera derived from the fusion of different peptides. We
selected three distinct regions of T. saginata and predicted six peptides with
antigenic potential (EP2-EP7). These peptides were analyzed individually and
selected for generating a new chimeric recombinant protein. The new protein was
termed rqTSA-25, and its performance rates were: 93.3% sensitivity (confidence
interval (CI) = 76-98%), 95.3% specificity (CI = 82-99%), 93% positive predictive
value (CI = 76-98%), 95% negative predictive value (CI = 82-99%), and 95%
accuracy. In the immunoblot, this protein showed no false positive or false
negative reaction. Thus, the use of rqTSA-25 is recommended for the diagnosis of
bovine cysticercosis.
PMID- 29649260
TI - Decision support for evidence-based integration of disease control: A proof of
concept for malaria and schistosomiasis.
AB - AUTHOR SUMMARY: Designing and implementing effective programs for infectious
disease control requires complex decision-making, informed by an understanding of
the diseases, the types of disease interventions and control measures available,
and the disease-relevant characteristics of the local community. Though disease
modeling frameworks have been developed to address these questions and support
decision-making, the complexity of current models presents a significant barrier
to on-the-ground end users. The picture is further complicated when considering
approaches for integration of different disease control programs, where co
infection dynamics, treatment interactions, and other variables must also be
taken into account. Here, we describe the development of an application available
on the internet with a simple user interface, to support on-the-ground decision
making for integrating disease control, given local conditions and practical
constraints. The model upon which the tool is built provides predictive analysis
for the effectiveness of integration of schistosomiasis and malaria control, two
diseases with extensive geographical and epidemiological overlap. This proof-of
concept method and tool demonstrate significant progress in effectively
translating the best available scientific models to support pragmatic decision
making on the ground, with the potential to significantly increase the impact and
cost-effectiveness of disease control.
PMID- 29649261
TI - Delineation and mapping of coastal shark habitat within a shallow lagoonal
estuary.
AB - Estuaries function as important nursery and foraging habitats for many coastal
species, including highly migratory sharks. Pamlico Sound, North Carolina, is one
of the largest estuaries in the continental United States and provides a variety
of potential habitats for sharks. In order to identify and spatially delineate
shark habitats within Pamlico Sound, shark catch and environmental data were
analyzed from the 2007-2014 North Carolina Division of Marine Fisheries (NCDMF)
gillnet and longline surveys conducted within the estuary. Principal species were
identified and environmental data recorded at survey sites (depth, temperature,
salinity, dissolved oxygen, submerged aquatic vegetation (SAV) distance, and
inlet distance) were interpolated across Pamlico Sound to create seasonal
environmental grids with a 90-m2 cell size. Boosted Regression Tree (BRT)
analysis was used to identify the most important environmental factors and ranges
associated with presence of each principal species, and the resulting models were
used to predict shark capture probability based on the environmental values
within the grid cells. The Atlantic Sharpnose Shark (Rhizoprionodon terraenovae),
Blacktip Shark (Carcharhinus limbatus), Bull Shark (Carcharhinus leucas), Sandbar
Shark (Carcharhinus plumbeus), Smooth Dogfish (Mustelus canis), and Spiny Dogfish
(Squalus acanthias) were the principal species in Pamlico Sound. Most species
were associated with proximity to the inlet and/or high salinity, and warm
temperatures, but the Bull Shark preferred greater inlet distances and the Spiny
Dogfish preferred lower temperatures than the other species. Extensive Smooth
Dogfish habitat overlap with seagrass beds suggests that seagrass may be a
critical part of nursery habitat for this species. Spatial delineation of shark
habitat within the estuary will allow for better protection of essential habitat
and assessment of potential interactions with other species.
PMID- 29649262
TI - Antinociceptive antibiotics-loaded into solid lipid nanoparticles of prolonged
release: Measuring pharmacological efficiency and time span on chronic
monoarthritis rats.
AB - Pain is a sensory experience of a complex physiological nature in which is not
only involved the nervous system. Among its many features is the development of
chronic pain that is more complicated to treat because of the central
somatization processes involved, becoming inefficient treatments used in other
forms of pain. Among them is the role of glial cells, whose participation is such
that some authors have proposed to chronic pain as a gliopathy. Because of this,
the drug target of possible treatments focuses on modulating nociceptive response
affecting transduction into the central nervous system through affecting synapses
in the dorsal horn of the spinal cord. Solid lipid nanoparticles enter the
central nervous system, protecting the drug, and in addition to the advantage of
having greater absorption surface, all factors that improve drug activity. This
work is based on the development and characterization of lipid nanoparticles of
solid phase incorporating two antibiotics, minocycline, and ciprofloxacin with
antinociceptive properties and challenged them with a rat monoarthritis model
using Sprague-Dawley adult male rats. The solid lipid nanoparticles were prepared
to modify the lipid, and surfactant amounts to obtain the best encapsulation
capacity of the antibiotics, size and z potential. By using the Randall-Selitto
test, we measured its pharmacological efficiency as an anti-inflammatory and
measuring the time span the antibiotics are active. The encapsulated antibiotics
were at least 50% more efficient than the antibiotic alone, and that is possible
to measure anti-inflammatory activity up to seven days after the antibiotic
application. The former is important for example, in the veterinary field, since
a single application of the antibiotic will be necessary for the complete
treatment, avoiding excessive stress for the animals. We can conclude that
antinociceptive antibiotics encapsulation is a very effective, environmentally
safe and inexpensive method for improving the pharmacological efficiency and time
span the antibiotics are acting. Since these antibiotics are both anti-microbial
and antinociceptive, his use in the field of veterinary presents the advantage of
being adequate in single doses, with the saving of time and stress to the animals
under treatment.
PMID- 29649263
TI - Validation of CZECANCA (CZEch CAncer paNel for Clinical Application) for targeted
NGS-based analysis of hereditary cancer syndromes.
AB - BACKGROUND: Carriers of mutations in hereditary cancer predisposition genes
represent a small but clinically important subgroup of oncology patients. The
identification of causal germline mutations determines follow-up management,
treatment options and genetic counselling in patients' families. Targeted next
generation sequencing-based analyses using cancer-specific panels in high-risk
individuals have been rapidly adopted by diagnostic laboratories. While the use
of diagnosis-specific panels is straightforward in typical cases, individuals
with unusual phenotypes from families with overlapping criteria require multiple
panel testing. Moreover, narrow gene panels are limited by our currently
incomplete knowledge about possible genetic dispositions. METHODS: We have
designed a multi-gene panel called CZECANCA (CZEch CAncer paNel for Clinical
Application) for a sequencing analysis of 219 cancer-susceptibility and candidate
predisposition genes associated with frequent hereditary cancers. RESULTS: The
bioanalytical and bioinformatics pipeline was validated on a set of internal and
commercially available DNA controls showing high coverage uniformity,
sensitivity, specificity and accuracy. The panel demonstrates a reliable
detection of both single nucleotide and copy number variants. Inter-laboratory,
intra- and inter-run replicates confirmed the robustness of our approach.
CONCLUSION: The objective of CZECANCA is a nationwide consolidation of cancer
predisposition genetic testing across various clinical indications with savings
in costs, human labor and turnaround time. Moreover, the unified diagnostics will
enable the integration and analysis of genotypes with associated phenotypes in a
national database improving the clinical interpretation of variants.
PMID- 29649264
TI - Age at antiretroviral therapy initiation and cell-associated HIV-1 DNA levels in
HIV-1-infected children.
AB - BACKGROUND: The latent viral reservoir is the major obstacle to achieving HIV
remission and necessitates life-long antiretroviral therapy (ART) for HIV
infected individuals. Studies in adults and children have found that initiating
ART soon after infection is associated with a reduction in the size of the HIV-1
reservoir. Here we quantified cell-associated HIV-1 DNA in early-treated but
currently older HIV-infected children suppressed on ART. METHODS: The study
participants comprised of a cohort of 146 early-treated children with HIV-1 RNA
<50 copies/ml enrolled as part of a clinical trial in Johannesburg, South Africa.
A stored buffy coat sample collected after a median 4.3 years on ART and where
HIV-1 RNA was <50 copies/ml was tested for cell-associated HIV-1 DNA levels. An
in-house, semi-nested real-time quantitative hydrolysis probe PCR assay to detect
total HIV-1 subtype C proviral DNA was used. Children were followed prospectively
for up to 3 years after this measurement to investigate subsequent HIV-1 RNA
rebound/failure while remaining on ART. Age at ART initiation, HIV-1 RNA decline
prior to HIV-1 DNA measurement and other factors were investigated. RESULTS: A
gradient between age at ART initiation and later HIV-1 DNA levels was observed.
When ART was started <2 months of age, the lowest levels of cell-associated HIV-1
DNA (median 1.4 log10copies/106 cells, interquartile range [IQR] 0.95-1.55) were
observed compared to ART started at 2-4 months (median 1.68, IQR 1.26-1.97) or 5
14 months of age (median1.98, IQR 1.69-2.25). A low CD4 T-cell count pre
treatment predicted higher levels of HIV-1 DNA on later testing. The probability
of HIV-1 RNA rebound >50 copies/ml whilst on ART within 3 years after the DNA
measurement was 2.07 (95% CI: 1.352-3.167) times greater if the HIV-1 DNA level
was above the median of 55 copies/106 cells. CONCLUSIONS: Cell-associated HIV-1
DNA levels measured after more than 4 years on ART were lower the younger the age
of the child when ART was initiated. This marker of the size of the viral
reservoir also predicted subsequent viral rebound/treatment failure while ART was
sustained. The results provide additional evidence of the benefits of prompt
diagnosis and early ART initiation in newborns and infants.
PMID- 29649265
TI - Bacterial and protozoal pathogens found in ticks collected from humans in Corum
province of Turkey.
AB - BACKGROUND: Tick-borne diseases are increasing all over the word, including
Turkey. The aim of this study was to determine the bacterial and protozoan vector
borne pathogens in ticks infesting humans in the Corum province of Turkey.
METHODOLOGY/PRINCIPAL FINDINGS: From March to November 2014 a total of 322 ticks
were collected from patients who attended the local hospitals with tick bites.
Ticks were screened by real time-PCR and PCR, and obtained amplicons were
sequenced. The dedected tick was belonging to the genus Hyalomma, Haemaphysalis,
Rhipicephalus, Dermacentor and Ixodes. A total of 17 microorganism species were
identified in ticks. The most prevalent Rickettsia spp. were: R. aeschlimannii
(19.5%), R. slovaca (4.5%), R. raoultii (2.2%), R. hoogstraalii (1.9%), R.
sibirica subsp. mongolitimonae (1.2%), R. monacensis (0.31%), and Rickettsia spp.
(1.2%). In addition, the following pathogens were identified: Borrelia afzelii
(0.31%), Anaplasma spp. (0.31%), Ehrlichia spp. (0.93%), Babesia microti (0.93%),
Babesia ovis (0.31%), Babesia occultans (3.4%), Theileria spp. (1.6%), Hepatozoon
felis (0.31%), Hepatozoon canis (0.31%), and Hemolivia mauritanica (2.1%). All
samples were negative for Francisella tularensis, Coxiella burnetii, Bartonella
spp., Toxoplasma gondii and Leishmania spp. CONCLUSIONS/SIGNIFICANCE: Ticks in
Corum carry a large variety of human and zoonotic pathogens that were detected
not only in known vectors, but showed a wider vector diversity. There is an
increase in the prevalence of ticks infected with the spotted fever group and
lymphangitis-associated rickettsiosis, while Ehrlichia spp. and Anaplasma spp.
were reported for the first time from this region. B. microti was detected for
the first time in Hyalomma marginatum infesting humans. The detection of B.
occultans, B. ovis, Hepatozoon spp., Theileria spp. and Hemolivia mauritanica
indicate the importance of these ticks as vectors of pathogens of veterinary
importance, therefore patients with a tick infestation should be followed for a
variety of pathogens with medical importance.
PMID- 29649266
TI - Preoperative dynamic breast magnetic resonance imaging kinetic features using
computer-aided diagnosis: Association with survival outcome and tumor
aggressiveness in patients with invasive breast cancer.
AB - OBJECTIVES: To evaluate whether preoperative breast dynamic contrast-enhanced
(DCE) magnetic resonance (MR) imaging kinetic features, assessed using computer
aided diagnosis (CAD), can predict survival outcome and tumor aggressiveness in
patients with invasive breast cancer. MATERIALS AND METHODS: Between March and
December 2011, 301 women who underwent preoperative DCE MR imaging for invasive
breast cancer, with CAD data, were identified. All MR images were retrospectively
evaluated using a commercially available CAD system. The following kinetic
parameters were prospectively recorded for each lesion: initial peak enhancement,
the proportion of early phase medium and rapid enhancement, and the proportion of
delayed phase persistent, plateau, and washout enhancement. The Cox proportional
hazards model was used to determine the association between the kinetic features
assessed by CAD and disease-free survival (DFS). The peak signal intensity and
kinetic enhancement profiles were compared with the clinical-pathological
variables. RESULTS: There were 32 recurrences during a mean follow-up time of
55.2 months (range, 5-72 months). Multivariate analysis revealed that a higher
peak enhancement (DFS hazard ratio, 1.004 [95% confidence interval (CI): 1.001,
1.006]; P = .013) on DCE MR imaging and a triple-negative subtype (DFS hazard
ratio, 21.060 [95% CI: 2.675, 165.780]; P = .004) were associated with a poorer
DFS. Higher peak enhancement was significantly associated with a higher tumor
stage, clinical stage, and histologic grade. CONCLUSIONS: Patients with breast
cancer who showed higher CAD-derived peak enhancement on breast MR imaging had
worse DFS. Peak enhancement and volumetric analysis of kinetic patterns were
useful for predicting tumor aggressiveness.
PMID- 29649267
TI - Anonymity-preserving Reputation Management System for health sector.
AB - In health sector, trust is considered important because it indirectly influences
the quality of health care through patient satisfaction, adherence and the
continuity of its relationship with health care professionals and the promotion
of accurate and timely diagnoses. One of the important requirements of TRSs in
the health sector is rating secrecy, which mandates that the identification
information about the service consumer should be kept secret to prevent any
privacy violation. Anonymity and trust are two imperative objectives, and no
significant explicit efforts have been made to achieve both of them at the same
time. In this paper, we present a framework for solving the problem of
reconciling trust with anonymity in the health sector. Our solution comprises
Anonymous Reputation Management (ARM) protocol and Context-aware Trustworthiness
Assessment (CTA) protocol. ARM protocol ensures that only those service consumers
who received a service from a specific service provider provide a recommendation
score anonymously with in the specified time limit. The CTA protocol computes the
reputation of a user as a service provider and as a recommender. To determine the
correctness of the proposed ARM protocol, formal modelling and verification are
performed using High Level Petri Nets (HLPN) and Z3 Solver. Our simulation
results verify the accuracy of the proposed context-aware trust assessment
scheme.
PMID- 29649268
TI - A case-control study of lower urinary-tract infections, associated antibiotics
and the risk of developing prostate cancer using PCBaSe 3.0.
AB - OBJECTIVES: To investigate the association between lower urinary-tract
infections, their associated antibiotics and the subsequent risk of developing
PCa. SUBJECTS/PATIENTS (OR MATERIALS) AND METHODS: Using data from the Swedish
PCBaSe 3.0, we performed a matched case-control study (8762 cases and 43806
controls). Conditional logistic regression analysis was used to assess the
association between lower urinary-tract infections, related antibiotics and PCa,
whilst adjusting for civil status, education, Charlson Comorbidity Index and time
between lower urinary-tract infection and PCa diagnosis. RESULTS: It was found
that lower urinary-tract infections did not affect PCa risk, however, having a
lower urinary-tract infection or a first antibiotic prescription 6-12 months
before PCa were both associated with an increased risk of PCa (OR: 1.50, 95% CI:
1.23-1.82 and 1.96, 1.71-2.25, respectively), as compared to men without lower
urinary-tract infections. Compared to men with no prescriptions for antibiotics,
men who were prescribed >=10 antibiotics, were 15% less likely to develop PCa
(OR: 0.85, 95% CI: 0.78-0.91). CONCLUSION: PCa was not found to be associated
with diagnosis of a urinary-tract infection or frequency, but was positively
associated with short time since diagnoses of lower urinary-tract infection or
receiving prescriptions for antibiotics. These observations can likely be
explained by detection bias, which highlights the importance of data on the
diagnostic work-up when studying potential risk factors for PCa.
PMID- 29649269
TI - Carriage of Streptococcus pneumoniae, Haemophilus influenzae, Moraxella
catarrhalis, and Staphylococcus aureus in Indonesian children: A cross-sectional
study.
AB - Streptococcus pneumoniae is an important cause of infection and commonly
colonizes the nasopharynx of young children, along with other potentially
pathogenic bacteria. The objectives of this study were to estimate the carriage
prevalence of S. pneumoniae, Haemophilus influenzae, Moraxella catarrhalis, and
Staphylococcus aureus in young children in Indonesia, and to examine interactions
between these bacterial species. 302 healthy children aged 12-24 months were
enrolled in community health centers in the Bandung, Central Lombok, and Padang
regions. Nasopharyngeal swabs were collected and stored according to World Health
Organization recommendations, and bacterial species detected by qPCR.
Pneumococcal serotyping was conducted by microarray and latex
agglutination/Quellung. Overall carriage prevalence was 49.5% for S. pneumoniae,
27.5% for H. influenzae, 42.7% for M. catarrhalis, and 7.3% for S. aureus.
Prevalence of M. catarrhalis and S. pneumoniae, as well as pneumococcal serotype
distribution, varied by region. Positive associations were observed for S.
pneumoniae and M. catarrhalis (OR 3.07 [95%CI 1.91-4.94]), and H. influenzae and
M. catarrhalis (OR 2.34 [95%CI 1.40-3.91]), and a negative association was found
between M. catarrhalis and S. aureus (OR 0.06 [95%CI 0.01-0.43]). Densities of S.
pneumoniae, H. influenzae, and M. catarrhalis were positively correlated when two
of these species were present. Prior to pneumococcal vaccine introduction,
pneumococcal carriage prevalence and serotype distribution varies among children
living in different regions of Indonesia. Positive associations in both carriage
and density identified among S. pneumoniae, H. influenzae, and M. catarrhalis
suggest a synergistic relationship among these species with potential clinical
implications.
PMID- 29649270
TI - The quality of reporting in randomized controlled trials of acupuncture for knee
osteoarthritis: A cross-sectional survey.
AB - OBJECTIVE: To assess the reporting quality of acupuncture trials for knee
osteoarthritis (KOA), and explore the factors associated with the reporting.
METHOD: Three English and four Chinese databases were searched from inception to
December 2016 for randomized control trials testing effects of acupuncture for
knee osteoarthritis. We used the standard CONSORT (2010 version), CONSORT
Extension for Non-Pharmacological Treatments, and STRICTA for measuring the
quality of reporting. Using pre-specified study characteristics, we undertook
regression analyses to examine factors associated with the reporting quality.
RESULTS: A total of 318 RCT reports were included. For the standard CONSORT, ten
items were substantially under-reported (reported in less than 5% of RCTs),
including specification of important changes to methods after trial commencement
(0.6%), description of any changes to trial outcomes (0.0%), implementation of
interim analyses and stopping guidelines (0.6%), statement about why the trial
ended or was stopped (1.6%), statement about the registration status (4.4%),
accessibility of full trial protocol (4.7%), implementation of randomization
(4.7%), description of the similarity of interventions (3.5%), conduct of
ancillary analyses (3.8%) and presentation of methods for additional analyses
(4.4%). Four of the STRICTA items were under-reported (reported in less than 10%
of RCTs), including description of acupuncture style (8.5%), presentation of
extent to which treatment varied (1.3%), statement of practitioner background
(7.2%) and rationale for the control (9.1%). For CONSORT Extension, the reporting
was poor across all items (reported in less than 10% of trials). Trials including
authors with expertise in epidemiology or statistics, published in English, or
enrolling patients from multiple centers were more likely to have better
reporting. CONCLUSIONS: The reporting in RCTs of acupuncture for KOA was
generally poor. To improve the reporting quality, journals should encourage
strict adherence to the reporting guidelines.
PMID- 29649271
TI - Automated analysis of cell migration and nuclear envelope rupture in confined
environments.
AB - Recent in vitro and in vivo studies have highlighted the importance of the cell
nucleus in governing migration through confined environments. Microfluidic
devices that mimic the narrow interstitial spaces of tissues have emerged as
important tools to study cellular dynamics during confined migration, including
the consequences of nuclear deformation and nuclear envelope rupture. However,
while image acquisition can be automated on motorized microscopes, the analysis
of the corresponding time-lapse sequences for nuclear transit through the pores
and events such as nuclear envelope rupture currently requires manual analysis.
In addition to being highly time-consuming, such manual analysis is susceptible
to person-to-person variability. Studies that compare large numbers of cell types
and conditions therefore require automated image analysis to achieve sufficiently
high throughput. Here, we present an automated image analysis program to register
microfluidic constrictions and perform image segmentation to detect individual
cell nuclei. The MATLAB program tracks nuclear migration over time and records
constriction-transit events, transit times, transit success rates, and nuclear
envelope rupture. Such automation reduces the time required to analyze migration
experiments from weeks to hours, and removes the variability that arises from
different human analysts. Comparison with manual analysis confirmed that both
constriction transit and nuclear envelope rupture were detected correctly and
reliably, and the automated analysis results closely matched a manual analysis
gold standard. Applying the program to specific biological examples, we
demonstrate its ability to detect differences in nuclear transit time between
cells with different levels of the nuclear envelope proteins lamin A/C, which
govern nuclear deformability, and to detect an increase in nuclear envelope
rupture duration in cells in which CHMP7, a protein involved in nuclear envelope
repair, had been depleted. The program thus presents a versatile tool for the
study of confined migration and its effect on the cell nucleus.
PMID- 29649272
TI - In-field and in-vitro study of the moss Leptodictyum riparium as bioindicator of
toxic metal pollution in the aquatic environment: Ultrastructural damage,
oxidative stress and HSP70 induction.
AB - This study evaluates the effects of toxic metal pollution in the highly
contaminated Sarno River (South Italy), by using the aquatic moss Leptodictyum
riparium in bags at 3 representative sites of the river. Biological effects were
assessed by metal bioaccumulation, ultrastructural changes, oxidative stress, as
Reactive Oxygen Species (ROS) production and Glutathione S-transferase (GST)
activity, as well as Heat Shock Proteins 70 (HSP70s) induction. The results
showed that L. riparium is a valuable bioindicator for toxic metal pollution of
water ecosystem, accumulating different amounts of toxic metals from the aquatic
environment. Toxic metal pollution caused severe ultrastructural damage, as well
as increased ROS production and induction of GST and HSP70s, in the samples
exposed at the polluted sites. To assess the role and the effect of toxic metals
on L. riparium, were also cultured in vitro with Cd, Cr, Cu, Fe, Ni, Pb, Zn at
the same concentrations as measured at the 3 sites. Ultrastructure, ROS, GST, and
HSP70s resulted severely affected by toxic metals. Based on our findings, we
confirm L. riparium as a model organism in freshwater biomonitoring surveys, and
GST and HSP70s as promising biomarkers of metal toxicity.
PMID- 29649273
TI - Do all infants need vitamin D supplementation?
AB - A high prevalence of vitamin D deficiency (VDD) in children has been observed
worldwide, but there are few studies on the nutritional status of vitamin D (VD)
in healthy infants. The main cause of deficiency in healthy children is
breastfeeding without supplementation and lack or insufficiency of sun exposure.
The aims of this study were to determine serum concentrations of 25(OH)D and
verify its association with parathyroid hormone (PTH) concentrations and use of
VD supplementation in healthy infants aged >= 6 to <= 24 months attended at two
Primary Health Care Units in Ribeirao Preto city, Sao Paulo, Brazil. A cross
sectional, observational and analytical study was performed in which serum
concentrations of 25(OH)D, PTH, alkaline phosphatase (AP), calcium (Ca),
phosphorus (P) and albumin were determined in 155 healthy infants. Information on
sun exposure, sociodemographic aspects of mothers and clinical and nutritional
characteristics of infants were obtained through interviews with responsible
infants's legal representatives. Ten infants (6%) presented deficient 25(OH)D
serum concentration (<=20ng/ml) and 46 (30%), insufficient (21 to 29ng/ml). No
changes in serum P, Ca and albumin concentrations were detected. Only one infant
had an increase in PTH serum concentrations. 35% (55/155) of infants had high AP
e 40% (22/55) presented insufficient serum concentrations of 25(OH)D but none
presented deficient ones. There was a weak association between serum
concentrations of 25(OH)D and PTH and an association between serum concentrations
of 25(OH)D and P when adjusted for sex, age and BMI. There were no associations
between inadequate serum concentrations of 25(OH)D (deficient ou insufficient),
sun exposure and VD supplementation. This study found a low prevalence of
deficient 25(OH)D serum concentration and high prevalence of insufficient ones
which was not associated with changes in serum PTH, AP, P, Ca and albumin
concentrations, VD supplementation and the formula volume intake.
PMID- 29649274
TI - Present-day monitoring underestimates the risk of exposure to pathogenic bacteria
from cold water storage tanks.
AB - Water-borne bacteria, found in cold water storage tanks, are causative agents for
various human infections and diseases including Legionnaires' disease.
Consequently, regular microbiological monitoring of tank water is undertaken as
part of the regulatory framework used to control pathogenic bacteria. A key
assumption is that a small volume of water taken from under the ball valve (where
there is easy access to the stored water) will be representative of the entire
tank. To test the reliability of this measure, domestic water samples taken from
different locations of selected tanks in London properties between November 2015
and July 2016 were analysed for TVCs, Pseudomonas and Legionella at an accredited
laboratory, according to regulatory requirements. Out of ~6000 tanks surveyed,
only 15 were selected based on the ability to take a water sample from the normal
sampling hatch (located above the ball valve) and from the far end of the tank
(usually requiring disassembly of the tank lid with risk of structural damage),
and permission being granted by the site manager to undertake the additional
investigation and sampling. Despite seasonal differences in water temperature, we
found 100% compliance at the ball valve end. In contrast, 40% of the tanks
exceeded the regulatory threshold for temperature at the far end of the tank in
the summer months. Consequently, 20% of the tanks surveyed failed to trigger
appropriate regulatory action based on microbiological analyses of the water
sample taken under the ball valve compared to the far end sample using present
day standards. These data show that typical water samples collected for routine
monitoring may often underestimate the microbiological status of the water
entering the building, thereby increasing the risk of exposure to water bourne
pathogens with potential public health implications. We propose that water
storage tanks should be redesigned to allow access to the far end of tanks for
routine monitoring purposes, and that water samples used to ascertain the
regulatory compliance of stored water in tanks should be taken at the point at
which water is abstracted for use in the building.
PMID- 29649275
TI - Evaluation of the relationship between plasma lipids and abdominal aortic
aneurysm: A Mendelian randomization study.
AB - Studies have reported that higher circulating levels of total cholesterol (TC),
low-density lipoprotein (LDL) cholesterol and lower of high-density lipoprotein
(HDL) cholesterol may be associated with increased risk of abdominal aortic
aneurysm (AAA). Whether dyslipidemia causes AAA is still unclear and is
potentially testable using a Mendelian randomization (MR) approach. We
investigated the associations between blood lipids and AAA using two-sample MR
analysis with SNP-lipids association estimates from a published genome-wide
association study of blood lipids (n = 188,577) and SNP-AAA association estimates
from European Americans (EAs) of the Atherosclerosis Risk in Communities (ARIC)
study (n = 8,793). We used inverse variance weighted (IVW) MR as the primary
method and MR-Egger regression and weighted median MR estimation as sensitivity
analyses. Over a median of 22.7 years of follow-up, 338 of 8,793 ARIC
participants experienced incident clinical AAA. Using the IVW method, we observed
positive associations of plasma LDL cholesterol and TC with the risk of AAA (odds
ratio (OR) = 1.55, P = 0.02 for LDL cholesterol and OR = 1.61, P = 0.01 for TC
per 1 standard deviation of lipid increment). Using the MR-Egger regression and
weighted median methods, we were able to validate the association of AAA risk
with TC, although the associations were less consistent for LDL cholesterol due
to wider confidence intervals. Triglycerides and HDL cholesterol were not
associated with AAA in any of the MR methods. Assuming instrumental variable
assumptions are satisfied, our finding suggests that higher plasma TC and LDL
cholesterol are causally associated with the increased risk of AAA in EAs.
PMID- 29649276
TI - Carbapenem-resistant Gram-negative pathogens in a German university medical
center: Prevalence, clinical implications and the role of novel beta-lactam/beta
lactamase inhibitor combinations.
AB - OBJECTIVES: To determine the spectrum of infections with multidrug-resistant Gram
negative bacteria (MDR-GNB) and the clinical impact of the newly available
betalactam/betalactamase inhibitor combinations ceftolozane/tazobactam and
ceftazidime/avibactam in a German academic tertiary care center. METHODS:
Retrospective analysis. RESULTS: Between September 1, 2015 and August 31, 2016,
119 individual patients (0.22% of all hospital admissions) were colonized or
infected with carbapenem-resistant MDR-GNB. The species distribution was
Pseudomonas aeruginosa, n = 66; Enterobacteriaceae spp., n = 44; and
Acinetobacter baumannii, n = 18. In 9 patients, carbapenem-resistant isolates
belonging to more than one species were detected. Infection was diagnosed in 50
patients (total: 42.0%; nosocomial pneumonia: n = 23, 19.3%; bloodstream
infection: n = 11, 9.2%). Antimicrobial treatment with broad-spectrum antibiotics
prior to detection of a carbapenem-resistant isolate was documented in 105
patients (88.2%, prior administration of carbapenems: 62.2%). Nosocomial
transmission was documented in 29 patients (24.4%). In 26 patients (21.8%), at
least one carbapenem-susceptible, third-generation cephalosporin non-susceptible
isolate was documented prior to detection of a carbapenem-resistant isolate
belonging to the same species (median 38 days, IQR 23-78). 12 patients (10.1%)
had documented previous contact to the healthcare system in a country with high
burden of carbapenemase-producing strains. Genes encoding carbapenemases were
detected in 60/102 patient isolates (58.8%; VIM-2, n = 25; OXA-48, n = 21; OXA-23
like, n = 10). Susceptibility to colistin was 94.3%. Ceftolozane/tazobactam and
ceftazidime/avibactam were administered to 3 and 5 patients, respectively (in
hospital mortality: 66% and 100%). Development of drug-resistance under therapy
was observed for both antimicrobials. CONCLUSIONS: i) The major predisposing
factors for acquisition of carbapenem-resistant MDR-GNB were selective pressure
due to preceding antimicrobial therapy and nosocomial transmission. ii) Colistin
remains the backbone of antimicrobial chemotherapy for infections caused by
carbapenem-resistant MDR-GNB. iii) Novel beta-lactam/beta-lactamase inhibitor
combinations are of limited usefulness in our setting because of the high
prevalence of Ambler class B carbapenemases and the emergence of
nonsusceptibility under therapy.
PMID- 29649277
TI - Estimated prevalence of undiagnosed atrial fibrillation in the United States.
AB - INTRODUCTION: As atrial fibrillation (AF) is often asymptomatic, it may remain
undiagnosed until or even after development of complications, such as stroke.
Consequently the observed prevalence of AF may underestimate total disease
burden. METHODS: To estimate the prevalence of undiagnosed AF in the United
States, we performed a retrospective cohort modeling study in working age (18-64)
and elderly (>=65) people using commercial and Medicare administrative claims
databases. We identified patients in years 2004-2010 with incident AF following
an ischemic stroke. Using a back-calculation methodology, we estimated the
prevalence of undiagnosed AF as the ratio of the number of post-stroke AF
patients and the CHADS2-specific stroke probability for each patient, adjusting
for age and gender composition based on United States census data. RESULTS: The
estimated prevalence of AF (diagnosed and undiagnosed) was 3,873,900 (95%CI:
3,675,200-4,702,600) elderly and 1,457,100 (95%CI: 1,218,500-1,695,800) working
age adults, representing 10.0% and 0.92% of the respective populations. Of these,
698,900 were undiagnosed: 535,400 (95%CI: 331,900-804,400) elderly and 163,500
(95%CI: 17,700-400,000) working age adults, representing 1.3% and 0.09% of the
respective populations. Among all undiagnosed cases, 77% had a CHADS2 score >=1,
and 56% had CHADS2 score >=2. CONCLUSIONS: Using a back-calculation approach, we
estimate that the total AF prevalence in 2009 was 5.3 million of which 0.7
million (13.1% of AF cases) were undiagnosed. Over half of the modeled population
with undiagnosed AF was at moderate to high risk of stroke.
PMID- 29649278
TI - Quantitative surveillance of shiga toxins 1 and 2, Escherichia coli O178 and O157
in feces of western-Canadian slaughter cattle enumerated by droplet digital PCR
with a focus on seasonality and slaughterhouse location.
AB - Often Escherichia coli are harmless and/or beneficial bacteria inhabiting the
gastrointestinal tract of livestock and humans. However, Shiga toxin-producing E.
coli (STEC) have been linked to human disease. Cattle are the primary reservoir
for STEC and STEC "super-shedders" are considered to be a major contributor in
animal to animal transmission. Among STEC, O157:H7 is the most recognized
serotype, but in recent years, non-O157 STEC have been increasingly linked to
human disease. In Argentina and Germany, O178 is considered an emerging pathogen.
Our objective was to compare populations of E. coli O178, O157, shiga toxin 1 and
2 in western Canadian cattle feces from a sampling pool of ~80,000 beef cattle
collected at two slaughterhouses. Conventional PCR was utilized to screen 1,773
samples for presence/absence of E. coli O178. A subset of samples (n = 168) was
enumerated using droplet digital PCR (ddPCR) and proportions of O178, O157 and
shiga toxins 1 & 2 specific-fragments were calculated as a proportion of generic
E. coli (GEC) specific-fragments. Distribution of stx1 and stx2 was determined by
comparing stx1, stx2 and O157 enumerations. Conventional PCR detected the
presence of O178 in 873 of 1,773 samples and ddPCR found the average proportion
of O178, O157, stx1 and stx2 in the samples 2.8%, 0.6%, 1.4% and 0.5%,
respectively. Quantification of stx1 and stx2 revealed more virulence genes than
could be exclusively attributed to O157. Our results confirmed the presence of E.
coli O178 in western Canadian cattle and ddPCR revealed O178 as a greater
proportion of GEC than was O157. Our results suggests: I) O178 may be an emerging
subgroup in Canada and II) monitoring virulence genes may be a more relevant
target for food-safety STEC surveillance compared to current serogroup screening.
PMID- 29649279
TI - Spatial and temporal behavioural responses of wild cattle to tropical forest
degradation.
AB - Identifying the consequences of tropical forest degradation is essential to
mitigate its effects upon forest fauna. Large forest-dwelling mammals are often
highly sensitive to environmental perturbation through processes such as
fragmentation, simplification of habitat structure, and abiotic changes including
increased temperatures where the canopy is cleared. Whilst previous work has
focused upon species richness and rarity in logged forest, few look at spatial
and temporal behavioural responses to forest degradation. Using camera traps, we
explored the relationships between diel activity, behavioural expression, habitat
use and ambient temperature to understand how the wild free-ranging Bornean
banteng (Bos javanicus lowi) respond to logging and regeneration. Three secondary
forests in Sabah, Malaysian Borneo were studied, varying in the time since last
logging (6-23 years). A combination of generalised linear mixed models and
generalised linear models were constructed using >36,000 trap-nights. Temperature
had no significant effect on activity, however it varied markedly between
forests, with the period of intense heat shortening as forest regeneration
increased over the years. Bantengs regulated activity, with a reduction during
the wet season in the most degraded forest (z = -2.6, Std. Error = 0.13, p =
0.01), and reductions during midday hours in forest with limited regeneration,
however after >20 years of regrowth, activity was more consistent throughout the
day. Foraging and use of open canopy areas dominated the activity budget when
regeneration was limited. As regeneration advanced, this was replaced by greater
investment in travelling and using a closed canopy. Forest degradation modifies
the ambient temperature, and positively influences flooding and habitat
availability during the wet season. Retention of a mosaic of mature forest
patches within commercial forests could minimise these effects and also provide
refuge, which is key to heat dissipation and the prevention of thermal stress,
whilst retention of degraded forest could provide forage.
PMID- 29649280
TI - Evolution of structural diversity of trichothecenes, a family of toxins produced
by plant pathogenic and entomopathogenic fungi.
AB - Trichothecenes are a family of terpenoid toxins produced by multiple genera of
fungi, including plant and insect pathogens. Some trichothecenes produced by the
fungus Fusarium are among the mycotoxins of greatest concern to food and feed
safety because of their toxicity and frequent occurrence in cereal crops, and
trichothecene production contributes to pathogenesis of some Fusarium species on
plants. Collectively, fungi produce over 150 trichothecene analogs: i.e.,
molecules that share the same core structure but differ in patterns of
substituents attached to the core structure. Here, we carried out genomic,
phylogenetic, gene-function, and analytical chemistry studies of strains from
nine fungal genera to identify genetic variation responsible for trichothecene
structural diversity and to gain insight into evolutionary processes that have
contributed to the variation. The results indicate that structural diversity has
resulted from gain, loss, and functional changes of trichothecene biosynthetic
(TRI) genes. The results also indicate that the presence of some substituents has
arisen independently in different fungi by gain of different genes with the same
function. Variation in TRI gene duplication and number of TRI loci was also
observed among the fungi examined, but there was no evidence that such genetic
differences have contributed to trichothecene structural variation. We also
inferred ancestral states of the TRI cluster and trichothecene biosynthetic
pathway, and proposed scenarios for changes in trichothecene structures during
divergence of TRI cluster homologs. Together, our findings provide insight into
evolutionary processes responsible for structural diversification of toxins
produced by pathogenic fungi.
PMID- 29649281
TI - Classification for long-term survival in oligometastatic patients treated with
ablative radiotherapy: A multi-institutional pooled analysis.
AB - BACKGROUND: Radiotherapy is increasingly used to treat oligometastatic patients.
We sought to identify prognostic criteria in oligometastatic patients undergoing
definitive hypofractionated image-guided radiotherapy (HIGRT). METHODS:
Exclusively extracranial oligometastatic patients treated with HIGRT were pooled.
Characteristics including age, sex, primary tumor type, interval to metastatic
diagnosis, number of treated metastases and organs, metastatic site, prior
systemic therapy for primary tumor treatment, prior definitive metastasis
directed therapy, and systemic therapy for metastasis associated with overall
survival (OS), progression-free survival (PFS), and treated metastasis control
(TMC) were assessed by the Cox proportional hazards method. Recursive
partitioning analysis (RPA) identified prognostic risk strata for OS and PFS
based on pretreatment factors. RESULTS: 361 patients were included. Primary
tumors included non-small cell lung (17%), colorectal (19%), and breast cancer
(16%). Three-year OS was 56%, PFS was 24%, and TMC was 72%. On multivariate
analysis, primary tumor, interval to metastases, treated metastases number, and
mediastinal/hilar lymph node, liver, or adrenal metastases were associated with
OS. Primary tumor site, involved organ number, liver metastasis, and prior
primary disease chemotherapy were associated with PFS. OS RPA identified five
classes: class 1: all breast, kidney, or prostate cancer patients (BKP) (3-year
OS 75%, 95% CI 66-85%); class 2: patients without BKP with disease-free interval
of 75+ months (3-year OS 85%, 95% CI 67-100%); class 3: patients without BKP,
shorter disease-free interval, <= two metastases, and age < 62 (3-year OS 55%,
95% CI 48-64%); class 4: patients without BKP, shorter disease-free interval, >=
three metastases, and age < 62 (3-year OS 38%, 95% CI 24-60%); class 5: all
others (3-year OS 13%, 95% CI 5-35%). Higher biologically effective dose (BED) (p
< 0.01) was associated with OS. CONCLUSIONS: We identified clinical factors
defining oligometastatic patients with favorable outcomes, who we hypothesize are
most likely to benefit from metastasis-directed therapy.
PMID- 29649282
TI - Host Pah1p phosphatidate phosphatase limits viral replication by regulating
phospholipid synthesis.
AB - Replication of positive-strand RNA viruses [(+)RNA viruses] takes place in
membrane-bound viral replication complexes (VRCs). Formation of VRCs requires
virus-mediated manipulation of cellular lipid synthesis. Here, we report
significantly enhanced brome mosaic virus (BMV) replication and much improved
cell growth in yeast cells lacking PAH1 (pah1Delta), the sole yeast ortholog of
human LIPIN genes. PAH1 encodes Pah1p (phosphatidic acid phosphohydrolase), which
converts phosphatidate (PA) to diacylglycerol that is subsequently used for the
synthesis of the storage lipid triacylglycerol. Inactivation of Pah1p leads to
altered lipid composition, including high levels of PA, total phospholipids,
ergosterol ester, and free fatty acids, as well as expansion of the nuclear
membrane. In pah1Delta cells, BMV replication protein 1a and double-stranded RNA
localized to the extended nuclear membrane, there was a significant increase in
the number of VRCs formed, and BMV genomic replication increased by 2-fold
compared to wild-type cells. In another yeast mutant that lacks both PAH1 and
DGK1 (encodes diacylglycerol kinase converting diacylglycerol to PA), which has a
normal nuclear membrane but maintains similar lipid compositional changes as in
pah1Delta cells, BMV replicated as efficiently as in pah1Delta cells, suggesting
that the altered lipid composition was responsible for the enhanced BMV
replication. We further showed that increased levels of total phospholipids play
an important role because the enhanced BMV replication required active synthesis
of phosphatidylcholine, the major membrane phospholipid. Moreover, overexpression
of a phosphatidylcholine synthesis gene (CHO2) promoted BMV replication.
Conversely, overexpression of PAH1 or plant PAH1 orthologs inhibited BMV
replication in yeast or Nicotiana benthamiana plants. Competing with its host for
limited resources, BMV inhibited host growth, which was markedly alleviated in
pah1Delta cells. Our work suggests that Pah1p promotes storage lipid synthesis
and thus represses phospholipid synthesis, which in turn restricts both viral
replication and cell growth during viral infection.
PMID- 29649283
TI - Design and preclinical characterization of ALXN1210: A novel anti-C5 antibody
with extended duration of action.
AB - Eculizumab, a monoclonal antibody (mAb) directed against complement protein C5,
is considered to be the current standard of care for patients with paroxysmal
nocturnal hemoglobinuria (PNH) and atypical hemolytic uremic syndrome. This study
describes the generation and preclinical attributes of ALXN1210, a new long
acting anti-C5 mAb, obtained through select modifications to eculizumab to both
largely abolish target-mediated drug disposition (TMDD) and increase recycling
efficiency via the neonatal Fc receptor (FcRn). To attenuate the effect of TMDD
on plasma terminal half-life (t1/2), histidine substitutions were engineered into
the complementarity-determining regions of eculizumab to enhance the dissociation
rate of the mAb:C5 complex in the acidic early endosome relative to the slightly
basic pH of blood. Antibody variants with optimal pH-dependent binding to C5
exhibited little to no TMDD in mice in the presence of human C5. To further
enhance the efficiency of FcRn-mediated recycling of the antibody, two additional
substitutions were introduced to increase affinity for human FcRn. These
substitutions yielded an additional doubling of the t1/2 of surrogate anti-mouse
C5 antibodies with reduced TMDD in transgenic mice expressing the human FcRn. In
conclusion, ALXN1210 is a promising new therapeutic candidate currently in
clinical development for treatment of patients with PNH and atypical hemolytic
uremic syndrome.
PMID- 29649284
TI - Sulfasalazine, an inhibitor of the cystine-glutamate antiporter, reduces DNA
damage repair and enhances radiosensitivity in murine B16F10 melanoma.
AB - The sodium-independent cystine-glutamate antiporter plays an important role in
extracellular cystine uptake. It comprises the transmembrane protein, xCT and its
chaperone, CD98. Because glutathione is only weakly cell membrane permeable,
cellular uptake of its precursor, cystine, is known to be a key step in
glutathione synthesis. Moreover, it has been reported that xCT expression affects
the progression of tumors and their resistance to therapy. Sulfasalazine is an
inhibitor of xCT that is known to increase cellular oxidative stress, giving it
anti-tumor potential. Here, we describe a radio-sensitizing effect of
sulfasalazine using a B16F10 melanoma model. Sulfasalazine decreased glutathione
concentrations and resistance to H2O2 in B16F10 melanoma cells, but not in mouse
embryonic fibroblasts. It synergistically enhanced the cyto-killing effect of X
irradiation in B16F10 cells. It inhibited cellular DNA damage repair and
prolonged cell cycle arrest after X-irradiation. Furthermore, in an in vivo
transplanted melanoma model, sulfasalazine decreased intratumoral glutathione
content, leading to enhanced susceptibility to radiation therapy. These results
suggest the possibility of using SAS to augment the treatment of radio-resistant
cancers.
PMID- 29649286
TI - Correction: Dissecting the Autocrine and Paracrine Roles of the CCR2-CCL2 Axis in
Tumor Survival and Angiogenesis.
AB - [This corrects the article DOI: 10.1371/journal.pone.0028305.].
PMID- 29649285
TI - Cost of gastroenteritis in Australia: A healthcare perspective.
AB - BACKGROUND: Acute gastroenteritis illness is a common illness that causes
considerable morbidity, but current estimates of the cost to the Australian
healthcare system are unknown. OBJECTIVE: To estimate the current healthcare
utilisation and direct public healthcare system costs attributable to acute
gastroenteritis illness in Australia. METHODS: This is an incidence-based cost-of
illness study focused on quantifying direct health care costs using a bottom-up
approach. Data on general practitioner consultations, prescribed medications,
diagnostic tests, specialist consultations, emergency department visits and
hospital admissions were collected from national reports. RESULTS: Using 2016
prices, the estimated annual direct per capita cost of acute gastroenteritis
illness was AUD$14.87 (USD$10.71), equating to AUD$20.27 (USD$14.59) per case.
The estimated overall economic burden in Australia was AUD$359 million (USD$258
million; AUD$1.5 million per 100,000 people). The major contributors to this cost
were hospital admissions (57.1%), emergency department visits (17.7%), and
general practitioner consultations (14.0%). Children under five years of age have
the highest per capita rates of acute gastroenteritis illness; however, service
utilisation rates vary by age group and both young children and older adults
accounted for a substantial proportion of the overall economic burden
attributable to acute gastroenteritis illness. CONCLUSIONS: Although chronic
diseases comprise a large cost burden on the healthcare system, acute illnesses,
including acute gastroenteritis illness, also impose substantial direct
healthcare system costs. Providing data on current cost estimates is useful for
prioritizing public health interventions, with our findings suggesting that it
would be ideal if targeted interventions to reduce hospitalisation rates among
young children and older adults were available.
PMID- 29649287
TI - Viewing sexual images is associated with reduced physiological arousal response
to gambling loss.
AB - Erotic imagery is one highly salient emotional signal that exists everywhere in
daily life. The impact of sexual stimuli on human decision-making, however, has
rarely been investigated. This study examines the impact of sexual stimuli on
financial decision-making under risk. In each trial, either a sexual or neutral
image was presented in a picture categorization task before a gambling task.
Thirty-four men made gambling decisions while their physiological arousal,
measured by skin conductance responses (SCRs), was recorded. Behaviorally, the
proportion of gambling decisions did not differ between the sexual and neutral
image trials. Physiologically, participants had smaller arousal differences,
measured in micro-siemen per dollar, between losses and gains in the sexual
rather than in the neutral image trials. Moreover, participants' SCRs to losses
relative to gains predicted the proportion of gambling decisions in the neutral
image trials but not in the sexual image trials. The results were consistent with
the hypothesis that the presence of emotionally salient sexual images reduces
attentional and arousal-related responses to gambling losses. Our results are
consistent with the theory of loss attention involving increased cognitive
investment in losses compared to gains. The findings also have potential
practical implications for our understanding of the specific roles of sexual
images in human financial decision making in everyday life, such as gambling
behaviors in the casino.
PMID- 29649288
TI - Autofluorescence study and selected cyanidin quantification in the Jewel orchids
Anoectochilus sp. and Ludisia discolor.
AB - Anoectochilus sp. and Ludisia discolor are known as Jewel orchids. Both species
are terrestrial wild orchids that grow in shaded areas of forests. The Jewel
orchids are renowned for the beauty of their leaves, which are dark-green laced
with silvery or golden veins. The orchids are used as a cure in various parts of
Asia. Overharvesting and anthropogenic disturbances threaten the existence of the
Jewel orchids in the wild, necessitating human intervention in their survival. An
understanding of the structure and adaptations of a plant may assist in its
survival when propagated outside of its habitat. In this study, ex vitro leaves
of Anoectochilus sp. and L. discolor were subjected to freehand sectioning, and
then inspected through brightfield and fluorescence microscopy. The study
indicated that all parts of both plants presented typical monocotyledonous
characteristics except the leaves. The leaves displayed dorsiventrality with
distinct palisade and spongy mesophyll layers. The spongy mesophyll layer
contained cells which fluoresced a bright red when exposed to ultraviolet, blue,
and green light wavelengths, hinting at the presence of anthocyanins for
photoprotection. Cyanidin was detected in the leaves of L. discolor, as
enumerated through high performance liquid chromatography (HPLC). The
observations indicated that Anoectochilus sp. and L. discolor are well-adapted to
live under shaded conditions with minimal exposure to light.
PMID- 29649289
TI - The best laid plans of mice and women.
PMID- 29649291
TI - Expanding the genetic toolkit of Tribolium castaneum.
AB - The red flour beetle, Tribolium castaneum, is an important model insect and
agricultural pest. However, many standard genetic tools are lacking or
underdeveloped in this system. Here, we present a set of new reagents to augment
existing Tribolium genetic tools. We demonstrate a new GAL4 driver line that
employs the promoter of a ribosomal protein gene to drive expression of a UAS
responder in the fat body. We also present a novel dual fluorescent reporter that
labels cell membranes and nuclei with different fluorophores for the analysis of
cellular morphology. This approach also demonstrates the functionality of the
viral T2A peptide for bicistronic gene expression in Tribolium. To facilitate
classical genetic analysis, we created lines with visible genetic markers by
CRISPR-mediated disruption of the yellow and ebony body color loci with a
cassette carrying an attP site, enabling future phiC31-mediated integration.
Together, the reagents presented here will facilitate more robust genetic
analysis in Tribolium and serve as a blueprint for the further development of
this powerful model's genetic toolkit.
PMID- 29649290
TI - The contribution of gestational age, area deprivation and mother's country of
birth to ethnic variations in infant mortality in England and Wales: A national
cohort study using routinely collected data.
AB - OBJECTIVES: We aimed to describe ethnic variations in infant mortality and
explore the contribution of area deprivation, mother's country of birth, and
prematurity to these variations. METHODS: We analyzed routine birth and death
data on singleton live births (gestational age>=22 weeks) in England and Wales,
2006-2012. Infant mortality by ethnic group was analyzed using logistic
regression with adjustment for sociodemographic characteristics and gestational
age. RESULTS: In the 4,634,932 births analyzed, crude infant mortality rates were
higher in Pakistani, Black Caribbean, Black African, and Bangladeshi infants
(6.92, 6.00, 5.17 and 4.40 per 1,000 live births, respectively vs. 2.87 in White
British infants). Adjustment for maternal sociodemographic characteristics
changed the results little. Further adjustment for gestational age strongly
attenuated the risk in Black Caribbean (OR 1.02, 95% CI 0.89-1.17) and Black
African infants (1.17, 1.06-1.29) but not in Pakistani (2.32, 2.15-2.50),
Bangladeshi (1.47, 1.28-1.69), and Indian infants (1.24, 1.11-1.38). Ethnic
variations in infant mortality differed significantly between term and preterm
infants. At term, South Asian groups had higher risks which cannot be explained
by sociodemographic characteristics. In preterm infants, adjustment for degree of
prematurity (<28, 28-31, 32-33, 34-36 weeks) fully explained increased risks in
Black but not Pakistani and Bangladeshi infants. Sensitivity analyses with
further adjustment for small for gestational age, or excluding deaths due to
congenital anomalies did not fully explain the excess risk in South Asian groups.
CONCLUSIONS: Higher infant mortality in South Asian and Black infants does not
appear to be explained by sociodemographic characteristics. Higher proportions of
very premature infants appear to explain increased risks in Black infants but not
in South Asian groups. Strategies targeting the prevention and management of
preterm birth in Black groups and suboptimal birthweight and modifiable risk
factors for congenital anomalies in South Asian groups might help reduce ethnic
inequalities in infant mortality.
PMID- 29649292
TI - Special Immunization Service: A 14-year experience in Italy.
AB - BACKGROUND: Concerns regarding vaccine safety are increasing along with lack of
compliance to vaccination schedules. This study aimed to assess vaccination
related risks and the impact of a Special Immunization Service (SIS) at the
Pediatric Emergency Department (PED) of Padua on vaccination compliance among
participants. MATERIALS AND METHODS: This retrospective cohort study included all
children attending the SIS from January 1st 2002 to December 31st 2015. The
Service is divided into a clinic (SIS-C) where all referred children undergo a
pre-vaccination visit and an area within the Pediatric Emergency Department (SIS
PED) where children are vaccinated if indicated. During each SIS-C visit, age,
gender, admission criteria and scheduled vaccinations were recorded, with any
vaccine-related adverse events captured during SIS-PED visits. Follow-up was
conducted to evaluate vaccination plan completion. RESULTS: 359 children received
560 vaccine administrations (41.3% MMR/MMRV, 17.5% hexavalent) at the SIS during
the 14 year study. Admission criteria were adverse events after previous
vaccination (immediate, IgE/not IgE mediated, and late) in 27.2% of cases, non
anaphylactic allergies (mostly egg allergy) in 42.7% and anaphylaxis in 10.3%.
After vaccination, 15/560 (2.7%) mild adverse events were observed. 96.3% of
children vaccinated at least once at the SIS-PED and available for follow-up
completed their vaccination plan, in contrast to 55.5% of children referred to
the SIS-C who were not vaccinated in SIS-PED. CONCLUSIONS: For children referred
to SIS-C and available for follow-up, vaccination in SIS-PED was associated with
more frequent completion of vaccination plans, indicating a benefit of the
service to vaccine coverage. The low number and mild severity of adverse events
reported after vaccination of high-risk children in SIS-PED attest to the safety
of the service.
PMID- 29649294
TI - Structural inference embedded adversarial networks for scene parsing.
AB - Explicit structural inference is one key point to improve the accuracy of scene
parsing. Meanwhile, adversarial training method is able to reinforce spatial
contiguity in output segmentations. To take both advantages of the structural
learning and adversarial training simultaneously, we propose a novel deep
learning network architecture called Structural Inference Embedded Adversarial
Networks (SIEANs) for pixel-wise scene labeling. The generator of our SIEANs, a
novel designed scene parsing network, makes full use of convolutional neural
networks and long short-term memory networks to learn the global contextual
information of objects in four different directions from RGB-(D) images, which is
able to describe the (three-dimensional) spatial distributions of objects in a
more comprehensive and accurate way. To further improve the performance, we
explore the adversarial training method to optimize the generator along with a
discriminator, which can not only detect and correct higher-order inconsistencies
between the predicted segmentations and corresponding ground truths, but also
exploit full advantages of the generator by fine-tuning its parameters so as to
obtain higher consistencies. The experimental results demonstrate that our
proposed SIEANs is able to achieve a better performance on PASCAL VOC 2012, SIFT
FLOW, PASCAL Person-Part, Cityscapes, Stanford Background, NYUDv2, and SUN-RGBD
datasets compared to the most of state-of-the-art methods.
PMID- 29649293
TI - Inducing cell death in vitro in cancer cells by targeted delivery of cytochrome c
via a transferrin conjugate.
AB - One of the major drawbacks of many of the currently used cancer drugs are off
target effects. Targeted delivery is one method to minimize such unwanted and
detrimental events. To actively target lung cancer cells, we have developed a
conjugate of the apoptosis inducing protein cytochrome c with transferrin because
the transferrin receptor is overexpressed by many rapidly dividing cancer cells.
Cytochrome c and transferrin were cross-linked with a redox sensitive disulfide
bond for the intra-cellular release of the protein upon endocytosis by the
transferrin receptor. Confocal results demonstrated the cellular uptake of the
cytochrome c-transferrin conjugate by transferrin receptor overexpressing A549
lung cancer cells. Localization studies further validated that this conjugate
escaped the endosome. Additionally, an in vitro assay showed that the conjugate
could induce apoptosis by activating caspase-3. The neo-conjugate not only
maintained an IC50 value similar to the well known drug cisplatin (50 MUM) in
A549 cancer cells but also was nontoxic to the normal lung (MRC5) cells. Our neo
conjugate holds promise for future development to target cancers with enhanced
transferrin receptor expression.
PMID- 29649295
TI - Correction: Karyotype evolution in Phalaris (Poaceae): The role of reductional
dysploidy, polyploidy and chromosome alteration in a wide-spread and diverse
genus.
AB - [This corrects the article DOI: 10.1371/journal.pone.0192869.].
PMID- 29649296
TI - Host shifts result in parallel genetic changes when viruses evolve in closely
related species.
AB - Host shifts, where a pathogen invades and establishes in a new host species, are
a major source of emerging infectious diseases. They frequently occur between
related host species and often rely on the pathogen evolving adaptations that
increase their fitness in the novel host species. To investigate genetic changes
in novel hosts, we experimentally evolved replicate lineages of an RNA virus
(Drosophila C Virus) in 19 different species of Drosophilidae and deep sequenced
the viral genomes. We found a strong pattern of parallel evolution, where viral
lineages from the same host were genetically more similar to each other than to
lineages from other host species. When we compared viruses that had evolved in
different host species, we found that parallel genetic changes were more likely
to occur if the two host species were closely related. This suggests that when a
virus adapts to one host it might also become better adapted to closely related
host species. This may explain in part why host shifts tend to occur between
related species, and may mean that when a new pathogen appears in a given
species, closely related species may become vulnerable to the new disease.
PMID- 29649298
TI - Haemophilus ducreyi cutaneous ulcer contracted at Seram Island, Indonesia,
presented in the Netherlands.
AB - OVERVIEW: We describe the first case of a cutaneous ulcer caused by Haemophilus
ducreyi imported from Indonesia to the Netherlands. Skin infections caused by H.
ducreyi are uncommon in travellers and have been described in just a few case
reports and were all contracted on the Pacific Islands. THE CASE: A 22-year-old
healthy male visited the Center of Tropical Medicine and Travel Medicine in
February 2017 with a cutaneous ulcer of the right lateral malleolus 4 weeks after
returning from Indonesia (Seram and Ambon Islands). He had noticed a small skin
abrasion on the right ankle after slipping on a rock during a jungle trip on
Seram Island. Back in the Netherlands, a painful ulcer developed at the same body
location, and despite treatment with flucloxacillin, his complaints worsened. A
swab that was taken for culture showed growth of small grey colonies that were
characterised as H. ducreyi with matrix-assisted laser desorption/ionisation time
of-flight (MALDI-TOF) mass spectrometry. Treatment with ciprofloxacin for the
diagnosis of H. ducreyi cutaneous ulcer was started, and the ulcer clearly
diminished, leaving only a small healing ulcer. DISCUSSION: H. ducreyi is
normally the causative agent of genital ulcers but is increasingly recognised as
a cause of chronic skin ulcers, e.g., in Papua New Guinea. In our patient, the
infection was very likely contracted in the Maluku province of Indonesia and
imported into the Netherlands. No reports of infection with H. ducreyi from
Indonesia could be found in literature, but this case indicates that H. ducreyi
is present in at least one of the northeastern islands of Indonesia, which is
important for local healthcare. Additionally, it illustrates the role of this
agent as a cause of cutaneous ulcers in previously healthy travellers.
PMID- 29649300
TI - Modeling joint restoration strategies for interdependent infrastructure systems.
AB - Life in the modern world depends on multiple critical services provided by
infrastructure systems which are interdependent at multiple levels. To
effectively respond to infrastructure failures, this paper proposes a model for
developing optimal joint restoration strategy for interdependent infrastructure
systems following a disruptive event. First, models for (i) describing structure
of interdependent infrastructure system and (ii) their interaction process, are
presented. Both models are considering the failure types, infrastructure
operating rules and interdependencies among systems. Second, an optimization
model for determining an optimal joint restoration strategy at infrastructure
component level by minimizing the economic loss from the infrastructure failures,
is proposed. The utility of the model is illustrated using a case study of
electric-water systems. Results show that a small number of failed infrastructure
components can trigger high level failures in interdependent systems; the optimal
joint restoration strategy varies with failure occurrence time. The proposed
models can help decision makers to understand the mechanisms of infrastructure
interactions and search for optimal joint restoration strategy, which can
significantly enhance safety of infrastructure systems.
PMID- 29649299
TI - Digitization of natural objects with micro CT and photographs.
AB - In this paper, we present a three-dimensional (3D) digitization technique for
natural objects, such as insects and plants. The key idea is to combine X-ray
computed tomography (CT) and photographs to obtain both complicated 3D shapes and
surface textures of target specimens. We measure a specimen by using an X-ray CT
device and a digital camera to obtain a CT volumetric image (volume) and multiple
photographs. We then reconstruct a 3D model by segmenting the CT volume and
generate a texture by projecting the photographs onto the model. To achieve this
reconstruction, we introduce a technique for estimating a camera position for
each photograph. We also present techniques for merging multiple textures
generated from multiple photographs and recovering missing texture areas caused
by occlusion. We illustrate the feasibility of our 3D digitization technique by
digitizing 3D textured models of insects and flowers. The combination of X-ray CT
and a digital camera makes it possible to successfully digitize specimens with
complicated 3D structures accurately and allows us to browse both surface colors
and internal structures.
PMID- 29649297
TI - Diversity of cultivable fungal endophytes in Paullinia cupana (Mart.) Ducke and
bioactivity of their secondary metabolites.
AB - Paullinia cupana is associated with a diverse community of pathogenic and
endophytic microorganisms. We isolated and identified endophytic fungal
communities from the roots and seeds of P. cupana genotypes susceptible and
tolerant to anthracnose that grow in two sites of the Brazilian Amazonia forest.
We assessed the antibacterial, antitumor and genotoxic activity in vitro of
compounds isolated from the strains Trichoderma asperellum (1BDA) and Diaporthe
phaseolorum (8S). In concert, we identified eight fungal species not previously
reported as endophytes; some fungal species capable of inhibiting pathogen
growth; and the production of antibiotics and compounds with bacteriostatic
activity against Pseudomonas aeruginosa in both susceptible and multiresistant
host strains. The plant genotype, geographic location and specially the organ
influenced the composition of P. cupana endophytic fungal community. Together,
our findings identify important functional roles of endophytic species found
within the microbiome of P. cupana. This hypothesis requires experimental
validation to propose management of this microbiome with the objective of
promoting plant growth and protection.
PMID- 29649301
TI - pyBadlands: A framework to simulate sediment transport, landscape dynamics and
basin stratigraphic evolution through space and time.
AB - Understanding Earth surface responses in terms of sediment dynamics to climatic
variability and tectonics forcing is hindered by limited ability of current
models to simulate long-term evolution of sediment transfer and associated
morphological changes. This paper presents pyBadlands, an open-source python
based framework which computes over geological time (1) sediment transport from
landmasses to coasts, (2) reworking of marine sediments by longshore currents and
(3) development of coral reef systems. pyBadlands is cross-platform, distributed
under the GPLv3 license and available on GitHub (http://github.com/badlands
model). Here, we describe the underlying physical assumptions behind the
simulated processes and the main options already available in the numerical
framework. Along with the source code, a list of hands-on examples is provided
that illustrates the model capabilities. In addition, pre and post-processing
classes have been built and are accessible as a companion toolbox which comprises
a series of workflows to efficiently build, quantify and explore simulation input
and output files. While the framework has been primarily designed for research,
its simplicity of use and portability makes it a great tool for teaching
purposes.
PMID- 29649302
TI - Identifying tumor in pancreatic neuroendocrine neoplasms from Ki67 images using
transfer learning.
AB - The World Health Organization (WHO) has clear guidelines regarding the use of
Ki67 index in defining the proliferative rate and assigning grade for pancreatic
neuroendocrine tumor (NET). WHO mandates the quantification of Ki67 index by
counting at least 500 positive tumor cells in a hotspot. Unfortunately, Ki67
antibody may stain both tumor and non-tumor cells as positive depending on the
phase of the cell cycle. Likewise, the counter stain labels both tumor and non
tumor as negative. This non-specific nature of Ki67 stain and counter stain
therefore hinders the exact quantification of Ki67 index. To address this
problem, we present a deep learning method to automatically differentiate between
NET and non-tumor regions based on images of Ki67 stained biopsies. Transfer
learning was employed to recognize and apply relevant knowledge from previous
learning experiences to differentiate between tumor and non-tumor regions.
Transfer learning exploits a rich set of features previously used to successfully
categorize non-pathology data into 1,000 classes. The method was trained and
validated on a set of whole-slide images including 33 NETs subject to Ki67
immunohistochemical staining using a leave-one-out cross-validation. When applied
to 30 high power fields (HPF) and assessed against a gold standard (evaluation by
two expert pathologists), the method resulted in a high sensitivity of 97.8% and
specificity of 88.8%. The deep learning method developed has the potential to
reduce pathologists' workload by directly identifying tumor boundaries on images
of Ki67 stained slides. Moreover, it has the potential to replace sophisticated
and expensive imaging methods which are recently developed for identification of
tumor boundaries in images of Ki67-stained NETs.
PMID- 29649303
TI - A new diatom species P. hallegraeffii sp. nov. belonging to the toxic genus
Pseudo-nitzschia (Bacillariophyceae) from the East Australian Current.
AB - A new species belonging to the toxin producing diatom genus Pseudo-nitzschia, P.
hallegraeffii sp. nov., is delineated and described from the East Australian
Current (EAC). Clonal cultures were established by single cell isolation from
phytoplankton net hauls collected as part of a research expedition in the EAC
region in 2016 on the RV Investigator. Cultures were assessed for their
morphological and genetic characteristics, their sexual compatibility with other
Pseudo-nitzschia species, and their ability to produce domoic acid. Light and
transmission electron microscopy revealed cells which differed from their closest
relatives by their cell width, rows of poroids, girdle band structure and density
of band straie. Phylogenetic analyses based on sequencing of nuclear-encoded
ribosomal deoxyribonucleic acid (rDNA) regions showed this novel genotype
clustered within the P. delicatissima complex, but formed a discrete clade from
its closest relatives P. dolorosa, P. simulans, P. micropora and P.
delicatissima. Complementary base changes (CBCs) were observed in the secondary
structure of the 3' nuclear ribosomal transcribed spacer sequence region (ITS2)
between P. hallegraeffii sp. nov. and its closest related taxa, P. simulans and
P. dolorosa. Under laboratory conditions, and in the absence of any zooplankton
cues, strains of P. hallegraeffii sp. nov. did not produce domoic acid (DA) and
were not sexually compatible with any other Pseudo-nitzschia clones tested. A
total of 18 Pseudo-nitzschia species, including three confirmed toxigenic species
(P. cuspidata, P. multistriata and P. australis) have now been unequivocally
confirmed from eastern Australia.
PMID- 29649304
TI - Sedentary behavior associated with reduced medial temporal lobe thickness in
middle-aged and older adults.
AB - Atrophy of the medial temporal lobe (MTL) occurs with aging, resulting in
impaired episodic memory. Aerobic fitness is positively correlated with total
hippocampal volume, a heavily studied memory-critical region within the MTL.
However, research on associations between sedentary behavior and MTL subregion
integrity is limited. Here we explore associations between thickness of the MTL
and its subregions (namely CA1, CA23DG, fusiform gyrus, subiculum,
parahippocampal, perirhinal and entorhinal cortex,), physical activity, and
sedentary behavior. We assessed 35 non-demented middle-aged and older adults (25
women, 10 men; 45-75 years) using the International Physical Activity
Questionnaire for older adults, which quantifies physical activity levels in MET
equivalent units and asks about the average number of hours spent sitting per
day. All participants had high resolution MRI scans performed on a Siemens
Allegra 3T MRI scanner, which allows for detailed investigation of the MTL.
Controlling for age, total MTL thickness correlated inversely with hours of
sitting/day (r = -0.37, p = 0.03). In MTL subregion analysis, parahippocampal (r
= -0.45, p = 0.007), entorhinal (r = -0.33, p = 0.05) cortical and subiculum (r =
-0.36, p = .04) thicknesses correlated inversely with hours of sitting/day. No
significant correlations were observed between physical activity levels and MTL
thickness. Though preliminary, our results suggest that more sedentary non
demented individuals have less MTL thickness. Future studies should include
longitudinal analyses and explore mechanisms, as well as the efficacy of
decreasing sedentary behaviors to reverse this association.
PMID- 29649305
TI - Disabling of lymphocyte immune response by Ebola virus.
PMID- 29649306
TI - Single camera multi-view anthropometric measurement of human height and mid-upper
arm circumference using linear regression.
AB - BACKGROUND: Manually measured anthropometric quantities are used in many
applications including human malnutrition assessment. Training is required to
collect anthropometric measurements manually, which is not ideal in resource
constrained environments. Photogrammetric methods have been gaining attention in
recent years, due to the availability and affordability of digital cameras.
OBJECTIVE: The primary goal is to demonstrate that height and mid-upper arm
circumference (MUAC)-indicators of malnutrition-can be accurately estimated by
applying linear regression to distance measurements from photographs of
participants taken from five views, and determine the optimal view combinations.
A secondary goal is to observe the effect on estimate error of two approaches
which reduce complexity of the setup, computational requirements and the
expertise required of the observer. METHODS: Thirty-one participants (11 female,
20 male; 18-37 years) were photographed from five views. Distances were computed
using both camera calibration and reference object techniques from manually
annotated photos. To estimate height, linear regression was applied to the
distances between the top of the participants head and the floor, as well as the
height of a bounding box enclosing the participant's silhouette which eliminates
the need to identify the floor. To estimate MUAC, linear regression was applied
to the mid-upper arm width. Estimates were computed for all view combinations and
performance was compared to other photogrammetric methods from the literature
linear distance method for height, and shape models for MUAC. RESULTS: The mean
absolute difference (MAD) between the linear regression estimates and manual
measurements were smaller compared to other methods. For the optimal view
combinations (smallest MAD), the technical error of measurement and coefficient
of reliability also indicate the linear regression methods are more reliable. The
optimal view combination was the front and side views. When estimating height by
linear regression of the distance from the head to the floor, the mean MAD was
10.51 mm +/- 6.52 mm SD, and when estimating height from the bounding box using
the reference object, the mean MAD per participant was 11.53 mm +/- 6.43 mm SD.
When estimating MUAC from the mid-upper arm radius using the reference object,
the mean MAD was 7.24 mm +/- 4.79 mm SD. The mean MAD for all methods when using
camera calibration was 2-3 mm smaller. CONCLUSIONS: Applying linear regression to
distance measurements from photos of adults taken from multiple view angles has
been shown to accurately estimate height and MUAC to within the accuracy required
for nutrition assessment. Future work will focus on automating the landmark
detection, and validating the methods on populations that include undernourished
adults and children of all nutrition statuses. These future works will improve
the practicality of this method as a potential tool for nutrition assessment by
novice users.
PMID- 29649307
TI - Bilateral carotid artery stenosis causes unexpected early changes in brain
extracellular matrix and blood-brain barrier integrity in mice.
AB - Bilateral carotid artery stenosis (BCAS) is one experimental model of vascular
dementia thought to preferentially impact brain white matter. Indeed, few studies
report hippocampal and cortical pathology prior to 30 days post-stenosis; though
it is unclear whether those studies examined regions outside the white matter.
Since changes in the blood-brain barrier (BBB) permeability precede more overt
brain pathology in various diseases, we hypothesized that changes within the BBB
and/or BBB-associated extracellular matrix (ECM) could occur earlier after BCAS
in the hippocampus, cortex and striatum and be a precursor of longer term
pathology. Here, C57Bl/6 mice underwent BCAS or sham surgeries and changes in the
BBB and ECM were analyzed by collagen IV (vascular basement membrane component),
alpha5 integrin (marker of endothelial activation), claudin-5 and occludin (tight
junction proteins), Evans blue (permeability marker), Ki-67 (cell proliferation
marker), and GFAP and CD11b (glial cell markers) immunohistochemistry after 14
days. Significant changes in markers of cerebrovascular integrity and glial
activation were detected, not only in the striatum, but also in the hippocampus
and cortex. In conclusion, this study demonstrates for the first time that
changes in the BBB/ECM occur shortly after BCAS and within multiple brain regions
and suggests such changes might underlie the gradual development of BCAS non
white matter pathology.
PMID- 29649308
TI - Subtyping of Clostridium difficile PCR ribotypes 591, 106 and 002, the dominant
strain types circulating in Medellin, Colombia.
AB - We aimed to achieve a higher typing resolution within the three dominant
Clostridium difficile ribotypes (591,106 and 002) circulating in Colombia. A
total of 50 C. difficile isolates we had previously typed by PCR-ribotyping,
representing the major three ribotypes circulating in Colombia, were analyzed.
Twenty-seven isolates of ribotype 591, 12 of ribotype 106 and 11 of ribotype 002
were subtyped by multiple locus variable-number tandem-repeat analysis (MLVA).
The presence of the PaLoc genes (tcdA/tcdB), toxin production in culture and
antimicrobial susceptibility were also determined. From the total C. difficile
ribotypes analyzed, 20 isolates (74%) of ribotype 591, nine (75%) of ribotype 106
and five (45.5%) of ribotype 002 were recovered from patients with Clostridium
difficile infection (CDI). MLVA allowed us to recognize four and two different
clonal complexes for ribotypes 591 and 002, respectively, having a summed tandem
repeat difference (STRD) <2, whereas none of the ribotype 106 isolates were
grouped in a cluster or clonal complex having a STRD >10. Six ribotype 591 and
three ribotype 002 isolates belonging to a defined clonal complex were isolated
on the same week in two different hospitals. All ribotypes harbored either
tcdA+/tcdB+ or tcdA-/tcdB+ PaLoc genes. Moreover, 94% of the isolates were
positive for toxin in culture. All isolates were susceptible to vancomycin and
metronidazole, while 75% to 100% of the isolates were resistant to clindamycin,
and less than 14.8% of ribotype 591 isolates were resistant to moxifloxacina. No
significant differences were found among ribotypes with respect to demographic
and clinical patients' data; however, our results demonstrated a high molecular
heterogeneity of C. difficile strains circulating in Colombia.
PMID- 29649309
TI - High quality of life, treatment tolerability, safety and efficacy in HIV patients
switching from triple therapy to lopinavir/ritonavir monotherapy: A randomized
clinical trial.
AB - TRIAL DESIGN: The QoLKAMON study evaluated quality of life, efficacy and
treatment safety in HIV patients receiving lopinavir/ritonavir in monotherapy
(MT) versus continuing combined antiretroviral triple treatment with a boosted
protease inhibitor (TT). METHODS: This was a 24-week, open-label, multicentre
study in virologically-suppressed HIV-infected participants (N = 225) with a 2:1
randomization: 146 patients who switched to MT were compared with 79 patients who
remained on a TT regimen. The primary endpoint was change in patient-reported
outcomes in quality of life as measured by the MOS-HIV and EQ-5D questionnaires.
Secondary endpoints included treatment adherence, patient satisfaction, incidence
of adverse events and differences in plasma HIV-1 RNA viral load (VL) and CD4
cell counts. RESULTS: Baseline quality of life, measured with the MOS-HIV score,
was very good (overall score of 83 +/- 10.5 in the MT arm and 82.3 +/- 11.3 in
the TT arm) and suffered no change during the study in any of the arms (at week
24, 83.5 +/- 12.2 in MT arm and 81.9 +/- 12.7 in TT arm), without statistically
significant differences when compared. In regards to adherence to therapy and
patient satisfaction, some aspects (number of doses forgotten in the last week
and satisfaction of treatment measured with the CESTA score, dimension 1)
improved significantly with MT. There were also no differences in the incidence
and severity of adverse events, even though 22.8% of those in the MT arm switched
their treatment when they were included in the study. Moreover, there was also no
significant difference between the immunological and virological evolution of MT
and TT. In the MT arm, the VL was always undetectable in 83% of patients (vs
90.7% in the TT arm) and there were only 6.7% of virological failures with VL >
50 copies/mL (vs 2.3% in the TT arm), without resistance mutations and with
resuppression of VL after switching back to TT. CONCLUSIONS: In a new clinical
trial, monotherapy as a treatment simplification strategy in HIV-1 infected
patients with sustained viral suppression has demonstrated quality of life,
safety and efficacy profiles comparable to those of conventional triple therapy
regimens.
PMID- 29649311
TI - Correction: High prevalence of elevated blood lead levels in both rural and urban
Iowa newborns: Spatial patterns and area-level covariates.
AB - [This corrects the article DOI: 10.1371/journal.pone.0177930.].
PMID- 29649310
TI - Administration of oral fluoroquinolone and the risk of rhegmatogenous retinal
detachment: A nationwide population-based study in Korea.
AB - PURPOSE: To investigate the association between oral fluoroquinolones (FQ) and
the risk of rhegmatogenous retinal detachment (RRD) using a nationwide population
based study in Korea, designed to control for time-related bias. METHODS: As a
nested case-control study within a cohort, the KNHIS-NSC 2002-2013 (Korean
National Health Insurance Service National Sample Cohort) data used for the
investigation. The subjects who visited an ophthalmologist were included in a
cohort. Subjects with infectious ocular diseases, severe ocular trauma, and
congenital diseases were excluded. Within the cohort, subjects who underwent
surgery for RRD were defined as cases, and controls were matched by age group,
sex, and cohort entry date using an incidence density sampling method. After
investigating the exposure to oral FQ, the odds ratio was calculated by the FQ
exposure rate of both groups and adjusted by the confounding factors of
demography, health service utilization, and comorbidities. RESULTS: A total of
1,151 subjects in the case group and 11,470 subjects in the control group were
included. There were intergroup differences in household income, numbers of
ophthalmologic visits and drug prescriptions, events of intraocular surgeries,
and prevalence of diabetes and degenerative myopia (all P's<0.05). The crude odds
ratio of the total group was 1.06 (P = 0.53, 95% CI 0.88-1.28), and the odds
ratio adjusted for all pre-defined confounders was 1.00 (P = 0.99, 95% CI 0.81
1.24). The crude and adjusted odds ratios were not showed statistical
significance (all P's>0.05). CONCLUSIONS: By the nested case-control design, this
study showed that oral administration of FQ was not associated with the increased
risk of development of RRD.
PMID- 29649312
TI - Iowa stream nitrate and the Gulf of Mexico.
AB - The main objective of this work was to quantify and update the U.S. Midwest
agricultural state of Iowa's contribution of nitrate-nitrogen to the Mississippi
River stream network against the backdrop of the ongoing problem of Gulf of
Mexico hypoxia. To achieve this objective, we used stream nitrate and discharge
data collected from 1999 until 2016 at 23 Iowa stream sites near watershed
outlets, along with publicly-available data for sites downstream of Iowa on the
Missouri and Mississippi Rivers. Our analysis shows that Iowa contributes between
11 and 52% of the long-term nitrate load to the Mississippi-Atchafalaya Basin, 20
to 63% to the Upper Mississippi River Basin, and 20 to 89% to the Missouri River
Basin, with averages of 29, 45 and 55% respectively. Since 1999, nitrate loads in
the Iowa-inclusive basins have increased and these increases do not appear to be
driven by changes in discharge and cropping intensity unique to Iowa. The 5-year
running annual average of Iowa nitrate loading has been above the 2003 level for
ten consecutive years, implying that Gulf hypoxic areal goals, also based on a 5
year running annual average, will be very difficult to achieve if nitrate
retention cannot be improved in Iowa. An opportunity exists for land managers,
policy makers and conservationists to manifest a positive effect on water quality
by targeting and implementing nitrate reducing-practices in areas like Iowa while
avoiding areas that are less likely to affect Gulf of Mexico hypoxia.
PMID- 29649314
TI - Randomly auditing research labs could be an affordable way to improve research
quality: A simulation study.
AB - The "publish or perish" incentive drives many researchers to increase the
quantity of their papers at the cost of quality. Lowering quality increases the
number of false positive errors which is a key cause of the reproducibility
crisis. We adapted a previously published simulation of the research world where
labs that produce many papers are more likely to have "child" labs that inherit
their characteristics. This selection creates a competitive spiral that favours
quantity over quality. To try to halt the competitive spiral we added random
audits that could detect and remove labs with a high proportion of false
positives, and also improved the behaviour of "child" and "parent" labs who
increased their effort and so lowered their probability of making a false
positive error. Without auditing, only 0.2% of simulations did not experience the
competitive spiral, defined by a convergence to the highest possible false
positive probability. Auditing 1.35% of papers avoided the competitive spiral in
71% of simulations, and auditing 1.94% of papers in 95% of simulations. Audits
worked best when they were only applied to established labs with 50 or more
papers compared with labs with 25 or more papers. Adding a +/-20% random error to
the number of false positives to simulate peer reviewer error did not reduce the
audits' efficacy. The main benefit of the audits was via the increase in effort
in "child" and "parent" labs. Audits improved the literature by reducing the
number of false positives from 30.2 per 100 papers to 12.3 per 100 papers.
Auditing 1.94% of papers would cost an estimated $15.9 million per year if
applied to papers produced by National Institutes of Health funding. Our
simulation greatly simplifies the research world and there are many unanswered
questions about if and how audits would work that can only be addressed by a
trial of an audit.
PMID- 29649315
TI - Retailer Stackelberg game in a supply chain with pricing and service decisions
and simple price discount contract.
AB - This paper studies the Retailer Stackelberg game in a supply chain consisting of
two manufacturers and one retailer where they compete simultaneously under three
factors including price, service and simple price discount contract. It is
assumed that the second manufacturer provides service directly to his customers,
and the retailer provides service for the first product's customers, while the
retailer buys the first product under price discount from the first manufacturer.
The analysis of the optimal equilibrium solutions and the results of the
numerical examples show that if a manufacturer chooses the appropriate range of
discount rate, he will gain more profit than when there is no discount given to
the retailer. This situation can be considered as an effective tool for the
coordination of the first manufacturer and the retailer to offer discount by
manufacturer and to provide the service by the retailer. We obtain equilibrium
solution of Retailer Stackelberg game and analyze the numerical examples under
two cases: a) the manufacturers sell their products to the retailer without price
discount contract. b) The first manufacturer sells his products to the retailer
with the simple price discount contract. The preliminary results show that the
service and the price discount contract can improve the performance of supply
chain.
PMID- 29649313
TI - Serosurveillance of Coxiellosis (Q-fever) and Brucellosis in goats in selected
provinces of Lao People's Democratic Republic.
AB - Goat raising is a growing industry in Lao People's Democratic Republic, with
minimal disease investigation to date, especially zoonoses. This study determined
the proportional seropositivity of two zoonotic diseases: Q fever (causative
agent Coxiella burnetii) and Brucellosis (Brucella species) in goats across five
provinces (Vientiane Capital, Xayaboury, Xiengkhuang, Savannakhet and Attapeu). A
total of 1458 goat serum samples were tested using commercial indirect ELISA for
both pathogens, plus Rose Bengal agglutination test for Brucellosis. Overall
individual seropositivity of C. burnetii was 4.1% and Brucella spp. was 1.4%. A
multiple logistic regression model identified that province (Vientiane Capital, p
= 0.05), breed (introduced Boer mixed breed, p = 0.006) and age (goats >=3 years
old, p = 0.014) were significant risk factors for C. burnetii seropositivity. The
results of the survey indicated that province (Vientiane Capital, p<0.001), breed
(introduced Boer mixed breed, p<0.001), production system (commercial, p<0.001),
age (adult, p = 0.004), and farm size (large, 0.001) were all significant risk
factors seropositivity for Brucella spp. It was concluded that Lao goats have
been exposed to both C. burnetii and Brucella spp. however the risk of clinical
disease has not yet been determined and there is an urgent need to determine
human health risks and economic losses caused by Q fever and Brucellosis.
PMID- 29649316
TI - Effect of one-lung ventilation on end-tidal carbon dioxide during cardiopulmonary
resuscitation in a pig model of cardiac arrest.
AB - Unrecognized endobronchial intubation frequently occurs after emergency
intubation. However, no study has evaluated the effect of one-lung ventilation on
end-tidal carbon dioxide (ETCO2) during cardiopulmonary resuscitation (CPR). We
compared the hemodynamic parameters, blood gases, and ETCO2 during one-lung
ventilation with those during conventional two-lung ventilation in a pig model of
CPR, to determine the effect of the former on ETCO2. A randomized crossover study
was conducted in 12 pigs intubated with double-lumen endobronchial tube to
achieve lung separation. During CPR, the animals underwent three 5-min
ventilation trials based on a randomized crossover design: left-lung, right-lung,
or two-lung ventilation. Arterial blood gases were measured at the end of each
ventilation trial. Ventilation was provided using the same tidal volume
throughout the ventilation trials. Comparison using generalized linear mixed
model revealed no significant group effects with respect to aortic pressure,
coronary perfusion pressure, and carotid blood flow; however, significant group
effect in terms of ETCO2 was found (P < 0.001). In the post hoc analyses, ETCO2
was lower during the right-lung ventilation than during the two-lung (P = 0.006)
or left-lung ventilation (P < 0.001). However, no difference in ETCO2 was
detected between the left-lung and two-lung ventilations. The partial pressure of
arterial carbon dioxide (PaCO2), partial pressure of arterial oxygen (PaO2), and
oxygen saturation (SaO2) differed among the three types of ventilation (P =
0.003, P = 0.001, and P = 0.001, respectively). The post hoc analyses revealed a
higher PaCO2, lower PaO2, and lower SaO2 during right-lung ventilation than
during two-lung or left-lung ventilation. However, the levels of these blood
gases did not differ between the left-lung and two-lung ventilations. In a pig
model of CPR, ETCO2 was significantly lower during right-lung ventilation than
during two-lung ventilation. However, interestingly, ETCO2 during left-lung
ventilation was comparable to that during two-lung ventilation.
PMID- 29649317
TI - 'I could not join because I had to work for pay.': A qualitative evaluation of
falciparum malaria pro-active case detection in three rural Cambodian villages.
AB - BACKGROUND: Pro-active case detection (Pro-ACD), in the form of voluntary
screening and treatment (VSAT) following community mobilisation about
'asymptomatic malaria', is currently being evaluated as a tool for Plasmodium
falciparum elimination in Preah Vihear Province, Cambodia. METHODS: A qualitative
study was conducted to explore community understanding, perceptions, expectations
and acceptability of the Pro-ACD intervention in order to identify aspects that
could be improved in future Pro-ACD activities. This was ancillary to a three
round VSAT campaign, carried out in three villages between December 2015 and
March 2016. Qualitative data collection began shortly after the end of the three
rounds of screening. Purposive sampling was used to select participants. Nine
focus group discussions with participants (n = 46) and non-participants (n = 40)
in the Pro-ACD screening were conducted, in addition to in-depth interviews with
key village figures (n = 9). RESULTS: Health promotion messages were well
delivered and received, but it was difficult for many villagers to understand the
messages around 'asymptomatic malaria'. Overall, villagers and village leaders
had a positive opinion about the VSAT intervention. Acceptability was high, as a
direct consequence of favourable perceptions towards the screening activity: the
Pro-ACD intervention was seen by the local population as an effective,
inexpensive, reliable and readily available tool to protect individuals and the
community from the insurgence of malaria. Physical absence and lack of time (both
linked to work-related activities) were the main reasons for non-participation.
CONCLUSIONS: Although VSAT was generally well perceived and accepted, the 'time
factor' related to the need to satisfy essential daily subsistence requirements
played a significant role in determining participation in the screening. More
well-adapted and meaningful Pro-ACD approaches could be implemented by improving
the timing of the testing activites, and strengthening community participation
and engagement to increase acceptability.
PMID- 29649318
TI - Confined placental mosaicism revisited: Impact on pregnancy characteristics and
outcome.
AB - OBJECTIVES: We wanted to re-evaluate the influence of confined placental
mosaicism subtypes (type 2 and type 3) on pregnancy characteristics and outcome.
MATERIAL AND METHODS: From July 2009 to December 2015, 5512 chorionic villus
samplings were performed in our Fetal Medicine Center. Conventional karyotyping
was performed after long-term and short-term cultured villi to define type 2 or
type 3 confined placental mosaicisms. Karyotype after amniocentesis was performed
to exclude true fetal mosaicism, when appropriate. Pregnancy characteristics and
outcomes were collected and compared to a control population. RESULTS: Thirty-six
(0.65%) confined placental mosaicisms were observed (13 type 2 and 23 type 3).
Nuchal translucency was not increased for type 2 and type 3 confined placental
mosaicisms. Pregnancy characteristics and outcomes were comparable between type 2
confined placental mosaicisms and the control population. In type 3 confined
placental mosaicisms, median first trimester serum pregnancy-associated plasma
protein A was lower than for the control population (p<0.001), preterm births
were noticed in 56% (p<0.001), small for gestational age newborns in 74%
(p<0.001), and adverse pregnancy outcome was reported in 35% (p<0.01).
CONCLUSION: Although type 2 confined placental mosaicisms appeared to have no
influence on pregnancy characteristics and outcome, type 3 confined placental
mosaicisms were associated with low levels of first trimester serum pregnancy
associated plasma protein A, preterm birth, small for gestational age newborns,
and adverse pregnancy outcomes.
PMID- 29649319
TI - Unraveling endothelin-1 induced hypercontractility of human pulmonary artery
smooth muscle cells from patients with pulmonary arterial hypertension.
AB - Contraction of human pulmonary artery smooth muscle cells (HPASMC) isolated from
pulmonary arterial hypertensive (PAH) and normal (non-PAH) subject lungs was
determined and measured with real-time electrical impedance. Treatment of HPASMC
with vasoactive peptides, endothelin-1 (ET-1) and bradykinin (BK) but not
angiotensin II, induced a temporal decrease in the electrical impedance profile
mirroring constrictive morphological change of the cells which typically was more
robust in PAH as opposed to non-PAH cells. Inhibition with LIMKi3 and a cofilin
targeted motif mimicking cell permeable peptide (MMCPP) had no effect on ET-1
induced HPASMC contraction indicating a negligible role for these actin
regulatory proteins. On the other hand, a MMCPP blocking the activity of
caldesmon reduced ET-1 promoted contraction pointing to a regulatory role of this
protein and its activation pathway in HPASMC contraction. Inhibition of this
MEK/ERK/p90RSK pathway, which is an upstream regulator of caldesmon
phosphorylation, reduced ET-1 induced cell contraction. While the regulation of
ET-1 induced cell contraction was found to be similar in PAH and non-PAH cells, a
key difference was the response to pharmacological inhibitors and to siRNA
knockdown of Rho kinases (ROCK1/ROCK2). The PAH cells required much higher
concentrations of inhibitors to abrogate ET-1 induced contractions and their
contraction was not affected by siRNA against either ROCK1 or ROCK2. Lastly,
blocking of L-type and T-type Ca2+ channels had no effect on ET-1 or BK induced
contraction. However, inhibiting the activity of the sarcoplasmic reticulum Ca2+
ATPase blunted ET-1 and BK induced HPASMC contraction in both PAH and non-PAH
derived HPASMC. In summary, our findings here together with previous
communications illustrate similarities and differences in the regulation PAH and
non-PAH smooth muscle cell contraction relating to calcium translocation,
RhoA/ROCK signaling and the activity of caldesmon. These findings may provide
useful tools in achieving the regulation of the vascular hypercontractility
taking place in PAH.
PMID- 29649320
TI - Strychnos pseudoquina modulates the morphological reorganization of the scar
tissue of second intention cutaneous wounds in rats.
AB - Natural substances are used in folk medicines to treat injuries. Strychnos
pseudoquina has scarring, antipyretic, and antimalarial actions. The present
study aimed to analyze the effect of S. pseudoquina on cutaneous wound healing in
rats. The S. pseudoquina extract was submitted to phytochemical prospection. The
levels of flavonoids and total phenolic compounds in the extract were 50.7 mg/g
and 2.59 mg/g, respectively. Thirty Wistar rats were individualized in cages with
food and water ad libitum (registration no. 730/2014). After anesthesia, three
circular wounds (12mm diameter) were made in the animals, which were randomly
separated into five treatments: Sal, saline; VO, ointment vehicles (lanolin and
Vaseline); SS, positive control (silver sulfadiazine 1%); LE 5, freeze-dried
extract 5%; and LE 10, lyophilized extract 10%. The animals were treated with the
ointment daily for 21 days. Every seven days, the area and the rate of wound
contraction were evaluated. Tissue samples were removed for histopathological
analysis of the number of mast cells, elastic and collagen fibers, and
biochemical analyses, quantification of malondialdehyde (MDA), carbonylated
proteins (PCN), superoxide dismutase (SOD), catalase (CAT), transforming growth
factor beta (TGF-beta), Interleukin 10 (IL-10) and tumor necrosis factor (TNF).
The number of mast cells, collagen and elastic fibers in the rat wounds were
higher in the treatments with the plant. The extract also stimulated the activity
of antioxidant enzymes, particularly SOD, presenting high levels, and maintained
low levels of PCN. The TGF-beta and IL-10 concentration was higher in the LE5 and
LE10 treatment of the extract than in the Sal, OV and SS treatments on day 7. The
ointment based on S. pseudoquina closed the wound faster and accelerated wound
healing in animals.
PMID- 29649322
TI - Correction: Aminoazo dye-protein-adduct enhances inhibitory effect on
digestibility and damages to Gastro-Duodenal-Hepatic axis.
AB - [This corrects the article DOI: 10.1371/journal.pone.0170555.].
PMID- 29649321
TI - Prediction of lateral pelvic lymph node metastasis in patients with locally
advanced rectal cancer with preoperative chemoradiotherapy: Focus on MR imaging
findings.
AB - PURPOSE: To investigate the predictive factors for lateral pelvic lymph node
(LPLN) metastasis in patients with locally advanced rectal cancer treated with
preoperative chemoradiotherapy (CRT). MATERIALS AND METHODS: Fifty-seven patients
with locally advanced rectal cancer and LPLNs larger than 5 mm underwent LPLN
dissection (LPLD) after preoperative CRT. The MRI findings, including the
apparent diffusion coefficient value and LPLN size reduction rate before/after
CRT; clinical factors; and pathologic results were evaluated to identify the
predictive factors associated with LPLN metastasis. RESULTS: LPLN metastasis was
confirmed in 23 patients (40.4%). Metastasis was significantly higher in LPLNs
with multiplicity, short-axis diameter >=8 mm before CRT, short-axis diameter >5
mm after CRT, size reduction rate <=33.3%, heterogeneous signal intensity, and
irregular margin (P<0.05) on MR. Multivariable analysis showed that pre-CRT short
axis diameter of LPLNs >=8 mm, size reduction rate <=33.3%, and heterogeneous
signal intensity were independently associated with LPLN metastasis. CONCLUSIONS:
The size and signal intensity of LPLN before and after CRT are useful MRI
findings to predict LPLN metastasis and are helpful to determine the indications
for LPLD.
PMID- 29649323
TI - Prognosis of cardiovascular and non-cardiovascular multimorbidity after acute
coronary syndrome.
AB - OBJECTIVE: To examine the prognosis of patients with cardiovascular and non
cardiovascular multimorbidity after acute coronary syndrome compared to patients
without prior multimorbidity. METHODS: This multicenter prospective cohort study
in Switzerland included 5,635 patients hospitalized with acute coronary syndrome
between 2009 and 2014, with a one-year follow-up period. We defined
cardiovascular and non-cardiovascular multimorbidity as having at least two prior
comorbidities before the index hospitalization. Multivariable adjusted Cox
proportional models were built to assess the one-year risk of recurrent
cardiovascular events, defined as cardiovascular mortality and non-fatal
myocardial infarction or stroke. The final model was adjusted for age, gender,
body mass index, tobacco consumption, education, and family history of
cardiovascular disease, prescription of high-dose statinsat discharge and use of
cardiac rehabilitation after discharge. RESULTS: Overall, 3,664 patients (65%)
had no multimorbidity, 1,839 (33%) had cardiovascular multimorbidity, 62 (1%) had
non-cardiovascular multimorbidity, and 70 (1%) had both cardiovascular and non
cardiovascular multimorbidity. The multivariate risk of recurrent cardiovascular
events was increased among patients with cardiovascular multimorbidity (hazard
ratio (HR) 2.05, 95% CI: 1.54-2.73, p<0.001) and patients with non-cardiovascular
multimorbidity (HR 2.57, 95% CI: 1.04-6.35, p = 0.04) compared to patients
without multimorbidity. Patients with cardiovascular and non-cardiovascular
multimorbidity had the highest risk of recurrence with a HR of 5.19, 95% CI: 2.79
9.64, p<0.001, compared to patients without multimorbidity. CONCLUSIONS:
Multimorbidity increased by two-fold the risk of cardiovascular events over the
year after an acute coronary syndrome. The magnitude of this increased risk was
similar for patients with cardiovascular or non-cardiovascular multimorbidity.
PMID- 29649325
TI - Evaluation of porogen factors for the preparation of ion imprinted polymer
monoliths used in mercury removal.
AB - In the present study, ion imprinted polymer monoliths (IIPMs) were developed to
overcome the limitations of ion imprinted polymer particles (IIPPs) used for the
removal of Hg(II) ions from waste water samples. The adsorbents preparation,
characterization and Hg(II) removal were very well reported. The IIPMs on porogen
optimization was prepared using the molding technique with Hg(II) as a template
ion, [2-(methacryloyloxy)ethyl]trimethylammonium cysteine (MAETC) as ligand,
methacrylic acid (MAA) as functional monomer, ethylene glycol dimethacrylamide
(EGDMA) as cross-linker, benzoyl peroxide as an initiator and methanol and
acetonitrile as porogen in the polypropylene tube (drinking straw) as mold. The
IIPMs prepared with higher volumes of porogen were indicated to have a good
adsorption rate for the Hg(II) removal along with good water permeability and
larger porosity as compared to a lower volume of porogen. The IIPMs prepared
using the binary porogen were able to improve the porosity and surface area of
the monolithic polymers as compared to the single porogen added IIPMs. Finally,
we indicate from our analysis that the IIPM having the efficient capacity for the
Hg(II) ions is easy to prepare, and has higher water permeability along with high
porosity and high adsorption capacity and all these factors making it one of the
suitable adsorbent for the successful removal of Hg(II) ions.
PMID- 29649326
TI - Unbounded and revocable hierarchical identity-based encryption with adaptive
security, decryption key exposure resistant, and short public parameters.
AB - Revocation functionality and hierarchy key delegation are two necessary and
crucial requirements to identity-based cryptosystems. Revocable hierarchical
identity-based encryption (RHIBE) has attracted a lot of attention in recent
years, many RHIBE schemes have been proposed but shown to be either insecure or
bounded where they have to fix the maximum hierarchical depth of RHIBE at setup.
In this paper, we propose a new unbounded RHIBE scheme with decryption key
exposure resilience and with short public system parameters, and prove our RHIBE
scheme to be adaptively secure. Our system model is scalable inherently to
accommodate more levels of user adaptively with no adding workload or restarting
the system. By carefully designing the hybrid games, we overcome the subtle
obstacle in applying the dual system encryption methodology for the unbounded and
revocable HIBE. To the best of our knowledge, this is the first construction of
adaptively secure unbounded RHIBE scheme.
PMID- 29649324
TI - A low dose lipid infusion is sufficient to induce insulin resistance and a pro
inflammatory response in human subjects.
AB - OBJECTIVE: The root cause behind the low-grade inflammatory state seen in insulin
resistant (obesity and type 2 diabetes) states is unclear. Insulin resistant
subjects have elevations in plasma free fatty acids (FFA), which are ligands for
the pro-inflammatory toll-like receptor (TLR)4 pathway. We tested the hypothesis
that an experimental elevation in plasma FFA (within physiological levels) in
lean individuals would upregulate TLR4 and activate downstream pathways (e.g.,
MAPK) in circulating monocytes. RESEARCH DESIGN AND METHODS: Twelve lean, normal
glucose-tolerant subjects received a low dose (30 ml/h) 48 h lipid or saline
infusion on two different occasions. Monocyte TLR4 protein level, MAPK
phosphorylation, and expression of genes in the TLR pathway were determined
before and after each infusion. RESULTS: The lipid infusion significantly
increased monocyte TLR4 protein and phosphorylation of JNK and p38 MAPK. Lipid
mediated increases in TLR4 and p38 phosphorylation directly correlated with
reduced peripheral insulin sensitivity (M value). Lipid increased levels of
multiple genes linked to inflammation, including several TLRs, CD180, MAP3K7, and
CXCL10. Monocytes exposed in vivo to lipid infusion exhibited enhanced in vitro
basal and LPS-stimulated IL-1beta secretion. CONCLUSIONS: In lean subjects, a
small increase in plasma FFA (as seen in insulin resistant subjects) is
sufficient to upregulate TLR4 and stimulate inflammatory pathways (MAPK) in
monocytes. Moreover, lipids prime monocytes to endotoxin. We provide proof-of
concept data in humans indicating that the low-grade inflammatory state
characteristic of obesity and type 2 diabetes could be caused (at least
partially) by pro-inflammatory monocytes activated by excess lipids present in
these individuals.
PMID- 29649327
TI - Evaluation of intraoperative touch imprint cytology on axillary sentinel lymph
nodes in invasive breast carcinomas, a retrospective study of 1227 patients
comparing sensitivity in the different tumor subtypes.
AB - BACKGROUND: Intraoperative evaluation of the axillary sentinel lymph node (SLN)
in patients with breast carcinoma reduces the need of re-operations in cases
where an axillary completion lymph node dissection (CLND) is indicated. Different
methods have been used to determine the SLN status intraoperatively, e.g. frozen
section histology (FS) and touch imprint cytology (TIC). The sensitivity of
intraoperative TIC examination on SLN is not consistent between different studies
and varies according to different tumor histologic subtypes, tumor size and the
age of the patient. The aim of this study was to describe the specificity and
sensitivity of TIC and to compare TIC sensitivity in the different histological
subtypes of breast carcinoma. METHODS: A retrospective review was performed of
1227 consecutive clinically node negative breast cancer patients treated with
sentinel lymph node biopsy (SLNB) with intraoperative TIC between the years 2003
and 2008. The SLN was bisected and stained using the May-Grunwald-Giemsa method
and immunocytochemically with the antibody MNF-116. RESULTS: The overall
sensitivity of the TIC test was 68.6% and the specificity was 99.8%. There was no
statistically significant difference between the detection of SLN metastases from
ductal carcinoma versus lobular carcinoma. The sensitivity improved over the
period of the study. CONCLUSION: TIC is highly specific with an acceptable
overall sensitivity. The sensitivity increased under the period of the study and
it was higher in cases with larger size of the primary tumor. There was no
difference in TIC sensitivity between the different histological subtypes.
PMID- 29649328
TI - Association between KIR-HLA combination and ulcerative colitis and Crohn's
disease in a Japanese population.
AB - Inflammatory bowel disease (IBD) consists of ulcerative colitis (UC) and Crohn's
disease (CD). Natural killer cell responses play a crucial role in autoimmune
disease through innate immunity, in which killer cell immunoglobulin-like
receptors (KIRs) are closely involved. Although the genetic combination of KIRs
with their specific HLA class I ligands has been associated with IBD in
Caucasians, such KIR-HLA receptor-ligand combinations are not fully understood in
the Japanese. We investigated 14 KIR genes along with HLA-Bw and -C ligands in 90
patients with UC and 50 patients with CD and compared them with the
characteristics of 325 healthy control subjects. The frequency of HLA-Bw4 was
significantly increased in patients with UC (P = 1.3 * 10-6; odds ratio [OR] =
3.39) and CD (P = 0.0065; OR = 2.32) versus controls. The UC group had a
significantly higher frequency of KIR2DS3 (P = 0.024; OR = 1.94) and lower
frequency of KIR2DS4 (P = 0.019; OR = 0.40) and KIR2DL1-HLA-C2 (P = 0.035; OR =
0.47). The Tel-A/B haplotype was significantly decreased in UC patients (P =
0.0056; OR = 0.49). The frequency of KIR3DL1-HLA-Bw4 was significantly higher in
patients with UC (P = 4.3 * 10-6; OR = 3.12) and CD (P = 0.0067; OR = 2.30). In
conclusion, HLA-Bw4 and KIR-HLA pairs may play an important role in the genetic
susceptibility to IBD in the Japanese.
PMID- 29649330
TI - Protein subnuclear localization based on a new effective representation and
intelligent kernel linear discriminant analysis by dichotomous greedy genetic
algorithm.
AB - A wide variety of methods have been proposed in protein subnuclear localization
to improve the prediction accuracy. However, one important trend of these means
is to treat fusion representation by fusing multiple feature representations, of
which, the fusion process takes a lot of time. In view of this, this paper
novelly proposed a method by combining a new single feature representation and a
new algorithm to obtain good recognition rate. Specifically, based on the
position-specific scoring matrix (PSSM), we proposed a new expression,
correlation position-specific scoring matrix (CoPSSM) as the protein feature
representation. Based on the classic nonlinear dimension reduction algorithm,
kernel linear discriminant analysis (KLDA), we added a new discriminant criterion
and proposed a dichotomous greedy genetic algorithm (DGGA) to intelligently
select its kernel bandwidth parameter. Two public datasets with Jackknife test
and KNN classifier were used for the numerical experiments. The results showed
that the overall success rate (OSR) with single representation CoPSSM is larger
than that with many relevant representations. The OSR of the proposed method can
reach as high as 87.444% and 90.3361% for these two datasets, respectively,
outperforming many current methods. To show the generalization of the proposed
algorithm, two extra standard datasets of protein subcellular were chosen to
conduct the expending experiment, and the prediction accuracy by Jackknife test
and Independent test is still considerable.
PMID- 29649329
TI - Overview and outcome of Hodgkin's Lymphoma: Experience of a single developing
country's oncology centre.
AB - Hodgkin's Lymphoma (HL) reveals variable epidemiological and clinico-pathological
features in different geographical locations. In this retrospective study, we
aimed to assess the epidemiological and clinic-pathological features, and outcome
of HL patients treated at one hemato-oncology centre in Erbil, northern Iraq.
Medical records of 103 HL patients treated over more than six years were
reviewed. Treatment outcome was evaluated by measuring the 5-year overall and
progression-free survival rates. The median age of patients was 23 years,
children up to 17 years constituted 31.1%, and male to female ratio was 1:1.05.
The majority (96.1%) of patients presented with lymphadenopathy. Nodular
sclerosis subtype was the mostly encountered histologic type (48.5%); about half
of the patients (49.5%) had stage II disease. Relapse occurred in 20 patients;
the 5-year overall survival for children was better (89%) compared to adult
patients (79%). The associated risk features found to have adverse effects on the
survival, however, only high LDH level and presence of B-symptoms at presentation
showed significant correlation. The epidemiological and clinical characteristics
of HL in our locality followed the pattern in the western world. The 5-year
overall and progression-free survivals were far below the international rates, a
matter which may necessitate a revision to HL treatment strategy at our centre.
PMID- 29649331
TI - Ecological succession of adult necrophilous insects on neonate Sus scrofa
domesticus in central North Carolina.
AB - The necrophilous insect fauna on carcasses varies seasonally and geographically.
The ecological succession of insects arriving to decaying neonate pig carcasses
in central North Carolina during late summer was sampled using a novel vented
chamber collection method. We collected six blow fly species, flesh flies, house
flies and 10 beetle taxa, including four species of scarab beetles. Necrophilous
fly activity dominated the early decomposition stages, whereas beetle numbers
remained low until day 4. By day 7, more than 50% of the pig carcasses were
skeletonized and they attracted few insects. Differences in the taxa and
successional patterns documented in this experiment and a previous study in the
same location highlight the ecological variation in such investigations, and
underscore the need for standardization, as well as for ecological succession
studies on finer geographic scales.
PMID- 29649332
TI - Development of novel EST-SSR markers for ploidy identification based on de novo
transcriptome assembly for Misgurnus anguillicaudatus.
AB - The co-existence of several ploidy types in natural populations makes the
cyprinid loach Misgurnus anguillicaudatus an exciting model system to study the
genetic and phenotypic consequences of ploidy variations. A first step in such
effort is to identify the specific ploidy of an individual. Currently popular
methods of karyotyping via cytological preparation or flow cytometry require a
large amount of tissue (such as blood) samples, which can be damaging or fatal to
the fishes. Here, we developed novel microsatellite markers (SSR markers) from M.
anguillicaudatus and show that they can effectively discriminate ploidy using
samples collected in a minimally invasive way. Specifically, we generated whole
genome transcriptomes from multiple M. anguillicaudatus using the Illumina paired
end sequencing. Approximately 150 million raw reads were assembled into 76,544
non-redundant unigenes. A total of 8,194 potential SSR markers were identified.
We selected 98 pairs with more than five tandem repeats for further assays. Out
of 45 putative EST-SSR markers that successfully amplified and harbored
polymorphism in diploids, 11 markers displayed high variability in tetraploids.
We further demonstrate that a set of five EST-SSR markers selected from these are
sufficient to distinguish ploidy levels, by first validating them on 69 reference
specimens with known ploidy levels and then subsequently using fresh-collected 96
ploidy-unknown specimens. The results from EST-SSR markers are highly concordant
with those from independent flow cytometry analysis. The novel EST-SSR markers
developed here should facilitate genetic studies of polyploidy in the emerging
model system M. anguillicaudatus.
PMID- 29649333
TI - Soluble T-cell receptor design influences functional yield in an E. coli
chaperone-assisted expression system.
AB - There is a quest for production of soluble protein of high quality for the study
of T-cell receptors (TCRs), but expression often results in low yields of
functional molecules. In this study, we used an E. coli chaperone-assisted
periplasmic production system and compared expression of 4 different soluble TCR
formats: single-chain TCR (scTCR), two different disulfide-linked TCR (dsTCR)
formats, and chimeric Fab (cFab). A stabilized version of scTCR was also
included. Additionally, we evaluated the influence of host (XL1-Blue or
RosettaBlueTM) and the effect of IPTG induction on expression profiles. A celiac
disease patient-derived TCR with specificity for gluten was used, and we achieved
detectable expression for all formats and variants. We found that expression in
RosettaBlueTM without IPTG induction resulted in the highest periplasmic yields.
Moreover, after large-scale expression and protein purification, only the scTCR
format was obtained in high yields. Importantly, stability engineering of the
scTCR was a prerequisite for obtaining reliable biophysical characterization of
the TCR-pMHC interaction. The scTCR format is readily compatible with high
throughput screening approaches that may enable both development of reagents
allowing for defined peptide MHC (pMHC) characterization and discovery of
potential novel therapeutic leads.
PMID- 29649334
TI - Urinary cGMP predicts major adverse renal events in patients with mild renal
impairment and/or diabetes mellitus before exposure to contrast medium.
AB - BACKGROUND: The use of iodine-based contrast agents entails the risk of contrast
induced nephropathy (CIN). Radiocontrast agents elicit the third most common
cause of nephropathy among hospitalized patients, accounting for 11-12% of cases.
CIN is connected with clinically significant consequences, including increased
morbidity, prolonged hospitalization, increased risk of complications, potential
need for dialysis, and increased mortality rate. The number of in-hospital
examinations using iodine-based contrast media has been significantly increasing
over the last decade. In order to protect patients from possible complications of
such examinations, new biomarkers are needed that are able to predict a risk of
contrast-induced nephropathy. Urinary and plasma cyclic guanosine monophosphate
(cGMP) concentrations are influenced by renal function. Urinary cGMP is primarily
of renal cellular origin. Therefore, we assessed if urinary cGMP concentration
may predict major adverse renal events (MARE) after contrast media exposure
during coronary angiography. METHODS: Urine samples were prospectively collected
from non-randomized consecutive patients with either diabetes or preexisting
impaired kidney function receiving intra-arterial contrast medium (CM) for
emergent or elective coronary angiography at the Charite Campus Mitte, University
Hospital Berlin. Urinary cGMP concentration in spot urine was analyzed 24 hours
after CM exposure. Patients were followed up over 90 days for occurrence of
death, initiation of dialysis, doubling of plasma creatinine concentration or
MARE. RESULTS: In total, 289 consecutive patients were included into the study.
Urine cGMP/creatinine ratio 24 hours before CM exposure expressed as mean+/-SD
was predictive for the need of dialysis (no dialysis: 89.77+/-92.85 MUM/mM, n =
277; need for dialysis: 140.3+/-82.90 MUM/mM, n = 12, p = 0.008), death (no death
during follow-up: 90.60+/-92.50 MUM/mM, n = 280; death during follow-up: 169.88+/
81.52 MUM/mM, n = 9; p = 0.002), and the composite endpoint MARE (no MARE:
86.02+/-93.17 MUM/mM, n = 271; MARE: 146.64+/-74.68 MUM/mM, n = 18, p<0.001)
during the follow-up of 90 days after contrast media application. cGMP/creatinine
ratio stayed significantly increased at values exceeding 120 MUM/mM in patients
who developed MARE, required dialysis or died. CONCLUSIONS: Urinary
cGMP/creatinine ratio >= 120 MUM/mM before CM exposure is a promising biomarker
for the need of dialysis and all-cause mortality 90 days after CM exposure in
patients with preexisting renal impairment or diabetes.
PMID- 29649335
TI - Molecular characterization of the lipophorin receptor in the crustacean
ectoparasite Lepeophtheirus salmonis.
AB - The Salmon louse (Lepeophtheirus salmonis) is a marine ectoparasite of salmonid
fish in the Northern Hemisphere and considered as a major challenge in
aquaculture and a threat to wild populations of salmonids. Adult female lice
produce a large number of lipid-rich eggs, however, the mechanism of maternal
lipid transport into developing eggs during salmon louse reproduction has not
been described. In the present study, a full-length L. salmonis lipophorin
receptor (LsLpR) consisting of 16 exons was obtained by RACE and RT-PCR. The
predicted ORF was 952 amino acids and structural analysis showed five functional
domains that are similar to LpR of insects and decapods. Phylogenetic analysis
placed the LsLpR together with LpRs from decapods and insects. Expression
analysis revealed that the relative abundance of LsLpR transcripts was highest in
the larvae and adult female lice. In adult females, the LsLpR transcripts and
protein were found in the ovary and vitellogenic oocytes whereas, in larvae, the
LsLpR transcripts were found in the neuronal somata of the brain and the
intestine. Oil Red O stain results revealed that storage of neutral lipids was
found in vitellogenic oocytes and ovaries of adult females, and in the yolk of
larvae. Moreover, RNA interference (RNAi) was conducted to demonstrate the
function of LsLpR in reproduction and lipid metabolism in L. salmonis. In larvae,
the transcription of LsLpR was decreased by 44-54% while in an experiment LsLpR
knockdown female lice produced 72% less offspring than control lice.
PMID- 29649338
TI - Guilt leads to enhanced facing-the-viewer bias.
AB - As an important moral emotion, guilt plays a critical role in social interaction.
It has been found that people tended to exhibit prosocial behavior under
circumstances of guilt. However, all extant studies have predominantly focused on
the influence of guilt on macro-level behavior. So far, no study has investigated
whether guilt affects people's micro-level perception. The current study closes
this gap by examining whether guilt affects one's inclination to perceive
approaching motion. We achieved this aim by probing a facing-the-viewer bias (FTV
bias). Specifically, when an ambiguous walking biological motion display is
presented to participants via the point-light display technique, participants
tend to perceive a walking agent approaching them. We hypothesized that guilt
modulated FTV bias. To test this hypothesis, we adopted a two-person situation
induction task to induce guilt, whereby participants were induced to feel that
because of their poor task performance, their partner did not receive a
satisfactory payment. We found that when participants were told that the
perceived biological motion was motion-captured from their partner, the FTV bias
was significantly increased for guilty participants relative to neutral
participants. However, when participants were informed that the perceived
biological motion was from a third neutral agent, the FTV bias was not modulated
by guilt. These results suggest that guilt influences one's inclination to
perceive approaching motion, but this effect is constrained to the person towards
whom guilt is directed.
PMID- 29649336
TI - Molecular mechanisms of fission in echinoderms: Transcriptome analysis.
AB - Echinoderms are capable of asexual reproduction by fission. An individual divides
into parts due to changes in the strength of connective tissue of the body wall.
The structure of connective tissue and the mechanisms of variations in its
strength in echinoderms remain poorly studied. An analysis of transcriptomes of
individuals during the process of fission provides a new opportunity to
understand the mechanisms of connective tissue mutability. In the holothurian
Cladolabes schmeltzii, we have found a rather complex organization of connective
tissue. Transcripts of genes encoding a wide range of structural proteins of
extracellular matrix, as well as various proteases and their inhibitors, have
been discovered. All these molecules may constitute a part of the mechanism of
connective tissue mutability. According to our data, the extracellular matrix of
echinoderms is substantially distinguished from that of vertebrates by the lack
of elastin, fibronectins, and tenascins. In case of fission, a large number of
genes of transcription factors and components of different signaling pathways are
expressed. Products of these genes are probably involved in regulation of asexual
reproduction, connective tissue mutability, and preparation of tissues for
subsequent regeneration. It has been shown that holothurian tensilins are a
special group of tissue inhibitors of metalloproteinases, which has formed within
the class Holothuroidea and is absent from other echinoderms. Our data can serve
a basis for the further study of the mechanisms of extracellular matrix
mutability, as well as the mechanisms responsible for asexual reproduction in
echinoderms.
PMID- 29649337
TI - Leg length, skull circumference, and the incidence of dementia in Latin America
and China: A 10/66 population-based cohort study.
AB - BACKGROUND: Adult leg length is influenced by nutrition in the first few years of
life. Adult head circumference is an indicator of brain growth. Cross-sectional
studies indicate inverse associations with dementia risk, but there have been few
prospective studies. METHODS: Population-based cohort studies in urban sites in
Cuba, Dominican Republic Puerto Rico and Venezuela, and rural and urban sites in
Peru, Mexico and China. Sociodemographic and risk factor questionnaires were
administered to all participants, and anthropometric measures taken, with
ascertainment of incident dementia, and mortality, three to five years later.
RESULTS: Of the original at risk cohort of 13,587 persons aged 65 years and over,
2,443 (18.0%) were lost to follow-up; 10,540 persons with skull circumference
assessments were followed up for 40,466 person years, and 10,400 with leg length
assessments were followed up for 39,954 person years. There were 1,009 cases of
incident dementia, and 1,605 dementia free deaths. The fixed effect pooled meta
analysed adjusted subhazard ratio (ASHR) for leg length (highest vs. lowest
quarter) was 0.80 (95% CI, 0.66-0.97) and for skull circumference was 1.02 (95%
CI, 0.84-1.25), with no heterogeneity of effect between sites (I2 = 0%). Leg
length measurements tended to be shorter at follow-up, particularly for those
with baseline cognitive impairment and dementia. However, leg length change was
not associated with dementia incidence (ASHR, per cm 1.006, 95% CI 0.992-1.020),
and the effect of leg length was little altered after adjusting for baseline
frailty (ASHR 0.82, 95% CI 0.67-0.99). A priori hypotheses regarding effect
modification by gender or educational level were not supported. However, the
effect of skull circumference was modified by gender (M vs F ASHR 0.86, 95% CI
0.75-0.98), but in the opposite direction to that hypothesized with a greater
protective effect of larger skull dimensions in men. CONCLUSIONS: Consistent
findings across settings provide quite strong support for an association between
adult leg length and dementia incidence in late-life. Leg length is a relatively
stable marker of early life nutritional programming, which may confer brain
reserve and protect against neurodegeneration in later life through mitigation of
cardiometabolic risk. Further clarification of these associations could inform
predictive models for future dementia incidence in the context of secular trends
in adult height, and invigorate global efforts to improve childhood nutrition,
growth and development.
PMID- 29649340
TI - The relationship between alkaline phosphatase and bone alkaline phosphatase
activity and the growth hormone/insulin-like growth factor-1 axis and vitamin D
status in children with growth hormone deficiency.
AB - The relationships between bone turnover, the growth hormone/insulin-like growth
factor-1 (GH/IGF-1) axis and vitamin D are complex, but still not fully
explained. The GH/IGF-1 axis and vitamin D can mutually modulate each other's
metabolism and influence the activation of cell proliferation, maturation, and
mineralization as well as bone resorption. The aim of this study was to evaluate
the reciprocal associations between bone formation markers [alkaline phosphatase
(ALP), bone alkaline phosphatase (BALP)], the GH/IGF-1 axis and 25-hydroxyvitamin
D [25(OH)D] in children with growth hormone deficiency at baseline and during
recombinant human growth hormone (rhGH) therapy. ALP, BALP, 25(OH)D and IGF-1
levels were evaluated in 53 patients included in this prospective three-year
study. ALP, BALP and IGF-1 increased during rhGH therapy. Baseline ALP activity
correlated positively with baseline height velocity (HV). ALP and BALP activity
at 12 months correlated positively with HV in the first year of therapy. We found
positive correlations between ALP and IGF-1 at baseline and during the first year
of therapy, between BALP activity at 12 months and rhGH dose in the first year of
therapy, and between doses of cholecalciferol in the first year of rhGH therapy
and early changes in BALP activity during rhGH therapy. Our results indicate that
vitamin D supplementation enhances the effect of rhGH on bone formation process,
which could improve the effects of rhGH therapy. ALP and BALP activity are useful
in the early prediction of the effects of rhGH therapy, but their utility as long
term predictors seemed insufficient.
PMID- 29649341
TI - How Does Your PICCOMPARE? A Pilot Randomized Controlled Trial Comparing Various
PICC Materials in Pediatrics.
AB - BACKGROUND: Despite the popularity of peripherally inserted central catheters
(PICCs), recent literature highlights their potential injurious complications.
Innovative PICC materials have been developed to prevent thrombosis and infection
formation (Endexo(r)) and antireflux valves to prevent occlusion (pressure
activated safety valve(r)). No large randomized controlled trial has assessed
these technologies. Our primary aim was to evaluate the feasibility of a large
randomized controlled efficacy trial of PICC materials and design to reduce PICC
complication in pediatrics. METHODS: A randomized controlled feasibility trial
was undertaken at the Lady Cilento Children's Hospital in South Brisbane,
Australia, between March 2016 and November 2016. Consecutive recruitment of 150
pediatric participants were randomly assigned to receive either (1) polyurethane
PICC with a clamp or (2) BioFlo(r) PICC (AngioDynamics Inc, Queensbury, NY).
Primary outcomes were trial feasibility, including PICC failure (thrombosis,
occlusion, infection, breakage, or dislodgement). Secondary outcomes were PICC
complications during use. RESULTS: Protocol feasibility was established,
including staff and patient acceptability, timely recruitment, no missing primary
outcome data, and 0% attrition. PICC failure was 22% (16 of 74, standard care)
and 11% (8 of 72, BioFlo(r)) corresponding to 12.6 and 7.3 failures per 1000
hours (risk ratio 0.58; 95% confidence interval, 0.21-1.43; P = .172). PICC
failures were primarily due to thrombosis (standard care 7% versus BioFlo(r) 3%)
and complete occlusion (standard care 7% versus BioFlo(r) 1%). No blood stream
infections occurred. Significantly fewer patients with BioFlo(r) had PICC
complications during use (15% vs 34%; P = .009). CONCLUSIONS: BioFlo(r) PICCs
appear potentially safer for pediatrics than traditional standard care PICCs with
a clamp. Further research is required to definitively identify clinical, cost
effective methods to prevent PICC failure and improve reliability.
PMID- 29649339
TI - Pathogenic factors associated with development of disseminated intravascular
coagulopathy (DIC) in a tertiary academic hospital in South Africa.
AB - INTRODUCTION: Disseminated intravascular coagulopathy (DIC) is a thrombotic
microangiopathy arising from consumption of both coagulation factors and
platelets. DIC is triggered by a number of clinical conditions including severe
infection, trauma and obstetric complications. Early diagnosis and treatment of
the underlying condition is paramount. A high clinical index of suspicion is
needed to ensure that patients at risk of developing DIC are appropriately
investigated. METHODS: In order to establish the clinical conditions most
frequently associated with DIC, we reviewed all DIC screens received at a
tertiary hospital in Johannesburg, South Africa over a 1 year period. RESULTS:
The commonest clinical condition associated with DIC in our population was
infection with 84% of patients infected with an identified pathogen. The most
frequently diagnosed pathogen was HIV followed by Mycobacterium tuberculosis and
other bacterial infections. In the majority of cases, bacteria were isolated from
blood cultures. In 47 patients, HIV was the only pathogen which could be
isolated. A relative risk ratio of 2.73 and an odds ratio of 29.97 was attributed
to HIV for development of a DIC. A malignancy was present in 51 of the patients
of which approximately 60% had co-existing infection. No cause could be
attributed in 30 patients. CONCLUSION: Infection was identified in the majority
of the patients diagnosed with DIC in this study. HIV showed the highest relative
risk ratio of all pathogens although previous studies have not suggested that HIV
was strongly associated with DIC. In almost half of the HIV infected patients,
there was no other pathogen isolated despite extensive investigation. This
suggests that HIV has a strong association with the development of DIC,
warranting further research into the relationship between HIV and disseminated
microvascular thrombosis.
PMID- 29649342
TI - A homogeneous electrochemical sensor for Hg2+ determination in environmental
water based on the T-Hg2+-T structure and exonuclease III-assisted recycling
amplification.
AB - A simple, fast, sensitive, and homogeneous electrochemical sensor based on the T
Hg2+-T structure and exonuclease III-assisted recycling amplification has been
constructed for mercury ion (Hg2+) detection. The cT and methylene blue-labeled
DNA probes (MB-TDNA) were designed to contain poly T sequences, which were
repulsed from the negatively charged indium tin oxide (ITO) electrode due to
their abundant negative charges. Hg2+ could trigger the formation of double
stranded DNA (dsDNA) between two DNA probes owing to the stable T-Hg2+-T
structure. Then, Exo III specifically recognizes the cleavage of the double
stranded structure to release a methylene blue-labeled mononucleotide fragment
(MB-MF). Moreover, the release of the target Hg2+ induces new hybridization and
produces a large number of MB-MFs; MB-MFs are not repulsed by the negatively
charged ITO electrode surface, thus producing a significant current signal. Under
optimal conditions, the differential pulse voltammetric (DPV) response had a
linear relationship with the logarithm of Hg2+ concentration in the range of 1.0
nM-0.5 MUM, and the proposed method displayed great applicability for detecting
Hg2+ in tap-water samples.
PMID- 29649343
TI - Autophoretic motion in three dimensions.
AB - Janus particles with the ability to move phoretically in self-generated chemical
concentration gradients are model systems for active matter. Their motion
typically consists of straight paths with rotational diffusion being the dominant
reorientation mechanism. In this paper, we show theoretically that by a suitable
surface coverage of both activity and mobility, translational and rotational
motion can be induced arbitrarily in three dimensions. The resulting trajectories
are in general helical, and their pitch and radius can be controlled by adjusting
the angle between the translational and angular velocity. Building on the
classical mathematical framework for axisymmetric self-phoretic motion under
fixed-flux chemical boundary conditions, we first show how to calculate the most
general three-dimensional motion for an arbitrary surface coverage of a spherical
particle. After illustrating our results on surface distributions, we next
introduce a simple intuitive patch model to serve as a guide for designing
arbitrary phoretic spheres.
PMID- 29649344
TI - The transformation behaviour of "alucones", deposited by molecular layer
deposition, in nanoporous Al2O3 layers.
AB - Nanoporous alumina films can be synthesized from hybrid organic-inorganic
"alucone" films deposited by molecular layer deposition (MLD) by wet etching in
deionized water or calcination in air at 500 degrees C. This transformation
process was systematically investigated for two alucone chemistries based on
ethylene glycol (EG) and glycerol (GL). Ellipsometric porosimetry (EP) was used
for the characterization of the porous alumina structures that are formed as a
result of the treatments. Etching in deionized water transforms both EG- and GL
alucones into porous alumina with a porosity of about 40%, albeit with a
different pore structure: cylindrical pores for EG-alucones and ink-bottle
structures for GL-alucones. Calcination in air up to 500 degrees C only
successfully transformed EG-alucones into porous alumina if the chosen heating
and cooling rate was lower than 200 degrees C h-1. Below this ramp rate, a
relationship between the resulting porosity and the ramp rate was found. At the
lowest investigated ramp rate of 20 degrees C h-1, the highest porosity of 36%
was achieved. For this treatment type, the pore shape was of the ink-bottle type
for all investigated ramp rates with narrow 1 nm-sized pores. Infrared
spectroscopy (FTIR) and X-ray photoelectron spectroscopy revealed that the final
chemistry of the porous structures was slightly different for both treatments due
to trace amounts of carbon left behind by water etching. This suggests that the
internal surface of the porous structure has a different termination depending on
the chosen treatment. The precise thickness control and conformal nature inherent
to MLD combined with the wet and heat treatments enables the coating of complex
3D structures with a porous alumina film with a well-defined thickness and pore
structure.
PMID- 29649345
TI - Enhanced singlet oxygen generation of a soft salt through efficient energy
transfer between two ionic metal complexes.
AB - In this study, a soft salt complex based photosensitizer has been developed for
photodynamic therapy (PDT) of cancer cells. The iridium(iii) complex
[Ir(L)(L')]3+(PF6-)3 (C1) with L and L' being terpyridine ligands (L = 4'-phenyl
2,2':6',2''-terpyridine, L' = 3-([2,2':6',2''-terpyridin]-4'-yl)-9-hexyl-9H
carbazole) was chosen as the cationic component, and the iridium(iii) complex
[Ir(dfppy)2CN2]-Bu4N+ (A1) was selected as the anionic component. Complexes C1
and A1 are directly connected through electrostatic interaction to form a soft
salt based photosensitizer (S1), which exhibited an enhanced singlet oxygen
generation rate because of efficient energy transfer between two ionic metal
complexes. Furthermore, this novel photosensitizer was successfully applied in
photodynamic therapy (PDT) of cancer cells for the first time.
PMID- 29649346
TI - Decaborane anion tautomerism: ion pairing and proton transfer control.
AB - The reaction of 1,8-bis(dimethylamino)naphthalene-which is often referred by the
trade name Proton-Sponge (PS)-with decaborane in hexane afforded [HPS][B10H13]
(1) salt as a pale-yellow precipitate. Variable-temperature NMR studies allowed
the full assignment of 1H and 11B spectra for this familiar ten-vertex polyhedral
anion. In addition, this work reveals that an increase in the temperature leads
to the intramolecular exchange of three B-H-B hydrogen atoms around the hexagonal
face of the boat-shaped cluster. This previously unrecognised H-tautomerism
complements the long-known low-energy proton exchange of only one of the bridging
hydrogen atoms. The temperature dependent proton fluxional behaviour controls the
molecular environment of the polyhedral cage, averaging the negative charge of
the anion. The result is a debilitation of the cation-anion interactions in
solution, favouring the transfer of the proton from the organic aromatic cation,
[HPS]+, to the polyhedral anion, [B10H13]-. This proton transfer affords Proton
Sponge and decaborane, increasing the entropy of the system and sustaining an
equilibrium which at high temperatures shifts toward the neutral reactants and at
low temperatures moves toward the ionic products. A single X-ray diffraction
analysis of 1 is discussed.
PMID- 29649347
TI - Two photochromic iodoargentate hybrids with adjustable photoresponsive mechanism.
AB - Two organic-inorganic iodoargentate hybrids, [(HPBI).(MeCN)][Ag3I4] (1) and
[MPBI][Ag3I4] (2) (HPBI+ = 1-proton-2-phenylbenzimidazolium, MPBI+ = 1,3-dimethyl
2-phenylbenzimidazolium), have been synthesized. They exhibit structure-dependent
photochromism with fast response and wide color range (from colorless to purple
and dark green in 1 and 2, respectively), which are attributed to a substantially
reversible photolysis for 1 and dual photolysis and photoinduced secondary ET for
2.
PMID- 29649348
TI - Development of the American College of Rheumatology Electronic Clinical Quality
Measures for Gout.
AB - OBJECTIVE: Electronic clinical quality measures (eCQMs) are increasingly used by
health registries and third parties to evaluate and improve the quality of health
care. To complete these eCQMs, data are extracted from electronic health records
(EHRs). The treatment of gout has been an area identified with gaps in quality of
care. On behalf of the American College of Rheumatology (ACR), we sought to
develop and test eCQMs to evaluate gout care. METHODS: Drawing from the 2012 ACR
gout guidelines, a working group developed candidate gout process measures that
were evaluated by an interdisciplinary panel of health care stakeholders, the ACR
Quality Measures Subcommittee (QMS), and ultimately the ACR Board of Directors
for formal validity testing. For each of the selected gout eCQMs, 3 clinical
sites using different EHR systems tested the scientific feasibility and validity
of the measures. Measures appropriate for accountability were presented for
national endorsement. RESULTS: Of the 10 proposed eCQMs, 4 were endorsed by the
ACR QMS, 3 were incorporated into the ACR's Rheumatology Informatics System for
Effectiveness (RISE) Registry, and 2 were endorsed by the National Quality Forum.
The 3 eCQMs incorporated into RISE (evaluating indications for urate-lowering
therapy [ULT]), monitoring serum urate, and treat-to-target outcome) demonstrated
high validity and reliability. Proportions of patients passing these 3 eCQMs in
RISE and at the 3 clinical testing sites ranged between 32% and 58%, indicating
significant room for improvement in care. CONCLUSION: Three eCQMs have been
validated and implemented into RISE. Two of these measures (evaluating
indications for ULT and monitoring serum urate) are available for use in federal
quality reporting programs. Performance on these measures suggests there is
significant room for improvement in the management of gout.
PMID- 29649349
TI - Differential Scavenging Among Pig, Rabbit, and Human Subjects.
AB - Different animal species have been used as proxies for human remains in
decomposition studies for decades, although few studies have sought to validate
their use in research aimed at estimating the postmortem interval. This study
examines 45 pig, rabbit, and human subjects placed in three seasonal trials at
the Anthropology Research Facility. In an earlier paper, we found that overall
decomposition trends did vary between species that could be due to differential
insect and scavenger behavior. This study specifically examines if scavenger
behavior differs by carrion species. Daily photographs, game camera photographs,
written observations, and Total Body Score (TBS) documented scavenging and
decomposition changes. Results show that raccoons were the most commonly observed
vertebrate scavenger, that scavenging was most extensive in winter, and that
certain human subjects were preferred over other humans and all non-human
subjects. Finally, scavenging activity greatly reduces the accuracy of postmortem
interval estimates based on TBS.
PMID- 29649350
TI - Evaluation of DNA Extraction Methods from Waterlogged Bones: A Pilot Study.
AB - When deaths occur in water, soft tissue decomposes after a temperature-dependent
period, making DNA identification dependent on bone. This study examined the
effects of water on bone DNA purity and quantity, and determined the best of
three extraction methods for isolating DNA. The organic phenol-chloroform method
consistently extracted DNA approximating the accepted 260/280 purity value
(~1.8); ChargeSwitch(r) gDNA Plant Kit and DNeasy Blood and Tissue Kit produced
fair and unacceptable values, respectively. The purity value for humerus and rib
samples was consistent across accumulated degree days (ADD). Significant
differences in quantification among extraction methods and between bone types
were identified. Ribs and ChargeSwitch(r) gDNA Plant Kit samples produced the
lowest mean Ct values of the bone types and the extraction methods, respectively.
Therefore, this study proposes that magnetic bead technology extraction methods
and ribs be considered when processing bones that have been submerged in water
for any length of time.
PMID- 29649351
TI - Epidemiological Study of Carbon Monoxide Deaths in Scotland 2007-2016.
AB - Carbon monoxide (CO) intoxications are quite frequent in forensic toxicology.
Using a sample of 209 CO-positive deaths in Scotland from 2007 to 2016, this
study provides ranges of percentage CO saturations (%COHb) according to the CO
source and examines any correlation with age, gender, alcohol, and preexisting
disease. It also reports the full toxicological findings, including drug
concentrations, in CO-positive cases. The highest numbers of fatalities involved
males, occurred during autumn/winter, and the main source of CO was fire. The
median %COHb in fire-related cases was significantly lower than in non-fire
related cases such as those involving exhausts, generators and gas supply
systems, and portable BBQs. There was no relationship between %COHb and age,
blood alcohol concentration, or the presence of preexisting cardiovascular and/or
respiratory disease. Toxicology results revealed that prescription medications
were the most commonly detected drug group and that the number of cases positive
for controlled drugs was small.
PMID- 29649353
TI - The Microbiota, Cancer and the "Human Superorganism".
PMID- 29649352
TI - Interstitial Lung Diseases in the U.S. Mining Industry: Using MSHA Data to
Examine Trends and the Prevention Effects of Compliance with Health Regulations,
1996-2015.
AB - Given the recent increase in dust-induced lung disease among U.S. coal miners and
the respiratory hazards encountered across the U.S. mining industry, it is
important to enhance an understanding of lung disease trends and the
organizational contexts that precede these events. In addition to exploring
overall trends reported to the Mine Safety and Health Administration (MSHA), the
current study uses MSHA's enforcement database to examine whether or not
compliance with health regulations resulted in fewer mine-level counts of these
diseases over time. The findings suggest that interstitial lung diseases were
more prevalent in coal mines compared to other mining commodities, in Appalachian
coal mines compared to the rest of the United States, and in underground compared
to surface coal mines. Mines that followed a relevant subset of MSHA's health
regulations were less likely to report a lung disease over time. The findings are
discussed from a lung disease prevention strategy perspective.
PMID- 29649354
TI - CPR Blitzkrieg: Training 500 High School Students in less than 48 hours.
AB - BACKGROUND Many states, including Arkansas, require CPR training before high
school graduation. METHODS We modified the American Heart Association's CPR in
Schools curriculum to deliver CPR and AED training with maximal individual
practice. RESULTS 520 students were trained over a two-day period at Little Rock
Central High School. Three students were unable to effectively participate due to
physical limitations. The model was later applied at the five other district
public high schools. CONCLUSIONS Training large numbers of students in a time
compressed formal is feasible with a highly dedicated, practiced instructor team.
This model may be applicable to workplace settings.
PMID- 29649355
TI - Emergency Department Assessment and Treatment for Medicaid Patients.
PMID- 29649356
TI - Acute Renal Infarction - Presentation and Causes: Two Case Reports.
PMID- 29649357
TI - Amiodarone Induced Interstitial and Organizing Pneumonia Reversed with Steroids.
AB - Amiodarone is a widely used antiarrhythmic agent for supraventricular and
ventricular tachyarrhythmias. It is known to cause pulmonary toxicity, which can
manifest in a variety of presentations, ranging from asymptomatic to fatal. We
present a case of chronic interstitial pneumonia with organizing pneumonia
induced by amiodarone that was successfully treated with drug cessation and use
of corticosteroids. The patient experienced complete resolution of symptoms and
full recovery of lung function after the course of steroids.
PMID- 29649358
TI - Constrained Adherable Area of Nanotopographic Surfaces Promotes Cell Migration
through the Regulation of Focal Adhesion via Focal Adhesion Kinase/Rac1
Activation.
AB - Cell migration is crucial in physiological and pathological processes such as
embryonic development and wound healing; such migration is strongly guided by the
surrounding nanostructured extracellular matrix. Previous studies have
extensively studied the cell migration on anisotropic nanotopographic surfaces;
however, only a few studies have reported cell migration on isotropic
nanotopographic surfaces. We herein, for the first time, propose a novel concept
of adherable area on cell migration using isotropic nanopore surfaces with
sufficient nanopore depth by adopting a high aspect ratio. As the pore size of
the nanopore surface was controlled to 200, 300, and 400 nm in a fixed center-to
center distance of 480 nm, it produced 86, 68, and 36% of adherable area,
respectively, on the fabricated surface. A meticulous investigation of the cell
migration in response to changes in the constrained adherable area of the
nanotopographic surface showed 1.4-, 1.5-, and 1.6-fold increase in migration
speeds and a 1.4-, 2-, and 2.5-fold decrease in the number of focal adhesions as
the adherable area was decreased to 86, 68, and 36%, respectively. Furthermore, a
strong activation of FAK/Rac1 signaling was observed to be involved in the
promoted cell migration. These results suggest that the reduced adherable area
promotes cell migration through decreasing the FA formation, which in turn
upregulates FAK/Rac1 activation. The findings in this study can be utilized to
control the cell migration behaviors, which is a powerful tool in the research
fields involving cell migration such as promoting wound healing and tissue
repair.
PMID- 29649359
TI - Base-Promoted Synthesis of 2-Aryl Quinazolines from 2-Aminobenzylamines in Water.
AB - A transition-metal-free procedure for the synthesis of a highly valuable class of
heteroaromatics, quinazolines, was developed by using easily available 2
aminobenzylamines and alpha,alpha,alpha-trihalotoluenes. The transformation
proceeded smoothly in the presence of only sodium hydroxide and molecular oxygen
in water at 100 degrees C, furnishing a variety of 2-aryl quinazolines. The
crystallization process of the crude reaction mixture for the purification of the
solid products circumvents huge solvent-consuming workup and column
chromatographic techniques, which make the overall process more sustainable and
economical.
PMID- 29649360
TI - Glycerolipid Headgroups Control Rate and Mechanism of Superoxide Dismutase-1
Aggregation and Accelerate Fibrillization of Slowly Aggregating Amyotrophic
Lateral Sclerosis Mutants.
AB - Interactions between superoxide dismutase-1 (SOD1) and lipid membranes might be
directly involved in the toxicity and intercellular propagation of aggregated
SOD1 in amyotrophic lateral sclerosis (ALS), but the chemical details of lipid
SOD1 interactions and their effects on SOD1 aggregation remain unclear. This
paper determined the rate and mechanism of nucleation of fibrillar apo-SOD1
catalyzed by liposomal surfaces with identical hydrophobic chains
(RCH2(O2C18H33)2), but headgroups of different net charge and hydrophobicity
(i.e., R(CH2)N+(CH3)3, RPO4-(CH2)2N+(CH3)3, and RPO4-). Under semiquiescent
conditions (within a 96 well microplate, without a gyrating bead), the
aggregation of apo-SOD1 into thioflavin-T-positive (ThT(+)) amyloid fibrils did
not occur over 120 h in the absence of liposomal surfaces. Anionic liposomes
triggered aggregation of apo-SOD1 into ThT(+) amyloid fibrils; cationic liposomes
catalyzed fibrillization but at slower rates and across a narrower lipid
concentration; zwitterionic liposomes produced nonfibrillar (amorphous)
aggregates. The inability of zwitterionic liposomes to catalyze fibrillization
and the dependence of fibrillization rate on anionic lipid concentration suggests
that membranes catalyze SOD1 fibrillization by a primary nucleation mechanism.
Membrane-catalyzed fibrillization was also examined for eight ALS variants of apo
SOD1, including G37R, G93R, D90A, and E100G apo-SOD1 that nucleate slower than or
equal to WT SOD1 in lipid-free, nonquiescent amyloid assays. All ALS variants
(with one exception) nucleated faster than WT SOD1 in the presence of anionic
liposomes, wherein the greatest acceleratory effects were observed among variants
with lower net negative surface charge (G37R, G93R, D90A, E100G). The exception
was H46R apo-SOD1, which did not form ThT(+) species.
PMID- 29649361
TI - Cytosolic Uptake of Large Monofunctionalized Dextrans.
AB - Dextrans are a versatile class of polysaccharides with applications that span
medicine, cell biology, food science, and consumer goods. Here, we report on a
new type of large monofunctionalized dextran that exhibits unusual properties:
efficient cytosolic and nuclear uptake. This dextran permeates various human cell
types without the use of transfection agents, electroporation, or membrane
perturbation. Cellular uptake occurs primarily through active transport via
receptor-mediated processes. These monofunctionalized dextrans could serve as
intracellular delivery platforms for drugs or other cargos.
PMID- 29649362
TI - Relationship between the Physiochemical Properties of Cocoa Procyanidins and
Their Ability to Inhibit Lipid Oxidation in Liposomes.
AB - The aim of this paper is to evaluate the effects of cocoa polyphenols and
procyanidins with different degrees of polymerization that are encapsulated in
liposome delivery systems on the inhibition of lipid oxidation at pH 3.0 and 5.0.
In general, liposomes at pH 3.0 and 5.0 were physically stable in the presence of
polyphenols and procyanidins with mean particle sizes of 56.56 +/- 12.29 and
77.45 +/- 8.67 nm and zeta-potentials of -33.50 +/- 3.16 and -20.44 +/- 1.98 mV
at pH 3.0 and 5.0, respectively. At both pH 3.0 and pH 5.0, all the polyphenols
and procyanidins inhibited lipid hydroperoxide and hexanal formation, and
antioxidant activities increased with increasing polymer-chain sizes. The greater
antioxidant activities of the isolated procyanidins were likely due to their
increased metal-chelating capacities, as determined by ferric-reducing-ability
(FRAP) assays, and their greater levels of partitioning into the lipids, as
determined by their log Kow values and encapsulation efficiencies. The crude
extract had the greatest antioxidant activity, which could be because other
antioxidants were present, or combinations of the different polyphenols and
procyanidins inhibited lipid oxidation synergistically.
PMID- 29649364
TI - Unambiguous Signature of the Berry Phase in Intense Laser Dissociation of
Diatomic Molecules.
AB - We report strong evidence of Berry phase effects in intense laser dissociation of
D2+ molecules, manifested as Aharonov-Bohm-like oscillations in the photofragment
angular distribution (PAD). Our calculations show that this interference pattern
strongly depends on the parity of the diatom initial rotational state, (-1) j.
Indeed, the PAD local maxima (minima) observed in one case ( j odd) correspond to
local minima (maxima) in the other case ( j even). Using simple topological
arguments, we clearly show that such interference conversion is a direct
signature of the Berry phase. The sole effect of the latter on the rovibrational
wave function is a sign change of the relative phase between two interfering
components, which wind in opposite senses around a light-induced conical
intersection (LICI). Therefore, encirclement of the LICI leads to constructive (
j odd) or destructive ( j even) self-interference of the initial nuclear
wavepacket in the dissociative limit. To corroborate our theoretical findings, we
suggest an experiment of strong-field indirect dissociation of D2+ molecules,
comparing the PAD of the ortho and para molecular species in directions nearly
perpendicular to the laser polarization axis.
PMID- 29649363
TI - A Targeted, Differential Top-Down Proteomic Methodology for Comparison of ApoA-I
Proteoforms in Individuals with High and Low HDL Efflux Capacity.
AB - Top-down proteomics (TDP) allows precise determination/characterization of the
different proteoforms derived from the expression of a single gene. In this
study, we targeted apolipoprotein A-I (ApoA-I), a mediator of high-density
lipoprotein cholesterol efflux (HDL-E), which is inversely associated with
coronary heart disease risk. Absolute ApoA-I concentration and allelic variation
only partially explain interindividual HDL-E variation. Therefore, we hypothesize
that differences in HDL-E are associated with the abundances of different ApoA-I
proteoforms. Here, we present a targeted TDP methodology to characterize ApoA-I
proteoforms in serum samples and compare their abundances between individuals. We
characterized 18 ApoA-I proteoforms using selected-ion monitoring coupled to
electron-transfer dissociation mass spectrometry. We then compared the abundances
of these proteoforms between two groups of four participants, representing the
individuals with highest and lowest HDL-E values within the Chicago Healthy Aging
Study ( n = 420). Six proteoforms showed significantly ( p < 0.0005) higher
intensity in high HDL-E individuals: canonical ApoA-I [fold difference (fd) =
1.17], carboxymethylated ApoA-I (fd = 1.24) and, with highest difference, four
fatty acylated forms: palmitoylated (fd = 2.16), oleoylated (fd = 2.08),
arachidonoylated (fd = 2.31) and one bearing two modifications: palmitoylation
and truncation (fd = 2.13). These results demonstrate translational potential for
targeted TDP in revealing, with high sensitivity, associations between
interindividual proteoform variation and physiological differences underlying
disease risk.
PMID- 29649365
TI - Cooperative Catalysis-Enabled Asymmetric alpha-Arylation of Aldehydes Using 2
Indolylmethanols as Arylation Reagents.
AB - A catalytic asymmetric alpha-arylation of aldehydes using 2-indolylmethanols as
arylation reagents has been established. This reaction was enabled by a
cooperative catalytic system consisting of a gold complex, a Bronsted acid, and a
chiral amine, which have a synergistic effect in the reaction process. By using
this strategy, a series of alpha-arylation products of aldehydes were generated
in overall acceptable yields and good enantioselectivities (up to 69%, 91:9 er).
The control experiments demonstrated that the addition of PPh3AuCl as a gold
complex was helpful to improve the yield, and trifluoroacetic acid as a Bronsted
acid played a crucial role in the reaction by promoting the generation of
carbocation and chiral enamine intermediates, which are two key intermediates of
the asymmetric alpha-arylation reaction. In addition, the enantioselectivity of
the reaction was mainly controlled by the chiral amine catalyst via forming a
chiral enamine intermediate. This reaction has not only provided a useful
protocol for catalytic asymmetric alpha-arylation of aldehydes but also enriched
the research contents of 2-indolylmethanol-involved reactions and asymmetric
cooperative catalysis.
PMID- 29649367
TI - Religion as an Exchange System: The Interchangeability of God and Government in a
Provider Role.
AB - An exchange model of religion implies that if a secular entity such as government
provides what people need, they will be less likely to seek help from
supernatural entities. Controlling for quality of life and income inequality
(Gini), we found that better government services were related to lower
religiosity among countries (Study 1) and states in the United States (Study 2).
Study 2 also showed that during 2008-2013, better government services in a
specific year predicted lower religiosity 1 to 2 years later. In both studies, a
combination of better government services and quality of life was related to a
particularly low level of religiosity. Among countries, government services
moderated the relation between religiosity and two measures of well-being, such
that religiosity was related to greater well-being only when government services
were low. We discuss the relation between the exchange model and other
theoretical approaches to religion.
PMID- 29649366
TI - FGF2 Enhances Odontoblast Differentiation by alphaSMA+ Progenitors In Vivo.
AB - The goal of this study was to examine the effects of early and limited exposure
of perivascular cells expressing alpha (alphaSMA) to fibroblast growth factor 2
(FGF2) in vivo. We performed in vivo fate mapping by inducible Cre-loxP and
experimental pulp injury in molars to induce reparative dentinogenesis. Our
results demonstrate that early delivery of exogenous FGF2 to exposed pulp led to
proliferative expansion of alphaSMA-tdTomato+ cells and their accelerated
differentiation into odontoblasts. In vivo lineage-tracing experiments showed
that the calcified bridge/reparative dentin in FGF2-treated pulps were lined with
an increased number of Dspp+ odontoblasts and devoid of BSP+ osteoblasts. The
increased number of odontoblasts derived from alphaSMA-tdTomato+ cells and the
formation of reparative dentin devoid of osteoblasts provide in vivo evidence for
the stimulatory effects of FGF signaling on odontoblast differentiation from
early progenitors in dental pulp.
PMID- 29649368
TI - Mouse Models for Studying Oral Cancer: Impact in the Era of Cancer Immunotherapy.
AB - Model systems for oral cancer research have progressed from tumor epithelial cell
cultures to in vivo systems that mimic oral cancer genetics, pathological
characteristics, and tumor-stroma interactions of oral cancer patients. In the
era of cancer immunotherapy, it is imperative to use model systems to test oral
cancer prevention and therapeutic interventions in the presence of an immune
system and to discover mechanisms of stromal contributions to oral cancer
carcinogenesis. Here, we review in vivo mouse model systems commonly used for
studying oral cancer and discuss the impact these models are having in advancing
basic mechanisms, chemoprevention, and therapeutic intervention of oral cancer
while highlighting recent discoveries concerning the role of immune cells in oral
cancer. Improvements to in vivo model systems that highly recapitulate human oral
cancer hold the key to identifying features of oral cancer initiation,
progression, and invasion as well as molecular and cellular targets for
prevention, therapeutic response, and immunotherapy development.
PMID- 29649369
TI - Women with Newly Diagnosed Uterine Fibroids: Treatment Patterns and Cost
Comparison for Select Treatment Options.
AB - The primary objective of this study was to describe surgical treatment patterns
among women with newly diagnosed uterine fibroids (UF). A secondary objective was
to estimate the medical costs associated with other common surgical interventions
for UF. Claims-based commercial and Medicare data (2011-2016) were used to
identify women aged >=30 years with continuous enrollment for at least 12 months
before and after a new diagnosis of UF. Receipt of a surgical or radiologic
procedure (hysterectomy, myomectomy, endometrial ablation, uterine artery
embolization, and curettage) was the primary outcome. Health care resource
utilization and costs were calculated for women with at least 12 months of
continuous enrollment following a UF surgical procedure. Among women who met
selection criteria, 31.7% of patients underwent a surgical procedure; 20.9% of
these underwent hysterectomy. An increase was observed over time in the
percentage of women undergoing outpatient hysterectomy (from 27.0% to 40.2%) and
hysteroscopic myomectomy (from 8.0% to 11.5%). The cost analysis revealed that
total health care costs for hysteroscopic myomectomy ($17,324) were significantly
lower (P < 0.001) than those for women who underwent inpatient hysterectomy
($24,027) and those for women undergoing the 3 comparison procedures.
Hysterectomy was the most common surgical intervention. Patients undergoing
inpatient hysterectomy had the highest health care costs. Although less
expensive, minimally invasive approaches are becoming more common; they are
performed infrequently in patients with newly diagnosed UF. The results of this
study may be useful in guiding decisions regarding the most appropriate and cost
effective surgical treatment for UF.
PMID- 29649370
TI - The Psychosocial Impact of Cleft in a Western Australian Cohort Across 3 Age
Groups.
AB - BACKGROUND: Management of a cleft of the lip and/or palate (CL/P) involves a
multidisciplinary team approach lasting from birth to potentially postskeletal
maturity. This condition is complex, with both medical and psychosocial
implications that may place individuals with a cleft at higher risk of developing
psychosocial problems. METHODOLOGY: A self-administered questionnaire was
completed by a sample from the Western Australian cleft population comprising 3
age groups: child (n = 100), adolescent (n = 101), and adult (n = 158). RESULTS:
Public speaking, being photographed, special relationships, and participation in
school were identified as the areas most impacted by having a cleft. Hearing and
speech were reported to have a higher importance than facial and dental
appearance. Participants rated support given to them by their parents as the most
important, with high ratings for treatment providers. For teasing, the impact of
cleft was significantly higher among participants with cleft lip and palate for
both the adolescent and adult age groups. There was little significant difference
by gender across the variables, which suggests that males are just as likely to
require support as females. CONCLUSION: The impact of a cleft across multiple
psychosocial domains needs to be recognized and addressed as part of craniofacial
team care across age groups.
PMID- 29649371
TI - Subsegmental Osteotomy Technique With Distraction Osteogenesis for Natural
Frontal Contouring in Metopic Synostosis.
AB - Vectoring issues occur due to the dynamics of unidirectional procedure that is
selected. To circumvent such difficulties, we have devised subsegmental osteotomy
with distraction. In our technique, frontal bone flap was divided into 4 segments
that were linked to each other by suturing wires in an 8-year-old patient with
trigonocephaly. Subsegmental osteotomy and wiring of bone flap enabled us to
slide bone in a more curvilinear manner and achieve natural contour. Distraction
was initiated on postoperative day 7 at the rate of 1 mm/d for 20-mm gain. At the
9-year follow-up, the patient showed improved head shape and intellectual status.
PMID- 29649372
TI - Autogenous Bone Graft Versus Bovine Bone Graft in Association With Platelet-Rich
Plasma for the Reconstruction of Alveolar Clefts: A Pilot Study.
AB - OBJECTIVE: To compare the outcomes of 2 different bone graft materials:
autogenous bone grafts from mandibular symphysis and bovine bone grafts
associated with platelet-rich plasma (PRP). METHODS: Twenty individuals met the
inclusion criteria and accepted to participate in the study. Group A patients
underwent alveolar bone grafting using autologous bone and group B patients using
a bovine bone graft associated with PRP. Cone beam computed tomography scans were
taken and reconstructed 3-dimensionally for all patients preoperatively and 1
year postoperatively. RESULTS: A significant reduction was detected for area and
volume within group A and group B. Between groups, no significant difference was
found for area or volume. CONCLUSIONS: Bovine grafts associated with PRP are a
good option for the reconstruction of alveolar clefts and provide good results
such as autologous bone grafts.
PMID- 29649373
TI - IoT for Real-Time Measurement of High-Throughput Liquid Dispensing in Laboratory
Environments.
AB - Critical to maintaining quality control in high-throughput screening is the need
for constant monitoring of liquid-dispensing fidelity. Traditional methods
involve operator intervention with gravimetric analysis to monitor the gross
accuracy of full plate dispenses, visual verification of contents, or dedicated
weigh stations on screening platforms that introduce potential bottlenecks and
increase the plate-processing cycle time. We present a unique solution using open
source hardware, software, and 3D printing to automate dispenser accuracy
determination by providing real-time dispense weight measurements via a network
connected precision balance. This system uses an Arduino microcontroller to
connect a precision balance to a local network. By integrating the precision
balance as an Internet of Things (IoT) device, it gains the ability to provide
real-time gravimetric summaries of dispensing, generate timely alerts when
problems are detected, and capture historical dispensing data for future
analysis. All collected data can then be accessed via a web interface for
reviewing alerts and dispensing information in real time or remotely for timely
intervention of dispense errors. The development of this system also leveraged 3D
printing to rapidly prototype sensor brackets, mounting solutions, and component
enclosures.
PMID- 29649376
TI - Untangling Waist Circumference and Hip Circumference from Body Mass Index with a
Body Shape Index, Hip Index, and Anthropometric Risk Indicator.
AB - Body mass index (BMI) corrects body weight for height and is well established for
diagnosing overweight and obesity and correlating with many medical conditions.
Waist circumference (WC) is used to diagnose abdominal obesity. However, the
correlation of BMI and WC is high, around 0.9, making the use of BMI and WC in
concert challenging. A body shape index (ABSI) is a new measure of risk
associated with abdominal size. Derived in 2012, ABSI is analogous to BMI in that
it normalizes WC for weight and height (and thus to BMI). A similar derivation
led to hip index (HI), normalizing hip circumference for BMI. Hazard ratios (HRs)
for univariate risk associations of the independent measures height, BMI, ABSI,
and HI can be multiplied to give a combined HR, the anthropometric risk indicator
(ARI). Compared to any one anthropometric factor, including BMI and WC, ARI more
accurately estimates personalized mortality hazard. Published work on ABSI, HI,
and ARI supports their association with many aspects of health, including
cardiometabolic conditions related to the metabolic syndrome.
PMID- 29649375
TI - Anti-Podocalyxin Monoclonal Antibody 47-mG2a Detects Lung Cancers by
Immunohistochemistry.
AB - Lung cancer is one of the leading causes of cancer-related deaths in the world.
Regardless of the advances in lung cancer treatments, the prognosis is still
poor. Podocalyxin (PODXL) is a highly glycosylated type I transmembrane protein
that is expressed in normal tissues, including the heart, pancreas, and breast.
It is also found and used as a diagnostic marker in many cancers, such as renal,
brain, breast, oral, and lung cancers. We previously developed specific and
sensitive anti-PODXL monoclonal antibodies, PcMab-47 (mouse IgG1, kappa) and its
mouse IgG2a-type (47-mG2a), both of which were suitable for immunohistochemical
analyses of oral cancers. In this study, we investigated the utility of PcMab-47
and 47-mG2a for the immunohistochemical analyses of lung cancers. PcMab-47
stained 51/70 (72.9%) cases of lung cancer, whereas 47-mG2a stained 59/70 (84.3%)
cases, indicating that the latter antibody is more sensitive and is useful for
detecting PODXL in lung cancers.
PMID- 29649374
TI - Precision Therapy of Head and Neck Squamous Cell Carcinoma.
AB - Precision medicine is an approach to disease prevention and treatment that takes
into account genetic variability and environmental and lifestyle influences that
are unique to each patient. It facilitates stratification of patient populations
that vary in their susceptibility to disease and response to therapy. Shared
databases and the implementation of new technology systems designed to advance
the integration of this information will enable health care providers to more
accurately predict and customize prevention and treatment strategies for
patients. Although precision medicine has had a limited impact in most areas of
medicine, it has been shown to be an increasingly successful approach to cancer
therapy. Despite early promising results targeting aberrant signaling pathways or
inhibitors designed to block tumor-driven processes such as angiogenesis, limited
success emphasizes the need to discover new biomarkers and treatment targets that
are more reliable in predicting response to therapy and result in better health
outcomes. Recent successes in the use of immunity-inducing antibodies have
stimulated increased interest in the use of precision immunotherapy of head and
neck squamous cell carcinoma. Using next-generation sequencing, the precise
profiling of tumor-infiltrating lymphocytes has great promise to identify
hypoimmunogenic cancer that would benefit from a rationally designed
combinatorial approach. Continued interrogation of tumors will reveal new
actionable targets with increasing therapeutic efficacy and fulfill the promise
of precision therapy of head and neck cancer.
PMID- 29649377
TI - Vitamin D Status and Cardiovascular Risk in Obesity: Effect of Physical Activity
in Nonvitamin D Supplemented Adolescents.
AB - BACKGROUND: The relationship among inadequate vitamin D status, obesity, and
cardiometabolic risk and the potential impact of physical activity-based
interventions on vitamin D status are poorly characterized in children. This
study aimed to address these issues. METHODS: We studied a total of 21
adolescents (15 obese and 6 normal weight; age: 14-18 years; Tanner stage>IV).
Adolescents with obesity (n = 15) underwent a randomized controlled (8 in the
intervention group and 7 in the control group) 3-month physical activity-based
lifestyle intervention. 25-Hydroxy vitamin D [25(OH)D] by mass spectrometry,
adiponectin, leptin, high-sensitivity C-reactive protein (CRP), insulin, and
glucose were measured and body composition was assessed by dual-energy x-ray
absorptiometry (DXA). Analysis of covariance and mixed-effects model were used to
compare mean change in 25(OH)D between intervention and nonintervention groups.
Bootstrap method was used to validate the estimates and principle component
analysis reduced the variables in the data for adjustment. RESULTS: 25(OH)D was
lower (P < 0.001) in the obese versus lean adolescents. Homeostasis model
assessment-insulin resistance, CRP, fat mass (FM), and body mass index z-score
were negatively correlated with baseline 25(OH)D, while adiponectin showed a
positive correlation. After adjustment for baseline biomarkers of cardiometabolic
risk, the concentration of 25(OH)D increased in the obese intervention group (P =
0.06), but not in the nonintervention group. Fat-free mass increased and FM
decreased (P < 0.05 for both) in the intervention group. The magnitudes of
increase in 25(OH)D and decrease in FM directly correlated (P < 0.05).
CONCLUSIONS: The increase in circulating 25(OH)D concentration by physical
activity-based lifestyle-only intervention in adolescents with obesity, who did
not receive vitamin D supplementation, suggests a putative independent role of
physical activity-based interventions in the regulation of vitamin D status and
potentially in the mitigation of risk factors of cardiovascular disease.
PMID- 29649379
TI - Decrease of Plasma Soluble (Pro)renin Receptor by Bariatric Surgery in Patients
with Obstructive Sleep Apnea and Morbid Obesity.
AB - BACKGROUND: Plasma concentrations of soluble (pro)renin receptor [s(P)RR], which
are elevated in patients with obstructive sleep apnea (OSA), have not been
studied in morbid obesity. The aim of this study is to clarify effects of
bariatric surgery on plasma s(P)RR concentrations and identify associated factors
for their changes in OSA patients with morbid obesity. METHODS: Twenty-three
patients with OSA complicated by morbid obesity (10 men and 13 women; body mass
index, 40.7 +/- 6.16 kg/m2) without chronic kidney disease were followed up after
bariatric surgery. Overnight polysomnography (PSG) was performed before surgery,
and 4 and 24 weeks after surgery. Plasma s(P)RR concentrations were measured each
morning after PSG. RESULTS: Preoperative plasma s(P)RR concentrations showed
significant positive correlations with serum creatinine (P < 0.05), arousal index
(P < 0.01), apnea-hypopnea index (AHI) (P < 0.05), apnea index (P < 0.005), and
desaturation index (P < 0.05), and a significant inverse correlation with an
estimated glomerular filtration rate (P < 0.05). With the improvement of these
PSG parameters, plasma s(P)RR concentrations significantly decreased from 15.3 +/
3.6 to 12.5 +/- 2.7 ng/mL 4 weeks after surgery, which further decreased to 11.4
+/- 2.4 ng/mL 24 weeks after surgery. The association observed before surgery
between plasma s(P)RR concentrations and the PSG parameters was not seen after
surgery. CONCLUSIONS: Bariatric surgery in patients with OSA complicated by
morbid obesity decreased plasma s(P)RR concentrations. The most associated
factors for their changes were arousal index, AHI, apnea index, and desaturation
index.
PMID- 29649380
TI - Photobiomodulation in Light of Our Biological Clock's Inner Workings.
PMID- 29649378
TI - Poor Sleep Quality is Associated with Insulin Resistance in Postmenopausal Women
With and Without Metabolic Syndrome.
AB - BACKGROUND: Poor sleep quality has previously been shown to be related to insulin
resistance in apparently healthy adults. However, it is unclear whether an
association between sleep quality and insulin resistance exists among adults with
metabolic syndrome (MetS). METHODS: Participants included 347 overweight/obese
postmenopausal women without type 2 diabetes (age: 57.5 +/- 6.5 years; body mass
index [BMI]: 31.7 +/- 3.7 kg/m2; 54% with MetS). Sleep quality was assessed with
the six-item Medical Outcomes Study Sleep Scale; values were categorized into
quartiles. Insulin resistance was calculated from fasting glucose and insulin
with the homeostasis model assessment of insulin resistance (HOMA2-IR) method.
Analysis of covariance models were used to examine the association between sleep
quality and HOMA2-IR after accounting for MetS and covariates (e.g., BMI,
cardiorespiratory fitness, and energy intake). RESULTS: Women with the worst
sleep quality had significantly higher HOMA2-IR values than women in all other
quartiles (P <= 0.05 for each), and women with MetS had significantly higher
HOMA2-IR values than women without MetS (P < 0.0001), but the relationship
between sleep quality and HOMA2-IR did not differ between those with or without
MetS (P = 0.26). Women with MetS in the worst quartile of sleep quality had
higher HOMA2-IR values than all other women (P < 0.02). Taking >30 min to fall
asleep, frequent restless sleep, and frequent daytime drowsiness were each
related to higher HOMA2-IR values (each P < 0.04). CONCLUSIONS: Sleep quality is
an important correlate of insulin resistance in postmenopausal women with and
without MetS. Intervention studies are needed to determine whether improving
sleep improves insulin resistance in populations at elevated cardiometabolic
risk.
PMID- 29649381
TI - Dying: A Memoir.
PMID- 29649382
TI - The Role of Chaplaincy in Caring for the Seriously Ill #347.
PMID- 29649383
TI - Treatment Options for Hepatocellular Carcinoma #348.
PMID- 29649384
TI - Response to Davis and Behm (doi: 10.1089/jpm.2017.0608): Discontinuation of
Statins.
PMID- 29649385
TI - Why Smart Emerging Economies Will Invest in Excellent Palliative Care, if
Palliative Care Services Do Their Part.
PMID- 29649386
TI - A Salute to Our Reviewers 2017.
PMID- 29649388
TI - The Genome-Based Metabolic Systems Engineering to Boost Levan Production in a
Halophilic Bacterial Model.
AB - Metabolic systems engineering is being used to redirect microbial metabolism for
the overproduction of chemicals of interest with the aim of transforming
microbial hosts into cellular factories. In this study, a genome-based metabolic
systems engineering approach was designed and performed to improve biopolymer
biosynthesis capability of a moderately halophilic bacterium Halomonas smyrnensis
AAD6T producing levan, which is a fructose homopolymer with many potential uses
in various industries and medicine. For this purpose, the genome-scale metabolic
model for AAD6T was used to characterize the metabolic resource allocation,
specifically to design metabolic engineering strategies for engineered bacteria
with enhanced levan production capability. Simulations were performed in silico
to determine optimal gene knockout strategies to develop new strains with
enhanced levan production capability. The majority of the gene knockout
strategies emphasized the vital role of the fructose uptake mechanism, and
pointed out the fructose-specific phosphotransferase system (PTSfru) as the most
promising target for further metabolic engineering studies. Therefore, the PTSfru
of AAD6T was restructured with insertional mutagenesis and triparental mating
techniques to construct a novel, engineered H. smyrnensis strain, BMA14.
Fermentation experiments were carried out to demonstrate the high efficiency of
the mutant strain BMA14 in terms of final levan concentration, sucrose
consumption rate, and sucrose conversion efficiency, when compared to the AAD6T.
The genome-based metabolic systems engineering approach presented in this study
might be considered an efficient framework to redirect microbial metabolism for
the overproduction of chemicals of interest, and the novel strain BMA14 might be
considered a potential microbial cell factory for further studies aimed to design
levan production processes with lower production costs.
PMID- 29649387
TI - Multi-Omics Research Trends in Sepsis: A Bibliometric, Comparative Analysis
Between the United States, the European Union 28 Member States, and China.
AB - "-Omics" research is in transition with the recent rise of multi-omics technology
platforms. Integration of "-omics" and multi-omics research is of high priority
in sepsis, a heterogeneous syndrome that is widely recognized as a global health
burden and a priority biomedical funding field. We report here an original study
on bibliometric trends in the use of "-omics" technologies, and multi-omics
approaches in particular, in sepsis research in three (supra)national settings,
the United States, the European Union 28 Member States (EU-28), and China. Using
a 5-year longitudinal bibliometric study design from 2011 to 2015, we analyzed
the sepsis-related research articles in English language that included at least
one or multi-omics technologies in publicly available form in Medline (free full
texts). We found that the United States has had the lead (almost one-third of
publications) in the inclusion of an "-omics" or multi-omics technology in sepsis
within the study period. However, both China and the EU-28 displayed a
significant increase in the number of publications that employed one or more
types of "-omics" research (p < 0.005), while the EU-28 displayed a significant
increase especially in multi-omics research articles in sepsis (p < 0.05).
Notably, more than half of the multi-omics research studies in the sepsis
knowledge domain had a university or government/state funding source. Among the
multi-omics research publications in sepsis, the combination of genomics and
transcriptomics was the most frequent (40.5%), followed by genomics and
proteomics (20.4%). We suggest that the lead of the United States in the field of
"-omics" and multi-omics research in sepsis is likely at stake, with both the EU
28 and China rapidly increasing their research capacity. Moreover, "triple omics"
that combine genomics, proteomics, and metabolomics analyses appear to be
uncommon in sepsis, and yet much needed for triangulation of systems science
data. These observations have implications for "-omics" technology policy and
global research funding strategic foresight.
PMID- 29649390
TI - Trends and Racial/Ethnic Disparities in Pneumococcal Polysaccharide Vaccination.
AB - Even though pneumococcal vaccination recommendations have been in existence since
1983, vaccination rates are low in the United States. This study analyzed 5-year
trends in pneumococcal polysaccharide vaccination uptake across racial/ethnic
groups of individuals aged >=65 years and high-risk individuals aged 19-64 years.
Further, it examined factors that may explain the association between
race/ethnicity and vaccination uptake. The 2011-2015 annual Behavioral Risk
Factor Surveillance System (BRFSS) data were used to determine trends. The
Behavioral Model of Health Care Utilization was used to identify covariates in
the model. Multivariate logistic regressions were used to identify the
association between race/ethnicity and vaccination uptake from 2015 BRFSS.
Overall, from 2011 to 2015, vaccination uptake increased moderately from 69% to
71% among those aged >=65 years, and from 21% to 24% for high-risk individuals
aged 19-64 years. Among those aged >=65 years (n = 99,403), African Americans
(adjusted odds ratio [AOR]: 1.36, 95%CI: 1.21-1.52) and Hispanics (AOR: 2.13,
95%CI: 1.85-2.46) were more likely to be vaccinated than Whites. Among the
younger population (n = 177,976), African Americans (AOR: 0.85, 95%CI: 0.79-0.92)
and Asians (AOR: 0.73, 95%CI: 0.63-0.84) were less likely to be vaccinated than
Whites. Over the 5 years there were no significant increases in vaccination
uptake. The rates are far below the Healthy People 2020 goals. Reverse
disparities were observed in the older group. In younger group, minorities were
less likely to get vaccinated. As gaps still exist, this study implies tailored
interventions based on race/ethnicity to promote vaccination uptake among both
groups.
PMID- 29649391
TI - Patients' Medication-Related Experience of Care Is Associated with Adherence to
Cardiometabolic Disease Therapy in Real-World Clinical Practice.
AB - Adherence to cardiometabolic disease (CMD) medications is typically suboptimal.
This study sought to evaluate the relationship between patients' medication
related experiences of care and adherence to CMD therapy. This study was
conducted using electronic health records and administrative data from an
ambulatory care setting. It included adult managed care beneficiaries with a
prescription for CMD medications (antihyperlipidemic, antihypertensive, or
antihyperglycemic agents) between 2010 and 2014, written +/-14 days of an office
encounter linked to a completed patient experience survey. Outcomes were primary
and overall adherence. Primary adherence was defined as ever filling a CMD
medication and overall adherence as >=80% days covered over 365 days among those
with an initial fill. Survey items ("inclusion in treatment decisions" and
"information about medications") are measured on a scale from 1 (very poor) to 5
(very good). Logistic regression was used to assess associations between ratings
on each item (5 vs. <5) and primary or overall adherence. Eligibility criteria
were met by 7368 patients; 5865 had >=1 fill. After adjusting for confounders,
better patient experiences with "inclusion in treatment decisions" (adjusted odds
ratio [OR]:1.16; P = 0.049) and "information about medications" (OR:1.22; P =
0.009) were associated with greater odds of overall adherence to therapy. No
significant associations were found between patient experience and primary
adherence. Better patient medication-related experience of care is associated
with improved adherence to CMD therapy. Efforts to include patients in treatment
decision making and to provide better education on medications are simple,
modifiable solutions to improve adherence and resultant outcomes of CMD
treatment.
PMID- 29649389
TI - Cost-Effectiveness Analysis of a Standardized Management Program for Ischemic
Stroke Patients in Hainan Province, China.
PMID- 29649392
TI - Assessing Potentially Preventable Hospitalizations at the County Level: A
Comparison of Measures Using Medicare Data and State Hospital Discharge Data.
AB - This study examined the representativeness of the County Health Rankings and
Roadmaps (CHR) measure of potentially preventable hospitalizations, which is
derived from Medicare inpatient claims data, as an indicator of potentially
preventable hospitalizations for adults aged >=18 years. Potentially preventable
hospitalizations were evaluated using rates of ambulatory care sensitive
conditions (ACSCs). CHR rates of hospitalization for ACSCs based on Medicare data
for 2010, Agency for Healthcare Research and Quality Prevention Quality Indicator
#90 Overall Composite (PQI #90 Composite) rates of ACSCs based on hospital
inpatient data for adults aged >=18 years for 2011, and 2011 total mortality
rates for adults aged >=18 years for 212 counties in 3 US states were evaluated.
Pearson correlation analyses were used to assess the linear association between
the PQI #90 Composite and CHR rates of hospitalization for ACSCs as well as
associations of these measures with total mortality. Steiger's Z-test was
conducted to examine whether the PQI #90 Composite and CHR measures of health
care quality were similarly correlated with total mortality. The age- and sex
adjusted PQI #90 Composite for adults >=18 years was moderately correlated with
the CHR rate of hospitalization for ACSCs. The PQI #90 Composite and CHR measures
of hospitalization for ACSCs were similarly correlated with mortality. These
findings suggest that in the absence of easily accessible, high-quality data for
adults aged >=18 years, the CHR measure of potentially preventable
hospitalizations provides a modest but acceptable approximation of county-level
disparities in potentially preventable hospitalizations for the US adult
population.
PMID- 29649393
TI - Effectiveness of Psychosocial Interventions in Complex Palliative Care Patients:
A Quasi-Experimental, Prospective, Multicenter Study.
AB - OBJECTIVE: To determine whether specific psychosocial interventions can ease
discomfort in palliative care (PC) patients, particularly in those with high
levels of pain or emotional distress. METHODS: Changes in the psychological
parameters of 8333 patients were assessed in a quasi-experimental, prospective,
multicenter, single group pretest/post-test study. Psychosocial care was
delivered by 29 psychosocial care teams (PSTs; 137 professionals). Pre- and post
intervention changes in these variables were assessed: mood, anxiety, and
emotional distress. Patients were classified as complex, when presented with high
levels of anxiety, mood, suffering (or perception of time as slow), and distress
(or unease, or discomfort), or noncomplex. These groups were compared to assess
changes in suffering-related parameters from baseline. RESULTS: Psychosocial
interventions reduced patients' suffering. These interventions were more
effective in complex patients. CONCLUSIONS: After successive psychosocial
interventions, the level of suffering in complex patients decreased until close
to parity with noncomplex patients, suggesting that patients with major
complexity could benefit most from specific psychosocial treatment. These
findings support the importance of assessing and treating patients' psychosocial
needs.
PMID- 29649394
TI - Perspective on Care at the End of Life at Hospice Africa Uganda.
AB - BACKGROUND: Since its beginning in 1993, Hospice Africa Uganda (HAU) has become a
leader in palliative care in Africa. Despite this, there remains a sparsity of
research elucidating the priorities of patients in their care at the end of life.
This study aimed to identify those priorities in three groups: patients with life
limiting illness, their caregivers, and their healthcare providers at HAU, and
thus to identify differences in what these groups find important in the last
month of life. METHODS: A Likert scale survey of 31 statements regarding end-of
life care was administered to 46 patients, 51 caregivers, and 25 healthcare
providers at HAU in Kampala, Uganda and satellite locations. ANALYSIS: Variations
in the responses of the groups were analyzed using the Kruskal-Wallis test of
variance. RESULTS: Twelve of 31 items were significantly different, and frequency
data were examined. Differences were found in categories of symptom management,
future planning, and in preferred place of death. Of anxiety, pain, and shortness
of breath (SOB), only control of SOB was widely agreed on as important by
patients, although providers unanimously wanted to control symptoms. Many
subjects in all groups found that funeral arrangements should be deferred. Most
patients and caregivers felt as if dying in the hospital was preferable, whereas
providers felt that home care was preferable. CONCLUSION: This study tells us
that patients, caregivers, and healthcare providers often have different ideas
concerning what is important in end-of-life care, differences that are unique to
this population.
PMID- 29649395
TI - Top Ten Tips Palliative Care Clinicians Should Know When Caring for Patients with
Endometrial Cancer.
AB - Endometrial cancer incidence has been increasing over the last two decades,
likely due to the obesity epidemic. The majority of these cancers are confined to
the uterus at diagnosis and can be cured with surgery. Overall, five-year disease
specific survival is about 80%, but some patients have locally advanced or
metastatic disease that is not amenable to control with radiation and/or
chemotherapy. Disease-related symptoms are most common in this subset of patients
with endometrial cancer, although women with early-stage disease may also
experience side effects related to surgical menopause or adjuvant treatment. In
recent years, professional societies have been increasingly vocal about the
importance of incorporating palliative care early in a patient's disease course.
As these calls increase, palliative care clinicians will likely increasingly
comanage patients with advanced or recurrent endometrial cancer. We brought
together a team of gynecologic oncology and palliative care experts to assemble
practical tips for the care of women with endometrial cancer. In this article, we
use a "Top 10" format to highlight issues that may help palliative care
physicians understand a patient's prognosis, address common misconceptions about
endometrial cancer, and improve the quality of shared decision making and goals
of care discussions.
PMID- 29649397
TI - Management of a Cancer Patient with an Intrathecal Drug Delivery System and an
Acute Brain Abscess.
AB - Surgical-site infection, spinal cord abscess, and catheter tip granuloma are
known but rare complications of intrathecal drug delivery systems (IDDS). To
date, there are no published cases of brain abscess in a patient with an IDDS. In
this study, we report a case of a cancer patient with an IDDS for management of
cancer pain who developed a brain abscess with profound mental status changes and
clinical management challenges.
PMID- 29649396
TI - Surgeons' Perceived Barriers to Palliative and End-of-Life Care: A Mixed Methods
Study of a Surgical Society.
AB - BACKGROUND: Nearly 20% of colorectal cancer (CRC) patients present with
potentially incurable (Stage IV) disease, yet their physicians do not integrate
cancer treatment with palliative care. Compared with patients treated by primary
providers, surgical patients with terminal diseases are significantly less likely
to receive palliative or end-of-life care. OBJECTIVE: To describe surgeon
perspectives on palliative and end-of-life care for patients with Stage IV CRCs.
DESIGN: This is a convergent mixed methods study using a validated survey
instrument from the Critical Care Peer Workgroup of the Robert Wood Johnson
Foundation's Promoting Excellence in End-of-Life Care Project with additional
qualitative questions. SETTINGS: Participants were all current, nonretired
members of the American Society of Colon and Rectal Surgeons. MAIN OUTCOME
MEASURES: Surgeon-perceived barriers to palliative and end-of-life care for
patients with Stage IV CRCs were identified. RESULTS: Among 131 Internet survey
respondents (response rate 16.5%), 76.1% reported no formal education in
palliative care, and specifically noted inadequate training in techniques to
forgo life-sustaining measures (37.9%) and communication (42.7%). Over half
(61.8%) of surgeons cited unrealistic expectations among patients and families as
a barrier to care, which also limited discussion of palliation. At the system
level, absence of documentation, appropriate processes, and culture hindered the
initiation of palliative care. Thematic analysis of open-ended questions
confirmed and extended these findings through the following major barriers to
palliative and end-of-life care: (1) surgeon knowledge and training; (2)
communication challenges; (3) difficulty with prognostication; (4) patient and
family factors encompassing unrealistic expectations and discordant preferences;
and (5) systemic issues including culture and lack of documentation and
appropriate resources. LIMITATIONS: Generalizability is limited by the small
sample size inherent to Internet surveys, which may contribute to selection bias.
CONCLUSIONS: Surgeons valued palliative and end-of-life care but reported
multilevel barriers to its provision. These data will inform strategies to reduce
these perceived barriers.
PMID- 29649398
TI - Feasibility and Patient Perceptions of Video Declarations Regarding End-of-Life
Decisions by Hospitalized Patients.
AB - BACKGROUND: A videotaped declaration by patients of their advance care planning
preferences could be an opportunity to supplement advance directive forms and be
a source of information for family, caregivers, and clinicians, yet research is
needed to examine the content and acceptability of making declarations among
patients. OBJECTIVE: To evaluate the content and acceptability of 'video
declarations': the process of patients videotaping themselves describing their
advance care planning preferences. DESIGN: We showed a brief video describing
three approaches to end-of-life care and then invited participants to use an iPad
to videotape themselves making a declaration about their wishes.
SETTING/SUBJECTS: Hospitalized patients from a large urban U.S. safety net
hospital. MEASUREMENTS: We interviewed participants about the acceptability of
the declaration process. Declarations were transcribed and coded by a team, with
multiple stakeholder input. RESULTS: There were 16 participants; mean age was 60
(SD = 14) years. One participant declined. Out of 15 declarations, most were able
to express their wishes for CPR (n = 12) and intubation (n = 13). Participants
frequently discussed their family structure (n = 11), religious/spiritual well
being (n = 8), legacy/dignity issues (n = 6), and physical symptoms (n = 6). Nine
declarations had directives judged to be unclear. The majority (66%) thought that
this process was quite a bit or extremely helpful. CONCLUSIONS: Findings show
that asking hospitalized patients to make videos describing their advance care
planning preferences was feasible and acceptable. While the majority described
their wishes around CPR and intubation, a fair amount of uncertainty remained.
Further research is needed to support patients in describing their wishes clearly
and test the effectiveness of video declarations to promote care concordant with
preferences.
PMID- 29649399
TI - A Rules-Based Algorithm to Prioritize Poor Prognosis Cancer Patients in Need of
Advance Care Planning.
AB - BACKGROUND: Accurate understanding of the prognosis of an advanced cancer patient
can lead to decreased aggressive care at the end of life and earlier hospice
enrollment. OBJECTIVE: Our goal was to determine the association between high
risk clinical events identified by a simple, rules-based algorithm and decreased
overall survival, to target poor prognosis cancer patients who would urgently
benefit from advanced care planning. DESIGN: A retrospective analysis was
performed on outpatient oncology patients with an index visit from April 1, 2015,
through June 30, 2015. We examined a three-month window for "high-risk events,"
defined as (1) change in chemotherapy, (2) emergency department (ED) visit, and
(3) hospitalization. Patients were followed until January 31, 2017.
SETTING/SUBJECTS: A total of 219 patients receiving palliative chemotherapy at
the University of Chicago Medicine with a prognosis of <=12 months were included.
MEASUREMENTS: The main outcome was overall survival, and each "high-risk event"
was treated as a time-varying covariate in a Cox proportional hazards regression
model to calculate a hazard ratio (HR) of death. RESULTS: A change in
chemotherapy regimen, ED visit, hospitalization, and at least one high-risk event
occurred in 54% (118/219), 10% (22/219), 26% (57/219), and 67% (146/219) of
patients, respectively. The adjusted HR of death for patients with a high-risk
event was 1.72 (95% confidence interval [CI] 1.19-2.46, p = 0.003), with
hospitalization reaching significance (HR 2.74, 95% CI 1.84-4.09, p < 0.001).
CONCLUSIONS: The rules-based algorithm identified those with the greatest risk of
death among a poor prognosis patient group. Implementation of this algorithm in
the electronic health record can identify patients with increased urgency to
address goals of care.
PMID- 29649400
TI - Impact of Inpatient Palliative Care on Quality of End-of-Life Care and Downstream
Acute and Postacute Care Utilization.
AB - BACKGROUND: Additional evidence is needed regarding the impact of inpatient
palliative care (IPC) on the quality of end-of-life care and downstream
utilization. AIM: Examine the effects of IPC on quality of end-of-life care and
acute and postacute care use in a large integrated system. DESIGN: Retrospective
cohort design. SETTING/PARTICIPANTS: Adult decedents from January 1, 2012, to
December 31, 2014, who had at least one hospitalization at 11 Kaiser Permanente
Southern California medical centers in the 12 months before death and not
hospitalized for a trauma-related condition or receiving home-based PC or hospice
were included in the cohort. MATERIALS AND METHODS: Inverse probability of
treatment weighting of propensity scores was used to compare outcomes between
patients exposed to IPC (n = 3742) and controls (n = 12,755) who never received
IPC before death. RESULTS: Patients who received IPC were more likely to enroll
in home-based PC or hospice (69% vs. 43%) and were less likely to die in a
hospital (15% vs. 29%) or intensive care (2% vs. 9%) compared with controls (all,
p < 0.001). IPC exposure was associated with higher risk for rehospitalization
(HR: 1.18, 95% CI 1.11-1.25) and more frequent emergency department visits (RR:
1.16, 95% CI 1.07-1.26) with no increase in postacute care use compared with
controls. Stratified analyses showed that IPC effects on acute care utilization
were dependent on code status. CONCLUSION: IPC exposure was associated with
higher enrollment in home-based PC/hospice and more deaths at home. The increased
acute care utilization by the IPC group may reflect persistent confounding by
indication.
PMID- 29649401
TI - Intrahospital Mortality and Survival of Patients with Advanced Chronic Illnesses
in a Tertiary Hospital Identified with the NECPAL CCOMS-ICO(c) Tool.
AB - BACKGROUND: Between 69% and 82% of patients with advanced chronic illness require
palliative care (PC). The NECPAL CCOMS-ICO(c) tool can identify these
individuals. Tools to estimate survival are available, but have limited
predictive ability, and therefore we sought to assess if NECPAL could improve
survival prediction. OBJECTIVE: To describe hospital mortality, survival rates,
and related variables in a sample of inpatients identified with the NECPAL tool.
DESIGN: Cross-sectional study with longitudinal cohort follow-up.
Sociodemographic and clinical data were analyzed. A predictive model (Cox
regression analysis) was performed to assess survival. SETTING/SUBJECTS: Patients
admitted to a tertiary hospital. Included patients were considered to be
especially affected by their chronic condition and NECPAL+ patients (surprise
question [SQ]+ plus >=1 of the tool's other three criteria). Patients were
classified into three subgroups: non-NECPAL (either SQ- or not meeting any
additional NECPAL criteria); NECPAL I-II (SQ+ with one to two additional
criteria); and NECPAL III (SQ+ with all three additional criteria). RESULTS: Of
the 602 inpatients, 236 (39.2%) were included. Of these, 49 (20.3%) died during
hospitalization: 14 (13.3%) were NECPAL I-II; 34 (35.1%) were NECPAL III; and
none were non-NECPAL (p < 0.001). At two years, 146 deaths (61.9%) were observed:
9 (26.5%) non-NECPAL; 57 (54.3%) NECPAL I-II; and 80 (82.5%) NECPAL III (p <
0.001). Median survival was 9.1 months. Variables associated with higher
mortality were NECPAL III classification (hazard ratio [HR]: 1.75 [1.19-2.57]);
in need of PC (HR: 2 [1.27-3.13]); dysphagia (HR: 1.7 [1.12-2.58] 6); cancer (HR:
3.21 [2.19-4.71]); and age >85 years (HR: 2.52 [1.46-4.35]). At six months, the
NECPAL had an area under the curve (AUC) of 0.7 (95% confidence interval [CI]:
0.632-0.765), and at 24 months, the NECPAL AUC was 0.717 (95% CI: 0.650-0.785).
CONCLUSIONS: The NECPAL CCOMS-ICO(c) tool can improve the prediction of
mortality. The presence of all three NECPAL criteria (NECPAL III) increases the
tool's predictive ability.
PMID- 29649402
TI - End-of-Life Treatments in Pediatric Patients at a Government Tertiary Cancer
Center in India.
AB - AIM: The primary objective of this study was to describe demographics and end-of
life treatments of children with cancer at a government tertiary cancer center in
India. METHODS: A retrospective review was undertaken of medical charts of all
children younger than 18 years, who died as inpatients while undergoing treatment
at the pediatric oncology department between April and September 2016. Data were
collected on demographics, diagnosis, treatments, survival, palliative care
involvement, and symptoms at end of life. RESULTS: There were 44 pediatric
oncology patients who died in the hospital during the study period. The most
frequent diagnoses were hematological malignancies (n = 29). Tumor-specific
treatment was given to 38/44 (86%) patients in the last 30 days of life, and 13
patients in the last day of life or 1 day before. Of all deaths, 23/44 (52%)
occurred within 30 days of admission to the pediatric ward and 34/44 (77%) within
90 days. Of the 44 patients, 25 (57%) were referred to palliative care. The
median number of days between referral and death was 14 (0-78) days. Frequent
symptoms documented were bleeding (11/44), dyspnea (10/44), pain (7/44), seizures
(7/44), and delirium (5/44), with each patient having one or more of these
symptoms. Only patients with a palliative care referral received opioid
analgesics or benzodiazepines at the end of life. CONCLUSIONS: This study
highlights the demographics of suffering, death, and end-of-life care in children
with cancer at a government tertiary cancer center in India.
PMID- 29649403
TI - The "ARIANNA" Project: An Observational Study on a Model of Early Identification
of Patients with Palliative Care Needs through the Integration between Primary
Care and Italian Home Palliative Care Units.
AB - OBJECTIVE: The aim of this study was to illustrate the characteristics of
patients with palliative care (PC) needs, early identified by general
practitioners (GPs), and to analyze their care process in home PC services.
BACKGROUND: Early identification and service integration are key components to
providing quality palliative care (PC) services ensuring the best possible
service for patients and their families. However, in Italy, PC is often provided
only in the last phase of life and for oncological patients, with a fragmented
service. METHODS: Multicenter prospective observational study, lasting in total
18 months, implemented in a sample of Italian Home Palliative Care Units (HPCUs),
enrolling and monitoring patients with limited life expectancy, early identified
by 94 GPs. The study began on March 1, 2014 and ended on August 31, 2015.
RESULTS: Nine hundred thirty-seven patients, out of a total pool of 139,071, were
identified by GPs as having a low life expectancy and PC needs. Of these, 556
(59.3%) were nononcological patients. The GPs sent 433 patients to the HPCUs for
multidimensional assessment, and 328 (75.8%) were placed in the care of both
settings (basic or specialist). For all patients included in the study, both
oncological and nononcological patients, there was a high rate of death at home,
around 70%. DISCUSSION: This study highlights how a model based on early
identification, multidimensional evaluation, and integration of services can
promote adequate PC, also for noncancer patients, with a population-based
approach.
PMID- 29649404
TI - Development of Valid and Reliable Measures of Patient and Family Experiences of
Hospice Care for Public Reporting.
AB - BACKGROUND: Increasingly, dying patients and their families have a choice of
hospice providers. Care quality varies considerably across providers; informing
consumers of these differences may help to improve their selection of hospices.
OBJECTIVE: To develop and evaluate standardized survey measures of hospice care
experiences for the purpose of comparing and publicly reporting hospice
performance. DESIGN: We assessed item performance and constructed composite
measures by factor analysis, evaluating item-scale correlations and estimating
reliability. To assess key drivers of overall experiences, we regressed overall
rating and willingness to recommend the hospice on each composite.
SETTING/SUBJECTS: Data submitted by 2500 hospices participating in national
implementation of the Consumer Assessment of Healthcare Providers and Systems
(CAHPS(r)) Hospice Survey for April through September 2015. MEASUREMENTS:
Composite measures of Hospice Team Communication, Getting Timely Care, Treating
Family Member with Respect, Getting Emotional and Religious Support, Getting Help
for Symptoms, and Getting Hospice Care Training. RESULTS: Cronbach's alpha
estimates for the composite measures range from 0.61 to 0.85; hospice-level
reliability for the measures range from 0.67 to 0.81 assuming 200 completed
surveys per hospice. Together, the composites are responsible for 48% of the
variance in caregivers' overall ratings of hospices. Hospice Team Communication
is the strongest predictor of overall rating of care. CONCLUSION: Our analyses
provide evidence of the reliability and validity of CAHPS Hospice Survey measure
scores. Results also highlight important opportunities to improve the quality of
hospice care, particularly with regard to addressing symptoms of anxiety and
sadness, discussing side effects of pain medicine, and keeping family informed of
the patient's condition.
PMID- 29649405
TI - Economic Impact of Early Inpatient Palliative Care Intervention in a Community
Hospital Setting.
AB - BACKGROUND: Inpatient palliative care programs have demonstrated financial
benefit for the hospital and improved quality of care for patients with advanced
disease. Previous studies on this subject have focused on comparisons between
palliative and traditional care. The financial and clinical effects of early
versus late palliative care intervention are less well documented. OBJECTIVE: The
aims of this study are to review the financial and quality outcomes that early
palliative care intervention has on appropriate inpatients in the community
hospital setting. MATERIALS AND METHODS: This retrospective study analyzed 449
palliative care patients. The independent variable was days to palliative care
consultation, characterized as early palliative care (<=3 days) and late
palliative care (>3 days). Dependent variables included length of stay (LOS) and
financial considerations. The two groups were further stratified according to
case mix index, medical versus surgical, as well as certain disease groups, such
as sepsis, congestive heart failure (CHF), and chronic obstructive pulmonary
disease (COPD) exacerbation. The patient's functional status, measured by the
Victoria Palliative Performance Scale (PPSv2) was calculated to determine if this
variable independently influenced the timing of consultation. RESULTS: Patients
in the early intervention group realized a reduction in LOS and a significant
cost reduction. In the analysis of the entire group, the average LOS with early
intervention was 6.09 days versus 16.5 days with late intervention (p < 0.001).
The early intervention group demonstrated an earlier transition to comfort care,
earlier referral to outpatient hospice, and did not have a negative effect on
mortality. The patient's PPSv2 score did not influence the timing of intervention
(p 0.25). CONCLUSION: Early intervention with inpatient palliative care
consultation correlated with financial benefit as well as earlier referral to
more appropriate levels of care. These effects were achieved with minimal expense
in a medium-sized community hospital.
PMID- 29649407
TI - Undermining the ACA through the executive branch and federalism: what the Trump
administration's approach to health reform means for older Americans.
AB - The ACA has survived multiple existential threats in the legislative and judicial
branches, including dozens of congressional attempts at repeal and two major
Supreme Court cases. Even as it seems that the ACA is here to stay, what the law
accomplishes is far from settled. The Trump administration is using executive
powers to weaken the law, in many cases using the same powers that President
Obama used to strengthen the effects of the reform. States have responded by
seeking flexibility to pursue reforms, such as work requirements, that could not
pass Congress and that were not allowed by the Obama administration. There is no
indication that the ACA is imploding as President Trump has predicted and seems
to desire, although these changes have a real and substantial impact on the lives
of many Americans, including the near-elderly in unique ways.
PMID- 29649409
TI - The Forkhead Transcription Factor FOXC2 Is Required for Maintaining Murine
Spermatogonial Stem Cells.
AB - Continuous spermatogenesis from puberty to old age in males relies on
spermatogonial stem cells (SSCs) that possess the property of self-renewal and
differentiation. The delicate balance between self-renewal and differentiation is
of great importance. In mice, SSCs exist as a subpopulation of undifferentiated
spermatogonia. SSCs are controlled by intrinsic molecular pathways that can be
activated by extrinsic signals. Our results here first show that the expression
of forkhead box C2 (FOXC2) is restricted to GFRalpha1-positive spermatogonia in
the testis. Whole-mount immunofluorescence results reveal that FOXC2 is expressed
predominately in As and Apr spermatogonia. Reduction of Foxc2 gene expression by
shRNA lentivirus treatment significantly impairs the maintenance of SSCs in
vitro. Furthermore, knock-down of Foxc2 decreases SSC colonization to only 10.42%
compared to the control by transplantation. Reverse transcription and real-time
quantitative PCR gene analyses following knock-down of Foxc2 indicate that Foxc2
may act as a suppressor for SSC differentiation. Extrinsic stimuli treatments
show that glial cell line-derived neurotrophic factor and retinoic acid act in
opposite ways to regulate FOXC2 expression and subsequent SSC property. These
results suggest that FOXC2 is a critical intrinsic regulator of SSC self-renewal
and differentiation.
PMID- 29649408
TI - Interferon-Gamma Impairs Maintenance and Alters Hematopoietic Support of Bone
Marrow Mesenchymal Stromal Cells.
AB - Bone marrow (BM) mesenchymal stromal cells (MSCs) provide microenvironmental
support to hematopoietic stem and progenitor cells (HSPCs). Culture-expanded MSCs
are interesting candidates for cellular therapies due to their immunosuppressive
and regenerative potential which can be further enhanced by pretreatment with
interferon-gamma (IFN-gamma). However, it remains unknown whether IFN-gamma can
also influence hematopoietic support by BM-MSCs. In this study, we elucidate the
impact of IFN-gamma on the hematopoietic support of BM-MSCs. We found that IFN
gamma increases expression of interleukin (IL)-6 and stem cell factor by human BM
MSCs. IFN-gamma-treated BM-MSCs drive HSPCs toward myeloid commitment in vitro,
but impair subsequent differentiation of HSPC. Moreover, IFN-gamma-ARE-Del mice
with increased IFN-gamma production specifically lose their BM-MSCs, which
correlates with a loss of hematopoietic stem cells' quiescence. Although IFN
gamma treatment enhances the immunomodulatory function of MSCs in a clinical
setting, we conclude that IFN-gamma negatively affects maintenance of BM-MSCs and
their hematopoietic support in vitro and in vivo.
PMID- 29649410
TI - Sca1+Lin-CD117- Mouse Bone Marrow-Derived Mesenchymal Stem Cells Regulate
Immature Dendritic Cell Maturation by Inhibiting TLR4-IRF8 Signaling Via the
Notch-RBP-J Pathway.
AB - Mesenchymal stem cells (MSCs) have a superior immunomodulatory capacity compared
to other cells of the immune system, and they hold great promise for treating
various immune disorders. However, their regulatory effects on the maturation of
immature dendritic cells (imDCs) are not fully understood. In this study, we show
that Sca-1+Lin-CD117-MSCs restrain the lipopolysaccharide-stimulated maturation
transition of imDCs cocultured without exogenous cytokines. The Notch signaling
pathway plays a critical role in the process by controlling interferon regulatory
factor 8 (IRF8) expression in an RBP-J-dependent manner. We observed a high
degree of H3K27me3 modification mediated by SUZ12 and a relatively low degree of
H3K4me3 modification regulated by WDR5 at the IRF8 promoter during coculture.
These data reveal a possible mechanism by which Sca-1+Lin-CD117-MSCs modulate
imDC maturation and further support the role of MSCs in treating immune
disorders.
PMID- 29649411
TI - The In Vitro Differentiation of GDNF Gene-Engineered Amniotic Fluid-Derived Stem
Cells into Renal Tubular Epithelial-Like Cells.
AB - Amniotic fluid is an alternative source of stem cells, and human amniotic fluid
derived stem cells (AFSCs) obtained from a small amount of amniotic fluid
collected during the second trimester represent a novel source for use in
regenerative medicine. These AFSCs are characterized by lower diversity, a higher
proliferation rate, and a wider differentiation capability than adult mesenchymal
stem cells. AFSCs are selected based on the cell surface marker c-kit receptor
(CD117) using immunomagnetic sorting. Glial cell line-derived neurotrophic factor
(GDNF) is expressed during early kidney development and regulates the
proliferation and differentiation of stem cells in vitro. In this study, c-kit
sorted AFSCs were induced toward osteogenic or adipogenic differentiation. AFSCs
engineered via the insertion of GDNF were cocultured with mouse renal tubular
epithelial cells (mRTECs), which were preconditioned by hypoxia-reoxygenation in
vitro. After coculture for 8 days, AFSCs differentiation into epithelial-like
cells was evaluated by performing immunofluorescence, flow cytometry, and
quantitative real-time polymerase chain reaction to identify cells expressing the
renal epithelial markers, cytokeratin 18 (CK18), E-cadherin, aquaporin-1 (AQP1),
and paired box 2 gene (Pax2). The GDNF gene enhanced AFSCs differentiation into
RTECs. AFSCs possess self-renewal ability and multiple differentiation potential
and thus represent a new source of stem cells.
PMID- 29649413
TI - Neural Stem Cells Derived Directly from Adipose Tissue.
AB - Neural stem cells (NSCs) are characterized as self-renewing cell populations with
the ability to differentiate into the multiple tissue types of the central
nervous system. These cells can differentiate into mature neurons, astrocytes,
and oligodendrocytes. This category of stem cells has been shown to be a
promisingly effective treatment for neurodegenerative diseases and neuronal
injury. Most treatment studies with NSCs in animal models use embryonic brain
derived NSCs. This approach presents both ethical and feasibility issues for
translation to human patients. Adult tissue is a more practical source of stem
cells for transplantation therapies in humans. Some adult tissues such as adipose
tissue and bone marrow contain a wide variety of stem cell populations, some of
which have been shown to be similar to embryonic stem cells, possessing many
pluripotent properties. Of these stem cell populations, some are able to respond
to neuronal growth factors and can be expanded in vitro, forming neurospheres
analogous to cells harvested from embryonic brain tissue. In this study, we
describe a method for the collection and culture of cells from adipose tissue
that directly, without going through intermediates such as mesenchymal stem
cells, results in a population of NSCs that are able to be expanded in vitro and
be differentiated into functional neuronal cells. These adipose-derived NSCs
display a similar phenotype to those directly derived from embryonic brain. When
differentiated into neurons, cells derived from adipose tissue have spontaneous
spiking activity with network characteristics similar to that of neuronal
cultures.
PMID- 29649412
TI - Continuous Exposure to Simulated Hypergravity-Induced Changes in Proliferation,
Morphology, and Gene Expression of Human Tendon Cells.
AB - Gravity influences physical and biological processes, especially during
development and homeostasis of several tissues in the human body. Studies under
altered gravity have been receiving great attention toward a better understanding
of microgravity-, hypogravity (<1 g)-, or hypergravity (>1 g)-induced
alterations. In this work, the influence of simulated hypergravity over human
tendon-derived cells (hTDCs) was studied at 5, 10, 15, and 20 g for 4 or 16 h,
using a large diameter centrifuge. Main results showed that 16 h of simulated
hypergravity limited cell proliferation. Cell area was higher in hTDCs cultured
at 5, 10, and 15 g for 16 h, in comparison to 1 g control. Actin filaments were
more pronounced in hTDCs cultured at 5 and 10 g for 16 h. Focal adhesion kinase
(FAK) was mainly expressed in focal adhesion sites upon hypergravity stimulation,
in comparison to perinuclear localization in control cells after 16 h; and FAK
number/cell increased with increasing g-levels. A tendency toward an upregulation
of tenogenic markers was observed; scleraxis (SCX), tenascin C (TNC), collagen
type III (COL3A1), and decorin (DCN) were significantly upregulated in hTDCs
cultured at 15 g and COL3A1 and DCN were significantly upregulated in hTDCs
cultured at 20 g. Overall, simulated hypergravity affected the behavior of hTDCs,
with more pronounced effects in the long-term period (16 h) of stimulation.
PMID- 29649414
TI - miR-450b Promotes Osteogenic Differentiation In Vitro and Enhances Bone Formation
In Vivo by Targeting BMP3.
AB - Osteoporosis is characterized by deterioration of bone microarchitecture and low
bone mass. One of the primary causes of osteoporosis is the decrease in the
osteogenic differentiation of mesenchymal stem cells (MSCs). Tissue engineering
therapy with genetically modified MSCs has attracted much attention in the study
of bone regeneration. In this study, we found that the expression level of miR
450b was upregulated during osteogenic differentiation of human adipose-derived
mesenchymal stem cells (hADSCs). To explore the effect of miR-450b on the
osteogenesis of hADSCs, we performed a series of gain- and loss-of-function
analyses and demonstrated that miR-450b not only promoted the process of hADSC
differentiation to osteoblasts in vitro but also enhanced ectopic bone formation
in vivo. Bone morphogenetic protein 3 (BMP3), the most abundant BMP member in
bone, was identified as a direct target of miR-450b. Downregulation of the
endogenous expression of BMP3 could mimic the effect of miR-450b upregulation on
the osteogenic differentiation of hADSCs. Overall, our study first demonstrated
that a novel microRNA miR-450b was essential for hADSC differentiation, which
could promote osteogenic differentiation in vitro and enhance bone formation in
vivo by directly suppressing BMP3.
PMID- 29649415
TI - Generation of Neural Organoids from Human Embryonic Stem Cells Using the Rotary
Cell Culture System: Effects of Microgravity on Neural Progenitor Cell Fate.
AB - Progress in aeronautics and spaceflight technologies requires in parallel further
research on how microgravity may affect human tissue. To date, little is known
about the effects of microgravity on human development. In this study we used the
rotary cell culture system to investigate whether microgravity supports the
generation and maintenance of neural organoids derived from human embryonic stem
cells (hESCs) as a model of human brain development. Our results show that
although neural organoids could be generated and maintained in microgravity
conditions, there were changes in expression of rostral-caudal neural patterning
genes and cortical markers compared to organoids generated in standard
conditions. This phenomenon was also observed in hESC-derived cortical organoids
exposed to microgravity for relatively shorter periods. These results are one of
the first for analyzing human neurogenesis in a microgravity environment.
PMID- 29649416
TI - Improving Mechanical Properties of Molded Silicone Rubber for Soft Robotics
Through Fabric Compositing.
AB - Molded silicone rubbers are common in manufacturing of soft robotic parts, but
they are often prone to tears, punctures, and tensile failures when strained. In
this article, we present a fabric compositing method for improving the mechanical
properties of soft robotic parts by creating a fabric/rubber composite that
increases the strength and durability of the molded rubber. Comprehensive ASTM
material tests evaluating the strength, tear resistance, and puncture resistance
are conducted on multiple composites embedded with different fabrics, including
polyester, nylon, silk, cotton, rayon, and several blended fabrics. Results show
that strong fabrics increase the strength and durability of the composite,
valuable in pneumatic soft robotic applications, while elastic fabrics maintain
elasticity and enhance tear strength, suitable for robotic skins or soft strain
sensors. Two case studies then validate the proposed benefits of the fabric
compositing for soft robotic pressure vessel applications and soft strain sensor
applications. Evaluations of the fabric/rubber composite samples and devices
indicate that such methods are effective for improving mechanical properties of
soft robotic parts, resulting in parts that can have customized stiffness,
strength, and vastly improved durability.
PMID- 29649417
TI - Corrigendum to "Association of glycated albumin to HbA1c ratio with diabetic
retinopathy but not diabetic nephropathy in patients with type 2 diabetes" [Clin.
Biochem. 50 (2017) 270-273].
PMID- 29649420
TI - Disparities in the Health of Women and Children.
PMID- 29649418
TI - Roles of progesterone receptor membrane component 1 and membrane progestin
receptor alpha in regulation of zebrafish oocyte maturation.
AB - Although previous studies suggest membrane progesterone receptor alpha
(mPRalpha/Paqr7) mediates 17, 20beta-dihydroxy-4-pregnen-3-one (DHP) induction of
oocyte maturation (OM) in zebrafish, critical information needed to establish
mPRalpha as the receptor mediating OM is lacking. The relative potencies of
progestins and specific mPRalpha agonists in inducing OM matched their relative
binding affinities for zebrafish mPRalpha, supporting its role in OM.
Microinjection of pertussis toxin blocked DHP induction of OM and the progestin
induced decrease in cyclic AMP levels, suggesting mPRalpha activates an
inhibitory G protein (Gi). Microinjection of morpholino antisense
oligonucleotides to zebrafish pgrmc1 blocked induction of OM by DHP which was
accompanied by decreased levels of Pgrmc1 and mPRalpha on the oocyte plasma
membranes. Similarly, treatment of denuded oocytes with a PGRMC1 inhibitor,
AG205, blocked the gonadotropin-induced increase in plasma membrane mPRalpha
levels and attenuated DHP induction of OM. Co-incubation with two inhibitors of
epidermal growth factor Erbb2, ErbB2 inhibitor II and AG 879, prevented induction
of OM by DHP, indicating the likely involvement of Erbb2 in mPRalpha-mediated
signaling. Treatment with AG205 reversed the inhibitory effects of the Erbb2
inhibitors on OM and also inhibited insulin-like growth factor-1 induction of OM.
Close associations between Pgrmc1 and mPRalpha, and between Pgrmc1 and Erbb2 were
detected in zebrafish oocytes with in situ proximity ligation assays. The results
suggest progestin induction of OM in zebrafish is mediated through an
mPRalpha/Gi/Erbb2 signaling pathway that requires Pgrmc1 for expression of
mPRalpha on oocyte membranes and that Pgrmc1 also is required for induction of OM
through Erbb2.
PMID- 29649419
TI - Factor Structure and Psychometric Properties of the Neonatal Eating Assessment
Tool-Breastfeeding.
AB - The purpose of this study was to identify the factor structure of the Neonatal
Eating Assessment Tool-Breastfeeding (NeoEAT-Breastfeeding) and to assess its
psychometric properties, including internal consistency reliability, test-retest
reliability, and construct validity as measured by concurrent and known-groups
validity. Exploratory factor analysis conducted on responses from 402 parents of
breastfeeding infants younger than 7 months old showed a 62-item measure with
seven subscales and acceptable internal consistency reliability (Cronbach's alpha
= .92). Test-retest reliability was also acceptable (r = .91). The NeoEAT
Breastfeeding has evidence of concurrent validity with the Infant
Gastroesophageal Reflux Questionnaire (r = .69) and Infant Gastrointestinal
Symptoms Questionnaire (r = .62). The NeoEAT-Breastfeeding total score and all
subscale scores were higher in infants with feeding problems than in typically
feeding infants (p < .001, known-groups validity). The NeoEAT-Breastfeeding is a
parent-report assessment of breastfeeding in infants from birth to 7 months old
with good initial evidence of reliability and validity.
PMID- 29649421
TI - Editorial Comment.
PMID- 29649422
TI - Editorial Comment.
PMID- 29649424
TI - The Safety and Efficacy of Supraciliary Stenting Following Failed Glaucoma
Surgery.
AB - PURPOSE: To evaluate the safety and efficacy of supraciliary stenting following
failed glaucoma surgery. DESIGN: Interventional case series. METHODS: Setting:
Moorfields Eye Hospital, London, United Kingdom. STUDY POPULATION: Twenty eyes
from 20 patients with glaucoma refractory to prior glaucoma surgery.
INTERVENTION: Ab interno microstent (CyPass Micro-Stent; Alcon, Fort Worth,
Texas, USA) implantation into the supraciliary space. MAIN OUTCOME MEASURES:
Outcome measures included the occurrence of ocular adverse events, mean
intraocular pressure (IOP) change, and glaucoma medication use through 12 months.
RESULTS: Mean baseline IOP was 22.5 +/- 8.0 mm Hg and number of medications was
2.7 +/- 1.0. The majority of patients had undergone either prior trabeculectomy
or aqueous shunt surgery. There were no serious intraoperative complications or
major adverse events following supraciliary stenting. The most common adverse
events included transient hyphema (3/20, 15%), transient IOP > 30 mm Hg (4/20,
20%), and transient IOP < 6 mm Hg (4/20, 20%). At 12 months, mean IOP was 14.9 +/
4.3 mm Hg-a 33.7% reduction (P = .01). Mean medication usage decreased 56% to
1.2 +/- 1.5 at 12 months (P = .01). Two patients (10%) required subsequent
aqueous shunt insertion. CONCLUSION: Ab interno supraciliary stenting has a
favorable safety profile and provides an effective approach to controlling IOP
and reducing medication burden in eyes in which previous glaucoma surgery has
failed.
PMID- 29649425
TI - Effects of grape consumption on biomarkers of inflammation, endothelial function,
and PBMC gene expression in obese subjects.
AB - This study investigated effects of grape consumption on biomarkers of
cardiovascular health in obese participants in both postprandial and chronic
settings. Twenty obese adults participated in this randomized, placebo
controlled, double-blinded crossover trial. Participants were randomized to
consume 60 g freeze-dried polyphenol-rich whole grape powder (GP) or placebo
(PBO) followed by high fat high carbohydrate (HFHC) meal challenge. Following
acute challenge, participants consumed their respective treatment daily for 4
weeks to determine effects of chronic consumption. Consumption of GP with HFHC
meal significantly increased nuclear factor (erythroid-derived 2)-like 2 (NRF2)
expression in peripheral blood mononuclear cells (PBMC) at 3 h (p < 0.05) and
decreased plasma endothelin-1 (ET-1) concentration at 5 h (p < 0.05) after meal
challenge compared with PBO. Following 4 weeks of daily GP consumption, soluble
vascular cell adhesion molecule 1 (sVCAM-1) plasma concentration increased
compared with PBO (p < 0.05), however baseline values differed between
treatments. In conclusion, GP consumption resulted in decreased vasoconstrictor
ET-1 concentration and increased gene expression related to oxidative stress
defense following HFHC meal. Except for increase in sVCAM-1 concentration, 4
weeks of chronic GP consumption had little effect on cardiovascular biomarkers
measured in this study. This trial was registered: clinicaltrials.gov
NCT01674231.
PMID- 29649426
TI - Centers for Disease Control-type light traps equipped with high-intensity light
emitting diodes as light sources for monitoring Anopheles mosquitoes.
AB - In this study the phototactic response of anopheline mosquitoes to different
luminous intensity light-emitting diodes (LEDs) was investigated. Centers for
Disease Control-type light traps were changed by replacement of the incandescent
lamps by 5 mm round type green (520 nm) and blue (470 nm) LEDs of different
luminous intensities: green-LED traps with luminous intensities of 10,000, 15,000
and 20,000 millicandela (mcd) and the blue-LED traps with luminous intensities of
4000, 12,000 and 15,000 mcd. Our data showed that increasing luminous intensity
has an effect on the attraction of anopheline mosquitoes to light traps,
highlighting the importance of taking LEDs and light sources of high luminous
intensity into account when using light-trap collections in monitoring
populations of Anopheles species.
PMID- 29649427
TI - VEGFR-3 signaling is regulated by a G-protein activator, activator of G-protein
signaling 8, in lymphatic endothelial cells.
AB - Vascular endothelial growth factor C (VEGFC) and its cognate receptor VEGFR-3
play a key role in lymphangiogenesis. We previously reported that an ischemia
inducible Gbetagamma signal regulator, activator of G-protein signaling 8 (AGS8),
regulated the subcellular distribution of vascular endothelial growth factor
receptor-2 (VEGFR-2) and influenced VEGFA-induced signaling in vascular
endothelial cells. Here, we report that AGS8 regulates VEGFR-3, which is another
subtype of the VEGF receptor family, and mediates VEGFC signaling in human dermal
lymphatic endothelial cells (HDLECs). VEGFC stimulated the proliferation of
HDLECs and tube formation by HDLECs, which were inhibited by knocking down AGS8
by small interfering RNA (siRNA). AGS8 siRNA inhibited VEGFC-mediated
phosphorylation of VEGFR-3 and its downstream molecules, including ERK1/2 and
AKT. Analysis of fluorescence-activated cell sorting and immunofluorescence
staining demonstrated that AGS8 knockdown was associated with a reduction of
VEGFR-3 at the cell surface. Endocytosis inhibitors did not rescue the decrease
of cell-surface VEGFR-3, suggesting that AGS8 regulated the trafficking of VEGFR
3 to the plasma membrane. An immunoprecipitation assay indicated that VEGFR-3
formed a complex including AGS8 and Gbetagamma in cells. These data suggest the
novel regulation of VEGFC-VEGFR-3 by AGS8 in HDLECs and a potential role for AGS8
in lymphangiogenesis.
PMID- 29649428
TI - Connections of annexin A1 and translocator protein-18 kDa on toll like receptor
stimulated BV-2 cells.
AB - BACKGROUND: Annexin A1 (ANXA1) and Translocator Protein-18KDa (TSPO) down
regulate neuroinflammation. We investigated the role of recombinant ANXA1 (rANXA)
on TSPO functions on Toll Like Receptor (TLR) activated microglia. METHODS: BV-2
cells (murine microglia), were stimulated by E. coli Lipopolysaccharide (LPS) and
treated with rANXA1 in order to measure TSPO expression and inflammatory
parameters. Anti-sense ANXA1 and TLR4 and TSPO shRNA, as well as pharmacological
treatments, were employed to assess the mechanisms involved. RESULTS: LPS
stimulated BV-2 cells caused overexpression of TSPO, which was inhibited by:
pharmacological blockade of TLR4 or TLR4 mRNA silencing; inhibition of myeloid
differentiation primary response gene 88 (MyD88) dimerization; or blocking of
nuclear factor kappaB (NF-kappaB) activation. rANXA1 treatment impaired LPS
induced TSPO upregulation by down-modulating MyD88 and NF-kappaB signaling; the
effect was abolished by WRW4, an antagonist of formyl peptide receptor 2 (FPR2).
rANXA1 treatment also downregulated interleukin 1beta (IL-1beta) and tumor
necrosis factor-alpha (TNFalpha) secretion in LPS-stimulated BV-2 cells. TSPO
knockdown in BV-2 cells augmented LPS-induced TNFalpha secretion and abolished
the inhibitory effect of rANXA1 on TNFalpha secretion evoked by LPS. CONCLUSIONS:
exogenous ANXA1 down-modulates LPS-induced TSPO via MyD-88/NF-kappaB pathways,
and constitutive TSPO is pivotal for the control of ANXA1 on TNFalpha secretion.
TSPO actions may be involved with the mechanisms of ANXA1 on inflammatory brain
diseases.
PMID- 29649430
TI - Dynamics analysis of SIR epidemic model with correlation coefficients and
clustering coefficient in networks.
AB - In this paper, the correlation coefficients between nodes in states are used as
dynamic variables, and we construct SIR epidemic dynamic models with correlation
coefficients by using the pair approximation method in static networks and
dynamic networks, respectively. Considering the clustering coefficient of the
network, we analytically investigate the existence and the local asymptotic
stability of each equilibrium of these models and derive threshold values for the
prevalence of diseases. Additionally, we obtain two equivalent epidemic
thresholds in dynamic networks, which are compared with the results of the mean
field equations.
PMID- 29649429
TI - Deletion of the insulin receptor in sensory neurons increases pancreatic insulin
levels.
AB - Insulin is known to have neurotrophic properties and loss of insulin support to
sensory neurons may contribute to peripheral diabetic neuropathy (PDN). Here,
genetically-modified mice were generated in which peripheral sensory neurons
lacked the insulin receptor (SNIRKO mice) to determine whether disrupted sensory
neuron insulin signaling plays a crucial role in the development of PDN and
whether SNIRKO mice develop symptoms of PDN due to reduced insulin neurotrophic
support. Our results revealed that SNIRKO mice were euglycemic and never
displayed significant changes in a wide range of sensorimotor behaviors, nerve
conduction velocity or intraepidermal nerve fiber density. However, SNIRKO mice
displayed elevated serum insulin levels, glucose intolerance, and increased
insulin content in the islets of Langerhans of the pancreas. These results
contribute to the growing idea that sensory innervation of pancreatic islets is
key to regulating islet function and that a negative feedback loop of sensory
neuron insulin signaling keeps this regulation in balance. Our results suggest
that a loss of insulin receptors in sensory neurons does not lead to peripheral
nerve dysfunction. The SNIRKO mice will be a powerful tool to investigate sensory
neuron insulin signaling and may give a unique insight into the role that sensory
neurons play in modifying islet physiology.
PMID- 29649431
TI - Diagnosis and Management of Children With Mycobacterium abscessus Infections in
the Head and Neck.
AB - PURPOSE: Controversy exists regarding the most appropriate treatment strategy for
children with nontuberculous mycobacterial (NTM) cervical lymphadenitis.
Mycobacterium abscessus (MAB) is an uncommon cause of NTM cervical lymphadenitis.
The purpose of the present study was to evaluate diagnosis, management, and
treatment outcomes in children with MAB-associated cervical lymphadenitis
resulting from a pulpotomy. MATERIALS AND METHODS: This was a retrospective chart
review of children with NTM lymphadenitis of the head and neck caused by MAB
treated at Children's Healthcare of Atlanta hospitals (Atlanta, GA). The
predictor variables were patient demographics, dental history, clinical
presentation, imaging characteristics, laboratory findings, histopathologic
examination, treatment, and complications. The outcome variable was disease
resolution or persistence. RESULTS: Twenty-two patients (mean age, 6.5 yr) met
the inclusion criteria. All patients had pulpotomy at 1 dental practice. The mean
time from dental procedure to symptom onset was 43.1 days (range, 3 to 180 days).
Children presented with cervical or submandibular swelling, facial swelling,
gingival erythema, and skin erythema. Radiographic findings were submandibular or
cervical lymphadenitis, maxillary or mandibular osteolysis, subcutaneous abscess,
and pulmonary nodules. All children had confirmed or probable MAB infection
diagnosed on the pathologic specimen. There were 2 distinct patient presentations
that guided surgical management: isolated noninflammatory cervical lymphadenitis,
which was partly or completely excised (n = 11), and adjacent extension or
disseminated infection requiring subtotal lymph node excision, bone debridement,
and postoperative antibiotics (n = 11). Most children required multiple surgical
interventions to remove infected tissues. All achieved clinical resolution.
CONCLUSION: In this cohort, treatment of NTM lymphadenitis caused by MAB depended
on extent of disease and virulence of bacteria. When complete surgical excision
was possible, disease resolution was achieved. However, in cases with adjacent
extension or dissemination infection, postoperative antibiotics were necessary.
PMID- 29649432
TI - Nitric oxide signaling regulates tumor-induced intussusceptive-like angiogenesis.
AB - Existing animal models for screening tumor angiogenic process have various
setbacks that necessitate further investigations. In this study, we developed an
ex-ovo egg yolk angiogenesis model to screen the angiogenic potency of tumor
cells (HeLa and SiHa cell lines). The egg yolk angiogenesis assay was applied to
study the nitric oxide (NO) influence on switching from sprouting angiogenesis
(SA) to intussusceptive angiogenesis (IA) under tumor microenvironment.
Morphological analysis and SA-like or IA-like markers expression were determined
during the development of chicken chorioallantoic membrane (CAM) from day 5 to
13. Expression of Notch1, Notch2, EphrinB2, and Tie2 were considered as SA-like
while TEM8, CALD1, CXCR4 and HOMX1 were followed as IA-like markers. The HeLa and
SiHa cell lines embedded CAM showed an increase in micro and macro blood vessels
and vascular size, junction and length which are the pivotal morphological
parameters of angiogenesis. Further, the study revealed that HeLa is more
aggressive than SiHa in inducing tumor angiogenesis. To determine the NO
signaling implication in tumor milieu, NO donor (Spermine NONOate (SPNO)), NOS
inhibitor (L-nitro-L-arginine-methyl ester (L-NAME) and VEGF inhibitor (Avastin)
were administrated to chick embryo vascular bed with and without HeLa cells. The
results demonstrated that HeLa cells promote IA through NO signaling, VEGF and
eNOS and it was documented by angiogenic morphological parameters and SA-like or
IA-like markers expression. Therefore, our study claims that ex-ovo egg yolk
angiogenesis model could be used to study tumor angiogenesis and NO plays a key
role in switching of IA under tumor microenvironment.
PMID- 29649433
TI - Repurposing sex steroids and related drugs as potential treatment for Parkinson's
disease.
AB - Parkinson's disease (PD) is a neurodegenerative disorder for which a greater
prevalence and incidence is described in men. This suggests a protective effect
of sex hormones in the brain. Therefore, steroids and drugs to treat endocrine
conditions could have additional application for PD. Here, we review the
protective effect of sex hormones, particularly estrogens, progesterone,
androgens and dehydroepiandrosterone, in animal models of PD and also in human
studies. Data also support that drugs affecting estrogen neurotransmission such
as selective estrogen receptor modulators or affecting steroid metabolism with
5alpha-reductase inhibitors could be repositioned for treatment of PD. Sex
steroids are also modulator of neurotransmission, thus they could repurposed to
treat PD motor symptoms and to modulate the response to PD medication. No drug is
yet available to limit PD progression. PD is a complex disease implicating
multiple pathological processes and a therapeutic strategy using drugs with
several mechanisms of action, such as sex steroids and endocrine drugs are
interesting repositioning options for symptomatic treatment and disease-modifying
activity for PD.
PMID- 29649434
TI - Evaluation of an Allosteric BACE Inhibitor Peptide to Identify Mimetics that Can
Interact with the Loop F Region of the Enzyme and Prevent APP Cleavage.
AB - The aspartyl protease BACE1 (BACE) has emerged as an appealing target for
reduction of amyloid-beta in Alzheimer's disease. The clinical fate of active
site BACE inhibitors may depend on potential side effects related to enzyme and
substrate selectivity. One strategy to reduce this risk is through development of
allosteric inhibitors that interact with and modulate the Loop F region unique to
BACE1. Previously, a BACE-inhibiting antibody (Ab) was shown by co
crystallization to bind and induce conformational changes of Loop F, resulting in
backbone perturbations at the distal S6 and S7 subsites, preventing proper
binding of a long APP-like substrate to BACE and inhibiting its cleavage. In an
effort to discover small Loop F-interacting molecules that mimic the Ab
inhibition, we evaluated a peptide series with a YPYF(I/L)P(L/Y) motif that was
reported to bind a BACE exosite. Our studies show that the most potent inhibitor
from this series, peptide 65007, has a similar substrate cleavage profile to the
Ab and reduces sAPPbeta levels in cell models and primary neurons. As our
modeling indicates, it interacts with the Loop F region causing a conformational
shift of the BACE protein backbone near the distal subsites. The peptide-bound
enzyme adopts a conformation that closely overlays with the crystal structure
(PDB: 3R1G) from Ab binding. Importantly, peptide 65007 appears to be BACE
substrate and enzyme selective, showing little inhibition of NRG1, PSGL1, CHL1,
or Cat D. Thus, peptide 65007 is a promising lead for discovery of Loop F
interacting small-molecule mimetics as allosteric inhibitors of BACE.
PMID- 29649435
TI - Food for Gut: Microbiota Fuels Immune Reconstitution after BMT.
AB - The host factors that modulate hematopoietic reconstitution after bone marrow
transplantation are poorly understood. In this issue of Cell Host & Microbe,
Staffas et al. (2018) demonstrate that gut microbiota play a critical role in
immune reconstitution after bone marrow transplantation, partly through dietary
energy harvest and uptake.
PMID- 29649436
TI - ATAC-ing Transcriptional Mysteries in Malaria Parasites.
AB - Ever since Plasmodium intraerythrocytic development was reported to proceed via
an unusual "hardwired" transcriptional cascade, the control of gene transcription
in malaria parasites has been an area of intense investigation. In this issue of
Cell Host & Microbe, Toenhake et al. (2018) illuminate this intriguing issue by
applying ATAC sequencing.
PMID- 29649437
TI - Turning Up Your Nose for a Flaviviral Encephalitis Cure.
AB - siRNA approaches have demonstrated promise in treating viral infections in animal
models, but poor delivery limits clinical application. In this issue of Cell Host
& Microbe, Beloor et al. (2018) report that nose-to-brain delivery of viral
targeted siRNA cures mice from West Nile virus encephalitis, with potential
implications for human infection.
PMID- 29649438
TI - Immunity by Design.
AB - One outcome of the many advances in basic sciences that have been made over the
last decades is the prospect of rational vaccine design. A recent publication by
Du et al. (2018) describes a screening method for selection of live-attenuated
viral vaccine platforms with enhanced immune-stimulatory properties.
PMID- 29649439
TI - Time Heals All Wounds ... But Wounds Heal Faster with Lactobacillus.
AB - Chronic nonhealing wounds represent a significant clinical problem and cost the
healthcare system $19 billion annually. Recently, Vagesjo et al. (2018)
demonstrated a promising therapeutic approach for nonhealing wounds with topical
application of CXCL12-producing Lactobacilli that enhanced healing through
alterations in the wound microenvironment.
PMID- 29649440
TI - Mapping the Evolutionary Potential of RNA Viruses.
AB - The deterministic force of natural selection and stochastic influence of drift
shape RNA virus evolution. New deep-sequencing and microfluidics technologies
allow us to quantify the effect of mutations and trace the evolution of viral
populations with single-genome and single-nucleotide resolution. Such experiments
can reveal the topography of the genotype-fitness landscapes that shape the path
of viral evolution. By combining historical analyses, like phylogenetic
approaches, with high-throughput and high-resolution evolutionary experiments, we
can observe parallel patterns of evolution that drive important phenotypic
transitions. These developments provide a framework for quantifying and
anticipating potential evolutionary events. Here, we examine emerging
technologies that can map the selective landscapes of viruses, focusing on their
application to pathogenic viruses. We identify areas where these technologies can
bolster our ability to study the evolution of viruses and to anticipate and
possibly intervene in evolutionary events and prevent viral disease.
PMID- 29649441
TI - Small Intestine Microbiota Regulate Host Digestive and Absorptive Adaptive
Responses to Dietary Lipids.
AB - The gut microbiota play important roles in lipid metabolism and absorption.
However, the contribution of the small bowel microbiota of mammals to these diet
microbe interactions remains unclear. We determine that germ-free (GF) mice are
resistant to diet-induced obesity and malabsorb fat with specifically impaired
lipid digestion and absorption within the small intestine. Small bowel microbes
are essential for host adaptation to dietary lipid changes by regulating gut
epithelial processes involved in their digestion and absorption. In addition, GF
mice conventionalized with high-fat diet-induced jejunal microbiota exhibit
increased lipid absorption even when fed a low-fat diet. Conditioned media from
specific bacterial strains directly upregulate lipid absorption genes in murine
proximal small intestinal epithelial organoids. These findings indicate that
proximal gut microbiota play key roles in host adaptability to dietary lipid
variations through mechanisms involving both the digestive and absorptive phases
and that these functions may contribute to conditions of over- and
undernutrition.
PMID- 29649442
TI - The Receptor-like Cytoplasmic Kinase BIK1 Localizes to the Nucleus and Regulates
Defense Hormone Expression during Plant Innate Immunity.
AB - Plants employ cell-surface pattern recognition receptors (PRRs) to detect
pathogens. Although phytohormones produced during PRR signaling play an essential
role in innate immunity, a direct link between PRR activation and hormone
regulation is unknown. EFR is a PRR that recognizes bacterial EF-Tu and activates
immune signaling. Here we report that EFR regulates the phytohormone jasmonic
acid (JA) through direct phosphorylation of a receptor-like cytoplasmic kinase,
BIK1. The BIK1 structure revealed that the EFR-phosphorylated sites reside on a
uniquely extended loop away from the BIK1 kinase core domain. Phosphomimetic
mutations of these sites resulted in increased phytohormones and enhanced
resistance to bacterial infections. In addition to its documented plasma membrane
localization, BIK1 also localizes to the nucleus and interacts directly with WRKY
transcription factors involved in the JA and salicylic acid (SA) regulation.
These findings demonstrate the mechanistic basis of signal transduction from PRR
to phytohormones, mediated through a PRR-BIK1-WRKY axis.
PMID- 29649443
TI - Immunization with AgTRIO, a Protein in Anopheles Saliva, Contributes to
Protection against Plasmodium Infection in Mice.
AB - Plasmodium infection begins with the bite of an anopheline mosquito, when
sporozoites along with saliva are injected into a vertebrate host. The role of
the host responses to mosquito saliva components in malaria remains unclear. We
observed that antisera against Anopheles gambiae salivary glands partially
protected mice from mosquito-borne Plasmodium infection. Specifically, antibodies
to A. gambiae TRIO (AgTRIO), a mosquito salivary gland antigen, contributed to
the protection. Mice administered AgTRIO antiserum showed lower Plasmodium liver
burden and decreased parasitemia when exposed to infected mosquitoes. Active
immunization with AgTRIO was also partially protective against Plasmodium berghei
infection. A combination of AgTRIO antiserum and antibodies against Plasmodium
circumsporozoite protein, a vaccine candidate, further decreased P. berghei
infection. In humanized mice, AgTRIO antiserum afforded some protection against
mosquito-transmitted Plasmodium falciparum. AgTRIO antiserum reduced the movement
of sporozoites in the murine dermis. AgTRIO may serve as an arthropod-based
target against Plasmodium to combat malaria.
PMID- 29649444
TI - Single HIV-1 Imaging Reveals Progression of Infection through CA-Dependent Steps
of Docking at the Nuclear Pore, Uncoating, and Nuclear Transport.
AB - The HIV-1 core consists of capsid proteins (CA) surrounding viral genomic RNA.
After virus-cell fusion, the core enters the cytoplasm and the capsid shell is
lost through uncoating. CA loss precedes nuclear import and HIV integration into
the host genome, but the timing and location of uncoating remain unclear. By
visualizing single HIV-1 infection, we find that CA is required for core docking
at the nuclear envelope (NE), whereas early uncoating in the cytoplasm promotes
proteasomal degradation of viral complexes. Only docked cores exhibiting
accelerated loss of CA at the NE enter the nucleus. Interestingly, a CA mutation
(N74D) altering virus engagement of host factors involved in nuclear transport
does not alter the uncoating site at the NE but reduces the nuclear penetration
depth. Thus, CA protects HIV-1 complexes from degradation, mediates docking at
the nuclear pore before uncoating, and determines the depth of nuclear
penetration en route to integration.
PMID- 29649446
TI - Dysbiosis-Associated Change in Host Metabolism Generates Lactate to Support
Salmonella Growth.
PMID- 29649447
TI - Age-Associated Microbial Dysbiosis Promotes Intestinal Permeability, Systemic
Inflammation, and Macrophage Dysfunction.
PMID- 29649445
TI - Chromatin Accessibility-Based Characterization of the Gene Regulatory Network
Underlying Plasmodium falciparum Blood-Stage Development.
AB - Underlying the development of malaria parasites within erythrocytes and the
resulting pathogenicity is a hardwired program that secures proper timing of gene
transcription and production of functionally relevant proteins. How stage
specific gene expression is orchestrated in vivo remains unclear. Here, using the
assay for transposase accessible chromatin sequencing (ATAC-seq), we identified
~4,000 regulatory regions in P. falciparum intraerythrocytic stages. The vast
majority of these sites are located within 2 kb upstream of transcribed genes and
their chromatin accessibility pattern correlates positively with abundance of the
respective mRNA transcript. Importantly, these regions are sufficient to drive
stage-specific reporter gene expression and DNA motifs enriched in stage-specific
sets of regulatory regions interact with members of the P. falciparum AP2
transcription factor family. Collectively, this study provides initial insights
into the in vivo gene regulatory network of P. falciparum intraerythrocytic
stages and should serve as a valuable resource for future studies.
PMID- 29649448
TI - Retraction Notice to: The Unfolded Protein Response Element IRE1alpha Senses
Bacterial Proteins Invading the ER to Activate RIG-I and Innate Immune Signaling.
PMID- 29649449
TI - Specifying What We Study and Implement in Rehabilitation: Comments on the
Reporting of Clinical Research.
AB - Several guidelines have been published with the goal of increasing the usefulness
of reports of clinical research. Although such guidelines may clarify key
features of study design, the way in which rehabilitation treatments themselves
are described continues to be problematic and limits the ability to replicate
research, synthesize evidence across studies, or apply these treatments in
practice. Lohse et al report little improvement in the description of
rehabilitation treatments in recent years, with particular limitations in the
description of comparison or standard-of-care treatments. This commentary
explores the kind of published treatment descriptions that would be most useful
in supporting evidence synthesis and clinical implementation and examines the
degree to which a developing conceptual framework-the Rehabilitation Treatment
Specification System-might support improvements in research reporting.
PMID- 29649450
TI - Mandated Quality of Care Metrics for Medicare Patients: Examining New or Worsened
Pressure Ulcers and Rehabilitation Outcomes in United States Inpatient
Rehabilitation Facilities.
AB - OBJECTIVE: To examine the association between the Medicare pressure ulcer quality
indicator (the development of new or worsened pressure ulcers) and rehabilitation
outcomes among Medicare patients seen in an inpatient rehabilitation facility
(IRF). DESIGN: Retrospective descriptive study. SETTING: IRFs subscribed to the
Uniform Data System for Medical Rehabilitation. PARTICIPANTS: Nearly 500,000 IRF
Medicare patients who were discharged between January 2013 and September 2014
were examined. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Functional
independence, functional change (gain), and discharge destination. RESULTS: The
pressure ulcer quality indicator was associated with poorer rehabilitation
outcomes; patients were less likely to achieve functional independence (odds
ratio [OR], .47; 95% confidence interval [CI], .44-.51), were less likely to be
discharged to a community setting (OR, .88; 95% CI, .82-.95), and made less
functional gain during their IRF stay (a difference of 6 FIM points).
CONCLUSIONS: These results support that the pressure ulcer quality indicator is
associated with lower quality of rehabilitation outcomes; however, given that
those patients with a new or worsened pressure injury still made functional gains
and most were discharged to the community, the risk of pressure injury
development should not preclude the admission of these cases to an IRF.
PMID- 29649451
TI - Associations Between Muscle Synergies and Treatment Outcomes in Cerebral Palsy
Are Robust Across Clinical Centers.
AB - OBJECTIVE: To determine whether patient-specific differences in motor control
quantified using muscle synergy analysis were associated with changes in gait
after treatment of cerebral palsy (CP) across 2 clinical centers with different
treatments and clinical protocols. DESIGN: Retrospective cohort study. SETTING:
Clinical medical center. PARTICIPANTS: Center 1: children with CP (n=473) and
typically developing (TD) children (n=84). Center 2: children with CP (n=163) and
TD children (n=12). INTERVENTIONS: Standard clinical care at each center. MAIN
OUTCOME MEASURES: The Dynamic Motor Control Index During Walking (walk-DMC) was
computed from electromyographic data during gait using muscle synergy analysis.
Regression analysis was used to evaluate whether pretreatment walking speed or
kinematics, muscle synergies, treatment group, prior treatment, or age were
associated with posttreatment changes in gait at both clinical centers. RESULTS:
Walk-DMC was significantly associated with changes in speed and kinematics after
treatment with similar regression models at both centers. Children with less
impaired motor control were more likely to have improvements in walking speed and
gait kinematics after treatment, independent of treatment group. CONCLUSIONS:
Dynamic motor control evaluated with synergy analysis was associated with changes
in gait after treatment at both centers, despite differences in treatments and
clinical protocols. This study further supports the finding that walk-DMC
provides additional information, not captured in traditional gait analysis, that
may be useful for treatment planning.
PMID- 29649452
TI - Serum secretory phospholipase A2 group IB correlates with the severity of
membranous nephropathy.
AB - BACKGROUND: Serum secretory phospholipase A2 group IB (sPLA2-IB) is involved in
the pathological processes of membranous nephropathy (MN). To date, there is no
large-scale study validating the usefulness of circulating sPLA2-IB in the follow
up of patients with MN. This study investigated the role of circulating sPLA2-IB
in the evaluation of severity of MN. METHODS: A total of 158 patients with
primary membranous nephropathy (pMN), 34 with secondary membranous nephropathy
(sMN) and 53 healthy controls were enrolled. Histological staging was made for
all MN patients. 36 of the pMN patients accepted immunosuppressive therapy and 11
sMN patients who received treatment of primary disease were followed up for 6
months. Serum group IB secretory phospholipase A2 (sPLA2-IB), M-type
phospholipase A2 receptor antibody (PLA2R-Ab), blood urea nitrogen, creatinine,
total protein, albumin, cholesterol, triglyceride and 24-hour urine protein were
measured at the time of diagnosis. SPLA2-IB and 24-hour urine protein were
measured at the end of follow-up. RESULTS: Circulating sPLA2-IB levels were
significantly higher in pMN and sMN patients compared to controls and negatively
correlated with TP and albumin, whereas positively correlated with 24-hour urine
protein. PLA2-IB was found increased with the severity of proteinuria when
divided MN patiens into three groups according to degree of proteinuria. Through
the 6-month follow-up, sPLA2-IB and 24 h-urine protein levels were found
significantly decreased when patients with pMN or sMN reached remission. By ROC
analysis, PLA2R-Ab was demonstrated to be most significant in the differential
diagnosis of pMN and sMN compared with 24-hour urinary protein and serum sPLA2
IB. CONCLUSION: Despite the limited significance to differentiate pMN from sMN,
sPLA2-IB was correlated with the level of proteinuria in MN patients suggesting
to be a potential biomarker for monitoring disease severity and therapeutic
effects of both pMN and sMN.
PMID- 29649453
TI - Potential role of "omics" technique in prenatal diagnosis of congenital heart
defects.
AB - Congenital heart defect (CHD) is one of the most common birth defects and is the
leading cause of neonatal death. Currently, there are no biomarkers available for
prenatal diagnosis of CHD. Clinical strategies to diagnose CHD mostly depend on
fetal echocardiography. Recent advances in "omics" techniques have opened up new
possibilities for biomarker discoveries. In this review, we discuss recent
advances in prenatal detection of CHD using biomarkers obtained by "omics"
approaches, including genomics, proteomics, metabolomics, and others. There is
great potential in obtaining various kinds of parameters using "omics" studies to
facilitate early and accurate diagnosis of CHD.
PMID- 29649454
TI - Association between SCN1A and SCN2A mutations and clinical/EEG features in
Chinese patients from epilepsy or severe seizures.
AB - BACKGROUND: We investigated the association between SCN1A and SCN2A mutations and
clinical phenotype and electroencephalography (EEG) features. METHODS: In this
study, 48 patients suffered from epilepsy or severe seizures with SCN1A and SCN2A
mutations were recruited. Medical data and molecular diagnosis were analyzed.
RESULTS: A total of 47 mutations were identified, including 33 novel mutations.
The onset of most epilepsy caused by SCN1A mutations (1-6 m) was later than that
of SCN2A mutations (neonatal). SCN1A mutations included truncating mutations and
missense mutations occurred in the crucial region were associated with more
severe phenotypes and developmental delay (85.7%, P = 0.020). De novo mutations
or truncating mutations of SCN2A mutations are mainly associated with severe
phenotypes. The proportion of initial abnormal EEG of SCN2A mutation was higher
than that of SCN1A mutation (54.2%, 100%). Patients with SCN1A mutations showed
more focal epileptiform discharges (69.2%), while patients with SCN2A mutations
had more multifocal epileptiform discharges (53.8%). Sodium channel blockers were
less effective for patients with SCN1A mutations and SCN2A mutations with early
seizures onset. CONCLUSIONS: Our study expanded the mutation spectrum of the
SCN1A and SCN2A, and led to a better understanding of the similarities and
difference in the genetic and clinical features between the two genes.
PMID- 29649455
TI - Serum Toll-like receptor 4: A novel and promising biomarker for identification of
aortic aneurysmal diseases.
AB - BACKGROUND: Immune inflammation appears to play a role in aortic aneurysm (AA)
pathology. Toll-like receptor 4 (TLR4) has been proved to involve in immune
inflammatory diseases. However, the relationship between serum TLR4 and AA is
still unclear. METHODS: The study included 282 AA patients and 287 controls. The
clinical test related information was collected in medical records. The levels of
serum TLR4 were measured by enzyme-linked immunosorbent assay. RESULTS: Serum
TLR4 levels were significantly higher in case groups and could be influenced by
age, smoking, hypertension, diabetes and hyperlipidemia. Serum TLR4 was
positively correlated with circulating CRP, Hcy, D-dimer, Fg and Cys-c in AA
patients, even after adjusting the possible influencing factors. The optimal cut
off value of TLR4 was 13.64 ng/ml for discriminating AA, and the screening
accuracy was higher for those who were males (sensitivity of 63.5% and
specificity of 68.6%), smokers (sensitivity of 63.5% and specificity of 82.7%)
and hyperlipidemia (sensitivity of 59.1% and specificity of 81.2%). Multiple
logistic analyses showed that serum TLR4 was significantly correlated with AA
risk (OR = 1.119, 95% CI = 1.077-1.162, p < 0.001) and subjects with high TLR4
levels (>13.64 ng/ml) were more likely to have AA (OR = 4.225, 95% CI = 2.477
7.206, p < 0.001). CONCLUSIONS: Serum TLR4 was closely related to AA and
associated with some AA-related circulating markers. Serum TLR4 could be a novel
and promising biomarker with important diagnostic and predictive value in the
identification of aortic aneurysmal diseases.
PMID- 29649457
TI - Sudden Creatine Kinase Elevation When an Athlete Starts Boxing: Looking Deeper
Prior to Knocking the Statin Out.
PMID- 29649458
TI - Estimating Time Physicians and Other Health Care Workers Spend with Patients in
an Intensive Care Unit Using a Sensor Network.
AB - BACKGROUND: Time and motion studies have been used to investigate how much time
various health care professionals spend with patients as opposed to performing
other tasks. However, the majority of such studies are done in outpatient
settings, and rely on surveys (which are subject to recall bias) or human
observers (which are subject to observation bias). Our goal was to accurately
measure the time physicians, nurses, and critical support staff in a medical
intensive care unit spend in direct patient contact, using a novel method that
does not rely on self-report or human observers. METHODS: We used a network of
stationary and wearable mote-based sensors to electronically record location and
contacts among health care workers and patients under their care in a 20-bed
intensive care unit for a 10-day period covering both day and night shifts.
Location and contact data were used to classify the type of task being performed
by health care workers. RESULTS: For physicians, 14.73% (17.96%) of their time in
the unit during the day shift (night shift) was spent in patient rooms, compared
with 40.63% (30.09%) spent in the physician work room; the remaining 44.64%
(51.95%) of their time was spent elsewhere. For nurses, 32.97% (32.85%) of their
time on unit was spent in patient rooms, with an additional 11.34% (11.79%) spent
just outside patient rooms. They spent 11.58% (13.16%) of their time at the
nurses' station and 23.89% (24.34%) elsewhere in the unit. From a patient's
perspective, we found that care times, defined as time with at least one health
care worker of a designated type in their intensive care unit room, were
distributed as follows: 13.11% (9.90%) with physicians, 86.14% (88.15%) with
nurses, and 8.14% (7.52%) with critical support staff (eg, respiratory
therapists, pharmacists). CONCLUSIONS: Physicians, nurses, and critical support
staff spend very little of their time in direct patient contact in an intensive
care unit setting, similar to reported observations in both outpatient and
inpatient settings. Not surprisingly, nurses spend far more time with patients
than physicians. Additionally, physicians spend more than twice as much time in
the physician work room (where electronic medical record review and documentation
occurs) than the time they spend with all of their patients combined.
PMID- 29649459
TI - Breaking Away: Superior Mesenteric Artery Embolus.
PMID- 29649456
TI - Prognostic value of pretreatment plasma D-dimer levels in patients with diffuse
large B cell lymphoma (DLBCL).
AB - BACKGROUND: We assessed the prognostic significance of D-dimer in patients of
diffuse large B cell lymphoma (DLBCL). METHODS: We performed a retrospective
study including 254 patients who were newly diagnosed DLBCL. X-tile was used to
generate a cutoff value for D-dimer. Both univariate screen by Cox proportional
hazard model and multivariable analysis by Cox regression model were used to
assess the impact of pretreatment D-dimer levels on the overall survival (OS).
RESULT: According to X-tile, the optimal cut-off value of D-dimer for prediction
of survival was set as 1.6 MUg/mL, and a D-dimer level >= 1.6 MUg/mL was
significantly associated with poor overall survival (OS) (OS: 31.7 vs. 79.1%, P <
0.001). In multivariable analysis, it was found that a higher D-dimer level was
an independent predictor for worse OS (Hazard ratio (HR): 3.594 95% Confidence
interval (CI): 2.296-5.267, P < 0.001). In subgroup analysis of International
Prognostic Index (IPI), survival of low-risk and intermediate-risk group with a D
dimer level >= 1.6 MUg/mL were both similar to that of the high-risk group (OS:
31.6 vs. 36.5%, P = 0.957; OS: 38.0 vs. 36.5%, P = 0.758). In addition, among
patients treated with surgery, those with higher D-dimer had substantially worse
survival than that with lower D-dimer (OS: 27.0 vs. 84.5%, P < 0.001).
CONCLUSION: Pretreatment D-dimer is a simple but effective predictor of survival
among patients with DLBCL.
PMID- 29649460
TI - Learning to De-Adopt Ineffective Healthcare Practices.
PMID- 29649461
TI - The Simplest Explanation: Pancytopenia.
PMID- 29649462
TI - The Rusty Ring Sign Streamlining Flat Lesion Detection in Subtle Melanosis Coli.
PMID- 29649463
TI - Common Hepatic Duct Stricture Due to Surgical Clip.
PMID- 29649464
TI - Choledochoduodenal Fistula Secondary to Ulcer Disease Presenting With
Gastrointestinal Bleeding.
PMID- 29649465
TI - Therapeutic time window and regulation of autophagy by mild hypothermia after
intracerebral hemorrhage in rats.
AB - Although recent studies have shown that mild hypothermia has neuroprotective
effects on intracerebral hemorrhage (ICH), the therapeutic time window of the
therapy and the role of autophagy as a potential neuroprotective mechanism remain
unclear. This study was aimed to investigate the appropriate time window of mild
hypothermia and the regulation of autophagy during the treatment in a rat model
of autologous blood-injected ICH injury. The rats were divided into Sham,
normothermic (NT) and hypothermic (HT) groups. HT groups received mild
hypothermia (33 degrees C-35 degrees C) for 48 h starting from 3 h (HT3), 6 h
(HT6), and 12 h (HT12) respectively after ICH. The neurological function, brain
edema, blood brain barrier (BBB) permeability and volume of tissue loss were
tested. The expression of metrix metalloproteinase 9 (MMP-9) and tight junction
(TJ) protein including Occludin and Claudin-5 around the hematoma were detected
by Western blot. Moreover, autophagy after ICH was detected by the ratio of LC3B
II/I, and the expression of Beclin-1 and p62, while apoptosis was evaluated by
terminal deoxynucleotidyl transferase-mediated dURP nick end labelling (TUNEL)
staining and expression of Bcl-2, Bim, cleaved Caspase-3. Compared with NT group,
neurological deficit, brain edema and BBB permeability were attenuated in HT6 and
HT12 groups, but not in HT3 group, while volume of tissue loss was reduced only
in HT12 group. The expression of MMP-9 and the degradation of Occludin and
Claudin-5 were suppressed only in HT6 and HT12 groups, especially in the latter
one. Moreover, neuronal autophagy and apoptosis induced by ICH were downregulated
in HT12 group. The results suggested that mild hypothermia initiated at 6 h or 12
h post-injury was neuroprotective in ICH model of rats, especially at 12 h post
injury, via suppression of autophagy upregulated by ICH.
PMID- 29649466
TI - Expression of vesicular glutamate transporters in transient receptor potential
ankyrin 1 (TRPA1)-positive neurons in the rat trigeminal ganglion.
AB - Transient receptor potential ankyrin 1 (TRPA1), a cold receptor in sensory
neurons activated by a variety of stimuli, is implicated in nociception and
mechanotransduction. To help understand the vesicular glutamate transporter
(VGLUT)-mediated glutamate signaling in TRPA1-immunopositive (+) neurons, we
examined the expression of VGLUT1 and VGLUT2 in the TRPA1+ neurons in the male
rat trigeminal ganglion (n = 19) under normal conditions and following
experimental inflammation in the vibrissal pad by light microscopic
immunohistochemistry (n = 11), western blot (n = 8), and quantitative analysis.
One half (50.8%, 250/492) of the TRPA1+ neurons expressed VGLUT2, and a small
fraction (8.3%, 57/683) also expressed VGLUT1. The majority of the VGLUT2
expressing TRPA1+ (VGLUT2+/TRPA1+) neurons coexpressed the markers of peptidergic
and non-peptidergic neurons, CGRP, IB4, and TRPV1 but not the markers of neurons
with myelinated fibers, NF200 and parvalbumin. In contrast, most VGLUT1+/TRPA1+
neurons coexpressed NF200 and parvalbumin but rarely expressed CGRP, IB4, or
TRPV1. Following experimental inflammation, the fraction of VGLUT2+ (experimental
vs. control: 34.7% vs. 22.3%), TRPA1+ (39.3% vs. 25.3%), and VGLUT2+/TRPA1+
(60.7% vs. 49.7%) neurons and the protein levels for TRPA1 and VGLUT2 increased
significantly, compared to control, whereas the fraction of VGLUT1+ and
VGLUT1+/TRPA1+ neurons and the protein level for VGLUT1 remained unchanged. These
findings suggest that both VGLUT1 and VGLUT2 are involved in the glutamate
signaling in TRPA1+ neurons under normal conditions in the male rats, and raise a
possibility that VGLUT2 may play a role in the TRPA1-induced hypersensitivity
following inflammation.
PMID- 29649467
TI - Monosodium glutamate ingestion during the development period reduces aggression
mediated by the vagus nerve in a rat model of attention deficit-hyperactivity
disorder.
AB - We used an umami substance, monosodium glutamate (MSG), as a simple stimulant to
clarify the mechanism of the formation of emotional behavior. A 60 mM MSG
solution was fed to spontaneously hypertensive rats (SHR), used as a model of
attention-deficit hyperactivity disorder, from postnatal day 25 for 5 weeks kept
in isolation. Emotional behaviors (anxiety and aggression) were then assessed by
the open-field test, cylinder test and social interaction test. MSG ingestion
during the developmental period resulted in a significant reduction in aggressive
behavior but had few effects on anxiety-like behavior. Several experiments were
performed to identify the reason for the reduced aggression with MSG intake.
Blood pressure in the MSG-treated SHR was comparable to that of the controls
during development. Argyrophil III staining to detect the very early phase of
neuronal damage revealed no evidence of injury by MSG in aggression-related brain
areas. Assessment of plasma amino acids revealed that glutamate levels remained
constant (~80 MUM) with MSG ingestion, except for a transient increase after
fasting (~700 MUM). However, lactate dehydrogenase assay in an in vitro blood
brain barrier model showed that cell toxicity was not induced by indirect MSG
application even at 700 MUM, confirming that MSG ingestion caused minimal
neuronal damage. Finally, vagotomy at the sub-diaphragmatic level before MSG
ingestion blocked its effect on aggressive behavior in the isolated SHR. The data
suggest that MSG ingestion during the developmental period can reduce aggressive
behavior in an attention deficit-hyperactivity disorder model rat, mediated by
gut-brain interaction.
PMID- 29649468
TI - Probing the Amorphous State of Pharmaceutical Compounds Within Mesoporous
Material Using Pair Distribution Function Analysis.
AB - Pharmaceutical compounds with poor solubility are loaded within mesoporous
materials to understand the effect of mesoscale confinement on their dissolution
behavior. Structural and calorimetric characterization is combined with atomic
pair distribution function analysis probing the interactions between the silica
surface and the loaded amorphous compound. While different degrees of amorphism
are not identifiable from X-ray diffraction data or calorimetric techniques, the
atomic pair distribution function analysis can help identify local ordering of
the drug molecules. Together with a list of drug descriptors such as
crystallization properties, molecular size, and glass transition temperature, the
behavior of encapsulated compounds and their release kinetics may be
rationalized. Dissolution experiments confirm that different release rates can be
achieved with small differences in mesopore design, such as the presence of
micropores in Santa Barbara Amorphous-15 and loading amount.
PMID- 29649470
TI - Factors Influencing the Retention of Organic Solvents in Products Freeze-Dried
From Co-Solvent Systems.
AB - Controlling residual solvent levels is a major concern in pharmaceutical freeze
drying from co-solvent systems. This review provides an overview of the factors
influencing this process and estimates their potential to reduce residual
solvents in freeze-dried products. Decreased solvent contents are potentially
correlated with the lower solid content, complete excipient crystallization,
higher water solubility, and smaller molecular sizes of the solvent. Although no
general rule can be derived for the selection of appropriate freezing conditions,
the freezing stage appears to play a major role in subsequent volatile retention.
In contrast, diverse secondary drying conditions do not appear to impact the
amount of solvent retained in lyophilisates, and modification of this stage is
thus not assumed to be expedient. Co-solvents are strongly entrapped in an
amorphous product matrix as soon as the local moisture content decreases below a
certain level. Thus, the moisture content in the dried product layer adjacent to
the sublimation interface might be a key factor. Therefore, extension of the high
moisture content period during the primary drying phase as well as a
postlyophilization humidification of the dried products are presumably promising
approaches to promote solvent release.
PMID- 29649469
TI - Phosphatidylserine Is Not Just a Cleanup Crew but Also a Well-Meaning Teacher.
AB - Phosphatidylserine (PS) exposure during apoptosis leads to silent clearance of
cells without adverse immune reactions to self-proteins. Given the biological
functions of PS in cellular cleanup and global immunosuppression, we hypothesized
that administration of PS-protein complexes would reduce immunogenicity. Here, we
report that exposing Pompe disease mice to acid alpha glucosidase (rhGAA) with PS
or immunosuppressant dexamethasone resulted in lower anti-rhGAA antibodies than
in animals receiving rhGAA alone. However, upon rechallenge with rhGAA, only PS
rhGAA pre-exposed mice displayed a durable hyporesponsiveness even after PS
administration was ceased. Thus, pre-exposure of antigens administered together
with PS were not silently cleared, but the immune system acquired memory about
the antigen that averted mounting of a response during rechallenge. In hemophilia
A mice, PS hyporesponsiveness toward Factor VIII was reversed by administration
of function-blocking antibody against the PS receptor T-cell immunoglobulin and
mucin 4, implicating this receptor in PS's effect. Moreover, pre-exposure of
myelin oligodendrocyte glycoprotein peptide with PS delayed the onset and reduced
the severity of experimental autoimmune encephalomyelitis. These observations
suggest that PS's function in apoptosis is not limited to silent antigen
clearance without immune responses toward self-proteins but shows that PS reduces
immune response during rechallenge to several antigens that also involves
initiation of antigen tolerance.
PMID- 29649471
TI - Principal coordinate analysis assisted chromatographic analysis of bacterial cell
wall collection: A robust classification approach.
AB - In the present work, Principal coordinate analysis (PCoA) is introduced to
develop a robust model to classify the chromatographic data sets of peptidoglycan
sample. PcoA captures the heterogeneity present in the data sets by using the
dissimilarity matrix as input. Thus, in principle, it can even capture the subtle
differences in the bacterial peptidoglycan composition and can provide a more
robust and fast approach for classifying the bacterial collection and identifying
the novel cell wall targets for further biological and clinical studies. The
utility of the proposed approach is successfully demonstrated by analysing the
two different kind of bacterial collections. The first set comprised of
peptidoglycan sample belonging to different subclasses of Alphaproteobacteria.
Whereas, the second set that is relatively more intricate for the chemometric
analysis consist of different wild type Vibrio Cholerae and its mutants having
subtle differences in their peptidoglycan composition. The present work clearly
proposes a useful approach that can classify the chromatographic data sets of
chromatographic peptidoglycan samples having subtle differences. Furthermore,
present work clearly suggest that PCoA can be a method of choice in any data
analysis workflow.
PMID- 29649472
TI - Accurate RNA 5-methylcytosine site prediction based on heuristic physical
chemical properties reduction and classifier ensemble.
AB - RNA 5-methylcytosine (m5C) is an important post-transcriptional modification that
plays an indispensable role in biological processes. The accurate identification
of m5C sites from primary RNA sequences is especially useful for deeply
understanding the mechanisms and functions of m5C. Due to the difficulty and
expensive costs of identifying m5C sites with wet-lab techniques, developing fast
and accurate machine-learning-based prediction methods is urgently needed. In
this study, we proposed a new m5C site predictor, called M5C-HPCR, by introducing
a novel heuristic nucleotide physicochemical property reduction (HPCR) algorithm
and classifier ensemble. HPCR extracts multiple reducts of physical-chemical
properties for encoding discriminative features, while the classifier ensemble is
applied to integrate multiple base predictors, each of which is trained based on
a separate reduct of the physical-chemical properties obtained from HPCR.
Rigorous jackknife tests on two benchmark datasets demonstrate that M5C-HPCR
outperforms state-of-the-art m5C site predictors, with the highest values of MCC
(0.859) and AUC (0.962). We also implemented the webserver of M5C-HPCR, which is
freely available at http://cslab.just.edu.cn:8080/M5C-HPCR/.
PMID- 29649473
TI - Development of Quenching-qPCR (Q-Q) assay for measuring absolute intracellular
cleavage efficiency of ribozyme.
AB - Ribozyme (Rz) is a very attractive RNA molecule in metabolic engineering and
synthetic biology fields where RNA processing is required as a control unit or
ON/OFF signal for its cleavage reaction. In order to use Rz for such RNA
processing, Rz must have highly active and specific catalytic activity. However,
current methods for assessing the intracellular activity of Rz have limitations
such as difficulty in handling and inaccuracies in the evaluation of correct
cleavage activity. In this paper, we proposed a simple method to accurately
measure the "intracellular cleavage efficiency" of Rz. This method deactivates
unwanted activity of Rz which may consistently occur after cell lysis using DNA
quenching method, and calculates the cleavage efficiency by analyzing the cleaved
fraction of mRNA by Rz from the total amount of mRNA containing Rz via
quantitative real-time PCR (qPCR). The proposed method was applied to measure
"intracellular cleavage efficiency" of sTRSV, a representative Rz, and its
mutant, and their intracellular cleavage efficiencies were calculated as 89% and
93%, respectively.
PMID- 29649474
TI - Mucin and carbon nanotube-based biosensor for detection of glucose in human
plasma.
AB - This work reports an amperometric enzyme-electrode prepared with glucose oxidase,
which have been immobilized by a cross-linking step with glutaraldehyde in a
mixture containing albumin and a novel carbon nanotubes-mucin composite (CNT
muc). The obtained hydrogel matrix was trapped between two polycarbonate
membranes and then fixed at the surface of a Pt working electrode. The developed
biosensor was optimized by evaluating different compositions and the analytical
properties of an enzymatic matrix with CNT-muc. Then, the performance of the
resulting enzymatic matrix was evaluated for direct glucose quantification in
human blood plasma. The novel CNT-muc composite provided a sensitivity of 0.44 +/
0.01 mA M-1 and a response time of 28 +/- 2 s. These values were respectively
20% higher and 40% shorter than those obtained with a sandwich-type biosensor
prepared without CNT. Additionally, CNT-muc based biosensor exhibited more than 3
orders of magnitude of linear dynamic calibration range and a detection limit of
3 MUM. The short-term and long-term stabilities of the biosensors were also
examined and excellent results were obtained through successive experiments
performed within the first 60 days from their preparation. Finally, the storage
stability was remarkable during the first 300 days.
PMID- 29649475
TI - Use of DNA aptamer for sandwich type detection of Listeria monocytogenes.
AB - A single stranded (ss) DNA aptamer, specific to members of Listeria genus, was
used to develop a two-site binding sandwich assay for capture and detection of L.
monocytogenes. Antibody-immobilized immunomagnetic beads were used to capture L.
monocytogenes, followed by their exposure to the aptamer detector. Detection was
achieved by amplification of cell-bound aptamers by qPCR. The lower limit of
detection for the combined assay was 2.5 CFU L. monocytogenes in 500 MUl buffer.
This is juxtaposed to a detection limit of 2.4 log10 CFU in 500 MUl buffer for
immunomagnetic separation coupled with qPCR detection of L. monocytogenes
targeting the hly gene. When applied to turkey deli meat, subjected to 24 h of
non-selective enrichment, the two-site binding sandwich assay showed positive
results at initial inoculum levels of 1-2 log10 CFU per 25 g sample. Because of
its lower limit of detection, the assay reported here could be useful for
detection of L. monocytogenes in foods and environmental samples.
PMID- 29649476
TI - H2A.Z-containing nucleosomes are evicted to activate AtMYB44 transcription in
response to salt stress.
AB - Transcripts of the Arabidopsis transcription factor gene, AtMYB44, accumulate
rapidly to mediate a tolerance mechanism in response to salt stress. The AtMYB44
promoter is activated by salt stress, as illustrated in AtMYB44pro::GUS
transgenic plants. Chromatin immunoprecipitation (ChIP) assays revealed that RNA
polymerases were enriched on the AtMYB44 gene, especially on TSS-proximal
regions, and nucleosome density was markedly reduced in the AtMYB44 gene-body
region in response to salt stress. In addition, H2A.Z occupation was
significantly decreased at the AtMYB44 promoter, transcription start site (TSS),
and gene-body regions. Histone modifications including histone H3 lysine 4
trimethylation (H3K4me3) and histone H3 and H4 acetylation (H3ac and H4ac) were
not affected under the same stress conditions. We found a decrease in the number
of AtMYB44 proteins bound to their own gene promoters in response to salt stress.
These results suggest that salt stress induces the eviction of H2A.Z-containing
nucleosomes from the AtMYB44 promoter region, which may weaken its affinity for
binding AtMYB44 protein that acts as a repressor for AtMYB44 gene transcription
under salt stress-free conditions.
PMID- 29649477
TI - Involvement of prostatic interstitial cells of Cajal in inflammatory cytokines
elicited catecholamines production: Implications for the pathophysiology of
chronic prostatitis/chronic pelvic pain syndrome (CP/CPPS).
AB - In a previous work using guinea pig prostate, we have identified a novel
interstitial cells of Cajal (ICCs) which possess close contacts between
sympathetic nerve bundles and smooth muscle cells. The ability of prostatic ICCs
in mediating excitatory neural inputs was therefore studied using isolated murine
prostate ICCs by collagenase digestion combined with FACS method. RT-PCR and
Western blotting analyses revealed that prostatic ICCs under a quiescent state
expressed abundantly the rate-limiting enzymes essential for catecholamine
synthesis. Moreover, distinct proinflammatory cytokines (e.g. IL-1beta, IL-8,
ICAM-1 and TNF-alpha) could significantly stimulate the expression levels of the
rate-limiting enzymes of catecholamine production in prostate ICCs.
Mechanistically, the above-mentioned stimulatory effects of proinflammatory
cytokines appeared to be mediated via activation of NF-kappaB, HIF-1alpha and
HDACs signaling pathways. Considering that prostatic catecholamine overactivity
serves as an essential etiology of pelvic pain by indirectly stimulating the
smooth muscle cell proliferation, or by directly causing muscular spasm, our
results collectively suggest that targeting the NF-kappaB, HIF-1alpha and HDACs
pathways in prostate ICCs be considered as a new strategy for treatment of
chronic pelvic pain syndrome (CPPS) induced by chronic prostatitis (CP). Overall,
the current study should shed novel light on the biology of this unique prostate
ICCs.
PMID- 29649478
TI - Autophagy alteration prevents primary cilium disassembly in RPE1 cells.
AB - Primary cilium is a microtubule structure that emanates from the surface of most
human cells. Primary cilia assemble during the resting stage (G0 phase) and
disassemble with cell cycle progression. Defects associated with the control of
the assembly or disassembly of the primary cilium have been implicated in various
human diseases, including ciliopathy and cancer. Although studies have suggested
the interplay between activation of autophagy and ciliogenesis, any direct
mechanism between autophagy abatement and disassembly of primary cilium remains
elusive. In this study, we found that the gradual abatement in autophagy during
serum-restimulation was a dynamic process and significantly correlated with the
disassembly of primary cilium in human retinal pigmented epithelial (RPE1) cells.
Although autophagy activity was gradually decreased during serum-restimulation,
the alteration in autophagy under the same condition prevented the disassembly of
the primary cilium. Autophagy inhibitors such as chloroquine, U18666A and 3
methyladenine (3-MA) retained both the number of ciliated cells and cilium
length. In contrast, rapamycin treatment during serum-restimulation maintained
the number of ciliated cells with shortened cilia. Taken together, alteration in
autophagy during serum-restimulation prevent the disassembly of the primary
cilium, and autophagy modulators may serve as useful compounds for studying
mechanistic details related to the disassembly of the primary cilium and
ciliopathy.
PMID- 29649479
TI - A spherical aberration-free microscopy system for live brain imaging.
AB - The high-resolution in vivo imaging of mouse brain for quantitative analysis of
fine structures, such as dendritic spines, requires objectives with high
numerical apertures (NAs) and long working distances (WDs). However, this imaging
approach is often hampered by spherical aberration (SA) that results from the
mismatch of refractive indices in the optical path and becomes more severe with
increasing depth of target from the brain surface. Whereas a revolving objective
correction collar has been designed to compensate SA, its adjustment requires
manual operation and is inevitably accompanied by considerable focal shift,
making it difficult to acquire the best image of a given fluorescent object. To
solve the problems, we have created an objective-attached device and formulated a
fast iterative algorithm for the realization of an automatic SA compensation
system. The device coordinates the collar rotation and the Z-position of an
objective, enabling correction collar adjustment while stably focusing on a
target. The algorithm provides the best adjustment on the basis of the calculated
contrast of acquired images. Together, they enable the system to compensate SA at
a given depth. As proof of concept, we applied the SA compensation system to in
vivo two-photon imaging with a 25 * water-immersion objective (NA, 1.05; WD, 2
mm). It effectively reduced SA regardless of location, allowing quantitative and
reproducible analysis of fine structures of YFP-labeled neurons in the mouse
cerebral cortical layers. Interestingly, although the cortical structure was
optically heterogeneous along the z-axis, the refractive index of each layer
could be assessed on the basis of the compensation degree. It was also possible
to make fully corrected three-dimensional reconstructions of YFP-labeled neurons
in live brain samples. Our SA compensation system, called Deep-C, is expected to
bring out the best in all correction-collar-equipped objectives for imaging deep
regions of heterogeneous tissues.
PMID- 29649480
TI - High glucose downregulates the effects of autophagy on osteoclastogenesis via the
AMPK/mTOR/ULK1 pathway.
AB - Diabetes is a chronic disease that disrupts the balance between bone formation
and bone desorption, which can lead to osteoporosis, increasing the risk of
fracture. However, compared with osteoblasts, the biological effects of
hyperglycemia on osteoclastogenesis remain to be elucidated. Therefore, we
investigated the impact of glucose at different concentrations (5.5, 10.5, 15.5,
20.5, 25.5, and 30.5 mM) on osteoclastogenesis using RAW264.7 cells. Cell
proliferation was measured with the cell counting kit-8 assay, and
osteoclastogenesis was detected with tartrate-resistant acid phosphatase staining
and bone resorption assays, as well as protein cathepsin K expression. Compound
C, the AMP-activated protein kinase (AMPK) pathway inhibitor, was used to examine
the relationship between the AMPK/mTOR/ULK1 signaling pathway and autophagy in
osteoclasts. Autophagy was evaluated with transmission electron microscopy and
immunofluorescence microscopy and associated proteins were detected with western
blotting. The pharmacological autophagic reagents bafilomycin A1, 3
methyladenine, and rapamycin were used to determine the effect of autophagy on
osteoclastogenesis. Our results showed that glucose negatively affected
osteoclast formation and function but did not affect the proliferation of
RAW264.7 cells. Suppression of the AMPK/mTOR/ULK1 signaling axis decreased
autophagy in glucose-mediated osteoclast. Furthermore, High levels of glucose
decreased autophagy level in osteoclasts. Additionally, interfering with
autophagy affected osteoclast formation and function. These findings clarify the
mechanisms underlying the effects of glucose-mediated osteoclastogenesis and will
help identify novel therapeutic strategies for the protection of skeletal health
in diabetic osteoporosis.
PMID- 29649482
TI - Phylogeny and evolution of the cholesterol transporter NPC1 in insects.
AB - Sterols are essential nutrients for eukaryotes. Insects are obligate sterol
auxotrophs and must acquire this key nutrient from their diets. The digestive
tract is the organ for absorbing nutrients as well as sterols from food. In mice,
the Niemann-Pick type C1 Like 1 (NPC1L1) gene is highly expressed in the
intestine and is critical for cholesterol absorption. In contrast, the molecular
mechanisms for the absorption of dietary sterols in insects have not been well
studied. We annotated NPC1 genes in 39 insects from 10 orders using available
genomic and transcriptomic information and inferred phylogenetic relationships.
Insect NPC1 genes were grouped into two sister-clades, NPC1a and NPC1b,
suggesting a likely duplication in the ancestor of insects. The former exhibited
weaker gut-biased expression or a complete lack of tissue-biased expression,
depending on the species, while the latter was highly enriched in the gut of
three lepidopteran species. This result is similar to previous findings in
Drosophila melanogaster. In insects, NPC1a accumulated non-synonymous
substitutions at a lower rate than NPC1b. This pattern was consistent across
orders, indicating that NPC1a evolved under stronger molecular constraint than
NPC1b.
PMID- 29649481
TI - Role of IkappaB kinase beta in regulating the remodeling of the CARMA1-Bcl10
MALT1 complex.
AB - The current work investigates the notion that inducible clustering of signaling
mediators of the IKK pathway is important for platelet activation. Thus, while
the CARMA1, Bcl10, and MALT1 (CBM) complex is essential for triggering IKK/NF
kappaB activation upon platelet stimulation, the signals that elicit its
formation and downstream effector activation remain elusive. We demonstrate
herein that IKKbeta is involved in membrane fusion, and serves as a critical
protein kinase required for initial formation and the regulation of the
CARMA1/MALT1/Bcl10/CBM complex in platelets. We also show that IKKbeta regulates
these processes via modulation of phosphorylation of Bcl10 and IKKgamma
polyubiquitination. Collectively, our data demonstrate that IKKbeta regulates
membrane fusion and the remodeling of the CBM complex formation.
PMID- 29649483
TI - Redefining reproductive dormancy in Drosophila as a general stress response to
cold temperatures.
AB - Organisms regularly encounter unfavorable conditions and the genetic adaptations
facilitating survival have been of long-standing interest to evolutionary
biologists. Winter is one particularly stressful condition for insects, during
which they encounter low temperatures and scarcity of food. Despite dormancy
being a well-studied adaptation to facilitate overwintering, there is still
considerable controversy about the distribution of dormancy among natural
populations and between species in Drosophila. The current definition of dormancy
as developmental arrest of oogenesis at the previtellogenic stage (stage 7)
distinguishes dormancy from general stress related block of oogenesis at early
vitellogenic stages (stages 8 - 9). In an attempt to resolve this, we scrutinized
reproductive dormancy in D. melanogaster and D. simulans. We show that dormancy
shows the same hallmarks of arrest of oogenesis at stage 9, as described for
other stressors and propose a new classification for dormancy. Applying this
modified classification, we show that both species express dormancy in
cosmopolitan and African populations, further supporting that dormancy uses an
ancestral pathway induced by environmental stress. While we found significant
differences between individuals and the two Drosophila species in their
sensitivity to cold temperature stress, we also noted that extreme temperature
stress (8 degrees C) resulted in very strong dormancy incidence, which strongly
reduced the differences seen at less extreme temperatures. We conclude that
dormancy in Drosophila should not be considered a special trait, but is better
understood as a generic stress response occurring at low temperatures.
PMID- 29649484
TI - Vision and genesis of survival strategies in tsetse flies: A laboratory study.
AB - Organisms respond to environmental stimuli in ways that optimize survival and
reproduction. Tsetse fly life-history is characterized by high investment in
progeny by the pregnant female and low birth rate. This places constraints on
tsetse populations across the sub-Saharan biotopes they colonize where extreme
climatic conditions militate against survival. Controlling metabolic rate is
crucial in biotopes where daily swings in temperature can exceed 20 degrees C.
Tsetse acquire their nutrient requirements from the blood meal. These diurnal
flies are otherwise confined for most of their lives to perching sites in the
shade. At these locations they are simultaneously threatened by vertebrate and
invertebrate predators. Here we describe behaviours of the East African tsetse
Glossina pallidipes Austen (Diptera: Glossinidae) that permit it to reduce risk
daily. Newly-emerged flies remain immobile at emergence in the photophase but
scotophase-emerging flies walk away within seconds to climb (negative geotaxis)
vertical substrates to find a perch off the ground. Flies of all ages show the
ability to fly in almost total darkness (1.10-5 lux) in the scotophase to perch
on the upper side of horizontally suspended 1 cm diameter bars, simulating
branches of vegetation, but perch under the same bars during the photophase. This
underlines the predilection of tsetse for objects with a linear aspect that
provide a vantage point and shade. Mature G. pallidipes can discriminate between
horizontally suspended bars of different diameter and shape. Flicker fusion
frequency values established by optomotor and retinogram recordings reveal a
higher visual acuity in mature compared to newly-emerged tsetse.
PMID- 29649486
TI - Identification of the two new, functional actinoporins, CJTOX I and CJTOX II,
from the deep-sea anemone Cribrinopsis japonica.
AB - Actinoporins are pore-forming proteins found in sea anemones. Although we now
have a large collection of data on actinoporins, our knowledge is based heavily
on those identified in shallow-water anemones. Because the deep sea differs
considerably from shallow waters in hydrostatic pressures, temperatures, and the
prey composition, the deep-sea actinoporin may have evolved in unique ways. This
study, therefore, aimed to obtain new actinoporins in the deep-sea anemone
Cribrinopis japonica (Actiniaria, Actiniidae). An actinoporin-like sequence was
identified from the previously established C. japonica RNA-Seq database, and the
complete length (663 bp) of the deep-sea actinoporin gene, Cjtox I, was obtained.
In addition, a similar gene, Cjtox II (666 bp), was also identified from RNA of
actinopharynx. CJTOX I and CJTOX II were similar in their primary structures, but
CJTOX I lacked one residue in the middle of the protein. There was also a
difference in the gene expression in live animals, where only Cjtox I was
expressed in tentacles of C. japonica. In the heterologous expression where BL21
(DE3) strain was retransformed with the plasmid containing either Cjtox I or
Cjtox II gene, the supernatants of both cell lysates showed hemolytic activity on
the equine erythrocytes. Preincubation of the supernatants with sphingomyelin
caused reduced activity, implying that the CJTOX I and II would target
sphingomyelin as with other actinoporins. Because of the structures similarity to
the known actinoporins and the sphingomyelin-inhibitable hemolytic activity, both
CJTOX I and II were concluded to be new actinoporins, which were identified for
the first time from a deep-sea anemone.
PMID- 29649485
TI - Occult globe rupture: diagnostic and treatment challenge.
AB - Occult globe rupture is a traumatic dehiscence of the sclera at or posterior to
the rectus muscle insertions without a visible eye wall defect on slit lamp
examination. Occult scleral ruptures are important because they can be difficult
to diagnose, but normally require preoperative protection against external
pressure to reduce risk of herniation of ocular contents through the rupture and
then urgent surgical repair to restore eye wall structural integrity and achieve
optimum prognosis. A deeper-than-normal anterior chamber with posteriorly
retracted plateau iris seen immediately after acute ocular trauma is virtually
pathognomonic of posterior globe dehiscence. Three additional less specific signs
are helpful: extensive chemosis that is often hemorrhagic, relative hypotony, and
vitreous hemorrhage. Although the diagnosis is normally clinical, made by history
of direct severe ocular trauma and careful anterior-segment slit lamp
examination, computed tomography and ultrasonography can be helpful when thorough
slit lamp examination is not possible. Strong suspicion of occult rupture should
engender surgical exploration. Vitreous hemorrhage, vitreous or retinal
incarceration, and retinal tears or detachment may necessitate subsequent pars
plana vitrectomy or other vitreoretinal surgery. When pars plana vitrectomy is
indicated, special precautions are suggested if watertight closure of the globe
rupture has not been possible.
PMID- 29649487
TI - Expression patterns and role of PTEN in rat peripheral nerve development and
injury.
AB - Studies have suggested that phosphatase and tensin homolog (PTEN) plays an
important role in neuroprotection and neuronal regeneration. To better understand
the potential role of PTEN with respect to peripheral nerve development and
injury, we investigated the expression pattern of PTEN at different stages of rat
peripheral nerve development and injury and subsequently assessed the effect of
pharmacological inhibition of PTEN using bpV(pic) on axonal regeneration in a rat
sciatic nerve crush injury model. During the early stages of development, PTEN
exhibits low expression in neuronal cell bodies and axons. From embryonic day (E)
18.5 and postnatal day (P)5 to adult, PTEN protein becomes more detectable, with
high expression in the dorsal root ganglia (DRG) and axons. PTEN expression is
inhibited in peripheral nerves, preceding myelination during neuronal development
and remyelination after acute nerve injury. Low PTEN expression after nerve
injury promotes Akt/mammalian target of rapamycin (mTOR) signaling pathway
activity. In vivo pharmacological inhibition of PTEN using bpV(pic) promoted
axonal regrowth, increased the number of myelinated nerve fibers, improved
locomotive recovery and enhanced the amplitude response and nerve conduction
velocity following stimulation in a rat sciatic nerve crush injury model. Thus,
we suggest that PTEN may play potential roles in peripheral nerve development and
regeneration and that inhibition of PTEN expression is beneficial for nerve
regeneration and functional recovery after peripheral nerve injury.
PMID- 29649488
TI - Proteomics based identification of differential plasma proteins and changes in
white matter integrity as markers in early detection of mild cognitive impaired
subjects at high risk of Alzheimer's disease.
AB - Mild cognitive impairment (MCI) is an intermediate stage of cognitive decline and
dementia. The absence of specific diagnostic test for identification of MCI and
AD. The current study aims to find proteomics based change in plasma proteins and
diffusion tensor imaging (DTI) based white matter changes in MCI for early
detection of prodromal Alzheimer's disease. Fifty cases of mild cognitive
impairment and age matched control between (55-75 yrs) were screened on basis of
Mini Mental State Examination (MMSE). Two dimensional gel electrophoresis and DTI
imaging was performed in MCI and age matched control. The MMSE score of MCI were
in the range of (28 +/- 2-22.6 +/- 1) as compared with healthy control (28 +/-
2), DTI metrics apparent diffusion coefficient (ADC) and fractional Anisotropy
(FA) has shown significant changes in fornix, corpus callosum, hippocampus, right
temporal and right frontal lobe, left frontal lobe, forcep major of MCI subjects
as compared with controls. The protein expression of keratin type-2 was up
regulated and albumin was down regulated in MCI subjects as compared with
control. The data from present study signifies that expression of Keratin type-2
and albumin along with white matter changes provides early signatures for
identification of MCI at high risk of Alzheimer's disease.
PMID- 29649489
TI - Albumin levels and cause-specific mortality in community-dwelling older adults.
AB - To investigate the association between serum albumin levels and cause-specific
mortality among community-dwelling older adults. This cohort study was based on
data obtained from the government-sponsored Annual Geriatric Health Examination
Program for the older adults in Taipei City between 2006 and 2010. The study
sample consisted of 77,531 community-dwelling Taipei citizens (>=65 years old).
Mortality was determined by matching the participants' medical records with
national death files. Serum albumin levels were categorized into <3.6, 3.6-3.7,
3.8-3.9, 4.0-4.1, 4.2-4.3, and >=4.4 g/dL. Cox proportional hazards regression
models were used to evaluate the association between albumin levels and cause
specific mortality. Spline regression was used to calculate the risk of mortality
associated with albumin levels, modeled as continuous variables. Community
dwelling older adults had a mean albumin level of 4.3 g/dL, which significantly
reduced by age. Compared to albumin levels >=4.4 g/dL, mildly low albumin levels
(4.2-4.3 g/dL) were associated with an increased mortality risk (hazard ratio
[HR]: 1.16, 95% confidence interval [CI]: 1.05-1.28 for all-cause mortality), and
albumin levels <4.2 g/dL were associated with significantly higher rates of all
cause, cancer, cardiovascular, and respiratory mortalities. In the spline
regression, the curve of mortality risk was relatively flat at an albumin level
>=4.4 g/dL, and the mortality risk gradually increased as the albumin level
declined. Albumin levels >=4.4 g/dL were associated with better survival among
community-dwelling older adults, and mortality risk increased as the albumin
level decreased.
PMID- 29649491
TI - Toxicological characteristics of edible insects in China: A historical review.
AB - Edible insects are ideal food sources, which contain important nutrients and
health-promoting compounds. With a rapid development of industrial insect
farming, insect-derived food is a novel and emerging food industry. Edible
insects have been traditionally consumed in various communities, while
continuously gaining relevance in today's society; however, they currently remain
underutilized. Although there are a large number of literature on edible insects,
these literature primarily focus on the nutritional value edible insects. The
toxicity assessment data of edible insects remain incomprehensive, especially for
the new national standard that is currently in effect; and many data and
conclusions are not accurately specified/reported. Therefore, we performed a
literature review and summarized the data on the toxicological assessment of
edible insects in China. The review first describes the research progress on
safety toxicological assessment, and then offers references regarding the
development of 34 edible insect species in China. These data can be a platform
for the development of future toxicological assessment strategies, which can be
carried out by a multidisciplinary team, possibly consisting of food engineers,
agronomists, farmers, and so on, to improve the acceptability of edible insects.
PMID- 29649490
TI - Aggregate exposure to common fragrance compounds: Comparison of the contribution
of essential oils and cosmetics using probabilistic methods and the example of
limonene.
AB - The knowledge of aggregate exposure to different types of products is paramount
in the risk assessment. The aim of this study was to compare the relative
contribution of essential oils compared to cosmetics on the daily dermal exposure
to limonene, an ubiquitous fragrance compound that can be an allergen depending
on its degree of oxidation. Aggregate daily exposure to limonene was calculated
among a panel of French volunteers using both essential oils and cosmetics, for 4
different specific zones, i.e. face and neck, chest, upper limbs and lower limbs.
Calculations were made using a probabilistic Monte Carlo method and sensitivity
analysis. The main strength of this work was the inclusion of essential oils in
addition to cosmetics in the model. For the first time, the generated data could
be used to compare the contribution of these two products in dermal exposure.
Essential oils appear to be significant contributors to exposure to limonene
particularly for the face. This work is a first step that will permit to
determine the exposure to other fragrance compounds with sensitizing potential.
These data will be useful for risk managers to consider the inclusion of
essential oils in the overall burden of this pathology.
PMID- 29649492
TI - A cytoskeletal activator and inhibitor are downstream targets of the
frizzled/starry night planar cell polarity pathway in the Drosophila epidermis.
AB - The frizzled pathway regulates the planar polarity of epithelial cells. In
insects this is manifested by the polarity of cuticular structures such as hairs
(trichomes) and sensory bristles. A variety of evidence has established that this
is achieved by regulating the subcellular location for activating the
cytoskeleton in the epithelial cells. How this is accomplished is still poorly
understood. In the best-studied tissue, the Drosophila pupal wing two important
cytoskeletal regulators have been identified. One, shavenoid (sha), appears to be
an activator while the second multiple wing hairs (mwh), appears to be an
inhibitor. In vitro biochemistry has confirmed that the Multiple Wing Hairs
protein inhibits the elongation of F-actin chains and surprisingly that it also
bundles F-actin. These two activities can explain the multifaceted mwh mutant
phenotype.
PMID- 29649493
TI - Inonotolides A-C, isopimarane diterpenoid lactones from Inonotus sinensis.
AB - Three new isopimarane diterpenoid lactones, named inonotolides A-C (1-3), were
isolated from cultures of the basidiomycete Inonotus sinensis. Their structures
were elucidated on the basis of extensive spectroscopic studies and the structure
of inonotolide A (1) was confirmed by single-crystal X-ray crystallographic
analysis. All compounds were evaluated for their cytotoxicities against five
human cancer cell lines.
PMID- 29649494
TI - Cardenolides from the stem bark of Salacia staudtiana.
AB - Seven new cardenolides, staudtianoside A-F (1-6) and staudtianogenin A (8), were
isolated along with six known compounds from the stem bark of the Cameroonian
medicinal plant Salacia staudtiana Loes. ex Fritsch. The structures were
elucidated by means of ESI-HRMS and NMR spectroscopic methods and by comparison
with literature data. The relative configurations of the new compounds were
determined by X-ray diffraction analysis, NOESY correlation and coupling
constants. We evaluated the antibacterial efficacy of the isolated compounds
against two commonly dispersed environmental strains of Escherichia coli and
Bacillus subtilis, as well as against two human pathogenic clinical strains of
Staphylococcus aureus and Pseudomonas aeruginosa. Compounds 1, 2 and 8 exhibited
marked antibacterial potencies against the clinically relevant P. aeruginosa that
were comparable to the standard antibiotics. Compound 2 was also active against
S. aureus and E. coli. Further, compounds 5 and 8 demonstrated efficacy against
E. coli as well as B. subtilis. The structure-activity relationship of the tested
compounds is discussed.
PMID- 29649496
TI - The evolution of nucleoside analogue antivirals: A review for chemists and non
chemists. Part 1: Early structural modifications to the nucleoside scaffold.
AB - This is the first of two invited articles reviewing the development of nucleoside
analogue antiviral drugs, written for a target audience of virologists and other
non-chemists, as well as chemists who may not be familiar with the field. Rather
than providing a simple chronological account, we have examined and attempted to
explain the thought processes, advances in synthetic chemistry and lessons
learned from antiviral testing that led to a few molecules being moved forward to
eventual approval for human therapies, while others were discarded. The present
paper focuses on early, relatively simplistic changes made to the nucleoside
scaffold, beginning with modifications of the nucleoside sugars of Ara-C and
other arabinose-derived nucleoside analogues in the 1960's. A future paper will
review more recent developments, focusing especially on more complex
modifications, particularly those involving multiple changes to the nucleoside
scaffold. We hope that these articles will help virologists and others outside
the field of medicinal chemistry to understand why certain drugs were
successfully developed, while the majority of candidate compounds encountered
barriers due to low-yielding synthetic routes, toxicity or other problems that
led to their abandonment.
PMID- 29649495
TI - A Guinea pig cytomegalovirus resistant to the DNA maturation inhibitor BDCRB.
AB - Herpesvirus DNA packaging is an essential step in virion morphogenesis and an
important target for antiviral development. The halogenated benzimidazole 2-bromo
5,6-dichloro-1-beta-d-ribofuranosyl-1H-benzimidazole (BDCRB) was the first
compound found to selectively disrupt DNA packaging. It has activity against
human cytomegalovirus as well as guinea pig cytomegalovirus. The latter provides
a useful small animal model for congenital cytomegalovirus infection. To better
understand the mechanism by which BDCRB acts, a guinea pig cytomegalovirus
resistant to BDCRB was derived and characterized. An L406P substitution occurred
within GP89, a subunit of the complex that cleaves and packages DNA, but transfer
of this mutation to an otherwise wild type genetic background did not confer
significant BDCRB resistance. The resistant virus also had a 13.4-kb deletion
that also appeared to be unrelated to BDCRB-resistance as a virus with a similar
spontaneous deletion was sensitive to BDCRB. Lastly, the BDCRB-resistant virus
exhibited a dramatic increase in the number of reiterated terminal repeats at
both genomic termini. The mechanism that underlies this change in genome
structure is not known but may relate to the duplication of terminal repeats that
is associated with DNA cleavage and packaging. A model is presented in which
BDCRB impairs the ability of terminase to recognize cleavage site sequences, but
repeat arrays overcome this impairment by presenting terminase with multiple
opportunities to recognize the correct cleavage site sequences that lie within
the repeats. Further elucidation of this phenomenon should prove valuable for
understanding the molecular basis of herpesvirus DNA maturation and the mechanism
of action of this class of drugs.
PMID- 29649497
TI - Chrystile asbestos cement and the Grenfell Tower fire.
AB - On 14 June 2017, a fire broke out at Grenfell Tower: a residential block in North
Kensington, London, UK. Soon the whole tower was ablaze and it took firefighters
over 24 h to get the fire under control. Some 71 people were confirmed or
presumed dead and around 70 injured. Among the many reasons given for the blaze
none discuss why a Tower Block, constructed in 1974, from materials whose fire
safety had been checked was recently refurbished with materials considered by
many to be flammable. The frame of the tower had curtain walls, originally
finished with 6 infill panels constructed from 4 glass windows and two 3 ply
composite panels constructed with chrysotile cement. These panels had been fire
tested and all passed the stringent 1 h fire requirements for insulation and
spread of flame. They were used on many high rise buildings throughout the UK.
Additional fire safety in the block was provided by AIB lined fire doors. After
the UK/EU ban on chrysotile in 1999 the Labour Government enforced the removal of
all such panels and the fire doors claiming they were a threat to life from the
asbestos they contained. The tower was refurbished in 2015-2016 when the AIB fire
doors were replaced by timber doors and the asbestos cement panels were replaced
with a new cladding. This was almost certainly the cause of the fire. Had the
original asbestos cement panels remained in place the disastrous fire could not
have developed.
PMID- 29649499
TI - The spinal ependymal zone as a source of endogenous repair cells across
vertebrates.
AB - Spinal cord injury results in the loss of neurons and axonal connections. In
mammals, including humans, this loss is permanent, but is repaired in other
vertebrates, such as salamanders and fishes. Cells in the ependymal niche play a
pivotal role for the outcome after injury. These cells initiate proliferation and
generate new neurons of different types in regenerating species, but only glial
cells, contributing to the glial scar, in mammals. Here we compare the cellular
and molecular properties of ependymal zone cells and their environment across
vertebrate classes. We point out communalities and differences between
vertebrates capable of neuronal regeneration and those that are not. Comparisons
like these may ultimately lead to the identification of factors that tip the
balance for ependymal zone cells in mammals to produce appropriate neural cells
for endogenous repair after spinal cord injury.
PMID- 29649498
TI - The wakefulness promoting drug Modafinil causes adenosine receptor-mediated
upregulation of receptor activator of nuclear factor kappaB ligand in
osteoblasts: Negative impact of the drug on peak bone accrual in rats.
AB - Modafinil is primarily prescribed for treatment of narcolepsy and other sleep
associated disorders. However, its off-prescription use as a cognition enhancer
increased considerably, specially among youths. Given its increasing use in young
adults the effect of modafinil on peak bone accrual is an important issue but has
never been investigated. Modafinil treatment to young male rats caused trabecular
and cortical bone loss in tibia and femur, and reduction in biomechanical
strength. Co-treatment of modafinil with alendronate (a drug that suppresses bone
resorption) reversed the trabecular bone loss but failed to prevent cortical
loss. Modafinil increased serum type 1 pro-collagen N-terminal protein (P1NP) and
collagen type 1 cross-linked C-telopeptide (CTX-1) indicating a high turnover
bone loss. The drug also increased receptor activator of nuclear factor kappaB
ligand (RANKL) to osteoprotegerin (OPG) ratio in serum which likely resulted in
increased osteoclast number per bone surface. Furthermore, conditioned medium
from modafinil treated osteoblasts increased the expression of osteoclastogenic
genes in bone marrow-derived macrophages and the effect was blocked by RANKL
neutralizing antibody. In primary osteoblasts, modafinil stimulated cAMP
production and using pharmacological approach, we showed that modafinil signalled
via adenosine receptors (A2AR and A2BR) which resulted in increased RANKL
expression. ZM-241,385 (an A2AR inhibitor) and MRS 1754 (an A2BR inhibitor)
suppressed modafinil-induced upregulation of RANKL/OPG ratio in the calvarium of
new born rat pups. Our data suggests that by activating osteoblast adenosine
receptors modafinil increases the production of osteoclastogenic cytokine, RANKL
that in turn results in high turnover bone loss in young rats.
PMID- 29649500
TI - Cancer development and therapy resistance: spotlights on the dark side of the
genome.
AB - Cancer research has been focusing so far on genetic alterations in protein-coding
genes. However, mounting evidence underlines the importance of epigenetic and
post-transcriptional events in cancer progression and therapy resistance.
Moreover, recent genome-wide studies show that disease-causing mutations and
chromosome rearrangements often span areas of the genome that do not contain any
known protein-coding gene. This finding is not surprising, considering that even
though the vast majority of the human genome is transcribed, only a minor portion
(accounting for less than 2%) encodes for proteins. Among the various classes of
transcribed RNAs, long non-coding RNAs are attractive biomarkers and therapeutic
targets due to their disease- and stage-restricted expression. In addition, by
taking part in all the major epigenetic and post-transcriptional programs in the
cell, long non-coding RNAs are emerging as key regulators of stress responses and
therefore they are important non-genetic players in cancer development and
progression. Here I discuss the role of lncRNAs in cancer and their promises and
pitfalls as biomarkers and therapeutic targets.
PMID- 29649501
TI - Exposure to endocrine disruptors 17alpha-ethinylestradiol and estradiol
influences cytochrome P450 1A1-mediated genotoxicity of benzo[a]pyrene and
expression of this enzyme in rats.
AB - Endocrine disruptors (EDs) are compounds that interfere with the balance of the
endocrine system by mimicking or antagonising the effects of endogenous hormones,
by altering the synthesis and metabolism of natural hormones, or by modifying
hormone receptor levels. The synthetic estrogen 17alpha-ethinylestradiol (EE2)
and the environmental carcinogen benzo[a]pyrene (BaP) are exogenous EDs whereas
the estrogenic hormone 17beta-estradiol is a natural endogenous ED. Although the
biological effects of these individual EDs have partially been studied
previously, their toxicity when acting in combination has not yet been
investigated. Here we treated Wistar rats with BaP, EE2 and estradiol alone or in
combination and studied the influence of EE2 and estradiol on: (i) the expression
of cytochrome P450 (CYP) 1A1 and 1B1 in rat liver on the transcriptional and
translational levels; (ii) the inducibility of these CYP enzymes by BaP in this
rat organ; (iii) the formation of BaP-DNA adducts in rat liver in vivo; and (iv)
the generation of BaP-induced DNA adducts after activation of BaP with hepatic
microsomes of rats exposed to BaP, EE2 and estradiol and with recombinant rat
CYP1A1 in vitro. BaP acted as a strong and moderate inducer of CYP1A1 and 1B1 in
rat liver, respectively, whereas EE2 or estradiol alone had no effect on the
expression of these enzymes. However, when EE2 was administered to rats together
with BaP, it significantly decreased the potency of BaP to induce CYP1A1 and 1B1
gene expression. For EE2, but not estradiol, this also correlated with a
reduction of BaP-induced CYP1A1 enzyme activity in rat hepatic microsomes.
Further, while EE2 and estradiol did not form covalent adducts with DNA, they
affected BaP-derived DNA adduct formations in vivo and in vitro. The observed
decrease in BaP-DNA adduct levels in rat liver in vivo resulted from the
inhibition of CYP1A1-mediated BaP bioactivation by EE2 and estradiol. Our results
indicate that BaP genotoxicity mediated through its activation by CYP1A1 in rats
in vivo is modulated by estradiol and its synthetic derivative EE2.
PMID- 29649502
TI - A behavioral mechanistic investigation of the role of 5-HT1A receptors in the
mediation of rat maternal behavior.
AB - Previous work suggests that 5-HT1A receptors play a special role in rodent
maternal aggression, but not in other aspects of maternal care (e.g. pup
retrieval and nest building). The present study re-assessed the basic effects of
5-HT1A activation or blockade on various maternal responses in postpartum female
rats. We also examined the possible behavioral mechanisms underlying the maternal
effects of 5-HT1A. Sprague-Dawley mother rats were injected with a 5-HT1A agonist
8-OH-DPAT (0.1, 0.5 or 1.0 mg/kg, sc), a 5-HT1A antagonist WAY-101405 (0.1, 0.5
or 1.0 mg/kg, sc) or 0.9% saline solution on postpartum days 3, 5, and 7.
Maternal behavior was tested 30 min before, 30 min, 120 min, and 240 min after
the injection. Acute and repeated 8-OH-DPAT treatment significantly disrupted pup
retrieval, pup licking, nursing, and nest building in a dose-dependent fashion,
whereas WAY-101405 had no effect at the tested doses. The 5-HT1A receptor
specificity of 8-OH-DPAT's action was confirmed as its maternal disruption effect
was reversed by pretreatment of WAY-100635 (a highly selective 5-HT1A receptor
antagonist). Subsequent pup preference test found that 8-OH-DPAT did not decrease
the pup preference over a novel object, thus no inhibition on maternal motivation
or maternal affect. The pup separation test and pup retrieval on an elevated plus
maze test also failed to find any motivational and motor impairment effect with 8
OH-DPAT. However, 8-OH-DPAT at the maternal disruptive dose did disrupt the
prepulse inhibition (a measure of attentional function) of acoustic startle
response and enhanced the basal startle response. These findings suggest that
stimulation of 5-HT1A receptors by 8-OH-DPAT impairs maternal care by partially
interfering with the attentional processing or basal anxiety. More work is needed
to further delineate the psychological and neuronal mechanisms underlying the
maternal disruptive effect of 5-HT1A receptor activation.
PMID- 29649503
TI - Modulating fluid intelligence performance through combined cognitive training and
brain stimulation.
AB - It is debated whether cognitive training of specific executive functions leads to
far transfer effects, such as improvements in fluid intelligence (Gf). Within
this context, transcranial direct current stimulation and recently also novel
protocols such as transcranial random noise and alternating current stimulation
are being investigated with regards to their ability to enhance cognitive
training outcomes. We compared the effects of four different transcranial
electrical brain stimulation protocols in combination with nine daily
computerized training sessions on Gf. 82 participants were randomly assigned to
receive transcranial direct current stimulation (tDCS), random noise stimulation
(tRNS), multifocal alternating current stimulation at 40 Hz (mftACS), or
multifocal tDCS (mftDCS) in combination with an adaptive and synergistic
executive function (EF) training, or to a no-contact control group. EF training
consisted of gamified tasks drawing on isolated as well as integrated executive
functions (working memory, inhibition, cognitive flexibility). Transfer was
assessed with a combined measure of Gf including three established tests
(Bochumer Matrizentest - BOMAT, Raven's Advanced Progressive Matrices - RAPM, and
Sandia Matrices). We found significant improvements in Gf for the tDCS, mftDCS,
and tRNS groups when compared with the no-contact group. In contrast, the mftACS
group did not improve significantly and showed a similar pattern as the no
contact group. Mediation analyses indicated that the improvement in Gf was
mediated through game progression in the mftDCS and tRNS group. Electrical brain
stimulation in combination with sustained EF training can lead to transfer
effects in Gf, which are mediated by training progression.
PMID- 29649504
TI - Tau pathology and neurochemical changes associated with memory dysfunction in an
optimised murine model of global cerebral ischaemia - A potential model for
vascular dementia?
AB - Cerebral ischemia is known to be a major cause of death and the later development
of Alzheimer's disease and vascular dementia. However, ischemia induced cellular
damage that initiates these diseases remain poorly understood. This is primarily
due to lack of clinically relevant models that are highly reproducible. Here, we
have optimised a murine model of global cerebral ischaemia with multiple markers
to determine brain pathology, neurochemistry and correlated memory deficits in
these animals. Cerebral ischaemia in mice was induced by bilateral common carotid
artery occlusion. Following reperfusion, the mice were either fixed with 4%
paraformaldehyde or decapitated under anaesthesia. Brains were processed for
Western blotting or immunohistochemistry for glial (GLT1) and vesicular (VGLUT1,
VGLUT2) glutamate transporters and paired helical filament (PHF1) tau. The PHF1
tau is the main component of neurofibrillary tangle, which is the pathological
hallmark of Alzheimer's disease and vascular dementia. The novel object
recognition behavioural assay was used to investigate the functional cognitive
consequences in these mice. The results show consistent and selective neuronal
and glial cell changes in the hippocampus and the cortex together with
significant reductions in GLT1 (***P < 0.001), VGLUT1 (**P < 0.01) and VGLUT2
(***P < 0.001) expressions in the hippocampus in occluded mice as compared to
sham-operated animals. These changes are associated with increased PHF1 (***P <
0.0001) protein and a significant impairment of performance (*p < 0.0006, N =
6/group) in the novel object recognition test. This model represents a useful
tool for investigating cellular, biochemical and molecular mechanisms of global
cerebral ischaemia and may be an ideal preclinical model for vascular dementia.
PMID- 29649505
TI - Do HEMA-free adhesive systems have better clinical performance than HEMA
containing systems in noncarious cervical lesions? A systematic review and meta
analysis.
AB - OBJECTIVES: To determine through a systematic review whether HEMA-free adhesive
systems have better clinical performance than HEMA-containing systems in
noncarious cervical lesion (NCCL) restorations. SOURCES: We systematically
searched PubMed, The Cochrane Library, Scopus, Web of Science, and Open Grey
databases using MeSH terms, synonyms, and keywords, with no language or date
restriction. The reference lists of included articles were manually searched.
STUDY SELECTION: Randomized controlled clinical trials comparing the
effectiveness of HEMA-free and HEMA-containing adhesive systems in NCCL
restorations were included. The risk of bias in the included studies was assessed
and classified through the Cochrane Collaboration's common scheme for bias.
Quantitative data were subgrouped according to the main clinical parameters
evaluated, and heterogeneity was tested using I2 index. DATA: A total of 2889
potentially relevant studies were identified. After title and abstract
examination, 51 studies remained. Finally, 22 studies were included in the
systematic review, totaling to 997 participants. Thus, 13 studies were classified
as "low" risk of bias and nine as "unclear". These 22 studies were also included
in the meta-analysis, and no significant statistical difference was found between
the clinical performances of HEMA-free and HEMA-containing adhesive systems for
all parameters analyzed: retention risk difference (RD) 0.03 [-0.01, 0.07] (p =
0.13); marginal discoloration RD 0.02 [-0.01, 0.04] (p = 0.19); marginal
adaptation RD -0.01 [-0.04, 0.01] (p = 0.34); caries RD 0.00 [-0.01, 0.01] (p =
0.92); or postoperative sensitivity RD -0.00 [-0.02, 0.01] (p = 0.72) and for
overall effect RD 0.00 [-0.01, 0.01] (p = 0.65). CONCLUSIONS: HEMA-free and HEMA
containing adhesive systems showed a similar clinical performance in NCCL
restorations. CLINICAL SIGNIFICANCE: Only the presence of HEMA does not indicate
better clinical performance of adhesive systems.
PMID- 29649506
TI - Clinical performance of glass ionomer cement and composite resin in Class II
restorations in primary teeth: A systematic review and meta-analysis.
AB - OBJECTIVES: This study compared the clinical performance of glass ionomer cement
(GIC) compared to composite resin (CR) in Class II restorations in primary teeth.
DATA: Literature search according to PRISMA guidelines including randomized
controlled trials comparing Class II restorations performed with GIC, compared to
CR, in primary teeth. SOURCES: PubMeb, Scopus, Web of Science, VHL, Cochrane
Library, Clinical Trials and OpenGrey, regardless of date or language. STUDY: Ten
studies were included in qualitative synthesis, and 9 in the meta-analyses (MA).
Six studies were classified as low risk of bias, and 4 as "unclear".
Heterogeneity ranged from null to high (0% to 73%). GIC and CR presented similar
failure patterns (risk difference -0.04 [-0.11, 0.03]; p = 0.25, I2 = 51%), and
the exclusion of studies with follow-up period <24 months, or grouping according
to the type of GIC (conventional or resin-modified), or according to the type of
isolation (cotton roll or rubber dam), or according to the evaluation criteria
applied did not affect the pattern of the results obtained. GIC exhibited
significantly lower values of secondary carious lesions (SCL) than CR (SCL: risk
difference 0.06 [0.02, 0.10], p = 0.008, I2 = 0%). The materials presented
similar performance (p > 0.05) regarding the overall effect, as well as for
marginal discoloration, marginal adaptation and anatomical form. The superiority
of GIC was maintained when resin-modified GIC and rubber dam isolation were
analyzed separately. CONCLUSIONS: GIC and CR presented similar clinical
performance for all criteria analyzed, except for secondary carious lesions, in
which GIC presented superior performance, especially for the resin-modified GIC
and with rubber dam isolation.
PMID- 29649507
TI - Effects of anthraquinones from Cassia occidentalis L. on ovalbumin-induced
airways inflammation in a mouse model of allergic asthma.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Cassia occidentalis Linn. is a traditional
ayruvedic edible shrub containing anthraquinones (AQs) as the principle active
constituents. In folk medicine, it has a variety of uses including treatment of
whooping cough ('pertussis') and inflammatory diseases. Despite these
applications, limited data are available to validate the effects of C.
occidentalis AQs on airways inflammation in asthma. AIM OF THE STUDY: To explore
the anti-inflammatory potential of AQs extracted from C. occidentalis using an in
vivo model of ovalbumin (OVA)-induced asthma. MATERIALS AND METHODS: Extraction
and optimization of AQs from C. occidentalis was performed by mechanochemistry.
Allergic asthma in BALB/c mice was sensitized and challenged by OVA, and the
effects of AQs investigated in a mouse model. OVA-specific IgE concentrations in
serum, and Th1/Th2 cytokine (IL-4, IL-5, IL-13 and IFN-gamma) concentrations,
inflammatory cell counts and classification in bronchoalveolar lavage fluid
(BALF) were determined. Histopathological evaluation of lung tissue was performed
using hematoxylin and eosin (H&E), and periodic acid-schiff (PAS) staining.
Th1/Th2 cytokine mRNA expression was analyzed using the 2-DeltaDeltaCt method.
RESULTS: Treatment with AQs decreased inflammatory cell counts and production of
Th2 cytokines (IL-4, IL-5 and IL-13) in BALF, and OVA-specific IgE in serum. In
contrast,Th1 cytokine IFN-gamma production in BALF was promoted. AQs also
decreased mRNA expression of Th1/Th2 cytokine in lung tissue. Histological
studies demonstrated that AQs substantially inhibited OVA-induced cellular
infiltration, mucus hypersecretion and goblet cell hyperplasia in the lung.
CONCLUSIONS: These findings demonstrated the inhibitory effects of AQs, derived
from C. occidentalis, on OVA-induced allergic asthma in mice. The results suggest
a promising ethnopharmacological use for AQs in patients with asthma.
PMID- 29649508
TI - Behavioral fever decreases metabolic response to lipopolysaccharide in yellow
Cururu toads (Rhinella icterica).
AB - Ectothermic vertebrates develop behavioral fever in response to bacterial
products, with potential corresponding metabolic costs associated with immune
stimulation. Although behavioral fever has been described in several taxa under
laboratory conditions, some important questions regarding metabolic response to
bacterial products at different temperatures and effectiveness of behavioral
fever remain open. Many ectotherms, such as nocturnal anurans, may be active in
the field at environmental conditions that restrict thermoregulation during the
immune response. How does the metabolic response to bacterial products under
ecologically relevant but unfavorable thermal field conditions compare to that
measured in fever thermal preferendum? Additionally, are there differences in the
partitioning of metabolic costs associated with immune stimulation and Arrhenius
effect (biochemical reactions rate) at normal versus fever thermal preferendum?
We compared the energy expenditure untreated and LPS-treated yellow Cururu toads
(Rhinella icterica) at temperature corresponding to field activity during winter
nights, and at normal and fever thermal preferendum. It was hypothesized that the
metabolic response to LPS would be proportionally lower at higher body
temperatures. To test these hypotheses, we measured temperature in the field
during night using agar models, as well as normal and fever thermal preferendum
of the toads within a thermal gradient. Subsequently, we measured the toad's
metabolic rates at mean agar models temperature, as well as at normal and fever
thermal preferendum. Lastly, we calculated the Metabolic response to LPS as the
ratio between MRLPS/MRSaline in each of these mean temperatures. Our results show
that metabolic rates do not increase in response to LPS at the agar models
temperature typical of the winter nights under which theses toads maintain
reproductive activity. Moreover, LPS treatment increased the metabolic costs
relative to Arrhenius effects at normal thermal preferendum but not at fever
thermal preferendum. In this way, metabolic response to LPS was comparative lower
at fever than normal thermal preferendum in yellow Cururu toads.
PMID- 29649509
TI - Cybersickness-related changes in brain hemodynamics: A pilot study comparing
transcranial Doppler and near-infrared spectroscopy assessments during a virtual
ride on a roller coaster.
AB - Our aim was to assess cerebral blood flow changes during cybersickness.
Transcranial Doppler (TCD) ultrasound and near infrared spectroscopy (NIRS) were
used separately in two independent experiments. In both studies, a 15-min virtual
roller coaster ride was used as a provocative visual stimulus. Subjective nausea
ratings were obtained at 1 min intervals. The TCD study was performed in 14
healthy subjects (8 males and 6 females); in this study we also measured heart
rate and arterial pressure. In a separate study a 52-channel NIRS device (Hitachi
ETG-4000) was used to monitor activated brain regions by measuring oxy-hemoglobin
(HbO2) concentration in 9 healthy subjects (4 male, 5 females). The TCD study
results showed a significant increase in systolic (+3.8 +/- 1.8 mm Hg) and
diastolic (+6.7 +/- 1.3 mm Hg) pressure at the end of the virtual ride (maximum
nausea) compared to baseline (no nausea). We also found that middle cerebral
artery (MCA) and posterior cerebral artery (PCA) systolic flow velocity decreased
significantly at the end of the ride when compared to baseline values. Likewise,
the relative systolic and diastolic conductance in the MCA decreased
significantly (-0.03 +/- 0.02 cm * s-1 * mm Hg-1, t, p = 0.0058 and -0.03 +/-
0.01 cm * s-1 * mm Hg-1, p = 0.05, respectively) at maximum nausea when compared
to no nausea. Additionally, there was a significant decrease (-0.02 +/- 0.01 cm *
s-1 * mm Hg-1, p = 0.03) in the relative systolic conductance in the PCA at the
end of the ride. Analysis of the NIRS results showed a significant increase in
HbO2 concentration in 15/52 channels in parieto-temporal regions of both
hemispheres in participants who experienced motion sickness symptoms during the
experiment. This increase in HbO2 concentration correlated with increasing nausea
and motion sickness symptoms. We conclude that cybersickness causes complex
changes in cerebral blood flow, with an increase in perfusion in some cortical
regions, but with a decrease of global cerebral perfusion.
PMID- 29649510
TI - ILT4 functions as a potential checkpoint molecule for tumor immunotherapy.
AB - Immune checkpoint blockade therapy targeting CTLA4 and PD-1/PD-L1 is a promising
strategy in the treatment of different types of cancers. However, the clinical
success rates of these therapies are still moderate and varied among cancer
types. Therefore, identification of alternative and novel checkpoint molecules or
interrupting tolerogenic pathways is urgently needed for successful tumor
immunotherapy. Immunoglobulin-like transcript 4 (ILT4) is as an immunosuppressive
molecule predominantly expressed in myeloid cells, including monocytes,
macrophages, dendritic cells and granulocytes. Recent studies revealed that ILT4
is also enriched in tumor cells and stroma cells in the tumor microenvironment of
various malignancies, modulating the biological behaviors of tumor cells and
promoting their immune escape. However, the underlying mechanisms responsible for
ILT4-mediated tumor development and progression are still poorly understood. In
this review, we explore the functional role of ILT4 as a novel checkpoint
molecule in cancers. We specifically discuss the mechanisms mediated by ILT4 for
controlling tumor malignant behaviors, impairing effector anti-tumor immune
responses, and sustaining the tumor suppressive microenvironment. We also
highlight the potential role of ILT4 as a novel immune checkpoint target for
tumor immunotherapy. Improved understanding of these issues is critical for
elucidation of the role of ILT4 in tumor pathogenesis and should open new avenues
for cancer immunotherapy specifically targeting this novel and alternative
checkpoint molecule.
PMID- 29649511
TI - Interference of carbidopa and other catechols with reactions catalyzed by
peroxidases.
AB - BACKGROUND: A number of compounds, including ascorbic acid, catecholamines,
flavonoids, p-diphenols and hydrazine derivatives have been reported to interfere
with peroxidase-based medical diagnostic tests (Trinder reaction) but the
mechanisms of these effects have not been fully elucidated. METHODS: Reactions of
bovine myeloperoxidase with o-dianisidine, bovine lactoperoxidase with ABTS and
horseradish peroxidase with 4-aminoantipyrine/phenol in the presence of
carbidopa, an anti-Parkinsonian drug, and other catechols, including l-dopa, were
monitored spectrophotometrically and by measuring hydrogen peroxide consumption.
RESULTS: Chromophore formation in all three enzyme/substrate systems was blocked
in the presence of carbidopa and other catechols. However, the rates of hydrogen
peroxide consumption were not much affected. Irreversible enzyme inhibition was
also insignificant. CONCLUSIONS: Tested compounds reduced the oxidation products
or intermediates of model substrates thus preventing chromophore formation. This
interference may affect interpretation of results of diagnostic tests in samples
from patients with Parkinson's disease treated with carbidopa and l-dopa. GENERAL
SIGNIFICANCE: This mechanism allows prediction of interference in peroxidase
based diagnostic tests for other compounds, including drugs and natural products.
PMID- 29649513
TI - Neurofeedback Control of the Human GABAergic System Using Non-invasive Brain
Stimulation.
AB - Neurofeedback has been a powerful method for self-regulating brain activities to
elicit potential ability of human mind. GABA is a major inhibitory
neurotransmitter in the central nervous system. Transcranial magnetic stimulation
(TMS) is a tool that can evaluate the GABAergic system within the primary motor
cortex (M1) using paired-pulse stimuli, short intracortical inhibition (SICI).
Herein we investigated whether neurofeedback learning using SICI enabled us to
control the GABAergic system within the M1 area. Forty-five healthy subjects were
randomly divided into two groups: those receiving SICI neurofeedback learning or
those receiving no neurofeedback (control) learning. During both learning
periods, subjects made attempts to change the size of a circle, which was altered
according to the degree of SICI in the SICI neurofeedback learning group, and
which was altered independent of the degree of SICI in the control learning
group. Results demonstrated that the SICI neurofeedback learning group showed a
significant enhancement in SICI. Moreover, this group showed a significant
reduction in choice reaction time compared to the control group. Our findings
indicate that humans can intrinsically control the intracortical GABAergic system
within M1 and can thus improve motor behaviors by SICI neurofeedback learning.
SICI neurofeedback learning is a novel and promising approach to control our
neural system and potentially represents a new therapy for patients with abnormal
motor symptoms caused by CNS disorders.
PMID- 29649512
TI - 14-3-3 protein directly interacts with the kinase domain of calcium/calmodulin
dependent protein kinase kinase (CaMKK2).
AB - BACKGROUND: Calcium/calmodulin-dependent protein kinase kinase 2 (CaMKK2) is a
member of the Ca2+/calmodulin-dependent kinase (CaMK) family involved in
adiposity regulation, glucose homeostasis and cancer. This upstream activator of
CaMKI, CaMKIV and AMP-activated protein kinase is inhibited by phosphorylation,
which also triggers an association with the scaffolding protein 14-3-3. However,
the role of 14-3-3 in the regulation of CaMKK2 remains unknown. METHODS: The
interaction between phosphorylated CaMKK2 and the 14-3-3gamma protein, as well as
the architecture of their complex, were studied using enzyme activity
measurements, small-angle x-ray scattering (SAXS), time-resolved fluorescence
spectroscopy and protein crystallography. RESULTS: Our data suggest that the 14-3
3 protein binding does not inhibit the catalytic activity of phosphorylated
CaMKK2 but rather slows down its dephosphorylation. Structural analysis indicated
that the complex is flexible and that CaMKK2 is located outside the
phosphopeptide-binding central channel of the 14-3-3gamma dimer. Furthermore, 14
3-3gamma appears to interact with and affect the structure of several regions of
CaMKK2 outside the 14-3-3 binding motifs. In addition, the structural basis of
interactions between 14-3-3 and the 14-3-3 binding motifs of CaMKK2 were
elucidated by determining the crystal structures of phosphopeptides containing
these motifs bound to 14-3-3. CONCLUSIONS: 14-3-3gamma protein directly interacts
with the kinase domain of CaMKK2 and the region containing the inhibitory
phosphorylation site Thr145 within the N-terminal extension. GENERAL
SIGNIFICANCE: Our results suggested that CaMKK isoforms differ in their 14-3-3
mediated regulations and that the interaction between 14-3-3 protein and the N
terminal 14-3-3-binding motif of CaMKK2 might be stabilized by small-molecule
compounds.
PMID- 29649515
TI - A biopsychosocial model of body image, disordered eating, and breastfeeding among
postpartum women.
AB - BACKGROUND: The aim of the current study was to test a biopsychosocial model of
body image, eating, and feeding attitudes among postpartum women. Specifically,
the model predicted that desired weight-loss, depressive symptoms, and body
surveillance would predict body dissatisfaction and appearance-related barriers
to breastfeeding, which in turn would predict maternal disordered eating and
breastfeeding self-efficacy. METHODS: Data from 151 women, mean age = 32.77
(4.47) years, who provided complete data in response to an online survey were
analyzed. RESULTS: Path analysis revealed that after minor modifications, the
biopsychosocial model was a good fit to the data. Desired weight-loss, depressive
symptoms, and body surveillance were associated with higher levels of disordered
eating and lower levels of breastfeeding self-efficacy directly, as well as
indirectly through body dissatisfaction and appearance-related barriers to
breastfeeding. CONCLUSION: Findings provide support for an integrated
biopsychosocial model of body image concerns, and eating and feeding attitudes
among postpartum women as well as highlighting the need for additional support
around body image, eating, and breastfeeding following childbirth. Our study has
clinical implications for healthcare providers working with new mothers and can
be used to inform postpartum psychoeducation efforts addressing breastfeeding,
weight loss expectations and body image concerns.
PMID- 29649514
TI - Endogenous Neuronal Replacement in the Juvenile Brain Following Cerebral
Ischemia.
AB - Replacement of dead neurons following ischemia, either via enhanced endogenous
neurogenesis or stem cell therapy, has long been sought. Unfortunately, while
various therapies that enhance neurogenesis or stem cell therapies have proven
beneficial in animal models, they have all uniformly failed to truly replace dead
neurons in the ischemic core to facilitate long-term recovery. Remarkably, we
observe robust repopulation of medium-spiny neurons within the ischemic core of
juvenile mice following experimental stroke. Despite extensive neuronal cell
death in the injured striatum of both juveniles and adults at acute time points
after ischemia (24 h and 7 d), mature newborn neurons replaced lost striatal
neurons at 30 d post-ischemia. This neuronal repopulation was found only in
juveniles, not adults, and importantly, was accompanied by enhanced post-ischemic
behavioral recovery at 30 d. Ablation of neurogenesis using irradiation prevented
neuronal replacement and functional recovery in MCAo-injured juvenile mice. In
contrast, findings in adults were consistent with previous reports, that newborn
neurons failed to mature and died, offering little therapeutic potential. These
data provide support for neuronal replacement and consequent functional recovery
following ischemic stroke and new targets in the development of novel therapies
to treat stroke.
PMID- 29649516
TI - "Mom, quit fat talking-I'm trying to eat (mindfully) here!": Evaluating a
sociocultural model of family fat talk, positive body image, and mindful eating
in college women.
AB - A growing evidence base confirms sociocultural theory's predictions regarding the
influence of direct exposure to family factors (e.g., parental commentary) in
promoting disordered eating behavior as mediated by negative body image.
Nevertheless, this model has not been specifically applied to investigating
indirect or vicarious exposure to family communications (e.g., negative body
talk) in estimating mindful eating behavior via positive body image intervening
variables. Therefore, to address this gap the present study provided a
preliminary evaluation of the indirect effects of overhearing family fat talk
through both body appreciation and functional body appreciation in predicting
mindful eating among undergraduate females. Participants included 333 women
attending a large southeastern public university who completed measures of
mindful eating, family fat talk, body appreciation, and functional body
appreciation via an online survey platform. Results indicated that family fat
talk was inversely associated with mindful eating, body appreciation, and
functional body appreciation. Whereas engaging in mindful eating positively
corresponded with both positive body image indices. A regression model
controlling for BMI also revealed that an orientation towards appreciating what
the body can do (and not a general appreciation of the body) helped explain the
inverse association between family fat talk and mindful eating. Our initial
findings tentatively suggest that focusing on the self-objectifying and self
denigrating body-related commentary of family members may disrupt attention to
one's own appreciation of the (internal) workings of the body thereby undermining
the mindful eating process. Implications for further expanding the translation of
sociocultural theory in the context of positive body image and mindful eating are
considered.
PMID- 29649517
TI - Effect of nisin on microbiome-brain-gut axis neurochemicals by Escherichia coli
induced diarrhea in mice.
AB - The effects of nisin on the neurochemicals, Aquaporin-3 (AQP-3) and intestinal
microorganisms in the brain-gut axis of mice were analyzed by using enzyme linked
immune sorbent assay (ELISA) and high throughput sequencing in this
investigation, to further revealed the relationship between intestinal flora
abundance in mice and neurochemicals in the brain-gut axis. Using HE staining
found damage of structure of small intestine villi in the model group
(Escherichia coli O1, E. coli O1). Compared with normal control and ciprofloxacin
groups, using ELISA showed that nisin increased the highest norepinephrine (NE)
expression in the brain, expression of 5-hydroxytryptamine (5-HT) and dopamine
(DA) in the duodenum, and increased the expression of AQP-3 in jejunum. Using
high-throughput sequencing showed the highest diversity of cecal microflora in
nisin group (ACE-index = 1417.25, Chao1-index = 1378.45), but the cecal
microflora in the negative control group (ACE-index = 969.54, Chao1-index =
340.29) exhibited the lowest species diversity. Our data indicated that nisin
regulates neurochemicals, AQP-3 and cecal microflora imbalance in mice.
PMID- 29649518
TI - Design and optimization of gastro-floating sustained-release tablet of
pregabalin: In vitro and in vivo evaluation.
AB - Pregabalin is a promising drug for the treatment of neuropathic pain, a chronic
disease affecting a large population needing long-term treatment. However, due to
its short half-life, the commercial tablet has to be administered 2-3 times per
day, with inconvenience for patient and fluctuations of plasma concentration. In
this study, a gastro-floating drug delivery system of pregabalin was developed to
prolong the gastric retention of drugs absorbed or act in stomach or upper
gastrointestinal tract. First of all, it was proved that the drug was mainly
absorbed in stomach and upper gastrointestinal tract. The final formulation was
optimized in consideration of buoyancy and drug release profile. The gastro
floating tablet was prepared with hydroxypropyl methylcellulose (HPMC) as
sustained-release matrix, lipophilic cetyl alcohol as floating-assistance agent
and other excipients to achieve satisfying buoyancy and sustained release
performance with mechanisms of diffusion and matrix erosion. Food exhibited
significant effect on the pharmacokinetics of gastro-floating tablet and
conventional capsule. Compared with conventional capsules, the relative
bioavailability of gastro-floating tablet in fasted conditions or in fed
conditions was only 62.47 +/- 10.80% and 100.98 +/- 17.25% respectively, even
though the gastro-floating tablet obtained decreased Cmax and prolonged Tmax in
fasted and fed conditions. Besides, good in vivo-in vitro correlation of the
gastro-floating tablet was established. In summary, a gastro-floating tablet of
pregabalin exhibiting desired buoyancy and release profiles was designed, and the
tablet expressed significantly sustained-release behavior in fed conditions with
good in vivo-in vitro correlation. The designed gastro-floating system is a
promising choice for the patients to relieve neuropathic pain.
PMID- 29649519
TI - Limitations of high dose carrier based formulations.
AB - PURPOSE: This study was performed to investigate how increasing the active
pharmaceutical ingredient (API) content within a formulation affects the
dispersion of particles and the aerosol performance efficiency of a carrier based
dry powder inhalable (DPI) formulation, using a custom dry powder inhaler (DPI)
development rig. METHODS: Five formulations with varying concentrations of API
beclomethasone dipropionate (BDP) between 1% and 30% (w/w) were formulated as a
multi-component carrier system containing coarse lactose and fine lactose with
magnesium stearate. The morphology of the formulation and each component were
investigated using scanning electron micrographs while the particle size was
measured by laser diffraction. The aerosol performance, in terms of aerodynamic
diameter, was assessed using the British pharmacopeia Apparatus E cascade
impactor (Next generation impactor). Chemical analysis of the API was observed by
high performance liquid chromatography (HPLC). RESULTS: Increasing the
concentration of BDP in the blend resulted in increasing numbers and size of
individual agglomerates and densely packed BDP multi-layers on the surface of the
lactose carrier. BDP present within the multi-layer did not disperse as
individual primary particles but as dense agglomerates, which led to a decrease
in aerosol performance and increased percentage of BDP deposition within the
Apparatus E induction port and pre-separator. CONCLUSION: As the BDP
concentration in the blends increases, aerosol performance of the formulation
decreases, in an inversely proportional manner. Concurrently, the percentage of
API deposition in the induction port and pre-separator could also be linked to
the amount of micronized particles (BDP and Micronized composite carrier) present
in the formulation. The effect of such dose increase on the behaviour of aerosol
dispersion was investigated to gain greater insight in the development and
optimisation of higher dosed carrier-based formulations.
PMID- 29649521
TI - Modelling motor neuron disease in fruit flies: Lessons from spinal muscular
atrophy.
AB - Motor neuron disease (MND) is characterised by muscle weakness and paralysis
downstream of motor neuron degeneration. Genetic factors play a major role in
disease pathogenesis and progression. This is best underscored by spinal muscular
atrophy (SMA), the most common MND affecting children. Although SMA is caused by
homozygous mutations in the survival motor neuron 1 (SMN1) gene, partial
compensation by the paralogous SMN2 gene and/or genetic modifiers influence age
of onset and disease severity. SMA is also the first MND that is treatable thanks
to the recent development of a molecular-based therapy. This key milestone was
possible following an intense research campaign in which animal models had a
starring role. In this review, we specifically focus on the fruit fly Drosophila
melanogaster and highlight its sterling contributions aimed at furthering our
understanding of SMA pathogenesis. Methods of gene disruption utilised to
generate SMA fly models are discussed and ways through which neuromuscular
defects have been characterised are elaborated on. A phenotypic overlap with
patients and mammalian models, allowed the use of SMA fly models to identify
genetic modifiers, hence spurring investigators to discover pathways that are
perturbed in disease. Targeting these can potentially lead to complimentary
therapies for SMA. The same output is expected from the use of SMA fly models to
identify therapeutic compounds that have an ameliorative effect. We believe that
lessons gained from SMA will allow researchers to eagerly exploit Drosophila to
confirm novel genes linked to MND, reveal disease mechanisms and ultimately
identify therapeutics.
PMID- 29649520
TI - Development and validation of a prognostic score to predict tuberculosis
mortality.
AB - OBJECTIVE: To develop and validate a simple prognostic scoring system to predict
the mortality risk during treatment in tuberculosis patients. METHODS: Using data
from the CDC's Tuberculosis Genotyping Information Management System of TB
patients in Texas reported from 01/2010 to 12/2016, age >= 15 years and having an
outcome as "completed" or "died", we developed and validated a prognostic
mortality scoring system-based logistic regression beta-coefficients. RESULTS:
The developmental and validation cohorts consisted of 3378 and 3377 patients,
respectively. The score used 9 demographic and clinical characteristics, which
are usually available at the patient's initial visits to a healthcare facility.
Prognostic scores were categorized into three groups that predicted mortality:
low-risk (<15 points), medium-risk (15-18 points), and high-risk (>18 points).
The model had excellent discrimination and calibration with an area under the
receiver operating characteristic curve of 0.82 and 0.80, and a non-significant
Hosmer-Lemeshow test P = 0.514 and P = 0.613 in the developmental and validation
cohorts, respectively. CONCLUSION: Our validated TB prognostic scoring system,
which used demographic and clinical characteristics available at the patient's
initial visits, can be a practical tool for health care providers to identify TB
patients with high mortality risk so that appropriate treatment, medical supports
and follow-up resources could be appropriately allocated.
PMID- 29649522
TI - Triptolide up-regulates metabotropic glutamate receptor 5 to inhibit microglia
activation in the lipopolysaccharide-induced model of Parkinson's disease.
AB - Metabotropic glutamate receptor (mGlu)5 regulates microglia activation, which
contributes to inflammation. However, the role of mGlu5 in neuroinflammation
associated with Parkinson's disease (PD) remains unclear. Triptolide (T10) exerts
potent immunosuppressive and anti-inflammatory effects and protects neurons by
inhibiting microglia activation. In this study, we investigated the role of mGlu5
in the anti-inflammatory effect of T10 in a lipopolysaccharide (LPS)-induced PD
model. In cultured BV2 cells and primary microglia, blocking mGlu5 activity or
knocking down its expression abolished T10-inhibited release of proinflammatory
cytokines induced by LPS. Moreover, T10 up-regulated mGlu5 expression decreased
by LPS through enhancing mRNA expression and protein stability. T10 also reversed
the reduction in mGlu5 membrane localization and modulated receptor-mediated
mitogen-activated protein kinase activity induced by LPS. Pharmacological
inhibition of signaling molecules increased nitric oxide level and inducible
nitric oxide synthase (iNOS), tumor necrosis factor-alpha, and interleukin (IL)
1beta and -6 transcript levels that were downregulated by treatment with T10.
Consistent with these in vitro findings, blocking mGlu5 attenuated the anti
inflammatory effects of T10 in an LPS-induced PD model and blocked the decreases
in the number and morphology of ionized calcium binding adaptor molecule 1
positive microglia and LPS-induced iNOS protein expression caused by T10
treatment. Besides, mGlu5 mediated the effect of T10 on microglia-induced
astrocyte activation in vitro and in vivo. The findings provide evidence for a
novel mechanism by which mGlu5 regulates T10-inhibited microglia activation via
modulating protein expression of the receptor and its intracellular signaling.
The study might contribute to the biological effects of Chinese herbs as an
approach for protecting against neurotoxicity in PD.
PMID- 29649523
TI - Prediction of the antimicrobial activity of walnut (Juglans regia L.) kernel
aqueous extracts using artificial neural network and multiple linear regression.
AB - The mathematical model was established to determine the diameter of inhibition
zone of the walnut extract on the twelve bacterial species. Type of extraction,
concentration, and pathogens were taken as input variables. Two models were used
with the aim of designing this system. One of them was developed with artificial
neural networks (ANN), and the other was formed with multiple linear regression
(MLR). Four common training algorithms were used. Levenberg-Marquardt (LM),
Bayesian regulation (BR), scaled conjugate gradient (SCG) and resilient back
propagation (RP) were investigated, and the algorithms were compared. Root mean
squared error and correlation coefficient were evaluated as performance criteria.
When these criteria were analyzed, ANN showed high prediction performance, while
MLR showed low prediction performance. As a result, it is seen that when the
different input values are provided to the system developed with ANN, the most
accurate inhibition zone (IZ) estimates were obtained. The results of this study
could offer new perspectives, particularly in the field of microbiology, because
these could be applied to other type of extraction, concentrations, and
pathogens, without resorting to experiments.
PMID- 29649524
TI - Hantavirus - Lectures in geography.
PMID- 29649525
TI - Complex analyses on clinical information systems using restricted natural
language querying to resolve time-event dependencies.
AB - PURPOSE: This paper reports on a generic framework to provide clinicians with the
ability to conduct complex analyses on elaborate research topics using cascaded
queries to resolve internal time-event dependencies in the research questions, as
an extension to the proposed Clinical Data Analytics Language (CliniDAL).
METHODS: A cascaded query model is proposed to resolve internal time-event
dependencies in the queries which can have up to five levels of criteria starting
with a query to define subjects to be admitted into a study, followed by a query
to define the time span of the experiment. Three more cascaded queries can be
required to define control groups, control variables and output variables which
all together simulate a real scientific experiment. According to the complexity
of the research questions, the cascaded query model has the flexibility of
merging some lower level queries for simple research questions or adding a nested
query to each level to compose more complex queries. Three different scenarios
(one of them contains two studies) are described and used for evaluation of the
proposed solution. RESULTS: CliniDAL's complex analyses solution enables
answering complex queries with time-event dependencies at most in a few hours
which manually would take many days. CONCLUSION: An evaluation of results of the
research studies based on the comparison between CliniDAL and SQL solutions
reveals high usability and efficiency of CliniDAL's solution.
PMID- 29649526
TI - Research Electronic Data Capture (REDCap(r)) used as an audit tool with a built
in database.
AB - The aim of this study was to develop an audit tool with a built-in database using
Research Electronic Data Capture (REDCap(r)) as part of an antimicrobial
stewardship program at a regional hospital in the Central Denmark Region, and to
analyse the need, if any, to involve more than one expert in the evaluation of
cases of antimicrobial treatment, and the level of agreement among the experts.
Patients treated with systemic antimicrobials in the period from 1 September 2015
to 31 August 2016 were included, in total 722 cases. Data were collected
retrospectively and entered manually. The audit was based on seven flow charts
regarding: (1) initiation of antimicrobial treatment (2) infection (3)
prescription and administration of antimicrobials (4) discontinuation of
antimicrobials (5) reassessment within 48 h after the first prescription of
antimicrobials (6) microbiological sampling in the period between suspicion of
infection and the first administration of antimicrobials (7) microbiological
results. The audit was based on automatic calculations drawing on the entered
data and on expert assessments. Initially, two experts completed the audit, and
in the cases in which they disagreed, a third expert was consulted. In 31.9% of
the cases, the two experts agreed on all elements of the audit. In 66.2%, the two
experts reached agreement by discussing the cases. Finally, 1.9% of the cases
were completed in cooperation with a third expert. The experts assessed 3406 flow
charts of which they agreed on 75.8%. We succeeded in creating an audit tool with
a built-in database that facilitates independent expert evaluation using REDCap.
We found a large inter-observer difference that needs to be considered when
constructing a project based on expert judgements. Our two experts agreed on most
of the flow charts after discussion, whereas the third expert's intervention did
not have any influence on the overall assessment.
PMID- 29649527
TI - Recommendations for Evaluation and Management of Pain in Patients With
Mucopolysaccharidosis in Latin America.
AB - The mucopolysaccharidosis (MPS) constitutes a heterogeneous group of rare genetic
disorders caused by enzymatic deficiencies that lead to the accumulation of
glycosaminoglycans. Several types of MPS are described, historically numbered
from I to IX. Clinical observations strongly suggest the presence of chronic pain
in patients with all types of MPS. There are few data in the literature on the
evaluation and management of pain in these patients, a fact that can compromise
the quality of life even more. Professionals with extensive experience in the
care for patients with MPS held a meeting in April 2017 to discuss and propose
recommendations for the evaluation and management of pain in patients with MPS in
Latin America. This article summarizes the content of the discussions and
presents the recommendations produced at the meeting. Patients with MPS present
joint, bone, and muscle pain, as well as entrapment syndromes (spinal, optic
nerve, carpal tunnel). The panel suggests the use of the following instruments
for pain assessment: Face, Legs, Activity, Cry and Consolability Scale for
children of up to four years of age and patients unable to communicate their
pain; Child Health Assessment Questionnaire Scale; Facial Pain Scale and
Numerical Pain Scale for patients of five to <18 years of age; Brief Pain
Inventory and Short Form Health Survey 36 scales for patients aged 18 years or
older. Based on the scores verified in these scales, the panel proposes
pharmacological interventions for pain relief in this population of patients.
PMID- 29649528
TI - Hyaluronic acid-based biopharmaceutical delivery and tumor-targeted drug delivery
system.
AB - Hyaluronic acid (HA) is a natural polysaccharide with good biocompatibility and
degradability. HA and its derivatives can be used as sustained-release carriers
for drugs, which can delay the release of drugs and have a long-acting effect.
They can be used for the delivery of various drugs such as proteins, nucleic
acids and anti-tumor drugs. HA and its derivatives can specifically bind to
multiple receptors on the cell surface and can be used for targeted drug
delivery, especially for the delivery of anti-tumor drugs. Thus, there are
different forms of tumor-targeted drug delivery systems based on HA.
PMID- 29649529
TI - Mesoporous silicate nanoparticles/3D nanofibrous scaffold-mediated dual-drug
delivery for bone tissue engineering.
AB - Controlled delivery systems play a critical role in the success of bone
morphogenetic proteins (i.e., BMP2 and BMP7) for challenged bone repair. Instead
of single-drug release that is currently and commonly prevalent, dual-drug
delivery strategies are highly desired to achieve effective bone regeneration
because natural bone repair process is driven by multiple factors. Particularly,
angiogenesis is essential for osteogenesis and requires more than just one factor
(e.g., Vascular Endothelial Growth Factor, VEGF). Therefore, we developed a novel
mesoporous silicate nanoparticles (MSNs) incorporated-3D nanofibrous gelatin (GF)
scaffold for dual-delivery of BMP2 and deferoxamine (DFO). DFO is a hypoxia
mimetic drug that can activate hypoxia-inducible factor-1 alpha (HIF-1alpha), and
trigger subsequent angiogenesis. Sustained BMP2 release system was achieved
through encapsulation into large-pored MSNs, while the relative short-term
release of DFO was engineered through covalent conjugation with chitosan to
reduce its cytotoxicity and elongate its half-life. Both MSNs and DFO were
incorporated onto a porous 3D GF scaffold to serve as a biomimetic osteogenic
microenvironment. Our data indicated that DFO and BMP2 were released from a
scaffold at different release rates (10 vs 28 days) yet maintained their
angiogenic and osteogenic ability, respectively. Importantly, our data indicated
that the released DFO significantly improved BMP2-induced osteogenic
differentiation where the dose/duration was important for its effects in both
mouse and human stem cell models. Thus, we developed a novel and tunable MSNs/GF
3D scaffold-mediated dual-drug delivery system and studied the potential
application of the both FDA-approved DFO and BMP2 for bone tissue engineering.
PMID- 29649530
TI - Self-assembled hyaluronic acid nanoparticles: Implications as a nanomedicine for
treatment of type 2 diabetes.
AB - Self-assembled hyaluronic acid nanoparticles (HA-NPs) have been extensively
investigated for biomedical and pharmaceutical applications owing to their
biocompatibility and receptor-binding properties. Here, we report that an empty
HA-NP itself not bearing any drug has therapeutic effects on adipose tissue
inflammation and insulin resistance. HA-NPs inhibited not only the receptor
mediated internalization of low-molecular-weight (LMW) free HA but also LMW free
HA-induced pro-inflammatory gene expression in mouse primary bone marrow-derived
macrophages (BMDMs) isolated from wild-type mice, but not in CD44-null (CD44-/-)
BMDMs. An in vivo biodistribution study showed the distribution of HA-NPs and
their co-localization with CD44 in adipose tissues including epididymal white
adipose tissues (eWATs), but these were rarely observed in the eWATs of CD44-/-
mice. In addition, CD44 expression and HA-NP accumulation in the eWATs were
increased in mice with diet-induced obesity (DIO) compared to lean mice.
Interestingly, treatment with HA-NPs in DIO mice suppressed adipose tissue
inflammation as indicated by reduced macrophage content, the production of
proinflammatory cytokines and NLRP3 inflammasome activity in eWATs, leading to
improved insulin sensitivity and normalized blood glucose levels. Collectively,
these results suggest that an empty HA-NP itself can be a therapeutic agent for
the treatment of type 2 diabetes.
PMID- 29649531
TI - Preparation, characterization and in vitro release of beta-galactosidase loaded
polyelectrolyte nanoparticles.
AB - Improving encapsulation efficacy (EE) and bioavailability of beta-galactosidase
(beta-gal) is always a challenge due to its fragility. In this work, beta-gal
loaded beta-chitosan (CS) nanoparticles (NPs) were successfully prepared based on
ionic gelation technique and electrostatic attraction for improving its EE and in
vitro releasing capacity. The particle size of beta-gal loaded low and high
molecular weight (LMW and HMW) beta-CS NPs reached 584.37 and 652.46nm, with Zeta
potential (ZP) of 26.37 and 16.46mV under the optimal conditions, respectively.
In vitro release study conducted at pH4.5 and 7.4 showed that beta-gal loaded LMW
and HMW beta-CS NPs with EE of 68.32 and 58.64% sustained the release of the beta
gal over 12h. The beta-gal incorporated into beta-CS NPs was confirmed with the
results of physicochemical and structural properties of beta-gal loaded beta-CS
NPs, and prepared NPs had hardly any cytotoxicity in the range of 0.1-1.0mg/mL.
The results indicated that beta-gal loaded beta-CS NPs could serve as non-toxic
delivery carriers for the treatment of lactose intolerance.
PMID- 29649532
TI - The retrogradation kinetics of starches of different botanical origin in the
presence of glucose syrup.
AB - The influence of glucose syrup on the retrogradation of cereal starches was
investigated. Laboratory isolated starches from wheat (WS) and oats (OS - oat
starch and ROS - residual oat starch) were used in this research. ROS was
isolated from the flour left after the industrial separation of beta-glucans.
Gelatinization temperature of oat starches (63.82 degrees C and 64.01 degrees C
for OS and ROS, respectively) was higher than for WS (62.26 degrees C), whereas
gelatinization enthalpy for oat starches (8.87J/g and 9.09J/g for OS and ROS,
respectively) was lower than for WS (9.99J/g). Moreover, retrogradation
percentage (%R) was similar for both oat starches (29.76% and 27.72% for OS and
ROS, respectively), and was substantially lower than for WS (42.04%). The
introduction of glucose syrup into system reduced the extent of the
retrogradation. Rate of the process was suppressed for WS and ROS, whereas for OS
it was increased. beta-Glucan production process had no significant effect on the
gelatinization and retrogradation of oat starch.
PMID- 29649533
TI - Chitosan nanoparticles as a biocompatible and efficient nanowagon for benzyl
isothiocyanate.
AB - A plethora of evidences support the health benefits of a sulfur containing
compound called Benzyl Isothiocyanate. However, its therapeutic application is
limited due to its low solubility, poor stability and inadequate bioavailability.
The problem has been worked upon and resolved by the synthesis of biodegradable
nanoparticles using chitosan as the controlled delivery nanowagon. The prepared
nanoparticles have been characterized using UV-visible absorption spectroscopy,
IR spectroscopy, XRD, TGA, TEM and FE-SEM. Results reveal that loading of benzyl
isothiocyanate into chitosan nanoparticles increases its solubility and
stability. The maximum encapsulation efficiency was obtained to be 64.68+/-4.7%
with slow and sustained release of 77.78% in 144h at pH5.5. Clear enhancement in
the stability of benzyl isothiocyanate that is sensitive to ultraviolet light has
been showcased after its encompassment in the cationic polymer. Further the
biosafety of the fabricated system has been demonstrated by haemolysis and its
interaction with biomolecules. The antimicrobial activity connotes that the
prepared nanoparticles can act as a useful and safe carrier for the loading of
benzyl isothiocyanate making it a promising formulation for biological
applications in future.
PMID- 29649534
TI - A modified microemulsion method for fabrication of hydrogel Tragacanth
nanofibers.
AB - Tragacanth is a nontoxic, biodegradable and biocompatible polymer applied as a
nanostructure form in various fields such as biomedicine and food industry. Here,
hydrogel Tragacanth nanofibers were fabricated using a modified microemulsion
method. The effective parameters on the fabrication of nanofibers such as
emulsifier type, stirrer type, processing time and concentrations of emulsifier,
Tragacanth and aluminum chloride were studied and the conditions were optimized
for high quality nanofibers. SEM images indicated Tragacanth nanofiberswere
successfully synthesized with average diameter of 50 nm and uniformdistribution.
Appear a peak at 1110 cm-1 related to Al-C bonds and reduce intensity ofthe peaks
at 1742 and 1629 cm-1 and in FT-IR spectrum of nanofibersprove the successfully
synthesis. Further, the hydrogel properties of the synthesized nanofibers can be
proved by the swelling of 142% and drying time of 3 h.
PMID- 29649535
TI - Rhizoctonia solani fucomannogalactan: Chemical characterization and
antiproliferative activity.
AB - A fucomannogalactan from Rhizoctonia solani biomass was obtained after hot
aqueous extraction and purified by freeze-thaw cycles and gel filtration
chromatography on Sepharose CL-6B. The polysaccharide was homogeneous after
HPSEC/RID analysis (Mw/Mn~1.1), displaying an average molecular weight of
15.4*103Da. Its chemical structure was determined by methylation analysis (GC/MS)
and spectroscopy (FTIR, 1D and 2D NMR). The polysaccharide had a branched alpha
1,6-linked Galp backbone with 66% linear residues, a number of which were at O-3
methylated. Side chains (34%) were always linked at O-2 positions of the main
chain and consisted of single, non-reducing ends of alpha-d-Manp (6%) and alpha-l
Fucp (28%). Analysis of its biological activity showed that the highly purified
fucomannogalactan from R. solani inhibited the proliferation of colon cancer
cells in vitro, but that it did not have the same activity against lung cancer
cells.
PMID- 29649536
TI - Characterization of Momordica charantia L. polysaccharide and its protective
effect on pancreatic cells injury in STZ-induced diabetic mice.
AB - A polysaccharide with a molecular weight of 13,029Da was isolated from Momordica
charantia (MCP) fruit and purified by ion-exchange and size-exclusion
chromatography. The isolated polysaccharide MCPIIa contained L-Rha, D-GalA, D
Gal, D-Xyl, L-Ara in a molar ratio of 12:3.05:19.89:5.95:56. IR spectrum and NMR
studies indicated that the MCPIIa sugar units were linked, via beta-glycosidic
bonds, to a large number of arabinofuranose, glucuronic acid, and xylopyranosyl
residues. In addition, the hypoglycemic effect of MCPIIa was investigated in
streptozotocin (STZ)-induced diabetic mice. After STZ-induction, MCPIIa (100,
200, or 300mg/kg body weight) was administered orally, once daily, for 28days.
Glycemia in STZ-diabetogenic mice was significantly reduced, and compared with
diabetes mellitus (DM) mice, serum insulin concentration increased significantly,
following MCPIIa administration. Transmission electron microscopy showed an
alleviation of STZ-lesions in pancreatic tissue from mice treated with MCPIIa.
These results indicate that MCPIIa may be useful as an anti-diabetic agent.
PMID- 29649537
TI - Transcriptome analysis revealed anti-obesity effects of the Sodium Alginate in
high-fat diet -induced obese mice.
AB - Human obesity and overweight, caused by accumulated of fat, is the most commonly
phenomenon from all over the world, especially in Western countries and Chinese
mainland during the past three decades. Sodium Alginate, a polysaccharide
extracted from brown seaweeds, has been proved its strong ability on body weight
loss and anti-inflammatory response. However, no studies have been explored the
effects of Sodium Alginate on colonic transcriptome, especially in obese
individuals. Therefore, the current study was designed to detect whether Sodium
Alginate could remit obesity and ease chronic metabolism disease through
strengthening the bio-functionality of the lower intestine, particularly in
colon. The data showed after Sodium Alginate gavaged for four weeks, the body
weight, fat accumulation, triglyceride and total cholesterol were ameliorated in
high fat diet induced obese mice. Sodium Alginate also improved the blood glucose
level and lipopolysaccharides in serum. Furthermore, data from RNA sequence
indicated that there were significantly changes in several genes, which involved
in lipid metabolism and carbohydrate metabolism. In conclusion, these results
suggested that Sodium Alginate could effectively suppress obesity and obesity
related metabolic syndromes, due to the colonic transcriptome changes.
PMID- 29649538
TI - Identification of factors to increase efficacy of telemedicine screening for
diabetic retinopathy in endocrinology practices using the Intelligent Retinal
Imaging System (IRIS) platform.
AB - AIMS: Diabetic retinopathy (DR) and diabetic macular edema (DME) can be evaluated
using telemedicine systems, such as the Intelligent Retinal Imaging Systems
(IRIS), in patients with Diabetes Mellitus (DM). In an endocrinology-based
population utilizing IRIS we determine prevalence rates of DR and DME, and
identify associated epidemiologic correlations. METHODS: This is a multicenter,
retrospective chart review using screening data from IRIS. Centers for Disease
Control and Prevention (CDC) data on epidemiologic variables (by county) namely,
prevalence of DM, incidence of DM, obesity, and time of physical inactivity, were
compared against prevalence rates of DR found at screening. RESULTS: A total of
10,223 eyes of 5,242 patients with DM were imaged. DR and DME were noted in 1781
(33.98%) and 226 imaging studies (4.31%) respectively. The coefficient of
determination was greatest for incidence of DM (R2 = 0.92), followed by DM
prevalence (R2 = 0.79), obesity, (R2 = 0.67), and physical inactivity (R2 =
0.34). The presence of DR during screening varied significantly by county (p <
0.001). CONCLUSIONS: Screening in counties with a higher incidence of DM led to a
higher prevalence of identified DR at time of screening. The current work
suggests that telemedicine screening in areas known to have a higher incidence of
DM may be worthwhile.
PMID- 29649539
TI - Switching to insulin glargine 300 U/mL: Is duration of prior basal insulin
therapy important?
AB - AIMS: To assess the impact of duration of prior basal insulin therapy on study
outcomes in people with type 2 diabetes mellitus receiving insulin glargine 300
U/mL (Gla-300) or insulin glargine 100 U/mL (Gla-100) for 6 months. METHODS: A
post hoc patient-level meta-analysis of data from the EDITION 1 and 2 studies.
Outcomes included: HbA1c, percentage of participants with >=1 confirmed or severe
hypoglycaemic event at night (00:00-05:59 h) or any time (24 h), and body weight
change. Data were analysed according to duration of prior basal insulin use: >0
<=2 years, >2-<=5 years, >5 years. RESULTS: This meta-analysis included 1618
participants. HbA1c change from baseline to month 6 was comparable between Gla
300 and Gla-100 groups, regardless of duration of prior basal insulin therapy.
The lower risk with Gla-300 versus Gla-100 of >=1 confirmed (<=3.9 mmol/L [<=70
mg/dL]) or severe hypoglycaemic event, at night or any time (24 h), was
unaffected by duration of prior basal insulin therapy. Similarly, weight change
was unaffected by duration of prior basal insulin therapy. CONCLUSIONS: Switching
to Gla-300 from other basal insulin therapies provided comparable glycaemic
control with lower risk of hypoglycaemia versus Gla-100, regardless of duration
of prior basal insulin therapy. CLINICAL TRIAL REGISTRATION: NCT01499082,
NCT01499095 (ClinicalTrials.gov).
PMID- 29649540
TI - Incidence, risk factors, and pregnancy outcomes of gestational diabetes mellitus
using one-step versus two-step diagnostic approaches: A population-based cohort
study in Isfahan, Iran.
AB - AIMS: To study the incidence, risk factors, and pregnancy outcomes associated
with gestational diabetes mellitus (GDM) diagnosed with one-step and two-step
screening approaches. METHODS: 1000 pregnant women who were eligible and
consented to participate underwent fasting plasma glucose testing at the first
prenatal visit (6-14 weeks). The women free from GDM or overt diabetes were
screened at 24-28 weeks using the 50-g glucose challenge test (GCT) followed by
100-g, 3-h oral glucose tolerance test (OGTT) (two-step method). Regardless of
the GCT result, all women underwent a 75-g, 2-h OGTT within one-week interval
(one-step method). RESULTS: GDM incidence using the one-step and two-step methods
was 9.3% (95% CI: 7.4-11.2) and 4.2% (95% CI: 2.9-5.5). GDM significantly
increased the risk of macrosomia, gestational hypertension, preeclampsia, and
cesarean section and older age and family history of diabetes significantly
increased the risk of developing GDM in both approaches. In two-step method,
higher pre-pregnancy body mass index and lower physical activity during pregnancy
along with higher earlier cesarean section also increased significantly the risk
of developing GDM. CONCLUSIONS: Despite a higher incidence of GDM using the one
step approach, more risk factors for and a stronger effect of GDM on adverse
pregnancy outcomes were found when using the two-step approach. Longer follow-up
of women with and without GDM may change the results using both approaches.
PMID- 29649541
TI - Efficacy and safety of sodium-glucose cotransporter 2 inhibitors in patients with
type 2 diabetes and moderate renal function impairment: A systematic review and
meta-analysis.
AB - AIMS: A systematic review and meta-analysis was conducted to evaluate the
clinical efficacy and safety of sodium-glucose cotransporter 2 (SGLT2) inhibitors
in patients with type 2 diabetes (T2D) and moderate renal function impairment.
METHODS: Embase, Medline, and Cochrane Central were searched, and randomized
controlled trials comparing SGLT2 inhibitors to placebos and other drugs for T2D
were collected. RESULTS: Seven RCTs with a total of 3307 participants were
included, and the overall bias was low. In the patients with T2D and moderate
renal function impairment (30 ml/min/1.73 m2 <= estimated glomerular filtration
rate (eGFR) <60 ml/min/1.73 m2) compared with the placebo, SGLT2 inhibitors
improved HbA1c significantly (WMD, -0.23%; 95% CI: -0.38 to -0.08), presented a
lower incidence of hypoglycemia (30.1% vs. 34.6%; RR, 0.85; 95% CI: 0.76 to
0.96), led to the reduction of eGFR (WMD, -1.74 ml/min/1.73 m2; 95% CI: -3.45 to
0.03), resulted in an obvious reduction in body weight (WMD, -1.45 kg; 95% CI:
2.01 to -0.89), and presented a similar risk of urinary tract infection and
genital infection. CONCLUSIONS: SGLT2 inhibitors are safe, but mildly reduce the
HbA1c level. The clinical significance of SGLT2 inhibitors in the target
population was limited.
PMID- 29649543
TI - Fate of Recurrent or Persistent Varicocele in the Era of Assisted Reproduction
Technology: Microsurgical Subinguinal Redo Varicocelectomy Versus Observation.
AB - OBJECTIVE: To compare sperm parameters, serum hormone levels, and pregnancy and
miscarriage rates between the infertile men with recurrent or persistent
varicocele who underwent microsurgical subinguinal redo varicocelectomy or had
observation only. MATERIALS AND METHODS: The study included 217 primary infertile
men with recurrent or persistent varicocele. The patients were divided into 2
groups: 120 men underwent microsurgical subinguinal redo varicocelectomy, and 97
had observation only. Differences in total motile sperm count and serum hormone
levels, and pregnancy and miscarriage rates were compared between the 2 groups.
RESULTS: The mean total motile sperm count increased from 20.93 +/- 2.87 to 45.54
+/- 6.28 million in the microsurgical redo varicocelectomy group, and decreased
from 16.62 +/- 2.75 to 15.6 +/- 2.81 million in the control group, revealing
significant difference between the 2 groups (P = .000). Increase in total
testosterone level was significantly higher in the microsurgical redo
varicocelectomy group (+1.36 +/- 0.32 ng/mL) than in the control group (-0.23 +/-
0.1 ng/mL) (P = .000). Of the couples, 63 achieved pregnancy in the microsurgical
redo varicocelectomy group (52.5%), and 38 had pregnancy in the control group
(39.2%) (P <.05). Spontaneous pregnancy rate was significantly higher in the
microsurgical redo varicocelectomy group (39.7%) than in the control group
(15.8%) (P <.01). Use of assisted reproductive technology to achieve pregnancy
was significantly lower in the microsurgical redo varicocelectomy group (60.3%)
than in the control group (84.2%) (P <.01). CONCLUSION: Microsurgical subinguinal
redo varicocele repair improves postoperative sperm parameters, serum total
testosterone level, and spontaneous pregnancy rates compared with the controls.
It also decreases need for use and level of assisted reproductive technology.
PMID- 29649544
TI - Isolated Right Varicocele and Incidence of Associated Cancer.
AB - OBJECTIVE: To test the classic teaching that isolated right varicoceles are
associated with significantly high rates of occult malignancies. METHODS:
Retrospective chart review included all men diagnosed with varicocele at the
Cleveland Clinic from 2000 to 2015. Charts were queried for demographics,
varicocele laterality, cross-sectional imaging, and subsequent diagnosis of
abdominal malignancy or vascular anomaly. Descriptive statistics are presented as
means +/- standard deviation. Comparative statistics include analyses of variance
with Tukey-Kramer pairwise comparisons or chi-square tests as indicated. All P
<.05 are considered significant. RESULTS: Varicocele was diagnosed in 4060 men
(3258 left, 337 right, and 465 bilateral). Men with right varicoceles were
significantly older (43.6 +/- 17.1) than left (33.4 +/- 14.9, P <.0001) or
bilateral (34.9 +/- 15.3, P <.0001), and had higher body mass indices (right 28.9
+/- 5.7; left 26.4 +/- 5.5, P <.0001 and; bilateral 26.5 +/- 5.5, P <.0001).
Laterality of varicocele was not significantly associated with cancer diagnosis
(P = .313), with cancer diagnosed in 2.67% of right, 1.63% of left, and 2.15% of
bilateral varicoceles. Rates of abdominal computed tomography imaging differed
significantly (P <.0001) by laterality: 30.3% of right, 8.7% of left, and 11.2%
of bilateral varicoceles were scanned. Vascular anomalies did not significantly
differ by varicocele laterality. CONCLUSION: Men with right varicoceles were
older, heavier, and underwent more computed tomography scans than those with left
or bilateral varicoceles but did not have higher rates of cancer diagnosis.
PMID- 29649545
TI - Complete Primary Repair of Bladder Exstrophy: Critical Analysis of the Long-term
Outcome.
AB - OBJECTIVE: To demonstrate the long-term outcome of a contemporary series of 64
children who underwent complete primary repair of bladder exstrophy (CPRE) in a
single tertiary referral center. MATERIALS AND METHODS: Between 1998 and 2012, 64
children, 47 boys and 17 girls, were identified. Only 60 of the 64 cases were
available for follow-up. The follow-up was done by renal bladder ultrasound and
serum creatinine every 3 months and voiding cystourethrogram from 6 to 12 months
postoperatively. Continence was defined as dryness >=3 hours. RESULTS: Median
(range) follow-up is 14 years (from 5 to 19 years). Voided continence was
achieved in 14 children (23%) after CPRE only. Additionally, 6 children were
continent after bladder neck reconstruction (BNR) and 2 after bladder neck
injection (BNI), raising the percentage of voided continence to 36%. The
remaining 38 (64%) patients were using clean intermittent catheterization. All
cases were continent at last assessment. The results of BNR or BNI were better in
de novo than in redo cases (P <.05). The percentage of cases that needed
augmentation ileocystoplasty in combination with multiple bladder neck procedures
was lower in both female and de novo cases (P <.05). CONCLUSION: The percentage
of children with classic bladder exstrophy who underwent CPRE who will achieve
continence with volitional voiding via the urethra is 36%. The continence results
after BNR and BNI are better in de novo cases than in redo ones. Continence in
female and de novo cases is more likely to be achieved with lower number of
continence procedures.
PMID- 29649547
TI - Early onset of disc degeneration in SM/J mice is associated with changes in ion
transport systems and fibrotic events.
AB - Intervertebral disc degeneration (IDD) causes back pain and sciatica, affecting
quality of life and resulting in high economic/social burden. The etiology of IDD
is not well understood. Along with aging and environmental factors, genetic
factors also influence the onset, progression and severity of IDD. Genetic
studies of risk factors for IDD using human cohorts are limited by small sample
size and low statistical power. Animal models amenable to genetic and functional
studies of IDD provide desirable alternatives. Despite differences in size and
cellular content as compared to human intervertebral discs (IVDs), the mouse is a
powerful model for genetics and assessment of cellular changes relevant to human
biology. Here, we provide evidence for early onset disc degeneration in SM/J
relative to LG/J mice with poor and good tissue healing capacity respectively. In
the first few months of life, LG/J mice maintain a relatively constant pool of
notochordal-like cells in the nucleus pulposus (NP) of the IVD. In contrast,
chondrogenic events are observed in SM/J mice beginning as early as one-week-old,
with progressive fibrotic changes. Further, the extracellular matrix changes in
the NP are consistent with IVD degeneration. Leveraging on the genomic data of
two parental and two recombinant inbred lines, we assessed the genetic
contribution to the NP changes and identified processes linked to the regulation
of ion transport systems. Significantly, "transport" system is also in the top
three gene ontology (GO) terms from a comparative proteomic analysis of the mouse
NP. These findings support the potential of the SM/J, LG/J and their recombinant
inbred lines for future genetic and biological analysis in mice and validation of
candidate genes and biological relevance in human cohort studies. The proteomic
data has been deposited to the ProteomeXchange Consortium via the PRIDE [1]
partner repository with the dataset identifier PXD008784.
PMID- 29649546
TI - Pulmonary immunity and extracellular matrix interactions.
AB - The lung harbors a complex immune system composed of both innate and adaptive
immune cells. Recognition of infection and injury by receptors on lung innate
immune cells is crucial for generation of antigen-specific responses by adaptive
immune cells. The extracellular matrix of the lung, comprising the interstitium
and basement membrane, plays a key role in the regulation of these immune
systems. The matrix consists of several hundred assembled proteins that interact
to form a bioactive scaffold. This template, modified by enzymes, acts to
facilitate cell function and differentiation and changes dynamically with age and
lung disease. Herein, we explore relationships between innate and adaptive
immunity and the lung extracellular matrix. We discuss the interactions between
extracellular matrix proteins, including glycosaminoglycans, with prominent
effects on innate immune signaling effectors such as toll-like receptors. We
describe the relationship of extracellular matrix proteins with adaptive immunity
and leukocyte migration to sites of injury within the lung. Further study of
these interactions will lead to greater knowledge of the role of matrix biology
in lung immunity. The development of novel therapies for acute and chronic lung
disease is dependent on a comprehensive understanding of these complex matrix
immunity interactions.
PMID- 29649542
TI - New approaches for solving old problems in neuronal protein trafficking.
AB - Fundamental cellular properties are determined by the repertoire and abundance of
proteins displayed on the cell surface. As such, the trafficking mechanisms for
establishing and maintaining the surface proteome must be tightly regulated for
cells to respond appropriately to extracellular cues, yet plastic enough to adapt
to ever-changing environments. Not only are the identity and abundance of surface
proteins critical, but in many cases, their regulated spatial positioning within
surface nanodomains can greatly impact their function. In the context of neuronal
cell biology, surface levels and positioning of ion channels and neurotransmitter
receptors play essential roles in establishing important properties, including
cellular excitability and synaptic strength. Here we review our current
understanding of the trafficking pathways that control the abundance and
localization of proteins important for synaptic function and plasticity, as well
as recent technological advances that are allowing the field to investigate
protein trafficking with increasing spatiotemporal precision.
PMID- 29649548
TI - Spontaneous atopic dermatitis due to immune dysregulation in mice lacking Adamts2
and 14.
AB - Since its first description, ADAMTS14 has been considered as an aminoprocollagen
peptidase based on its high similarity with ADAMTS3 and ADAMTS2. As its
importance for procollagen processing was never experimentally demonstrated in
vivo, we generated Adamts14-deficient mice. They are healthy, fertile and display
normal aminoprocollagen processing. They were further crossed with Adamts2
deficient mice to evaluate potential functional redundancies between these two
highly related enzymes. Initial characterizations made on young Adamts2-Adamts14
deficient animals showed the same phenotype as that of Adamts2-deficient mice,
with no further reduction of procollagen processing and no significant
aggravation of the structural alterations of collagen fibrils. However, when
evaluated at older age, Adamts2-Adamts14-deficient mice surprisingly displayed
epidermal lesions, appearing in 2 month-old males and later in some females, and
then worsening rapidly. Immunohistological evaluations of skin sections around
the lesions revealed thickening of the epidermis, hypercellularity in the dermis
and extensive infiltration by immune cells. Additional investigations, performed
on young mice before the formation of the initial lesions, revealed that the
primary cause of the phenotype was not related to alterations of the epidermal
barrier but was rather the result of an abnormal activation and differentiation
of T lymphocytes towards a Th1 profile. However, the primary molecular defect
probably does not reside in the immune system itself since irradiated Adamts2
Adamts14-deficient mice grafted with WT immune cells still developed lesions.
While originally created to better characterize the common and specific functions
of ADAMTS2 and ADAMTS14 in extracellular matrix and connective tissues
homeostasis, the Adamts2-Adamts14-deficient mice revealed an unexpected but
significant role of ADAMTS in the regulation of immune system, possibly through a
cross-talk involving mesenchymal cells and the TGFbeta pathways.
PMID- 29649549
TI - Bloodstream infections in cancer patients. Risk factors associated with
mortality.
AB - OBJECTIVE: The aim of this study was to evaluate the clinical characteristics and
risk factors associated with mortality in cancer patients with bloodstream
infections (BSI), analyzing multidrug resistant bacteria (MDR). METHODS: We
conducted a prospective observational study at a cancer referral center from
August 2016 to July 2017, which included all BSI. RESULTS: 4220 patients were
tested with blood cultures; 496 were included. Mean age was 48 years. In 299
patients with solid tumors, secondary BSI and Central Line-Associated BSI
(CLABSI) were the most common (55.9% and 31.8%, respectively). In 197 hematologic
patients, primary and mucosal barrier injury (MBI) BSI were the main type
(38.6%). Gram-negative were the most frequent bacteria (72.8%), with Escherichia
coli occupying the first place (n=210, 42.3%), 48% were Extended-Spectrum Beta
Lactamase (ESBL) producers, and 1.8% were resistant to carbapenems. Mortality at
day 30, was 22%, but reached 70% when patients did not receive an appropriate
antimicrobial treatment. Multivariate analysis showed that progression or relapse
of the oncologic disease, inappropriate antimicrobial treatment, and having
resistant bacteria were independently associated with 30-day mortality.
CONCLUSIONS: Emergence of MDR bacteria is an important healthcare problem
worldwide. Patients with BSI, particularly those patients with MDR bacteria have
a higher mortality risk.
PMID- 29649550
TI - Healthcare worker exposure to Middle East respiratory syndrome coronavirus (MERS
CoV): Revision of screening strategies urgently needed.
AB - OBJECTIVES: Middle East respiratory syndrome coronavirus (MERS-CoV) continues to
cause frequent hospital outbreaks in Saudi Arabia, with emergency departments as
the initial site of the spread of this virus. METHODS: The risk of transmission
of MERS-CoV infection to healthcare workers (HCWs) was assessed in an outbreak in
Riyadh. All HCWs with unprotected exposure to confirmed cases were tested after
24h of exposure. Two negative results for MERS-CoV obtained 3days apart and being
free of any suggestive signs and symptoms were used to end the isolation of the
HCWs and allow their return to duty. RESULTS: Overall 17 out of 879 HCWS with
different levels of exposure tested positive for MERS-CoV. Of the 15 positive
HCWS with adequate follow-up, 40% (6/15 HCWs) tested positive on the first
sampling and 53% (8/15) tested positive on the second sampling. The time to
negative results among the 15 positive HCWs ranged between 4 and 47days (average
14.5 days) and the infected HCWs needed on average two samples for clearance. All
positive HCWs were either asymptomatic or had mild disease. CONCLUSIONS: The data
obtained in this study support the widespread testing of all close contacts of
MERS-CoV cases, regardless of the significance of the contact or presence or
absence of symptoms. In addition, urgent careful review of guidance regarding the
return of asymptomatic MERS-CoV-positive HCWs under investigation to active duty
is needed.
PMID- 29649551
TI - The receptor for activated C kinase 1 (RACK1) mediating immune response in thick
shell mussel Mytilus coruscus.
AB - The receptor for activated C kinase 1 (RACK1) is a intracellular receptor for the
protein kinase C family which mediates various biological processes. Here, a
novel RACK1 gene termed Mc-RACK1 was identified from thick shell mussel, Mytilus
coruscus. Mc-RACK1 shared typical RACK1 domains containing WD repeats, PKC
phosphorylation sites, N-myristoylation sites, PKC activation sites, TK
phosphorylation site and WD motifs. Mc-RACK1 was constitutively expressed in all
examined tissues, and its expression in gills, haemocytes and digestive glands
were significantly up-regulated upon LPS challenge. Mc-RACK1 showed a
significantly down-regulated expression in gills and haemocytes at the early
phase upon copper exposure. Mc-RACK1 in haemocytes was silenced after receiving
its dsRNA, meanwhile, the increases of SOD and CAT activity were investigated.
Further, Mc-RACK1 could activate the NF-kappaB and ISRE reporter in HEK-293T
cells. These suggested that Mc-RACK1 had a deeper involvement in mollusc
immunity, and played an important role in antioxidant system.
PMID- 29649552
TI - The Florida manatee (Trichechus manatus latirostris) T cell receptor loci exhibit
V subgroup synteny and chain-specific evolution.
AB - The Florida manatee (Trichechus manatus latirostris) has limited diversity in the
immunoglobulin heavy chain. We therefore investigated the antigen receptor loci
of the other arm of the adaptive immune system: the T cell receptor. Manatees are
the first species from Afrotheria, a basal eutherian superorder, to have an in
depth characterization of all T cell receptor loci. By annotating the genome and
expressed transcripts, we found that each chain has distinct features that
correlates to their individual functions. The genomic organization also plays a
role in modulating sequence conservation between species. There were extensive V
subgroup synteny blocks in the TRA and TRB loci between T. m. latirostris and
human. Increased genomic locus complexity correlated to increased locus synteny.
We also identified evidence for a VHD pseudogene for the first time in a
eutherian mammal. These findings emphasize the value of including species within
this basal eutherian radiation in comparative studies.
PMID- 29649554
TI - Persistence of meticillin-resistant Staphylococcus aureus carriage in re-admitted
patients.
AB - A retrospective cohort study was conducted to investigate the duration and risk
factors for persistence of meticillin-resistant Staphylococcus aureus (MRSA)
colonization among known carriers who were re-admitted to hospital. MRSA carriage
persisted in a high proportion of known carriers up to two years after their last
date of discharge, and re-admission screening should be performed for at least
this duration. A targeted screening approach should focus on older patients with
a history of long inpatient stays, who are at higher risk of persistent carriage.
Timely discharge planning is important in reducing the risk of persistent MRSA
colonization among known carriers.
PMID- 29649555
TI - Paradoxical Acinetobacter-associated ventilator-associated pneumonia incidence
rates within prevention studies using respiratory tract applications of topical
polymyxin: benchmarking the evidence base.
AB - BACKGROUND: Regimens containing topical polymyxin appear to be more effective in
preventing ventilator-associated pneumonia (VAP) than other methods. AIM: To
benchmark the incidence rates of Acinetobacter-associated VAP (AAVAP) within
component (control and intervention) groups from concurrent controlled studies of
polymyxin compared with studies of various VAP prevention methods other than
polymyxin (non-polymyxin studies). METHODS: An AAVAP benchmark was derived using
data from 77 observational groups without any VAP prevention method under study.
Data from 41 non-polymyxin studies provided additional points of reference. The
benchmarking was undertaken by meta-regression using generalized estimating
equation methods. RESULTS: Within 20 studies of topical polymyxin, the mean AAVAP
was 4.6% [95% confidence interval (CI) 3.0-6.9] and 3.7% (95% CI 2.0-5.3) for
control and intervention groups, respectively. In contrast, the AAVAP benchmark
was 1.5% (95% CI 1.2-2.0). In the AAVAP meta-regression model, group origin from
a trauma intensive care unit (+0.55; +0.16 to +0.94, P = 0.006) or membership of
a polymyxin control group (+0.64; +0.21 to +1.31, P = 0.023), but not membership
of a polymyxin intervention group (+0.24; -0.37 to +0.84, P = 0.45), were
significant positive correlates. CONCLUSIONS: The mean incidence of AAVAP within
the control groups of studies of topical polymyxin is more than double the
benchmark, whereas the incidence rates within the groups of non-polymyxin studies
and, paradoxically, polymyxin intervention groups are more similar to the
benchmark. These incidence rates, which are paradoxical in the context of an
apparent effect against VAP within controlled trials of topical polymyxin-based
interventions, force a re-appraisal.
PMID- 29649556
TI - Is there an association between airborne and surface microbes in the critical
care environment?
AB - BACKGROUND: There are few data and no accepted standards for air quality in the
intensive care unit (ICU). Any relationship between airborne pathogens and
hospital-acquired infection (HAI) risk in the ICU remains unknown. AIM: First, to
correlate environmental contamination of air and surfaces in the ICU; second, to
examine any association between environmental contamination and ICU-acquired
staphylococcal infection. METHODS: Patients, air, and surfaces were screened on
10 sampling days in a mechanically ventilated 10-bed ICU for a 10-month period.
Near-patient hand-touch sites (N = 500) and air (N = 80) were screened for total
colony count and Staphylococcus aureus. Air counts were compared with surface
counts according to proposed standards for air and surface bioburden. Patients
were monitored for ICU-acquired staphylococcal infection throughout. FINDINGS:
Overall, 235 of 500 (47%) surfaces failed the standard for aerobic counts (<=2.5
cfu/cm2). Half of passive air samples (20/40: 50%) failed the 'index of microbial
air' contamination (2 cfu/9 cm plate/h), and 15/40 (37.5%) active air samples
failed the clean air standard (<10 cfu/m3). Settle plate data were closer to the
pass/fail proportion from surfaces and provided the best agreement between air
parameters and surfaces when evaluating surface benchmark values of 0-20 cfu/cm2.
The surface standard most likely to reflect hygiene pass/fail results compared
with air was 5 cfu/cm2. Rates of ICU-acquired staphylococcal infection were
associated with surface counts per bed during 72h encompassing sampling days (P =
0.012). CONCLUSION: Passive air sampling provides quantitative data analogous to
that obtained from surfaces. Settle plates could serve as a proxy for routine
environmental screening to determine the infection risk in ICU.
PMID- 29649553
TI - The interplay between dose and immune system activation determines fungal
infection outcome in the African malaria mosquito, Anopheles gambiae.
AB - The Toll pathway is a central regulator of antifungal immunity in insects. In
mosquitoes, the Toll pathway affects infections with the fungal entomopathogen,
Beauveria bassiana, which is considered a potential mosquito biopesticide. We
report here the use of B. bassiana strain I93-825 in Anopheles gambiae to analyze
the impact of Toll pathway modulation on mosquito survival. Exposure to a narrow
dose range of conidia by direct contact decreased mosquito longevity and median
survival. In addition, fungal exposure dose correlated positively and linearly
with hazard ratio. Increased Toll signaling by knockdown of its inhibitor,
cactus, decreased survivorship of uninfected females, increased mosquito survival
after low dose B. bassiana exposure, but had little effect following exposure to
higher doses. This observed trade-off could have implications for development of
B. bassiana as a prospective vector control tool. On the one hand, selection for
small increases in mosquito immune signaling across a narrow dose range could
impair efficacy of B. bassiana. On the other hand, costs of immunity and the
capacity for higher doses of fungus to overwhelm immune responses could limit
evolution of resistance.
PMID- 29649557
TI - Ultraviolet-C decontamination of hand-held tablet devices in the healthcare
environment using the Codonics D6000TM disinfection system.
AB - Mobile phones and tablet computers may be contaminated with micro-organisms and
become a potential reservoir for cross-transmission of pathogens between
healthcare workers and patients. There is no generally accepted guidance on how
to reduce contamination on mobile devices in healthcare settings. Our aim was to
determine the efficacy of the Codonics D6000TM UV-C disinfection device. Daily
disinfection reduced contamination on screens and on protective cases (test)
significantly, but not all cases (control) could be decontaminated. The median
aerobic colony count on the control and the test cases was 52 cfu/25 cm2
(interquartile range: 33-89) and 22 cfu/25 cm2 (10.5-41), respectively, before
disinfection.
PMID- 29649558
TI - Feasibility of a real-time hand hygiene notification machine learning system in
outpatient clinics.
AB - BACKGROUND: Various technologies have been developed to improve hand hygiene (HH)
compliance in inpatient settings; however, little is known about the feasibility
of machine learning technology for this purpose in outpatient clinics. AIM: To
assess the effectiveness, user experiences, and costs of implementing a real-time
HH notification machine learning system in outpatient clinics. METHODS: In our
mixed methods study, a multi-disciplinary team co-created an infrared guided
sensor system to automatically notify clinicians to perform HH just before first
patient contact. Notification technology effects were measured by comparing HH
compliance at baseline (without notifications) with real-time auditory
notifications that continued till HH was performed (intervention I) or
notifications lasting 15 s (intervention II). User experiences were collected
during daily briefings and semi-structured interviews. Costs of implementation of
the system were calculated and compared to the current observational auditing
programme. FINDINGS: Average baseline HH performance before first patient contact
was 53.8%. With real-time auditory notifications that continued till HH was
performed, overall HH performance increased to 100% (P < 0.001). With auditory
notifications of a maximum duration of 15 s, HH performance was 80.4% (P <
0.001). Users emphasized the relevance of real-time notification and contributed
to technical feasibility improvements that were implemented in the prototype.
Annual running costs for the machine learning system were estimated to be 46%
lower than the observational auditing programme. CONCLUSION: Machine learning
technology that enables real-time HH notification provides a promising cost
effective approach to both improving and monitoring HH, and deserves further
development in outpatient settings.
PMID- 29649559
TI - Ultrafast Doppler for neonatal brain imaging.
AB - The emergence of functional neuroimaging has dramatically accelerated our
understanding of the human mind. The advent of functional Magnetic Resonance
Imaging paved the way for the next decades' major discoveries in neuroscience and
today remains the "gold standard" for deep brain imaging. Recent improvements in
imaging technology have been somewhat limited to incremental innovations of
mature techniques instead of breakthroughs. Recently, the use of ultrasonic plane
waves transmitted at ultrafast frame rates was shown to highly increase Doppler
ultrasound sensitivity to blood flows in small vessels in rodents. By identifying
regions of brain activation through neurovascular coupling, Ultrafast Doppler was
entering into the world of preclinical neuroimaging. The combination of many
advantages, including high spatio-temporal resolution, deep penetration, high
sensitivity and portability provided unique information about brain function.
Recently, Ultrafast Doppler imaging was found able to non-invasively image the
spatial and temporal dynamics of microvascular changes during seizures and
interictal periods with an unprecedented resolution at bedside. This review
summarizes the technical basis, the added value and the clinical perspectives
provided by this new brain imaging modality that could create a breakthrough in
the knowledge of brain hemodynamics, brain insult, and neuroprotection.
PMID- 29649561
TI - Real-motion signals in human early visual cortex.
AB - Eye movements induce visual motion that can complicate the stable perception of
the world. The visual system compensates for such self-induced visual motion by
integrating visual input with efference copies of eye movement commands. This
mechanism is central as it does not only support perceptual stability but also
mediates reliable perception of world-centered objective motion. In humans, it
remains elusive whether visual motion responses in early retinotopic cortex are
driven by objective motion or by retinal motion associated with it. To address
this question, we used fMRI to examine functional responses of sixteen visual
areas to combinations of planar objective motion and pursuit eye movements.
Observers were exposed to objective motion that was faster, matched or slower
relative to pursuit, allowing us to compare conditions that differed in objective
motion velocity while retinal motion and eye movement signals were matched. Our
results show that not only higher level motion regions such as V3A and V6, but
also early visual areas signaled the velocity of objective motion, hence the
product of integrating retinal with non-retinal signals. These results shed new
light on mechanisms that mediate perceptual stability and real-motion perception,
and show that extra-retinal signals related to pursuit eye movements influence
processing in human early visual cortex.
PMID- 29649560
TI - Network specialization during adolescence: Hippocampal effective connectivity in
boys and girls.
AB - Adolescence is a complex period of concurrent mental and physical development
that facilitates adult functioning at multiple levels. Despite the growing number
of neuroimaging studies of cognitive development in adolescence focusing on
regional activation patterns, there remains a paucity of information about the
functional interactions across these participating regions that are critical for
cognitive functioning, including memory. The current study used structural
equation modeling (SEM) to determine how interactions among brain regions
critical for memory change over the course of adolescence. We obtained functional
MRI in 77 individuals aged 8-16 years old, divided into younger (ages 8-10) and
older (ages > 11) cohorts, using an incidental encoding memory task to activate
hippocampus formation and associated brain networks, as well as behavioral data
on memory function. SEM was performed on the imaging data for four groups
(younger girls, younger boys, older girls, and older boys) that were subsequently
compared using a stacked model approach. Significant differences were seen
between the models for these groups. Younger boys had a predominantly posterior
distribution of connections originating in primary visual regions and terminating
on multi-modal processing regions. In older boys, there was a relatively greater
anterior connection distribution, with increased effective connectivity within
association and multi-modal processing regions. Connection patterns in younger
girls were similar to those of older boys, with a generally anterior-posterior
distributed network among sensory, multi-modal, and limbic regions. In contrast,
connections in older girls were widely distributed but relatively weaker. Memory
performance increased with age, without a significant difference between the
sexes. These findings suggest a progressive reorganization among brain regions,
with a commensurate increase in efficiency of cognitive functioning, from younger
to older individuals in both girls and boys, providing insight into the age- and
gender-specific processes at play during this critical transition period.
PMID- 29649562
TI - Carrying the past to the future: Distinct brain networks underlie individual
differences in human spatial working memory capacity.
AB - Spatial working memory (SWM) relies on the interplay of anatomically separated
and interconnected large-scale brain networks. EEG studies often observe load
associated sustained negative activity during SWM retention. Yet, whether and how
such sustained negative activity in retention relates to network-specific
functional activation/deactivation and relates to individual differences in SWM
capacity remain to be elucidated. To cover these gaps, we recorded concurrent EEG
fMRI data in 70 healthy young adults during the Sternberg delayed-match-to-sample
SWM task with three memory load levels. To a subset of participants (N = 28) that
performed the task properly and had artefact-free fMRI and EEG data, we employed
a novel temporo-spatial principal component analysis to derive load-dependent
negative slow wave (NSW) from retention-related event-related potentials. The
associations between NSW responses with SWM capacity were divergent in the higher
(N = 14) and lower (N = 14) SWM capacity groups. Specifically, larger load
related increase in NSW amplitude was associated with greater SWM capacity for
the higher capacity group but lower SWM capacity for the lower capacity group.
Furthermore, for the higher capacity group, larger NSW amplitude was related to
greater activation in bilateral parietal areas of the fronto-parietal network
(FPN) and greater deactivation in medial frontal gyrus and posterior mid
cingulate cortex of the default mode network (DMN) during retention. In contrast,
the lower capacity group did not show similar pattern. Instead, greater NSW was
linked to higher deactivation in right posterior middle temporal gyrus. Our
findings shed light on the possible differential EEG-informed neural network
mechanism during memory maintenance underlying individual differences in SWM
capacity.
PMID- 29649563
TI - Isolation and characterization of a novel serine protease inhibitor, SjSPI, from
Schistosoma japonicum.
AB - Serine proteinase inhibitor (Serpin, SPI) is a vital superfamily of endogenous
inhibitors that monitor proteolytic events active in a number of biological
functions. In this study, we isolated a full length gene encoding a novel serine
protease inhibitor of Schistosoma japonicum (SjSPI) and characterized its
molecular properties. Our result showed that SjSPI contained an open reading
frame of 1,218 bp, which encoded 405 amino acid residues. Chromosomal structure
analysis showed that SjSPI gene was comprised of six exons separated by five
introns. It had essential structural motifs which were well conserved among the
Serpin superfamily and showed 17-33% sequence identities with Serpins from other
helminthic parasites. Trematode Serpin diverged separately into two different
subclades and that the SjSPI clustered Subclade I. Exon-intron structures of
trematode Serpins were highly conserved, closely with cestode Serpins. No signal
peptide but a strongly transmembrane domain was predicted to exist in SjSPI,
suggesting that the protein might be a soluble membrane-associated protein.
Homology modeling predicted in silico confirmed that the SjSPI structure also
belonged to the Serpin superfamily, containing nine alpha-helices and a reactive
central loop. The bacterially expressed recombinant GST-SjSPI protein effectively
inhibited the activities of chymotrypsin, trypsin and thrombin. Expression of
SjSPI was detected throughout various developmental stages of the parasite in
host and reached its maximal levels at the adult and egg stages, which suggests
that SjSPI may be possibly involved in maintaining the physiology of eggs by
regulating endogenous serine proteases.
PMID- 29649564
TI - XBP1 activation enhances MANF expression via binding to endoplasmic reticulum
stress response elements within MANF promoter region in hepatitis B.
AB - As an endoplasmic reticulum (ER) stress-related protein, mesencephalic astrocyte
derived neurotrophic factor (MANF) is involved in inflammatory diseases, such as
rheumatoid arthritis. However, the mechanisms of the transcriptional regulation
of MANF is still undefined. Here, we showed that MANF expression was upregulated
in hepatitis B tissues and hepatoma cells, and positively correlated with the
spliced X-box binding protein-1 (XBP1s). Both overexpression of XBP1s and
tunicamycin treatment were able to enhance MANF transcription. On the contrary,
inhibition of XBP1 splicing by IRE1alpha endonuclease inhibitor or knockdown of
XBP1s with siRNA attenuated MANF expression. Two ER stress-responsive elements
(ERSE) were found in the promoter region of MANF (ERSE I and ERSE II). The
chromatin immunoprecipitation and reporter gene assay showed that XBP1s mainly
binds to ERSE I to promote MANF transcription. Moreover, MANF was found to
interact with XBP1s to enhance its own expression. Our findings uncover a new
mechanism of ERSE-dependent transcriptional regulation of MANF, as well as a key
role of XBP1s in promoting the MANF expression.
PMID- 29649565
TI - Polyamines protect nucleic acids against depurination.
AB - Depurination is accelerated by heat and reactive oxygen species under
physiological conditions. We previously reported that polyamines are involved in
mitigation of heat shock and oxidative stresses through stimulation of the
synthesis of heat shock and antioxidant proteins. This time, we investigated
whether polyamines are directly involved in protecting nucleic acids from thermal
depurination induced by high temperature. The suppressing efficiencies of
depurination of DNA by spermine, caldopentamine and caldohexamine in the presence
of 1 mM Mg2+, were approximately 50%, 60% and 80%, respectively. Mg2+ also
protected nucleic acids against depurination but to a lesser degree than
polyamines. Longer unusual polyamines were more effective at protecting DNA
against depurination compared to standard polyamines. The tRNA depurination
suppressing efficiencies of spermine, caldopentamine and caldohexamine in the
presence of 1 mM Mg2+, were approximately 60%, 70% and 80%, respectively.
Standard polyamines protected tRNA and ribosomes more effectively than DNA
against thermal depurination. Branched polyamines such as mitsubishine and
tetrakis(3-aminopropyl)ammonium also protected RNA more effectively than DNA
against depurination. These results suggest that the suppressing effect of
depurination of nucleic acids (DNA and RNA) depends on the types of polyamines:
i.e. to maintain functional conformation of nucleic acids at high temperature,
longer and branched polyamines play important roles in protecting nucleic acids
from depurination compared to standard polyamines and Mg2+.
PMID- 29649566
TI - Spatio-temporal monitoring of lipid peroxyl radicals in live cell studies
combining fluorogenic antioxidants and fluorescence microscopy methods.
AB - Lipid peroxidation of polyunsaturated fatty acids in cells may occur via their
catalytic autoxidation through peroxyl radicals under oxidative stress
conditions. Lipid peroxidation is related to a number of pathologies, and may be
invoked in new forms of regulated cell death, yet it may also have beneficial
roles in cell signaling cascades. Antioxidants are a natural line of defense
against lipid peroxidation, and may accordingly impact the biological outcome
associated with the redox chemistry of lipid peroxidation. Critical to unraveling
the physiological and pathological role of lipid peroxidation is the development
of novel probes with the partition, chemical sensitivity and more importantly,
molecular specificity, enabling the spatial and temporal imaging of peroxyl
radicals in the lipid membranes of live cells, reporting on the redox status of
the cell membrane. This review describes our recent progress to visualize lipid
peroxidation in model membrane systems and in live cell studies. Our work
portrays the mechanistic insight leading to the development of a highly sensitive
probe to monitor lipid peroxyl radicals (LOO*). It also describes technical
aspects including reagents and fluorescence microscopy methodologies to consider
in order to achieve the much sought after monitoring of rates of lipid peroxyl
radical production in live cell studies, be it under oxidative stress but also
under cell homeostasis. This review seeks to bring attention to the study of
lipid redox reactions and to lay the groundwork for the adoption of fluorogenic
antioxidant probeshancement and maximum intensity recorded in turn provide a
benchmark to estimate, when compared to the control BODIPY dye lacking the
intramolecular PeT based switch, the overall exte and related fluorescence
microscopy methods toward gaining rich spatiotemporal information on lipid
peroxidation in live cells.
PMID- 29649567
TI - Nrf2 signaling and autophagy are complementary in protecting breast cancer cells
during glucose deprivation.
AB - Autophagy can serve as a mechanism for survival of cells during nutrient
deprivation by recycling cellular macromolecules and organelles transiently to
provide essential metabolic substrates. However, autophagy itself causes
metabolic stress to cells, and other cellular protective mechanisms likely
cooperate with autophagy to promote cell survival during nutrient deprivation. In
this study, we explored protective mechanisms in breast cancer cells in the
setting of glucose deprivation. While breast cancer cells (MCF7 and T47D) survive
in glucose-free medium for three days or more, autophagy is induced in this
setting. Blocking autophagy pharmacologically with chloroquine or by knock-out of
an essential autophagy gene, such as Beclin 1 or ATG7, markedly reduces the
ability of cells to survive during glucose deprivation. Autophagy previously was
shown to degrade p62, a protein that sequesters KEAP1, and KEAP1 in turn
sequesters Nrf2, a master regulator of the antioxidant response. Hence, we
investigated how the Nrf2 signaling pathway might be affected by glucose
deprivation and autophagy. We found that while glucose deprivation does cause
decreased cellular levels of p62, Nrf2 protein levels and activity unexpectedly
increase in this setting. Moreover, this increase in Nrf2 activity provides
important protection to breast cancer cells during glucose deprivation, since
siRNA knockdown of Nrf2 markedly impairs survival during glucose deprivation.
Antioxidants, N-acetyl cysteine and glutathione also protect these cells during
glucose deprivation, leading us to conclude that Nrf2 signaling via its
antioxidant activity has a critical and previously undescribed role of protecting
cells during glucose deprivation-induced autophagy.
PMID- 29649568
TI - Honokiol alleviates the degeneration of intervertebral disc via suppressing the
activation of TXNIP-NLRP3 inflammasome signal pathway.
AB - Intervertebral disc degeneration (IVDD) is a multifactorial disease and
responsible for many spine related disorders, causes disability in the workforce
and heavy social costs all over the world. Honokiol, a low molecular weight
natural product, could penetrate into and distribute in IVDs to achieve
therapeutic effect in a rat tail model. Therefore, the present study was
undertaken to examine the antiinflammatory, antioxidation and IVD-protective
effect of honokiol using nucleus pulposus cells and investigate its mechanisms to
provide a new basis for future clinical treatment of IVDD. In the current study,
we demonstrated that honokiol inhibits the H2O2-induced apoptosis (caspase-9,
caspase-3, and bax), levels of oxidative stress mediators (ROS, MDA), expression
of inflammatory mediators (Interleukin-6, COX-2, and iNOS), major matrix
degrading proteases (MMP-3, MMP-13, ADAMTS5, and ADAMTS4) associated with nucleus
pulposus degradation. Furthermore, we found nucleus pulposus protective ability
of honokiol by up-regulating extra cellular matrix anabolic factors like type II
collagen (Col II) and SOX9 in nucleus pulposus. We also found that honokiol
suppressed the phosphorylation of NF-kB and JNK, and activation of TXNIP-NLRP3
inflammasome in H2O2-stimulated nucleus pulposus cells, thereby inhibiting the
activation of downstream inflammatory mediators such as Interleukin-1beta.
Furthermore, honokiol showed a cartilage protective effect in the progression of
IVDD in a rat model induced by puncture. Thus, our results demonstrate that
honokiol inhibited the H2O2 induced apoptosis, oxidative stress, and inflammatory
responses through the depression of TXNIP/NLRP3/caspase-1/ Interleukin - 1beta
signaling axis and the activation of NF-kB and JNK. Honokiol possess nucleus
pulposus protective properties and may be of value in suppressing the
pathogenesis of IVDD.
PMID- 29649569
TI - The use of molecular descriptors in the development of co-amorphous formulations.
AB - Co-amorphous systems consisting of a drug and an amino acid have been
investigated extensively for the enhancement of drug solubility and amorphous
stability. The purpose of this study is to investigate which molecular
descriptors are important for predicting the likelihood of a successful co
amorphisation between amino acid and drug. The predictions are thought to be used
in an early screening phase to identify potential drug-amino acid combinations
for further studies. A large variety of molecular descriptors was calculated for
six drugs (carvedilol, mebendazole, carbamazepine, furosemide, indomethacin and
simvastatin) and the twenty naturally occurring amino acids. The descriptor
differences for all drug-amino acid combinations were calculated and used as
input in the X-matrix of a Partial Least Square Discriminant Analysis (PLS-DA).
The Y-matrix of the PLS-DA consisted of the X-ray powder diffraction response
("co-amorphous" or "not co-amorphous") obtained by ball milling all combinations
for 60 min. The PLS-DA model showed a clear separation of the not co-amorphous
and the co-amorphous samples and was successfully predicting the class membership
of 19 out of the 20 completely left out drug-amino acid combinations of
mebendazole. The approach seems to be promising for predicting the ability of new
drug-amino acids combinations to become co-amorphous.
PMID- 29649570
TI - Effect of amino acids on the stability of spray freeze-dried immunoglobulin G in
sugar-based matrices.
AB - The purpose of this study was to prepare spray freeze-dried particles of
immunoglobulin G (IgG) using various combinations of trehalose and different
amino acids (leucine, phenylalanine, arginine, cysteine, and glycine), and
investigate the effect of the amino acids on the stability of IgG during the
spray freeze-drying (SFD) process and storage. The morphology and structural
integrity of the processed particles were evaluated by physical and spectroscopic
techniques. SFD-processed IgG without any excipient resulted in the formation of
aggregates corresponding to approximately 14% of IgG. In contrast, IgG
formulations stabilized using an optimal level of leucine, phenylalanine, or
glycine in the presence of trehalose displayed aggregates <2.2%. In particular,
phenylalanine combined with trehalose was most effective in stabilizing IgG
against shear, freezing, and dehydration stresses during SFD. Arginine and
cysteine were destabilizers displaying aggregation and fragmentation of IgG,
respectively. Aggregation and fragmentation were evaluated by dynamic light
scattering, ultraviolet spectrophotometry, size-exclusion chromatography, and
microchip capillary gel electrophoresis. The IgG formulations prepared with
leucine, phenylalanine, or glycine in the presence of trehalose showed good
stability after storage at 40 degrees C and 75% relative humidity for 2 months.
Thus, a combination of the excipients trehalose and uncharged, nonpolar amino
acids appears effective for production of stable SFD IgG formulations.
PMID- 29649571
TI - Spermidine ameliorates the neuronal aging by improving the mitochondrial function
in vitro.
AB - Changes in mitochondrial structure and function are the initial factors of cell
aging. Spermidine has an antiaging effect, but its effect on neuronal aging and
mitochondrial mechanisms is unclear. In this study, mouse neuroblastoma (N2a)
cells were treated with d-galactose (d-Gal) to establish cell aging to
investigate the antiaging effect and mechanisms of spermidine. Changes in the
cell cycle and beta-galactosidase activity were analyzed to evaluate the extent
of cell aging. Stabilities of mitochondrial mRNA and mitochondrial membrane
potential (MMP) were evaluated in the process of cell aging under different
treatments. The mitochondrial function was also evaluated using the Seahorse
Metabolic Analysis System combined with ATP production. The unfolded protein
response (UPR) of the N2a cells was analyzed under different treatments. Results
showed that spermidine pretreatment could delay the cell aging and could maintain
the mitochondrial stability during d-Gal treatment. Spermidine increased the
proportion of cells in the S phase and maintained the MMP. The oxygen utilization
and ATP production in the N2a cells were reduced by d-Gal treatment but were
partially rescued by the spermidine pretreatment. Spermidine ameliorated the N2a
cell aging by promoting the autophagy and inhibiting the apoptosis except the
UPR. These results showed that spermidine could ameliorate the N2a cell aging by
maintaining the mitochondrial mRNA transcription, MMP and oxygen utilization
during the d-Gal treatment.
PMID- 29649572
TI - Associations of sarcopenic obesity with the metabolic syndrome and insulin
resistance over five years in older men: The Concord Health and Ageing in Men
Project.
AB - PURPOSE: Previous cross-sectional studies investigating associations of
sarcopenic obesity with metabolic syndrome (MetS) and insulin resistance have not
utilised consensus definitions of sarcopenia. We aimed to determine associations
of sarcopenic obesity with MetS and insulin resistance over five years in
community-dwelling older men. METHODS: 1231 men aged >=70 years had appendicular
lean mass (ALM) and body fat percentage assessed by dual-energy X-ray
absorptiometry and hand grip strength and gait speed tests. Sarcopenia was
defined as low ALM/height (m2) and low hand grip strength or gait speed (European
Working Group definition); obesity was defined as body fat percentage >=30%. MetS
was assessed at baseline and 5-years later. Homeostasis Model Assessment of
Insulin Resistance (HOMA-IR) was assessed at 5-years only. RESULTS: Men with
sarcopenic obesity (odds ratio, 95% CI: 2.07, 1.21-3.55) and non-sarcopenic
obesity (4.19, 3.16-5.57) had higher MetS likelihood than those with non
sarcopenic non-obesity at baseline. Higher gait speed predicted lower odds for
prevalent MetS (0.45, 0.21-0.96 per m/s). Higher body fat predicted increased
odds for prevalent and incident MetS (1.14, 1.11-1.17 and 1.11, 1.02-1.20 per kg,
respectively) and deleterious 5-year changes in MetS fasting glucose, high
density lipoprotein cholesterol and triglycerides (all P < 0.05). Compared with
non-sarcopenic non-obesity, estimated marginal means for HOMA-IR at 5-years were
higher in non-sarcopenic obesity only (1.0, 0.8-1.1 vs 1.3, 1.2-1.5; P < 0.001).
Similar results were observed when sarcopenic obesity was defined by waist
circumference. CONCLUSIONS: Sarcopenic obesity does not appear to confer greater
risk for incident MetS or insulin resistance than obesity alone in community
dwelling older men.
PMID- 29649573
TI - An operational model for GPCR homodimers and its application in the analysis of
biased signaling.
AB - G-protein-coupled receptors are one of the most important protein superfamilies
as drug targets in drug discovery programs. Their interactions with ligands are
influenced by their homomerization. In this study, we propose an operational
model for receptor homodimers, which includes constitutive receptor activity.
Distinct functional response curves can be obtained from this model, which can
satisfactorily depict typical complex experimental data as biphasic and bell
shaped curves. Operational parameters in the model can provide mechanistic
explanations for observed functional complexity associated with the cooperativity
and intrinsic efficacy of ligands. Because the model presented here is derived
from the conceptual framework of operational models, it takes advantage of the
body of knowledge coming from the widespread use of this type of modeling. The
operational homodimer model can also explain the biased signaling dependent on
ligand concentration. In conclusion, this operational homodimer model has a wide
range of applications in pharmacological research.
PMID- 29649574
TI - Detection of high GS risk group prostate tumors by diffusion tensor imaging and
logistic regression modelling.
AB - PURPOSE: To assess the value of joint evaluation of diffusion tensor imaging
(DTI) measures by using logistic regression modelling to detect high GS risk
group prostate tumors. MATERIALS AND METHODS: Fifty tumors imaged using DTI on a
3 T MRI device were analyzed. Regions of interests focusing on the center of
tumor foci and noncancerous tissue on the maps of mean diffusivity (MD) and
fractional anisotropy (FA) were used to extract the minimum, the maximum and the
mean measures. Measure ratio was computed by dividing tumor measure by
noncancerous tissue measure. Logistic regression models were fitted for all
possible pair combinations of the measures using 5-fold cross validation.
RESULTS: Systematic differences are present for all MD measures and also for all
FA measures in distinguishing the high risk tumors [GS >= 7(4 + 3)] from the low
risk tumors [GS <= 7(3 + 4)] (P < 0.05). Smaller value for MD measures and larger
value for FA measures indicate the high risk. The models enrolling the measures
achieve good fits and good classification performances (R2adj = 0.55-0.60, AUC =
0.88-0.91), however the models using the measure ratios perform better (R2adj =
0.59-0.75, AUC = 0.88-0.95). The model that employs the ratios of minimum MD and
maximum FA accomplishes the highest sensitivity, specificity and accuracy (Se =
77.8%, Sp = 96.9% and Acc = 90.0%). CONCLUSION: Joint evaluation of MD and FA
diffusion tensor imaging measures is valuable to detect high GS risk group
peripheral zone prostate tumors. However, use of the ratios of the measures
improves the accuracy of the detections substantially. Logistic regression
modelling provides a favorable solution for the joint evaluations easily
adoptable in clinical practice.
PMID- 29649575
TI - The interaction between environmental triggers and epigenetics in autoimmunity.
AB - Systemic lupus erythematosus flares when genetically predisposed people encounter
environmental agents that cause oxidative stress, such as infections and
sunlight. How these modify the immune system to initiate flares is unclear. Drug
induced lupus models demonstrate that CD4+ T cells epigenetically altered with
DNA methylation inhibitors cause lupus in animal models, and similar T cells are
found in patients with active lupus. How infections and sun exposure inhibit T
cell DNA methylation is unclear. DNA methylation patterns are replicated each
time a cell divides in a process that requires DNA methyltransferase one (Dnmt1),
which is upregulated as cells enter mitosis, as well as the methyl donor S
adenosylmethionine, created from dietary sources. Reactive oxygen species that
inhibit Dnmt1 upregulation, and a diet poor in methyl donors, combine to cause
lupus in animal models. Similar changes are found in patients with active lupus,
indicating a mechanism contributing to lupus flares.
PMID- 29649576
TI - Epigenetic alterations in primary Sjogren's syndrome - an overview.
AB - Primary Sjogren's syndrome (pSS) is a chronic autoimmune rheumatic disease
characterized by inflammation of exocrine glands, mainly salivary and lacrimal
glands. In addition, pSS may affect multiple other organs resulting in systemic
manifestations. Although the precise etiology of pSS remains elusive, pSS is
considered to be a multi-factorial disease, where underlying genetic
predisposition, environmental factors and epigenetic mechanisms contribute to
disease development. Epigenetic mechanisms, such as DNA methylation, histone
modifications and non-coding RNAs, may constitute a dynamic link between genome,
environment and phenotypic manifestation by their modulating effects on gene
expression. A growing body of studies reporting altered epigenetic landscapes in
pSS suggests that epigenetic mechanisms play a role in the pathogenesis of pSS,
and the reversible nature of epigenetic modifications suggests therapeutic
strategies targeting epigenetic dysregulation in pSS. This article reviews our
current understanding of epigenetic mechanisms in pSS and discusses implications
for novel diagnostic and therapeutic approaches.
PMID- 29649577
TI - Bioinformatics characterization of a cathepsin B transcript from the giant river
prawn, Macrobrachium rosenbergii: Homology modeling and expression analysis after
Aeromonas hydrophila infection.
AB - Cathepsin B is a lysosomal proteolytic enzyme that has been suggested to play a
role in pathological processes of immune system. In this study, the full-length
cDNA sequence of cathepsin B transcript in the giant river prawn Macrobrachium
rosenbergii (MrCTSB) was obtained from 454 pyrosequencing of cDNAs from
hepatopancreas and muscle. It was 1158 bp in length, containing an open reading
frame (ORF) of 987 bp corresponding to 328 amino acids. The predicted molecular
mass and pI of MrCTSB protein was 36.04 kDa and 4.73. The major characteristics
of MrCTSB protein consisted of a propeptide of C1 peptidase family at the N
terminus and a cysteine protease (Pept_C1) domain at the C-terminus. The 3
dimentional structure of MrCTSB was constructed by computer-assisted homology
modeling. The folding of MrCTSB was highly conserved to human CTSB structure and
the modeled MrCTSB displayed characteristics of cysteine proteinases superfamily.
The docking study was performed to investigate binding interactions between known
inhibitors against MrCTSB. Known inhibitors were oriented in the groove of
catalytic site cleft. They bound to subsites from S2, S1, S1', and S2',
respectively, with key residues in each subsite. Challenge of juvenile prawns
with Aeromonas hydrophila revealed that the MrCTSB transcript in hepatopancreas
significantly increased at 60-96 h post injection (hpi). This suggested that
MrCTSB may play roles in innate immunity of M. rosenbergii. Our results provide
useful information for a more comprehensive study in immune-related functions of
MrCTSB.
PMID- 29649578
TI - Emergence and multiple reassortments of French 2015-2016 highly pathogenic H5
avian influenza viruses.
AB - From November 2015 to August 2016, 81 outbreaks of highly pathogenic (HP) H5
avian influenza virus were detected in poultry farms from South-Western France.
These viruses were mainly detected in farms raising waterfowl, but also in
chicken or guinea fowl flocks, and did not induce severe signs in waterfowl
although they did meet the HP criteria. Three different types of neuraminidases
(N1, N2 and N9) were associated with the HP H5 gene. Full genomes sequences of 24
H5HP and 6 LP viruses that circulated in the same period were obtained by next
generation sequencing, from direct field samples or after virus isolation in SPF
embryonated eggs. Phylogenetic analyses of the eight viral segments confirmed
that they were all related to the avian Eurasian lineage. In addition, analyses
of the "Time of the Most Recent Common Ancestor" showed that the common ancestor
of the H5HP sequences from South-Western France could date back to early 2014 (+/
1 year). This pre-dated the first detection of H5 HP in poultry farms and was
consistent with a silent circulation of these viruses for several months.
Finally, the phylogenetic study of the different segments showed that several
phylogenetic groups could be established. Twelve genotypes of H5HP were detected
implying that at least eleven reassortment events did occur after the H5HP
cleavage site emerged. This indicates that a large number of co-infections with
both highly pathogenic H5 and other avian influenza viruses must have occurred, a
finding that lends further support to prolonged silent circulation.
PMID- 29649581
TI - A large-scale phylogeny of Microhylidae inferred from a combined dataset of 121
genes and 427 taxa.
AB - The phylogenetic relationships of Microhylidae, the third largest family of
extant frogs, have been difficult to resolve. In the past decade, large amounts
of sequence data have been deposited for almost every microhylid genus, but no
study has attempted to combine these data to reconstruct a comprehensive
phylogeny for this family. In this study, we sequenced 20 near-complete or
partial microhylid mitochondrial genomes and integrated them with all available
sequences of Microhylidae from GenBank to construct a supermatrix containing 121
genes (14 mitochondrial and 107 nuclear protein-coding genes). The combined
dataset is 112,328 characters long (average sequence data length per species =
7829 bp), includes 427 microhylid taxa, and covers all but three genera of the
entire family. This dataset provides strong support for the traditional
classification of 11 nominal subfamilies and improves the phylogenetic resolution
of the relationships among subfamilies. The African subfamily Phrynomerinae is
the sister group of all the other microhylids, and the African subfamily
Hoplophryninae is the sister taxon to a clade comprising the remaining 9
subfamilies. At the genus level, our analyses confirm the monophyly of most but
not all microhylid genera. In summary, we present a new large-scale phylogeny of
microhylid frogs that should be valuable for addressing their classification and
for comparative evolutionary studies.
PMID- 29649579
TI - Prognostic value of nutrition status in the response of cardiac resynchronization
therapy.
AB - BACKGROUND: Cardiac resynchronization therapy (CRT) is indicated in symptomatic
heart failure (HF) patients after achieving optimal medical therapy. However,
there are still a large percentage of patients who do not respond to CRT.
Malnutrition is a frequent comorbidity in patients with HF, and it is associated
with a poorer prognosis. Here, we evaluate the nutritional status of patients
assessed by Controlling Nutritional Status (CONUT) score and its association with
structural remodeling and cardiovascular events. METHODS: We investigated the
effect of CONUT on HF/death in 302 consecutive patients with a CRT device
implanted between 2005 and 2015 in a single tertiary center. We categorized the
patients into three groups: normal nutritional status (CONUT 0-1), mild
malnutrition (CONUT 2-4) and moderate-severe malnutrition (CONUT >= 5). Changes
in nutritional status were assessed in patients with mild-to-severe malnutrition
prior to CRT. RESULTS: One hundred and forty-eight patients exhibited normal
nutritional status (49.0%), 99 patients exhibited mild malnutrition (32.8%) and
55 patients exhibited moderate-severe malnutrition (18.2%). CONUT scores of at
least 2 were associated with higher risk of HF/death compared with CONUT 0-1.
Significant left ventricular (LV) reverse remodeling was noted in patients with
better nutritional status. In addition, those malnutrition patients at baseline
that improved nutritional state exhibited fewer HF/death events at follow-up.
CONCLUSION: CONUT score prior to CRT was an independent risk factor of death/HF
and was correlated with LV reverse remodeling. Improvements in CONUT score during
long-term follow-up were associated with a reduction in the rate of HF/death.
PMID- 29649582
TI - Adaptation of Mge1 to oxidative stress by local unfolding and altered Interaction
with mitochondrial Hsp70 and Mxr2.
AB - Perturbations in mitochondrial redox levels oxidize nucleotide exchanger Mge1,
compromising its ability to bind to the Hsp70, while the Mxr2 enzyme reduces the
oxidized Mge1. However, the effects of persistent oxidative stress on Mge1
structure and function are not known. In this study, we show that oxidation
induced selective and local structural adaptations cause the detachment of Mge1
from Hsp70. Notably, persistent oxidative stress causes monomeric Mge1 to
aggregate and to generate amyloid-type particles. Mxr2 appears to protect Mge1
from oxidative stress induced aggregation. We conclude that the Mxr2-Mge1-Hsp70
protein triad is finely regulated through structural alterations of Mge1 mediated
by redox levels.
PMID- 29649580
TI - Differential responses of autonomic function in sea level residents, acclimatized
lowlanders at >3500 m and Himalayan high altitude natives at >3500 m: A cross
sectional study.
AB - We studied the differential responses of autonomic function in sea level
residents (SLR), acclimatized lowlanders (ALH) in high altitude (HA) and HA
natives (HAN) at >3500 m. Out of 771 male volunteers included in this cross
sectional study, SLR, ALH and HAN groups were comprised of 351, 307 and 113
volunteers, respectively. Our results showed persistent sympathetic dominance
with significantly reduced (p < 0.05) parasympathetic response in ALH as compared
to SLR and HAN populations. This may be attributed to significantly increased (p
< 0.05) concentration of coronary risk factors and plasma catecholamines in ALH
as compared to SLR and HAN. The ALH also showed significantly increased (p <
0.05) level of serum homocysteine as compared to SLR. The HAN exhibited no
changes in autonomic function despite significantly elevated (p < 0.05)
homocysteine level as compared to SLR. Our findings may have clinical relevance
for assessment of susceptibility to cardiovascular risks in HA dwellers, native
highlanders and patients with hypoxemia.
PMID- 29649583
TI - Noradrenergic beta-receptor antagonism in the basolateral amygdala impairs
reconsolidation, but not extinction, of alcohol self-administration: Intra-BLA
propranolol impairs reconsolidation of alcohol self-administration.
AB - A critical barrier to recovery from alcohol addiction is relapse propensity.
Alcohol cues can trigger relapse, and pharmacologically facilitating processes
such as extinction, which decreases cue associations, may help prevent relapse.
The noradrenergic system mediates extinction learning for alcohol; however, the
neural locus of this effect is unknown. This study sought to determine whether
the basolateral amygdala (BLA), a region critical for fear extinction, also
mediates extinction of alcohol seeking. Hooded Wistar rats (N = 12-15 per
experiment) were implanted with bilateral cannula targeting the BLA and trained
to lever press for 10% ethanol during auditory or visual cues. Infusions of the
beta-receptor antagonist propranolol (2 ug/side) were administered prior to
extinction (Experiment 1), and rats assessed for relapse-like behaviour two weeks
later, thus allowing for spontaneous recovery. We expected intra-BLA propranolol
to impair extinction learning; however, propranolol-treated rats exhibited
reduced responding in the test of spontaneous recovery, suggesting enhanced
extinction. We investigated this unexpected result by determining if propranolol
treatment affected memory processes other than extinction. In a subsequent
experiment, rats were infused with propranolol immediately after extinction to
target consolidation of extinction (Experiment 2a), and assessed for spontaneous
recovery. Propranolol was also infused after self-administration to target
reconsolidation of the original learning (Experiment 2b). Propranolol treatment
had no effect on consolidation of extinction learning, but impaired
reconsolidation of self-administration. Propranolol administered prior to a self
administration session did not affect reinforced responding (Experiment 2c).
Extinction and reconsolidation are opposing processes triggered by specific test
conditions. We suggest our test conditions induced reconsolidation of self
administration memory by propranolol, rather than modulation of extinction. Thus,
our data implicates intra-BLA noradrenergic beta-receptors in reconsolidation of
alcohol self-administration memory.
PMID- 29649584
TI - Molecular cloning, expression and biochemical characterization of hemoglobin gene
from ark shell Scapharca broughtonii.
AB - Hemoglobin, the main component of haemolymph, is widely distributed in animals.
Although its important oxygen transport functions has been extensively reported,
studies on the immune function of hemoglobin in mollusc are few. Research on
immune of hemoglobin of ark shell Scapharca broughtonii attracted more and more
attention due to its ownership of erythrocyte comparing with many other
shellfish. In this study, the hemoglobin cDNA of S. broughtonii was cloned by EST
and RACE methods (named as SbHb). Sequence analysis revealed that the cDNA was
946 bp in length, including an open reading frame (ORF) of 459 bp which encoded a
polypeptide of 152 amino acid residues, and a 5'-untranslated region (UTR) of 313
bp, a 3'-UTR of 174 bp. Sequence and homology analysis showed that the SbHb
shared similarity with that of other related species. The mRNA expression
profiles of SbHb in tested tissues analyzed by quantitative real-time PCR (qRT
PCR) revealed that the mRNA of SbHb could be all detected in foot, gill, mantle,
adductor muscle, haemocytes and hepatopancreas, and the highest level was found
in the haemocytes, which is 163.2 times higher than that in adductor muscle.
Vibrio anguillarum stimulation and hypoxia treatment both had significant impact
on the expression of SbHb, which showed the same trends as increasing first to
the highest at 16 h after treatment and then followed by declining. Recombinant
protein of SbHb (rSbHb) was successfully obtained by prokaryotic expression, and
further function analysis indicated obviously that the rSbHb had very strong
phenoloxidase-like activity (PO-like activity) and it could remarkably inhibit
growth of gram-negative bacteria V. anguillarum. All the data suggested that the
SbHb plays a significant role in the process of antibacterial and anoxia
tolerance reaction in S. broughtonii, providing the evidence that SbHb is a key
immune factor.
PMID- 29649585
TI - Effects of different serotonin receptor subtype antagonists on the development of
cardiac allograft vasculopathy in murine aortic allografts.
AB - BACKGROUND: Cardiac allograft vasculopathy (CAV) is the main obstacle for long
term survival after heart transplantation. Alloimmune mediated chronic vascular
rejection results in several mechanisms like platelet activation, immigration of
inflammatory cells through the endothelial layer and proliferation and migration
of smooth muscle cells (SMCs). Serotonin (5-HT) promotes these processes via
activation of 5-HT2 receptors. We hypothesized that inhibiting 5-HT2 receptors
ameliorates the development of CAV. METHODS: CBA/JRj mice recieved aortic grafts
from C57BL/6 mice. After transplantation until recovery of organs, recipients
were treated with serotonin receptor antagonists: sarpogrelate (5-HT2A), SB
204741 (5-HT2B) or terguride (5-HT2A+B). Mice were sacrificed after 14 days for
qRT-PCR analysis or after 30 days for histological evaluation. Serum serotonin
ELISA was done at both time points. RESULTS: Elevated serum serotonin levels were
significantly reduced after 5-HT2A antagonist treatment as was 5-HT2A receptor
expression. This went along with reduced inflammation characterized by
significantly fewer infiltrating macrophages and pro-inflammatory intragraft
cytokines and with reduced tissue remodeling evident as significantly less
neointima formation. CONCLUSION: Inhibition of the 5HT/5-HT2A receptor axis leads
to significantly reduced neointima proliferation after aortic transplantation
associated with reduced transendothelial migration of macrophages and decreased
expression of inflammatory cytokines. These findings have translational
implications as inhibitors of 5HT2A like sarpogrelate are already approved for
clinical use.
PMID- 29649586
TI - Nephrotoxicity of piperacillin/tazobactam combined with vancomycin: should it be
a concern?
AB - The combination of piperacillin/tazobactam (TZP) and vancomycin (VAN) provides a
wide spectrum of activity against many pathogens acquired in healthcare settings.
However, there have been reports of increased potential for nephrotoxicity with
this combination. The aim of this study was to evaluate the nephrotoxic effect of
TZP+VAN and to compare it with that of TZP and VAN monotherapies as well as VAN +
meropenem (MEM), another broad-spectrum combination. A total of 402 patients
receiving any of the antimicrobial regimens for >48 h were evaluated
retrospectively over a 2-year period (2012-2013). Patients admitted to the
intensive care unit, those with a baseline serum creatinine >2.0 mg/dL, patients
on haemodialysis or peritoneal dialysis, pregnant women and those in septic shock
were excluded. The presence and severity of acute kidney injury (AKI) was
assessed according to the AKIN criteria. The incidence of AKI was significantly
higher in the TZP+VAN group (41.3%) compared with the TZP (16.0%), VAN (15.7%)
and VAN+MEM (10.1%) groups (P < 0.001). In the multivariate analysis, the risk of
AKI increased 3.5-fold in patients treated with TZP+VAN and 1.7-fold in those who
were receiving a potentially nephrotoxic drug when the antibiotic regimen was
started compared with patients treated with VAN alone. Combined use of TZP+VAN
carries a much higher risk of AKI than either antibiotic monotherapy regimen.
Therefore, this broad-spectrum combination should be used cautiously in patients
with a high likelihood of developing kidney injury.
PMID- 29649587
TI - A novel tool against multiresistant bacterial pathogens: lipopeptide modification
of the natural antimicrobial peptide ranalexin for enhanced antimicrobial
activity and improved pharmacokinetics.
AB - As evolutionarily optimised defence compounds, antimicrobial peptides (AMPs)
represent a powerful tool against bacterial infections. Ranalexin, an AMP found
in the skin of the American bullfrog (Rana catesbeiana), is primarily active
against Gram-positive bacteria, with minimum inhibitory concentrations (MICs) of
8-16 mg/L, but shows weaker activity against Gram-negative bacteria (MICs > 64
mg/L). By substitution of six N-terminal amino acids by saturated fatty acids
[decanoic acid (C10:0) to myristic acid (C14:0)], lipopeptide derivatives with
enhanced antimicrobial activity were developed. The antimicrobial capacity of the
peptides was tested against different bacterial strains, including multiresistant
clinical isolates. C13C3lexin, the most potent derivative, showed MICs of 2-8
mg/L against Gram-positive bacteria and 2-16 mg/L against Gram-negative bacteria.
In time-kill studies, it was clearly shown that ranalexin and the lipopeptide
C13C3lexin function as concentration-dependent, fast-acting substances against
different bacteria. Cell viability assays revealed that cytotoxicity towards
human cells increases with the chain length of the attached fatty acid (IC50,
12.74-108.10 ug/mL). Furthermore, using positron emission tomography (PET)
imaging, pharmacokinetic studies of 68Ga-labelled ranalexin and its derivatives
were performed for the first time. Here it was demonstrated that ranalexin is
rapidly cleared via the kidneys within 1 h post-injection. In contrast, the
lipopeptide showed greatly extended circulation in the bloodstream and a shift
from renal to hepatic accumulation characteristics. Therefore, the more
favourable pharmacokinetics and enhanced antimicrobial activity clearly
demonstrate the potential of the lipopeptide AMPs as novel ammunition against
emerging multiresistant bacterial pathogens.
PMID- 29649588
TI - Characterisation of OXA-258 enzymes and AxyABM efflux pump in Achromobacter
ruhlandii.
AB - OBJECTIVES: The aim of this study was to characterise OXA-258 variants and other
features that may contribute to carbapenem resistance in Achromobacter ruhlandii.
METHODS: Kinetic parameters for purified OXA-258a and OXA-258b were determined
measuring the rate of hydrolysis of a representative group of antimicrobial
agents. Whole-genome shotgun sequencing was performed on A. ruhlandii 38
(producing OXA-258a) and A. ruhlandii 319 (producing OXA-258b), and in silico
analysis of antimicrobial resistance determinants was conducted. Substrates of
the AxyABM efflux pump were investigated by inhibition assays using phenylalanine
arginine beta-naphthylamide (PAbetaN). Outer membrane protein profiles were
resolved by 12% sodium dodecyl sulfate polyacrylamide gel electrophoresis (SDS
PAGE). RESULTS: Kinetic measurements of purified OXA-258 variants displayed an
overall weak catalytic efficiency toward beta-lactams. A detectable hydrolysis of
imipenem was observed. In silico genomic analysis confirmed the presence of 32
and 35 putative efflux pump-encoding genes in A. ruhlandii strains 38 and 319,
respectively. Complete sequences for AxyABM and AxyXY efflux pumps, previously
described in Achromobacter xylosoxidans, were detected. Decreases in the MICs for
chloramphenicol, nalidixic acid and trimethoprim/sulfamethoxazole were observed
in the presence of the inhibitor PAbetaN, suggesting that these antibiotics are
substrates of AxyABM. AxyXY-encoding genes of A. ruhlandii 38 and A. ruhlandii
319 displayed 99% identity. No differences were observed in the outer membrane
protein profiles. CONCLUSIONS: The contribution of OXA-258 enzymes to the final
beta-lactam resistance profile may be secondary. Further studies on other
putative resistance markers identified in the whole-genome analysis should be
conducted to understand the carbapenem resistance observed in A. ruhlandii.
PMID- 29649589
TI - Production of trans-chrysanthemic acid, the monoterpene acid moiety of natural
pyrethrin insecticides, in tomato fruit.
AB - The pyrethrum plant, Tanacetum cinerariifolium (Asteraceae) synthesizes a class
of compounds called pyrethrins that have strong insecticidal properties but are
safe to humans. Class I pyrethrins are esters of the monoterpenoid trans
chrysanthemic acid with one of three jasmonic-acid derived alcohols. We
reconstructed the trans-chrysanthemic acid biosynthetic pathway in tomato fruits,
which naturally produce high levels of the tetraterpene pigment lycopene, an
isoprenoid which shares a common precursor, dimethylallyl diphosphate (DMAPP),
with trans-chrysanthemic acid. trans-Chrysanthemic acid biosynthesis in tomato
fruit was achieved by expressing the chrysanthemyl diphosphate synthase gene from
T. cinerariifolium, encoding the enzyme that uses DMAPP to make trans
chrysanthemol, under the control of the fruit specific promoter PG, as well as an
alcohol dehydrogenease (ADH) gene and aldehyde dehydrogenase (ALDH) gene from a
wild tomato species, also under the control of the PG promoter. Tomato fruits
expressing all three genes had a concentration of trans-chrysanthemic acid that
was about 1.7-fold higher (by weight) than the levels of lycopene present in non
transgenic fruit, while the level of lycopene in the transgenic plants was
reduced by 68%. Ninety seven percent of the diverted DMAPP was converted to trans
chrysanthemic acid, but 62% of this acid was further glycosylated. We conclude
that the tomato fruit is an alternative platform for the biosynthesis of trans
chrysanthemic acid by metabolic engineering.
PMID- 29649590
TI - Effective intracellular delivery and Th1 immune response induced by ovalbumin
loaded in pH-responsive polyphosphazene polymersomes.
AB - A polymersome system for delivering protein antigen ovalbumin (OVA) based on
amphiphilic polyphosphazene grafting with N,N-diisopropylethylenediamine (DPA)
and poly(ethylene glycol) (PEG) groups (poly[(DPA)m (PEG)n phosphazene], PEDP)
was designed and constructed. The 200-240 nm-size OVA-loaded polymersomes
displayed high stability at physiological pH, slow internalization through
clathrin-mediated endocytosis pathway, and then a pH-triggered sustained OVA
release in acidic environment, leading to extensive antigen access to cytosol.
Prime-boost vaccine kept high antibody titers for 8 weeks and the subcutaneous
vaccine of OVA polymersomes biased the immune response towards a type 1 T helper
(Th1) response. Animal experiment results showed that the antigen-specific
prophylactic vaccination by PEDP polymersomes delivery was much more rapid and
efficient in depressing tumor growth and progress when compared with the
therapeutic vaccination. These results suggested that PEDP-based polymersomes are
very promising in controlled cytosolic delivery of protein antigens, and enhanced
Th1 specific immune response.
PMID- 29649591
TI - Inhalable gadofullerenol/[70] fullerenol as high-efficiency ROS scavengers for
pulmonary fibrosis therapy.
AB - Pulmonary fibrosis has become a fatal disease for its high incidence and few
effective drugs available in clinic. In this study, gadofullerenol (GF-OH) and
[70] fullerenol (C70-OH) nanoparticles (NPs) prepared by a one-pot reaction were
designed as nanomedicines to treat this fatal disease. It was revealed that the
inhalation of gadofullerenols and [70] fullerenols substantially alleviates the
collagen deposition induced by acute lung injury. Based on detailed studies of
oxidative stress parameters and transforming growth factor-beta1 (TGF-beta1), we
demonstrated they owned the antioxidant and anti-inflammatory functions for the
modulation of ROS-mediated inflammation process. Thus the therapeutic effect may
be associated with synergistic mechanism of scavenging free radicals and
indirectly modulating TGF-beta1 expression. Moreover, GF-OH NPs were observed to
show the superiority to C70-OH NPs both in vitro and in vivo due to the
structural distinction. These results suggest the inhalable fullerenols are
highly potential for clinical therapy of pulmonary fibrosis.
PMID- 29649592
TI - Development of hybrid scaffold with biomimetic 3D architecture for bone
regeneration.
AB - In the present study, a biomimetic three-dimensional hybrid scaffold has been
designed considering the bone natural architecture with favorable interconnected
porous structure, nano-microscale features and mechanical strength. The chief
components of the hybrid scaffold are core-sheath nanofibers and hydrogel,
suitably arranged to create a bone like microenvironment. Specifically, the core
sheath nanofibers were coiled tightly into a ring to mimic the osteon, and
reinforced in a hydrogel matrix. Morphological analysis using SEM and 4D-X-ray
microscopy revealed that the hybrid scaffold consists of coiled rings of
nanofibers in highly porous hydrogel matrix showing structural similarity to
osteons. The reinforcement of electrospun nanofibers in hydrogel influenced the
mechanical properties of scaffold. The potential application of the biomimetic
hybrid scaffold, and the role of its specific architecture, was subsequently
investigated in vitro using a human osteosarcoma fibroblast cell line.
Furthermore, DNA quantification, alkaline-phosphatase and alizarin assay
validated the potential of fabricated scaffold for bone tissue-regeneration.
PMID- 29649593
TI - Gold nanoparticles conjugating recombinant influenza hemagglutinin trimers and
flagellin enhanced mucosal cellular immunity.
AB - The immunogenicity of subunit vaccines can be augmented by formulating them into
nanoparticles. We conjugated recombinant trimetric influenza A/Aichi/2/68(H3N2)
hemagglutinin (HA) onto functionalized gold nanoparticle (AuNP) surfaces in a
repetitive, oriented configuration. To further improve the immunogenicity, we
generated Toll-like receptor 5 (TLR5) agonist flagellin (FliC)-coupled AuNPs as
particulate adjuvants. Intranasal immunizations with an AuNP-HA and AuNP-FliC
particle mixture elicited strong mucosal and systemic immune responses that
protected hosts against lethal influenza challenges. Compared with the AuNP-HA
alone group, the addition of AuNP-FliC improved mucosal B cell responses as
characterized by elevated influenza specific IgA and IgG levels in nasal,
tracheal, and lung washes. AuNP-HA/AuNP-FliC also stimulated antigen-specific
interferon-gamma (IFN-gamma)-secreting CD4+ cell proliferation and induced strong
effector CD8+ T cell activation. Our results indicate that intranasal co-delivery
of antigen and adjuvant-displaying AuNPs enhanced vaccine efficacy by inducing
potent cellular immune responses.
PMID- 29649594
TI - Detection of human parvovirus B19 infection in the thymus of patients with thymic
hyperplasia-associated myasthenia gravis.
AB - OBJECTIVE: To investigate the association between myasthenia gravis (MG) and
human parvovirus B19 (B19V) infection in the thymus. METHODS: The presence of
human B19V DNA and protein was assessed in 138 samples-including 68 thymic
hyperplasias (39 with MG), 58 thymomas (23 with MG), and 12 normal thymus tissues
using a nested polymerase chain reaction, immunohistochemistry, laser capture
microdissection, and sequencing in a double-blinded manner. RESULTS: B19V DNA was
detected mainly in thymic hyperplasia, and the positivity rate (41.18%, 28/68)
was significantly higher than that in thymoma (3.45%, 2/58) (p <0.001) but not
that in normal thymic tissues. Correspondingly, the positivity rate in thymic
hyperplasia with MG (30.77%, 12/39) was significantly higher than that in thymoma
with MG (4.35%, 1/23) (p=0.021). However, it was higher in thymic hyperplasia
without MG (55.17%, 16/29) than in thymic hyperplasia with MG (30.77%, 12/39)
(p=0.043). Cells in thymic hyperplasia positive for B19V VP1/VP2 protein (63.24%,
43/68) were identified mainly in ectopic germinal centres and thymic corpuscle
epithelial cells, but were rare in thymomas (1.72%, 1/58) (p <0.001). Moreover,
the positivity rate was significantly higher in thymic hyperplasia with MG
(74.36%, 29/39) than in thymic hyperplasia without MG (48.28%, 14/29) (p=0.027).
CONCLUSIONS: To our knowledge, the present study is the first to show that human
B19V infection is closely associated with thymic hyperplasia and thymic
hyperplasia-associated MG, but is not related to thymoma or thymoma-associated
MG. The findings reveal a previously unrecognized aetiopathogenic mechanism of
thymic-hyperplasia-associated MG, evoking numerous questions that require further
investigation.
PMID- 29649595
TI - The paradox of vaccine hesitancy among healthcare professionals.
PMID- 29649596
TI - Population pharmacokinetics and pharmacodynamics of fosfomycin in non-critically
ill patients with bacteremic urinary infection caused by multidrug-resistant
Escherichia coli.
AB - OBJECTIVES: To describe the population pharmacokinetics of fosfomycin for
patients with bacteraemic urinary tract infection (BUTI). The analysis identified
optimal regimens on the basis of pharmacodynamic targets and assessed the
adequacy of Clinical and Laboratory Standards Institute (CLSI) and European
Committee on Antimicrobial Susceptibility Testing (EUCAST) susceptibility
breakpoints for Escherichia coli. METHODS: Data of 16 patients with BUTI caused
by multidrug-resistant E. coli (FOREST clinical trial) received intravenous
fosfomycin (4 g every 6 hours) were analysed. A population pharmacokinetic
analysis was performed, and Monte Carlo simulations were undertaken using 4 g
every 6 hours and 8 g every 8 hours. The probability of pharmacodynamic target
attainment was assessed using pharmacodynamic targets for E. coli for static
effect, 1-log drop in bacterial burden and resistance suppression. RESULTS: Sixty
four plasma samples were collected over a single dosing interval (day 2 or 3
after starting fosfomycin treatment). Fosfomycin concentrations were highly
variable. Pharmacodynamic target attainment analysis showed mild improvement by
increasing fosfomycin dosing (4 g every 6 hours vs. every 8 hours). These dosages
showed success for decreasing 1-log bacterial burden in 89% to 96% (EUCAST
breakpoints) and 33% to 54% (CLSI breakpoints) of patients, but they were unable
to reach bacterial resistance suppression targets. CONCLUSIONS: Fosfomycin
concentrations are highly variable-a fact partially explained by renal
impairment. The present work supports the use of 4 g every 6 hours as an
effective regimen for the treatment of non-critically ill patients with BUTI
caused by multidrug-resistant E. coli, as higher dosages might increase toxicity
but may not significantly increase efficacy. The current information may suggest
that fosfomycin susceptibility breakpoints need to be reappraised.
PMID- 29649597
TI - The temptation of large numbers.
PMID- 29649598
TI - Methicillin resistance in Staphylococcus aureus infections among patients
colonized with methicillin-susceptible Staphylococcus aureus.
AB - OBJECTIVES: We have noticed that patients colonized with methicillin-susceptible
Staphylococcus aureus (MSSA) rarely get methicillin-resistant S. aureus (MRSA)
infections. The purpose of this study was to compare the odds of a Staphylococcus
aureus (SA) infection being an MRSA infection in MSSA carriers, MRSA carriers and
non-carriers of SA. METHODS: Hospitalizations of adult patients at the Cleveland
Clinic Health System from 2008 to 2015 were screened to identify those where the
patient was tested for SA colonization. The first such hospitalization was
identified. Among these 90 891 patients, those who had an SA infection during the
hospitalization were included. SA carrier status (MRSA, MSSA, or non-carrier),
was defined based on the first nasal SA test result. The association of carrier
status and MRSA infection was examined. RESULTS: The mean (+/-standard deviation
(SD)) age of the 1999 included patients was 61 (17) years, and 1160 (58%) were
male. Thirty percent, 26%, and 44%, were MRSA carriers, MSSA carriers and non
carriers, respectively. Of the 601 SA infections in MRSA carriers (reference
group), 552 (92%) were MRSA infections compared with 42 (8%) of 516 in MSSA
carriers (odds ratio (OR) 0.008, 95% confidence interval (CI) 0.005-0.012, p
<0.0001) and 430 (49%) of 882 in non-carriers (OR 0.072, 95% CI 0.051-0.100, p
<0.0001), after controlling for age, sex, hospital length of stay and calendar
year. CONCLUSION: Among patients with SA infection, the odds of the infection
being an MRSA infection are 125-times lower in an MSSA carrier than in an MRSA
carrier.
PMID- 29649599
TI - Time-dependent differences in management and microbiology of orthopaedic internal
fixation-associated infections: an observational prospective study with 229
patients.
AB - OBJECTIVES: Little information has been published on orthopaedic internal
fixation-associated infections. We aimed to analyse time-dependent microbiology,
treatment, and outcome. METHODS: Over a 10-year period, all consecutive patients
with internal fixation-associated infections at the University Hospital of Basel,
were prospectively followed and clinical, microbiological and outcome data were
acquired. Infections were classified as early (0-2 weeks after implantation),
delayed (3-10 weeks), and late (>10 weeks). RESULTS: Two hundred and twenty-nine
patients were included, with a median follow-up of 773 days (IQR 334-1400).
Staphylococcus aureus was the most prevalent pathogen (in 96/229 patients,
41.9%). Enterobacteriaceae were frequent in early infections (13/49, 26.5%),
whereas coagulase-negative staphylococci (36/92, 39.1%), anaerobes (15/92, 16.3%)
and streptococci (10/92, 10.9%) increased in late revisions. Failure was observed
in 27/229 (11.7%). Implants were retained in 42/49 (85.7%) in early, in 51/88
(57.9%) in delayed, and in 9/92 (9.8%) in late revisions (p < 0.01). Early
revisions failed in 6/49 (12.2%), delayed in 9/88 (10.2%), and late in 11/92
(13.0%) (p 0.81). Debridement and retention failed in 6/42 (14.3%) for early, in
6/51 (11.8%) for delayed, and in 3/9 (33.3%) for late revisions (p 0.21). Biofilm
active antibiotic therapy tailored to resistance correlated with improved outcome
for late revisions failure (6/72, 7.7% versus 6/12, 50.0%; p < 0.01) but not for
early revisions failure (5/38, 13.2% versus 1/11, 9.1%; p 1.0). CONCLUSIONS:
Treatment of internal fixation-associated infections showed a high success rate
of 87-90% over all time periods. Implant retention was highly successful in early
and delayed infections but only limited in late infections.
PMID- 29649600
TI - Siderophore-antibiotic conjugates: exploiting iron uptake to deliver drugs into
bacteria.
PMID- 29649601
TI - Adjuvant non-bacteriolytic and anti-inflammatory combination therapy in
pneumococcal meningitis: an investigation in a mouse model.
AB - OBJECTIVES: Therapy with antibiotics, dexamethasone, and supportive intensive
care has improved the prognosis of pneumococcal meningitis, but mortality remains
high. Here, we investigated an adjunctive combination therapy of the non
bacteriolytic antibiotic daptomycin plus several anti-inflammatory agents to
identify the currently most promising adjunctive combination therapy for
pneumococcal meningitis. METHODS: C57BL/6 mice were infected by injection of
pneumococci into the cisterna magna. Treatment was begun 21 h after infection,
and consisted of ceftriaxone plus (a) dexamethasone, (b) dexamethasone plus
daptomycin, (c) daptomycin, (d) daptomycin plus an anti-IL1 antibody, (e)
daptomycin plus roscovitine, or (f) daptomycin plus an anti-C5 antibody. Animals
were followed until 45 h after infection. Furthermore, adjunctive daptomycin plus
anti-C5 antibodies were assessed in a long-term follow-up. RESULTS: Adjunctive
treatment with daptomycin and an anti-C5 antibody was superior to adjunctive
dexamethasone and reduced disease symptoms (clinical score 1.1 +/- 1.1 versus 5.0
+/- 2.7, p < 0.0083), improved explorative activity (open field test 17.8 +/- 8.2
versus 7.4 +/- 4.3 crossed fields/2 minutes, p < 0.0083), and reduced hearing
impairment (thresholds for click stimulus 96.1 +/- 14.7 versus 114.8 +/- 9.3 dB
SPL, p < 0.0083) in the acute stage. Furthermore, explorative activity (14.4 +/-
7.3 crossed fields/2 minutes versus 6.3 +/- 7.2, p < 0.05) and cognitive function
(t-maze test, exploration time previously unknown alley 72.4 +/- 14.3 versus 48.7
+/- 25.6%, p < 0.05) was improved at 2 weeks after infection. Treatment with
daptomycin plus an anti-IL-1beta antibody or roscovitine was not of significant
benefit in comparison to adjunctive therapy with dexamethasone. CONCLUSIONS: An
adjunctive combination of the non-lytic antibiotic daptomycin plus an anti-C5
antibody was superior to standard therapy with adjunctive dexamethasone in the
treatment of pneumococcal meningitis.
PMID- 29649602
TI - Point-of-care and point-of-'can': leveraging reference-laboratory capacity for
integrated diagnosis of fever syndromes in the tropics.
AB - BACKGROUND: There is an urgent need for integrated diagnosis of febrile syndromes
able to account for multiple pathogens and to inform decisions for clinical care
and public health. AIMS: To reflect on the evolving roles of laboratory-based
testing for non-malarial febrile illnesses (NMFIs) in low-resource settings, and
to consider how advances in diagnostics, in connectivity and transport, and in
implementation of quality systems may substantially enhance the capacity of
reference laboratories to bridge the current gap between remote passive
surveillance and clinically meaningful integrated fever diagnosis. SOURCES:
Iterative search of PubMed databases, organizational reports, and expert
consultation. CONTENT: Implementation of new technologies-such as very broad
molecular panels for surveillance and mass spectrometry-may considerably diminish
capability gaps in reference laboratories in low-resource settings. Although the
need for clinical bacteriology diagnostics is now recognized, the lack of new
simple and rapid phenotypic tests for antimicrobial resistance remains a key
deficiency. Several initiatives to strengthen diagnostic preparedness for
infectious disease outbreaks have highlighted the need for functional tiered
laboratory networks. Recently, dramatic headway in connectivity-such as combining
automated readers with the image processing and data transmission capabilities of
smartphones-now allows for more complex testing and interfacing with distant
laboratory information systems while reducing workload and errors. Together with
connectivity to transmit and receive results, new approaches to specimen
collection and transport-such as the validation of rectal swabs and the use of
aerial drones to transport specimens to distant laboratories-now make remote
testing feasible. The above innovations also open up the possibility of
implementing quality systems through community-level diagnostic stewardship.
Finally, strengthened laboratory networks actively support the feasibility of
implementing quality-assured point-of-care testing where it is needed.
IMPLICATIONS: Recent advances offer the present-day possibility of innovations to
re-invent the relationship between distant reference laboratories and end-users
for integrated diagnosis of NMFIs.
PMID- 29649603
TI - Rotavirus vaccine effectiveness in preventing hospitalizations due to
gastroenteritis: a descriptive epidemiological study from Germany.
AB - OBJECTIVES: Rotavirus infections are common causes of infant hospitalization. The
present study examined the effectiveness of anti-rotavirus vaccination in
preventing rotavirus-related hospitalizations in Germany, following its state and
nationwide introductions in 2008 and 2013, respectively. METHODS: During 15
consecutive seasons 9557 stool samples of hospitalized children of 5 years and
younger with acute gastroenteritis were screened for rotavirus A. Rotavirus G and
P genotypes were assessed after vaccine introduction. Vaccine effectiveness was
determined by comparison of rotavirus incidence in pre-vaccine and post-vaccine
cohorts. The herd effect was calculated as the difference between the observed
reduction of rotavirus-related hospitalizations and the expected direct vaccine
effect. RESULTS: The number of rotavirus-related hospitalizations declined after
vaccine introduction. Approximately 26% (503/1955) of prevented cases could be
attributed to the herd effect. Human rotaviruses of genotypes G3P[8], G1P[8],
G9P[8], G4P[8], G2P[4] and G12P[8] were most frequent. Uncommon genotypes
remained rare. The direct, indirect, total and overall vaccine effectiveness was
86% (95% confidence interval (CI) 83.2-89.1%), 48% (95% CI 42.8-52.6%), 93% (95%
CI 91.3-94.3%) and 69% (95% CI 66.5-72.0%), respectively. There was no
significant difference in vaccine-type or in genotype-specific vaccine
effectiveness. CONCLUSIONS: Anti-rotavirus vaccination efficiently reduced
rotavirus-related hospitalizations in Germany in the past decade. The vaccines
analysed in this article provide a broadly heterologous and long-lasting
protection. The herd effect substantially contributed to the observed drop in the
number of incidences of severe rotavirus infections. Presumably, constant high
vaccine coverage will lead to a continued upward trend in the overall vaccine
efficiency.
PMID- 29649604
TI - Clonorchis sinensis omega-class glutathione transferases are reliable biomarkers
for serodiagnosis of clonorchiasis and opisthorchiasis.
AB - OBJECTIVES: To determine the potential for immunodiagnostic application of two
recombinant forms of Clonorchis sinensis omega-class glutathione transferases
(rCsGSTo1 and rCsGSTo2) against human small liver-fluke C. sinensis and
Opisthorchis viverrini infections. METHODS: Specific antibody levels against
rCsGSTo1 and rCsGSTo2 in patients' sera of egg-positive opisthorchiasis (n = 87)
and clonorchiasis (n = 120), as well as those in sera from patients with other
helminthic infections (n = 252) and healthy controls (n = 40) were
retrospectively analysed by ELISA. RESULTS: We observed highly positive
correlation coefficients between specific antibody levels against rCsGSTo1 and
rCsGSTo2 and egg counts per gramme of faeces (EPG) of patients with
opisthorchiasis (n = 87; r = 0.88 for rCsGSTo1 and r = 0.90 for rCsGSTo2). Sera
from opisthorchiasis patients whose EPG counts >100 (n = 43) revealed high
antibody titres against both antigens. Patients' sera with low EPG counts (<100,
n = 44) also exhibited reliable sensitivities of 93.2% and 97.7% for rCsGSTo1 and
rCsGSTo2, respectively. Sera from clonorchiasis patients showed sensitivities of
90% (108/120 samples) and 89.2% (107/120 sera) for rCsGSTo1 and rCsGSTo2. Overall
diagnostic sensitivities for liver-fluke infections were 92.3% for rCsGSTo1
(191/207 samples) and 93.2% for rCsGSTo2 (193/207 samples). Specificities were
89.7% (rCsGSTo1) and 97.6% (rCsGSTo2). CONCLUSIONS: Detection of specific
antibody levels against rCsGSTo1 or rCsGSTo2 might be promising for the
serodiagnosis of patients infected with these two phylogenetically close
carcinogenic liver-flukes.
PMID- 29649605
TI - Fluoroquinolones for urinary tract infection and within-household spread of
resistant Enterobacteriaceae: the smoking gun.
PMID- 29649606
TI - Pharmacokinetics/pharmacodynamics characterization of combined antimicrobial
agents: a real challenge and an urgent need.
PMID- 29649607
TI - Hungry neutrophils.
PMID- 29649608
TI - Stand-alone craniocervical decompression is feasible in children with
mucopolysaccharidosis type I, IVA, and VI.
AB - BACKGROUND CONTEXT: In patients with mucopolysaccharidosis (MPS),
glycosaminoglycan deposits in the dura mater and supporting ligaments cause
spinal cord compression and consecutive myelopathy, predominantly at the
craniocervical junction. Disease characteristics of craniocervical stenosis (CCS)
in patients with MPS differ profoundly from other hereditary and degenerative
forms. Because of high periprocedural morbidity and mortality, patients with MPS
pose a substantial challenge to the inexperienced medical care provider. As
literature remains scarce, we present our experience with a large cohort of
patients with MPS treated for CCS without atlanto-occipital instrumentation.
PURPOSE: The present study aimed to describe a safe and least traumatic approach
for treating CCS in children with MPS, avoiding primary instrumentation. STUDY
DESIGN: This is a prospective follow-up (cohort) study. PATIENT SAMPLES: We
report 15 consecutive patients with CCS related to MPS, who were treated with
stand-alone cervical decompression. OUTCOME MEASURES: Myelopathy was assessed
using magnetic resonance imaging (MRI), somatosensory evoked potentials, and
clinical evaluation. Cervical instability was evaluated using plain x-ray and
MRI. The disability status is quantified using either the Karnofsky or Lansky
Performance Score. METHODS: We describe 15 consecutive patients treated with
craniocervical decompression. Data were collected prospectively. The mean follow
up is 6 years (5 standard deviation). The technique and treatment principles are
described. RESULTS: The overall clinical outcome in this patient cohort is good
(mean Karnofsky Performance Score of 80). No patient developed signs of C0-C1-C2
instability or progressive myelopathy. Restenosis occurred in seven patients,
requiring a total of eight reoperations. CONCLUSIONS: Surgery in patients with
MPS is associated with high morbidity and mortality of up to 4.2%. Because of the
unique nature of the disease, recurring stenosis is inevitable. To shorten the
procedure time and simplify the anticipated reoperation, we provide data that
craniocervical decompression is feasible without the necessity of primary
osteosynthesis. In the absence of craniocervical instability, decompression
surgery without occipitocervical stabilization yields good postoperative results
and challenges the long-standing paradigm of prophylactic craniocervical
fixation.
PMID- 29649609
TI - Surgical treatment improves survival of elderly with axis fracture-a national
population-based multiregistry cohort study.
AB - BACKGROUND CONTEXT: Fractures of the axis (C2) are the most common cervical
spinal injuries in the elderly population. Several authors have reported improved
survival among elderly patients with C2 fractures when treated surgically.
PURPOSE: We aimed to analyze whether surgery improves survival of elderly with C2
fractures. STUDY DESIGN/SETTING: An observational population-based longitudinal
multi-registry study was carried out. PATIENT SAMPLE: Swedish Patient Registry
1997 to 2014 and Swedish Cause of Death Registry 1997 to 2014 served as source of
patient sample. OUTCOME MEASURES: Survival after C2 fracture according to non
surgical and surgical treatment was the outcome measure. METHODS: We included all
patients treated for the primary diagnosis of C2 fracture (10th revision of the
International Statistical Classification of Diseases and Related Health Problems
or ICD-10: S12.1) at an age >=70 years and receiving treatment at a health-care
facility. Non-surgical treatment comprises cervical collar or halo-vest
treatment. Surgical treatment was identified in the Swedish patient registry
extract using the Swedish classification of procedural codes. Survival was
determined using the Kaplan-Meier method. Comorbidity was determined using the
Charlson Comorbidity Index. RESULTS: Of the included 3,375 elderly patients with
C2 fractures (43% men, aged 83+/-7 years), 22% were treated surgically. Surgical
treatment was assigned based on age, gender, and year of treatment. The 1-year
survival of 2,618 non-surgically treated patients was 72% (n=1,856), and 81%
(n=614) for the 757 surgically treated (p<.001, relative risk reduction=11%).
Adjusted for age, gender, comorbidity, and year of injury, surgically treated
patients had greater survival than non-surgically treated patients (hazard
ratio=0.88, 95% confidence interval: 0.79-0.97). Among those above 88 years of
age (95% confidence interval: 85-92), surgical treatment lost its effect on
survival. CONCLUSIONS: Despite the frailty of elderly patients, the morbidity of
cervical external immobilization with a rigid collar seemingly weighs greater
than surgical morbidity, even in octogenarians. For those above 88 years of age,
non-surgical treatment should be primarily attempted.
PMID- 29649610
TI - Evaluating the effect of growing patient numbers and changing data elements in
the National Surgical Quality Improvement Program (NSQIP) database over the
years: a study of posterior lumbar fusion outcomes.
AB - BACKGROUND CONTEXT: The use of national databases in spinal surgery outcomes
research is increasing. A number of variables collected by the American College
of Surgeons National Surgical Quality Improvement Program (NSQIP) changed between
2010 and 2011, coinciding with a rapid increase in the number of patients
included per year. However, there has been limited study evaluating the effect
that these changes may have on the results of outcomes studies. PURPOSE: The
present study aimed to investigate the influence of changing data elements and
growth of the NSQIP database on results of lumbar fusion outcomes studies. STUDY
DESIGN/SETTING: This is a retrospective cohort study of prospectively collected
data. PATIENT SAMPLE: The NSQIP database was retrospectively queried to identify
19,755 patients who underwent elective posterior lumbar fusion surgery with or
without interbody fusion between 2005 and 2014. Patients were split into two
groups based on year of surgery: 2,802 from 2005 to 2010 and 16,953 from 2011 to
2014. OUTCOME MEASURES: The occurrence of adverse events after discharge from the
hospital, within postoperative day 30, was determined. METHODS: Preoperative
characteristics and 30-day perioperative outcomes were compared between the era
groups using bivariate analysis. To illustrate the effect of such changing data
elements, the association between age and postoperative outcomes in the era
groups was analyzed using multivariate Poisson regression. The present study had
no funding sources, and there were no study-related conflicts of interest for any
authors. RESULTS: There were significant differences between the era groups for a
variety of preoperative characteristics. Postoperative events such blood
transfusion and deep vein thrombosis were also significantly different between
the era groups. For the 2005-2010 cohort, age was significantly associated with
septic shock by multivariate analysis. For the 2011-2014 cohort, age was
significantly associated with septic shock, urinary tract infection, blood
transfusion, myocardial infarction, and extended length of stay. CONCLUSIONS: The
NSQIP database has undergone substantial changes between 2005 and 2014. These
changes may contribute to different results in analyses, such as the association
between age and postoperative outcomes, when using older versus newer data.
Conclusions from early studies using this database may warrant reconsideration.
PMID- 29649611
TI - Predictors of adverse discharge disposition in adult spinal deformity and
associated costs.
AB - BACKGROUND CONTEXT: With advances in the understanding of adult spinal deformity
(ASD), more complex osteotomy and fusion techniques are being implemented with
increasing frequency. Patients undergoing ASD corrections infrequently require
extended acute care, longer inpatient stays, and are discharged to supervised
care. Given the necessity of value-based health care, identification of clinical
indicators of adverse discharge disposition in ASD surgeries is paramount.
PURPOSE: Using the nationwide and surgeon-created databases, the present study
aimed to identify predictors of adverse discharge disposition after ASD surgeries
and view the corresponding differences in charges. STUDY DESIGN/SETTING: This is
a retrospective analysis of patients on the National Surgical Quality Improvement
Program (NSQIP) database and of cost data from Medicare PearlDiver Database.
PATIENT SAMPLE: Patients undergoing thoracolumbar surgery for correction of ASD
were included in the study. OUTCOME MEASURES: Primary analysis was performed to
compare patients discharged to home with patients who either expired or were
discharged to locations other than home. Secondary analysis was performed to
determine the cost differences across discharge groups. METHODS: Patients on
NSQIP undergoing thoracolumbar ASD-corrective surgery with a primary diagnosis of
scoliosis (ICD-9 code 737.x) and over the age of 18 were isolated. Predictors
(demographic, clinical, and complications) of not-home (NH; rehab or skilled
nursing facility) discharge were analyzed using binary logistic regression
controlling for levels fused, decompressions, osteotomies, and revisions. Average
30- and 90-day costs of care were reported in home, rehab, and skilled nursing
facility discharge groups in patients undergoing 8+ level thoracolumbar fusion.
RESULTS: A total of 1,978 patients undergoing lumbar ASD-corrective surgery were
included for analysis (average age: 59.3 years, sex: 64% female). Average length
of stay was 6.58 days. On multivariate regression analysis, age over 60 years
(odds ratio [OR]: 0.28, confidence interval [CI]: 0.22-0.34) and female sex
(p=.003) were independent predictors of adverse discharge status. Partially
dependent preoperational functional status, defined as reliance on another person
to complete some activities of daily living, increased likelihood of adverse
discharge disposition (OR: 0.57, CI: 0.35-0.90). Despite controlling for all
clinical variables except for the ones specific to each analysis, Smith-Petersen
osteotomy (OR: 0.51, CI: 0.40-0.64), interbody device placement (OR: 0.80, CI:
0.64-0.98), and fixation to the iliac (OR: 0.54, CI: 0.41-0.70) increased the
likelihood of adverse discharge. Complications most associated with adverse
discharge were urinary tract infections (OR: 0.34, CI: 0.21-0.57) and blood
transfusions (OR: 0.42, CI: 0.34-0.52). Relative to home discharge, 30-day costs
of care were +$21,061 more expensive in rehab discharges, but not different in
skilled nursing facility discharges (+$5,791, p=.177). The 90-day costs of care
were $23,815 in rehab discharges (p<.001), but again not different from skilled
nursing facility discharges (+$6,091, p=.212). CONCLUSIONS: Discharge destination
to rehabilitation has a significant impact on the cost of thoracolumbar ASD
surgeries. Patient selection can predict patients at higher risk of discharges to
rehab or skilled nursing facility.
PMID- 29649612
TI - Independent predictors of spinal epidural abscess recurrence.
AB - BACKGROUND CONTEXT: Recurrence of spinal epidural abscess (SEA) after treatment
is an important cause of continued morbidity for patients. PURPOSE: The purpose
of this study was to identify independent predictors of recurrence of SEA. STUDY
DESIGN/SETTING: This was a retrospective, case-control study. PATIENT SAMPLE:
Patients 18 years or older with a diagnosis of SEA admitted to our hospital
system during the study period were included in the study sample. OUTCOME
MEASURES: The outcome measure was recurrence of SEA, defined as a reaccumulation
of pus or infected granulation tissue in the epidural space after initial
treatment. METHODS: All patients older than 18 years admitted to our hospital
system with a diagnosis of SEA from 1993 to 2016 were identified, and explanatory
variables and outcomes were collected retrospectively. Patients 18 years or older
diagnosed with SEA were included. We excluded patients whose treatment was
initiated at an outside institution. Bivariate and multivariate analyses were
performed to identify independent predictors of recurrence. RESULTS: We
identified 1,053 patients with SEA. We only considered patients to be recurrence
free if they had no documented recurrence with greater than 20 weeks of follow
up. Five hundred thirty-four patients were recurrence-free and 38 had documented
recurrence, yielding 572 patients who were included in this analysis. Bivariate
and multivariate analyses identified three independent predictors of recurrence:
history of intravenous drug use, fecal incontinence or retention, and local
spinal wound infection. CONCLUSIONS: Patients with SEA who have a history of
intravenous drug use, bowel dysfunction at presentation, or concurrent local
spinal wound infection are at increased risk of disease recurrence. These
patients ought to be closely followed up after discharge, with frequent serial
imaging and aggressive antibiotic treatment.
PMID- 29649613
TI - Anxiety and depression in spine surgery-a systematic integrative review.
AB - BACKGROUND: Symptoms of preoperative anxiety and depression occur in
approximately one-third of patients with chronic back pain undergoing surgery. In
the last 2 decades, several studies have established that preoperative anxiety
and depression are important outcome predictors of greater pain and physical
impairments, and lower health-related quality of life in patients undergoing
spine surgery. To accommodate symptoms of anxiety and depression and thereby
better surgical outcomes, we need to identify factors associated with these
symptoms. PURPOSE: We aimed to identify factors associated with symptoms of
anxiety and depression in adults both before and after undergoing spinal surgery.
STUDY DESIGN: An integrative literature review was carried out. METHODS: The
independent charity Helsefonden supported this literature review by contributing
$45,000 to remunerate a dedicated investigator. A systematic literature search
was conducted in PubMed, CINAHL, PsycINFO, Embase, Scopus, Cochrane, and Web of
Science. A three-step selection and assessment process was conducted; titles and
abstracts of 1,124 articles were skimmed for relevance and of these, 53 articles
were found to be of relevance and were read in full. Articles not meeting the
inclusion criteria (n=26) were excluded. The 31 articles were critically
appraised for methodological validity; 14 of these were synthesized and analyzed
using a convergent qualitative design to transform both qualitative and
quantitative articles into qualitative findings. RESULTS: Fourteen studies were
included, reporting results based on 4,833 participants, 3,017 men and 1,816
women, whose mean age was approximately 49 years. From these results, we
extracted 75 individual findings, which we then divided into five categories of
factors associated with anxiety and depression both before and after undergoing
spine surgery: pain, information, disability, employment, and mental health.
CONCLUSIONS: Five categories of interacting factors that influenced symptoms of
anxiety and depression both before and after surgery were identified: pain, lack
of information, disability, return to work, and mental health. Information
appears to have a regulating effect on anxiety and depression.
PMID- 29649614
TI - Missing data treatments matter: an analysis of multiple imputation for anterior
cervical discectomy and fusion procedures.
AB - BACKGROUND CONTEXT: The presence of missing data is a limitation of large
datasets, including the National Surgical Quality Improvement Program (NSQIP). In
addressing this issue, most studies use complete case analysis, which excludes
cases with missing data, thus potentially introducing selection bias. Multiple
imputation, a statistically rigorous approach that approximates missing data and
preserves sample size, may be an improvement over complete case analysis.
PURPOSE: The present study aims to evaluate the impact of using multiple
imputation in comparison with complete case analysis for assessing the
associations between preoperative laboratory values and adverse outcomes
following anterior cervical discectomy and fusion (ACDF) procedures. STUDY
DESIGN/SETTING: This is a retrospective review of prospectively collected data.
PATIENT SAMPLE: Patients undergoing one-level ACDF were identified in NSQIP 2012
2015. OUTCOME MEASURES: Perioperative adverse outcome variables assessed included
the occurrence of any adverse event, severe adverse events, and hospital
readmission. METHODS: Missing preoperative albumin and hematocrit values were
handled using complete case analysis and multiple imputation. These preoperative
laboratory levels were then tested for associations with 30-day postoperative
outcomes using logistic regression. RESULTS: A total of 11,999 patients were
included. Of this cohort, 63.5% of patients had missing preoperative albumin and
9.9% had missing preoperative hematocrit. When using complete case analysis, only
4,311 patients were studied. The removed patients were significantly younger,
healthier, of a common body mass index, and male. Logistic regression analysis
failed to identify either preoperative hypoalbuminemia or preoperative anemia as
significantly associated with adverse outcomes. When employing multiple
imputation, all 11,999 patients were included. Preoperative hypoalbuminemia was
significantly associated with the occurrence of any adverse event and severe
adverse events. Preoperative anemia was significantly associated with the
occurrence of any adverse event, severe adverse events, and hospital readmission.
CONCLUSIONS: Multiple imputation is a rigorous statistical procedure that is
being increasingly used to address missing values in large datasets. Using this
technique for ACDF avoided the loss of cases that may have affected the
representativeness and power of the study and led to different results than
complete case analysis. Multiple imputation should be considered for future spine
studies.
PMID- 29649616
TI - Subgenome assignment in allopolyploids: challenges and future directions.
AB - Whole genome duplications (WGDs), also known as polyploid events, have played a
crucial role in the evolutionary success of angiosperms across recent and ancient
timescales. A recurrent observation from the analysis of allopolyploids is that
one of the parental subgenomes is generally more dominant, referred to as
'subgenome dominance', based on higher gene content and expression patterns.
Subgenome dominance has far reaching implications to research areas ranging from
crop improvement efforts to evolutionary and ecological studies. However, the
analysis of subgenome dominance in more ancient polyploids is complicated by a
long history of homoeologous exchanges among subgenomes. Here, we will discuss
how resulting homoeolog rearrangements and replacements have been ignored in
previous studies and urge future studies to integrate phylogenetic approaches to
assign homoeologs to parental subgenomes.
PMID- 29649615
TI - Fever-related arrhythmic events in the multicenter Survey on Arrhythmic Events in
Brugada Syndrome.
AB - BACKGROUND: The literature on fever-related arrhythmic events (AEs) in Brugada
syndrome (BrS) is currently limited to few case reports and small series.
OBJECTIVE: The present study aimed to describe the characteristics of fever
related AE in a large cohort of patients with BrS. METHODS: The Survey on
Arrhythmic Events in Brugada Syndrome is a multicenter study on 678 patients with
BrS with first AE documented at the time of aborted cardiac arrest (n = 426) or
after prophylactic implantable cardioverter-defibrillator implantation (n = 252).
RESULTS: In 35 of 588 patients (6%) with available information, the AE occurred
during a febrile illness. Most of the 35 patients were male (80%), Caucasian
(83%), and proband (70%). The mean age at the time of AE was 29 +/- 24 years
(range 0.3-76 years). Most patients (80%) presented with aborted cardiac arrest
and 6 (17%) with arrhythmic storm. Family history of sudden death, history of
syncope, and spontaneous type 1 Brugada electrocardiogram were noted in 17%, 40%,
and 71% of patients, respectively. Ventricular fibrillation was induced at
electrophysiology study in 9 of 19 patients (47%). An SCN5A mutation was found in
14 of 28 patients (50%). The highest proportion of fever-related AE was observed
in the pediatric population (age <16 years), with a disproportionally higher
event rate in the very young (age 0-5 years) (65%). Males were involved in all
age groups and females only in the pediatric and elderly groups. Fever-related AE
affected 17 Caucasians aged <24 years, but no Asians aged <24 years. CONCLUSION:
The risk of fever-related AE in BrS markedly varies according to age group, sex,
and ethnicity. Taking these factors into account could help the clinical
management of patients with BrS with fever.
PMID- 29649617
TI - Reversal of T Cell Exhaustion by the First Donor Lymphocyte Infusion Is
Associated with the Persistently Effective Antileukemic Responses in Patients
with Relapsed AML after Allo-HSCT.
AB - Donor lymphocyte infusion (DLI) is an effective approach to treat acute
myelogenous leukemia (AML) relapse after allogeneic hematopoietic stem cell
transplantation (allo-HSCT) that significantly improves the survival of relapsed
patients. However, the mechanism of an effective antileukemic response following
DLI in AML relapse remains elusive. Here, we investigated the role of T cell
exhaustion in AML relapse after allo-HSCT in prospective cohorts of 41 patients
with the first AML relapse and 41 nonrelapsed AML control subjects after allo
HSCT and determined whether DLI exerts effective antileukemic effects by
reversing T cell exhaustion in the relapsed cohorts by detecting the phenotypes
and functions of T cells using flow cytometry. We found that both CD4+ and CD8+ T
cells experienced exhaustion with upregulated coexpression of PD-1 and Tim-3, and
functional impairments in cytokine production, proliferation, and cytotoxic
potentials. The reversal of T cell exhaustion by the first DLI is associated with
persistent complete remission in relapsed AML patients. In addition, the reversal
of T cell-exhausted status after successful DLI in bone marrow was concurrent
with the mitigated inversion of CD4/CD8 T cell ratio. In conclusion, our study
shows a clinical correlation between T cell exhaustion and AML relapse after allo
HSCT, and uncovers the role of reversing T cell exhaustion in the antileukemic
response by DLI and identifies possible immunological markers to evaluate and
predict the graft-versus-leukemia effects induced by DLI.
PMID- 29649618
TI - Preimplantation High-Resolution HLA Sequencing Using Next Generation Sequencing.
AB - Hematopoietic stem cell transplantation (SCT) is the only therapeutic option in a
number of heritable hematologic disorders and hematologic cancers. Many parents
and families fail to find an HLA-identical donor for their affected family
member. In such cases, conceiving for a "savior baby" remains the only option,
especially in countries without access to national registries. By means of next
generation sequencing (NGS) techniques, in a single experiment on single-cell
products of in vitro fertilization, a healthy HLA-identical embryo can be
implanted in the uterus of a concerned mother. The patient can therefore benefit
from cord blood SCT along with confirming that the fetuses are not suffering from
the heritable disorder. This study is an attempt to study the feasibility of
preimplantation HLA sequencing on single blastomeres using NGS. Two couples who
had previously undergone preimplantation genetic diagnosis of beta-thalassemia
and their overall 10 embryos were studied and their 5 HLA loci were typed in high
resolution through multiple displacement amplification and NGS of single cells.
For 88.9% of the 90 HLA alleles, conclusive HLA typing in 4 digit sets was made.
HLA alleles were typed; 1 ambiguity in the allelic group and 4 ambiguities in the
protein level were observed that were then unraveled by haplotype analysis.
Amplification efficiency was 93.3% with an allele drop-out (ADO) rate of 22.2% (6
alleles dropped from a maximum of 27 possible ADOs). In this study the
feasibility of a new method of preimplantation HLA sequencing via combining the
state-of-the-art techniques used in single-cell whole genome amplification,
preimplantation genetic diagnosis, and high-resolution HLA typing by NGS has been
shown. This method can make preimplantation HLA sequencing a practicable
technique in families desperate for an HLA-matched donor.
PMID- 29649619
TI - Shared Decision-Making in Hematopoietic Stem Cell Transplantation for Sickle Cell
Disease.
PMID- 29649620
TI - Allogeneic Hematopoietic Stem Cell Transplantation Following the Use of
Hypomethylating Agents among Patients with Relapsed or Refractory AML: Findings
from an International Retrospective Study.
AB - Patients with primary refractory or relapsed acute myeloid leukemia (RR-AML) have
very poor prognosis. Due to limited treatment options, some patients are treated
with hypomethylating agents (HMAs) due to their tolerability. Little is known
about the role of allogeneic hematopoietic stem cell transplantation (HSCT)
following HMA therapy in this setting. We retrospectively analyzed an
international cohort of 655 RR-AML patients who received HMA therapy to study
patterns and outcomes with HSCT. Only 37 patients (5.6%) patients underwent HSCT
after HMA therapy. The conditioning regimen was myeloablative in 57% and
nonmyeloablative in 43%. Patients received matched unrelated donor, matched
sibling, haploidentical and mismatched unrelated HSCT in 56%, 24%, 16% and 4% of
cases, respectively. Acute GvHD and chronic GvHD were observed in 40% and 17% of
patients. While the median OS for the entire cohort of patients was 15.3 months
(95% CI 9.5 - 21.7 months), OS reached 29.7 months (95% CI 7.01 - not-reached)
for patients who achieved a complete remission (CR) to HMA and no intervening
therapies between HMA therapy and HSCT. Our study suggests that HMA therapy can
effectively bridge some patients with RR-AML to HSCT.
PMID- 29649622
TI - Stick-slip motion and controlled filling speed by the geometric design of soft
micro-channels.
AB - HYPOTHESIS: Liquid can move by capillary action through interconnected porous
materials, as in fabric or paper towels. Today mass transport is controlled by
chemical modification. It is, however, possible to direct mass transport by
geometrical modifications. It is here proposed that it is possible to tailor
capillary flow speed in a model system of micro-channels by the angle, size and
position of attached side channels. EXPERIMENTS: A flexible, rapid, and cost
effective method is used to produce micro-channels in gels. It involves 3D
printed moulds in which gels are cast. Open channels of micrometre size with
several side channels on either one or two sides are produced with tilting angles
of 10-170 degrees . On a horizontal plane the meniscus of water driven by surface
tension is tracked in the main channel. FINDINGS: The presence of side channels
on one side slowed down the speed of the meniscus in the main channel least.
Channels having side channels on both sides with tilting angles of up to 30
degrees indicated tremendously slower flow, and the liquid exhibited a stick
slip motion. Broader side channels decreased the speed more than thinner ones, as
suggested by the hypothesis. Inertial forces are suggested to be important in
branched channel systems studied here.
PMID- 29649623
TI - SILAR controlled CdSe nanoparticles sensitized ZnO nanorods photoanode for solar
cell application: Electrolyte effect.
AB - Controlled growth of different sizes of cadmium selenide (CdSe) nanoparticles
over well aligned ZnO nanorods have been performed using successive ionic layer
adsorption and reaction (SILAR) technique at room temperature (27 degrees C) in
order to form nano heterostructure solar cells. Deposition of compact layer of
zinc oxide (ZnO) by SILAR technique on fluorine doped tin oxide (FTO) coated
glass substrate followed by growth of vertically aligned ZnO nanorods array using
chemical bath deposition (CBD) at low temperature (<100 degrees C). Different
characterization techniques viz. X-ray diffractometer, UV-Vis spectrophotometer,
field emission scanning electron microscopy, transmission electron microscopy and
X-ray photoelectron spectroscopy have been used to know the structural, optical,
morphological and compositional properties of synthesized nano heterostructure.
The photovoltaic performance of the cells with variation in SILAR cycles for CdSe
and with use of different electrolytes have been recorded as J-V characteristics
and the maximum conversion efficiency of 0.63% have been attained with
ferro/ferri cyanide electrolyte for 12 cycles CdSe coating over 1-D ZnO nanorods.
PMID- 29649625
TI - Lumbar versus thoracic erector spinae plane block: Similar nomenclature,
different mechanism of action.
PMID- 29649624
TI - Halloysite nanotubes for efficient loading, stabilization and controlled release
of insulin.
AB - HYPOTHESIS: Oral insulin administration is not actually effective due to insulin
rapid degradation, inactivation and digestion by proteolytic enzymes which
results in low bioavailability. Moreover insulin is poorly permeable and lack of
lipophilicity. These limits can be overcome by the loading of protein in some
nanostructured carrier such as halloysite nanotubes (HNTs). EXPERIMENTS: Herein
we propose an easy strategy to obtain HNT hybrid materials for the delivery of
insulin. We report a detailed description on the thermal behavior and stability
of insulin loaded and released from the HNTs hybrid by the combination of several
techniques. FINDINGS: Release experiments of insulin from the HNTs revealed the
efficacy of the nanocarrier. Circular Dichroism data evidenced that the released
insulin exhibits its native-like secondary structure confirming the suitability
of HNT/insulin as delivery system for at least three months. The loaded nanotubes
were filled into chitosan matrix with the aim to prepare bionanocomposite films
that can be used for transdermal delivery. This work puts forward an efficient
strategy to prepare halloysite based nanocarriers containing insulin that could
be employed in several biomedical applications. The detailed description of the
prepared HNT/insulin hybrid represents a fundamental point for designing advanced
delivery systems.
PMID- 29649621
TI - Astrocyte-specific DJ-1 overexpression protects against rotenone-induced
neurotoxicity in a rat model of Parkinson's disease.
AB - DJ-1 is a redox-sensitive protein with several putative functions important in
mitochondrial physiology, protein transcription, proteasome regulation, and
chaperone activity. High levels of DJ-1 immunoreactivity are reported in
astrocytes surrounding pathology associated with idiopathic Parkinson's disease,
possibly reflecting the glial response to oxidative damage. Previous studies
showed that astrocytic over-expression of DJ-1 in vitro prevented oxidative
stress and mitochondrial dysfunction in primary neurons. Based on these
observations, we developed a pseudotyped lentiviral gene transfer vector with
specific tropism for CNS astrocytes in vivo to overexpress human DJ-1 protein in
astroglial cells. Following vector delivery to the substantia nigra and striatum
of adult Lewis rats, the DJ-1 transgene was expressed robustly and specifically
within astrocytes. There was no observable transgene expression in neurons or
other glial cell types. Three weeks after vector infusion, animals were exposed
to rotenone to induce Parkinson's disease-like pathology, including loss of
dopaminergic neurons, accumulation of endogenous alpha-synuclein, and
neuroinflammation. Animals over-expressing hDJ-1 in astrocytes were protected
from rotenone-induced neurodegeneration, and displayed a marked reduction in
neuronal oxidative stress and microglial activation. In addition, alpha-synuclein
accumulation and phosphorylation were decreased within substantia nigra
dopaminergic neurons in DJ-1-transduced animals, and expression of LAMP-2A, a
marker of chaperone mediated autophagy, was increased. Together, these data
indicate that astrocyte-specific overexpression of hDJ-1 protects neighboring
neurons against multiple pathologic features of Parkinson's disease and provides
the first direct evidence in vivo of a cell non-autonomous neuroprotective
function of astroglial DJ-1.
PMID- 29649626
TI - Novel compounds TAD-1822-7-F2 and F5 inhibited HeLa cells growth through the
JAK/Stat signaling pathway.
AB - Cervical carcinoma remains the second most common malignancy with a high
mortality rate among women worldwide. TAD-1822-7-F2 (F2) and TAD-1822-7-F5 (F5)
are novel compounds synthesized on the chemical structure of taspine derivatives,
and show an effective suppression for HeLa cells. Our study aims to confirm the
potential targets of F2 and F5, and investigate the underlying mechanism of the
inhibitory effect on HeLa cells. In this study, Real Time Cell Analysis and
crystal violet staining assay were conducted to investigate the effect of F2 and
F5 on HeLa cells proliferation. And the analytical methods of surface plasmon
resonance and quartz crystal microbalance were established and employed to study
the interaction between F2 and F5 and potential target protein JAK2, suggesting
that both compounds have strong interaction with the JAK2 protein. Western blot
analysis, immunofluorescence staining study and PCR was conducted to investigate
the molecules of JAK/Stat signaling pathway. Interestingly, F2 and F5 showed
diverse regulation for signaling molecules because of their different chemical
structure. F2 increased the expression of JAK2 and downregulated the level of P
JAK1 and P-JAK2, and decreased P-Stat3 (Ser727). While F5 could increase the
expression of JAK2 and naturally decrease the phosphorylation of JAK1 and Tyk2,
and decreased the expression of P-Stat6. Moreover, F2 and F5 showed the same
downregulation on the P-Stat3 (Tyr705). Therefore, F2 and F5 could target the
JAK2 protein and prevent the phosphorylation of JAKs to suppress the
phosphorylation of the downstream effector Stats, which suggested that F2 and F5
have great potential to be the inhibitors of the JAK/Stat signaling pathway.
PMID- 29649627
TI - Overexpression of HIPK2 attenuates spinal cord injury in rats by modulating
apoptosis, oxidative stress, and inflammation.
AB - HIPK2 is considered to be a tumor suppressor. It also has been implicated in
several functions such as apoptosis and inflammation that are linked to spinal
cord injury (SCI). However, whether HIPK2 ameliorates the neurological pain of
SCI remains unclear. Here, we investigated the effects of HIPK2 on neurological
function, oxidative stress, levels of inflammatory cytokines and expression of
Bcl-2/Bax in an SCI model. Firstly, we evaluated the therapeutic effects of HIPK2
on neurological pain in the SCI rat using the Basso, Beattie and Bresnahan scores
and H & E staining. Overexpression of HIPK2 significantly elevated the levels of
brain-derived neurotrophic factor (BDNF) and glial cell line-derived neurotrophic
factor (GDNF), and reduced the mRNA expression of Nogo-A and RhoA in SCI rats.
Furthermore, terminal deoxynucleotidyl transferase-mediated dUTP nick end
labeling (TUNEL) assays showed that overexpression of HIPK2 significantly reduced
the number of apoptotic cells. Overexpression of HIPK2 also decreased expression
of Bax and Caspase-3 and elevated expression of Bcl-2 in the SCI model,
indicating that HIPK2 exhibited its protective activity by inhibiting SCI-induced
apoptosis. Then, we measured the serum concentrations of malondialdehyde (MDA),
superoxide dismutase (SOD), catalase (CAT), and glutathione peroxidase (GSH-PX).
We also determined the mRNA and protein levels of nuclear factor-kappaB p65 unit,
tumor necrosis factor-alpha (TNF-alpha), and interleukin (IL)-1beta. HIPK2
overexpression reduced oxidative stress and the levels of inflammatory cytokines
compared with SCI control animals. Additionally, acetylation of HIPK2 was reduced
in SCI rats. Overexpression of HIPK2 could enhance autophagy by elevating the
expression of Beclin-1 and LC3-II while autophagy is regarded as a beneficial
regulator to improve spinal cord injury. Together, overexpression of HIPK2
improved contusive SCI induced pain by modulating oxidative stress, Bcl-2 and Bax
signaling, and inflammation, and also regulating autophagy.
PMID- 29649628
TI - Eupatilin inhibits adipogenesis through suppression of PPARgamma activity in 3T3
L1 cells.
AB - Eupatilin (5,7-dihydroxy-3',4',6-trimethoxyflavone) is a flavonoid compound from
Artemisia species that possesses beneficial biological activities such as anti
cancer, anti-oxidation, and anti-inflammatory activities. However, an anti
adipogenic effect has not yet been reported. In this study, we found that
eupatilin significantly inhibited the adipogenesis of 3T3-L1 adipocytes.
Eupatilin decreased intracellular lipid accumulation and suppressed the
expression level of key adipogenic regulators in 3T3-L1 adipocytes, including
peroxisome proliferator-activated receptor gamma (PPARgamma) and CCAAT-enhancer
binding protein alpha (C/EBPalpha), in a concentration-dependent manner. These
results show that eupatilin significantly inhibits 3T3-L1 cell differentiation
and suggest that it has potential as a novel anti-obesity therapy.
PMID- 29649629
TI - Qingchangligan formula alleviates acute liver injury by attenuating extracellular
histone-associated inflammation.
AB - Qingchangligan formula (QCLGF) is a traditional Chinese medicine that has
exhibited remarkable clinical efficacy for patients with acute-on-chronic liver
failure (ACLF). However, the hepatoprotective mechanisms of QCLGF are not
completely understood. Extracellular histones were recently identified as the
novel inflammatory mediators involved in the pathogenesis of acute liver injury.
This study aimed to investigate whether QCLGF provides hepatoprotection by
targeting extracellular histones. We showed that QCLGF significantly improved the
survival rate of the ConA-treated mice, ameliorated hepatotoxicity, and markedly
decreased the levels of extracellular histones and the associated cytokines. We
further demonstrated that QCLGF attenuated systemic inflammation by inhibiting
the mitogen-activated protein kinase (MAPK) signaling pathway. In addition,
exogenous histones induced a significant HL-7702 cell damage, which could be
prevented by administration of QCLGF. Lastly, we observed that extracellular
histones and the associated cytokines were consistently lower in ACLF patients
receiving conventional medical therapy plus QCLGF than in patients receiving only
conventional medical therapy. Collectively, these results provide evidence that
QCLGF has therapeutic potentials for treating ACLF, which may be due to its
ability to interfere with extracellular histone-mediated cellular damage and
systemic inflammation.
PMID- 29649630
TI - Standard CD44 modulates YAP1 through a positive feedback loop in hepatocellular
carcinoma.
AB - High expression levels of CD44 and YAP have been identified as poor prognostic
factors in hepatocellular carcinoma (HCC). However, the mechanistic relationship
between CD44 and YAP during HCC tumorigenesis remains largely unknown. To
investigate the mutual regulation between standard CD44 (CD44S) and YAP1 in HCC
cell lines and tissue samples, CD44S and YAP1 expression in 40 pairs of tumor
samples and matched distal normal tissues from HCC patients was examined by
immunohistochemical staining. High expression of either CD44S or YAP1 was
associated with a younger age and worse pathology grade. In addition, high levels
of CD44S and YAP1 were associated with increased vascular invasion and more
severe liver cirrhosis, respectively. CD44S expression was positively correlated
with YAP1 expression in these HCC tissues. In vitro experiments suggested that
CD44S could positively regulate the expression of YAP1 and its target genes via
the PI3K/Akt pathway in HCC cells. Moreover, CD44S is regulated by the YAP1/TEAD
axis. These results reveal a novel positive feedback loop involving CD44S and
YAP1, in which CD44S functions as both an upstream regulator and a downstream
effector of YAP1 in HCC. This feedback loop might constitute a broadly conserved
module for regulating cell proliferation and invasion during HCC tumorigenesis.
Blocking this positive feedback loop that involves CD44S and YAP1 might represent
a new approach for HCC treatment.
PMID- 29649632
TI - Identification of runt family genes involved in planarian regeneration and tissue
homeostasis.
AB - The runt family genes play important roles in physiological processes in
eukaryotic organisms by regulation of protein transcription, such as
hematopoietic system, proliferation of gastric epithelial cells and neural
development. However, it remains unclear about the specific functions of these
genes. In this study, the full-length cDNA sequences of two runt genes are first
cloned from Dugesia japonica, and their roles are investigated by WISH and RNAi.
The results show that: (1) the Djrunts are conserved during evolution; (2) the
Djrunts mRNA are widely expressed in intact and regenerative worms, and their
expression levels are up-regulated significantly on day 1 after amputation; (3)
loss of Djrunts function lead to lysis or regeneration failure in the intact and
regenerating worms. Overall, the data suggests that Djrunts play important roles
in regeneration and homeostatic maintenance in planarians.
PMID- 29649631
TI - Simultaneous Risk Factor Control Using Telehealth to slOw Progression of Diabetic
Kidney Disease (STOP-DKD) study: Protocol and baseline characteristics of a
randomized controlled trial.
AB - : Diabetic kidney disease (DKD) is the leading cause of end-stage kidney disease
(ESKD) in the United States. Multiple risk factors contribute to DKD development,
yet few interventions target more than a single DKD risk factor at a time. This
manuscript describes the study protocol, recruitment, and baseline participant
characteristics for the Simultaneous Risk Factor Control Using Telehealth to slOw
Progression of Diabetic Kidney Disease (STOP-DKD) study. The STOP-DKD study is a
randomized controlled trial designed to evaluate the effectiveness of a
multifactorial behavioral and medication management intervention to mitigate
kidney function decline at 3 years compared to usual care. The intervention
consists of up to 36 monthly educational modules delivered via telephone by a
study pharmacist, home blood pressure monitoring, and medication management
recommendations delivered electronically to primary care physicians. Patients
seen at seven primary care clinics in North Carolina, with diabetes and [1]
uncontrolled hypertension and [2] evidence of kidney dysfunction (albuminuria or
reduced estimated glomerular filtration rate [eGFR]) were eligible to
participate. Study recruitment completed in December 2014. Of the 281
participants randomized, mean age at baseline was 61.9; 52% were male, 56% were
Black, and most were high school graduates (89%). Baseline co-morbidity was high-
mean blood pressure was 134/76 mmHg, mean body mass index was 35.7 kg/m2, mean
eGFR was 80.7 ml/min/1.73 m2, and mean glycated hemoglobin was 8.0%. Experiences
of recruiting and implementing a comprehensive DKD program to individuals at high
risk seen in the primary care setting are provided. TRIAL REGISTRATION:
NCT01829256.
PMID- 29649633
TI - Circulating Wnt inhibitory factor 1 levels are associated with development of
cardiovascular disease.
AB - BACKGROUND AND AIMS: Wnt signaling is involved in atherosclerotic plaque
formation directly and indirectly by modulating cardiovascular risk factors. We
investigated whether circulating concentrations of Wnt inhibitors are associated
with cardiovascular events in subjects with intermediate cardiovascular risk.
METHODS: 904 non-diabetic subjects participating in the SAPHIR study were
assessed. In the SAPHIR study, middle-aged women without overt atherosclerotic
disease at study entry were followed up for 10 years. 88 patients of our study
cohort developed cardiovascular disease at follow-up (CVD group). Subjects of the
CVD group were 1:2 case-control matched for age, sex, BMI and smoking behavior
with subjects without overt cardiovascular disease after a 10 year-follow-up
(control group). 18 patients of the CVD group and 19 subjects of the control
group were retrospectively excluded due to fulfilling exclusion criteria.
Baseline circulating sclerostin, dickkopf (DKK)-1, secreted frizzled-related
protein (SFRP)-1 and Wnt inhibitory factor (WIF)-1 levels were assessed by ELISA.
RESULTS: Baseline systemic SFRP-1 and WIF-1 levels were significantly higher in
patients with cardiovascular events (n = 70) when compared to healthy controls (n
= 157) while DKK-1 and sclerostin levels were similar in both groups. Logistic
regression analysis revealed WIF-1 as a significant predictor of future
cardiovascular events. CONCLUSIONS: Our data suggest that increased SFRP-1 and
WIF-1 levels precede the development of symptomatic atherosclerotic disease.
Assessment of systemic WIF-1 levels, which turned out to be independently
associated with CVD, might help to early identify patients at intermediate
cardiovascular risk.
PMID- 29649635
TI - Co-delivery of docetaxel and gemcitabine by anacardic acid modified self
assembled albumin nanoparticles for effective breast cancer management.
AB - : In the present study, we have modified bovine serum albumin (BSA) by covalently
conjugating with anacardic acid (AA) and gemcitabine (GEM) and further used for
development of docetaxel (DTX) loaded nanoparticles (AA-GEM-BSA NPs). AA is
supposed to provide tumor targeting through VEGF receptors overexpressed in
tumors, while the combination of GEM and DTX is supposed to provide synergistic
activity by targeting multiple pathways. The conjugate was synthesized via
carbodiimide chemistry and characterized by 1H NMR, FTIR, MALDI-TOF and elemental
analysis. Conformational changes owing to conjugation of AA and GEM were
estimated via fluorescence, Raman and CD spectroscopy, while changes in
physiochemical properties were studied by differential scanning calorimetry
(DSC), thermogravimetry (TGA) and contact angle goniometry (CAG). Synthesized
conjugate was further transformed into DTX loaded NPs and freeze dried. Scanning
Electron Microscopy (SEM) and Atomic Force Microscopy (AFM) demonstrated
formation of spherical NPs having particle size, 163 +/- 8 nm, PDI, 0.13 +/- 0.09
and ZP, -27 +/- 1 mV. Cellular uptake in MCF-7 and MDA-MB-231 revealed hNTs,
OATP1B3 independent, clathrin mediated internalization followed via nuclear co
localization of C-6 loaded AA-GEM-BSA NPs, responsible for significantly higher
apoptosis index. Pharmacokinetic profile of DTX loaded AA-GEM-BSA NPs revealed
6.12 and 3.27-fold and 6.28 and 8.9-fold higher AUC and T1/2 values of DTX and
GEM as compared to Taxotere(r) and Gemzar(r), respectively. Interestingly, the
developed NPs were found safe with no marked effect on RBCs, lower hepato and
nephro toxicity. Data in hand suggest promising potential of developed NPs in
ameliorating the pharmacokinetic and therapeutic profile of combinatorial regimen
of DTX and GEM. STATEMENT OF SIGNIFICANCE: The present report is the original
state of art technology to selectively target dual drug (DTX and GEM) loaded BSA
NPs via exploring tumor targeting potential of AA, having high affinity towards
VEGF receptors (angiogenesis marker) overexpressed in tumor. The AA and GEM bio
conjugated BSA was synthesized and further used to develop DTX loaded
nanoparticles (AA-GEM-BSA NPs). The optimized NPs were further evaluated via
extensive in vitro and in vivo studies, demonstrating ameliorated cellular
uptake, pharmacokinetic and toxicity profile of drugs. Conclusively, DTX loaded
AA-GEM-BSA NPs, holds promising potential in increasing the therapeutic
efficiency of drugs and overcoming solvent and drug mediated side effects and can
be explored further as a scalable platform technology for difficult to deliver
drugs.
PMID- 29649634
TI - Injectable, porous, biohybrid hydrogels incorporating decellularized tissue
components for soft tissue applications.
AB - : Biodegradable injectable hydrogels have been extensively studied and evaluated
in various medical applications such as for bulking agents, drug delivery
reservoirs, temporary barriers, adhesives, and cell delivery matrices. Where
injectable hydrogels are intended to facilitate a healing response, it may be
desirable to encourage rapid cellular infiltration into the hydrogel volume from
the tissue surrounding the injection site. In this study, we developed a platform
technique to rapidly form pores in a thermally responsive injectable hydrogel,
poly(NIPAAm-co-VP-co-MAPLA) by using mannitol particles as porogens. In a rat
hindlimb muscle injection model, hydrogels incorporating porosity had
significantly accelerated cellular infiltration. To influence the inflammatory
response to the injected hydrogel, enzymatically digested urinary bladder matrix
(UBM) was mixed with the solubilized hydrogel. The presence of UBM was associated
with greater polarization of the recruited macrophage population to the M2
phenotype, indicating a more constructive foreign body response. The hybrid
hydrogel positively affected the wound healing outcomes of defects in rabbit
adipose tissue with negligible inflammation and fibrosis, whereas scar formation
and chronic inflammation were observed with autotransplantation and in saline
injected groups. These results demonstrate the value of combining the effects of
promoting cell infiltration and mediating the foreign body response for improved
biomaterials options soft tissue defect filling applications. STATEMENT OF
SIGNIFICANCE: Our objective was to develop a fabrication process to create porous
injectable hydrogels incorporating decellularized tissue digest material. This
new hydrogel material was expected to exhibit faster cellular infiltration and a
greater extent of pro-M2 macrophage polarization compared to control groups not
incorporating each of the functional components. Poly(NIPAAm-co-VP-co-MAPLA) was
chosen as the representative thermoresponsive hydrogel, and mannitol particles
and digested urinary bladder matrix (UBM) were selected as the porogen and the
bioactive decellularized material components respectively. In rat hindlimb
intramuscular injection models, this new hydrogel material induced more rapid
cellular infiltration and a greater extent of M2 macrophage polarization compared
to control groups not incorporating all of the functional components. The hybrid
hydrogel positively affected the wound healing outcomes of defects in rabbit
adipose tissue with negligible inflammation and fibrosis, whereas scar formation
and chronic inflammation were observed with autotransplantation and in saline
injected groups. The methodology of this report provides a straightforward and
convenient mechanism to promote cell infiltration and mediate foreign body
response in injectable hydrogels for soft tissue applications. We believe that
the readership of Acta Biomaterialia will find the work of interest both for its
specific results and general translatability of the findings.
PMID- 29649636
TI - A microfluidic oxygen sink to create a targeted cellular hypoxic microenvironment
under ambient atmospheric conditions.
AB - : Physiological oxygen levels within the tissue microenvironment are usually
lower than 14%, in stem cell niches these levels can be as low as 0-1%. In cell
cultures, such low oxygen levels are usually mimicked by altering the global
culture environment either by O2 removal (vacuum or oxygen absorption) or by N2
supplementation for O2 replacement. To generate a targeted cellular hypoxic
microenvironment under ambient atmospheric conditions, we characterised the
ability of the dissolved oxygen-depleting sodium sulfite to generate an in-liquid
oxygen sink. We utilised a microfluidic design to place the cultured cells in the
vertical oxygen gradient and to physically separate the cells from the liquid. We
demonstrate generation of a chemical in-liquid oxygen sink that modifies the
surrounding O2 concentrations. O2 level control in the sink-generated hypoxia
gradient is achievable by varying the thickness of the polydimethylsiloxane
membrane. We show that intracellular hypoxia and hypoxia response element
dependent signalling is instigated in cells exposed to the microfluidic in-liquid
O2 sink-generated hypoxia gradient. Moreover, we show that microfluidic flow
controls site-specific microenvironmental kinetics of the chemical O2 sink
reaction, which enables generation of intermittent hypoxia/re-oxygenation cycles.
The microfluidic O2 sink chip targets hypoxia to the cell culture
microenvironment exposed to the microfluidic channel architecture solely by
depleting O2 while other sites in the same culture well remain unaffected. Thus,
responses of both hypoxic and bystander cells can be characterised. Moreover,
control of microfluidic flow enables generation of intermittent hypoxia or
hypoxia/re-oxygenation cycles. STATEMENT OF SIGNIFICANCE: Specific manipulation
of oxygen concentrations in cultured cells' microenvironment is important when
mimicking low-oxygen tissue conditions and pathologies such as tissue infarction
or cancer. We utilised a sodium sulfite-based in-liquid chemical reaction to
consume dissolved oxygen. When this liquid was pumped into a microfluidic
channel, lowered oxygen levels could be measured outside the channel through a
polydimethylsiloxane PDMS membrane allowing only for gaseous exchange. We then
utilised this setup to deplete oxygen from the microenvironment of cultured
cells, and showed that cells responded to hypoxia on molecular level. Our setup
can be used for specifically removing oxygen from the cell culture
microenvironment for experimental purposes and for generating a low oxygen
environment that better mimics the cells' original tissue environments.
PMID- 29649637
TI - Angiogenesis impairment by the NADPH oxidase-triggered oxidative stress at the
bone-implant interface: Critical mechanisms and therapeutic targets for implant
failure under hyperglycemic conditions in diabetes.
AB - : Mechanism underlying the diabetes-induced poor osteointegration of implants
remains elusive, making it a challenge to develop corresponding solutions. Here,
we studied the role of angiogenesis in the diabetes-induced poor bone repair at
the bone-implant interface (BII) and the related mechanisms. In vivo, titanium
screws were implanted in the femurs of mice, and, in vitro, vascular endothelial
cell (VEC) was cultured on titanium surface. Results showed that, compared with
normal milieu (NM), diabetic milieu (DM) led to angiogenesis inhibition around
implants which resulted in reduced osteoprogenitors and poor bone formation on
BII in vivo. In vitro, DM caused significant increase of NADPH oxidases (NOX),
dysfunction of mitochondria and overproduction of reactive oxygen species (ROS)
in VEC on titanium surface, inducing obvious cell dysfunction. Both Mito-TEMPO
(Mito, a mitochondria-targeted ROS antagonist) and apocynin (APO, a NOX
inhibitor) effectively attenuated the oxidative stress and dysfunction of VEC,
with the beneficial effects of APO significantly better than those of Mito.
Further study showed that the diabetes-induced metabolic disturbance of VEC was
significantly related to the increase of advanced glycation end products (AGEs)
at the BII. Our results suggested that the AGEs-related and NOX-triggered
cellular oxidative stress leads to VEC dysfunction and angiogenesis impairment at
the BII, which plays a critical role in the compromised implant osteointegration
under diabetic conditions. These demonstrated new insights into the BII in
pathological states and also provided NOX and AGEs as promising therapeutic
targets for developing novel implant materials to accelerate the angiogenesis and
osteointegration of implants in diabetic patients with hyperglycemia. STATEMENT
OF SIGNIFICANCE: The high failure rate of bone implants in diabetic patients
causes patients terrible pain and limits the clinical application of implant
materials. The mechanism underlying this phenomenon needs elucidation so that it
would be possible to develop corresponding solutions. Our study demonstrated that
the AGEs-related and NOX-triggered oxidative stress of VEC leads to angiogenesis
impairment at the bone-implant interface (BII) in diabetes. These are critical
mechanisms underlying the compromised implant osteointegration in diabetic
hyperglycemia. These provide new insights into the BII in diseased states and
also suggest NOX and AGEs as crucial therapeutic targets for developing novel
implant materials which could modulate the oxidative stress on BII to get
improved osteointegration and reduced implant failure, especially in diabetic
patients.
PMID- 29649638
TI - Ultrafine heat-induced structural perturbations of bone mineral at the individual
nanocrystal level.
AB - : The nanoscale characteristics of the mineral phase in bone tissue such as
nanocrystal size, organization, structure and composition have been identified as
potential markers of bone quality. However, such characterization remains
challenging since it requires combining structural analysis and imaging
modalities with nanoscale precision. In this paper, we report the first
application of automated crystal orientation mapping using transmission electron
microscopy (ACOM-TEM) to the structural analysis of bone mineral at the
individual nanocrystal level. By controlling the nanocrystal growth of a cortical
bovine bone model artificially heated up to 1000 degrees C, we highlight the
potential of this technique. We thus show that the combination of sample mapping
by scanning and the crystallographic information derived from the collected
electron diffraction patterns provides a more rigorous analysis of the mineral
nanostructure than standard TEM. In particular, we demonstrate that nanocrystal
orientation maps yield valuable information for dimensional analysis.
Furthermore, we show that ACOM-TEM has sufficient sensitivity to distinguish
between phases with close crystal structures and we address unresolved questions
regarding the existence of a hexagonal to monoclinic phase transition induced by
heating. This first study therefore opens new perspectives in bone
characterization at the nanoscale, a daunting challenge in the biomedical and
archaeological fields, which could also prove particularly useful to study the
mineral characteristics of tissue grown at the interface with biomaterials
implants. STATEMENT OF SIGNIFICANCE: In this paper, we propose a new approach to
assess the mineral properties of bone at the individual nanocrystal level, a
major challenge for decades. We use a modified Transmission Electron Microscopy
acquisition mode to perform an Automated Crystal Orientation Mapping (ACOM-TEM)
by analyzing electron diffraction patterns. We tune the mineral nanocrystal size
by heating a model bovine bone system and show that this method allows precisely
assessing the mineral nanocrystal size, orientation and crystallographic phase.
ACOM-TEM therefore has sufficient sensitivity to solve problems that couldn't be
answered using X-ray diffraction. We thus revisit the fine mechanisms of bone
nanocrystal growth upon heating, a process currently used for bone graft
manufacturing, also of practical interest for forensic science and archaeology.
PMID- 29649639
TI - Cobalt (II) ions and nanoparticles induce macrophage retention by ROS-mediated
down-regulation of RhoA expression.
AB - : Histological assessments of synovial tissues from patients with failed CoCr
alloy hip prostheses demonstrate extensive infiltration and accumulation of
macrophages, often loaded with large quantities of particulate debris. The
resulting adverse reaction to metal debris (ARMD) frequently leads to early joint
revision. Inflammatory response starts with the recruitment of immune cells and
requires the egress of macrophages from the inflamed site for resolution of the
reaction. Metal ions (Co2+ and Cr3+) have been shown to stimulate the migration
of T lymphocytes but their effects on macrophages motility are still poorly
understood. To elucidate this, we studied in vitro and in vivo macrophage
migration during exposure to cobalt and chromium ions and nanoparticles. We found
that cobalt but not chromium significantly reduces macrophage motility. This
involves increase in cell spreading, formation of intracellular podosome-type
adhesion structures and enhanced cell adhesion to the extracellular matrix (ECM).
The formation of podosomes was also associated with the production and activation
of matrix metalloproteinase-9 (MMP9) and enhanced ECM degradation. We showed that
these were driven by the down-regulation of RhoA signalling through the
generation of reactive oxygen species (ROS). These novel findings reveal the key
mechanisms driving the wear/corrosion metallic byproducts-induced inflammatory
response at non-toxic concentrations. STATEMENT OF SIGNIFICANCE: Adverse tissue
responses to metal wear and corrosion products from CoCr alloy implants remain a
great challenge to surgeons and patients. Macrophages are the key regulators of
these adverse responses to the ions and debris generated. We demonstrated that
cobalt, rather than chromium, causes macrophage retention by restructuring the
cytoskeleton and inhibiting cell migration via ROS production that affects Rho
Family GTPase. This distinctive effect of cobalt on macrophage behaviour can help
us understand the pathogenesis of ARMD and the cellular response to cobalt based
alloys, which provide useful information for future implant design and
biocompatibility testing.
PMID- 29649641
TI - Hydrogel derived from porcine decellularized nerve tissue as a promising
biomaterial for repairing peripheral nerve defects.
AB - : Decellularized matrix hydrogels derived from tissues or organs have been used
for tissue repair due to their biocompatibility, tunability, and tissue-specific
extracellular matrix (ECM) components. However, the preparation of decellularized
peripheral nerve matrix hydrogels and their use to repair nerve defects have not
been reported. Here, we developed a hydrogel from porcine decellularized nerve
matrix (pDNM-G), which was confirmed to have minimal DNA content and retain
collagen and glycosaminoglycans content, thereby allowing gelatinization. The
pDNM-G exhibited a nanofibrous structure similar to that of natural ECM, and a
~280-Pa storage modulus at 10 mg/mL similar to that of native neural tissues.
Western blot and liquid chromatography tandem mass spectrometry analysis revealed
that the pDNM-G consisted mostly of ECM proteins and contained primary ECM
related proteins, including fibronectin and collagen I and IV). In vitro
experiments showed that pDNM-G supported Schwann cell proliferation and preserved
cell morphology. Additionally, in a 15-mm rat sciatic nerve defect model, pDNM-G
was combined with electrospun poly(lactic-acid)-co-poly(trimethylene
carbonate)conduits to bridge the defect, which did not elicit an adverse immune
response and promoted the activation of M2 macrophages associated with a
constructive remodeling response. Morphological analyses and electrophysiological
and functional examinations revealed that the regenerative outcomes achieved by
pDNM-G were superior to those by empty conduits and closed to those using rat
decellularized nerve matrix allograft scaffolds. These findings indicated that
pDNM-G, with its preserved ECM composition and nanofibrous structure, represents
a promising biomaterial for peripheral nerve regeneration. STATEMENT OF
SIGNIFICANCE: Decellularized nerve allografts have been widely used to treat
peripheral nerve injury. However, given their limited availability and lack of
bioactive factors, efforts have been made to improve the efficacy of
decellularized nerve allograft for nerve regeneration, with limited success.
Xenogeneic decellularized tissue matrices or hydrogels have been widely used for
surgical applications owing to their ease of harvesting and low immunogenicity.
Moreover, decellularized tissue matrix hydrogels show good biocompatibility and
are highly tunable. In this study, we prepared a porcine decellularized nerve
matrix (pDNM-G) and evaluated its potential for promoting nerve regeneration. Our
results demonstrate that pDNM-G can support Schwann cell proliferation and
peripheral nerve regeneration by means of residual primary extracellular matrix
components and nano-fibrous structure features.
PMID- 29649640
TI - A robust spectroscopic method for the determination of protein conformational
composition - Application to the annealing of silk.
AB - : The physical and mechanical properties of structural proteins such as silk
fibroin can be modified by controlled conformational change, which is regularly
monitored by Fourier transform infrared spectroscopy by peak fitting of the amide
I band envelope. Although many variables affecting peak shape are well
established, there is no fixed methodology to compare and follow secondary
structural differences without significant operator input especially where low
frequency spectral noise is a problem. The aim of this contribution is to
establish a method for such analyses to be carried at high levels of autonomy to
prevent subjective or erroneous fitting. A range of approaches was trialled with
optimal peak parameters selected based on overall goodness of fit and
reproducibility of fit of replicate sample spectra. The method was successfully
tested against reference proteins having contrasting beta content and the
rationale for parameter selection is presented. Further, we applied this method
to measure the effect of conformational change on the energy of the amide I band
of silk fibroin during annealing. Energy changes were ca. 400 kJ mol-1 of
fibroin. To confirm that this energy change was a consequence of increased
hydrogen bonding we used a Thioflavin T staining method typically used to
identify beta aggregate type structures in amyloid plaques. We propose that the
approach described herein can aid in the development of silk based materials for
biomedical applications where tuning of the physical and mechanical properties of
the silk are needed to guarantee optimum activity. STATEMENT OF SIGNIFICANCE: The
physical and mechanical properties of proteins including silk fibroin can be
modified by controlled structural change, which is regularly monitored by Fourier
transform infrared spectroscopy (FTIR) by peak fitting of the amide I band.
Currently there is no fixed methodology to compare and follow secondary
structural differences without significant operator input leading to subjectivity
and error. This contribution establishes a method for such analyses to be carried
at high levels of autonomy applicable to a wide range of proteins and the
conformational changes have been quantified as a single energy change output,
which clearly shows the progression of the annealing process used. We propose
that the approach can help in the development of silk based materials for
biomedical applications where tuning of the physical and mechanical properties of
the silk are needed to guarantee optimum activity.
PMID- 29649642
TI - Is the Cervical Anterior Spinal Artery Compromised in Cervical Spondylotic
Myelopathy Patients? Dual-Energy Computed Tomography Analysis of Cervical
Anterior Spinal Artery.
AB - OBJECTIVE: Cervical myelopathy is a common, acquired cause of spinal cord
dysfunction in older patients. It is postulated that a hypoxic or ischemic
environment secondary to chronic spinal cord compression plays an important role
in the pathogenesis of myelopathy. This study aims to use dual-energy computed
tomography (DECT) to assess the altered blood flow to the spinal cord in patients
with cervical spondylotic myelopathy (CSM). To our knowledge, this study is the
first to use DECT in identifying comprised anterior spinal artery blood flow in
patients with CSM. METHODS: Fifty patients with single disc level CSM and 10
volunteers without CSM underwent DECT of the cervical spine to analyze and
compare the ASA. The neurologic status of each patient was evaluated
preoperatively and postoperatively at 5 days, 1 month, and 6 months using the
Japanese Orthopedic Association (JOA) score. All the patients with CSM underwent
single-level anterior cervical discectomy and fusion, and at postoperative day 5,
each patient underwent repeated DECT. The anterior spinal artery before and after
surgery was compared in patients with CSM. The blood flow in terms of iodine
content at a specific region of interest was measured in the axial CT of the
volunteers group and in the preoperative and postoperative axial CT of patients
with CSM. Correlations between change in blood flow and clinical improvement at
each follow-up point were analyzed statistically. RESULTS: Iodine content (100
mg/mL) was 14.2800 +/- 1.89527 at the C3/C4 disc level, 14.8280 +/- 1.83820 at
the C4/C5 disc level, and 15.5000 +/- 2.41048 at the C5/C6 level. In patients
with CSM, the preoperative iodine content (100 mg/mL) measured was 10.2621 +/-
2.37396 in C3/C4 disc-level compression, 12.1438 +/- 1.63447 in C4/C5 disc-level
compression, and 14.0620 +/- 2.44390 in C5/C6 disc-level compression.
Postoperative iodine content (100 mg/mL) measurement changed to 13.78 +/- 2.77
for the C3/C4 disc level, 14.16 +/- 1.90 for the C4/C5 disc level, and 15.14 +/-
2.62 for the C5/C6 disc level. The JOA score was 13.650 preoperatively, 14.010 at
5 days postoperatively, 14.630 at 1 month postoperatively, and 15.000 at 6 months
postoperatively. The 1- and 6-month correlation ratios between the JOA and change
in blood flow were statistically significant, with an r value of 0.746 (P < 0.05)
and 0.760 (P < 0.05), respectively. CONCLUSIONS: This study provided evidence for
the benefit of DECT as a radiographic tool for identifying the compromised
cervical anterior spinal artery in patients with CSM. We believe that DECT is the
one of the best radiographic tools available to provide an objective screening
tool to detect compromised blood flow in patients with CSM.
PMID- 29649643
TI - Estimating the Global Incidence of Aneurysmal Subarachnoid Hemorrhage: A
Systematic Review for Central Nervous System Vascular Lesions and Meta-Analysis
of Ruptured Aneurysms.
AB - INTRODUCTION: There is increasing acknowledgement that surgical care is important
in global health initiatives. In particular, neurosurgical care is as limited as
1 per 10 million people in parts of the world. We performed a systematic
literature review to examine the worldwide incidence of central nervous system
vascular lesions and a meta-analysis of aneurysmal subarachnoid hemorrhage (aSAH)
to define the disease burden and inform neurosurgical global health efforts.
METHODS: A systematic review and meta-analysis were conducted according to the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses guidelines to
estimate the global epidemiology of central nervous system vascular lesions,
including unruptured and ruptured aneurysms, arteriovenous malformations,
cavernous malformations, dural arteriovenous fistulas, developmental venous
anomalies, and vein of Galen malformations. Results were organized by World
Health Organization regions. After literature review, because of a lack of data
from particular World Health Organization regions, we determined we could only
provide an estimate of aSAH. Using data from studies with aSAH and 12 high
quality stroke studies from regions lacking data, we meta-analyzed the yearly
crude incidence of aSAH per 100,000 persons. Estimates were generated via random
effects models. RESULTS: From an initial yield of 1492 studies, 46 manuscripts on
aSAH incidence were included. The final meta-analysis included 58 studies from 31
different countries. We estimated the global crude incidence for aSAH to be 6.67
per 100,000 persons with a wide variation across WHO regions from 0.71 to 12.38
per 100,000 persons. CONCLUSIONS: Worldwide, almost 500,000 individuals will
suffer from aSAH each year, with almost two-thirds in low- and middle-income
countries.
PMID- 29649644
TI - Endoscopic Transseptal Approach with Bilateral Nasoseptal Flap in Challenging
Skull-Base Tumors.
AB - OBJECTIVE: We sought to examine the utility of an endoscopic transseptal approach
and creation of a bilateral nasoseptal flap using a 2-nostrils/4-hands technique
in patients with challenging skull-base tumors. METHODS: The medical records of
patients operated on via an endoscopic transseptal approach between 2009 and 2017
were retrospectively reviewed. Two patients with ossifying fibroma, 2 with
orbital hemangioma, 2 with trigeminal nerve schwannoma, and 1 patient each with
juvenile angiofibroma, meningioma, and myxofibrosarcoma were included in the
study. The surgical technique and endoscopic anatomy are presented. RESULTS: The
mean age of the 4 male and 5 female patients was 39.9 +/- 14.8 years. By using
this technique, all 7 tumors were resected with minimal nasal morbidity after a
mean follow-up of 15.2 +/- 9.4 months. CONCLUSIONS: The endoscopic transseptal
approach, by creation of a bilateral nasoseptal flap using a 2-nostrils/4-hands
technique, improves surgical visualization and increases instrument
maneuverability. Surgical access to challenging skull-base tumors is therefore
afforded. The procedure also reduces nasal morbidity by preserving the lacrimal
apparatus and anterior maxilla structures and avoiding septal perforation and
traumatic nose traction.
PMID- 29649645
TI - Giant Pseudoaneurysm from Superficial Temporal Artery After Trauma.
AB - An 83-year-old woman presented with a lump on her left frontal region. One month
ago, she fell and hit the ground with the left side of her head. However, she had
no evidence of injury. After 10 days, a progressive, painless lump appeared where
her head was injured. The lump was pulsatile, but it not obviously shrank subtly
in size with compression of her left superficial temporal artery. The ultrasound
examination in local hospital demonstrated a pseudoaneurysm. Head computed
tomography showed an isodense subcutaneous lesion in her left frontal area.
Further 3-dimensional reconstruction of contrast-enhanced computed tomography
indicated an aneurysm from her left superficial temporal artery. The aneurysm was
completely removed by surgery, and pathologic examination confirmed
pseudoaneurysm. Because the pseudoaneurysm was large, the disadvantage of the
endovascular treatment options could be a permanent lump. Our patient received a
surgical resection without facial nerve damage.
PMID- 29649646
TI - Simultaneous Treatment of Epilepsy and Secondary Dystonia After Anterior Temporal
Lobectomy and Amygdalohippocampectomy.
AB - BACKGROUND: The relationship between temporal lobe epilepsy and focal limb
dystonia is a well-recognized phenomenon, yet its pathogenesis and anatomic
foundation are not well understood. Here, we describe 2 patients with refractory
focal epilepsy and contralateral focal limb dystonia whose seizures and dystonic
symptoms simultaneously resolved after anterior temporal lobectomy and
amygdalohippocampectomy. CASE DESCRIPTION: We identified 2 patients within the
Mount Sinai Health system with improvement in dystonia after medial temporal
lobectomy. Retrospective chart reviews for the clinical history were performed.
Patient 1 suffered a traumatic injury of the right temporal lobe, developing left
hemidystonia and epilepsy. He received a right amygdala-hippocampectomy, which
resolved both. Patient 2 has a history of right temporal glioma resection
complicated by an infarct, resulting in left hemidystonia and epilepsy. He
received a right medial temporal resection, which nearly resolved both.
CONCLUSION: Our cases demonstrate a medial temporal-basal ganglia network
dysfunction in dystonia-epilepsy that was modulated and cured by resective
surgery. We hypothesize that the mechanisms behind these observed phenomena were
due to a pathologic connectivity of the basal ganglia and amygdala-hippocampus.
To our knowledge, these are the first reported cases of dystonia and concomitant
epilepsy resolving with temporal lobectomy and provide valuable prognostic
information for similarly affected patients.
PMID- 29649647
TI - Clinical Outcomes of Primary and Revision Untethering Surgery in Patients with
Tethered Cord Syndrome and Spinal Bifida.
AB - BACKGROUND: Tethered cord syndrome (TCS) is an increasingly recognized clinical
condition, with untethering surgery considered the classically effective
treatment. Yet, as evidence has aggregated, the surgical outcomes of untethering
remain controversial. This study aimed to systematically evaluate the clinical
outcomes in patients who underwent primary or revision untethering. METHODS: This
retrospective study was conducted at the Department of Spine Surgery of
Changzheng Hospital between January and December 2016. Patients with TCS who
underwent untethering surgery were recruited for the study. Information collected
included demographic data, main clinical manifestations, and outcomes after
untethering surgery. RESULTS: A total of 112 patients (60 males and 52 females)
were included in this study, with mean follow-up of 13.7 years. The surgical
outcomes of the primary untethering varied among symptoms, with remission rates
of 30.0% for pain, 24.4% for paresthesia, 18.6% for motor deficit, 12.6% for
bladder dysfunction, and 21.2% for bowel dysfunction. Moreover, 23.3%-40.2% of
patients suffered deterioration after surgery during the follow-up period. Twenty
eight patients underwent revision untethering surgery. In these patients, the
remission rate decreased by 5.6%-16.7% compared with the primary operation.
Moreover, most patients (58.8%-70.6%) experienced no benefits after revision
surgery. CONCLUSIONS: With a possible high risk of recurrence, further
exploration of the indications and timing of the untethering surgery is needed.
Conservative treatment and omnidirectional care might be a better choice for
patients with retethering with recurrent symptoms.
PMID- 29649648
TI - Ultra-Early Treatment for Poor-Grade Aneurysmal Subarachnoid Hemorrhage: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: It remains unknown if ultra-early (within 24 hours after onset)
treatment can improve the prognosis in patients with poor-grade aneurysmal
subarachnoid hemorrhage (aSAH). We aimed to evaluate the effect of ultra-early
treatment on functional outcomes and mortality in patients with poor-grade aSAH
via a systematic review and meta-analysis. METHODS: We performed a literature
search in the PubMed, MEDLINE, and Web of Science databases. Primary outcomes
were death and functional outcome assessed at any time period. Secondary outcomes
were the rebleeding rate before an aneurysm occlusion procedure and the incidence
of intraoperative technique difficulty (ITD). The results are reported as odds
ratio (OR) with 95% confidence interval (CI). RESULTS: A total of 14 articles
containing 1111 patients met our inclusion criteria and were included in our
analysis. The pooled incidence was 47% (95% CI, 40%-54%) for favorable outcome
across 13 studies, 26% (95% CI, 19%-32%) for mortality in 11 studies, 10% (95%
CI, 3%-16%) for rebleeding in 5 studies, and 20% (95% CI, 10%-31%) for ITD in 5
studies after ultra-early treatment of poor-grade aSAH. Compared with delayed
treatment (>24 hours), the ultra-early treatment failed to improve outcomes (OR,
1.23; 95% CI, 0.75-2.01; P = 0.40) or reduce mortality (OR, 0.84; 95% CI, 0.58
1.22; P = 0.45), but tended to prevent preoperative rebleeding (OR, 0.59; 95% CI,
0.32 to 1.07; P = 0.08) in 6, 4, and 4 case-control studies, respectively.
CONCLUSIONS: Our findings show no significant change both in functional outcome
and mortality between ultra-early and delayed treatment although ultra-early
treatment may be associated with lower rebleeding rate.
PMID- 29649649
TI - Aberrant Expression of Extracellular Signal-Regulated Kinase and 15
Hydroxyprostaglandin Dehydrogenase Indicates Radiation Resistance and Poor
Prognosis for Patients with Clival Chordomas.
AB - OBJECTIVE: To explore molecular markers of radiosensitivity and prognostic
factors in patients with clival chordomas. METHODS: Retrospective review was
performed of 35 patients. Mean follow-up interval was 66.37 months (range, 29-106
months). Kaplan-Meier method was used for survival analysis. Immunohistochemical
staining was used to detect expression levels of extracellular signal-regulated
kinase (ERK) and 15-hydroxyprostaglandin dehydrogenase (HPGD). RESULTS: Total
resection was achieved in 12 cases, subtotal resection was achieved in 12 cases,
and partial resection was achieved in 11 cases. Radiation-sensitive group
comprised 17 cases, and radiation-resistant (RR) group comprised 18 cases. Five
year progression-free survival (PFS) rates in total resection and nontotal
resection groups were 46.3% and 10.1%, respectively (P = 0.005). Mean H-scores of
ERK in radiation-resistant and radiation-sensitive groups were 110.38 and 82.98,
respectively (P = 0.043). Mean H-scores of HPGD in radiation-resistant and
radiation-sensitive groups were 178.62 and 203.47, respectively (P = 0.031). Mean
PFS in low ERK expression group (58.61 months) was significantly longer than mean
PFS in high ERK expression group (24.94 months) (P = 0.022). Mean PFS in high
HPGD expression group (39.54 months) was significantly longer than mean PFS in
low HPGD expression group (9.5 months) (P = 0.013). CONCLUSIONS: Radical
resection with protection of important structures is the most effective treatment
of clival chordomas. High HPGD expression and low ERK expression were associated
with radiation sensitivity and better prognosis. HPGD and ERK can be used as
biomarkers to predict prognosis and guide treatment.
PMID- 29649650
TI - Assessment of Myelopathy in Cervical Ossification of the Posterior Longitudinal
Ligament by Magnetic Resonance Imaging-Assisted 3-Dimensional Measurement.
AB - BACKGROUND: Ossification of the posterior longitudinal ligament (OPLL) is a 3
dimensional (3D) disease that causes cervical myelopathy. The conventional 2
dimensional (2D) measurement of OPLL has limitations in estimating cord
compression and myelopathy. In this study, we attempted to use 3D computed
tomography (CT) and magnetic resonance imaging (MRI) to measure the 3D occupying
ratio of OPLL and investigate its significance in the assessment of spinal cord
myelopathy. METHODS: Three-dimensional CT and MRI were performed in 50 patients
with cervical OPLL at a neutral position before surgery. MRI was done to
determine the extent of spinal cord compression. The CT data were saved in DICOM
format and analyzed using Mimics 17.0. Then a 3D model of OPLL was
semiautomatically segmented at a specific threshold. The following data were
measured: diameter of the spinal canal, thickness of the OPLL, and 3D volume of
the OPLL and spinal canal. The Japanese Orthopedic Association (JOA) score was
used to assess the cervical spinal cord function. RESULTS: Pearson correlation
analysis showed that both the occupying ratio and the 3D occupying ratio were
significantly and negatively correlated with the JOA score. Multiple linear
regression analysis indicated that only the 3D occupying ratio showed a
significantly negative correlation with the JOA score, whereas age, sex, and the
occupying ratio were insignificantly associated with the JOA score. CONCLUSIONS:
The 3D occupying ratio of OPLL is a reliable indicator for assessing the severity
of spinal cord myelopathy. MRI provides more details about cord compression,
making the measurement more accurate and objective.
PMID- 29649651
TI - Internal Maxillary Artery to Middle Cerebral Artery Cranial Bypass: The New "Work
Horse" for Cerebral Flow Replacement.
PMID- 29649652
TI - Differentiating aversive conditioning in bistable perception: Avoidance of a
percept vs. salience of a stimulus.
AB - Alternating conscious visual perception of bistable stimuli is influenced by
several factors. In order to understand the effect of negative valence, we tested
the effect of two types of aversive conditioning on dominance durations in
binocular rivalry. Participants received either aversive classical conditioning
of the stimuli shown alone between rivalry blocks, or aversive percept
conditioning of one of the two possible perceptual choices during rivalry. Both
groups showed successful aversive conditioning according to skin conductance
responses and affective valence ratings. However, while classical conditioning
led to an immediate but short-lived increase in dominance durations of the
conditioned stimulus, percept conditioning yielded no significant immediate
effect but tended to decrease durations of the conditioned percept during
extinction. These results show dissociable effects of value learning on
perceptual inference in situations of perceptual conflict, depending on whether
learning relates to the decision between conflicting perceptual choices or the
sensory stimuli per se.
PMID- 29649653
TI - A label-free cellulose SERS biosensor chip with improvement of nanoparticle
enhanced LSPR effects for early diagnosis of subarachnoid hemorrhage-induced
complications.
AB - It is very difficult to predict some complications after subarachnoid hemorrhage
(SAH), despite rapid advances in medical science. Herein, we introduce a label
free cellulose surface-enhanced Raman spectroscopy (SERS) biosensor chip with pH
functionalized, gold nanoparticle (AuNP)-enhanced localized surface plasmon
resonance (LSPR) effects for identification of SAH-induced cerebral vasospasm and
hydrocephalus caused by cerebrospinal fluid (CSF). The SERS biosensor chip was
implemented by the synthesis reaction of the AuNPs, which were charged positively
through pH level adjustment, onto a negatively-charged cellulose substrate with
xi = -30.7 mV. The zeta potential, nanostructural properties, nanocrystallinity,
and computational calculation-based electric field distributions of the cellulose
originated AuNPs were optimized to maximize LSPR phenomena and then
characterized. Additionally, the performance of the SERS biosensor was compared
under two representative excitation laser sources in the visible region (532 nm)
and near-infrared region (785 nm). The Raman activities of our SERS biosensor
chip were evaluated by trace small molecules (crystal violet, 2 uL), and the
biosensor achieved an enhancement factor of 3.29 * 109 for the analytic concept
with an excellent reproducibility of 8.5% relative standard deviation and a
detection limit of 0.74 pM. Furthermore, the experimental results revealed that
the five proposed SERS-based biomarkers could provide important information for
identifying and predicting SAH-induced cerebral vasospasm and hydrocephalus
complications (91.1% reliability and 19.3% reproducibility). Therefore, this
facile and effective principle of our SERS biosensor chip may inspire the basis
and strategies for the development of sensing platforms to predict critical
complications in various neurosurgical diagnoses.
PMID- 29649654
TI - HPV DNA methylation at the early promoter and E1/E2 integrity: A comparison
between HPV16, HPV18 and HPV45 in cervical cancer.
AB - OBJECTIVES: To compare and describe type-specific characteristics of HPV16, HPV18
and HPV45 in cervical cancer with respect to 3'LCR methylation and disruption of
E1/E2. METHODS: The methylation level of 137 cervical cancer samples (70 with
HPV16, 37 with HPV18, and 30 with HPV45) of Brazilian patients was analyzed by
pyrosequencing. PCR amplifications were performed to characterize E1 and E2
disruption as an episomal surrogate. RESULTS: The 3'LCR of HPV16 showed a higher
methylation at all CpG sites (7%, 9%, 11%, 10% and 10%) than homologous HPV18
regions (4%, 5%. 6%, 9% and 5%) and HPV45 regions (7%, 7% and 5%). Presence of
intact E1/E2 was associated with higher HPV16 and HPV18 methylation levels at all
CpG sites (p < 0.05). Disruption of E1/E2 was more frequently found in HPV45
(97%) and HPV18 (84%) than in HPV16 DNA (30%). HPV16 disruption was more
frequently found in E1 (48%) unlike HPV18, where it was found in E2 (61%).
Concomitant disruption of E1/E2 was most frequent in HPV45 (72%). CONCLUSIONS:
The findings showed a higher methylation associated with intact E1/E2 for HPV16
and HPV18. The closely phylogenetic related HPV18 and HPV45 share a similar
methylation level and the frequency of viral genome disruption.
PMID- 29649655
TI - Bone mineral density changes over time in diffuse idiopathic skeletal
hyperostosis of the thoracic spine.
AB - Diffuse idiopathic skeletal hyperostosis (DISH) is an increasingly prevalent
ankylosing condition. Patients with DISH have an increased risk of spinal
fractures, hypothetically the result of biomechanical changes in the spine. The
aim of this study was to analyze the occurrence of biomechanical stress shielding
in patients with DISH. To do this, bone mineral density (BMD) was measured
longitudinally in the vertebral bodies of subjects with and without DISH and in
the newly formed bone of subjects with DISH. The presence of DISH was evaluated
using Resnick criteria on two chest computed tomography (CT) scans taken at least
2.5 years apart from subjects over 50 years of age. Three groups were identified:
pre-DISH (individuals who developed DISH after the first CT scan), definite DISH
(individuals who had DISH on both CT scans), and controls (individuals with no
DISH). Hounsfield units (HU) were measured in the newly formed bone and in
predefined anterior and posterior portions of the involved vertebral bodies. Mean
BMD of the newly formed bone increased significantly (mean DeltaHU 137.5; p <
0.01) during a mean interval of 5 years in the cranial, middle, and caudally
involved vertebral segments of both DISH groups. Mean BMD of the vertebral bodies
in the ankylotic segments in the DISH groups did not significantly differ from
that of the non-ankylotic vertebral bodies of the same subject. In contrast to
our hypothesis, the HU value of the vertebral body decreased more in the control
group than in the DISH groups; however, statistical significance was only reached
at the cranial level in the anterior part of the vertebral body (p = 0.048). Our
data suggest that 1) vertebral BMD is not influenced by the presence of DISH and
2) increased spinal stiffness may play a more important role than vertebral BMD
in the increased fracture risk of and the typical fracture patterns observed in
individuals with DISH.
PMID- 29649656
TI - Influence of severe plastic deformation on fatigue life applied by ultrasonic
peening in welded pipe 316 Stainless Steel joints in corrosive environment.
AB - Corrosive solutions have an enormous effect on fatigue life of components in
refinery industry. Several post-processing solutions are proposed to improve the
weld zone, which is affected by the corrosive solutions. Ultrasonic peening (UP)
is developed to enhance the fatigue life, specifically in corrosive environments
and corrosion resistance of the components. The enhancement mechanism of UP is
based on severe plastic deformation and reduction of tensile residual stress in
weld toe. In this research, the fatigue-corrosion life of welded 316 Stainless
Steel (SS) pipe is enhanced through utilizing UP process with different diameters
of strikers. Fatigue-corrosion life of the weld on 316 SS and 347 SS fillers, as
experimental samples, is studied in corrosive environments. This study intends to
shed more light on the influence of corrosion and strength in fatigue-corrosion
life of the sample materials. Therefore, this article studies the microstructure
and pitting corrosion of the samples at different zones. The experimental results
showed enhancement of fatigue-corrosion life after applying UP. The results
revealed that welding by using 316 SS filler and UP with striker diameter of 4 mm
have the highest fatigue life in the simulated H2S corrosive environment.
PMID- 29649657
TI - Contribution of computational model for assessment of heart tissue local stress
caused by suture in LVAD implantation.
AB - STUDY: Implantation of a Left Ventricular Assist Device (LVAD) may produce both
excessive local tissue stress and resulting strain-induced tissue rupture that
are potential iatrogenic factors influencing the success of the surgical
attachment of the LVAD into the myocardium. By using a computational simulation
compared to mechanical tests, we sought to investigate the characteristics of
stress-induced suture material on porcine myocardium. METHODS: Tensile strength
experiments (n = 8) were performed on bulk left myocardium to establish a
hyperelastic reduced polynomial constitutive law. Simultaneously, suture strength
tests on left myocardium (n = 6) were performed with a standard tensile test
setup. Experiments were made on bulk ventricular wall with a single U-suture
(polypropylene 3-0) and a PTFE pledget. Then, a Finite Element simulation of a
LVAD suture case was performed. Strength versus displacement behavior was
compared between mechanical and numerical experiments. Local stress fields in the
model were thus analyzed. RESULTS: A strong correlation between the experimental
and the numerical responses was observed, validating the relevance of the
numerical model. A secure damage limit of 100 kPa on heart tissue was defined
from mechanical suture testing and used to describe numerical results. The impact
of suture on heart tissue could be accurately determined through new parameters
of numerical data (stress diffusion, triaxiality stress). Finally, an ideal
spacing between sutures of 2 mm was proposed. CONCLUSION: Our computational model
showed a reliable ability to provide and predict various local tissue stresses
created by suture penetration into the myocardium. In addition, this model
contributed to providing valuable information useful to design less traumatic
sutures for LVAD implantation. Therefore, our computational model is a promising
tool to predict and optimize LVAD myocardial suture.
PMID- 29649658
TI - Gentamicin-loaded ceramic-biopolymer dual layer coatings on the Ti with improved
bioactive and corrosion resistance properties for orthopedic applications.
AB - In orthopedic surgery, osteomyelitis (bone infection) is one of the most serious
complications in the last few decades and the resident drug delivery is the key
strategy to overcome this issue. Combination of bioactive materials with
antibiotics is broadly developed for the handling of osteomyelitis which plays a
dual role as bone cell growth and as local drug delivery systems for antibiotic
delivery. TiO2-SiO2 mixtures were fabricated on Ti alloy by anodization method.
Chitosan-Lysine (CS-LY) biopolymers were coated on composites by
electrodeposition method and followed by gentamicin sulfate (GS) which was loaded
as a model drug. The layers were characterized by AT-FTIR, XRD, FE-SEM and EDX
methods. The mechanical, anticorrosion, antimicrobial effects and
biocompatibility of the glazes were considered. In addition, drug loading,
continued and prolonged liberation behaviors of GS from the fabricated coating
were studied. The apatite development ability and cell viability are outstanding
for CS-LY-3 coated composites. In vitro cell experimentations indicate that
osteoblasts show good adhesion and high growth rates for CS-LY-3 coated TiO2-SiO2
composite substrate. In conclusion, the surface modification of TiO2-SiO2/CS-LY-3
coated Ti alloy could be used as a carrier for GS, not only to eradicate the
osteomyelitis caused by Gram-negative and Gram-positive bacteria, but also to
repair the bone defect initiated by the infection owing to the tunable
nanocomposite degradation.
PMID- 29649659
TI - Co-Mn layered double hydroxide as an effective heterogeneous catalyst for
degradation of organic dyes by activation of peroxymonosulfate.
AB - In this study, Co-Mn layered double hydroxide (Co-Mn LDH) was synthesized,
characterized, and tested as heterogeneous catalyst to activate peroxymonosulfate
(PMS) for degradation of organic dyes. The results of characterization showed
that Co-Mn LDH had high purity, uniform morphology and large specific surface
area (49.9379 m2/g). The degradation experiments demonstrated that five different
dyes with the concentration of 50 mg/L could be decomposed completely within 240
s using only 0.025 g/L of Co-Mn LDH and 0.1 g/L of PMS. Moreover, Co-Mn LDH/PMS
system presented the highest decomposition efficiency for acid orange G (AOG)
compared with other related materials under the same condition. Further
investigation found that Co-Mn LDH/PMS system had an excellent adaptability in a
wide pH range (from 3 to 10), and the best efficiency was achieved when the
solution was natural (pH = 6.87). The mineralization of AOG was assessed by Total
Organic Carbon (TOC), and 52.2% of TOC was removed. Meanwhile, the good
reusability and high stability of Co-Mn LDH were demonstrated by recycle tests
and ion-leaching tests. The catalytic mechanism was explored through quenching
tests as well as X-ray photoelectron spectroscopy (XPS) analysis. Finally, all of
the results suggested that Co-Mn LDH/PMS system with high stability and
decomposition efficiency was suitable for the remediation of organic dyes in
wastewater.
PMID- 29649660
TI - Effect of temperature and dispersant (COREXIT(r) EC 9500A) on aerobic
biodegradation of benzene in a coastal salt marsh sediment.
AB - The coastal ecosystem in the northern Gulf of Mexico (GoM) has been seriously
impacted by the 2010 BP oil spill. Two experiments were conducted to study the
effect of temperature and addition of the dispersant on biodegradation of
benzene, as a representative of petroleum hydrocarbon, in a coastal salt marsh
sediment under aerobic conditions. The results show that benzene biodegradation
was approximately 6 time faster under aerobic conditions (Eh > +300 mV) than
under anaerobic iron-reduction conditions (+14 mV < Eh < +162 mV). Benzene
biodegradation in response to temperature was in an order of 20 degrees C > 10
degrees C > 30 degrees C as expected in a saline environment. Application of the
dispersant caused initial fluctuations of benzene vapor pressure during the
incubation due to its hydrophobic and hydrophilic nature of the molecules.
Presence of the dispersant shows an inhibitory effect on benzene biodegradation,
and the inhibition increased with concentration of the dispersant. The Gulf coast
sediment seems in a favorable scenario to recover from the BP oil spill with an
average temperature around 20 degrees C in spring and fall season. Application
of the dispersant may be necessary for the oil spill rescue operation, but its
side effects may deserve further investigations.
PMID- 29649661
TI - Risk ranking of environmental contaminants in Xiaoqing River, a heavily polluted
river along urbanizing Bohai Rim.
AB - Xiaoqing River, located in the Laizhou Bay of Bohai Sea, is heavily polluted by
various pollutants including heavy metals, polycyclic aromatic hydrocarbons
(PAHs), hexachlorocyclohexanes (HCHs), perfluoroalkyl acids (PFAAs), bisphenol A
(BPA) and pharmaceutical and personal care products (PPCPs). The aim of this
study is to identify the relative risks of such contaminants that currently
affect the coastal ecosystem. The median and highest concentrations of PFAAs and
perfluorooctanoic acid (PFOA) were 3.23 MUg L-1 and 325.28 MUg L-1, and 0.173 MUg
L-1 and 276.24 MUg L-1, respectively, which were ranked higher when compared with
global level concentrations. To assess the relative risk levels of
perfluorooctane sulfonic acid (PFOS), PFOA, and other contaminants in the
upstream and downstream of the Xiaoqing River and in its tributary, a risk
ranking analysis was carried out. Copper (Cu), Zinc (Zn), and arsenic (As) showed
the highest risk values in the Xiaoqing River, while the relative risks of PFOA
and PFOS differed across the various segments. The risk ranking of PFOA was the
second highest in the tributary and the fourth highest in the downstream portion
of the river, whereas the PFOS was found to be the lowest in all the segments.
Heavy metals and PFOA are the main chemicals that should be controlled in the
Xiaoqing River. The results of the present study provide a better understanding
of the potential ecological risks of the contaminants in Xiaoqing River.
PMID- 29649662
TI - Autophagy attenuates copper-induced mitochondrial dysfunction by regulating
oxidative stress in chicken hepatocytes.
AB - Copper (Cu) is an essential trace element that is required for the catalysis of
several cellular enzymes. Excessive Cu could induce hepatotoxicity in humans and
multiple animals. The purpose of this study was to investigate the effects of
autophagy machinery on Cu-induced hepatotoxicity. Chicken hepatocytes were
cultured in medium in the absence and presence of Cu sulfate (CuSO4) (0, 10, 50,
and 100 MUM) for 0, 6, 12, and 24 h, and in the combination of CuSO4 and N-acetyl
l-cysteine (NAC) (1 mM), rapamycin (10 nM), and 3-methyladenine (3-MA) (5 mM) for
24 h. Results showed that Cu could markedly increase the number of autophagosomes
and LC3 puncta, induce autophagy-related genes (Beclin1, ATG5, LC3I, LC3II, mTOR,
and Dynein) mRNA expression and proteins (BECN1, LC3II/LC3I) expression. NAC
could relieve Cu-induced the changes of above genes and proteins. Additionally,
rapamycin attenuated Cu-induced the increased lactic dehydrogenase (LDH),
aspartate amino transferase (AST), and alanine aminotransferase (ALT) activities,
and SOD-1 mRNA expression as well as the decreased cell viability, reactive
oxygen species (ROS), hydrogen peroxide, total superoxide dismutase (T-SOD),
malonaldehyde (MDA), catalase (CAT), HO-1 mRNA expression, adenosine triphosphate
(ATP) levels, mitochondrial mass, and mitochondria membrane potential (MMP). But
3-MA had the opposite effects on above factors. Collectively, these findings
provide strong evidence that Cu could induce autophagy by generating excessive
ROS in hepatocytes, and autophagy might attenuate Cu-induced mitochondrial
dysfunction by regulating oxidative stress.
PMID- 29649663
TI - Binary mixtures of alcohol ethoxylates, nonylphenol ethoxylates and pesticides
exhibit comparative bioactivity against three pests and toxicological risks to
aquatic organisms.
AB - Nonylphenol ethoxylates are widely used surfactants in the industry and
agriculture. However, seeking for alternatives has been imperative considering
their effects of the hormonal and other toxicological risks. In the current
study, the synergistic effects of nonylphenol ethoxylates or alcohol ethoxylates
on the bioactivity of indoxacarb and acetamiprid were compared. Results showed
that synergistic ratios of nonylphenol ethoxylates (TX-7~TX-30) and alcohol
ethoxylates (MOA-5~MOA-20) against Spodoptera exigua, Agrotis ipsilon and Aphis
citricola decreased with the EO (ethylene oxide) numbers, although different
magnitudes of decreases were observed. Single toxicities of all ethoxylates to
Daphnia magna and Brachydanio rerio also dramatically decreased with the EO
numbers. In terms of joint toxicity, the combined effects of all ethoxylates and
pesticides upon D. magna turned from synergism to antagonism with the increasing
EO numbers; the combined effects of nonylphenol ethoxylates and pesticides turned
from synergism to antagonism with the increasing EO numbers of ethoxylates,
whereas alcohol ethoxylates and pesticides always showed antagonistic effects
whatever EO numbers. Overall, alcohol ethoxylates may be potential alternatives
for nonylphenol ethoxylates as they exhibited nearly comparative bioactivity
against tested pests and toxicities to D. magna and B. rerio.
PMID- 29649664
TI - Autophagic-related cell death of Trypanosoma brucei induced by bacteriocin AS-48.
AB - The parasitic protozoan Trypanosoma brucei is the causative agent of human
African trypanosomiasis (sleeping sickness) and nagana. Current drug therapies
have limited efficacy, high toxicity and/or are continually hampered by the
appearance of resistance. Antimicrobial peptides have recently attracted
attention as potential parasiticidal compounds. Here, we explore circular
bacteriocin AS-48's ability to kill clinically relevant bloodstream forms of T.
brucei gambiense, T. brucei rhodesiense and T. brucei brucei. AS-48 exhibited
excellent anti-trypanosomal activity in vitro (EC50 = 1-3 nM) against the three
T. brucei subspecies, but it was innocuous to human cells at 104-fold higher
concentrations. In contrast to its antibacterial action, AS-48 does not kill the
parasite through plasma membrane permeabilization but by targeting intracellular
compartments. This was evidenced by the fact that vital dye internalization
prohibiting concentrations of AS-48 could kill the parasite at 37 degrees C but
not at 4 degrees C. Furthermore, AS-48 interacted with the surface of the
parasite, at least in part via VSG, its uptake was temperature-dependent and
clathrin-depleted cells were less permissive to the action of AS-48. The
bacteriocin also caused the appearance of myelin-like structures and double
membrane autophagic vacuoles. These changes in the parasite's ultrastructure were
confirmed by fluorescence microscopy as AS-48 induced the production of EGFP
ATG8.2-labeled autophagosomes. Collectively, these results indicate AS-48 kills
the parasite through a mechanism involving clathrin-mediated endocytosis of VSG
bound AS-48 and the induction of autophagic-like cell death. As AS-48 has greater
in vitro activity than the drugs currently used to treat T. brucei infection and
does not present any signs of toxicity in mammalian cells, it could be an
attractive lead compound for the treatment of sleeping sickness and nagana.
PMID- 29649666
TI - A retrospective study: Does cigarette smoking induce cervical disc degeneration?
AB - OBJECTIVE: To investigate cigarette smoking's relevance with cervical disc
degeneration. METHODS: We randomly selected 320 patients who came to our spine
disease department outpatient clinic with chief complaint of neck-shoulder pain
during June 2014-June 2016. According to the detailed smoking history, these
patients were divided into 3 different groups, which were active smoker group
(AS), passive smoking group (PS) and never-smoker group (NS). Each patient's
Miyazaki's magnetic resonance imaging (MRI) classification of cervical disc
degeneration was analyzed based on their cervical MRI films. In addition, VAS
scores were applied to evaluate the degree of patients' neck-shoulder pain. With
the help of statistical techniques, relevance between cigarette smoking, cervical
disc degeneration and neck-shoulder pain were analyzed. RESULTS: In the NS group,
the overall Miyazaki score, especially for C2/3, C3/4, C5,6 segments, are
superior to those in the PS group, in addition, the Miyazaki scores for C1/2 -
C6/7 segments in NS group beat the same segments in AS group with statistical
significance (P < 0.05). In the AS and PS group, discs from C4/5 to C5/6 segments
which score IV and V on Miyazaki classification account for a larger proportion
than those discs from C1/2 to C3/4 segments with statistical significance (P <
0.05). In the AS group, male cases have larger proportion of Miyazaki level IV
and V discs than female with statistical significance (P < 0.05). While in the AS
and PS group, Miyazaki scores of patients whose smoking history ranges from 5 to
10 years are superior to those with smoking history longer than 10 years, with
statistical significance (P < 0.05). In addition, VAS scores also vary among the
three groups, in which, VAS scores in AS group are higher than those in the NS
group. CONCLUSION: Smoking could accelerate the process of cervical disc
degeneration, presenting with more severe neck-shoulder pain on the patients. In
addition, the impact of smoking on the lower cervical discs is greater than the
upper cervical discs.
PMID- 29649665
TI - The anti-fecundity effect of 5-azacytidine (5-AzaC) on Schistosoma mansoni is
linked to dis-regulated transcription, translation and stem cell activities.
AB - Uncontrolled host immunological reactions directed against tissue-trapped eggs
precipitate a potentially lethal, pathological cascade responsible for
schistosomiasis. Blocking schistosome egg production, therefore, presents a
strategy for simultaneously reducing immunopathology as well as limiting disease
transmission in endemic or emerging areas. We recently demonstrated that the
ribonucleoside analogue 5-azacytidine (5-AzaC) inhibited Schistosoma mansoni
oviposition, egg maturation and ovarian development. While these anti-fecundity
effects were associated with a loss of DNA methylation, other molecular processes
affected by 5-AzaC were not examined at the time. By comparing the transcriptomes
of 5-AzaC-treated females to controls, we provide evidence that this
ribonucleoside analogue also modulates other crucial aspects of schistosome egg
laying biology. For example, S. mansoni gene products associated with amino acid
, carbohydrate-, fatty acid-, nucleotide- and tricarboxylic acid (TCA)-
homeostasis are all dysregulated in 5-AzaC treated females. To validate the
metabolic pathway most significantly affected by 5-AzaC, amino acid metabolism,
nascent protein synthesis was subsequently quantified in adult schistosomes.
Here, 5-AzaC inhibited this process by 68% +/-16.7% (SEM) in male- and 81% +/
4.8% (SEM) in female-schistosomes. Furthermore, the transcriptome data indicated
that adult female stem cells were also affected by 5-AzaC. For instance, 40% of
transcripts associated with proliferating schistosome cells were significantly
down-regulated by 5-AzaC. This finding correlated with a considerable reduction
(95%) in the number of 5-ethynyl-2'-deoxyuridine (EdU) positive cells found in 5
AzaC-treated females. In addition to protein coding genes, the effect that 5-AzaC
had on repetitive element expression was also assessed. Here, 46 repeats were
found differentially transcribed between 5-AzaC-treated and control females with
long terminal repeat (LTR) and DNA transposon classes being amongst the most
significant. This study demonstrates that the anti-fecundity activity of 5-AzaC
affects more than just DNA methylation in schistosome parasites. Further
characterisation of these processes may reveal novel targets for schistosomiasis
control.
PMID- 29649667
TI - Wound healing in anal surgery.
PMID- 29649668
TI - Laparoscopic vs open restorative proctocolectomy with IPAA for ulcerative
colitis: Impact of surgical technique on creating a well functioning pouch.
AB - BACKGROUND: Patients with ulcerative colitis are often young, and proctocolectomy
with restorative ileo-pouch anal anastomosis is a crucial act that can improve or
worsen the quality of the rest of their lives. The literature is scant on long
term functional outcomes after laparoscopy. The purpose of this study was to
investigate results in terms of pouch function after standardized total
laparoscopic technique compared with open intervention. MATERIALS AND METHODS:
This was a retrospective comparative study. Cases were collected from the
prospectively-maintained database of the Unit of General and Oncologic Surgery,
XXX, Torino, Italy. Patients treated between May 2005 and May 2015 with three
stage laparoscopic or open proctocolectomy and ileo-pouch anal anastomosis were
enrolled. The primary study endpoint was the percentage of well-functioning
pouches. Secondary endpoints were postoperative early and late outcomes such as
morbidity and pouch survival. RESULTS: Of the 78 patients identified, 48
underwent the open technique and 30 underwent laparoscopy. Median follow-up was 4
years. The overall complication rates were 19% and 13% (p = 0.5), and there were
major complications (Clavien-Dindo III-IV) in 14.6% and 13.3% of patients in the
open and laparoscopic groups, respectively (p = 0.8). Late complications occurred
in 26 patients. Nine (18.8%) and 5 (16.7%) patients had pouchitis (p = 0.8), and
6 (12.5%) and 2 (6.7%) had cuffitis in the open and laparoscopic groups,
respectively (p = 0.70). Pouch failure occurred in 2 patients (4.2%) in the open
group and 2 (6.7%) in the laparoscopic group. The pouch was observed to be
functioning very well in 18 patients (37.5%) in the open group and in 17 patients
(56%) in the laparoscopic group (p = 0.09). The study was limited by its
retrospective, nonrandomized design. CONCLUSION: Our data demonstrated similar
early and late results after total laparoscopic and open proctocolectomy, in
particular concerning pouch function.
PMID- 29649670
TI - The influence of the twin peg design on femoral Interface temperature and maximum
load to failure in cemented Oxford unicompartmental knee arthroplasty.
AB - BACKGROUND: The twin peg femoral component was introduced for the cemented Oxford
unicondylar knee to increase implant stability. The aim of this experimental
study was to investigate the influence of the twin peg design on femoral
interface temperature and maximum load to failure in comparison to the single peg
design. METHODS: In this experimental study medial Oxford unicompartmental knee
arthroplasty was performed in 12 pairs of fresh-frozen human knees. A cemented
femoral single peg component was implanted on the one side (group A) and a
cemented twin peg component on the other side (group B). Cement interface
temperature was continuously monitored during the procedure. Maximum tensile
forces of the femoral components were measured by pull-out tests. FINDINGS:
Maximum femoral interface temperatures did not reach critical values for heat
necrosis of the bone in group A (mean 28.4, SD 1.2 degrees C) or group B (mean
27.6, SD 0.5 degrees C). The maximum load to failure was significantly higher in
the twin peg group (mean 3628.41, SD 650.92 N) compared to the single peg group
(mean 2979, SD 781 N) (P = 0.016). INTERPRETATION: Our experiments showed higher
load to failure for the twin peg design compared to the single peg design without
raising the risk of heat necrosis at the interfacial bone. The twin peg component
offers a save alternative to the single peg component in a cadaveric setting.
PMID- 29649669
TI - 360 degrees virtual reality video for the acquisition of knot tying skills: A
randomised controlled trial.
AB - BACKGROUND: 360 degrees virtual reality (VR) video is an exciting and evolving
field. Current technology promotes a totally immersive, 3-dimensional (3D), 360
degrees experience anywhere in the world using simply a smart phone and virtual
reality headset. The potential for its application in the field of surgical
education is enormous. The aim of this study was to determine knot tying skills
taught with a 360-degree VR video compared to conventional 2D video teaching.
MATERIAL AND METHODS: This trial was a prospective, randomised controlled study.
40 foundation year doctors (first year postgraduate) were randomised to either
the 360-degree VR video (n = 20) or 2D video teaching (n = 20). Participants were
given 15 min to watch their allocated video. Ability to tie a single handed reef
knot was then assessed against a marking criteria developed for the Royal College
of Surgeons, England, (RCSeng) Basic Surgical Skills (BSS) course, by a blinded
assessor competent in knot tying. Each candidate then underwent further teaching
using Peyton's four step model. Knot tying technique was then re-assessed.
RESULTS: Knot tying scores were significantly better in the VR video teaching arm
when compared with conventional (median knot score 5.0 vs 4.0 p = 0.04). When
used in combination with face to face skills teaching this difference persisted
(median knot score 9.5 vs 9.0 p = 0.01). More people in the VR arm constructed a
complete reef knot than in the 2D arm following face to face teaching (17/20 vs
12/20). No difference between the groups existed in the time taken to construct a
reef knot following video and teaching (median time 31.0s vs 30.5s p = 0.89).
CONCLUSION: This study shows there is significant merit in the application of 360
degree VR video technology in surgical training, both as an independent teaching
aid and when used as an adjunct to traditional face to face teaching.
PMID- 29649671
TI - Trends in resource utilization and prescription of anticonvulsants for patients
with active epilepsy in Germany from 2003 to 2013 - A ten-year overview.
AB - This study evaluated trends in resource use and prescription patterns in patients
with active epilepsy over a 10-year period at the same outpatient clinic of a
German epilepsy center. We analyzed a cross-sectional patient sample of
consecutive adults with active epilepsy over a 3-month period in 2013 and
compared them with equally acquired data from the years 2003 and 2008. Using
validated patient questionnaires, data on socioeconomic status, course of
epilepsy, as well as direct and indirect costs were recorded. A total of 198
patients (mean age: 39.6+/-15.0years, 49.5% male) were enrolled and compared with
our previous assessments in 2003 (n=101) and 2008 (n=151). In the 2013 cohort,
75.8% of the patients had focal epilepsy, and the majority were taking
antiepileptic drugs (AEDs) (39.9% monotherapy, 59.1% polytherapy). We calculated
epilepsy-specific costs of ?3674 per three months per patient. Direct medical
costs were mainly due to anticonvulsants (20.9% of total direct costs) and to
hospitalization (20.8% of total direct costs). The proportion of enzyme-inducing
anticonvulsants and 'old' AEDs decreased between 2003 and 2013. Indirect costs of
?1795 in 2013 were mainly due to early retirement (55.0% of total indirect
costs), unemployment (26.5%), and days off due to seizures (18.2%). In contrast
to our previous findings from 2003 and 2008, our data show a stagnating cost
increase with slightly reduced total costs and balanced direct and indirect costs
in patients with active epilepsy. These findings are accompanied by an ongoing
cost-neutral increase in the prescription of 'newer' and non-enzyme-inducing
AEDs. However, the number and distribution of indirect cost components remained
unchanged.
PMID- 29649673
TI - The relationship between impulsivity and panic disorder-agoraphobia: The role of
affective temperament.
AB - There are opinions regarding that impulsivity may play a role in the pathogenesis
of neuropsychiatric disorders. The aim of this study was to investigate the
relationship between impulsivity and panic disorder (PD) in the patient group, to
compare impulsivity and affective temperamental traits between patients and
healthy controls and to investigate whether there is a relationship between
impulsivity and affective temperamental traits. Participants comprised 70
patients with PD and 58 healthy volunteers. The Panic Agoraphobia Scale (PAS),
the Barratt Impulsiveness Scale (BIS-11) and the Temperament Evaluation of
Memphis, Pisa, Paris, San Diego Autoquestionnaire (TEMPS-A) were applied.
Patients have significantly higher scores in affective temperament (except
hyperthymic) and attentional impulsiveness subscales than the healthy controls.
Positive and negative correlations were found between some PAS and BIS-11 scores
as well as correlations between especially cyclothymic, hyperthymic, irritable
and anxious subscale scores of the TEMPS-A and the BIS-11 scores in the patient
group. The results of this study indicate a relationship between impulsivity and
PD. The correlations found between affective temperament dimensions and
impulsivity suggest how affective temperamental traits may influence different
impulsivity dimensions.
PMID- 29649672
TI - Leading up to saying "yes": A qualitative study on the experience of patients
with refractory epilepsy regarding presurgical investigation for resective
surgery.
AB - OBJECTIVES: Adult patients with refractory epilepsy who are potential candidates
for resective surgery undergo a period of presurgical investigation in tertiary
epilepsy centers (TECs), where they engage extensively with healthcare
professionals and receive a range of treatment-related information. This
qualitative study aimed to examine the experiences of adult patients with
refractory epilepsy leading up to and during presurgical investigation and how
their perceptions of resective surgery are shaped. METHODS: In-depth interviews
with 12 patients and six epilepsy specialist clinicians and 12 observations of
routine patient-clinician consultations took place at two TECs in Sydney,
Australia. Data were thematically analyzed via group work. RESULTS: Patients
reflected on prior experiences of poor seizure control and inadequate
antiepileptic drug management and a lack of clarity about their condition before
referral to tertiary care. Poor continuity of care and disrupted care transitions
affected patients from regional locations. Tertiary referral increased engagement
with personalized information about refractory epilepsy, which intensified during
presurgical assessments with additional hospital visits and consultations.
Experiential information, such as testimonials of other patients, influenced
perceptions of surgery and fostered more trust and confidence towards healthcare
professionals. CONCLUSION: Qualitative inquiry detailed multifaceted effects of
information on patients' overall treatment trajectory and experience of
healthcare. Earlier patient identification for surgical assessments should be
accompanied by access to good quality information at primary and community care
levels and strengthened referral processes.
PMID- 29649674
TI - Are there clinical and neurophysiologic predictive factors for a positive
response to HF-rTMS in patients with treatment-resistant depression?
AB - Better selection of patients with treatment-resistant depression for high
frequency repetitive transcranial magnetic stimulation (HF-rTMS) would make the
procedure more efficient. The objective of this study was to search for clinical
and neurophysiologic predictors of therapeutic response with a special focus on
the bipolar population. Forty patients (30 bipolar) underwent 20 daily sessions
of HF-rTMS. Clinical outcome measures included the 21-item Hamilton Depression
Rating Scale, the Beck Depression Inventory, the Clinical Global Impression, and
the Patient Global Impression. Neurophysiologic measurements included repeated
estimation of the motor threshold and cortical silent period. Improvement was
obtained in all psychometric scales, with no difference between unipolar and
bipolar patients. Longer duration of the illness, higher number of prior
hospitalizations, and more disturbed activity were associated with a worse
response to rTMS, and somatic anxiety, sleep disorders, and health worries were
positive predictors. In bipolar patients, longer disease duration and therapy
with mirtazapine, mianserin, trazodone, hydroxyzine, and promethazine were
associated with a worse response. Sleep disturbances, higher baseline motor
threshold, and longer cortical silent period predicted a better response. In this
study, we found several clinical and neurophysiologic predictors of better/worse
responses to the standard HF-rTMS protocol. Our preliminary data need to be
reproduced.
PMID- 29649675
TI - Establishing a coherent and replicable measurement model of the Edinburgh
Postnatal Depression Scale.
AB - The 10-item Edinburgh Postnatal Depression Scale (EPDS) is an established
screening tool for postnatal depression. Inconsistent findings in factor
structure and replication difficulties have limited the scope of development of
the measure as a multi-dimensional tool. The current investigation sought to
robustly determine the underlying factor structure of the EPDS and the
replicability and stability of the most plausible model identified. A between
subjects design was used. EPDS data were collected postpartum from two
independent cohorts using identical data capture methods. Datasets were examined
with confirmatory factor analysis, model invariance testing and systematic
evaluation of relational and internal aspects of the measure. Participants were
two samples of postpartum women in England assessed at three months (n = 245) and
six months (n = 217). The findings showed a three-factor seven-item model of the
EPDS offered an excellent fit to the data, and was observed to be replicable in
both datasets and invariant as a function of time point of assessment. Some EPDS
sub-scale scores were significantly higher at six months. The EPDS is multi
dimensional and a robust measurement model comprises three factors that are
replicable. The potential utility of the sub-scale components identified requires
further research to identify a role in contemporary screening practice.
PMID- 29649676
TI - Intradural spinal tumors: 10 - years surgical experience in a single institution.
AB - OBJECTIVES: The aim of the study is to present the therapeutic results of
intradural tumor management over a 10 years period in a single institution.
PATIENTS AND METHODS: The study consists in a prospective case series of patients
treated at the neurosurgery service of the Social Security Institute of Mexico
State and Provinces (ISSSEMYM), between January 2006 and December 2016. All
patients were provided with information about the procedure and signed informed
consent and institutional board approved files review for this study. RESULTS:
Thirty-five patients with intradural tumor were treated between 2006-2016. Most
frequent lesions were extramedullary (30 patients, 85.7%). Most frequent tumor
was Schwannoma, with thoracic/thoraco-lumbar location, being the most frequent
location. All patients underwent surgical treatment, with total gross resection
accomplished in 19 patients (54.3%). Surgical complication rate was 11.4%. Spine
instrumentation was necessary in 26 patients (74.3%) because of bone destruction
by the tumor, or bone removal for approach. All patients with intramedullary
lesions and 5 patients (16.7%) with extramedullary lesions received post
operative radiotherapy. During the follow-up, 8.5% of patients had
recurrence/progression of residual disease. CONCLUSIONS: Microsurgery is the
treatment of choice for intradural spinal tumors, and gross total resection with
low morbidity must be the surgical goal. When this is not possible, partial
resection and adjuvant therapy with radiosurgery are a valid option. Patients
most be long followed-up because of recurrence risk or disease progression.
PMID- 29649677
TI - The solvent effects on dimethyl phthalate investigated by FTIR characterization,
solvent parameter correlation and DFT computation.
AB - This study set out with the aim of investigating the solvent effects on dimethyl
phthalate (DMP) using FTIR characterization, solvent parameter correlation and
DFT calculation. DMP exposed to 17 organic solvents manifested varying shift in
the carbonyl stretching vibration frequency (nuCO). Non-alkanols induced Band I
and alkanols produced Band I and Band II. Through correlating the nuCO with the
empirical solvent scales including acceptor parameter (AN), Schleyer's linear
free energy parameter (G), and linear free salvation energy relationships (LSER),
Band I was mainly ascribed to non-specific effects from either non-alkanols or
alkanol polymers ((alkanol)n). nuCO of the latter indicated minor red shift and
less variability compared to the former. An assumption was made and validated
about the sequestering of hydroxyl group by the bulky hydrophobic chain in
(alkanol)n, creating what we refer to as "screening effects". Ab initio
calculation, on the other hand, provided insights for possible hydrogen binding
between DMP and (ethanol)n or between ethanol monomers. The two components of
Band I observed in inert solvents were assigned to the two CO groups adopting
differentiated conformations. This in turn prompted our consideration that
hydrogen binding was highly selective in favor of lowly associated (alkanol)n and
the particular CO group having relatively less steric hindrance and stronger
electron-donating capacity. Band II was therefore believed to derive from
hydrogen-bond interactions mainly in manner of 1:1 and 1:2 DMP-(alkanol)n
complexes.
PMID- 29649678
TI - Spectrophotometric, colorimetric and visually detection of Pseudomonas aeruginosa
ETA gene based gold nanoparticles DNA probe and endonuclease enzyme.
AB - Colorimetric DNA detection is preferred over other methods for clinical molecular
diagnosis because it does not require expensive equipment. In the present study,
the colorimetric method based on gold nanoparticles (GNPs) and endonuclease
enzyme was used for the detection of P. aeruginosa ETA gene. Firstly, the primers
and probe for P. aeruginosa exotoxin A (ETA) gene were designed and checked for
specificity by the PCR method. Then, GNPs were synthesized using the citrate
reduction method and conjugated with the prepared probe to develop the new nano
biosensor. Next, the extracted target DNA of the bacteria was added to GNP-probe
complex to check its efficacy for P. aeruginosa ETA gene diagnosis. A decrease in
absorbance was seen when GNP-probe-target DNA cleaved into the small fragments of
BamHI endonuclease due to the weakened electrostatic interaction between GNPs and
the shortened DNA. The right shift of the absorbance peak from 530 to 562nm
occurred after adding the endonuclease. It was measured using a UV-VIS absorption
spectroscopy that indicates the existence of the P. aeruginosa ETA gene.
Sensitivity was determined in the presence of different concentrations of target
DNA of P. aeruginosa. The results obtained from the optimized conditions showed
that the absorbance value has linear correlation with concentration of target DNA
(R: 0.9850) in the range of 10-50ngmL-1 with the limit detection of 9.899ngmL-1.
Thus, the specificity of the new method for detection of P. aeruginosa was
established in comparison with other bacteria. Additionally, the designed assay
was quantitatively applied to detect the P. aeruginosa ETA gene from 103 to
108CFUmL-1 in real samples with a detection limit of 320CFUmL-1.
PMID- 29649679
TI - Applicability of samarium(III) complexes for the role of luminescent molecular
sensors for monitoring progress of photopolymerization processes and control of
the thickness of polymer coatings.
AB - Applicability of 15 trivalent samarium complexes as novel luminescent probes for
monitoring progress of photopolymerization processes or thickness of polymer
coatings by the Fluorescence Probe Technique (FPT) was studied. Three groups of
samarium(III) complexes were evaluated in cationic photopolymerization of
triethylene glycol divinyl ether monomer (TEGDVE) and free-radical
photopolymerization of trimethylolpropane triacrylate (TMPTA). The complexes were
the derivatives of tris(4,4,4-trifluoro-1-(2-thienyl)-1,3
butanedionate)samarium(III), tris(4,4,4-trifluoro-1-phenyl-1,3
butanedionate)samarium(III) and tris(4,4,4-trifluoro-1-(2-naphthyl)-1,3
butanedionate)samarium(III), which were further coordinated with auxiliary
ligands, such as 1,10-phenanthroline, triphenylphosphine oxide, tributylphosphine
oxide and trioctylphosphine oxide. It has been found that most of the complexes
studied are sensitive enough to be used as luminescent probes for monitoring
progress of cationic photopolymerization of vinyl ether monomers over entire
range of monomer conversions. In the case of free-radical polymerization
processes, the samarium(III) complexes are not sensitive enough to changes of
microviscosity and/or micropolarity of the medium, so they cannot be used to
monitor progress of the polymerization. However, high stability of luminescence
intensity of some of these complexes under free-radical polymerization conditions
makes them good candidates for application as thickness sensors for polymer
coatings prepared by free-radical photopolymerization. A quantitative
relationship between a coating thickness and the luminescence intensity of the
samarium(III) probes has been derived and verified experimentally within a broad
range of the thicknesses.
PMID- 29649680
TI - Development of a carbazole-based fluorescence probe for G-quadruplex DNA: The
importance of side-group effect on binding specificity.
AB - G-quadruplex DNAs are highly prevalent in the human genome and involved in many
important biological processes. However, many aspects of their biological
mechanism and significance still need to be elucidated. Therefore, the
development of fluorescent probes for G-quadruplex detection is important for the
basic research. We report here on the development of small molecular dyes
designed on the basis of carbazole scaffold by introducing styrene-like
substituents at its 9-position, for the purpose of G-quadruplex recognition.
Results revealed that the side group on the carbazole scaffold was very important
for their ability to selectively recognize G-quadruplex DNA structures. 1a with
the pyridine side group displayed excellent fluorescence signal turn-on property
for the specific discrimination of G-quadruplex DNAs against other nucleic acids.
The characteristics of 1a were further investigated with UV-vis
spectrophotometry, fluorescence, circular dichroism, FID assay and molecular
docking to validate the selectivity, sensitivity and detailed binding mode toward
G-quadruplex DNAs.
PMID- 29649681
TI - Forensic parameters for 15 autosomal STRs in Mestizo population from the state of
Guerrero (South, Mexico).
AB - Allele distribution and forensic parameters were estimated for 15 STR loci
(AmpFlSTR Identifiler kit) in 251 Mexican-Mestizos from the state of Guerrero
(South, Mexico). Genotype distribution was in agreement with Hardy-Weinberg
expectations for all 15 STRs. Similarly, linkage disequilibrium test demonstrated
no association between pair of loci. The power of exclusion and power of
discrimination values were 99.999634444% and >99.99999999%, respectively. Genetic
relationship analysis regarding Mestizo populations from the main geographic
regions of Mexico suggests that the Center and the present South regions conform
one population cluster, separated from the Southeast and Northwest regions.
PMID- 29649682
TI - A giant virus infecting green algae encodes key fermentation genes.
AB - The family Mimiviridae contains uncommonly large viruses, many of which were
isolated using a free-living amoeba as a host. Although the genomes of these and
other mimivirids that infect marine heterokont and haptophyte protists have now
been sequenced, there has yet to be a genomic investigation of a mimivirid that
infects a member of the Viridiplantae lineage (green algae and land plants). Here
we characterize the 668-kilobase complete genome of TetV-1, a mimivirid that
infects the cosmopolitan green alga Tetraselmis (Chlorodendrophyceae). The
analysis revealed genes not previously seen in viruses, such as the mannitol
metabolism enzyme mannitol 1-phosphate dehydrogenase, the saccharide degradation
enzyme alpha-galactosidase, and the key fermentation genes pyruvate formate-lyase
and pyruvate formate-lyase activating enzyme. The TetV genome is the largest
sequenced to date for a virus that infects a photosynthetic organism, and its
genes reveal unprecedented mechanisms by which viruses manipulate their host's
metabolism.
PMID- 29649683
TI - Modulation of UDP-glucuronidation by acridinone antitumor agents C-1305 and C
1311 in HepG2 and HT29 cell lines, despite slight impact in noncellular systems.
AB - BACKGROUND: Among the studied antitumor acridinone derivatives developed in our
laboratory, 5-dimethylaminopropylamino-8-hydroxytriazoloacridinone (C-1305) and 5
diethylaminoethylamino-8-hydroxyimidazoacridinone (C-1311) exhibited cytotoxic
and antitumor properties against several cancer types and were selected to be
evaluated in preclinical and early-phase clinical trials. In the present work, we
investigated the impact of C-1305 and C-1311 on UDP-glucuronosyltransferase (UGT)
activity. METHODS: Enzyme activity modulation was studied using HPLC by analyzing
standard UGT substrate metabolism in the presence and absence of antitumor drugs.
The investigations were performed in two model systems: (i) under noncellular
conditions, including human liver microsomes (HLM) and recombinant UGT1A1, 1A9
and 1A10 isoenzymes and (ii) in tumor cells. RESULTS: There was observed a slight
impact of studied drugs on enzyme activity. Only UGT1A1 action was altered by
both compounds. The modulatory effects of UGT activity in cellular systems
depended on the tumor cell type. In the case of HepG2, C-1305 and C-1311 strongly
induced UGT activity, particularly for C-1311, at concentrations significantly
lower than the EC50. This effect contradicted irinotecan mediated UGT inhibition.
HT29 colon tumor cells were less sensitive than HepG2 to enzyme modulation in the
presence of the studied compounds, particularly C-1305, where enzymatic
inhibition similar to that of irinotecan was observed. CONCLUSIONS: The results
demonstrated that UGT activity modulation should be expected in the case of
antitumor therapy with C-1305 or/and C-1311. Analysis of the results indicated
that these modulations would occur via cellular regulatory pathways not by direct
drug-enzyme interactions.
PMID- 29649684
TI - The ROME (Retrospective Observational Multicenter study on Eslicarbazepine)
study: Efficacy and behavioural effects of Eslicarbazepine acetate as adjunctive
therapy for adults with partial onset seizures in real life.
AB - PURPOSE: Eslicarbazepine acetate (ESL) is a third-generation member of the
dibenzazepine family approved in 2009 by the European Medicines Agency with the
indication of adjunctive therapy in adult people with partial-onset seizures
(PPOS). We aimed at assessing the ESL impact on seizure frequency and quality of
life in PPOS with a particular attention to sleepiness and depression. METHODS:
We evaluated 50 adult PPOS (>18 years; 48 +/- 14 years-old; 23 males) treated
with adjunctive ESL for >=2months with a retrospective multi-centric design.
Clinical files of the last 2 years were reviewed checking for monthly seizure
frequency, treatment retention rate, adverse drug reactions (ADRs), concomitant
anti-epileptic drugs and behavioural scales for sleepiness (Stanford Sleepiness
Scale, SSS, and Epworth Sleepiness Scale, ESS), depression (Beck Depression
Inventory-II, BDI) and overall quality of life (QOLIE-31). RESULTS: At the end of
96 +/- 28 days of ESL treatment, the mean seizure reduction was 56%; 60% of
patients had seizure reduction above 50%, with a 31% of the whole population
becoming seizure free. We reported 16 ADRs with 4 hyponatremia. Retention rate
was 76%. Patient reported less sleepiness after ESL (SSS, p = 0.031; ESS, p =
0.0000002). Before ESL, 38% of patients had pathologic BDI scores, which
normalized in most of them (73%) after ESL (BDI improvement, p = 0.000012). These
scores resulted in an amelioration of quality of life (QOLIE-31, p = 0.000002).
CONCLUSIONS: ESL is a safe and effective anti-epileptic drug in a real life
scenario, with an excellent behavioural profile for the overall quality of life
and, in particular, for sleepiness and depression.
PMID- 29649685
TI - Gross beta determination in drinking water using scintillating fiber array
detector.
AB - A scintillating fiber array detector for measuring gross beta counting is
developed to monitor the real-time radioactivity in drinking water. The detector,
placed in a stainless-steel tank, consists of 1096 scintillating fibers, both
sides of which are connected to a photomultiplier tube. The detector parameters,
including working voltage, background counting rate and stability, are tested,
and the detection efficiency is calibrated using standard potassium chloride
solution. Water samples are measured with the detector and the results are
compared with those by evaporation method. The results show consistency with
those by evaporation method. The background counting rate of the detector is
38.131 +/- 0.005 cps, and the detection efficiency for beta particles is 0.37 +/-
0.01 cps/(Bq/l). The MDAC of this system can be less than 1.0 Bq/l for beta
particles in 120 min without pre-concentration.
PMID- 29649686
TI - Optimization design research for 89Sr production in China Experimental Fast
Reactor.
AB - As the first sodium cooled fast reactor in China, China Experimental Fast Reactor
(CEFR) has many characteristics, such as high power rating, high neutron flux and
high neutron leakage as its hard spectrum. These leakage neutrons can be used to
produce 89Sr by fast neutrons (n, p) reaction. By means of some special designs,
including designing optimized target assembly, choosing reasonable irradiation
location and appropriate irradiation cycle length, in order to improve specific
activity of 89Sr. The MNCP code was used to the calculations coupled ORIGRN2
procedure. The higher activity of 89Sr can be obtained by these optimization
designs, so it is feasible to produce 89Sr in the fuel region of CEFR.
PMID- 29649687
TI - Whether time of operation does not increase the mortality rate in emergency
surgery?
PMID- 29649688
TI - Recombinant outer membrane protein 25c from Brucella abortus induces Th1 and Th2
mediated protection against Brucella abortus infection in mouse model.
AB - Development of a safe and efficacious vaccine for brucellosis is a long standing
challenge for scientists. Recognizing potential antigens towards developing
vaccine candidate is crucial. Omp25c, a porin protein of Brucella, is a paralog
of two previously identified promising vaccine candidates namely, Omp25 and
Omp31, with notable sequence identity. Also, Omp25c is conserved in all major
Brucella species. This highlights the possibility of employing this protein in
multivalent subunit vaccine based approach of Brucella management. In this study,
we were interested in examining the immunogenicity and protective efficacy of
Omp25c against Brucella infections. Recombinant unlipidated form of this antigen
(rOmp25c) produced, upon intraperitoneal immunization in BALB/c mice along with
Freund's adjuvant, was confirmed to be highly immunogenic; leading to high IgG
antibody titers during the study duration. The IgG2a/IgG2b ratio of anti-rOmp25c
antibodies revealed elicitation of Th2 based humoral immunity. Lymphocyte
proliferation study divulged induction of specific memory response and secretion
of both Th1-type (IFN-gamma, GM-CSF and TNF-alpha) and Th2-type cytokine (IL-5)
from restimulated splenocytes of rOmp25c immunized mice. CD4 T-cell subpopulation
was comparatively increased than total B cell subpopulation in case of immunized
mice, indicating the induction of strong cell-mediated (Th1 biased) immunity than
humoral (Th2) immunity. The collective Th1 plus Th2 immune response specific to
rOmp25c could be the reason for protection against Brucella challenge observed in
mice groups that was comparable with S19 vaccine strain. Thus, the study
encourages rOmp25c as a potent candidate vaccine against brucellosis.
PMID- 29649689
TI - High-fat diet alters PAS kinase regulation by fasting and feeding in liver.
AB - The prevalence of overweight and obesity in the population, along with their
associated complications, is a major factor contributing to increased morbidity
and mortality in developed countries. The liver is a vital organ for maintaining
metabolic homeostasis, especially in the adjustment periods in fasting and
feeding. Per-Arnt-Sim (PAS) kinase (PASK) controls glucose homeostasis and energy
metabolism in response to nutritional status. PASK-deficient mice with a high-fat
diet (HFD) resist the development of obesity and hepatic steatosis, with improved
insulin sensitivity. We have investigated the regulation of the PASK expression
in an HFD, as well as its role in adapting to fasting and feeding conditions.
PASK-deficient mice with an HFD record improved parameters for the following:
body weight, glucose tolerance, insulin resistance and serum lipid parameters. An
HFD alters the down-regulation of Pask expression produced by fasting, as
normally happens in a standard-fat diet. PASK deficiency blocks or diminishes the
expression of many genes overexpressed in HFD-fed mice, such as the following:
transcription factors involved in the regulation of gluconeogenic enzymes, the
transport of fatty acid into mitochondria, beta-oxidation and de novo
lipogenesis. PASK also regulates gene expression posttranscriptionally through
the short noncoding RNAs involved in lipid metabolism and glucose homeostasis.
The expression of miR-33a and miR-143 changes in PASK-deficient mice with an HFD.
Thus, PASK-deficient mice improved their adaptation to feeding/fasting through a
highly regulated molecular mechanism that controls the expression and function of
the transcription factors, enzymes and miRNAs involved in glucose and insulin
signaling.
PMID- 29649690
TI - Effects of sludge enhanced aeration on nutrient contents and phytotoxicity of
anaerobically digested centrate.
AB - In this study, we investigated the impact of intensive aeration pre-treatment on
nutrient contents and phytotoxicity of anaerobically digested manure centrate.
Activated sludge from conventional wastewater treatment plants was added to
reinforce the aeration process. Results show that the addition of activated
sludge did not negatively affect the nutrient contents, but significantly reduced
the phytotoxicity of digested centrate, as indicated by an enhancement of seed
germination index, during the aeration treatment. Based on the orthogonal
experiment and following statistical analysis, the lowest phytotoxicity of
digested centrate could be achieved under the aeration conditions: sludge
concentration of 6 g/L, aeration time of 2 h, gas/water ratio of 40:1, and pH =
6.5. Of these operational parameters, the digested centrate pH dominantly
determined its nutrient contents (e.g., amino acids, total phosphorus, and
ammonium) and phytotoxicity. These results were further verified by hydroponic
experiments, which showed that using digested centrate after sludge enhanced
aeration as the nutrient solution facilitated the growth and dry biomass of
maize.
PMID- 29649691
TI - Efficient degradation of atrazine by BiOBr/UiO-66 composite photocatalyst under
visible light irradiation: Environmental factors, mechanisms and degradation
pathways.
AB - Atrazine, a typical herbicide, has caused extensive concern due to its wide
application and persistance. In this work, a visible light responsive
photocatalyst BiOBr/UiO-66 was synthesized and applied to the degradation of
atrazine. The BiOBr/UiO-66 materials exhibited significantly enhanced
photocatalytic performance on the degradation of atrazine under visible light
irradiation compared to pure BiOBr. Moreover, the effects of typical environment
factors (i.e. pH, common anions, inorganic cations and water matrix) on the
degradation of atrazine were investigated extensively. Results showed that
atrazine was degraded with the fastest rate at the strong acidity conditions of
pH = 3.1 in the investigated pH region (3.1-9.4). The photocatalytic degradation
of atrazine was obviously inhibited by HCO3- and SO42-. However, Cl- had
negligible influence on the degradation of atrazine. Inorganic cations had little
influence on the degradation of atrazine. Futhermore, the water matrix showed
apparent impacts on the photocatalytic degradation of atrazine by BiOBr/UiO-66.
In mineral water, tap water and river water, the removal efficiency of atrazine
was evidently lower than that in pure water. The main active species that
responsible for the degradation of atrazine by BiOBr/UiO-66 were determined to be
.O2- and h+. Ultimately, the degradation pathways of atrazine were proposed based
on the intermediates detected by LC-MS/MS.
PMID- 29649692
TI - Emissions of toxic pollutants from co-combustion of demolition and construction
wood and household waste fuel blends.
AB - Four different types of fuel blends containing demolition and construction wood
and household waste were combusted in a small-scale experimental set-up to study
the effect of fuel composition on the emissions of polychlorinated dibenzo-p
dioxins (PCDDs), dibenzofurans (PCDFs), biphenyls (PCBs), chlorobenzenes (PCBzs),
chlorophenols (PCPhs) and polycyclic aromatic hydrocarbons (PAHs). Two woody
materials, commercial stemwood (ST) and demolition and construction wood (DC)
were selected because of the differences in their persistent organic pollutants
(POPs), ash and metals content. For household waste, we used a municipal solid
waste (MSW) and a refuse-derived fuel (RDF) from MSW with 5-20 wt% and up to 5
wt% food waste content respectively. No clear effect on the formation of
pollutants was observed with different food waste content in the fuel blends
tested. Combustion of ST-based fuels was very inefficient which led to high PAH
emissions (32 +/- 3.8 mg/kgfuel). The use of DC clearly increased the total PCDD
and PCDF emissions (71 +/- 26 MUg/kgfuel) and had a clear effect on the formation
of toxic congeners (210 +/- 87 ng WHO2005-TEQ/kgfuel). The high PCDD and PCDF
emissions from DC-based fuels can be attributed to the presence of material
contaminants such as small pieces of metals or plastics as well as timber treated
with chromated copper arsenate preservatives and pentachlorophenol in the DC
source.
PMID- 29649693
TI - Dry and wet ozonation of denim: Degradation products, reaction mechanism,
toxicity and cytotoxicity assessment.
AB - The study aims to identify the denim ozonation by-products under different
operating conditions and investigate the chemical toxicity of these compounds via
the inhibitory effect of the sample on the light emission of bioluminescent
bacteria (Vibriofischeri) and on human health using the HepG2 human hepatoma cell
line. Various by-products in treated denim extract were detected w gas
chromatography-mass spectrometry (GC-MS) analysis. The results revealed that the
main oxidation by-product was isatin (1H-indole-2,3-dione), which formed in
excess amounts on wet ozonated denim. It was observed that this compound showed
more toxicity when high ozone concentrations were used, especially in the
presence of moisture. It exhibited a considerable antibacterial activity. EC20
and EC50 average values of 5.55% and 13.47% were obtained with a wet ozonation
rinse bath at 48 g/N.m3, which makes it hazardous to aquatic environments.
PMID- 29649694
TI - Microbial synthesis of metallic molybdenum nanoparticles.
AB - The production of nanoparticles through biosynthesis is a reliable, non-toxic,
and sustainable alternative to conventional chemical and physical methods of
production. While noble metals, such as palladium, gold, and silver, have been
formed via bioreduction, biologically-induced reduction of electroactive elements
to a metallic state has not been reported previously. Herein, we report the
reduction of an electroactive element, molybdenum, via microbial reduction using
Clostridium pasteurianum. C. pasteurianum was able to reduce 88% of the added
Mo6+ ions. The bioreduced molybdenum was shown to be metallically bonded in a
prototypical crystal structure with an average particle size of 15 nm. C.
pasteurianum was previously shown to degrade azo dyes using in situ formed Pd
nanoparticles, but this study shows that in situ formed Mo particles also act as
catalysts for degradation of azo dyes. C. pasteurianum cultures with the
bioformed Mo nanoparticles were able completely degrade 155 MUM methyl orange
within 6 min, while controls with no Mo took 36 min. This research demonstrates,
for the first time, that the bioreduction of active elements and formation of
catalytic particles is achievable.
PMID- 29649695
TI - Doctor shopping of opioid analgesics relative to benzodiazepines: A
pharmacoepidemiological study among 11.7 million inhabitants in the French
countries.
AB - BACKGROUND: The abuse of prescription opioids and its subsequent consequences is
an important public concern particularly in the USA. The literature on opioid
analgesic abuse is scarce. OBJECTIVE: We assess the extent and risk of opioid
analgesics abuse relative to benzodiazepines (BZD) using the doctor shopping
method, taken into account the pharmacological characteristics (dosage, route of
administration, extended or immediate release). METHODS: We used SNIIRAM database
covering 11.7 million inhabitants. All individuals with at least one
reimbursement for non-injectable opioid analgesic or BZD in 2013 were included.
Opioids for mild to moderate pain and for moderately severe to severe pain were
studied. The Doctor Shopping Quantity (DSQ) is the quantity obtained by
overlapping prescriptions from several prescribers. The Doctor Shopping Indicator
(DSI) is the DSQ divided by the total dispensed quantity. RESULTS: The strong
opioid analgesics have the highest DSI (2.79%) versus 2.06% for BZD hypnotics.
Flunitrazepam ranked first according to its DSI (13.2%), followed by morphine
(4%), and zolpidem (2.2%). The three-strong opioids having the highest DSI were
morphine, oxycodone and fentanyl (respectively 4%, 1.7% and 1.5%). The highest
DSI was observed for the highest dosages of morphine (DSI = 8.4% for 200 mg) and
oxycodone (DSI = 2.8% for 80 mg). The highest DSI for fentanyl was described with
nasal and transmucosal forms (4.1% and 3.3% respectively). The highest DSI for
morphine was described for extended-release (4.1%). CONCLUSION: There is a need
to reinforce surveillance systems to track opioid misuse and to increase
awareness of healthcare professionals.
PMID- 29649696
TI - Cholecystitis secondary to gallbladder torsion - A rare case report.
AB - INTRODUCTION: Gallbladder torsion is a rare condition of increasing prevalence in
recent years. It is often difficult to diagnose pre-operatively and delayed
intervention can lead to significant complications. PRESENTATION OF CASE: We
present a case of an 81 year old lady who presented with symptoms of
cholecystitis for 24 h with no evidence of cholelithiasis on imaging. She
deteriorated within 24 h of admission despite intravenous antibiotics; Emergency
laparoscopy was performed which showed the gallbladder malrotated 180 degrees
with features of necrosis. Laparoscopic cholecystectomy was performed without
complications. DISCUSSION: The cause of gallbladder torsion are thought to be due
to underlying anatomical variations or loss of elasticity associated with aging.
Diagnosis is difficult clinically and radiologically, however, features such as
"whirl sign" and "cystic duct knot sign" have been described. Due to ischemia
associated with torsion, clinical vigilance and early intervention is recommended
to prevent potential fatal sequelae particularly in the elderly population.
CONCLUSION: Gallbladder torsion is a rare finding that can be difficult to
diagnose clinically, we are hoping to promote awareness to prevent complications
associated with delayed therapy.
PMID- 29649697
TI - Intrapancereatic abscess due to arteriovenous malformation involving the entire
pancreas: A case report and review of the literature.
AB - INTRODUCTION: The described case is a patient with pancreatic arteriovenous
malformation involving the entire pancreas and complicated with intrapancereatic
abscess. PRESENTATION OF CASE: A 47 years-old was suffering from episodes of
abdominal pain and vomiting with multiple hospital visits without reaching a
diagnosis for four months. Contrast-enhanced computed tomography scan (CECT) done
which shows a 1.6*1.4cm fluid collection was seen in uncinate process of the
pancreas, Magnetic resonance imaging (MRI) demonstrates abnormal vasculature
involving the pancreas. Therefore patient diagnosed as a case of pancreatic
arteriovenous malformation (P-AVM), which confirmed by Selective Computed
Tomography Angiogram (CT Angiogram). DISCUSSION: Normal investigations in the
first attempts can lead to miss pancreatic arteriovenous malformation (P-AVM),
and can lead to serious and fatal. CONCLUSION: Early diagnosis and treatment of
(P-AVM) is very important even in asymptomatic patients. Conservative treatment
in complicated and big P-AVM appears ineffective as surgical resection.
PMID- 29649698
TI - Enantiomer-specific stable carbon isotope analysis (ESIA) to evaluate degradation
of the chiral fungicide Metalaxyl in soils.
AB - Chiral pesticides are often degraded enantioselectively in soils, leading to
disparity among enantiomers that may display different toxicity levels.
Monitoring pesticide degradation extents and processes remains out of reach in
the field using conventional bulk and enantiomer concentration analyses.
Enantioselective stable carbon isotope analysis (ESIA) combines compound specific
isotope analysis (CSIA) and enantioselective analysis, and bears potential to
distinguish enantiomer degradation from non-destructive dissipation. We developed
ESIA of the fungicide Metalaxyl, providing the 13C/12C ratios for S-Metalaxyl and
R-Metalaxyl separately, and applied it to follow degradation in soil incubation
experiments. Significant enantioselective degradation (kS-MTY = 0.007-0.011 day-1
< kR-MTY = 0.03-0.07 day-1) was associated with isotope fractionation
(Deltadelta13CS-MTY ranging from 2 to 60/00). While R-Metalaxyl degradation was
rapid (T1/2~10 days), concomitant enrichment in heavy isotopes of the persistent
S-Metalaxyl occurred after 200 days of incubation (epsilonS-Metalaxyl ranging
from -1.3 to -2.70/00). In contrast, initial racemic ratios and isotopic
compositions were conserved in abiotic experiments, which indicates the
predominance of microbial degradation in soils. Degradation products analysis and
apparent kinetic isotope effect (AKIE) suggested hydroxylation as a major
enantioselective degradation pathway in our soils. Altogether, our study
underscores the potential of ESIA to evaluate the degradation extent and
mechanisms of chiral micropollutants in soils.
PMID- 29649699
TI - Visuospatial biases in preschool children: Evidence from line bisection in three
dimensional space.
AB - Spatial attention in adults is characterized by systematic asymmetries across all
three spatial dimensions. These asymmetries are evident when participants bisect
horizontal, vertical, or radial lines and misplace their midpoints to the left,
the top, or far from the body, respectively. However, bisection errors are rarely
examined during early childhood. In this study, we examined the development of
spatial-attentional asymmetries in three-dimensional (3D) space by asking
preschool children (aged 3-6 years) to bisect horizontal, vertical, and radial
lines. Children erred to the left with horizontal lines and to the top with
vertical lines, consistent with the pattern reported in adults. These biases got
stronger with age and were absent in the youngest preschoolers. However, by
controlling for a possible failure in hitting the line, we observed an additional
unpredicted pattern: Children's pointing systematically deviated away from the
line to an empty space on its left side (for vertical and radial lines) or above
it (for horizontal lines). Notably, this task-irrelevant deviation was pronounced
in children as young as 3 or 4 years. We conclude that asymmetries in spatial
attentional functions should be measured not only in task-relevant dimensions but
also in task-irrelevant dimensions because the latter may reveal biases in very
young children not typically observed in task-relevant measures.
PMID- 29649700
TI - Detailed spectral profile analysis of electrocorticograms during freezing against
penicillin-induced epileptiform discharges in the anesthetized rat.
AB - OBJECTIVES: Cryosurgery is an alternative technique for minimally invasive
treatment of lesions. We have recently examined cryosurgery for epilepsy in
animal models, and found that penicillin G (PG)-induced epileptiform discharges
(EDs) mostly vanished after freezing. However, EDs were provoked again after
insufficient freezing. Inadequate freezing is not visually detectable during and
just after freezing and is not predictable beforehand. To manage this problem, we
examined whether intraoperative monitoring of electrocorticograms (ECoGs) can
predict recurrence of EDs after cryosurgery. METHODS: A palm-sized cryoprobe
system was applied to focal seizures in a Wistar rat model in which EDs were
induced in advance by intracerebral injection of PG. During stable induction of
EDs, the cryoprobe was carefully inserted into the epileptic region and this
region was immediately frozen. After the series of prefreezing, freezing, and
postfreezing, rats in which PG-induced EDs relapsed within 3 h were defined as
the ED-relapsed (EDR) group, and other rats were defined as the ED-vanished (EDV)
group. Time-frequency analysis was conducted on the ECoGs in each group through
each freezing series. RESULTS: Relapse of PG-induced EDs on ECoG after the
freezing series was associated with the remaining power of the delta band in the
freezing period more strongly in the EDR group than in the EDV group.
CONCLUSIONS: Success or failure of the freezing procedure can be predicted by the
specificity of the delta band of the ECoG obtained intraoperatively.
PMID- 29649701
TI - Change of heart dimensions and function during pregnancy in goats.
AB - The study aimed to evaluate the effect of pregnancy on heart diameters and
function in goats. Transthoracic echocardiography of 12 female dairy goats of two
Polish regional breeds was performed. A Mindray M7 diagnostic ultrasound system
with Phased Array transducer was used. Simultaneously, electrocardiography was
recorded. All animals were examined four times - at mating season, at the end of
the first trimester, at the end of the second trimester and just before kidding.
Eleven measurements were taken each time: aortic and left atrial diameter (AoD
and LAD), right and left ventricular internal diameter in diastole (RVIDd and
LVIDd), left ventricular internal diameter in systole (LVIDs), inter-ventricular
septum thickness in diastole and systole (IVSd and IVSd) and left ventricular
posterior wall in diastole and systole (LVPWd and LVPWs), maximum left and right
ventricular outflow tract velocity (RVOT Vmax and LVOT Vmax). Nine consecutive
measurements were derived: the ratio of the left atrial diameter to the aortic
diameter (AoD/LAD), left ventricular fractional shortening (FS%), left
ventricular ejection fraction (EF%), maximum outflow tract pressure gradients
(RVOT PGmax and LVOT PGmax), left ventricular end-diastolic volume (LVEDV) and
left ventricular end-systolic volume (LVESV), stroke volume (SV) and cardiac
output (CO). HR, LAD, LVPWs, IVSs increased significantly in the first trimester.
AoD and RVIDd were significantly higher around parturition. LVIDd, FS%, EF%, SV
and CO rose both in the first and third trimester. No measurement decreased
during pregnancy. The study confirms that pregnancy causes changes in the heart
size and functioning.
PMID- 29649702
TI - Quantitative characterization and analysis of granule transformations: Role of
intermittent gas sparging in a super high-rate anaerobic system.
AB - Knowledge of leveraging biomass characteristics is essential for achieving a
microbial community with a desired structure to optimize anaerobic bioreactor
performance. This study investigates the successive granule transformations in a
high-rate anaerobic system with intermittent gas sparging and sequential
increases in organic loading rates (OLRs), by establishing the correlations
between the granule microstructures and reactor operating parameters. Over the
course of a 196-day lab-scale trial, the granules were visualized in various
stages using scanning electron microscopy, and digital image processing was
applied for further quantifying their surface properties. Correlation analyses
revealed that irregularities of the granule microstructures (surface properties,
specific surface area and pore volume) emerged at stage 4 when the OLR was 13.31
kg COD/m3.day and in stage 5 in the absence of gas sparging. The loading ratio
(substrate surface loading to upward velocity) was identified to be the main
parameter controlling the granule transformations, and the surface structures
were classified into three categories for further interpretation. Confocal laser
scanning microscopy analyses showed that the granule core started to hollow out
from stage 4. It is also found that a rough granule surface helped accelerate the
growth of the granular diameter under gas sparging. Overall, this study not only
establish quantitative correlations between the granules microstructures and
reactor operating parameters, but also shed light on the use of intermittent gas
sparging to control the surface properties of anaerobic granules in high-rate
anaerobic bioreactors.
PMID- 29649703
TI - Modeling the exposure of wild fish to endocrine active chemicals: Potential
linkages of total estrogenicity to field-observed intersex.
AB - Decades of studies on endocrine disruption have suggested the need to manage the
release of key estrogens from municipal wastewater treatment plants (WWTP).
However, the proposed thresholds are below the detection limits of most routine
chemical analysis, thereby restricting the ability of watershed managers to
assess the environmental exposure appropriately. In this study, we demonstrated
the utility of a mechanistic model to address the data gaps on estrogen exposure.
Concentrations of the prominent estrogenic contaminants in wastewaters (estrone,
estradiol, and ethinylestradiol) were simulated in the Grand River in southern
Ontario (Canada) for nine years, including a period when major WWTP upgrades
occurred. The predicted concentrations expressed as total estrogenicity (E2
equivalent concentrations) were contrasted to a key estrogenic response (i.e.,
intersex) in rainbow darter (Etheostoma caeruleum), a wild sentinel fish species.
A predicted total estrogenicity in the river of >=10 ng/L E2 equivalents was
associated with high intersex incidence and severity, whereas concentrations <0.1
ng/L E2 equivalents were associated with minimal intersex expression. Exposure to
a predicted river concentration of 0.4 ng/L E2 equivalents, the environmental
quality standard (EQS) proposed by the European Union for estradiol, was
associated with 34% (95% CI:30-38) intersex incidence and a very low severity
score of 0.6 (95% CI:0.5-0.7). This exposure is not predicted to cause adverse
effects in rainbow darter. The analyses completed in this study were only based
on the predicted presence of three major estrogens (E1, E2, EE2), so caution must
be exercised when interpreting the results. Nevertheless, this study illustrates
the use of models for exposure assessment, especially when measured data are not
available.
PMID- 29649704
TI - Pesticide degradation and export losses at the catchment scale: Insights from
compound-specific isotope analysis (CSIA).
AB - Although pesticides undergo degradation tests prior to use, determining their
export, degradation and persistence under field conditions remains a challenge
for water resource management. Compound specific isotope analysis (CSIA) can
provide evidence of contaminant degradation extent, as it is generally
independent of non-destructive dissipation (e.g., dilution, sorption,
volatilization) regulating environmental concentrations. While this approach has
been successfully implemented in subsurface environments, its application to
pesticides in near-surface hydrological contexts at catchment scale is lacking.
This study demonstrates the applicability of CSIA to track pesticide degradation
and export at catchment scale and identify pesticide source areas contributing to
changes in stable isotope signature in stream discharge under dynamic
hydrological contexts. Based on maximum shifts in carbon stable isotope
signatures (Deltadelta13C = 4.6 +/- 0.50/00) of S-metolachlor (S-met), a widely
used herbicide, we estimate maximum degradation to have reached 96 +/- 3% two
months after first application. Maximum shifts in nitrogen isotope signatures
were small and inverse (Deltadelta15N=-1.3+/-0.60/00) indicating potential
secondary isotope effects during degradation. In combination with a mass balance
approach including S-met main degradation products, total catchment non
destructive dissipation was estimated to have reached 8 +/- 7% of the applied
product. Our results show that CSIA can be applied to evaluate natural
attenuation of pesticides at catchment scale. By providing a more detailed
account of pesticide dissipation and persistence under field conditions we
anticipate the contribution of pesticide CSIA to the improvement of regulatory
and monitoring strategies.
PMID- 29649705
TI - In lens BSE detector with energy filtering.
AB - We present a new type of an in-lens detector designed for Thermo Fisher
Scientific (FEI) electron microscopes with the Elstar column. A key feature of it
is high-pass energy filtering to enable the detection of low-loss backscattered
electrons with their energy close to the primary beam energy. We show an
application of the detector in imaging of a biological sample where the signal
from these electrons leads to a significant improvement in resolution.
PMID- 29649706
TI - Quantitative assessment for flexed-elbow deformity during gait following
botulinum toxin A treatment.
AB - BACKGROUND: The effect of botulinum toxin A (BoNTA) injection on flexed-elbow
deformity is usually evaluated using the Modified Ashworth Scale (MAS), but only
with the muscle tone at rest. Some patients show the flexed-elbow deformity
during gait despite low muscle tone at rest. OBJECTIVE: This study aimed to
evaluate the effect of BoNTA injection on flexed-elbow deformity during gait
using a three-dimensional motion analysis system. METHODS: Twenty stroke patients
with spastic flexed-elbow deformity during gait received BoNTA injections into
the upper limb muscles. The MAS score of the elbow flexors, passive elbow range
of motion, comfortable overground gait velocity, and elbow flexion angle during
treadmill gait were evaluated just before and 2, 6, and 12 weeks after the
injection. Twenty-five healthy subjects were also recruited to provide a normal
reference of the elbow flexion angle. RESULTS: The MAS scores at 2, 6 and 12
weeks after the injection were significantly lower than that before the
injection. Some patients showed no spasticity at rest but an obviously flexed
elbow during gait. The elbow flexion angles during gait at 2 and 6 weeks after
the injection were significantly lower than that before the injection.
CONCLUSIONS: BoNTA injections to the upper limb muscles reduced muscle tone at
rest and flexed-elbow deformity during gait. However, the elbow flexion angle
during gait returned to its pre-injection level sooner than the muscle tone at
rest. We strongly recommend evaluating muscle tone during motion and at rest,
preferably using three-dimensional motion analysis since it can objectively
detect small changes.
PMID- 29649707
TI - Specific smartphone usage and cognitive performance affect gait characteristics
during free-living and treadmill walking.
AB - BACKGROUND: Mobile phone tasks like texting, typing, and dialling during walking
are known to impact gait characteristics. Beyond that, the effects of performing
smartphone-typical actions like researching and taking self-portraits (selfie) on
gait have not been investigated yet. RESEARCH QUESTION: We aimed to investigate
the effects of smartphone usage on relevant gait characteristics and to reveal
potential association of basic cognitive and walking plus smartphone dual-task
abilities. METHODS: Our cross-sectional, cross-over study on physically active,
healthy participants was performed on two days, interrupted by a 24-h washout in
between. Assessments were: 1) Cognitive testing battery consisting of the trail
making test (TMT A and B) and the Stroop test 2) Treadmill walking under five
smartphone usage conditions: no use (control condition), reading, dialling,
internet searching and taking a selfie in randomized order. Kinematic and kinetic
gait characteristics were assessed to estimate conditions influence. RESULTS: In
our sample of 36 adults (24.6 +/- 1 years, 23 female, 13 male), ANCOVAs followed
by post-hoc t-tests revealed that smartphone usage impaired all tested gait
characteristics: gait speed (decrease, all conditions): F = 54.7, p < 0.001;
cadence (increase, all): F = 38.3, p < 0.001; double stride length (decrease,
all): F = 33.8, p < 0.001; foot external rotation (increase during dialling,
researching, selfie): F = 16.7, p < 0.001; stride length variability (increase):
F = 11.7, p < 0.001; step width variability (increase): F = 5.3, p < 0.001; step
width (Friedmann test and Wilcoxon Bonferroni-Holm-corrected post-hoc analyses,
increase): Z = -2.3 to -2.9; p < 0.05); plantar pressure proportion (increase
during reading and researching) (Z = -2.9; p < 0.01). The ability to keep usual
gait quality during smartphone usage was systematically associated with the TMT B
time regarding cadence and double stride length for reading (r = -0.37), dialling
(r = -0.35) and taking a selfie (r = -0.34). SIGNIFICANCE: Smartphone usage
substantially impacts walking characteristics in most situations. Changes of gait
patterns indicate higher cognitive loads and lower awareness.
PMID- 29649708
TI - A systematic review and meta-analysis on the efficacy of Internet-delivered
behavioral activation.
AB - BACKGROUND: Behavioral activation (BA) is an evidence-based treatment for
depression which has attracted interest and started to accumulate evidence for
other conditions when delivered face-to-face. Due to its parsimoniousness, it is
suitable to be delivered via the Internet. The goal of this systematic review and
meta-analysis was to examine evidence from randomized controlled trials (RCTs) to
determine the efficacy of Internet-based BA and assess the quality of this
evidence. METHODS: Studies were identified from electronic databases (EMBASE, ISI
Web of Knowledge, Medline, CINHAL, PsychINFO, Cochrane) and reference lists of
included studies. Two reviewers independently screened articles for inclusion and
extracted data. They assessed the quality of evidence for each outcome using The
Grading of Recommendations Assessment, Development and Evaluation framework.
RESULTS: Nine RCTs on different forms of depression were included with 2157 adult
participants. Random effects meta-analyses showed that in non-clinical settings,
guided Internet-based BA was non-inferior to other forms of behavioral therapy
and mindfulness (mainly very low to low quality evidence) and superior to
physical activity (very low quality evidence), psychoeducation/treatment as usual
(moderate quality evidence) and waitlist (low quality evidence) at reducing
depression and anxiety outcomes at post-treatment and short follow-up.
LIMITATIONS: The poor quality of some of the findings means that results should
be cautiously interpreted. CONCLUSIONS: Evidence for the efficacy of Internet
based BA as a treatment for depression is promising. However, high quality
studies with longer follow-ups are needed to increase confidence in findings and
determine its efficacy in clinical settings and other conditions.
PMID- 29649709
TI - The relationship between the dietary inflammatory index (DII(r)) and incident
depressive symptoms: A longitudinal cohort study.
AB - BACKGROUND: Diet is a common source of inflammation, and inflammation is
associated with depression. We examined the association between the dietary
inflammatory index (DII(r)), a validated measure of inflammatory potential of the
diet, and risk of depression in a cohort of older North American adults. METHODS:
This longitudinal study, with a follow-up of 8 years, included 3648 participants
(1577 males, 2071 females; mean age: 60.6 years) with/at risk of knee
osteoarthritis. DII(r) scores were calculated using the validated Block Brief
2000 Food-Frequency Questionnaire. Center for Epidemiological Studies Depression
20 scale was used to define depressive symptoms. The relationship between
baseline DII(r) score and incident depression was assessed through Cox's
regression analysis, adjusted for potential confounders, and reported as hazard
ratios (HRs). RESULTS: In total, 837 individuals (310 men and 527 women)
developed incident depressive symptoms over the course of 8 years. Participants
in the most pro-inflammatory group (quartile 4) had approximately 24% higher risk
of developing depressive symptoms compared to subjects with the most anti
inflammatory diet (HR: 1.24; 95% CI: 1.01-1.53; p = 0.04). CONCLUSION: These
results suggest that a pro-inflammatory diet may be associated with higher
incidence of depressive symptoms in a cohort of older Americans. Transitioning to
a more anti-inflammatory diet may reduce depression risk.
PMID- 29649710
TI - Psychometric properties of the concise health risk tracking (CHRT) in adolescents
with suicidality.
AB - BACKGROUND: Several self-report rating scales have been developed to assess
suicidal ideation, yet few examine other factors related to increased suicidal
risk, and even fewer have been validated in both adolescents and adults. We
evaluate the 14-item Concise Health Risk Tracking - Self Report (CHRT-SR), a
measure previously validated in adults, in a sample of adolescents at risk for
suicide. METHOD: Data are from a retrospective chart review of adolescents
treated in an intensive outpatient program for youth with severe suicidality.
Teens completed the CHRT-SR and Quick Inventory of Depressive Symptomatology -
Adolescents (QIDS-A) at baseline and discharge. The CHRT-SR was evaluated to
determine the factor validity, internal consistency, construct validity, and
sensitivity to change. RESULTS: Adolescents (n = 271) completed the CHRT-SR prior
to treatment, and 231 completed the CHRT-SR at discharge. Three factors were
identified with excellent model fit: Propensity, Impulsivity, and Suicidal
Thoughts. Internal consistency reliability coefficients were good-to-excellent
for the total score and all three factors at baseline (a = 0.774-0.915) and exit
(a = 0.849-0.941). The total score and all three factors significantly correlated
with overall depression severity and suicidal ideation as rated by teens and
parent (p = .704-0.756, all p < .001). The CHRT-SR was sensitive to change, with
moderate to large effect sizes (Cohen's d = 0.599-1.062). LIMITATIONS: Study
limitations include generalizability, lack of a control group, and retrospective
data from a sample of opportunity. CONCLUSIONS: The CHRT-SR is a reliable and
valid measure for examining severity of suicidal thoughts and associated risk
factors, and is sensitive to change following an intervention in adolescents.
PMID- 29649711
TI - The interaction of BDNF Val66Met, PTSD, and child abuse on psychophysiological
reactivity and HPA axis function in a sample of Gulf War Veterans.
AB - INTRODUCTION: While the BDNF Val66Met polymorphism has been linked to various
psychological disorders, limited focus has been on its relationship to
posttraumatic stress disorder (PTSD) and early traumas such as child abuse.
Therefore, we assessed whether Val66Met was associated with fear potentiated
psychophysiological response and HPA axis dysfunction and whether PTSD status or
child abuse history moderated these outcomes in a sample of Veterans. METHODS:
226 and 173 participants engaged in a fear potentiated acoustic startle paradigm
and a dexamethasone suppression test (DST) respectively. Fear conditions included
no, ambiguous, and high threat conditions. Psychophysiological response measures
included electromyogram (EMG), skin conductance response (SCR), and heart rate.
The Clinician Administered PTSD Scale (CAPS) and the Trauma History Questionnaire
(THQ) were used to assess PTSD status and child abuse history respectively.
RESULTS: Met allele carriers exhibited greater SCR magnitudes in the no and
ambiguous threat conditions (p < 0.01 and p < 0.05 respectively). Met carriers
with PTSD exhibited greater physiological response magnitudes in the ambiguous
(SCR, p < 0.001) and high threat conditions (SCR and heart rate, both p <=
0.005). Met carrier survivors of child abuse exhibited blunted heart rate
magnitudes in the high threat condition (p < 0.01). Met allele carries with PTSD
also exhibited greater percent cortisol suppression (p < 0.005). LIMITATIONS:
Limitations included small sample size and the cross-sectional nature of the
data. CONCLUSIONS: The Val66met may impact PTSD susceptibility differentially via
enhanced threat sensitivity and HPA axis dysregulation. Child abuse may moderate
Val66Met's impact on threat reactivity. Future research should explore how
neuronal mechanisms might mediate this risk.
PMID- 29649712
TI - Sexual abuse but not posttraumatic stress disorder is associated with
neurocognitive deficits in South African traumatized adolescents.
AB - OBJECTIVES: Neurocognitive impairments are commonly observed in adults suffering
from posttraumatic stress disorder (PTSD). The picture is less clear in
adolescents. Childhood sexual abuse (CSA) may have an independent influence on
neuropsychological test performance and provide partial explanatory power of the
inconsistent findings. We hypothesized that adolescents with PTSD who have also
suffered sexual abuse would have most pronounced deficits on neurocognitive
testing. METHODS: In a cross-sectional design, 105 traumatized South African
adolescents, of whom 52 fulfilled criteria of PTSD and 34 reported CSA, were
studied. A comprehensive neurocognitive battery including tests of memory,
executive functioning, and language was used to analyze the associations of
neurocognitive impairments with PTSD and CSA. RESULTS: Adolescents reporting CSA
manifested impairments in proactive and retroactive interference tasks on the Rey
Auditory Verbal Learning test and in the copy condition of the Rey Osterrieth
figure test, indicating deficits in attention and working memory. Against our
hypothesis, no independent effects of PTSD were found on neurocognitive
performance. Results were independent of comorbid psychiatric diagnoses.
CONCLUSIONS: Sexual abuse seems to have an independent influence on attention and
working memory. This could be an early sign of hippocampal impairment.
PMID- 29649713
TI - Corrigendum to "Gas/particle partitioning and particle size distribution of
PCDD/Fs and PCBs in urban ambient air" [Sci. Total Environ. 624 (2018) 170-179].
PMID- 29649714
TI - Effects of urban form on the urban heat island effect based on spatial regression
model.
AB - The urban heat island (UHI) effect is becoming more of a concern with the
accelerated process of urbanization. However, few studies have examined the
effect of urban form on land surface temperature (LST) especially from an urban
planning perspective. This paper used spatial regression model to investigate the
effects of both land use composition and urban form on LST in Wuhan City, China,
based on the regulatory planning management unit. Landsat ETM+ image data was
used to estimate LST. Land use composition was calculated by impervious surface
area proportion, vegetated area proportion, and water proportion, while urban
form indicators included sky view factor (SVF), building density, and floor area
ratio (FAR). We first tested for spatial autocorrelation of urban LST, which
confirmed that a traditional regression method would be invalid. A spatial error
model (SEM) was chosen because its parameters were better than a spatial lag
model (SLM). The results showed that urban form metrics should be the focus for
mitigation efforts of UHI effects. In addition, analysis of the relationship
between urban form and UHI effect based on the regulatory planning management
unit was helpful for promoting corresponding UHI effect mitigation rules in
practice. Finally, the spatial regression model was recommended to be an
appropriate method for dealing with problems related to the urban thermal
environment. Results suggested that the impact of urbanization on the UHI effect
can be mitigated not only by balancing various land use types, but also by
optimizing urban form, which is even more effective. This research expands the
scientific understanding of effects of urban form on UHI by explicitly analyzing
indicators closely related to urban detailed planning at the level of regulatory
planning management unit. In addition, it may provide important insights and
effective regulation measures for urban planners to mitigate future UHI effects.
PMID- 29649715
TI - Influences of model structure and calibration data size on predicting chlorine
residuals in water storage tanks.
AB - This study evaluated the influences of model structure and calibration data size
on the modelling performance for the prediction of chlorine residuals in
household drinking water storage tanks. The tank models, which consisted of two
modules, i.e., hydraulic mixing and water quality modelling processes, were
evaluated under identical calibration conditions. The hydraulic mixing modelling
processes investigated included the continuously stirred tank reactor (CSTR) and
multi-compartment (MC) methods, and the water quality modelling processes
included first order (FO), single-reactant second order (SRSO), and variable
reaction rate coefficients (VRRC) second order chlorine decay kinetics. Different
combinations of these hydraulic mixing and water quality methods formed six tank
models. Results show that by applying the same calibration datasets, the tank
models that included the MC method for modelling the hydraulic mixing provided
better predictions compared to the CSTR method. In terms of water quality
modelling, VRRC kinetics showed better predictive abilities compared to FO and
SRSO kinetics. It was also found that the overall tank model performance could be
substantially improved when a proper method was chosen for the simulation of
hydraulic mixing, i.e., the accuracy of the hydraulic mixing modelling plays a
critical role in the accuracy of the tank model. Advances in water quality
modelling improve the calibration process, i.e., the size of the datasets used
for calibration could be reduced when a suitable kinetics method was applied.
Although the accuracies of all six models increased with increasing calibration
dataset size, the tank model that consisted of the MC and VRRC methods was the
most suitable of the tank models as it could satisfactorily predict chlorine
residuals in household tanks by using invariant parameters calibrated against the
minimum dataset size.
PMID- 29649716
TI - The relation between land use and subsidence in the Vietnamese Mekong delta.
AB - The Vietnamese Mekong delta is subsiding due to a combination of natural and
human-induced causes. Over the past several decades, large-scale anthropogenic
land-use changes have taken place as a result of increased agricultural
production, population growth and urbanization in the delta. Land-use changes can
alter the hydrological system or increase loading of the delta surface,
amplifying natural subsidence processes or creating new anthropogenic subsidence.
The relationships between land use histories and current rates of land subsidence
have so far not been studied in the Mekong delta. We quantified InSAR-derived
subsidence rates for the various land-use classes and past land-use changes using
a new, optical remote sensing-based, 20-year time series of land use. Lowest mean
subsidence rates were found for undeveloped land-use classes, like marshland and
wetland forest (~6-7mmyr-1), and highest rates for areas with mixed-crop
agriculture and cities (~18-20mmyr-1). We assessed the relationship strength
between current land use, land-use history and subsidence by predicting
subsidence rates during the measurement period solely based on land-use history.
After initial training of all land-use sequences with InSAR-derived subsidence
rates, the land-use-based approach predicted 65-92% of the spatially varying
subsidence rates within the measurement error range of the InSAR observations
(RMSE=5.8mm). As a result, the spatial patterns visible in the observed
subsidence can largely be explained by land use. We discuss in detail the
dominant land-use change pathways and their indirect, causal relationships with
subsidence. Our spatially explicit evaluation of these pathways provides valuable
insights for policymakers concerned with land-use planning in both subsiding and
currently stable areas of the Mekong delta and similar systems.
PMID- 29649717
TI - Drought evaluation using the GRACE terrestrial water storage deficit over the
Yangtze River Basin, China.
AB - Droughts are some of the worst natural disasters that bring significant water
shortages, economic losses, and adverse social consequences. Gravity Recovery and
Climate Experiment (GRACE) satellite data are widely used to characterize and
evaluate droughts. In this work, we evaluate drought situations in the Yangtze
River Basin (YRB) using the GRACE Texas Center for Space Research (CSR) mascon
(mass concentration) data from 2003 to 2015. Drought events are identified by
water storage deficits (WSDs) derived from GRACE data, while the drought severity
evaluation is based on the water storage deficit index (WSDI), standardized WSD
time series, and total water storage deficit (TWSD). The WSDI is subsequently
compared with the Palmer drought severity index (PDSI), standardized
precipitation index (SPI), standardized precipitation evapotranspiration index
(SPEI), and standardized runoff index (SRI). The results indicate the YRB
experienced increased wetness during the study period, with WSD values increasing
at a rate of 5.20mm/year. Eight drought events are identified, and three major
droughts occurred in 2004, 2006, and 2011, with WSDIs of -2.05, -2.38, and -1.30
and TWSDs of -620.96mm, -616.81mm, and -192.44mm, respectively. Our findings
suggest that GRACE CSR mascon data can be used effectively to assess drought
features in the YRB and that the WSDI facilitates robust and reliable
characterization of droughts over large-scale areas.
PMID- 29649718
TI - Causes of the different behaviour of the shoreline on beaches with similar
characteristics. Study case of the San Juan and Guardamar del Segura beaches,
Spain.
AB - Storms can alter the beach shape, relocating large volumes of sediments and
generating drastic changes in the coastline. In the last 60years, beaches
shoreline behaviour has been different even though the energy of the waves was
similar. Therefore, it is necessary to understand the factors that affect the
sandy coasts for better future management. In this research, two beaches, with
different erosion rate, located in the southeast of Spain (separated by only 40km
of distance) have been studied. The beaches: i) have similar orientations, ii)
are open to waves with similar sand lengths of 9.8km and 6.6km, and iii) have
similar median sediment size (D50). For its study, shoreline evolution has been
analysed from 1956 to 2017. From the results obtained, it can be seen that: i)
Between 1992 and 2017, San Juan just lost 3% of its surface, while in the
previous period (1956-1990) it was 50%, and ii) Guardamar surface lost in 1992
2017 was 18%, and in the previous period it was 14%. For the analysis of the
agents involved in both beaches, cross-shore profiles (volume), marine climate,
biocenosis and sedimentology studies were carried out. The results showed that
the energy on both beaches was very similar. The biocenosis had not changed and,
however, the morphology of Guardamar seabed had increased to 1m deep in some
places, which had caused part of the beach berm erosion. Furthermore, important
differences were found from the sedimentological study, concluding that the
content of calcites and the degree of homogeneity of the particles are the real
factors that caused these two beaches to behave differently against erosion.
PMID- 29649719
TI - Determining the macroinvertebrate community indicators and relevant environmental
predictors of the Hun-Tai River Basin (Northeast China): A study based on
community patterning.
AB - It is essential to understand the patterning of biota and environmental
influencing factors for proper rehabilitation and management at the river basin
scale. The Hun-Tai River Basin was extensively sampled four times for
macroinvertebrate community and environmental variables during one year. Self
Organizing Maps (SOMs) were used to reveal the aggregation patterns of the 355
samples. Three community types (i.e., clusters) were found (at the family level)
based on the community composition, which showed a clearly gradient by combining
them with the representative environmental variables: minimally impacted source
area, intermediately anthropogenic impacted sites, and highly anthropogenic
impacted downstream area, respectively. This gradient was corroborated by the
decreasing trends in density and diversity of macroinvertebrates. Distance from
source, total phosphorus and water temperature were identified as the most
important variables that distinguished the delineated communities. In addition,
the sampling season, substrate type, pH and the percentage of grassland were also
identified as relevant variables. These results demonstrated that
macroinvertebrates communities are structured in a hierarchical manner where
geographic and water quality prevail over temporal (season) and habitat
(substrate type) features at the basin scale. In addition, it implied that the
local-scale environment variables affected macroinvertebrates under the
longitudinal gradient of the geographical and anthropogenic pressure. More than
one family was identified as the indicator for each type of community. Abundance
contributed significantly for distinguishing the indicators, while Baetidae with
higher density indicated minimally and intermediately impacted area and lower
density indicated highly impacted area. Therefore, we suggested the use of
abundance data in community patterning and classification, especially in the
identification of the indicator taxa.
PMID- 29649720
TI - Differential release of cell-signaling metabolites by male and female bovine
embryos cultured in vitro.
AB - Male and female early bovine embryos show dimorphic transcription that impacts
metabolism. Individual release of metabolites was examined in a 24h single
culture medium from Day-6 male and female morulae that developed to Day-7
expanded blastocysts. Embryos were produced in vitro, fertilized with a single
bull and cultured in SOFaaci+6 g/L BSA. The embryonic sex was identified
(amelogenin gene amplification). Embryos (N = 10 males and N = 10 females) and N
= 6 blank samples (i.e. SOFaaci+6 g/L BSA incubated with no embryos) were
collected from 3 replicates. Metabolome was analyzed by UHPLC-TOF-MS in spent
culture medium. After tentative identification, N = 13 metabolites significantly
(P < 0.05; ANOVA) differed in their concentrations between male and female
embryos, although N = 10 of these metabolites showed heterogeneity (Levene's
test; P > 0.05). LysoPC(15:0) was the only metabolite found at higher
concentration in females (fold change [FC] male to female = 0.766). FC of
metabolites more abundant in male culture medium (N = 12) varied from 1.069 to
1.604. Chemical taxonomy grouped metabolites as amino-acids and related compounds
(DL-2 aminooctanoic acid, arginine, 5-hydroxy-l-tryptophan, and
palmitoylglycine); lipids (2-hexenoylcarnitine; Lauroyl diethanolamide; 5,6
dihydroxyprostaglandin F1a; LysoPC(15:0); DG(14:0/14:1(9Z)/0:0) and
triterpenoid); endogenous amine ((S)-N-Methylsalsolinol/(R)-N-Methylsalsolinol);
n-acyl-alpha-hexosamine (N-acetyl-alpha-d-galactosamine 1-phosphate); and dUMP, a
product of pyrimidine metabolism. Among the compounds originally contained in CM,
female embryos significantly depleted more arginine than males and blank controls
(P < 0.001). Male and female embryos induce different concentrations of
metabolites with potential signaling effects. The increased abundance of
metabolites released from males is consistent with the higher metabolic activity
attributed to such blastocysts.
PMID- 29649722
TI - Long-term incidence and recurrence of common mental disorders after abortion. A
Dutch prospective cohort study.
AB - In a previous study (Van Ditzhuijzen et al., 2017) we investigated the incidence
and recurrence of mental disorders 2.5 to 3 years post-abortion. The aim of the
current study was to extend these findings with longer term follow up data, up
until 5-6 years post-abortion. We compared data of women who had had an abortion
of the Dutch Abortion and Mental Health Study (DAMHS) to women who did not have
an abortion from the Netherlands Mental Health Survey and Incidence Study-2
(NEMESIS-2) (Ntotal = 2227). We used 1-to-1 matching on background confounding
variables and measured post-abortion incidence and recurrence of common DSM-IV
mental disorders (mood, anxiety, and substance use disorders) using the Composite
International Diagnostic Interview (CIDI) version 3.0. After matching on
confounding variables, abortion did not increase the likelihood that women had
incident or recurrent mental disorders in the 5-6 years post-abortion (any
incident mental disorder: OR = 3.66, p = .16; any recurrent mental disorder: OR =
0.22, p = .47). We found no evidence that experiencing an abortion increases the
risk on new or recurrent mental disorders on the longer term.
PMID- 29649721
TI - Effect of season on reproductive behaviors and fertilization success in cavies
(Cavia aperea).
AB - Finding the optimal timing for breeding is crucial for small mammals to ensure
survival and maximize lifetime reproductive success. Species living in temperate
regions therefore often restrict breeding to seasons with favorable food and
weather conditions. Although caviomorph rodents such as guinea pigs are described
as non-seasonal breeders, a series of recent publications has shown seasonal
adaptations in litter size, offspring birth mass and maternal investment. Here,
we aim to test if seasonal patterns of litter size variation found in earlier
studies, are mediated by seasonal differences in female estrus length,
fertilization rate and mating behavior. The female estrus period was longer in
fall compared to all other seasons (p < 0.001), frequently lasting 7-9 days while
estrus in spring usually lasted less than 2 days. In fall, females mated later
during estrus (p < 0.001), resulting in reduced fertilization rates (p < 0.001).
Fertilization rate was well above 95% in summer while it dropped to less than 85%
in fall and winter. While none of the male mating characteristics such as number
and duration of copulations differed across seasons, the number of mating bouts
was reduced in fall (p = 0.04). Finally, the developmental stages of flushed
embryos were more diverse in spring and summer compared to fall and winter. These
results suggest that seasonal differences in fertilization rate and quality of
implanted embryos are mediated by female estrus length and timing and intensity
of mating behavior. Together, these effects contribute to the observed
differences in litter size across seasons.
PMID- 29649723
TI - The relevance of attention deficit hyperactivity disorder in self-limited
childhood epilepsy with centrotemporal spikes.
AB - In this study, we aimed to evaluate the attentional and executive functions in
patients with benign childhood epilepsy with centrotemporal spikes (BCECTS) with
and without attention-deficit hyperactivity disorder (ADHD) compared with
controls and compared with patients with ADHD without epilepsy. We evaluated 12
patients with BCECTS and ADHD (66.7% boys; mean age of 9.67years); 11 children
with non-ADHD BCECTS (63.6% boys; mean age of 11.91years); 20 healthy children
(75% boys; mean age of 10.15years); and 20 subjects with ADHD without epilepsy
(60% boys; mean age of 10.9years). We used a comprehensive battery of
neuropsychological tests to evaluate attentional and executive functions in their
broad domains. Patients with BCECTS and ADHD had worse performance in Conners'
Continuous Performance Test II (reaction time standard error [p=0.008],
variability [p=0.033], perseverations [p=0.044] and in reaction time interstimuli
interval [p=0.016]). Patients with ADHD showed worse performance in Trail Making
Test B errors [p=0.012]. In conclusion, patients with BCECTS and ADHD had worse
executive and attentional performance compared with controls than non-ADHD
patients with BCECTS. Regardless of the presence of epilepsy, ADHD also
negatively impacted executive and attentional functions but in different
executive subdomains compared with patients with epilepsy.
PMID- 29649724
TI - Evaluation of upper limb movements in children with Down's syndrome: A systematic
review.
AB - : The aim of the present study was to perform a review of the literature on
current quantitative clinical methods for the evaluation of upper limb movements
in children and adolescents with Down syndrome, with a focus on describing the
variables, protocols, motor function and motor control. METHODS: A survey of
PubMed, Scielo, BVS Bireme and PEDro databases using the following key words:
upper limb and EMG and Down syndrome; upper limb and kinematics and Down
syndrome; upper limb and motion analysis and Down syndrome; movement and upper
limb and Down syndrome; upper limb and Down syndrome; reach and Down syndrome.
RESULTS: In all, 344 articles and five were selected to compose the present
systematic review. No standardization was found among the studies analyzed with
regard to data collection, data processing or procedures for the evaluation of
the variables. CONCLUSION: A kinematic evaluation is effective for the discussion
of the results, but methodological differences among the studies and inconsistent
results exert a negative influence on clinical interpretations and the
possibility of reproducibility. The standardization of an upper limb movement
evaluation protocol using kinematic analysis is important, as it would provide
the basis for comparable, reproducible results and facilitate the planning of
treatment interventions.
PMID- 29649725
TI - Production of live fish derived from frozen germ cells via germ cell
transplantation.
AB - The conservation of endangered fish is an urgent issue. Although cryo-banking of
fish gametes might ultimately help conserve endangered fish, cryopreservation of
fish eggs or embryos is still not possible due to their large size and high yolk
content. Therefore, as an alternative, we focused on undifferentiated germ cells,
such as primordial germ cells, spermatogonia, and oogonia, as materials for
cryopreservation. Transplantation of cryopreserved germ cells into the body
cavity of allogeneic or xenogeneic recipients sterilized by triploidization or
endogenous germ cell ablation resulted in migration of the transplanted germ
cells toward the recipient genital ridges, where they were eventually
incorporated. The donor-derived germ cells initiated either spermatogenesis or
oogenesis in the recipient gonads, depending on the sex of the recipient.
Furthermore, by mating the male and female recipients, viable offspring derived
from the frozen germ cells were produced. Although this technology was
established using salmonid fish, we found that it is applicable to a wide range
of fish species. Thus, this method might represent a "silver bullet" for
preserving the valuable genetic resources of endangered fish species.
PMID- 29649726
TI - Conversion and recovery of saponifiable lipids from microalgae using a nonpolar
solvent via lipase-assisted extraction.
AB - A single-step method for transesterifying and recovering lipids in concentrated
slurries (ca 20% w/w solids) of ruptured microalgae is presented. A soluble
Rhizomucor miehei lipase (RML) was used to directly transesterify the lipids in
the marine microalgae Nannochloropsis salina. This allowed both triglycerides
(TAG) and polar saponifiable lipids to be recovered as fatty acid methyl esters
(FAME) using a nonpolar solvent (hexane). Up to 90 wt% of the total saponifiable
lipids (SL) were converted to FAME within 24 h, approximately 75% of which was
recovered in the hexane by centrifugation. Two pathways for the conversion and
recovery of polar lipids were identified. The water in the slurry buffered
against potential lipase inhibition by methanol, but necessitated a high methanol
dose for maximal FAME conversion. Nonetheless the method enables the recovery of
polar lipids as FAME while avoiding the need for both drying of the biomass and a
downstream transesterification step.
PMID- 29649727
TI - Optimization of sodium hydroxide pretreatment and enzyme loading for efficient
hydrolysis of rice straw to improve succinate production by metabolically
engineered Escherichia coli KJ122 under simultaneous saccharification and
fermentation.
AB - Rice straw was pretreated with sodium hydroxide (NaOH) before subsequent use for
succinate production by Escherichia coli KJ122 under simultaneous
saccharification and fermentation (SSF). The NaOH pretreated rice straw was
significantly enhanced lignin removal up to 95%. With the optimized enzyme
loading of 4% cellulase complex + 0.5% xylanase (endo-glucanase 67 CMC-U/g, beta
glucosidase 26 pNG-U/g and xylanase 18 CMC-U/g dry biomass), total sugar
conversion reached 91.7 +/- 0.8% (w/w). The physicochemical analysis of NaOH
pretreated rice straw indicated dramatical changes in its structure, thereby
favoring enzymatic saccharification. In batch SSF, succinate production of 69.8
+/- 0.3 g/L with yield and productivity of 0.84 g/g pretreated rice straw and
0.76 +/- 0.02 g/L/h, respectively, was obtained. Fed-batch SSF significantly
improved succinate concentration and productivity to 103.1 +/- 0.4 g/L and 1.37
+/- 0.07 g/L/h with a comparable yield. The results demonstrated a feasibility of
sequential saccharification and fermentation of rice straw as a promising process
for succinate production in industrial scale.
PMID- 29649728
TI - Enhanced phenol removal in an innovative lignite activated coke-assisted
biological process.
AB - In this study, a lignite activated coke (LAC)-assisted activated sludge (AS)
process was developed for enhancing biodegradation of phenol, while the effects
of LAC on sludge properties and microbial community structure were investigated.
It was found that more than 90% of phenol was removed within 1 h in the LAC/AS,
which was 3 times higher than the conventional AS process. Moreover, the floc
size and settleability were also significantly improved in the LAC/AS. These
results suggested that LAC could serve as the nucleating agent to promote the
formation of compact floc, which was beneficial for toxicity mitigation and
system stability. The microbial community analysis by 16S high-throughput
pyrosequencing technology further revealed a more abundant bacterial richness and
diversity in the LAC/AS process loaded with phenol, while some phenol degraders,
such as Propionibacteriaceae were enriched. Engineering implications further
suggests the LAC-assisted AS process is technically sound and economically
viable.
PMID- 29649729
TI - Combined bioaugmentation with anaerobic ruminal fungi and fermentative bacteria
to enhance biogas production from wheat straw and mushroom spent straw.
AB - Bioaugmentation with anaerobic ruminal fungi and a pool of hydrogen-producing
fermenting bacteria was tested on wheat straw (WS) and mushroom spent straw (MSS)
with the aim of improving anaerobic digestion performance. Batch tests were set
up to simulate a Bioaugmentation Anaerobic Digestion (BAD) treatment comparing
single- (I-BAD) and two-stage (II-BAD) process configurations, at two reactor
scales, 120 and 1200 ml (*10). In both cases, higher CH4 cumulative production
was obtained in the II-BAD configuration on WS (65.1 +/- 8.9 Nml and 922 +/- 73.8
Nml respectively). The II-BADx10 tests allowed increasing CH4 production (?290%
and ?330% on WS and MSS, respectively) when compared to the unaugmented
condition. Final results highlighted the achievable advantages of the two stage
configuration in terms of CH4 production enhancement. Microbial community
investigations confirmed the efficiency of the bioaugmentation treatment and
revealed that such a result was mainly related to the Methanosarcinales increase,
mostly composed by Methanosaeta.
PMID- 29649730
TI - Rapid and high-performance adsorptive removal of hazardous acridine orange from
aqueous environment using Abelmoschus esculentus seed powder: Single- and multi
parameter optimization studies.
AB - In this research, the performance of naturally abundant lignocellulosic by
product, Abelmoschus esculentus, and its processed seed powder referred as AESP,
as a potential biosorbent for the removal of acridine orange (AO) from the
aqueous environment was examined. The AESP biosorbent was characterized by field
emission scanning electron microscopy (FESEM), X-ray diffraction (XRD) analysis,
diffuse reflectance spectroscopy (DRS), Fourier transform infrared (FTIR) and
pHZPC analyses. The average size of the biosorbent according to particle size
distribution analysis was found to be ~132 MUm. The batch adsorption experiments
were conducted by altering the parameters such as contact time, solution pH,
biosorbent dosage, initial dye concentration, stirring speed and temperature.
Sorption of cationic AO dye onto AESP was found to be rapid, and the equilibrium
condition reached within 30 min. The isotherms (Langmuir, Freundlich, Redlich
Peterson and Sips), kinetic models (pseudo-first order, pseudo-second order,
Elovich, intra-particle diffusion, Bangham and modified-Freundlich models) and
thermodynamic parameters were also evaluated. High values of determination
coefficients (R2) and minimal values of non-linear error functions (i.e. HYBRD,
RMSE, MPSD, ARE, APE and chi2) indicated that experimental data were best fitted
with Sips isotherm and pseudo-second order kinetic model. Accordingly, the
maximum loading capacity of AESP was found to be 259.4, 284.3 and 346.5 mg/g for
the temperatures of 15, 30 and 45 degrees C, respectively. The thermodynamic
parameters showed that the adsorption of AO onto the AESP surface was an
endothermic and spontaneous process. Besides these, the central composite
experimental design (CCD) superimposed with response surface methodology (RSM)
modeling was also employed to investigate the effect of four significant
parameters (solution pH, contact time, initial AO concentration and AESP dosage)
and their interaction-term effects on the adsorption capacity of AESP and to
formulate the mathematical model for the experimental data using multi-variate
statistical analysis. Maximum dye uptake capacity under the optimum conditions of
variables (pH 8.96, contact time 32.06 min, initial dye concentration 867.71 mg/L
and AESP dosage 1.89 g/L) was 312.1 mg/g at temperature 30 degrees C, and it was
found to be very close to the experimentally determined values (313.4 +/- 0.057
mg/g). The promising reusability potential of AESP using 0.1 M HCl, implied that,
the lignocellulosic biosorbent AESP might be helpful for the appropriate
designing of the environmental-friendly purification systems.
PMID- 29649731
TI - Adsorption-desorption of dimethenamid and fenarimol onto three agricultural soils
as affected by treated wastewater and fresh sewage sludge-derived dissolved
organic carbon.
AB - The use of treated wastewaters (TWW) in agriculture is widening in areas
suffering drought, such as southern Europe, to preserve freshwater supply for
human consumption. The composition of TWW, especially concerning their organic
carbon (OC) content, has been demonstrated to influence the processes governing
the behavior of non-ionic pesticides in soils. Three OC-poor agricultural soils
(SV, RM1 and RM3) from the province of Granada (Spain) were chosen for the
assessment of the adsorption and desorption of the herbicide dimethenamid (DIM)
and the fungicide fenarimol (FEN). TWW and sewage sludge extracts at different
dissolved OC (DOC) concentrations (30, 90 and 300 mg L-1) were considered to
evaluate their effect on pesticide adsorption-desorption. As expected by their
properties, DIM and FEN were weakly and moderately adsorbed to the soils,
respectively. Soil OC seemed to be the major factor controlling FEN adsorption,
whereas the mineral fraction played a key role in DIM adsorption, especially in
RM1 with high clay:OC ratio. Although TWW did not significantly modify the
adsorption of pesticides, it enhanced DIM desorption from the three soils.
Adsorption of FEN to SV and RM3 was directly related to the concentration of DOC,
possibly due to co-sorption phenomena. Hysteretic desorption was found in all
cases, indicating partially reversible adsorption. While FEN desorption was not
altered by the solutions, the use of sludge extracts at the highest DOC
concentration (300 mg L-1) enhanced DIM desorption as occurred with TWW.
Interactions with DOC in solution seemed to predominate for this less hydrophobic
compound, thus increasing the risk of natural waters contamination if TWW will be
used.
PMID- 29649732
TI - Humic acid removal using cellulose acetate membranes grafted with poly (methyl
methacrylate) and aminated using tetraethylenepentamine.
AB - Graft copolymerization of cellulose acetate (CA) and poly (methyl methacrylate)
(PMMA) was synthesized through free radical polymerization in the presence of
cerium sulfate (CS) as initiator under nitrogen atmosphere in an aqueous
solution. During the grafting reactions, the effects of polymerization time and
temperature on the grafting were investigated. Furthermore, functionalization of
the synthesized product was done using amine group (tetraethylenepentamine,
TEPA). The results from Nuclear Magnetic Resonance (1H NMR) spectra confirmed a
successful grafting of PMMA on the CA membrane surfaces. Zeta potential (zeta),
field emission scanning electron microscopy (FESEM), and atomic absorption
spectrophotometer (AAS) characterization studies were done. The maximum removal
efficiencies for un-grafted CA (un-g-CA), CA-g-PMMA, and CA-g-PMMA-TEPA membranes
at pH of 7.0 were 34.5%, 83.3%, and 99.1%, respectively. The removal percentage
results were detected to increase with increasing in the regeneration cycles. At
the end of the fourth cycle, the HA removal percentages were 41.6%, 87.4%, and
99.9% for un-g-CA, CA-g-PMMA and CA-g-PMMA-TEPA membranes, respectively.
PMID- 29649733
TI - Measuring water affordability in developed economies. The added value of a needs
based approach.
AB - In developed countries, water affordability problems remain up on the agenda as
the increasing financial costs of water services can impede the realisation of an
equal access to water. More than ever, public authorities that define water
tariffs face the challenge of reconciling environmental and cost recovery
objectives with equity and financial accessibility for all. Indicators of water
affordability can be helpful in this regard. Conventional affordability
indicators often rely on the actual amount that households spend on water use. In
contrast, we propose a needs-based indicator that measures the risk of being
unable to afford the amount of water necessary to fulfill essential needs, i.e.
needs that should be fulfilled for adequate participation in society. In this
paper we set forth the methodological choices inherent to constructing a needs
based affordability indicator. Using a micro-dataset on households in Flanders
(Belgium), we compare its results with the outcomes of a more common actual
expenses-indicator. The paper illustrates how the constructed needs-based
indicator can complement existing affordability indicators, and its capacity to
reveal important risk groups.
PMID- 29649734
TI - Production and application of O2 enriched air produced by fresh and salt water
desorption in chemical plants.
AB - Oxygen enriched air intensifies oxidation processes since smaller reactors reach
the same conversion of typical unit operations that employ simple air as
reactant. However, the cost to produce pure oxygen or oxygen enriched air with
traditional methods, i.e. cryogenic separation or membrane technologies, may be
unaffordable. Here, we propose a new continuous technology for gas mixture
separation, focusing on the production of oxygen enriched air as a case study.
This operation is an absorption-desorption process that takes advantage of the
higher oxygen solubility in water compared to nitrogen. In a pressurized
solubilisation tank, water absorbs air. Subsequently, reducing pressure desorbs
oxygen enriched air. PRO/II 9.3 (Simsci-Scheider Electrics) simulated, optimized,
and calculated the capital and operative expenses of this technology. Moreover,
we tested for the first time salt water instead of distilled water as appealing
possibility for chemical plant near sea and ocean. We varied the inlet water
flowrate between 5 and 15 m3/h. The optimum operative absortion unit pressure is
15-35 barg. After degassing, water may be recycled. With salt water, the
extracted quantity of enriched air decreases compared with the desorption from
fresh water (20% less), while the concentration of oxygen is independent from the
salt concentration. The cost of enriched air at the optimum condition is 2-3.35
EUR/Nm3.
PMID- 29649735
TI - A review of analytical procedures for the simultaneous determination of medically
important veterinary antibiotics in environmental water: Sample preparation,
liquid chromatography, and mass spectrometry.
AB - Medically important (MI) antibiotics are defined by the United States Food and
Drug Administration as drugs containing certain active antimicrobial ingredients
that are used for the treatment of human diseases or enteric pathogens causing
food-borne diseases. The presence of MI antibiotic residues in environmental
water is a major concern for both aquatic ecosystems and public health,
particularly because of their potential to contribute to the development of
antimicrobial-resistant microorganisms. In this article, we present a review of
global trends in the sales of veterinary MI antibiotics and the analytical
methodologies used for the simultaneous determination of antibiotic residues in
environmental water. According to recently published government reports, sales
volumes have increased steadily, despite many countries having adopted strategies
for reducing the consumption of antibiotics. Global attention needs to be
directed urgently at establishing new management strategies for reducing the use
of MI antimicrobial products in the livestock industry. The development of
standardized analytical methods for the detection of multiple residues is
required to monitor and understand the fate of antibiotics in the environment.
Simultaneous analyses of antibiotics have mostly been conducted using high
performance liquid chromatography-tandem mass spectrometry with a solid-phase
extraction (SPE) pretreatment step. Currently, on-line SPE protocols are used for
the rapid and sensitive detection of antibiotics in water samples. On-line
detection protocols must be established for the monitoring and screening of
unknown metabolites and transformation products of antibiotics in environmental
water.
PMID- 29649736
TI - Impacts of biochar and oyster shells waste on the immobilization of arsenic in
highly contaminated soils.
AB - Soil contamination is a serious problem with deleterious impacts on global
sustainability. Readily available, economic, and highly effective technologies
are therefore urgently needed for the rehabilitation of contaminated sites. In
this study, two readily available materials prepared from bio-wastes, namely
biochar and oyster shell waste, were evaluated as soil amendments to immobilize
arsenic in a highly As-contaminated soil (up to 15,000 mgAs/kg). Both biochar and
oyster shell waste can effectively reduce arsenic leachability in acid soils.
After application of the amendments (2-4% addition, w/w), the exchangeable
arsenic fraction decreased from 105.8 to 54.0 mg/kg. The application of 2%biochar
+2% oyster shell waste most effectively reduced As levels in the column leaching
test by reducing the arsenic concentration in the porewater by 62.3% compared
with the treatment without amendments. Biochar and oyster shell waste also
reduced soluble As(III) from 374.9 +/- 18.8 MUg/L to 185.9 +/- 16.8 MUg/L and
As(V) from 119.8 +/- 13.0 MUg/L to 56.4 +/- 2.6 MUg/L at a pH value of 4-5. The
treatment using 4% (w/w) amendments did not result in sufficient As
immobilization in highly contaminated soils; high soluble arsenic concentrations
(upto193.0 MUg/L)were found in the soil leachate, particularly in the form of
As(III), indicating a significant potential to pollute shallow groundwater
aquifers. This study provides valuable insights into the use of cost-effective
and readily available materials for soil remediation and investigates the
mechanisms underlying arsenic immobilization in acidic soils.
PMID- 29649737
TI - Modifications of protein-related compounds of beef minced meat treated by high
pressure.
AB - In this study, we evaluated the modifications of the protein-related compounds of
minced beef treated with high pressure, including refrigeration after treatment.
The free amino acid content, protein carbonyls and free thiol groups were
assessed. High pressure up to 200 MPa had a significant effect. Above 300 MPa,
irreversible structural changes occurred, with an increase in the protein
oxidation products and a modification of the amounts of amino acids after 14 days
of storage. Protein carbonyls and the free thiols were correlated with the free
amino acids. These results showed that protein modifications under pressure
result from both conformational and chemical changes, possibly associated with
lipid changes under high-pressure treatment.
PMID- 29649738
TI - Discovery of N-hydroxy-3-alkoxybenzamides as direct acid sphingomyelinase
inhibitors using a ligand-based pharmacophore model.
AB - Acid sphingomyelinase (ASM) has been shown to be involved in many physiological
processes, emerging to be a promising drug target. In this study, we constructed
a ligand-based pharmacophore model of ASM inhibitors and applied this model to
optimize the lead compound alpha-mangostin, a known inhibitor of ASM. 23
compounds were designed and evaluated in vitro for ASM inhibition, of these, 10
compounds were found to be more potent than alpha-mangostin. This high hit ratio
confirmed that the presented model is very effective and practical. The most
potent hit, 1c, was found to selectively and competitively inhibit the enzyme and
inhibit the generation of ceramide in a dose-dependent manner. Furthermore, 1c
showed favorable anti-apoptosis and anti-inflammatory activity. Interactions with
key residues and the Zn2+ cofactor of 1c were found by docking simulation. These
results provide promising leads and important guidance for further development of
efficient ASM inhibitors and drug candidates.
PMID- 29649739
TI - Therapeutic journery of nitrogen mustard as alkylating anticancer agents:
Historic to future perspectives.
AB - Cancer is considered as one of the most serious health problems today. The
discovery of nitrogen mustard as an alkylating agent in 1942, opened a new era in
the cancer chemotherapy. This valuable class of alkylating agent exerts its
biological activity by binding to DNA, cross linking two strands, preventing DNA
replication and ultimate cell death. At the molecular level, nitrogen lone pairs
of nitrogen mustard generate a strained intermediate "aziridinium ion" which is
very reactive towards DNA of tumor cell as well as normal cell resulting in
various adverse side effects alogwith therapeutic implications. Over the last 75
years, due to its high reactivity and peripheral cytotoxicity, numerous
modifications have been made in the area of nitrogen mustard to improve its
efficacy as well as enhancing drug delivery specifically to tumor cells. This
review mainly discusses the medicinal chemistry aspects in the development of
various classes of nitrogen mustards (mechlorethamine, chlorambucil, melphalan,
cyclophosphamide and steroidal based nitrogen mustards). The literature
collection includes the historical and the latest developments in these areas.
This comprehensive review also attempted to showcase the recent progress in the
targeted delivery of nitrogen mustards that includes DNA directed nitrogen
mustards, antibody directed enzyme prodrug therapy (ADEPT), gene directed enzyme
prodrug therapy (GDEPT), nitrogen mustard activated by glutathione transferase,
peptide based nitrogen mustards and CNS targeted nitrogen mustards.
PMID- 29649740
TI - Design, synthesis, cytotoxicity and mechanism of novel dihydroartemisinin
coumarin hybrids as potential anti-cancer agents.
AB - To develop novel agents with anticancer activities, thirty-four new
dihydroartemisinin-coumarin hybrids were designed and synthesized in this study.
Those compounds were identified that had great anticancer activity against two
cancer cell lines (MDA-MB-231 and HT-29). The structure-activity relationships of
the derivatives were also discussed, and the results of docking analysis had
shown that carbonic anhydrases IX (CA IX) was very likely to be one of the drug
targets of the hybrids. Meanwhile, to clarify the mechanism of the anticancer
activity of the hybrids molecule, we did further exploration in the bioactivity
of the hybrids. The results had shown that these derivatives obviously inhibited
proliferation of HT-29 cell lines, arrested G0/G1 phase of HT-29 cells,
suppressed the migration of tumor cells, and induced a great decrease in
mitochondrial membrane potential leading to apoptosis of cancer cells.
Interestingly, the hybrids also induced the other cell death pathway-ferroptosis.
PMID- 29649741
TI - Discovery of potent and selective BRD4 inhibitors capable of blocking TLR3
induced acute airway inflammation.
AB - A series of diverse small molecules have been designed and synthesized through
structure-based drug design by taking advantage of fragment merging and
elaboration approaches. Compounds ZL0420 (28) and ZL0454 (35) were identified as
potent and selective BRD4 inhibitors with nanomolar binding affinities to
bromodomains (BDs) of BRD4. Both of them can be well docked into the acetyl
lysine (KAc) binding pocket of BRD4, forming key interactions including the
critical hydrogen bonds with Asn140 directly and Tyr97 indirectly via a H2O
molecule. Both compounds 28 and 35 exhibited submicromolar potency of inhibiting
the TLR3-dependent innate immune gene program, including ISG54, ISG56, IL-8, and
Grobeta genes in cultured human small airway epithelial cells (hSAECs). More
importantly, they also demonstrated potent efficacy reducing airway inflammation
in a mouse model with low toxicity, indicating a proof of concept that BRD4
inhibitors may offer the therapeutic potential to block the viral-induced airway
inflammation.
PMID- 29649742
TI - Synthesis and structure-activity relationships of quinolinone and quinoline-based
P2X7 receptor antagonists and their anti-sphere formation activities in
glioblastoma cells.
AB - Screening a compound library of quinolinone derivatives identified compound 11a
as a new P2X7 receptor antagonist. To optimize its activity, we assessed
structure-activity relationships (SAR) at three different positions, R1, R2 and
R3, of the quinolinone scaffold. SAR analysis suggested that a carboxylic acid
ethyl ester group at the R1 position, an adamantyl carboxamide group at R2 and a
4-methoxy substitution at the R3 position are the best substituents for the
antagonism of P2X7R activity. However, because most of the quinolinone
derivatives showed low inhibitory effects in an IL-1beta ELISA assay, the core
structure was further modified to a quinoline skeleton with chloride or
substituted phenyl groups. The optimized antagonists with the quinoline scaffold
included 2-chloro-5-adamantyl-quinoline derivative (16c) and 2-(4
hydroxymethylphenyl)-5-adamantyl-quinoline derivative (17k), with IC50 values of
4 and 3 nM, respectively. In contrast to the quinolinone derivatives, the
antagonistic effects of the quinoline compounds (16c and 17k) were paralleled by
their ability to inhibit the release of the pro-inflammatory cytokine, IL-1beta,
from LPS/IFN-gamma/BzATP-stimulated THP-1 cells (IC50 of 7 and 12 nM,
respectively). In addition, potent P2X7R antagonists significantly inhibited the
sphere size of TS15-88 glioblastoma cells.
PMID- 29649745
TI - Metabolomics-guided investigations of unintended effects of the expression of the
hydroxycinnamoyl quinate hydroxycinnamoyltransferase (hqt1) gene from Cynara
cardunculus var. scolymus in Nicotiana tabacum cell cultures.
AB - Chlorogenic acids (CGAs) are phenolic compounds biosynthesized in the
phenylpropanoid pathway, with hydroxycinnamoyl quinate
hydroxycinnamoyltransferase (HQT) as the key enzyme. Variation of CGAs has been
noted in different plants, with globe artichoke (Cynara cardunculus var. scolymus
L.) producing high amounts and a diverse spectrum of CGAs in its leaves. In the
current study, the effect of overexpression of the hqt1 transgene from globe
artichoke in tobacco was evaluated at the metabolome level. Here, metabolomic
approaches based on ultra-high performance liquid chromatography coupled to mass
spectrometry, together with chemometric models such as principal component
analysis and orthogonal partial least square discriminant analysis, were employed
to evaluate altered metabolic changes due to hqt1 overexpression. CGA profiles
(caffeoylquinic acids: 3-CQA, 4-CQA and 5-CQA; p-coumaroylquinic acids: 4-pCoQA
and 5-pCoQA; and 4,5-di-caffeoylquinic acid) of transgenic tobacco cell cultures
were detected at lower concentrations than in the wild type. Interestingly, the
cells were found to rather accumulate, as an unintended effect, abscisic acid -
and benzoic acid derivatives. The results suggest that insertion of hqt1 in
tobacco, and overexpression in undifferentiated cells, led to rechannelling of
the phenylpropanoid pathway to accumulate benzoic acids. These findings proved to
be contrary to the results shown elsewhere in leaf tissues, thus indicating
differential metabolic control and regulation in the undifferentiated cell
culture system.
PMID- 29649744
TI - Synthesis of 7beta-hydroxy-8-ketone opioid derivatives with antagonist activity
at mu- and delta-opioid receptors.
AB - Despite extensive years of research, the direct oxidation of the 7,8-double bond
of opioids has so far received little attention and knowledge about the effects
of this modification on activity at the different opioid receptors is scarce. We
herein report that potassium permanganate supported on iron(II) sulfate
heptahydrate can be used as a convenient oxidant in the one-step, heterogeneous
conversion of Delta7,8-opioids to the corresponding 7beta-hydroxy-8-ketones.
Details of the reaction mechanism are given and the effects of the substituent at
position 6 of several opioids on the reaction outcome is discussed. The opioid
hydroxy ketones prepared are antagonists at the mu- and delta-opioid receptors.
Docking simulations and detailed structure-activity analysis revealed that the
presence of the 7beta-hydroxy-8-ketone functionality in the prepared compounds
can be used to gain activity towards the delta opioid receptor. The 7beta-hydroxy
8-ketones prepared with this method can also be regarded as versatile
intermediates for the synthesis of other opioids of interest.
PMID- 29649743
TI - Recent advances in trimethoxyphenyl (TMP) based tubulin inhibitors targeting the
colchicine binding site.
AB - Microtubules (composed of alpha- and beta-tubulin heterodimers) play a pivotal
role in mitosis and cell division, and are regarded as an excellent target for
chemotherapeutic agents to treat cancer. There are four unique binding sites in
tubulin to which taxanes, vinca alkaloids, laulimalide and colchicine bind
respectively. While several tubulin inhibitors that bind to the taxane or vinca
alkaloid binding sites have been approved by FDA, currently there are no FDA
approved tubulin inhibitors targeting the colchicine binding site. Tubulin
inhibitors that bind to the colchicine binding site have therapeutic advantages
over taxanes and vinca alkaloids, for example, they can be administered orally,
have less drug-drug interaction potential, and are less prone to develop multi
drug resistance. Typically, tubulin inhibitors that bind to the colchicine
binding site bear the trimethoxyphenyl (TMP) moiety which is essential for
interaction with tubulin. Over the last decade, a variety of molecules bearing
the TMP moiety have been designed and synthesized as tubulin inhibitors for
cancer treatment. In this review, we focus on the TMP analogs that are designed
based on CA-4, indole, chalcone, colchicine and natural product scaffolds which
are known to interact with the colchicine binding site in tubulin. The challenges
and future direction of the TMP based tubulin inhibitors are also discussed in
detail.
PMID- 29649747
TI - Polymeric micelles: Theranostic co-delivery system for poorly water-soluble drugs
and contrast agents.
AB - Interest in theranostic agents has continued to grow because of their promise for
simultaneous cancer detection and therapy. A platform-based nanosized combination
agent suitable for the enhanced diagnosis and treatment of cancer was prepared
using polymeric polyethylene glycol-phosphatidylethanolamine-based micelles
loaded with both, poorly soluble chemotherapeutic agent paclitaxel and
hydrophobic superparamagnetic iron oxide nanoparticles (SPION), a Magnetic
Resonance Imaging contrast agent. The co-loaded paclitaxel and SPION did not
affect each other's functional properties in vitro. In vivo, the resulting
paclitaxel-SPION-co-loaded PEG-PE micelles retained their Magnetic Resonance
contrast properties and apoptotic activity in breast and melanoma tumor mouse
models. Such theranostic systems are likely to play a significant role in the
combined diagnosis and therapy that leads to a more personalized and effective
form of treatment.
PMID- 29649746
TI - Degradation of alpha-synuclein by dendritic cell factor 1 delays
neurodegeneration and extends lifespan in Drosophila.
AB - Parkinson's disease (PD) is a common neurodegenerative disease associated with
the progressive loss of dopaminergic neurons in the substantia nigra.
Proteinaceous depositions of alpha-synuclein (alpha-syn) and its mutations, A30P
and A53T, are one important characteristic of PD. However, little is known about
their aggregation and degradation mechanisms. Dendritic cell factor 1 (DCF1) is a
membrane protein that plays important roles in nerve development in mouse. In
this study, we aimed to show that DCF1 overexpression in a PD Drosophila model
significantly ameliorates impaired locomotor behavior in third instar larvae and
normalizes neuromuscular junction growth. Furthermore, climbing ability also
significantly increased in adult PD Drosophila. More importantly, the lifespan
dramatically extended by an average of approximately 23%, and surprisingly, DCF1
could prevent alpha-syn-induced dopaminergic neuron loss by aggregating alpha-syn
in the dorsomedial region of Drosophila. Mechanistically, we confirmed that DCF1
could degrade alpha-syn both in vivo and in vitro. Our findings revealed an
important role of DCF1 in PD process and may provide new potential strategies for
developing drugs to treat neurodegenerative diseases.
PMID- 29649748
TI - Rapid and selective sampling of IgG from skin in less than 1 min using a high
surface area wearable immunoassay patch.
AB - Microprojection array (MPA) patches are an attractive approach to selectively
capture circulating proteins from the skin with minimal invasiveness for
diagnostics at the point-of-care or in the home. A key challenge to develop this
technology is to extract sufficient quantities of specific proteins from within
the skin to enable high diagnostic sensitivity within a convenient amount of
time. To achieve this, we investigated the effect of MPA geometry (i.e.
projection density, length and array size) on protein capture. We hypothesised
that the penetrated surface area of MPAs is a major determinant of protein
capture however it was not known if simultaneously increasing projection density,
length and array size is possible without adversely affecting penetration and/or
tolerability. We show that increasing the projection density (5000-30,000 proj.
cm-2) and array size (4-36 mm2) significantly increases biomarker capture whilst
maintaining of a similar level tolerability, which supports previous literature
for projection length (40-190 MUm). Ultimately, we designed a high surface area
MPA (30,000 proj. cm-2, 36 mm2, 140 MUm) with a 4.5-fold increase in penetrated
surface area compared to our standard MPA design (20,408 proj. cm-2, 16 mm2, 100
MUm). The high surface area MPA captured antigen-specific IgG from mice in 30 s
with 100% diagnostic sensitivity compared with 10-30 min for previous MPA
immunoassay patches, which is over an order of magnitude reduction in wear time.
This demonstrates for the first time that MPAs may be used for ultra-rapid (<1
min) protein capture from skin in a time competitive with standard clinical
procedures like the needle and lancet, which has broad implications for minimally
invasive and point-of-care diagnostics.
PMID- 29649749
TI - Ciprofloxacin and nalidixic acid resistance of Salmonella spp. isolated from
retail food in Poland.
AB - Distribution of amino acid substitutions in the quinolone resistance-determining
region (QRDR) of gyrA, gyrB, parC, parE and determinants of plasmid-mediated
quinolone resistance (PMQR) were investigated among quinolone-resistant
Salmonella spp. strains isolated from retail food in Poland in the years 2008
2013. Ten different amino acid substitutions were identified in QRDRs. Five
different amino acid substitutions were identified in gyrA: Ser83Tyr, Ser83Phe,
Asp87Tyr, Asp87Asn, Asp87Gly, two amino acid substitutions in parC: Thr57Ser,
Ser80Ile and in parE: Leu445Phe, Arg511Ser. One substitution - Ser464Phe - was
detected within gyrB. In gyrA a single substitution (Ser83Tyr) was identified the
most frequently - 34.8% (63/181). Second most frequently identified variant
(21.0%-38/181) was a co-existence of two single substitutions in gyrA: Ser83Tyr
and parC: Thr57Ser. In four isolates co-existed three substitutions in three
different genes: gyrA: Ser83Tyr + parC: Thr57Ser + parE: Leu445Phe (two
isolates), gyrA: Ser83Phe + parC: Thr57Ser + parE: Leu445Phe, and gyrA: Ser83Tyr
+ parC: Thr57Ser + parE: Arg511Ser. In the two isolates four substitutions were
identified - in gyrA: Ser83Phe + Asp87Tyr and in parC: Thr57Ser + Ser80Ile. Among
resistant isolates, MIC values varied between 32 and 2048 mg/L (nalidixic acid)
and between 0.125 and 16 mg/L (ciprofloxacin). MIC values of two isolates
harboring qnrS1without any substitutions were 32 mg/L (NA) and 0.5-1.0 mg/L
(CIP). The highest MIC values for NA and CIP were observed in two isolates of
Salmonella spp. carrying double substitutions in gyrA: Ser83Phe + Asp87Tyr and
parC: Thr57Ser + Ser80Ile. MIC value for NA was 2048 mg/L while for CIP - 16
mg/L.
PMID- 29649750
TI - Yersinia enterocolitica in a Brazilian pork production chain: Tracking of
contamination routes, virulence and antimicrobial resistance.
AB - This study aimed to track Yersinia enterocolitica contamination in a pork
production chain in Minas Gerais, Brazil, and to characterize the virulence and
antibiotic resistance of isolates. Samples were collected from four different
steps of the pork production chain (pig farm, carcass, processing environment and
end product; n = 870), and tested for the presence of Y. enterocolitica. The
pathogen was detected in 8 samples (palatine tonsils = 5; mesenteric lymph nodes
= 2; carcass after bleeding = 1), from which 16 isolates were obtained and
identified as Y. enterocolitica bioserotype 4/O:3. XbaI macrorestriction allowed
the clustering of isolates in 5 pulsetypes, and the identification of identical
profiles of Y. enterocolitca isolated from different samples. All isolates were
positive for the virulence related genes ail, virF, myfA, ystA, tccC, ymoA, hreP
and sat, and negative for ystB, ystC, fepA, fepD and fes. Considering 17
antibiotics from 11 classes, only ciprofloxacin and kanamycin were effective
against all isolates, and three multidrug resistance profiles were identified
among them, with simultaneous resistance to 9 of 11 classes. All isolates
presented positive results for emrD, yfhD and marC, related to multidrug
resistance. The results of this study demonstrated the contamination routes of Y.
enterocolitica within the assessed pork production chain, and highlighted the
pathogenic potential and antibiotic resistance of this foodborne pathogen.
PMID- 29649751
TI - Orthogonal typing methods identify genetic diversity among Belgian Campylobacter
jejuni strains isolated over a decade from poultry and cases of sporadic human
illness.
AB - Campylobacter jejuni is a zoonotic pathogen commonly associated with human
gastroenteritis. Retail poultry meat is a major food-related transmission source
of C. jejuni to humans. The present study investigated the genetic diversity,
clonal relationship, and strain risk-analysis of 403 representative C. jejuni
isolates from chicken broilers (n = 204) and sporadic cases of human diarrhea (n
= 199) over a decade (2006-2015) in Belgium, using multilocus sequence typing
(MLST), PCR binary typing (P-BIT), and identification of lipooligosaccharide
(LOS) biosynthesis locus classes. A total of 123 distinct sequence types (STs),
clustered in 28 clonal complexes (CCs) were assigned, including ten novel
sequence types that were not previously documented in the international database.
Sequence types ST-48, ST-21, ST-50, ST-45, ST-464, ST-2274, ST-572, ST-19, ST-257
and ST-42 were the most prevalent. Clonal complex 21 was the main clonal complex
in isolates from humans and chickens. Among observed STs, a total of 35 STs that
represent 72.2% (291/403) of the isolates were identified in both chicken and
human isolates confirming considerable epidemiological relatedness; these 35 STs
also clustered together in the most prevalent CCs. A majority of the isolates
harbored sialylated LOS loci associated with potential neuropathic outcomes in
humans. Although the concordance between MLST and P-BIT, determined by the
adjusted Rand and Wallace coefficients, showed low congruence between both typing
methods. The discriminatory power of P-BIT and MLST was similar, with Simpson's
diversity indexes of 0.978 and 0.975, respectively. Furthermore, P-BIT could
provide additional epidemiological information that would provide further
insights regarding the potential association to human health from each strain. In
addition, certain clones could be linked to specific clinical symptoms. Indeed,
LOS class E was associated with less severe infections. Moreover, ST-572 was
significantly associated with clinical infections occurring after travelling
abroad. Ultimately, the data generated from this study will help to better
understand the molecular epidemiology of C. jejuni infection.
PMID- 29649752
TI - Which donor is better when a matched donor is not available domestically?
Comparison of outcomes of allogeneic stem cell transplantation with
haploidentical and international donors in a homogenous ethnic population.
AB - A substantial proportion of patients requiring allogeneic stem cell
transplantation (alloSCT) do not have a human leukocyte antigen-matched sibling
donor and need an alternative donor. In this multicenter retrospective study, we
compared the outcomes of 176 patients with myelodysplastic syndrome and acute
leukemia undergoing alloSCT from haploidentical (n = 121) and international (n =
55) donors between 2002 and 2016. For recipients of haploidentical and
international donors, the 2-year overall survival rates were 33.4% and 35.3%,
respectively (P = 0.347), and relapse-free survival rates were 31.7% and 34.4% (P
= 0.264), respectively. In addition, there were no significant differences in the
cumulative incidences of acute and chronic graft versus host disease or
incidences of infection within 30 days (all P > 0.05). Similarly, there were no
significant differences in these measures for acute leukemia patients (n = 143;
all P > 0.05). A multivariate analysis revealed that the donor type was not an
independent prognostic or predictive factor. These data suggest that both
haploidentical and international donors are feasible alternative sources for
alloSCT when a matched donor is not available domestically.
PMID- 29649753
TI - Fragmentation patterns involving ammonium adduct fragment ions: A comparison of
the determination of metaldehyde in human blood by HPLC-QqQ-MS/MS and UHPLC-Q-TOF
MS.
AB - This paper presents a rapid, sensitive and precise method for the determination
of metaldehyde in human blood, using ultra-performance liquid chromatography
coupled with quadrupole time-of-flight tandem mass spectrometry and high
performance liquid chromatography coupled with triple quadrupole tandem mass
spectrometry. Separation was performed with a Poroshell 120 EC-C18 column; 2.7
MUm atrazine-d5 (IS) and 200 mg NaCl were added to the blood sample. Proteins in
human blood were precipitated using acetonitrile; the supernatant was then
analyzed with the UHPLC-Q-TOF-MS or HPLC-QqQ-MS/MS system. The results of
selectivity, linearity, accuracy, precision, limits of quantification, recovery,
and matrix effects were sufficient to enable the measurement of metaldehyde in
human blood samples. In addition, we proposed a fragmentation pathway involving
ammonium adduct fragment ions for metaldehyde.
PMID- 29649754
TI - A rapid method for sensitive profiling of bioactive triterpene and flavonoid from
Astragalus mongholicus and Astragalus membranaceus by ultra-pressure liquid
chromatography with tandem mass spectrometry.
AB - Astragalus is one of the most popular Chinese herbal. Control of Astragalus
quantity is most important, since that various varieties and ages largely affect
bioactive metabolites and different pharmacological effects. Astragalus
mongholicus and Astragalus membranaceus are both major sources of Astragalus
according to the provisions in the Chinese Pharmacopoeia. Thus, a sensitive and
rapid UPLC-MS/MS method for the simultaneous determination of l-Phenylalanine,
Isoliquiritigenin, Liquiritigenin, Daidzein, Formononetin, Ononin, Calycosin,
Calycosin-7-glucoside, Cycloastragenol, Astragaloside I, Astragaloside II,
Astragaloside III and Astragaloside IV was established in this study. The
detection was accomplished by MRM scanning in the positive ionization mode.
Calibration curves offered linear ranges with r2 > 0.999. The method was
successfully validated for the linearity, intra-day and inter day precisions,
accuracy, recovery, matrix effect and stability. Then this method was
successfully applied to detect the contents of 13 target flavonoids and
triterpenoids metabolites in different organs and ages of A. mongholicus and A.
membranaceus. Significant organs-, ages- and varieties- specificity of the 13
target metabolites were observed and discussed. The results provided basis and
support for further exploration of the distribution of bioactive metabolites,
namely flavonoids and triterpenoids, in different organs and ages of two
Astragalus varieties. This method should be applicable to various Astragalus
matrices for the quantitative analysis of the target flavonoids and
triterpenoids.
PMID- 29649755
TI - Characterization of recombinant monoclonal antibody variants detected by
hydrophobic interaction chromatography and imaged capillary isoelectric focusing
electrophoresis.
AB - In-depth characterization of the commonly observed variants is critical to the
successful development of recombinant monoclonal antibody therapeutics. Multiple
peaks of a recombinant monoclonal antibody were observed when analyzed by
hydrophobic interaction chromatography and imaged capillary isoelectric focusing.
The potential modification causing the heterogeneity was localized to F(ab')2
region by analyzing the antibody after IdeS digestion using hydrophobic
interaction chromatography. LC-MS analysis identified asparagine deamidation as
the root cause of the observed multiple variants. While the isoelectric focusing
method is expected to separate deamidated species, the similar profile observed
in hydrophobic interaction chromatography indicates that the single site
deamidation caused differences in hydrophobicity. Forced degradation demonstrated
that the susceptible asparagine residue is highly exposed, which is expected as
it is located in the light chain complementarity determining region. Deamidation
of this single site decreased the mAb binding affinity to its specific antigen.
PMID- 29649757
TI - Passive air sampling of flame retardants and plasticizers in Canadian homes using
PDMS, XAD-coated PDMS and PUF samplers.
AB - Passive air samplers (PAS) were evaluated for measuring indoor concentrations of
phthalates, novel brominated flame retardants (N-BFRs), polybrominated diphenyl
ethers (PBDEs), and organophosphate esters (OPEs). Sampling rates were obtained
from a 50-day calibration study for two newly introduced PAS,
polydimethylsiloxane (PDMS) or silicone rubber PAS (one with and one without a
coating of styrene divinyl benzene co-polymer, XAD) and the commonly used
polyurethane foam (PUF) PAS. Average sampling rates normalized to PAS surface
area were 1.5 +/- 1.1 m3 day-1 dm-2 for both unsheltered PDMS and XAD-PDMS, and
0.90 m3 +/- 0.6 day-1dm-2 for partially sheltered PUF. These values were derived
based on the compound-specific sampling rates measured here and in the literature
for the PAS tested, to reasonably account for site-specific variability of
sampling rates. PDMS and PUF were co-deployed for three weeks in 51 homes located
in Ottawa and Toronto, Canada. Duplicate PUF and PDMS samplers gave
concentrations within 10% of each other. PDMS and PUF-derived air concentrations
were not statistically different for gas-phase compounds. PUF had a higher
detection of particle-phase compounds such as some OPEs. Phthalate and OPE air
concentrations were ~100 times higher than those of N-BFRs and PBDEs.
Concentrations were not systematically related to PM10, temperature or relative
humidity. We conclude that both PAS provide replicable estimates of indoor
concentrations of these targeted semi-volatile organic compounds (SVOCs) over a
three-week deployment period. However, PUF is advantageous for collecting a wider
range of compounds including those in the particle phase.
PMID- 29649756
TI - Transcriptional effects of phospholipid fatty acid profile on rainbow trout liver
cells exposed to methylmercury.
AB - Lipids, and their constitutive fatty acids, are key nutrients for fish health as
they provide energy, maintain cell structure, are precursors of signalling
molecules and act as nuclear receptor ligands. These specific roles may be of
crucial importance in a context of exposure to pollutants. We recently showed
that the fatty acid profile of rainbow trout liver cell phospholipids modulates
sensitivity to an acute methylmercury challenge. In order to investigate
mechanisms of effects, we herein tested whether specific polyunsaturated fatty
acids (PUFAs) may protect cells from methylmercury through decreasing
intracellular mercury accumulation and/or enhancing cellular defences (e.g. via
modulation of gene expression patterns). We also investigated the inverse
relationship and assessed the impact of methylmercury on cellular fatty acid
metabolism. To do so, the fatty acid composition of rainbow trout liver cell
phospholipids was first modified by incubating them in a medium enriched in a
specific PUFA from either the n-3 family (alpha-linolenic acid, ALA;
eicosapentaenoic acid, EPA) or the n-6 family (linoleic acid, LA; arachidonic
acid, AA). Cells were then exposed to methylmercury (0.15 or 0.50 MUM) for 24 h
and sampled thereafter for assessing phospholipid fatty acid profile,
intracellular total mercury burden, and expression pattern of genes involved in
fatty acid metabolism, synthesis of PUFA-derived signalling molecules and stress
response. We observed that cells incorporated the given PUFA and some
biotransformation products in their phospholipids. Methylmercury had few impacts
on this cellular phospholipid composition. None of the PUFA enrichments affected
the cellular mercury burden, suggesting that the previously observed
cytoprotection conferred by ALA and EPA was not linked to a global decrease in
cellular accumulation of mercury. Fatty acid enrichments and methylmercury
exposure both modulated gene expression patterns. Genes involved in the synthesis
of PUFA-derived signalling molecules, in stress response and the orphan
cytochrome P450 20A1 were identified as possible sites of interaction between
fatty acids and methylmercury in rainbow trout liver cells.
PMID- 29649758
TI - Association between nighttime artificial light pollution and sea turtle nest
density along Florida coast: A geospatial study using VIIRS remote sensing data.
AB - Artificial lighting at night has becoming a new type of pollution posing an
important anthropogenic environmental pressure on organisms. The objective of
this research was to examine the potential association between nighttime
artificial light pollution and nest densities of the three main sea turtle
species along Florida beaches, including green turtles, loggerheads, and
leatherbacks. Sea turtle survey data was obtained from the "Florida Statewide
Nesting Beach Survey program". We used the new generation of satellite sensor
"Visible Infrared Imaging Radiometer Suite (VIIRS)" (version 1 D/N Band)
nighttime annual average radiance composite image data. We defined light
pollution as artificial light brightness greater than 10% of the natural sky
brightness above 45 degrees of elevation (>1.14 * 10-11 Wm-2sr-1). We fitted a
generalized linear model (GLM), a GLM with eigenvectors spatial filtering (GLM
ESF), and a generalized estimating equations (GEE) approach for each species to
examine the potential correlation of nest density with light pollution. Our
models are robust and reliable in terms of the ability to deal with data
distribution and spatial autocorrelation (SA) issues violating model assumptions.
All three models found that nest density is significantly negatively correlated
with light pollution for each sea turtle species: the higher light pollution, the
lower nest density. The two spatially extended models (GLM-ESF and GEE) show that
light pollution influences nest density in a descending order from green turtles,
to loggerheads, and then to leatherbacks. The research findings have an
implication for sea turtle conservation policy and ordinance making. Near-coastal
lights-out ordinances and other approaches to shield lights can protect sea
turtles and their nests. The VIIRS DNB light data, having significant
improvements over comparable data by its predecessor, the DMSP-OLS, shows promise
for continued and improved research about ecological effects of artificial light
pollution.
PMID- 29649759
TI - Gene cloning, expression, and reducing property enhancement of nitrous oxide
reductase from Alcaligenes denitrificans strain TB.
AB - Nitrous oxide (N2O) is a potent greenhouse gas and tends to accumulate as an
intermediate in the process of bacteria denitrification. To achieve complete
reduction of nitrogen oxide (NOx) in bacteria denitrification, the structural
gene nosZ encoding nitrous oxide reductase (N2OR) was cloned from Alcaligenes
denitrificans strain TB (GenBank JQ044686). The recombinant plasmid containing
the nosZ gene was built, and the expression of nosZ gene in Escherichia coli was
determined. Results show that the nosZ gene consisting of 1917 nucleotides
achieves heterologous expression successfully by codon optimization strategy
under optimal conditions (pre-induction inoculum OD600 of 0.67, final IPTG
concentration of 0.5 mM, inducing time of 6 h, and inducing temperature of 28
degrees C). Determination result of gas chromatography confirms that N2O
degradation efficiency of recombinant E. coli is strengthened by at least 1.92
times compared with that of original strain TB when treated with N2O as
substrate. Moreover, N2OR activity in recombinant strain is 2.09 times higher
than that in wild strain TB, which validates the aforementioned result and
implies that the recombinant E. coli BL21 (DE3)-pET28b-nosZ is a potential
candidate to control N2O accumulation and alleviate greenhouse effect. In
addition, the N2OR structure and the possible N2O binding site in Alcaligenes sp.
TB are predicted, which open an avenue for further research on the relationship
between N2OR activity and its structure.
PMID- 29649760
TI - Signalling cross-talk between nitric oxide and active oxygen in Trifolium repens
L. plants responses to cadmium stress.
AB - The significant influence of *NO on the stress response is well established;
however, the precise metabolic pathways of *NO and RNS under metal stresses
remain unclear. Here, the key components of ROS and RNS metabolism under Cd
stress were investigated with multi-level approaches using high-quality forage
white clover (Trifolium repens L.) plants. For the studied plants, Cd disturbed
the redox homeostasis, affected the absorption of minerals, and exacerbated the
degree of lipid peroxidation, thus triggering oxidative stress. However, *NO was
also involved in regulating mineral absorption, ROS-scavenger levels and mRNA
expression in Cd-treated white clover plants. In addition, GSNOR activity was up
regulated by Cd with the simultaneous depletion of *NO generation and GSNO but
was counteracted by the *NO donor sodium nitroprusside. Response to Cd-stressed
SNOs was involved in generating ONOO- and NO2-Tyr in accordance with the
regulation of *NO-mediated post-translational modifications in the ASC-GSH cycle,
selected amino acids and NADPH-generating dehydrogenases, thereby provoking
nitrosative stress. Taken together, our data provide comprehensive metabolite
evidence that clearly confirms the relationships between ROS and RNS in Cd
stressed plants, supporting their regulatory roles in response to nitro-oxidative
stress and providing an in-depth understanding of the interaction between two
families subjected to metal stresses.
PMID- 29649761
TI - Seasonal trends in the composition and sources of PM2.5 and carbonaceous aerosol
in Tehran, Iran.
AB - Currently PM2.5 is a major air pollution concern in Tehran, Iran due to frequent
high levels and possible adverse impacts. In this study, which is the first of
its kind to take place in Tehran, composition and sources of PM2.5 and
carbonaceous aerosol were determined, and their seasonal trends were studied. In
this regard, fine PM samples were collected every six days at a residential
station for one year and the chemical constituents including organic marker
species, metals, and ions were analyzed by chemical analysis. The source
apportionment was performed using organic molecular marker-based CMB receptor
modeling. Carbonaceous compounds were the major contributors to fine particulate
mass in Tehran, as OC and EC together comprised on average 29% of PM2.5 mass.
Major portions of OC in Tehran were water insoluble and are mainly attributed to
primary sources. Higher levels of several PAHs, which are organic tracers of
incomplete combustion, and hopanes and steranes as organic tracers of mobile
sources were obtained in cold months and compared to the warm months. The major
contributing source to particulate OC was identified as vehicles, which
contributed about 72% of measured OC. Among mobile sources, gasoline-fueled
vehicles had the highest impact with a mean contribution of 48% to the measured
OC. Mobile sources also were the largest contributor to total PM2.5 (40%),
followed by dust (24%) and sulfate (11%). In addition to primary emissions,
mobile sources also directly and indirectly played an important role in another
27% of fine particulate mass (secondary organics and ions), which highlights the
impact of vehicles in Tehran. Our results highlighted and quantified the role of
motor vehicles in fine PM production, particularly during winter time. The
results of this study could be used to set more effective regulations and control
strategies particularly upon mobile sources.
PMID- 29649762
TI - Airborne ultrafine particles in a naturally ventilated metro station: Dominant
sources and mixing state determined by particle size distribution and volatility
measurements.
AB - Ultrafine particle number concentrations and size distributions were measured on
the platform of a metro station in Athens, Greece, and compared with those
recorded at an urban background station. The volatility of the sampled particles
was measured in parallel, providing further insights on the mixing state and
composition of the sampled particles. Particle concentration exhibited a mean
value of 1.2 * 104 # cm-3 and showed a weak correlation with train passage
frequency, but exhibited a strong correlation with urban background particle
concentrations. The size distribution appears to be strongly influenced by
outdoor conditions, such as the morning traffic rush hour and new particle
formation events observed at noon. The aerosol in the metro was externally mixed
throughout the day, with particle populations being identified (1) as fully
refractory particles being more dominant during the morning traffic rush hours,
(2) as core-shell structure particles having a non-volatile core coated with
volatile material, and (3) fully volatile particles. The evolution of particle
volatility and size throughout the day provide additional support that most
nanoparticles in the metro station originate from outdoor urban air.
PMID- 29649763
TI - Fluoride distribution and contamination in the water, soil and plants continuum
and its remedial technologies, an Indian perspective- a review.
AB - Fluorine is an essential element required in trace amounts but gets toxic for
human beings at levels more than 1.5 mg F- L-1 primarily through drinking
contaminated water. It is the 13th most abundant element and constitutes about
0.06-0.09% in the earth crust. It is electronegative in aqueous medium forming
fluoride ion (F-). Fluoride contamination in the environment occurs mostly due to
anthropogenic and geogenic sources. Fluoride is widely distributed in all
components of environment, air (0.1-0.6 MUg L-1) soils (150-400 mg Kg-1) rocks
(100-2000 mg Kg-1), plant (0.01-42 mg Kg-1) and water (1.0-38.5 mg L-1). Human
beings and animals are being exposed to F- primarily from water (0.2-42.0 mg L-1)
and plants (0.77-29.5 MUg g-1). Fluorosis, a health hazard due to F- is a major
problem in many countries across the world affecting about 200 million people
globally. In India, > 62 million people in twenty states are facing problem due
to F-. The most affected states are Rajasthan (7670 habitations), Telangana
(1,174 habitations) and Karnataka (1122 habitations). To mitigate this problem,
there is an urgent need to understand the current status and brief knowledge of F
geochemistry. The objective of this review is to highlight different sources of
F- that contaminate different environmental matrices including plants, the extent
of contamination level in India, uptake, translocation and toxicity mechanism in
plants. The review also highlights currently available mitigation methods or
technologies through physio-chemical and biological means.
PMID- 29649764
TI - Sleep after intranasal progesterone vs. zolpidem and placebo in postmenopausal
women - A randomized, double-blind cross over study.
AB - CONTEXT: The loss of progesterone during menopause is linked to sleep complaints
of the affected women. Previously we demonstrated sleep promoting effects of oral
progesterone replacement in postmenopausal women. The oral administration of
progesterone, however, is compromised by individual differences in
bioavailability and metabolism of the steroid. OBJECTIVE: We compared the sleep
endocrine effects after intranasal progesterone (MPP22), zolpidem and placebo in
healthy postmenopausal women. DESIGN: This was a randomized double-blind cross
over study. SETTING: German monocentric study PARTICIPANTS: Participants were 12
healthy postmenopausal women. INTERVENTIONS: Subjects received in randomized
order four treatments, 2 doses of intranasal progesterone (4.5 mg and 9 mg of
MPP22), 10 mg of zolpidem and placebo. OUTCOME MEASURES: Main outcome were
conventional and quantitative sleep-EEG variables. Secondary outcomes were the
subjective sleep variables and the sleep related concentrations of cortisol,
growth hormone (GH), melatonin and progesterone. RESULTS: Sleep promoting effects
were found after the higher dosage of MPP22 and after zolpidem. Zolpidem prompted
benzodiazepine-like effects on quantitative sleep EEG as expected, whereas no
such changes were found after the two dosages of MP22. Nocturnal progesterone
levels increased after 9.0 mg MPP22. No other changes of hormone secretion were
found. CONCLUSIONS: Our study shows sleep promoting effects after intranasal
progesterone. The spectral signature of intranasal progesterone did not resemble
the sleep-EEG alterations induced by GABA active compounds. Progesterone levels
were elevated after 9.0 mg MPP22. No other endocrine effects were observed.
PMID- 29649766
TI - microRNAs in the haemostatic system: More than witnesses of thromboembolic
diseases?
AB - MicroRNAs (miRNAs) are small endogenous RNAs that post-transcriptionally regulate
gene expression. In the last few years, these molecules have been implicated in
the regulation of haemostasis, and an increasing number of studies have
investigated their relationship with the development of thrombosis. In this
review, we discuss the latest developments regarding the role of miRNAs in the
regulation of platelet function and secondary haemostasis. We also discuss the
genetic and environmental factors that regulate miRNAs. Finally, we address the
potential use of miRNAs as prognostic and diagnostic tools in thrombosis.
PMID- 29649767
TI - Heparin-induced thrombocytopenia: A critical appraisal of clinical practice
guidelines with the AGREE II instrument.
AB - BACKGROUND: Despite the availability of clinical practice guidelines (CPGs), the
risk of death or thromboembolic complication associated with heparin-induced
thrombocytopenia (HIT) remains high. Our aim was to systematically review the
quality of CPGs for HIT and summarize the recommendations. METHODS: CPGs for HIT
were systematically searched on PubMed, Embase, guidelines' websites, and Google
up to August 6, 2017. Independently, three appraisers assessed the quality of
CPGs using the Appraisal of Guidelines for Research & Evaluation II (AGREE II)
instrument and extracted the data. Recommendations were summarized, and a
comparative study was conducted to analyze the consistency among guidelines.
RESULTS: A total of 11 CPGs were evaluated. The quality assessed by AGREE II
varied widely, not only between domains within guidelines, but also between
guidelines across domains. The domain of scope and purpose and clarity of
presentation obtained the highest median scores, while the domain of rigor of
development and editorial independence obtained the lowest median scores. The
ACCP guideline and BSH guideline were recommended for use in dealing with HIT,
achieving a score of at least 50% in all six AGREE II domains. Recommendations
across guidelines were inconsistent, especially in the choice of non-heparin
anticoagulant for HIT. CONCLUSIONS: Future HIT guidelines should place more
emphasis on methodological quality and improve efforts to include cost and local
availability of drugs when providing recommendations.
PMID- 29649765
TI - Probing the brain with molecular fMRI.
AB - One of the greatest challenges of modern neuroscience is to incorporate our
growing knowledge of molecular and cellular-scale physiology into integrated,
organismic-scale models of brain function in behavior and cognition. Molecular
level functional magnetic resonance imaging (molecular fMRI) is a new technology
that can help bridge these scales by mapping defined microscopic phenomena over
large, optically inaccessible regions of the living brain. In this review, we
explain how MRI-detectable imaging probes can be used to sensitize noninvasive
imaging to mechanistically significant components of neural processing. We
discuss how a combination of innovative probe design, advanced imaging methods,
and strategies for brain delivery can make molecular fMRI an increasingly
successful approach for spatiotemporally resolved studies of diverse neural
phenomena, perhaps eventually in people.
PMID- 29649768
TI - The level of circulating fibroblast activation protein correlates with
incorporation of alpha-2-antiplasmin into the fibrin clot.
AB - INTRODUCTION: Circulating fibroblast activation protein (cFAP) cleaves alpha-2
antiplasmin (alpha2AP) N-terminally, converting native Met-alpha2AP into Asn
alpha2AP. Previous studies in purified model systems showed that Asn-alpha2AP is
faster incorporated into a fibrin clot by activated factor XIII than Met
alpha2AP, making the fibrin clot more resistant to fibrinolysis. The objective
was to investigate whether cFAP level in plasma associated with the amount of
alpha2AP incorporation into fibrin in a new plasma-based clotting assay.
MATERIALS AND METHODS: We included 118 arterial thrombotic patients of the ATTAC
study; 59 patients with diabetes mellitus (DM) and 59 age- and sex-matched
patients without DM, additionally matched for type of arterial thrombosis
(myocardial infarction or ischemic stroke). The percentage of alpha2AP
incorporation was assessed with an alpha2AP incorporation assay mimicking
physiological conditions with endogenous alpha2AP and physiological cFAP
variation. cFAP levels were measured previously by ELISA. RESULTS: We found that
on average 32.3 +/- 5.1% of alpha2AP was incorporated into fibrin, with slightly
more alpha2AP incorporation in individuals with DM (33.3 +/- 4.9%) compared to
individuals without DM (31.4 +/- 5.2%, p = 0.047), which validates our assay
according to literature. The main finding of this study was that cFAP level
positively correlated with alpha2AP incorporation into the fibrin clot (r =
0.296, p = 0.001). CONCLUSION: The findings of a positive association between
cFAP level and alpha2AP incorporation in a plasma-based system under
physiological conditions support the hypothesis that N-terminal cleavage of
alpha2AP leads to faster and more incorporation of alpha2AP into the fibrin clot,
which may be clinically relevant.
PMID- 29649769
TI - Sliding window averaging in normal and pathological motor unit action potential
trains.
AB - OBJECTIVE: To evaluate the performance of a recently proposed motor unit action
potential (MUAP) averaging method based on a sliding window, and compare it with
relevant published methods in normal and pathological muscles. METHODS: Three
versions of the method (with different window lengths) were compared to three
relevant published methods in terms of signal analysis-based merit figures and
MUAP waveform parameters used in the clinical practice. 218 MUAP trains recorded
from normal, myopathic, subacute neurogenic and chronic neurogenic muscles were
analysed. Percentage scores of the cases in which the methods obtained the best
performance or a performance not significantly worse than the best were computed.
RESULTS: For signal processing figures of merit, the three versions of the new
method performed better (with scores of 100, 86.6 and 66.7%) than the other three
methods (66.7, 25 and 0%, respectively). In terms of MUAP waveform parameters,
the new method also performed better (100, 95.8 and 91.7%) than the other methods
(83.3, 37.5 and 25%). CONCLUSIONS: For the types of normal and pathological
muscle studied, the sliding window approach extracted more accurate and reliable
MUAP curves than other existing methods. SIGNIFICANCE: The new method can be of
service in quantitative EMG.
PMID- 29649770
TI - Advanced dynamic statistical parametric mapping with MEG in localizing
epileptogenicity of the bottom of sulcus dysplasia.
AB - OBJECTIVE: To investigate whether advanced dynamic statistical parametric mapping
(AdSPM) using magnetoencephalography (MEG) can better localize focal cortical
dysplasia at bottom of sulcus (FCDB). METHODS: We analyzed 15 children with
diagnosis of FCDB in surgical specimen and 3 T MRI by using MEG. Using AdSPM, we
analyzed a +/-50 ms epoch relative to each single moving dipole (SMD) and applied
summation technique to estimate the source activity. The most active area in
AdSPM was defined as the location of AdSPM spike source. We compared spatial
congruence between MRI-visible FCDB and (1) dipole cluster in SMD method; and (2)
AdSPM spike source. RESULTS: AdSPM localized FCDB in 12 (80%) of 15 children
whereas dipole cluster localized six (40%). AdSPM spike source was concordant
within seizure onset zone in nine (82%) of 11 children with intracranial video
EEG. Eleven children with resective surgery achieved seizure freedom with follow
up period of 1.9 +/- 1.5 years. Ten (91%) of them had an AdSPM spike source in
the resection area. CONCLUSION: AdSPM can noninvasively and neurophysiologically
localize epileptogenic FCDB, whether it overlaps with the dipole cluster or not.
SIGNIFICANCE: This is the first study to localize epileptogenic FCDB using MEG.
PMID- 29649771
TI - Systematic forensic toxicological analysis by liquid-chromatography-quadrupole
time-of-flight mass spectrometry in serum and comparison to gas chromatography
mass spectrometry.
AB - Comprehensive screening procedures for psychoactive agents in body fluids are an
essential task in clinical and forensic toxicology. With the continuous emergence
and adaption of new psychoactive substances (NPS) keeping a screening method up
to date is challenging. To meet these demands, hyphenated high-resolution mass
spectrometry has gained interest as extensive and expandable screening approach.
Here we present a comprehensive method for systematic toxicological analysis of
serum by liquid chromatography-quadrupole-time-of-flight mass spectrometry (LC
QTOF-MS) with data independent acquisition. The potential of this method was
demonstrated by analysis of 247 authentic serum- and 12 post-mortem femoral blood
samples. Thus 950 compounds, comprising 185 different drugs and metabolites could
be identified. For the detected substances, including pharmaceutical substances,
illicit drugs as well as NPS, serum concentrations were confirmed ranging from
traces to toxic values indicating the capability for forensic toxicological
requirements. Positive identification of drugs was achieved by accurate mass
measurement (+/-5ppm for [M+H]+; +/-10ppm for [M-H]-), retention time (+/
0.35min), isotopic pattern match (less than 10 m/z RMS [ppm]), isotope match
intensity (less than 20% RMS) and the presence of at least two fragment ions. The
LC-QTOF-MS procedure was shown to be superior to serum screening by GC-MS, since
240% (335 versus 141) more drugs were identified in serum samples compared to GC
MS.
PMID- 29649772
TI - Enhancement of Th1 immune response against Leishmania cysteine peptidase A, B by
PLGA nanoparticle.
AB - Recombinant cysteine peptidase vaccine can induce protective immunity against
cutaneous leishmaniasis. However, the antigenic diversity and variable
immunogenicity prevents them from being approved for general vaccination.
Different approaches like adjuvant application and antigen delivery systems are
studied to increase their efficacy. Nanoparticles can both stimulated antigen
uptakes and affect direction of immune response. In this study the effect of PLGA
nanoparticles were considered to enhance the immune response against recombinant
CPA (CPA) and CPB (CPB). For this purpose, L. major CPA and CPB were prepared.
PLGA were conjugated to the proteins using Aldehyde/Hydrazine Reaction.
Conjugation efficacy and created nanoparticle morphology were determined by FTIR
and SEM methods, respectively. BALB/c mice were received intraperitoneally three
boosts of 7 MUg/mouse of each antigen alone (CPA/CPB/CPA + CPB) or as PLGA
conjugated form in different Study groups, at 3 weeks interval. After
vaccination, mice were challenged with 106L. major, subcutaneously. Time course
study of lesion development demonstrated nanoparticle efficacy in parasite
dissemination control that confirmed by spleen parasite burden assay. Significant
induction of nitric oxide production by peritoneal macrophages and increase in
splenocyte IFN-gamma production showed the protective effect of PLGA-CPA/CPB
vaccination in comparison to CPA and CPB alone. Current study demonstrated that
the conjugation of the antigen with the PLGA can activate immune responses
against L. major. However, further study is necessary to assess the long-term
effect and other aspects of immune response.
PMID- 29649773
TI - Optimization study of direct morphology observation by cold field emission SEM
without gold coating.
AB - Gold coating is a general operation that is generally applied on non-conductive
or low conductive materials, during which the morphology of the materials can be
examined by scanning electron microscopy (SEM). However, fatal deficiencies in
the materials can result in irreversible distortion and damage. The present study
directly characterized different low conductive materials such as hydroxyapatite,
modified poly(vinylidene fluoride) (PVDF) fiber, and zinc oxide nanopillar by
cold field emission scanning electron microscopy (FE-SEM) without a gold coating.
According to the characteristics of the low conductive materials, various test
conditions, such as different working signal modes, accelerating voltages,
electron beam spots, and working distances, were characterized to determine the
best morphological observations of each sample.
PMID- 29649775
TI - Emerging issues in the environmental context of antibiotic-resistance.
PMID- 29649774
TI - Effectiveness of National Weather Service heat alerts in preventing mortality in
20 US cities.
AB - BACKGROUND: Extreme heat is a well-documented public health threat. The US
National Weather Service (NWS) issues heat advisories and warnings (collectively,
"heat alerts") in advance of forecast extreme heat events. The effectiveness of
these alerts in preventing deaths remains largely unknown. OBJECTIVES: To
quantify the change in mortality rates associated with heat alerts in 20 US
cities between 2001 and 2006. METHODS: Because NWS heat alerts are issued based
on forecast weather and these forecasts are imperfect, in any given location
there exists a set of days of similar observed heat index in which heat alerts
have been issued for some days but not others. We used a case-crossover design
and conditional logistic regression to compare mortality rates on days with
versus without heat alerts among such eligible days, adjusting for maximum daily
heat index and temporal factors. We combined city-specific estimates into a
summary measure using standard random-effects meta-analytic techniques. RESULTS:
Overall, NWS heat alerts were not associated with lower mortality rates (percent
change in rate: -0.5% [95% CI: -2.8, 1.9]). In Philadelphia, heat alerts were
associated with a 4.4% (95% CI: -8.3, -0.3) lower mortality rate or an estimated
45.1 (95% empirical CI: 3.1, 84.1) deaths averted per year if this association is
assumed to be causal. No statistically significant beneficial association was
observed in other individual cities. CONCLUSIONS: Our results suggest that
between 2001 and 2006, NWS heat alerts were not associated with lower mortality
in most cities studied, potentially missing a valuable opportunity to avert a
substantial number of heat-related deaths. These results highlight the need to
better link alerts to effective communication and intervention strategies to
reduce heat-related mortality.
PMID- 29649776
TI - Occurrence of 44 elements in human cord blood and their association with growth
indicators in newborns.
AB - There is growing concern about environmental pollution produced by elements,
including "emerging" contaminants, such as rare earth elements (REE) and other
trace elements (TE), which are extensively and increasingly employed in the
manufacture of consumer electronics. Previous research has shown that prenatal
exposure to some elements (mainly heavy metals) may be associated with decreased
fetal growth and other adverse birth outcomes. Recent studies have also shown
that environmental exposure to REE and TE may be related to adverse effects on
human health. This cross-sectional study, which included nearly 92% of the births
in 2016 in La Palma (Canary Islands, Spain; n = 471), aimed to evaluate the
potential adverse health effects exerted by a wide range of elements on newborns.
We quantified the levels of 44 elements (including 26 REE and TE) in their
umbilical cord blood. Our results showed low or very low levels of most elements.
We found an inverse association between antimony (Sb) and birth weight
(Spearman's r = -0.106, p = 0.021). A similar trend was observed between nickel
(Ni) and birth weight and between chromium (Cr) and birth length, although in
this case the significance was borderline. Bismuth appeared as a risk factor for
having a birth weight below the tenth percentile in the univariate (OR = 3.30;
95% CI = 1.25-8.78; p = 0.017) and multivariate analyses (OR = 5.20; 95% CI =
1.29-20.91; p = 0.020). When assessing the effect of element mixtures, the sum of
Cr, Ni, and Sb appeared as a risk factor for having a birth weight below the
tenth percentile in the univariate (OR = 2.41; 95% CI = 1.08-5.35; p = 0.031) and
multivariate analyses (OR = 3.84; 95% CI = 1.42-10.39; p = 0.008). Our findings
suggest that some inorganic elements-isolated or in mixture-are associated to a
lower fetal growth. Additional research is needed to understand the role of
inorganic pollutants on fetal development.
PMID- 29649777
TI - Eyes of the Deep-sea Floor: The Integrative Taxonomy of the Foraminiferal Genus
Vanhoeffenella.
AB - Vanhoeffenella is a common deep-sea monothalamous foraminifer, some species of
which have a unique eye-like test morphology. Owing to its world-wide
distribution, it has been recorded numerous times since the "heroic age" of the
deep-sea exploration in the early 20th century. So far, only 4 species have been
described, and no attempts have been made to estimate the real diversity of this
peculiar genus. Over the last fifteen years, we have collected specimens of
Vanhoeffenella from various deep-sea areas, providing the basis for an
integrative taxonomy and biogeography of this genus. Here, we clarify the
phylogenetic position of Vanhoeffenella and give an account of its diversity in
the Atlantic, Arctic and Southern Oceans (the Weddell Sea) as revealed by genetic
marker (SSU rDNA) and morphology. Our study shows that Vanhoeffenella branches
within Clade F of monothalamids and incorporates at least 10 putative species.
Some could be distinguished by either morphological or molecular features, but
only the integrative taxonomic approach provides a robust way to assess their
diversity. We examine the new material of the type species (V. gaussi Rhumbler),
redescribe the poorly-known V. oculus Earland and describe formally a fifth
species, V. dilatata sp. nov.
PMID- 29649778
TI - In silico design of small peptides antagonist against leptin receptor for the
treatment of obesity and its associated immune-mediated diseases.
AB - Excess adiposity in obese inhibits negatively impacts immune function and host
defence. Obesity is characterized by a state of low-grade, chronic inflammation
in addition to disturbed levels of circulating nutrients and metabolic hormones.
The impact of metabolic abnormalities on obesity-related co-morbidities has
undergone intense scrutiny over the past decades. Thus, treatment of obesity and
its associated immune-mediated diseases is challenging due to impaired function
of leptin system. These disorders are managed through antibiotics and by
cytokines replacement. However, the effectiveness of cytokines coupled to the
complexity of the cytokine network leads to severe side-effects, which can still
occur after careful preclinical evaluation. In addition, synthetic
immunotherapeutics carry a degree of risk, time-consuming and expensive. Hence,
the complexity of existing therapy and adverse effects emphasizes the need for an
alternative approach for the management of immune dysfunction associated with
obesity. Computer-aided small molecule antibody technology has been successful in
the design of novel biologicals for the diagnosis of diseases and therapeutic
interventions. In this study, the crystal structure of leptin receptor (LEPR)
complex with monoclonal antibody (9F8 Fab) was explored to predict Ag-Ab
interactions using bioinformatics tools. The LEPR of complementarity-determining
region (CDR) loops were mutated with published positive control residues of Ser,
Thr, Tyr, Trp, and Phe to design a set of 678 peptides which were evaluated
through Ag-peptide docking, binding free-energies, and interaction energies.
Thus, hypothesized novel peptides can be explored as clinically applicable
antagonists for the treatment of obesity and associated immune-mediated diseases.
PMID- 29649780
TI - Smoking and local unemployment: Evidence from Germany.
AB - In this paper, we use data from the German Socio-Economic Panel to investigate
the effect of macro-economic conditions (in the form of local unemployment rates)
on smoking behavior. The results from our panel data models, several of which
control for selection bias, indicate that the propensity to become a smoker
increases significantly during an economic downturn, with an approximately 0.7
percentage point increase for each percentage point rise in the unemployment
rate. Conversely, conditional on the individual being a smoker, cigarette
consumption decreases with rising unemployment rates, with a one percentage point
increase in the regional unemployment rate leading to a decrease in consumption
up to 0.8 percent.
PMID- 29649779
TI - Activation of Hepatic Stellate Cells During Liver Carcinogenesis Requires
Fibrinogen/Integrin alphavbeta5 in Zebrafish.
AB - Hepatocellular carcinoma (HCC) is one of the most common cancers and it usually
develops from a background of liver fibrosis or inflammation. The crosstalk
between tumor cells and stromal cells plays an important and stimulating role
during tumor progression. Previously we found in a krasV12-induced zebrafish HCC
model that oncogenic hepatocytes activate hepatic stellate cells (HSCs) by up
regulation of serotonin and activate neutrophils and macrophages by up-regulation
of cortisol. In the present study, we found a novel signaling transduction
mechanism between oncogenic hepatocytes and HSCs. After krasV12 induction,
fibrinogen was up-regulated in oncogenic hepatocytes. We reasoned that fibrinogen
may bind to integrin alphavbeta5 on HSCs to activate HSCs. Consistent with this
notion, pharmaceutical treatment using an antagonist of integrin alphavbeta5,
cilengitide, significantly blocked HSC activation and function, accompanied by
attenuated proliferation of oncogenic hepatocytes and progression of liver
fibrosis. On the contrary, adenosine 5'-diphosphate, an agonist of alphavbeta5,
activated HSCs significantly that further stimulated the tumor progression and
liver fibrosis. Interestingly, in human liver disease samples, we detected an
increased level of fibrinogen during tumor progression which indicated the
potential role of fibrinogen signaling in HCC progression. Thus, we concluded a
novel interaction between oncogenic hepatocytes and HSCs through the fibrinogen
related pathway in both the zebrafish HCC model and human liver disease samples.
PMID- 29649781
TI - Persisting patterns of human height? Regional differences in living standards in
the Early Middle Ages.
AB - oProximity to protein production' has recently been identified as an important
determinant of regional variation of biological standards of living, as evidenced
by average heights. The number of cows per person turned out to be a useful proxy
where milk consumption had not been documented. Re-analyses of agricultural
production and average male heights in early 19th-century central Europe show
that indicators of agricultural suitability and potential for food production
constructed from modern agro-ecological data are strongly correlated with
historical agricultural specialisation. This paper uses a dataset of estimated
heights and evidence on circumstances of the burial of about 2200 individuals who
had lived in southwestern Germany during the 5th-8th centuries to assess the
usefulness of modern proxy variables for explanations of regional differences in
living standards in the distant past. Assuming some continuity in the relative
suitability of smaller areas, the results suggest similar responses to
environmental and climatic constraints, even though population density,
agricultural technology, market integration, and consumption patterns of
subsistence farming were very different from 19th-century conditions.
PMID- 29649782
TI - Balloon pulmonary angioplasty in a patient with prostate cancer and chronic
thromboembolic pulmonary hypertension.
AB - Chronic thromboembolic pulmonary hypertension (CTEPH) is a subtype of pulmonary
hypertension characterized by a mean pulmonary artery pressure >=25 mm Hg due to
obstructive fibrotic thromboembolic material in the pulmonary arteries.
Malignancy is a significant risk for developing CTEPH. The option for inoperable
CTEPH is largely medical with variable outcomes. Balloon pulmonary angioplasty
(BPA) is a promising option for inoperable patients given distal-type CTEPH, co
morbidities, or residual pulmonary hypertension after surgery. We present a case
of a patient with prostate cancer and CTEPH successfully treated with balloon
pulmonary angioplasty.
PMID- 29649784
TI - Bacterial communities of four adjacent fresh lakes at different trophic status.
AB - Knowing the microbial compositions in fresh lakes is significant to explore the
mechanisms of eutrophication and algal blooms. This study reported on the
bacterial communities of the four adjacent fresh lakes at different trophic
status by Illumina MiSeq Platform, which were Tangxun Lake (J1), Qingling Lake
(J2), Huangjia Lake (J3) and Niushan Lake (J4) in Wuhan, China. J1 had the
highest salinity and phosphorus. J2 was abundant in TC (Total Carbon)/TOC (Total
Organic Carbon.), calcium and magnesium. J3 had the highest content of nitrogen,
iron and pollution of heavy metals. High-throughput sequencing analysis of the
16S rRNA gene revealed that the eutrophic lakes (J1, J2 and J3) were dominated by
Cyanobacteria (46.1% for J1, 40.8% for J2, 33.4% for J3) and the oligotrophic
lake (J4) was dominated by Actinobacteria (34.2%). An increase of Cyanobacteria
could inhibit the growth of Proteobacteria, Actinobacteria and Bacteroidetes.
Functional inferences from 16S rRNA sequences suggested that J4 had more abundant
bacteria with regard to substrate metabolism than J1, J2, and J3. Burkholderia
and Fluviicola might be a suggestion of good water quality. The results
demonstrated that the bacterial community could well reflect the water quality of
the four lakes.
PMID- 29649783
TI - Radiation dose reduction in perfusion CT imaging of the brain using a 256-slice
CT: 80 mAs versus 160 mAs.
AB - PURPOSE: To examine CTP of the brain in real patient data after reducing tube
current down to 80 mAs to decrease radiation dose. METHODS: CTP was acquired in
60 suspected stroke patients with 80 (n: 30) or 160 (n: 30) mAs. Data were
analyzed retrospectively by two independent readers. SNR, perfusion maps and
image quality were compared in hypoperfused and non-affected areas. RESULTS: SNR
was significantly higher in CTP with 160 mAs compared to 80 mAs (p < 0.001) in
non-affected regions, but there was no significant difference in hypoperfused
regions. Overall, images with 80 mAs were rated worse than the ones with 160 mAs
(3.0 +/- 0.7 versus 4.0 +/- 0.7), however, still as sufficient to detect proximal
vessel occlusions. CONCLUSION: Tube current of 80 mAs is still sufficient for the
detection of perfusion deficits of proximal vessel occlusions.
PMID- 29649785
TI - Albumin biofunctionalization to minimize the Staphylococcus aureus adhesion on
solid substrates.
AB - Staphylococcus aureus has become the most common opportunistic microorganism
related to nosocomial infections due to the bacteria capacity to form biofilms on
biomedical devices and implants. Since bacterial adhesion is the first step in
this pathogenesis, it is evident that inhibiting such a process will reduce the
opportunity for bacterial colonization on the devices. This work is aimed at
optimizing a surface biofunctionalization strategy to inhibit the adhesion of S.
aureus on solid substrates. The first part of the work deals with the albumin
adsorption-desorption process, studied by a factorial design of experiments to
explore a wide range of experimental factors (protein concentration, pH, flow
rate and adsorption time) and responses (initial adsorption rate, adsorbed
amount, desorbed extent) for hydrophilic and hydrophobic substrates, with a
reduced number of experiments. This approach allows the simultaneous evaluation
of the factors affecting the albumin adsorption-desorption process to find a
qualitative correlation with the amount of alive S. aureus adhered on albumin
biofunctionalized substrates. The results of this work point to a relationship
between bacterial adhesion and the degree of albumin relaxation on the solid
substrate. In fact, the inhibition of bacterial adhesion on albumin
biofunctionalized substrates is due to the surface perturbation on the native
structure of the protein. On this base, a biofunctionalization strategy was
designed using a solution of thermally treated albumin molecules (higher beta
sheet or unordered secondary structure elements) to biofunctionalize solid
substrates by dipping. With these albumin biofunctionalized substrates S. aureus
adhesion was minimized.
PMID- 29649786
TI - Nanoemulsions and topical creams for the safe and effective delivery of
lipophilic antioxidant coenzyme Q10.
AB - Emulsion-based delivery systems have been developed to increase the topical
bioavailability of lipophilic active compounds within skin membrane. The aim was
to develop nanoemulsion from natural sources (rapeseed oil) with the same sources
of pure phospholipids (lecithin) rich on mono and polyunsaturated fatty acids for
encapsulation of hydrophobic antioxidant (Coenzyme Q10) giving nanoemulsion with
double functionality. Nanoemulsions were used for cream preparation using xanthan
gum and carboxylmethylcellulose as texturizing agents. The physico-chemical
properties, toxicity and biocompatibility were evaluated. Physical stability was
followed under different storage temperatures (25; 37 and 50 degrees C) for one
month and revealed stable systems with 150 nm particle size. Anionic thickening
addition influenced the electrophoretic mobility but not the size distribution.
The addition of polyanionic thickening in nanoemulsions promoted negative surface
charge that increased electrostatic repulsive forces between droplets avoiding
destabilization phenomena such as coalescence and Ostwald ripening. Moreover,
chemical stability evaluation of components confirmed the absence of
interactions. FTIR analysis indicated the vibration band position of cis double
stretching of unsaturated fatty acids between 3009 and 3006 cm-1, which
characterized the non-oxidized oils with same intensities before and after
sonication. Antioxidants measurement shown that CMC significantly reduced
antioxidant activity due to masking action of CoQ10 functional groups by the
carboxylmethylcellulose gum conversely to xanthan gum addition. Finally, in vitro
biocompatibility results shown that CoQ10 protected the DNA, and xanthan gum
improve glucose metabolism inducing a better cell growth, while
carboxymethylcellulose which was not metabolized by fibroblast cell inducing
lower growth rate.
PMID- 29649788
TI - LC-MS/MS method for the simultaneous quantification of intestinal CYP and UGT
activity.
AB - Many orally administered drugs are subject to first-pass metabolism by cytochrome
P450 (CYP) enzymes and uridine 5'-diphospho-glucuronosyltransferases (UGT). While
their hepatic activity is well characterized, respective information about the
intestine are very scare due to limited availability of tissue, very low
microsomal protein content and the heterogeneity of the individual segments. As a
consequence, determination of enzyme kinetic parameters is challenging. It was
therefore the aim of this study to develop a sensitive liquid chromatography
tandem mass spectrometry method for the simultaneous quantification of CYP and
UGT metabolites formed by clinically relevant intestinal biotransformation
enzymes: 4-hydroxydiclofenac (CYP2C9), 5-hydroxyomeprazole (CYP2C19), dextrorphan
(CYP2D6), 1-hydroxymidazolam (CYP3A), ezetimibe glucuronide (UGT1A) and naloxone
glucuronide (UGT2B7). After precipitation of microsomal protein with
acetonitrile, analytes were chromatographically separated on a C18 column with
gradient elution using acetonitrile and water, both containing 0.1% formic acid
and detected with a tandem mass spectrometer operating in positive mode with
electron spray ionization. The assay was validated according to current
bioanalytical guidelines regarding linearity, accuracy, precision, stability,
recovery and matrix effects spanning an analytical range from 1 to 200 nmol/L for
each analyte. The developed method was successfully applied to a proof of concept
experiment using pooled human jejunal microsomes (50 MUg protein/mL) in order to
determine enzyme kinetic parameters. Formation of all monitored metabolites
followed Michaelis-Menten kinetics and allowed calculation of KM and Vmax values.
The developed method may be useful for characterization of enzymatic activity in
the human intestine which may allow more precise insights into the intestinal
contribution to first pass metabolism of drugs.
PMID- 29649787
TI - Defective protein repair under methionine sulfoxide A deletion drives autophagy
and ARE-dependent gene transcription.
AB - OBJECTIVE: Reduction of oxidized methionines is emerging as a major protein
repair pathway. The lack of methionine sulfoxide reductase A (MsrA) exacerbates
cardiovascular disease phenotypes driven by increased oxidative stress. However,
the role of MsrA on maintaining cellular homeostasis in the absence of excessive
oxidative stress is less well understood. METHODS AND RESULTS: Constitutive
genetic deletion of MsrA increased formation of p62-containing protein
aggregates, activated autophagy, and decreased a marker of apoptosis in vascular
smooth muscle cells (VSMC). The association of Keap1 with p62 was augmented in
MsrA-/- VSMC. Keap1 targets the transcription factor Nrf2, which regulates
antioxidant genes, for proteasomal degradation. However, in MsrA-/- VSMC, the
association of Nrf2 with Keap1 was diminished. Whereas Nrf2 mRNA levels were not
decreased in MsrA-/- VSMC, we detected decreased ubiquitination of Nrf2 and a
corresponding increase in total Nrf2 protein in the absence of biochemical
markers of oxidative stress. Moreover, nuclear-localized Nrf2 was increased under
MsrA deficiency, resulting in upregulation of Nrf2-dependent transcriptional
activity. Consequently, transcription, protein levels and enzymatic activity of
glutamate-cysteine ligase and glutathione reductase were greatly augmented in
MsrA-/- VSMC. SUMMARY: Our findings demonstrate that reversal of methionine
oxidation is required for maintenance of cellular homeostasis in the absence of
increased oxidative stress. These data provide the first link between autophagy
and activation of Nrf2 in the setting of MsrA deletion.
PMID- 29649790
TI - Evolution of multiple sclerosis prevalence and phenotype in Latin America.
AB - BACKGROUND: Literature regarding MS epidemiology and phenotype is scarce but has
increased markedly over the past years. The objective of the present work is to
assess the evolution of the prevalence and phenotype of MS in Latin America
during recent years. METHODS: Review of literature. RESULTS: MS prevalence levels
are low to medium in Latin America, although these have increased in recent
years. A small latitudinal gradient has also been observed, but exceptions to
this rule exist, suggesting other genetic and environmental factors ultimately
influence regional prevalence rates. One of the distinctive features of the
region is the complex genetic admixture arising from multiple divergent
population ancestries in different countries including: Native Americans,
Caucasians and Africans. Another variable which would lower MS risk in the region
could be a protective effect linked to exposure to certain infections, such as
parasites. Despite differences in MS epidemiology, Latin American patients show
an MS phenotype very similar to that of Caucasian patients, and a progressively
increasing female gender ratio as has been described worldwide. CONCLUSION: MS
epidemiology in Latin American patients has distinctive features. Both,
prevalence and incidence, are increasing.
PMID- 29649789
TI - Improving fatigue in multiple sclerosis by smartphone-supported energy
management: The MS TeleCoach feasibility study.
AB - BACKGROUND: Fatigue is a frequently occurring, often disabling symptom in MS with
no single effective treatment. In current fatigue management interventions,
personalized, real-time follow-up is often lacking. The objective of the study is
to assess the feasibility of the MS TeleCoach, a novel intervention offering
telemonitoring of fatigue and telecoaching of physical activity and energy
management in persons with MS (pwMS) over a 12-week period. The goal of the MS
TeleCoach, conceived as a combination of monitoring, self-management and
motivational messages, is to enhance levels of physical activity thereby
improving fatigue in pwMS in an accessible and interactive way, reinforcing self
management of patients. METHODS: We conducted a prospective, open-label
feasibility study of the MS TeleCoach in pwMS with Expanded Disability Status
Scale <= 4 and moderate to severe fatigue as measured by the Fatigue Scale for
Motor and Cognitive Functions (FSMC). Following a 2-week run-in period to assess
the baseline activity level per patient, the target number of activity counts was
gradually increased over the 12-week period through telecoaching. The primary
efficacy outcome was change in FSMC total score from baseline to study end. A
subset of patients was asked to fill in D-QUEST 2.0, a usability questionnaire,
to evaluate the satisfaction with the MS TeleCoach device and the experienced
service. RESULTS: Seventy-five patients were recruited from 16 centres in
Belgium, of which 57 patients (76%) completed the study. FSMC total score (p =
0.009) and motor and cognitive subscores (p = 0.007 and p = 0.02 respectively)
decreased from baseline to week 12, indicating an improvement in fatigue. One
third of participants with severe fatigue changed to a lower FSMC category for
both FSMC total score and subscores. The post-study evaluation of patient
satisfaction showed that the intervention was well accepted and that patients
were very satisfied with the quality of the professional services. CONCLUSION:
Using MS TeleCoach as a self-management tool in pwMS suffering from mild
disability and moderate to severe fatigue appeared to be feasible, both
technically and from a content perspective. Its use was associated with improved
fatigue levels in the participants who completed the study. The MS Telecoach
seems to meet the need for a low-cost, accessible and interactive self-management
tool in MS.
PMID- 29649791
TI - The Long-Term Impact of High Levels of Alpha-Melanocyte-Stimulating Hormone in
Energy Balance Among Obese Adolescents.
AB - BACKGROUND: Deregulation of orexigenic and anorexigenic pathways occurs among
adolescents with obesity. Alpha-melanocyte-stimulating hormone (alpha-MSH) is a
key catabolic mediator of energy homeostasis and an important anorexigenic
neuropeptide in the control of energy balance and thermogenesis. However, it was
not well explored if alpha-MSH can modulate long-term weight loss therapy
responses in a dependent manner according to its concentration. Our hypothesis is
that a high alpha-MSH concentration at baseline promotes better modulation of
anorexigenic/orexigenic pathways in obese adolescents. METHODS: One hundred ten
post-pubertal obese adolescents (body mass index >95th percentile) were submitted
to 1 year of interdisciplinary therapy (clinical, nutritional, psychological,
physical exercise, and physiotherapy support). Body composition and plasma levels
of alpha-MSH, neuropeptide Y (NPY), melanin-concentrating hormone, and agouti
related peptide (AgRP) were measured before and after therapy. The volunteers
were grouped on the basis of Tertiles of alpha-MSH concentration: Low (<0.75
ng/mL), Medium (<=0.76 to >=1.57 ng/mL), and High (>1.57 ng/mL). Significance was
set as p < 0.05. RESULTS: The treatment promoted a significant improvement in
body adiposity and fat free mass for all groups. It is important to note that
only in the high alpha-MSH group, a significant increase of the alpha-MSH/NPY
ratio and decrease NPY/AgRP ratio post treatment were observed. CONCLUSION: The
high alpha-MSH concentration promotes better modulation of
anorexigenic/orexigenic pathways in obese adolescents following long-term weight
loss therapy and this is important in clinical practice.
PMID- 29649792
TI - Comparison of Different Compression to Ventilation Ratios (2: 1, 3: 1, and 4: 1)
during Cardiopulmonary Resuscitation in a Porcine Model of Neonatal Asphyxia.
AB - BACKGROUND: High-quality chest compression is essential during neonatal
cardiopulmonary resuscitation (CPR). However, the optimal compression to
ventilation ratio (C:V) that should be used during neonatal CPR to optimize
coronary and cerebral perfusion while providing adequate ventilation remains
unknown. OBJECTIVE: We hypothesized that different C:V ratios (e.g., 2: 1 or 4:
1) will reduce the time to return of spontaneous circulation (ROSC) in severely
asphyxiated piglets. METHODS: Thirty-one newborn piglets (1-4 days old) were
anesthetized, intubated, instrumented, and exposed to 50-min normocapnic hypoxia
followed by asphyxia. Piglets were randomized into 4 groups: 2: 1 (n = 8), 3: 1
(n = 8), 4: 1 (n = 8) C:V ratio, or a sham group (n = 7). Cardiac function,
carotid blood flow, cerebral oxygenation, and respiratory parameters were
continuously recorded throughout the experiment. RESULTS: Thirty-one piglets were
included in the study, and there was no difference in the duration of asphyxia or
the degree of asphyxiation (as indicated by pH, PaCO2, and lactate) among the
different groups. The median (IQR) time to ROSC was similar between the groups
with 127 (82-210), 96 (88-126), and 119 (83-256) s in the 2: 1, 3: 1, and 4: 1
C:V ratio groups, respectively (p = 0.67 between groups). Similarly, there was no
difference in 100% oxygen requirement or epinephrine administration between the
experimental groups. CONCLUSIONS: Different C:V ratios resulted in similar ROSC,
mortality, oxygen, and epinephrine administration during resuscitation in a
porcine model of neonatal asphyxia.
PMID- 29649793
TI - Long-Term Effects of Middle Ear Tendon Resection on Middle Ear Myoclonic
Tinnitus, Hearing, and Hyperacusis.
AB - OBJECTIVE: To evaluate the therapeutic effects of middle ear tendon resection
(METR) on middle ear myoclonic tinnitus (MEMT) and to investigate its long-term
effects on hearing and hyperacusis. MATERIALS AND METHODS: Thirty-seven patients
with MEMT with a mean age of 33.2 +/- 11.8 years were included in this study.
METR was performed on all 37 MEMT patients (41 ears) between November 2004 and
August 2016. The mean follow-up period was 16.1 months. We examined changes in
tinnitus and accompanying stress and depression in patients after surgery, and
examined the hearing changes and the occurrence of complications including
hyperacusis. RESULTS: After surgery, 34 (91.9%) patients exhibited complete
resolution of MEMT during their follow-up period, and 3 patients showed a partial
response. The mean Visual Analog Scale (VAS) scores for tinnitus severity, the
Tinnitus Handicap Inventory (THI), and stress index decreased significantly after
surgery (p < 0.05, paired t test). No patient developed hearing loss or
hyperacusis following surgery. Preexisting hyperacusis even improved in most of
the patients with intractable MEMT after surgery. Recurrence of the symptom
occurred in only 1 patient, who underwent revision surgery with improvement. We
observed 1 case of postoperative delayed facial palsy with complete recovery in 2
weeks. CONCLUSIONS: METR seems to be an effective and safe treatment option for
intractable MEMT, considering its high control rate of tinnitus and no long-term
harmful effects on hearing and hyperacusis.
PMID- 29649794
TI - Effects of Volume Overload and Current Techniques for the Assessment of Fluid
Status in Patients with Renal Disease.
AB - Volume overload is an important, may be the foremost, independent prognostic
factor determining the outcome of hemodialysis patients. Therefore, it is crucial
to measure fluid status of these patients and avoid volume overload. This review
aims to evaluate volume overload, its effects on patients with renal diseases and
current methodologies measuring volume status in the body. These techniques will
be first classified as clinical evaluation and non-clinical and/or instrumental
techniques, which includes biomarkers, ultrasonography, relative blood volume
monitoring, bioimpedance, echocardiography, pulmonary artery catheterization,
esophageal and/or suprasternal Doppler, and blood viscosity. Advantages and
limitations of these different techniques will be reviewed extensively by
comparing each other. At last, insights gained from this review can highlight the
future prospects in this active area of research.
PMID- 29649795
TI - Effect of Hybrid Blood Purification Treatment on Secondary Hyperparathyroidism
for Maintenance Hemodialysis Patients.
AB - OBJECTIVE: This study aims to investigate the effects of hybrid blood
purification treatment on secondary hyperparathyroidism for maintenance
hemodialysis (HD) patients. METHODS: A total of 40 patients were randomly divided
into 2 groups: HD combined with hemoperfusion (HD + HP) group (n = 20) and HD
group (n = 20). Changes in intact parathyroid hormone (iPTH) in these 2 groups
were compared before and after treatment, and iPTH levels in the HD + HP group
were monitored before and after treatment. RESULTS: iPTH, beta2 microglobulin
(beta2-MG), and cystatin C (CysC) levels were significantly lower in the HD + HP
group than in the HD group (p < 0.05), iPTH levels were significantly higher than
at the first day after treatment (p < 0.05), and iPTH level was significantly
higher (p < 0.05). CONCLUSION: The clearance effects of HD + HP on iPTH, beta2
MG, and CysC are better than HD alone. Treatment with HD + HP every 2 weeks is
recommended for maintenance HD patients.
PMID- 29649796
TI - Visual Field Variability after Gene Therapy for Leber's Hereditary Optic
Neuropathy.
AB - PURPOSE: To assess changes in visual field (VF) values after gene therapy for
Leber's hereditary optic neuropathy (LHON). METHODS: VF recovery, VF indices, and
mean deviation in injected and uninjected eyes, before and after gene therapy,
were examined in 2 groups of patients according to disease duration (<=2 years
and > 2 years). Nine patients with LHON were treated by monocular intravitreal
injection of AAV2-ND4. Finally, 7 patients were considered for subsequent
comparisons; the first and second eyes were treated separately. RESULTS: There
were no significant differences in VF indices and mean deviation between injected
and uninjected eyes (p = 0.910 and p = 0.929, respectively). However, there was a
significant difference before and after injection (p = 0.016 and p = 0.015,
respectively). There was no significant difference in VF improvement between
patients with <=2 years' disease duration and those with a longer disease
duration. CONCLUSION: There was a statistically significant VF improvement after
gene therapy. This suggests that monocular intravitreal injection of AAV2-ND4 can
improve binocular VF values. This study also suggests that gene therapy can be
effective in patients with a disease duration of > 2 years.
PMID- 29649797
TI - A Multimodal Approach to the Treatment of Intraparenchymal Meningioma in a 7-Year
Old Boy: A Case Report.
AB - Intraparenchymal meningiomas are very rare: only 26 cases have been diagnosed in
patients younger than 20 years since 1954. They can lead to preoperative
differential diagnosis mistakes due to their atypical neuroimaging appearance. A
multimodal approach is thus necessary to plan a surgical procedure aiming to
receive the best extent of resection while preserving the patient's functional
integrity. The authors report the case of a 7-year-old boy with a history of
blurred vision, left eye deviation, and weakness on the left side of his body.
Magnetic resonance imaging (MRI) revealed an intra-axial, cortical, right
parietal lesion without dural attachment. MR spectroscopy and perfusion study
were obtained. Since the patient was 100% left-handed, functional MRI, diffusion
tensor imaging, and neuropsychological evaluation were performed before the
surgical procedure. Histopathological analysis revealed the mass to be an
atypical meningioma (WHO grade II). Postoperative MRI indicated complete
macroscopic lesion removal. The postsurgical neuropsychological profile was not
different from the profile before surgery. The boy was discharged 3 days after
the surgical operation without any neurological deficits.
PMID- 29649798
TI - Factors Associated with Functional Performance among Patients on Hemodialysis in
Taiwan.
AB - BACKGROUND/AIMS: We investigated factors associated with functional performance
in hemodialysis (HD) patients as well as their relationships with mortality.
METHODS: We enrolled 790 HD patients who were followed up from 2009 to 2013.
Functional performance was evaluated by Karnofsky Performance Status Scale (KPSS)
scores. We examined the associations of clinical variables and all-cause
mortality with KPSS scores. RESULTS: Of the participants, 460 had high KPSS
scores (range 90-100) and 330 had low KPSS scores (below 80). On multivariate
analysis, age and weekly HD sessions were associated with significantly increased
odds of a lower KPSS score (age: OR 1.05, 95% CI 1.04-1.07, p < 0.001; weekly HD:
OR 2.10, 95% CI 1.37-3.21, p = 0.001). A low KPSS score was a significant
predictor of increased all-cause mortality (hazard ratio 1.49; 95% CI 1.02-2.16,
p = 0.037), as determined using Cox regression analysis. CONCLUSION: Functional
performance was associated with clinical variables and all-cause mortality in HD
patients.
PMID- 29649800
TI - Is There Enough Evidence to Prove That Hemodiafiltration Is Superior?
AB - The evidence concerning online hemodiafiltration (HDF) includes the following:
(1) the increase of removal of uremic toxin, (2) the prevention of dialysis
rerated hypotension, (3) the recovery of survival, and (4) the recovery of
dialysis related uncertain symptoms. Although clinical evidence has been noted,
the hypothesis of the efficacy of HDF are still indefinite and require further
precise research.
PMID- 29649799
TI - Fallacies and Pitfalls of Dialysis Sodium Prescription and Control.
AB - Dialysate conductivity is routinely used as a surrogate for dialysate sodium
concentration. However, dialysis machine manufacturers apply different
conductivity temperature correction coefficients. With the same conductivity in
dialysis machines manufactured by different manufacturers, dialysate sodium may
significantly differ. Also, electrolyte prescriptions are individualized (K, Ca,
HCO3) and this is associated with another variation in dialysate sodium in the
order of 1-5 mmol/L and both deviations are cumulative and chronic for each
patient. Equivalence of the prescribed dialysate sodium and the concentration
measured in it is not granted. Both variables differ and it is machine dependent!
This paper analyses those variations from a technical point of view and suggests
how to detect them and how to deal with or avoid them in clinical practice.
PMID- 29649801
TI - An Ultrasound Study of the Development of Lingual Coarticulation during
Childhood.
AB - BACKGROUND/AIMS: There is growing evidence that coarticulation development is
protracted and segment-specific, and yet very little information is available on
the changes in the extent of coarticulation across different phonemes throughout
childhood. This study describes lingual coarticulatory patterns in 6 age groups
of Scottish English-speaking children between 3 and 13 years old. METHODS:
Vowelon-consonant anticipatory coarticulation was analysed using ultrasound
imaging data on tongue shape from 4 consonants that differ in the degree of
constraint, i.e., the extent of articulatory demand, on the tongue. RESULTS:
Consonant-specific age-related patterns are reported, with consonants that have
more demands on the tongue reaching adolescent-like levels of coarticulation in
older age groups. Within-speaker variability in tongue shape decreases with
increasing age. CONCLUSION: Reduced coarticulation in the youngest age group may
be due to insufficient tongue differentiation. Immature patterns for lingual
consonants in 5- to 11-year-olds are explained by the goal of producing the
consonant target overriding the goal of coarticulating the consonant with the
following vowel.
PMID- 29649802
TI - Is There Not Sufficient Evidence to Show That Haemodiafiltration Is Superior to
Conventional Haemodialysis in Treating End-Stage Kidney Disease Patients?
PMID- 29649803
TI - Sound Symbolic Patterns in Pokemon Names.
AB - This paper presents a case study of sound symbolism, cases in which certain
sounds tend to be associated with particular meanings. We used the corpus of all
Japanese Pokemon names available as of October 2016. We tested the effects of
voiced obstruents, mora counts, and vowel quality on Pokemon characters' size,
weight, strength parameters, and evolution levels. We found that the number of
voiced obstruents in Pokemon names correlates positively with size, weight,
evolution levels, and general strength parameters, except for speed. We argue
that this result is compatible with the frequency code hypothesis of Ohala. The
number of moras in Pokemon names correlates positively with size, weight,
evolution levels, and all strength parameters. Vowel height is also shown to have
an influence on size and weight - Pokemon characters with initial high vowels
tend to be smaller and lighter, although the effect size is not very large. Not
only does this paper offer a new case study of sound symbolism, it provides
evidence that sound symbolism is at work when naming proper nouns.
PMID- 29649804
TI - Regional Variation in Fundamental Frequency of American English Vowels.
AB - We examined whether the fundamental frequency (f0) of vowels is influenced by
regional variation, aiming to (1) establish how the relationship between vowel
height and f0 ("intrinsic f0") is utilized in regional vowel systems and (2)
determine whether regional varieties differ in their implementation of the
effects of phonetic context on f0 variations. An extended set of acoustic
measures explored f0 in vowels in isolated tokens (experiment 1) and in connected
speech (experiment 2) from 36 women representing 3 different varieties of
American English. Regional differences were found in f0 shape in isolated tokens,
in the magnitude of intrinsic f0 difference between high and low vowels, in the
nature of f0 contours in stressed vowels, and in the completion of f0 contours in
the context of coda voicing. Regional varieties utilize f0 control in vowels in
different ways, including regional f0 ranges and variation in f0 shape.
PMID- 29649805
TI - Comparing the Efficacy of CBASP with Two Versions of CBT for Depression in a
Routine Care Center: A Randomized Clinical Trial.
AB - BACKGROUND: The cognitive-behavioral analysis system of psychotherapy (CBASP) was
developed for the treatment of chronic, early-onset depression. However, it is
unclear whether this approach can be recommended for depression in general
(episodic and chronic), and no direct comparisons between CBASP with different
versions of cognitive-behavioral therapy (CBT) exist. METHODS: A randomized
controlled trial compared 3 treatment conditions (all lasting 16 sessions) with a
waiting list group (WL): CBASP, CBT with a focus on physical exercise (CBT-E),
and CBT with a focus on pleasurable, low-energy and mindful activities (CBT-M).
We included 173 patients and involved 41 therapists. Assessments were at
baseline, after session 8, and at the end of treatment. RESULTS: Our primary
outcome Beck Depression Inventory-II indicated a general advantage of the CBT
arms compared to CBASP [F(6, 154.5) = 4.2, p = 0.001], with significant contrasts
in particular in favor of CBT-E. Effect sizes against WL were d = 0.91 (CBT-E),
0.87 (CBT-M), and 0.47 (CBASP). A triple interaction with an additional factor
"chronic versus episodic depression" [F(6, 142.7) = 2.2, p = 0.048] indicated
that the treatments resulted in different outcomes, with best results again for
CBT-E in particular in episodic depression. Responder rates indicated significant
improvements (56% in both CBT arms, 34% in the CBASP arm, 3.4% in WL; intention
to-treat samples). As compared to CBASP, response rates were significantly higher
for CBT-E (OR = 2.48; 95% CI = 1.02-6.00) and CBT-M (OR = 2.46; 95% CI = 1.01
6.01). CONCLUSIONS: CBASP was more effective than WL, but less effective than the
2 CBT arms. This was mainly caused by an advantage of CBT interventions in
episodic depression.
PMID- 29649806
TI - Rituximab in Refractory Chronic Spontaneous Urticaria: An Encouraging Therapeutic
Approach.
AB - BACKGROUND: Chronic spontaneous urticaria (CSU) is a frequent mast cell-driven
disease that affects approximately 0.5-1% of the population. Antihistamines are
currently the drugs of choice in patients with CSU. Omalizumab has been shown to
be very effective in CSU and has been recently approved as second-line therapy.
However, although its introduction has markedly improved the therapeutic
possibilities for CSU, there is still a hard core of patients who do not respond
and require effective treatment. METHODS: We report the case of a patient who
achieved an 8-month remission of refractory CSU following the use of rituximab,
and perform a review of the literature regarding the use of rituximab in CSU.
RESULTS: There was a remarkable improvement in her CSU after the administration
of rituximab maintained over time. CONCLUSION: Rituximab is a chimeric
murine/human monoclonal antibody directed against CD20, which depletes memory B
lymphocytes that are necessary for autoantibody production. The abrogation of the
autoantibody production is the proposed mechanism by which it may alleviate the
symptoms of CSU.
PMID- 29649807
TI - The Ho Chi Minh City Society of Dialysis Therapies.
PMID- 29649808
TI - Treatment of Complicated Urinary Tract Infections in Individuals with Chronic
Neurogenic Lower Urinary Tract Dysfunction: Are Antibiotics Mandatory?
AB - INTRODUCTION: Urinary tract infections (UTI) in patients with neurogenic lower
urinary tract dysfunction (NLUTD) are defined as complicated UTI requiring
antibiotic treatment. As the emergence of multiresistant strains is a serious
problem, we assessed the feasibility of nonantibiotic treatment of UTI in
patients with NLUTD. MATERIALS AND METHODS: In a prospective study evaluating the
usefulness of UTI prophylaxis, participants could opt for either antibiotic or
nonantibiotic treatment of breakthrough UTI. If either symptoms persisted for 48
h or a febrile UTI occurred, antibiotic treatment based on microbiological
testing was mandatory. Treatment efficacy, complications, and emergency hospital
visits were assessed. RESULTS: Within the observation period (1 year), the 25
participants developed 206 UTI. Seven febrile UTI required immediate antibiotic
treatment. Of the remaining 199 UTI, patients chose antibiotic treatment in 104
events, whereas in 95 events, patients chose either nonantibiotic interventions
(n = 80) or no treatment at all (n = 15). Success rates were 78.8% for antibiotic
treatment, 67.5% with nonantibiotic treatments, and 26% without therapy. Of the 7
patients with initially febrile UTI, 2 required hospitalization due to
septicemia. CONCLUSIONS: Nonantibiotic treatment seems to be a feasible
alternative to antibiotic therapy in patients with complicated UTI as well,
provided there is no fever.
PMID- 29649809
TI - Body Mass Index and Cardiovascular Risk Factors in Children and Adolescents with
High Birth Weight.
AB - BACKGROUND/AIMS: This study aimed to identify a possible association among high
birth weight with overweight/obesity, high arterial blood pressure, dyslipidemia,
and insulin resistance in children and adolescents. METHODS: This is a cross
sectional study with 719 children and adolescents (6-12 years) stratified
according to birth weight (low birth weight [LBW] <2,500 g, adequate birth weight
[ABW] 2,500-3,999 g, and high birth weight [HBW] >=4,000 g). Data collected were
anthropometric data, arterial blood pressure levels, lipid profile, and insulin
resistance (fasting glucose and insulin, used to calculate homeostatic model
assessment-IR). RESULTS: The mean age of schoolchildren was 9.5 +/- 2.0 years and
371 (51.6%) were male. LBW and HBW were observed in 79 of 719 (10.9%) and 40 of
719 (55.6%) children/adolescents, respectively. There was no increased risk of
overweight (OR 0.9; 95% CI 0.4-2.1; p = 0.964) and obesity (OR 1.4; 95% CI 0.6
3.5; p = 0.588) in HBW group compared to LBW and ABW groups. HBW was not
associated with high blood pressure, dyslipidemia, and insulin resistance. The
LBW group was independently associated with higher values of systolic (OR 1.07;
95% CI 1.05-1.10; p < 0.01) and diastolic blood pressure (OR 1.04; 95% CI 1.00
1.07; p = 0.044). CONCLUSION: There was no association between HBW with
overweight/obesity and classic cardiovascular risk factors in this group of
children/adolescents. Only LBW was related to higher blood pressure levels.
PMID- 29649810
TI - Female Gender, Marital and Family Problems, and Feelings of Guilt Are Related to
Self-Immolation Suicide Attempts.
AB - BACKGROUND: Self-immolation (SI) is considered one of the most painful, dramatic,
and at the same time most inexplicable methods of suicide, with a high social
impact. Prevalence rates are particularly high in Iran, and in north-western Iran
specifically. Here, we report sociodemographic, psychological, and psychiatric
characteristics of patients attempting self-immolation (PSIs), compared to
patients with accident burns (PABs). METHOD: Patients referred to the Burns
Emergency Unit of the Besat Hospital (Hamadan, Iran) were enrolled in the present
study between winter 2015 and summer 2016. After burn-related treatments and
surgery, a thorough interview was undertaken covering sociodemographic
characteristics, burn-related information, and psychiatric background. RESULTS: A
total of 79 patients were enrolled. Among these, 19 (31.7%) had attempted suicide
via SI. Compared to the PABs, the PSIs were predominantly females; they reported
family and marital problems as the main triggers for SI. The psychiatric
interviews indicated that PSIs often suffered from major depressive disorders,
adjustment disorders, and bipolar disorders. The prevailing feelings reported
were guilt and shame. A binary logistic regression showed that feelings of guilt
and marital and family problems predicted SI. CONCLUSIONS: The prevalence of SI
was surprisingly high. Marital and family conflicts as a proxy for highly
distressing social interactions, along with female gender and feelings of guilt
and shame were strongly associated with SI. Family and couple counseling
specifically tailored to difficulties experienced by women might reduce the risk
of SI.
PMID- 29649811
TI - Transcription Elongation Factor P-TEFb Is Involved in IL-17F Signaling in Airway
Smooth Muscle Cells.
AB - BACKGROUND: IL-17F is involved in the pathogenesis of several inflammatory
diseases, including asthma and COPD. However, the effects of steroids on the
function of IL-17F signaling mechanisms are largely unknown. One of the
transcription elongation factors, positive transcription elongation factor b (P
TEFb) composed of cyclin T1 and cyclin-dependent kinase 9 (CDK9), is known as a
novel checkpoint regulator of gene expression via bromodomain-containing protein
4 (Brd4). METHODS: Human airway smooth muscle cells were stimulated with IL-17F
and the expression of IL-8 was evaluated by real-time PCR and ELISA. Next, the
phosphorylation of CDK9 was determined by Western blotting. The CDK9 inhibitor
and short interfering RNAs (siRNAs) targeting Brd4, cyclin T1, and CDK9 were used
to identify the effect on IL-17F-induced IL-8 expression. Finally, the effect of
steroids and its signaling were evaluated. RESULTS: IL-17F markedly induced the
transcription of the IL-8 gene and the expression of the protein. Pretreatment of
CDK9 inhibitor and transfection of siRNAs targeting CDK9 markedly abrogated IL
17F-induced IL-8 production. Transfection of siRNAs targeting Brd4 and cyclin T1
diminished IL-17F-induced phosphorylation of CDK9 and IL-8 production. Moreover,
budesonide decreased CDK9 phosphorylation and markedly inhibited IL-17F-induced
IL-8 production. CONCLUSIONS: This is the first report that P-TEFb is involved in
IL-17F-induced IL-8 expression and that steroids diminish it via the inhibition
of CDK9 phosphorylation. IL-17F and P-TEFb might be novel therapeutic targets for
airway inflammatory diseases.
PMID- 29649812
TI - Safety and Efficacy of a Progressively Prolonged Maintenance Interval of Venom
Immunotherapy.
AB - BACKGROUND: The long-term protection provided by venom immunotherapy (VIT) is
related to the dose administered and to its long duration; the latter, however,
becomes inconvenient for patients in countries like Greece, with many islanders
or inhabitants of distant mountainous areas. Maintenance interval prolongation
reduces the number of office visits - saving time and money - and as a
consequence contributes to the patients' compliance. The aim of this prospective
study was to evaluate the safety and efficacy of VIT on a progressively prolonged
maintenance interval (PPMI). METHOD: 450 venom-allergic patients were reviewed
for participation in our study; all of them were initially treated with a
modified rush or an ultrarush protocol using freshly reconstituted, pure venoms.
Upon reaching the maintenance dose, the VIT interval was scheduled to be
gradually prolonged - by 1 week each time - aiming at a maximal interval of 26
weeks. RESULTS: 267/450 patients consented to participate in our VIT PPMI
protocol: 98 were treated with vespid(s) venom, 142 with honeybee venom, and 27
with both. The mean duration of patient follow-up was 9.1 +/- 4.2 years. The
majority of systemic reactions due to VIT injections occurred up to the 8-weeks
PPMI; few additional reactions were documented in a small fraction (2.9%) of our
patient population beyond 9 weeks and up to 16 weeks; all were caused by honeybee
VIT. No reactions were observed during VIT administration at the 26-week
interval. Ninety-six patients reported 204 field sting occurrences by the culprit
insect. Ten systemic reactions (8 mild and 2 moderate in severity) were
registered between the 9- and 18-week PPMI; the honeybee was the culprit insect
in all cases. 108 field stings by the offending insect were sustained beyond the
20- and up to the 26-week PPMI; there were no reactions at all. CONCLUSIONS:
Progressively prolonging the VIT maintenance interval up to 26 weeks appears to
be safe and efficacious.
PMID- 29649813
TI - Different Characteristics, Clinical Outcomes, and Left Atrial Reverse Remodeling
in Patients with Mitral Stenosis Maintaining Sinus Rhythm for at Least 10 Years
after Successful Percutaneous Mitral Valvuloplasty.
AB - BACKGROUND: We aimed to investigate the characteristics and echocardiographic
changes in patients with severe mitral stenosis (MS) who maintained sinus rhythm
(SR) for at least 10 years after successful percutaneous mitral balloon
valvuloplasty (PMV). METHODS: We retrospectively reviewed 107 patients who had
successful PMV and follow-up echocardiography for at least 10 years without
mitral valve surgery. Preprocedural, immediate postprocedural (PMV), and long
term follow-up echocardiography (at least 10 years after PMV) data were reviewed.
RESULTS: The mean follow-up time after PMV was 15 +/- 4 years for SR patients (n
= 50) and 16 +/- 4 years (p = 0.172) for atrial fibrillation (AF) patients (n =
57). The left atrial diameter was significantly decreased in SR patients in the
follow-up echocardiography images compared to its pre-PMV value (46.7 +/- 6.3 vs.
43.3 +/- 6.2 mm, respectively), whereas it was significantly increased in
patients with AF (53.9 +/- 7.1 vs. 58.1 +/- 8.7 mm). In multivariate analysis,
preprocedural AF (odds ratio [OR] 14.50, p = 0.001) and LA diameter >=50 mm (OR
8.81, p < 0.001) were independently associated with increased risk for the
presence of AF after successful PMV. CONCLUSION: Very long-term maintenance of SR
after successful PMV was associated with preprocedural SR and LA diameter < 50
mm.
PMID- 29649814
TI - What Is the Role of Barbed Suture in Laparoscopic Myomectomy? A Meta-Analysis and
Pregnancy Outcome Evaluation.
AB - BACKGROUND: This work analyzes the feasibility and effectiveness of barbed suture
during laparoscopic myomectomy. METHODS: Eight works have been carefully examined
for the meta-analysis from all papers published online until November 2017.
RESULTS: Barbed suture proved to be superior to traditional suture technique in
blood loss in laparoscopic myomectomy (Standardized Mean Difference [SMD] -0.650,
95% CI -1.420 to -0.119, p = 0.098, test for heterogenity p < 0.0001, I2 =
95.54%), Hb drop (SMD -1.452, 95% CI -3.590 to 0.687, p = 0.183, test for
heterogenity p < 0.0001, I2 = 99.08%), suturing difficulty (SMD -0.638, 95% CI
0.935 to -0.342, p <= 0.001, test for heterogenity p = 0.25, I2 = 27.84%),
suturing time (SMD -1.197, 95% CI -1.848 to -0.549, p <=0.001, test for
heterogenity p = 0.0001, I2 = 83.30%) and total operative time (SMD -0.687, 95%
CI -0.804 to -0.569, p <= 0.001, test for heterogenity p = 0.292, I2 = 17.44%).
Barbed suture demonstrated to be better in comparison with the control group even
with regard to the length of hospitalization (SMD -0.278, 95% CI -0.543 to 0.012,
p = 0.040, test for heterogenity p = 0.025, I2 = 61.85%), and to perioperative
complications (SMD 0.708, 95% CI 0.503-0.996, p = 0.048, test for heterogenity p
= 0.79, I2 = 0%). CONCLUSION: Barbed suture significantly facilitates
laparoscopic myomectomy by reducing the total operative/suturing time, estimated
blood loss/Hb drop, and reduction of perioperative complications.
PMID- 29649815
TI - Testicular Germ-Cell Tumours: A Descriptive Analysis of Clinical Characteristics
at First Presentation.
AB - INTRODUCTION: Clinical characteristics of testicular germ cell tumours (GCTs)
apparently change over time, and some vary geographically. The aim of this study
is to document the clinical profile of contemporary GCT patients. PATIENTS AND
METHODS: Four hundred twenty-two Caucasian GCT-patients treated in one German
centre during 2000-2017, were analysed in terms of patient-age, laterality,
histology, tumour-size, clinical stages (CS), pathological (pT)-stages and serum
biomarker expression. The results were analysed descriptively and compared with
the literature. RESULTS: Median age was 36 years and 60.2% had seminoma. Betaeta
human chorionic gonadotropin was expressed in 37.9% and alpha Fetoprotein in
25.6%. CS1 presenting stage was 66.6% of all GCT patients, 79.1% in seminoma, and
47.6% in nonseminoma. Tumour size was significantly associated with pT-stages and
CS. Patients >50 years had significantly more seminoma (77.6%) than younger ones
(57.9%). Comparison with literature data revealed a shifting towards higher age,
lower CS, higher proportion of seminoma and striking differences of
characteristics among geographic regions. CONCLUSIONS: A typical contemporary
clinical profile of testicular GCTs is presented in this study. Median age,
relative incidence of seminoma and proportion of CS1 appear to be increasing over
time. Striking differences among ethnic groups regarding the characteristics of
GCT require further investigation.
PMID- 29649816
TI - In vitro Antichlamydial Activity of 1,2,3,5-Tetrasubstituted Pyrrole Derivatives.
AB - BACKGROUND: Chlamydia is a group of bacterial pathogens distributed worldwide
that can lead to serious reproductive and other health problems. The rise of
antibiotic-resistant pathogens promotes the development of novel antichlamydial
agents. The aim of this study is to assess in vitro antichlamydial activity of
our previously synthesized 1,2,3,5- tetrasubstituted pyrroles. METHODS: The
derivatives were screened for their antichlamydial activity against three
Chlamydia strains by calculating IC50 values using concentration-response
inhibition data between 1 and 32 MUM. The action of the compounds on Chlamydia
elementary body (EB) infectivity and the impact of the chemicals' administration
time on their antichlamydial effect were evaluated to reveal the inhibitory
mechanism. RESULTS: Some of the compounds moderately inhibited the Chlamydia
strains. Compound 10 exhibited the strongest inhibitory activity, with IC50
values from 4.34 to 5.83 MUM. These pyrrole derivatives inhibited Chlamydia
infection by reducing EB infectivity during the early stage and disturbing
Chlamydia growth by targeting the early-to-middle stage prior to 12 h of the
chlamydial life cycle. CONCLUSION: Our findings highlight the potential of
1,2,3,5-tetrasubstituted pyrrole derivatives as promising lead molecules for the
development of antichlamydial agents.
PMID- 29649817
TI - Overactive Bladder Symptoms after Transobturator Sling Surgery for Pure Stress
Urinary Incontinence: A Cross-Sectional Comparative Study.
AB - INTRODUCTION: Transobturator tape (TOT) surgery has been associated with
increased overactive bladder (OAB) although much controversy exists. METHODS: In
a cross-sectional study, women who underwent TOT surgery for pure stress
incontinence (MonarcTM) answered the 6 questions of the symptom bother (SB)
subscale of the OAB questionnaire - short form (OABq-SF) and an additional
question regarding whether symptoms began after surgery. Women with SB score over
the 4th quartile (>=30/100) were reassessed after a longer follow-up. Patients
from primary care were recruited as controls. RESULTS: We recruited 213 patients
(135 in the TOT group and 78 age-adjusted controls). The mean age of operated
patients was 58.7 +/- 10.1 years with a mean follow-up of 25.9 +/- 13.2 months.
OABq-SF SB scores did not differ between the TOT group and controls
(respectively, 18.5 +/- 30 and 15.5 +/- 6.7, p = 0.202). A total of 48% patients
reported no relationship between symptoms and surgery. Highly symptomatic
operated patients were reassessed after a longer follow-up (46.3 +/- 10.6
months). The mean score in the second follow-up (n = 25) was not statistically
different from the first assessment (46.4 +/- 22.7 and 58.1 +/- 19.8, p = 0.059).
CONCLUSIONS: Women who underwent TOT surgery did not show increased OAB SB scores
when compared to controls. Our study suggests that OAB symptoms may thus be
present but overlooked during initial clinical assessment.
PMID- 29649818
TI - The Risk of Human Papillomavirus Infection for Spontaneous Abortion, Spontaneous
Preterm Birth, and Pregnancy Rate of Assisted Reproductive Technologies: A
Systematic Review and Meta-Analysis.
AB - AIMS: To estimate the impact of human papillomavirus (HPV) infection on
spontaneous abortion, spontaneous preterm birth (sPTB), pregnancy rate of females
undergoing assisted reproductive technologies (ART), and spontaneous abortion of
ART pregnancy. METHODS: PubMed, Medline, Embase, and the Cochrane Library were
searched until December 16, 2016. The OR or relative risk (RR) with its
corresponding 95% CI were selected as effect size. Subgroup analysis of HPV
genotype infection (high-risk HPV [HR-HPV] or indiscriminate genotype) was
performed. Statistical analysis was conducted using STATA 12.0. RESULTS: Eighteen
studies were included. Eight studies revealed no significant association between
HPV infection and spontaneous abortion (OR 1.40, 95% CI 0.56-3.50). However,
subgroup analysis showed indiscriminate genotype HPV infection increased the
ratio of spontaneous abortion with OR of 2.24 (95% CI 1.37-3.65), while HR-HPV
infection had no significant effect (OR 0.65, 95% CI 0.21-1.98). The results
indicated that HR-HPV infection was a risk for sPTB with a pooled OR of 2.84 (95%
CI 1.95-4.14). HPV infection was found to be independent of the ART-based
clinical pregnancy rate (RR 1.04, 95% CI 0.64-1.70) and spontaneous abortion of
ART pregnancy (RR 1.47, 95% CI 0.86-2.50). CONCLUSIONS: Indiscriminate HPV
genotype infection can increase the risk of spontaneous abortion and HR-HPV
infection was a risk factor for sPTB. However, there was not enough evidence to
indicate the association between HPV infection and pregnancy rate of ART, and
spontaneous abortion of ART pregnancy. Different genotypes of HPV infection may
play a discrepant role in adverse pregnancy outcomes.
PMID- 29649819
TI - Patent Foramen Ovale and Cryptogenic Stroke or Transient Ischemic Attack: To
Close or Not to Close? A Systematic Review and Meta-Analysis.
AB - BACKGROUND: The optimal strategy of secondary stroke prevention in patients with
patent foramen ovale (PFO) is controversial. This study was performed to evaluate
the efficacy and safety of the device closure (DC) versus the medical therapy
(MT) in patients with cryptogenic stroke or transient ischemic attack (TIA) and
PFO. SUMMARY: Randomized controlled trials with active and control groups
receiving the DC plus MT and MT alone in patients with history of cryptogenic
stroke/TIA and diagnosis of PFO were systematically searched. The main efficacy
outcome was stroke recurrence. Subgroup-analyses were performed according to age,
shunt size, and presence of atrial septal aneurysm (ASA). Safety endpoints
included any serious adverse event (SAE), atrial fibrillation (AF), and major
bleeding complications. Risk ratios (RRs) and hazard ratios (HRs) with 95% CIs
were estimated. Five trials were included, involving 3,440 participants (DC =
1,829, MT = 1,611). There was a protective effect of closure in the risk of
recurrent stroke (RR 0.43 [0.21-0.90]; p = 0.024; HR = 0.39 [0.19-0.83]; p =
0.014). The benefit of PFO closure was significant in patients with PFO
associated with substantial right-to-left shunt or ASA. There were no differences
in the risks of SAEs and major bleedings between the groups. The rate of new
onset AF was higher in the DC than in the MT arm (RR 4.46 [2.35-8.41]; p <
0.001). Successful device implantation and effective PFO closure were achieved in
96 and 91% of the patients respectively. Key Messages: In selected adult patients
with PFO and history of cryptogenic stroke, the DC plus MT is more effective to
prevent stroke recurrence and is associated with an increased risk of new-onset
AF compared to the MT alone.
PMID- 29649820
TI - Accuracy of Acid-Base Diagnoses Using the Central Venous Blood Gas in the Medical
Intensive Care Unit.
AB - BACKGROUND: Acid-base disturbances are frequent in critically ill patients.
Arterial blood gas (ABG) is the gold standard in the diagnosis of these
disturbances, but it is invasive with potential hazards. For patients with a
central venous catheter, venous blood gas (VBG) sampling may be an alternative,
less-invasive diagnostic tool. However, the accuracy of a central VBG-based acid
base disorder diagnosis compared to an ABG is unknown. The primary objective of
this study was to assess the accuracy of a central VBG-based acid-base disorder
diagnosis compared to the "gold standard" ABG in critically ill patients.
METHODS: This was a study of adult patients in a medical intensive care unit that
had simultaneously drawn ABG and central VBG samples. Expert acid-base
diagnosticians, all nephrologists, diagnosed the acid-base disorder(s) in each
blood gas sample. The central VBG diagnostic accuracy was assessed with percent
agreement, sensitivity, and specificity compared to the ABG-based diagnosis.
RESULTS: The study involved 23 participants. Overall, the central VBG had 100%
sensitivity for metabolic acidosis, metabolic alkalosis, and respiratory
acidosis, and lower sensitivity (71%) for respiratory alkalosis, and high percent
agreement, ranging from 75 to 94%. VBG-based diagnoses in vasopressor-dependent
patients (n = 13, 56.5%) performed similarly to the entire sample. CONCLUSIONS:
In critically ill adult patients, central VBG may be used to detect and diagnose
acid-base disturbances with reasonable diagnostic accuracy, even in shock states,
compared to the ABG. This study supports the use of central VBG for diagnosis of
acid-base disturbances in critically ill patients.
PMID- 29649821
TI - 135th Congress of the German Society of Surgery (DGCH).
PMID- 29649822
TI - Abstracts of the 30th Meeting of the European Renal Cell Study Group (ERCSG).
PMID- 29649823
TI - Diagnostic Accuracy of Low and Ultra-Low Dose CT for Identification of Urinary
Tract Stones: A Systematic Review.
AB - BACKGROUND: Non-contrast computed tomography of the kidneys, ureters, and bladder
(CT KUB) is the investigation of choice for renal colic; however, radiation
exposure can be a concern. AIMS: The study aimed to investigate the diagnostic
accuracy of low dose (LD) and ultra-low dose (ULD) CT of the urinary tract for
detection of urinary tract stones in patients with renal colic. METHODS: A
Cochrane style systematic review of the literature from 1995 to 2017 was carried
out. Literature search and data extraction were conducted by 2 reviewers.
Specificity and sensitivity values were calculated for LD (<3.5 mean radiation
dose [mSv]) and ULD (<1.9 mSv) CT separately. RESULTS: A total of 12 studies were
included following screening. A total of 1,529 patients were included in the
review (475 in the LD group and 1,054 in the ULD group). Using standard dose CT
KUB as the reference standard, the sensitivity of LD CT KUB ranged from 90 to 98%
and specificity from 88 to 100%. The sensitivity of ULD CT KUB ranged from 72 to
99% and the specificity ranged from 86 to 100%. The diagnostic accuracy for LD CT
was 94.3% and for ULD CT was 95.5%. CONCLUSIONS: LD and ULD CT KUB provide
effective methods of identifying urinary tract stones. High diagnostic accuracy,
sensitivity, and specificity are maintained despite significant radiation dose
reduction in comparison to standard dose CT.
PMID- 29649824
TI - End-Organ Saturation Differences in Early Neonatal Transition for Left- versus
Right-Sided Congenital Heart Disease.
AB - BACKGROUND: For neonates with congenital heart disease (CHD), left-sided (LL) and
right-sided (RL) single ventricular physiologies (LL, hypoplastic left heart
syndrome; RL, tricuspid atresia or pulmonary atresia with intact ventricular
septum) may demonstrate distinct changes in tissue saturation in the first 72 h
of life. Near-infrared spectroscopy (NIRS) can measure regional cerebral
saturation (Csat) and renal saturation (Rsat) to clarify differences between LL
and RL over time. OBJECTIVES: Our primary objective was to measure changes in
Csat and Rsat in the first 72 h of life using NIRS between CHD infants with LL
compared to RL. The secondary objective was to correlate NIRS values to an
echocardiographic marker of perfusion. METHOD: Newborns with hypoplastic left
heart syndrome, tricuspid atresia, and pulmonary atresia with intact ventricular
septum from 2013 to 2016 underwent routine NIRS monitoring. Csat, Rsat, and
systemic saturations (SpO2) in the first 72 h of life were retrospectively
analyzed and the echocardiographic descending aorta velocity time integral (VTI)
was measured. Mixed effects models compared differences over time between LL and
RL. RESULTS: The final cohort included 13 LL, 12 RL, and 4 controls. Csat
decreased for RL compared to LL (p = 0.005), while Rsat decreased for both (p =
0.008). Over time, SpO2 increased for LL but decreased for RL (p = 0.046).
Compared to the controls, infants with CHD had lower Csat, lower Rsat, and lower
SpO2. The descending aorta VTI was correlated with Rsat (R2 = 0.24, p = 0.02).
CONCLUSION: NIRS Csat measures were better preserved in LL compared to RL. Rsat
decreased in both groups through time. The correlation between the descending
aorta VTI and Rsat suggests an association between NIRS measures of renal
saturation and renal perfusion.
PMID- 29649825
TI - The Role of Staging Laparoscopy in Resectable and Borderline Resectable
Pancreatic Cancer: A Systematic Review and Meta-Analysis.
AB - AIM: The study aimed to determine the additional value of staging laparoscopy in
patients with pancreatic cancer deemed potentially resectable based on computed
tomography imaging. METHODS: A systematic literature search was performed using
MEDLINE and the Cochrane Register of Controlled Trials (January 1995 to June
2017). Primary outcome measures were the overall yield and sensitivity to detect
non-resectable disease. Quality of studies was assessed with the Newcastle-Ottawa
Scale. RESULTS: From 156 records, 15 studies including 2,776 patients met the
inclusion criteria. In 12 studies, reporting outcomes on 1,756 patients with
resectable disease after standard imaging, 350 (20%, range 14-38%) cases of non
resectable cancer were detected with staging laparoscopy. In 3 studies on 242
patients with locally advanced disease after standard imaging, staging
laparoscopy detected metastases in 86 patients (36%). The failure rate of staging
laparoscopy to detect non-resectable disease was 5% (64 of 1,406). CONCLUSION:
Staging laparoscopy reduces the non-therapeutic laparotomy rate, and in locally
advanced or borderline resectable disease, staging laparoscopy could more
accurately select patients for neoadjuvant protocols.
PMID- 29649826
TI - Erratum.
AB - In the abstract of the article by V. Calabrese et al. entitled "Early Diastolic
Dysfunction after Cancer Chemotherapy: Primary Endpoint Results of a Multicenter
Cardio-Oncology Study" [Chemotherapy 2018; 63: 55-63, DOI: 10.1159/000486761],
the sixth sentence should read:"Eighty patients (68 females, 12 males, median age
49 years) were evaluated at 1 week after chemotherapy (T1)."
PMID- 29649827
TI - Effects of Driving Skill Training on Safe Driving in Older Adults with Mild
Cognitive Impairment.
AB - BACKGROUND: Driving cessation is strongly associated with adverse health outcomes
in the older adults. Although there were numerous documentations of driving
rehabilitation in disabled adults, the effects of interventions on safe driving
were not clear in older adults with cognitive impairment who had low driving
skills. OBJECTIVE: This randomized controlled trial was designed to determine
whether a safe driving skill program consisting of classroom and on-road training
could enhance driving performance of older drivers with cognitive impairment in
Japan. METHODS: A total of 160 community-living older drivers participated in the
randomized controlled trial with blinded endpoint assessment. Participants
randomized to intervention underwent 10 1-h classroom sessions and 10 1-h on-road
sessions focused on common problem areas of older drivers. Controls received 1
classroom education. On-road driving performance was assessed by certified
driving school instructors in a driving school. The participants carried out
dynamic vision and cognitive performance tests. RESULTS: One hundred and forty
six (intervention group, n = 71) subjects completed the 3-month follow-up. Mean
adherence to classroom-based vision training and driving simulator training and
on-road training programs, including the 71 participants, was 99.0 +/- 6.4 and
99.0 +/- 7.2%, respectively. Regarding the safe driving skill score, there were
group * time interactions (p < 0.01) indicating benefits of the intervention over
time. Although there were no significant group * time interactions in cognitive
tests, dynamic vision showed group * time interactions (p < 0.01). CONCLUSION:
The driving skill program significantly improved safe driving performance in
older adults with cognitive impairment who were at a potentially high risk of a
car accident.
PMID- 29649828
TI - Risk Analysis for Invasive Fungal Infection after Living Donor Liver
Transplantation: Which Patient Needs Potent Prophylaxis?
AB - BACKGROUND: Invasive fungal infection (IFI) is associated with high mortality
after living donor liver transplant (LDLT). The aim of this study was to identify
the risk factors for post-LDLT IFI for early diagnosis and improvement of
antifungal treatment outcome. METHODS: Risk analysis data were available for all
153 patients who underwent LDLT between January 2005 and April 2012. RESULTS:
During the follow-up period (1,553 +/- 73 days, range 20-2,946 days), 15 patients
(9.8%) developed IFI classified as "proven" (n = 8) and "probable" (n = 7) with
fungal pathogens including Candida spp. (n = 10), Aspergillus spp. (n = 4), and
Trichosporon (n = 2). Of these patients, 7 patients with IFI died despite
treatment. The 1-, 3-, and 5-year survival rates were lower in patients with IFI
than those without IFI (66.7/59.3/44.4 vs. 90.4/85.7/81.8%, respectively; p =
0.0026). Multivariate analysis identified model for end-stage liver disease score
of >=26 (OR 16.0, p = 0.0012) and post-transplant acute kidney injury (RIFLE
criteria I- or F-class; OR 4.87, p = 0.047) as independent risk factors for IFI.
CONCLUSION: Preoperative recipients' status and postoperative kidney dysfunction
can affect an occurrence of post-transplant IFI. These risk factors would be
taken into consideration for designation of proper antifungal therapy.
PMID- 29649829
TI - Relation of Retinopathy of Prematurity to Brain Volumes at Term Equivalent Age
and Developmental Outcome at 2 Years of Corrected Age in Very Preterm Infants.
AB - BACKGROUND: Retinopathy of prematurity (ROP) is a major complication of preterm
birth and has been associated with later visual and nonvisual impairments.
OBJECTIVES: To evaluate relationships between any stage of ROP, brain volumes,
and developmental outcomes. METHODS: This study included 52 very preterm infants
(gestational age [mean +/- SD]: 26.4 +/- 1.9 weeks). Total brain, gray matter,
unmyelinated white matter (UWMV), and cerebellar volumes were estimated in 51 out
of 52 infants by magnetic resonance imaging at term-equivalent age. Bayley Scales
of Infant Development were used to assess developmental outcomes in 49 out of 52
infants at a mean corrected age of 24.6 months. RESULTS: Nineteen out of 52
infants developed any stage of ROP. Infants with ROP had a lower median (IQR)
UWMV (173 [156-181] vs. 204 [186-216] mL, p < 0.001) and cerebellar volume (18.3
[16.5-20] vs. 22.3 [20.3-24.7] mL, p < 0.001) than infants without ROP. They also
had a lower median (IQR) mental developmental index (72 [56-83] vs. 100 [88-104],
p < 0.001) and a lower psychomotor developmental index (80 [60-85] vs. 92 [81
103], p = 0.002). Brain volumes and developmental outcomes did not differ among
infants with different stages of ROP. CONCLUSION: Any stage of ROP in preterm
infants was associated with a reduced brain volume and an impaired developmental
outcome. These results suggest that common pathways may lead to impaired neural
and neurovascular development in the brain and retina and that all stages of ROP
may be considered in future studies on ROP and development.
PMID- 29649830
TI - Healthcare-Associated Infections after Lower Urinary Tract Endoscopic Surgery:
Analysis of Risk Factors, Associated Microorganisms and Patterns of Antibiotic
Resistance.
AB - OBJECTIVES: To review the incidence of healthcare-associated infections/urinary
tract infection (UTI), risk factors, microorganisms isolated and antibiotic
resistances in patients who underwent lower urinary tract endoscopic surgery
(LUTES) in a tertiary care hospital. METHODS: A prospective observational study
was carried out including 1,498 patients who undergo LUTES. Patients with and
without UTI after surgery were compared. We analysed infection incidence, risk
factors, microorganisms isolated and antibiotic resistances. RESULTS:
Postoperative UTI incidence was 4.7%. Risk factors found: higher American Society
of Anesthesiologists classification (OR 2.82; 95% CI 1.8-4.5; p < 0.00),
immunosuppression (OR 2.89; 95% CI 1.2-7.2; p = 0.01), indwelling urinary
catheter prior admission (OR 2.6; 95% CI 1.6-4.2; p < 0.00) and postoperative
catheterization longer than 2 days (OR 1.74; 95% CI 1.7-4.3; p < 0.00).
Transurethral resection of the bladder (TURB) had the highest infection rates
(5.5%). Microorganisms isolated were Pseudomonas aeruginosa (23.5%), Escherichia
coli (17.6%), Klebsiella pneumoniae and Enterococcus spp (11.8%). Resistance
rates for flourquinolones varied between 28 and 80%, and Carbapenem-resistant
Enterobacteriaceae rose up 20%. CONCLUSIONS: Low percentage of UTI after
endoscopic surgery was registered. TURB was the procedure with highest infection
rate. Pseudomonas aeruginosa stands out as the most frequently isolated
microorganism. Patient comorbidities, previous urinary catheter and postoperative
catheter were identified as risk factors.
PMID- 29649831
TI - What Is the Best Way to Prepare A Buccal Mucosa Graft for Urethroplasty? A
Histology-Based Preliminary Report.
AB - PURPOSE: To evaluate the histological characteristics of buccal mucosa samples
prepared using different dissection techniques. METHODS: The buccal mucosae
harvested from 9 men submitted to a substitution urethroplasty were used in this
study. Biopsy specimens of buccal mucosae were grouped according to the
macroscopic appearance after dissection: Group 1 - yellowish appearance (minimal
dissection), Group 2 - whitish appearance (more aggressive dissection), and Group
3 - translucent appearance (ultra-aggressive dissection). The biopsy specimens
were evaluated using histomorphometric techniques. The thicknesses of the graft,
epithelium, and sub-epithelial connective tissue were compared. RESULTS: The
samples from Group 3 and Groups 2 and 3 presented lower total and lower sub
epithelial connective tissue thickness, respectively, when compared with Group 1.
The epithelial thickness was not affected by the dissection method. Muscular and
adipose tissues were present in 77 and 55% of samples from Group 1, respectively,
whereas these were observed in 44 and 22% of samples from Group 2 respectively.
The muscular tissue was observed in 11% of the cases, and the adipose tissue was
not observed in samples prepared with ultra-aggressive dissection. CONCLUSION: We
conclude that an intermediate graft dissection (whitish appearance, as performed
in Group 2) presents the best balance between subepithelial connective tissue
preservation and adipose and muscle tissue removal.
PMID- 29649832
TI - The Impact of Preexisting Chronic Kidney Disease on the Severity and Recovery of
Acute Kidney Injury.
AB - BACKGROUND: Recent observational studies have shown that in chronic kidney
disease (CKD) patients, a significantly smaller percentage of patients with an
episode of acute kidney injury (AKI) have full recovery of renal function
compared to those without CKD. However, precise mechanisms involved in the
incomplete repair after AKI with preexisting CKD have not been completely
ascertained. Here, we assessed the impact of preexisting CKD on the severity and
recovery of AKI in a mouse model of 5/6 nephrectomy. METHODS: Male CD-1 mice
underwent 5/6 nephrectomy (Nx). Six weeks post surgery, ischemia reperfusion
injury (IRI) or a sham operation was performed and functional, histological, and
various molecular parameters were compared between them. RESULTS: Serum
creatinine level on day 1 after IRI was comparable between control and Nx mice.
However, serum creatinine remained significantly higher throughout the recovery
phase in Nx mice compared to control mice. mRNA and protein expression of the
cell cycle regulatory proteins were persistently elevated in Nx mice and this was
associated with significantly increased levels of the G1 cell cycle arrest
markers. Treatment with a p53 inhibitor following IRI resulted in not only
decreased expression of G1 arrest markers but also decreased fibrosis, suggesting
that prolonged epithelial G1 cell cycle arrest might be partially responsible for
impaired recovery from superimposed AKI on CKD. CONCLUSION: Taken together,
reduced nephron mass have a negative effect on the repair process that is
partially mediated by the disruption of the cell cycle regulation.
PMID- 29649834
TI - Aktualisierte Empfehlungen zur Behandlung der Immunthrombozytopenie.
PMID- 29649833
TI - Suctioning Minimally Invasive Percutaneous Nephrolithotomy with a Patented System
Is Effective to Treat Renal Staghorn Calculi: A Prospective Multicenter Study.
AB - OBJECTIVES: To investigate the safety, efficacy, and practicability of minimally
invasive percutaneous nephrolithotomy (MPCNL) with the aid of a patented
irrigation clearance system in treating renal staghorn calculi. METHODS: From
August 2009 to July 2014, 4 hospitals had executed a prospective multicenter
study with a total of 912 cases. The patients were randomly divided into 3
groups: suctioning MPCNL, standard percutaneous nephrolithotomy (PCNL), and
traditional MPCNL groups. Multiple operative and perioperative parameters were
compared. RESULTS: Blood loss and intrapelvic pressure in the suctioning MPCNL
group were significantly less than those in the standard PCNL group. The average
operation time, intrapelvic pressure, and amount of bleeding in the suctioning
MPCNL group were better than those in the traditional MPCNL group. The suctioning
MPCNL used one tract more frequently and 2 or 3 tracts less frequently than the
standard MPCNL and traditional MPCNL groups. The stone-free rate by one surgery
in the suctioning MPCNL group was significantly higher than that in standard PCNL
and traditional MPNCL groups. CONCLUSIONS: Suctioning MPCNL using our patented
system shows several advantages in treating renal staghorn calculi, including
minimal invasion, shorter operation time, lower intrapelvic pressure, less
bleeding and the need for a smaller number of -percutaneous tracts, and higher
stone clearance rate by one -surgery.
PMID- 29649835
TI - Immunthrombozytopenie - aktuelle Diagnostik und Therapie: Empfehlungen einer
gemeinsamen Arbeitsgruppe der DGHO, OGHO, SGH, GPOH und DGTI.
PMID- 29649836
TI - [Flight Routes to Germany of Seriously Ill Children and Adolescents From Former
Eastern Bloc Countries for Treatment of Renal Failure].
AB - BACKGROUND: Increased patient mobility and restricted treatment of children with
end-stage renal disease forced families from the former Eastern Bloc countries to
flee with their children to Germany for adequate medical treatment. METHODS: In a
case study, the patients' charts were analysed retrospectively. In structured
interviews, parents and patients were asked about their flight routes to Germany,
their medical treatment and their integration. RESULTS: From 2003 to 2013, eight
children and adolescents with renal failure were treated with dialysis or renal
transplantation in Erlangen. Most patients came with the help of human
traffickers and a tourist visa. They often told that they had lost their papers
in the excitement. One family received new passports from the trafficker with
fake names and birth dates. The families had to pay high amounts of money in
order to save their child's life. Although dialysis therapy was often difficult
because of lower adherence, the overall course was satisfactory. Four patients
have been transplanted successfully so far. CONCLUSION: This case study reveals
new facets of patient mobility, since leaving home was the only way for the
family to ensure their child's survival. An ethical problems arose, as a chronic
dialysis treatment in children seems ethically only justifiable if a kidney
transplant is the therapeutic goal. .
PMID- 29649837
TI - [Cost-Effectiveness and Cost-Utility Analyses of Antireflux Medicine].
AB - INTRODUCTION: Laparoscopic antireflux surgery and medical therapy with proton
pump inhibitors are gold standards of gastroesophageal reflux treatment. On
account of limited resources and increasing healthcare needs and costs, in this
analysis, not only optimal medical results, but also superiority in health
economics of these 2 methods are evaluated. METHODS: We performed an electronic
literature survey in MEDLINE, PubMed, Cochrane Library, ISRCTN (International
Standard Randomization Controlled Trial Number) as well as in the NHS Economic
Evaluation Database, including studies published until 1/2017. Only studies
considering the effect size of QALY (Quality-Adjusted Life Years) (with respect
to different quality of life-scores) as primary outcome comparing laparoscopic
fundoplication and medical therapy were included. Criteria of comparison were
ICER (Incremental Cost-Effectiveness Ratio) and ICUR (Incremental Cost-Utility
Ratio). Superiority of the respective treatment option for each publication was
worked out. RESULTS: In total, 18 comparative studies were identified in the
current literature with respect to above-mentioned search terms, qualifying for
the defined inclusion criteria. Six studies were finally selected for analyses.
Out of 6 publications, 3 showed superiority of laparoscopic fundoplication over
long-term medical management based on current cost-effectiveness data.
Limitations were related to different time intervals, levels of evidence of
studies and underlying resources/costs of analyses, healthcare systems and
applied quality of life instruments. CONCLUSION: Future prospective, randomized
trials should examine this comparison in greater detail. Additionally, there is a
large potential for further research in the health economics assessment of early
diagnosis and prevention measures of reflux disease and Barrett's
esophagus/carcinoma.
PMID- 29649838
TI - [Limbokeratoplasty to Treat Lattice and Granular Corneal Dystrophies].
AB - The surgical technique of limbokeratoplasty (limbo-KP) was initially established
for the treatment of severe limbal deficiencies. Besides improving visual acuity,
surgery is aimed at ensuring complete, long-lasting epithelialization of the
ocular surface. Due to the extension of the indication spectrum, limbo-KPs are
also used in various forms of epithelial/stromal corneal dystrophies such as
lattice and granular (transforming growth factor beta-induced [TGFBI] gene
mutation associated) dystrophies. The objective of limbo-KP is to ensure
prolonged clear graft survival without a recurrence of the dystrophy as otherwise
observed after conventional keratoplasty.
PMID- 29649839
TI - [The 2016 Activity Report of the Tissue Transplantation and Biotechnology Section
of the German Ophthalmological Society].
PMID- 29649840
TI - [Therapeutic Concepts for Treatment of Patients with Non-infectious Uveitis
Biologic Disease Modifying Antirheumatic Drugs].
AB - Biologic disease modifying antirheumatic drugs (bDMARDs) can be highly efficient
in the treatment of various non-infectious uveitis entities. Currently, the TNF
alpha-inhibitor Adalimumab is the only in-label therapeutic option, whereas, all
other bDMARDs need to be given as an off-label therapy. bDMARDs are indicated in
diseases refractory to conventional synthetic DMARD therapy and/or systemic
steroids, or in patients in whom treatment with those is not possible due to side
effects. Therapeutic mechanisms currently employed are cytokine-specific
(interferons, inhibition of TNF-alpha or of interleukin [IL]-1-, IL-6- or IL-17
signalling), inhibit T cell costimulation (CTLA-4 fusion protein), or act via
depletion of B cells (anti-CD20). All bDMARDs need to be administered
parenterally, and therapy is initiated by the treating internal specialist only
after interdisciplinary coordination of all treating subspecialties and after
exclusion of contraindications. Regular clinical and laboratory monitoring is
mandatory for all patients while under bDMARD therapy.
PMID- 29649841
TI - Gastric peroral endoscopic myotomy for the treatment of refractory gastroparesis:
a multicenter international experience.
AB - BACKGROUND: Gastroparesis is a difficult-to-treat motility disorder with a poor
response to medical therapy. Gastric peroral endoscopic pyloromyotomy (G-POEM)
has been offered as a novel therapy in the treatment of refractory gastroparesis.
We present a multicenter case series of our experience with G-POEM. METHODS:
This is an international multicenter case series of patients who underwent G-POEM
for the treatment of gastroparesis. The severity of gastroparesis was assessed by
delayed gastric emptying scintigraphy (GES) and an elevated gastroparesis
cardinal symptoms index (GCSI). Patients then underwent G-POEM using the
submucosal tunneling technique. The primary endpoint was improvement in the GCSI
score and improvement in gastric emptying on repeat scintigraphy. Secondary
endpoints were technical success, complication rate, procedure duration, and
length of hospital stay post-procedure. RESULTS: G-POEM was technically
successful in all 33 patients. Symptomatic improvement was seen in 28/33 patients
(85 %), with a decrease in symptom score by GCSI from 3.3 to 0.8 at follow-up (P
< 0.001). The mean procedure duration was 77.6 minutes (37 - 255 minutes). Mean
GES improved significantly from 222.4 minutes to 143.16 minutes (P < 0.001).
Complications were minimal and included bleeding (n = 1) and an ulcer (n = 1)
treated conservatively. The mean length of hospital stay post-procedure was 5.4
days (1 - 14 days). The mean follow-up duration was 11.5 months (2 - 31 months).
CONCLUSION: G-POEM is a technically feasible, safe, and successful procedure for
the treatment of refractory gastroparesis. A further multicenter comparative
study should be performed to compare this technique to laparoscopic
pyloromyotomy.
PMID- 29649842
TI - Anabolic Activity of a Soy Extract and Three Major Isoflavones in C2C12 Myotubes.
AB - Isoflavones have been reported to stimulate muscle growth. The aim of this in
vitro study was to examine anabolic activity and associated molecular mechanisms
of a soy extract (SoyEx), isoflavone aglycones, and a mixture simulating the
composition of SoyEx in C2C12 myotubes. C2C12 cells were differentiated into
myotubes. The effects of SoyEx, genistein, daidzein, glycitein, and the mixture
of genistein-daidzein-glycitein (Mix) on myotube diameter and number were
determined. In addition, the expression of genes and proteins associated with
anabolic activity was analyzed. Treatment with SoyEx, genistein, and Mix led to a
significant increase of myotube diameter and an increase of the number of
myotubes per area compared to the control cell. The increase of diameter by SoyEx
was antagonized by an antiestrogen, not by an antiandrogen. Furthermore, gene
expressions of insulin growth factor (IGF)-1 and its receptor (IGF-1R), as well
as protein expression of myosin heavy chain (MHC), were significantly increased
by SoyEx, genistein, and Mix. The effects induced by genistein and Mix were
comparable to SoyEx. In conclusion, SoyEx displays an anabolic activity in C2C12
myotubes by binding to ER and modulating IGF-1 and MHC expression. Our studies
with isoflavone aglycones and Mix indicate that the isoflavone aglycone with the
highest anabolic bioactivity in SoyEx is genistein.
PMID- 29649843
TI - [Aspects of Palliative Care: Finding the Right Balance].
PMID- 29649844
TI - [A 10-Year-Old Boy with a Skin Rash on the Face and on Both Forearms].
PMID- 29649845
TI - [(Early) Palliative Care in Emergency Medicine].
AB - At the end of life patients with a life-limiting disease are often admitted to
emergency departments (ED). Mostly, in the setting of an ED there may not be
enough time to meet the needs for palliative care (PC) of these patients.
Therefore, integration of PC into the ED offers a solution to improve their
treatment. In the outpatient setting a cooperation between prehospital emergency
services, the patient's general practitioner and specialized outpatient PC teams
may allow the patient to die at home - this is what most patients prefer at the
end of life. Furthermore, due to the earlier integration of PC after admission
the hospital stay is shortened. Also the number of PC consultations may increase.
Additionally, a screening of PC hneeds among all patients visiting the ED may be
beneficial: to avoid not meeting existing PC needs and to standardize the need of
PC consultation. An example for such a screening tool is the "Palliative Care and
Rapid Emergency Screening" (P-CaRES).
PMID- 29649846
TI - [Palliative Home Care Teams in Germany].
AB - Since 2007, patients with severe advanced life-limiting illnesses and high,
complex symptom burdens have a right to receive specialized outpatient palliative
care (SAPV). Multi-professional teams with heterogeneous organizational
structures provide care in cooperation with primary care givers, not limited to
cancer patients. The aim of SAPV is to foster patient's autonomy and quality of
life. SAPV can be provided as counseling of patient and care givers, coordination
of care, additional supportive and full care provision. While the basis of SAPV
provision is regulated by a SAPV directive, different contracts between care
providers and health care insurances regulate organization, cooperation,
definition of care levels, service provision and compensation. Some regions have
model contracts that are binding for all SAPV teams in the area; in other regions
teams negotiate e. g. compensation, individually with insurances. The article
gives an overview of the regulations regarding SAPV.
PMID- 29649847
TI - [Special Palliative Care in Patients With Non-oncological Diseases].
AB - Palliative medicine has become an integral part of the German healthcare system
in recent years. However, patients with non-malignant diseases have less access
to palliative care than patients with oncological diseases. These patients
comprise a heterogeneous group of chronic lung and heart diseases, neurological
and geriatric diseases. Their symptom burden and their palliative care needs are
similar to those of oncological patients, but earlier in the disease process.
Physical aspects of the disease process are different from psychological, social
and spiritual aspects. General medical and specialized palliative care should be
offered depending on the complexity of patient's needs. Screening tools are
helpful in identifying patients who need palliative care early in the course of
the disease. Advance planning should be an integral part of caring for these
patients.
PMID- 29649848
TI - [Palliative Sedation - Despite Guidelines a Difficult Process of Decisions].
AB - A distinction needs to be made between intermediate and continuous sedation as
well as between minimal, moderate and deep sedation. To gain ethical acceptance
it is crucial that for palliative sedation (PS) minimally required doses are
administered to decrease suffering. Intermittent PS is used for decreasing
physical symptoms, whereas deep continuous PS is used to minimize intolerable
suffering or psychological symptoms. Precise medical indication and education of
patient and relatives are pre-requirements to any PS. Midazolam is often applied
for PS. Each PS requires frequent monitoring and personal assistance.
PMID- 29649849
TI - [COPD - Importance of Lung Function Testing for Diagnosis and Treatment].
AB - Pulmonary function testing is essential for diagnosis and treatment-guidance of
chronic obstructive pulmonary disease (COPD). Airway obstruction as assessed by
spirometry should follow the reference-values provided by the Global Lung
Initiative (GLI) of the European Respiratory Society (ERS). In addition, lung
function testing should also include the assessment of lung hyperinflation and
pulmonary emphysema by full-body plethysmography and determination of diffusion
capacity. This is important since both, lung hyperinflation and pulmonary
emphysema, can present without existing airway obstruction. Even though this
formally excludes the diagnosis of COPD, these entities still belong to this
disease complex. However, strictly speaking, pharmaceutical treatment is valid
only for those patients with co-existing airway obstruction according to Global
Lung Initiative for Chronic Obstructive Lung Disease (GOLD) criteria - since the
absence of airway obstruction serves as exclusion criterion in nearly all
randomized controlled trials. Nevertheless, progressive symptoms still require
detailed pulmonary function testing for the guidance of non-pharmaceutical
treatment - such as endoscopic or surgical lung volume reduction, long-term
oxygen therapy, long-term non-invasive ventilation, and lung transplantation.
PMID- 29649850
TI - [Diagnostic and Treatment Strategies in Morel-Lavallee Lesions in the Spinal
Column and Pelvis].
AB - BACKGROUND: The Morel-Lavallee lesion is one of the concomitant soft tissue
lesions of pelvic fractures. Its role in spine fractures and its treatment in
combination with osteosynthesis of pelvic or spine fractures have not yet been
determined. The aim of this study was to analyse the best diagnostic and
treatment options of both spine and pelvic fractures combined with Morel-Lavallee
lesions (MLL). METHODS: An analysis of the literature was performed via PubMed
and Medline. This revealed a total of 197 studies and case reports. After
analysing the literature, 19 studies/case reports met our inclusion criteria.
RESULTS: There are several diagnostic options for MLL, including ultrasound,
computed tomography or MRI. In spinal and pelvic lesions, ultrasound is capable
of detecting MLL. Some authors tend to perform open debridement of the MLL,
whereas others recommend percutanous treatment. Open debridement and vacuum
assisted closure are recommended in late diagnosed MLL, where primary suture of
the soft tissue is impossible. Fracture fixation should be performed
simultaneously to treatment of the MLL. Broad-spectrum cephalosporins combined
with an aminoglycoside or piperacilline/tazobactam should be initiated.
CONCLUSION: Radical debridement and drainage are recommended, especially when MLL
is diagnosed late. Repeated ultrasound examinations should be performed of the
surrounding soft tissue of the fracture. When MLL is diagnosed within 2 days,
percutanous fracture and MLL treatment should be performed. After more than 2
days, both fracture and MLL should be treated with open debridement, open
fracture fixation and primary suture if possible.
PMID- 29649851
TI - Primary Malignant Melanoma in the Pineal Region: Case Report and Literature
Review.
AB - BACKGROUND: Primary pineal malignant melanoma is a rare type of primary central
nervous system melanoma with only 19 cases reported in the literature. CASE
REPORT: We present a case of a 53-year-old man with primary melanoma of the
pineal region. He presented to the emergency department with gait unsteadiness
and ataxia. Magnetic resonance imaging (MRI) revealed a 22 * 17 * 22-mm mass in
the pineal region. On MRI the tumor was hyperintense in T1, isointense in T2, and
on T1-weighted images with gadolinium enhancement it appeared homogeneously
hyperintense with hypointense spots. Our patient underwent subtotal tumor
resection as well as radiotherapy. The 52-week MRI control showed no recurrence
of the lesion.After 62 weeks he developed gait ataxia and headaches and was
admitted to the emergency department. Computed tomography revealed tumor bleeding
and obstructive hydrocephalus.An external ventricular drain was placed, but the
bleeding progressed, and 7 days after admission, MRI showed bleeding in the
thalamus and mesencephalon. With a dismal prognosis, therapy was discontinued. He
died 65 weeks after diagnosis. METHODS: We reviewed the available literature
(PubMed) with 19 published cases of primary pineal malignant melanoma and
evaluated the common symptoms at presentation, histopathologic features,
radiologic findings, therapeutic regimens, and time of survival to provide the
most up-to-date treatment. RESULTS: The median age of patients with primary
pineal malignant melanoma is 52 years (female-to-male ratio: 10:10 years); a
common first sign is chronic hydrocephalus. On MRI the lesion presents
hyperintense in T1 and hypo- to isointense in T2. Biopsy or resection and
histopathologic analysis are the key to diagnosis. Common histopathologic
features are melanic pigment (n = 19/20), positive Human Melanoma Black (HMB-45)
marker (n = 13/20), positive S100 protein reactivity (n = 11/20), as well as
positive Melan-A (n = 9/20). The median survival was > 56.5 weeks in patients
treated with resection and radiation. CONCLUSION: Reconsidering the reported
cases surgical treatment followed by radiation increases the length of survival.
PMID- 29649852
TI - Outcome Predictors of the Transforaminal Endoscopic Spine System Technique for
Single-level Lumbar Disk Herniation.
AB - BACKGROUND: Endoscopic spine surgery has become increasingly popular. However,
no study has researched the predictive factors for different outcomes. This study
is the first to evaluate the outcome predictors of the transforaminal endoscopic
spine system (TESSYS) technique for lumbar disk herniation (LDH). METHODS: We
performed a prospective study of 80 patients meeting the inclusion criteria who
underwent TESSYS for LDH. Clinical outcomes were assessed by the visual analog
scale (VAS), the Oswestry Disability Index (ODI), and the modified MacNab
criteria. Univariate and multivariate analyses were performed to evaluate the
outcome predictors. RESULTS: There were 36 men and 44 women with a mean age of
48.76 +/- 15.60 years (range: 24-78 years). The mean follow-up time was 25.15 +/-
9.76 months (range: 12-48 months). The VAS and ODI scores at the last follow-up
were significantly improved (p < 0.001). Based on the modified MacNab criteria,
the global outcomes were excellent in 34 patients (42.5%), good in 26 patients
(32.5%), fair in 11 patients (13.75%), and poor in 9 patients (11.25%). The
percentage of symptomatic improvement was 88.75%, and the success rate (excellent
or good) was 75%. In the univariate and multivariate analyses, LDH with older age
(odds ratio [OR]: 6.621; 95% confidence interval [CI], 0.632-20.846; p = 0.019),
high-intensity zone (HIZ) (OR: 8.152; 95% CI, 0.827-4.380; p = 0.003), and larger
disk herniation (OR: 6.819; 95% CI, 0.113-4.825; p = 0.017) were the most
significant negative outcome predictors. CONCLUSIONS: TESSYS is an effective
method to treat LDH. Older age, the existence of an HIZ, and a large disk
herniation were the most important predictors for a worse outcome.
PMID- 29649853
TI - Phenotypic characteristics of the p.Asn215Ser (p.N215S) GLA mutation in male and
female patients with Fabry disease: A multicenter Fabry Registry study.
AB - BACKGROUND: The p.Asn215Ser or p.N215S GLA variant has been associated with late
onset cardiac variant of Fabry disease. METHODS: To expand on the scarce
phenotype data, we analyzed natural history data from 125 p.N215S patients (66
females, 59 males) enrolled in the Fabry Registry (NCT00196742) and compared it
with data from 401 patients (237 females, 164 males) harboring mutations
associated with classic Fabry disease. We evaluated interventricular septum
thickness (IVST), left ventricular posterior wall thickness (LVPWT), estimated
glomerular filtration rate and severe clinical events. RESULTS: In p.N215S males,
mildly abnormal mean IVST and LVPWT values were observed in patients aged 25-34
years, and values gradually increased with advancing age. Mean values were
similar to those of classic males. In p.N215S females, these abnormalities
occurred primarily in patients aged 55-64 years. Severe clinical events in
p.N215S patients were mainly cardiac (males 31%, females 8%) while renal and
cerebrovascular events were rare. Renal impairment occurred in 17% of p.N215S
males (mostly in patients aged 65-74 years), and rarely in females (3%).
CONCLUSION: p.N215S is a disease-causing mutation with severe clinical
manifestations found primarily in the heart. Cardiac involvement may become as
severe as in classic Fabry patients, especially in males.
PMID- 29649855
TI - A Salmonella Typhi ghost induced by the E gene of phage phiX174 stimulates
dendritic cells and efficiently activates the adaptive immune response.
AB - Previously, we genetically engineered a Salmonella Typhi bacterial ghost (STG) as
a novel inactivated vaccine candidate against typhoid fever. The underlying
mechanism employed by the ghost in stimulating the adaptive immune response
remains to be investigated. In this study, we aimed to evaluate the
immunostimulatory effect of STG on mouse bone marrow-derived dendritic cells
(BMDCs) and its activation of the adaptive immune response in vitro. Immature
BMDCs were stimulated with STG, which efficiently stimulated maturation events in
BMDCs, as indicated by upregulated expressions of CD40, CD80, and major
histocompatibility complex class II molecules on CD11+ BMDCs. Immature BMDCs
responded to STG stimulation by significantly increasing the expression of
interleukin (IL)-6, which might indicate the induction of dendritic cell
maturation in vivo (p < 0.05). In addition, ghost-stimulated murine BMDCs showed
significant expressions of interferon gamma and IL-4, which can drive the
development of Th1 and Th2 cells, respectively, in co-cultured CD4+ T cells in
vitro. These results suggest that STG can effectively stimulate maturation of
BMDCs and facilitate subsequent immune responses via potent immunomodulatory
cytokine responses.
PMID- 29649854
TI - Effect of superoxide dismutase, catalase, and glutathione peroxidase
supplementation in the extender on chilled semen of fertile and hypofertile dogs.
AB - This study investigated the correlation between oxidative stress status and key
canine sperm parameters and the effect of addition of a superoxide dismutase
(SOD), catalase (CAT), and glutathione peroxidase (GPx) combination in egg yolk
tris-citrate glucose (EYT-G) extender on semen during 10 days of storage at 4C.
Ten Boxer dogs were divided into two groups, fertile (F) and hypofertile (H),
depending on pregnancy and live birth rate status in the previous year. Semen
evaluation was performed on the day of collection (D0) and after 5 (D5) and 10
(D10) days of cooled storage. Sperm motility, kinetic parameters, and DNA
integrity were assessed. A correlation between oxidative status and key semen
parameters in both F and H groups was observed. Total and progressive motilities
were significantly higher in the treated (SOD, CAT, and GPx addition) versus
control groups at D10 in both F and H groups, and at D5 in the H group. DNA
integrity was significantly higher in both treated groups (H and F) at D5 and
D10. In conclusion, the addition of SOD, CAT, and GPx in the extender allows
preservation of semen quality for up to 10 days of storage at 4C in both fertile
and hypofertile dogs.
PMID- 29649856
TI - Kilovoltage radiotherapy for companion animals: dosimetric comparison of 300 kV,
450 kV, and 6 MV X-ray beams.
AB - Radiotherapy for the treatment of cancer in companion animals is currently
administered by using megavoltage X-ray machines. Because these machines are
expensive, most animal hospitals do not perform radiotherapy. This study
evaluated the ability of relatively inexpensive kilovoltage X-ray machines to
treat companion animals. A simulation study based on a commercial treatment
planning system was performed for tumors of the brain (non-infectious
meningoencephalitis), nasal cavity (malignant nasal tumors), forefoot (malignant
muscular tumors), and abdomen (malignant intestinal tumors). The results of
kilovoltage (300 kV and 450 kV) and megavoltage (6 MV) X-ray beams were compared.
Whereas the 300 kV and 6 MV X-ray beams provided optimal radiation dose
homogeneity and conformity, respectively, for brain tumors, the 6 MV X-rays
provided optimal homogeneity and radiation conformity for nasal cavity, forefoot,
and abdominal tumors. Although megavoltage X-ray beams provided better radiation
dose distribution in most treated animals, the differences between megavoltage
and kilovoltage X-ray beams were relatively small. The similar therapeutic
effects of the kilovoltage and 6 MV X-ray beams suggest that kilovoltage X-ray
beams may be effective alternatives to megavoltage X-ray beams in treating
cancers in companion animals.
PMID- 29649857
TI - Oxidative stress and hepatic injury induced in mice fed a Sarcocystis hirsuta
cyst extract.
AB - We studied the toxic effects of a Sarcocystis hirsuta cyst extract fed to mice.
Degenerative changes were found in mice gavage-fed fresh, frozen, and heat
treated S. hirsuta cyst extract. There were increases in the levels of serum
aspartate aminotransferase and alanine aminotransferase as well as hepatic and
brain malondialdehyde (MDA) levels along with concomitant decreases in catalase
(CAT) and superoxide dismutase (SOD) activities of mice receiving fresh and
frozen S. hirsuta extracts. Gavage feeding of heat-treated S. hirsuta cyst
extract had no effects on liver enzymes or brain MDA content, but the liver MDA
level did increase. Mice in the heat-treated cyst group showed reduced CAT and
SOD activities as well as increased hepatic MDA levels compared to those in the
control group. These results indicate that an extract of S. hirsuta cyst can
induce oxidative stress and hepatic injury, even after heat treatment.
PMID- 29649858
TI - Pharmacokinetics of enrofloxacin HCl-2H2O (Enro-C) in dogs and
pharmacokinetic/pharmacodynamic Monte Carlo simulations against Leptospira spp.
AB - Pharmacokinetic/pharmacodynamic (PK/PD) ratios of reference enrofloxacin (Enro-R)
and enrofloxacin as HCl-2H2O (Enro-C), as well as Monte Carlo simulations based
on composite MIC50 and MIC90 (MIC, minimum inhibitory concentration) vs.
Leptospira spp., were carried out in dogs after their intramuscular (IM) or oral
administration (10 mg/kg). Plasma determination of enrofloxacin was achieved by
means of high-performance liquid chromatography. Maximum plasma concentration
values after oral administration were 1.47 +/- 0.19 MUg/mL and 5.3 +/- 0.84
MUg/mL for Enro-R and Enro-C, respectively, and 1.6 +/- 0.12 MUg/mL and 7.6 +/-
0.93 MUg/mL, respectively, after IM administration. Areas under the plasma vs.
time concentration curve in 24 h (AUC0-24) were 8.02 MUg/mL/h and 36.2 MUg/mL/h
for Enro-Roral and Enro-Coral, respectively, and 8.55 +/- 0.85 MUg/mL/h and 56.4
+/- 6.21 MUg/mL/h after IM administration of Enro-R and Enro-C, respectively. The
PK/PD ratios and Monte Carlo simulations obtained with Enro-C, not Enro-R,
indicated that its IM administration to dogs will result in therapeutic
concentrations appropriate for treating leptospirosis. This is the first time
enrofloxacin has been recommended to treat this disease in dogs.
PMID- 29649859
TI - Comparison of electrophysiological properties of two types of pre-sympathetic
neurons intermingled in the hypothalamic paraventricular nucleus.
AB - The hypothalamic paraventricular nucleus (PVN) contains two types of neurons
projecting to either the rostral ventrolateral medulla (PVNRVLM) or the
intermediolateral horn (IML) of the spinal cord (PVNIML). These two neuron groups
are intermingled in the same subdivisions of the PVN and differentially regulate
sympathetic outflow. However, electrophysiological evidence supporting such
functional differences is largely lacking. Herein, we compared the
electrophysiological properties of these neurons by using patch-clamp and
retrograde-tracing techniques. Most neurons (>70%) in both groups spontaneously
fired in the cell-attached mode. When compared to the PVNIML neurons, the PVNRVLM
neurons had a lower firing rate and a more irregular firing pattern (p < 0.05).
The PVNRVLM neurons showed smaller resting membrane potential, slower rise and
decay times, and greater duration of spontaneous action potentials (p < 0.05).
The PVNRVLM neurons received greater inhibitory synaptic inputs (frequency, p <
0.05) with a shorter rise time (p < 0.05). Taken together, the results indicate
that the two pre-sympathetic neurons differ in their intrinsic and extrinsic
electrophysiological properties, which may explain the lower firing activity of
the PVNRVLM neurons. The greater inhibitory synaptic inputs to the PVNRVLM
neurons also imply that these neurons have more integrative roles in regulation
of sympathetic activity.
PMID- 29649860
TI - Identification of determinants that mediate binding between Tembusu virus and the
cellular receptor heat shock protein A9.
AB - Heat shock protein A9 (HSPA9), a member of the heat shock protein family, is a
putative receptor for Tembusu virus (TMUV). By using Western blot and co
immunoprecipitation assays, E protein domains I and II were identified as the
functional domains that facilitate HSPA9 binding. Twenty-five overlapping
peptides covering domain I and domain II sequences were synthesized and analyzed
by using an HSPA9 binding assay. Two peptides showed the capability of binding to
HSPA9. Dot blot assay of truncated peptides indicated that amino acid residues 19
to 22 and 245 to 252 of E protein constitute the minimal motifs required for TMUV
binding to HSPA9. Importantly, peptides harboring those two minimal motifs could
effectively inhibit TMUV infection. Our results provide insight into TMUV
receptor interaction, thereby creating opportunities for elucidating the
mechanism of TMUV entry.
PMID- 29649861
TI - A Systematic Review of Benefit of Silicone Intubation in Endoscopic
Dacryocystorhinostomy.
AB - OBJECTIVES: Insertion of a silicone stent during endoscopic dacryocystorhinostomy
(DCR) is the most common procedure to prevent rhinostomy closure. It has been
claimed that silicone intubation improves the surgical outcomes of endoscopic
DCR. However, many reports have documented an equally high success rate for
surgery without silicone intubation. Accordingly, we conducted a systematic
review and meta-analysis to clarify the outcomes of endoscopic DCR with and
without silicone intubation and determine whether silicone intubation is actually
beneficial for patients. METHODS: PubMed, Embase, and Cochrane Library databases
were searched to identify relevant controlled trials evaluating endoscopic DCR
with and without silicone intubation. The search was restricted to English
articles published between January 2007 and December 2016. Relevant articles were
reviewed to obtain information pertaining to interventions and outcomes. We also
performed a meta-analysis of the relevant literature. RESULTS: In total, 1,216
patients included in 12 randomized controlled trials were pooled. A total of
1,239 endoscopic DCR procedures were performed, and silicone stents were used in
533 procedures. The overall success rate for endoscopic DCR was 91.9%
(1,139/1,239), while the success rates with and without silicone intubation were
92.9% (495/533) and 91.2% (644/706), respectively. There was no statistically
significant heterogeneity among the included studies. A meta-analysis using a
fixed-effects models showed no significant difference in the success rate between
endoscopic DCR with silicone intubation and that without silicone intubation (OR,
1.38; 95% CI, 0.89 to 2.12; P=0.148; z=1.45). Furthermore, there were no
significant differences with regard to surgical complications such as synechia,
granulation, and postoperative bleeding. CONCLUSION: The findings of our meta
analysis suggest that the success rate and postoperative complication rate for
endoscopic DCR is not influenced by the use of silicone intubation during the
procedure.
PMID- 29649863
TI - New Taste-Active 3-( O-beta-d-Glucosyl)-2-oxoindole-3-acetic Acids and
Diarylheptanoids in Cimiciato-Infected Hazelnuts.
AB - Activity-guided fractionation in combination with sensory analytics, LC-TOF-MS,
and 1D/2D-NMR spectroscopy enabled the identification of the bitter tasting
diarylheptanoids asadanin, giffonin P, and the previously not reported ( E)
7,9,10,13-tetrahydroxy-1,7-bis(2-hydroxyphenyl)hept-9-en-11-one and 4,12,16
trihydroxy-2-oxatricyclo[13.3.1.13,7]-nonadeca-1(18),3,5,7(20),8,15,17-heptaen as
well as the yet unknown astringent compounds 2-(3-hydroxy-2-oxoindolin-3-yl)
acetic acid 3- O-6'-galactopyranosyl-2"-(2"oxoindolin-3"yl) acetate and 3-( O
beta-d-glycosyl) dioxindole-3-acetic acid in Cimiciato-infected hazelnuts
exhibiting a bitter off-taste. Quantitative LC-MS/MS studies, followed by
dose/activity considerations confirmed for the first time asadanin to be the key
contributor to the bitter taste of Cimiciato-infected hazelnuts. Furthermore,
quantitative studies demonstrated that neither the physical damage alone nor a
general microbial infection is able to initiate a stress-induced asadanin
generation, but most likely either specific Cimiciato-specific microorganisms
associated with the bugs or specific chemical stimulants in the bugs' saliva is
the cause triggering asadanin biosynthesis. Finally, also germination was found
for the first time to activate diarylheptanoid biosynthesis, resulting in higher
contents of bitter tasting phytochemicals and development of the bitter off
taste.
PMID- 29649864
TI - Use of Mercury Isotopes to Quantify Mercury Exposure Sources in Inland
Populations, China.
AB - Mercury (Hg) isotopic compositions in hair and dietary sources from Wanshan (WS)
Hg mining area, Guiyang (GY) urban area, and Changshun (CS) rural area were
determined to identify the major Hg exposure sources of local residents. Rice and
vegetables displayed low delta202Hg and small negative to zero Delta199Hg, and
are isotopically distinguishable from fish which showed relatively higher
delta202Hg and positive Delta199Hg. Distinct isotopic signatures were also
observed for human hair from the three areas. Shifts of 2 to 30/00 in delta202Hg
between hair and dietary sources confirmed mass dependent fractionation of Hg
isotopes occurs during metabolic processes. Near zero Delta199Hg of hair from WS
and CS suggested rice is the major exposure source. Positive Delta199Hg of hair
from GY was likely caused by consumption of fish. A binary mixing model based on
Delta199Hg showed that rice and fish consumption accounted for 59% and 41% of
dietary Hg source for GY residents, respectively, whereas rice is the major
source for WS and CS residents. The model output was validated by calculation of
probable daily intake of Hg. Our study suggests that Hg isotopes can be a useful
tracer for quantifying exposure sources and understanding metabolic processes of
Hg in humans.
PMID- 29649866
TI - A Plasticizer-Free Miniaturized Optical Ion Sensing Platform with Ionophores and
Silicon-Based Particles.
AB - Nanoscale ionophore-based ion-selective optodes (nano-ISOs) are effective sensing
tools for in situ and real time measurements of ion concentrations in biological
and environmental samples. While searching for novel sensing materials, nano-ISOs
free of plasticizers are particularly important for biological and environmental
applications. This work described plasticizer-free nano-ISOs based on Si
containing particles including PEGylated organosilica nanoparticles, PDMS
nanospheres, and SiO2 microspheres, with diameters around 50 nm, 100 nm, and 5
MUm, respectively. The platform enabled the use of highly selective ionophores,
where the nanomatrices played important roles in tuning the ion-carrier complex
formation constants and led to better selectivity for the PEGylated organosilica
nano-ISOs than those based on PDMS. With use of the versatile silica chemistry,
pH and ion dual sensing was achieved on SiO2 microspheres. In addition,
increasing the cross-linking degree of the PDMS nano-ISOs extended the linear
response range, and cellular uptake experiments showed that the nano-ISOs could
readily enter HeLa cells with very low cytotoxicity.
PMID- 29649865
TI - Sb2Te3 and Its Superlattices: Optimization by Statistical Design.
AB - The objective of this work is to demonstrate the usefulness of fractional
factorial design for optimizing the crystal quality of chalcogenide van der Waals
(vdW) crystals. We statistically analyze the growth parameters of highly c axis
oriented Sb2Te3 crystals and Sb2Te3-GeTe phase change vdW heterostructured
superlattices. The statistical significance of the growth parameters of
temperature, pressure, power, buffer materials, and buffer layer thickness was
found by fractional factorial design and response surface analysis. Temperature,
pressure, power, and their second-order interactions are the major factors that
significantly influence the quality of the crystals. Additionally, using tungsten
rather than molybdenum as a buffer layer significantly enhances the crystal
quality. Fractional factorial design minimizes the number of experiments that are
necessary to find the optimal growth conditions, resulting in an order of
magnitude improvement in the crystal quality. We highlight that statistical
design of experiment methods, which is more commonly used in product design,
should be considered more broadly by those designing and optimizing materials.
PMID- 29649867
TI - Excellent NiO-Ni Nanoplate Microwave Absorber via Pinning Effect of
Antiferromagnetic-Ferromagnetic Interface.
AB - Materials with strong magnetic property that can provide excellent microwave
absorption performance are highly desirable, especially if their dielectric and
magnetic properties can be easily modulated, which make minimal thickness and
ultrawide bandwidth become achievable. The magnetic properties of ferromagnetic
(FM) and antiferromagnetic (AFM) composite materials are closely related to their
ratio of composition, size, morphology, and structure. AFM-FM composites have
become a popular alternative for microwave absorption; however, the controllable
design and preparation need to be urgently optimized. Here, we have successfully
prepared a series of platelike NiO-Ni composites and demonstrated the potential
of such composites for microwave absorption. Strong magnetic coupling was found
from NiO-Ni nanoparticles by electron holography, which makes NiO-Ni composites a
highly efficient microwave absorber (strong reflection loss: -61.5 dB and broad
bandwidth: 11.2 GHz, reflection loss < -10 dB). Our findings are helpful to
develop a strong microwave absorber based on magnetic coupling.
PMID- 29649868
TI - Hybrid Black Phosphorus/Zero-Dimensional Quantum Dot Phototransistors: Tunable
Photodoping and Enhanced Photoresponsivity.
AB - Recently, black phosphorus (BP) with direct band gap exhibited excellent
potential for optoelectronic applications because of its high charge carrier
mobility and low dark current as well as the variable band gap of 0.3-1.5 eV
depending on the number of layers. However, few-layer BP-based phototransistors
(photo-FETs) have been limited in sensitivity and wavelength selectivity. To
overcome the drawback of these photo-FETs, we studied hybrid photo-FETs combined
with the novel properties of the two materials between the channel and sensitizer
layers. By combining a strong absorbance of a quantum dot (QD) layer and a two
dimensional layer material with high carrier mobility, the hybrid photo-FETs are
expected to produce high-performance photodetectors that can effectively control
the responsivity, detectivity, and response time. In this study, we demonstrate
that the photogenerated carriers formed from QD sensitizer layers migrate to the
BP transport layer with high charge mobility and not only improve the
photodetector performance but also enhance the photodoping effect of the BP
transport layer with an ambipolar characteristic by electrons transferred from n
type CdSe QDs or holes injected from p-type PbS QDs. The responsivity and
detectivity of hybrid BP/0D photo-FETs exhibit 1.16 * 109 A W-1 and 7.53 * 1016
Jones for the BP/CdSe QD photo-FET and 5.36 * 108 A W-1 and 1.89 * 1016 Jones for
the BP/PbS QD photo-FET, respectively. The photocurrent rise (taurise) and decay
(taudecay) times were taurise = 0.406 s and taudecay = 0.815 s for BP/CdSe QD
photo-FET and taurise = 0.576 s and taudecay = 0.773 s for BP/PbS QD photo-FET,
respectively.
PMID- 29649869
TI - Floating and Tether-Coupled Adhesion of Bacteria to Hydrophobic and Hydrophilic
Surfaces.
AB - Models for bacterial adhesion to substratum surfaces all include uncertainty with
respect to the (ir)reversibility of adhesion. In a model, based on vibrations
exhibited by adhering bacteria parallel to a surface, adhesion was described as a
result of reversible binding of multiple bacterial tethers that detach from and
successively reattach to a surface, eventually making bacterial adhesion
irreversible. Here, we use total internal reflection microscopy to determine
whether adhering bacteria also exhibit variations over time in their
perpendicular distance above surfaces. Streptococci with fibrillar surface
tethers showed perpendicular vibrations with amplitudes of around 5 nm,
regardless of surface hydrophobicity. Adhering, nonfibrillated streptococci
vibrated with amplitudes around 20 nm above a hydrophobic surface. Amplitudes did
not depend on ionic strength for either strain. Calculations of bacterial
energies from their distances above the surfaces using the Boltzman equation
showed that bacteria with fibrillar tethers vibrated as a harmonic oscillator.
The energy of bacteria without fibrillar tethers varied with distance in a
comparable fashion as the DLVO (Derjaguin, Landau, Verwey, and Overbeek)
interaction energy. Distance variations above the surface over time of bacteria
with fibrillar tethers are suggested to be governed by the harmonic oscillations,
allowed by elasticity of the tethers, piercing through the potential energy
barrier. Bacteria without fibrillar tethers "float" above a surface in the
secondary energy minimum, with their perpendicular displacement restricted by
their thermal energy and the width of the secondary minimum. The distinction
between "tether-coupled" and "floating" adhesion is new, and may have
implications for bacterial detachment strategies.
PMID- 29649870
TI - Oxygen- and Water-Induced Energetics Degradation in Organometal Halide
Perovskites.
AB - Organometal halide perovskites are under rapid development, and significant focus
has been placed on their stability that currently presents a major obstacle for
practical application. Energetics plays a vital role in charge
injection/extraction and transport properties in devices. Here, we in situ
investigate oxygen- and water-induced energetics degradation in organometal
halide perovskite films. Oxygen gas induces an upward shift of the vacuum level
of the perovskite films because of the formation of an oxygen-induced surface
dipole, water vapor causes a significant vacuum-level downshift, and the valence
band binding energy referenced to the Fermi level simultaneously increases so as
to keep the ionization potential of the perovskite films unchanged. Moreover, the
chemical compositions, crystalline structures, surface morphologies, and
dynamical properties also are monitored and analyzed in detail. These results are
indispensable to understand the degradation mechanisms and to perform the
optimizations of stable materials and devices in the future.
PMID- 29649871
TI - High-Pressure Evolution of Crystal Bonding Structures and Properties of FeOOH.
AB - Recent conflicting reports on the high-pressure structural evolution of iron
oxide-hydroxide (FeOOH) offer starkly contrasting scenarios for the hydrogen and
oxygen cycles in Earth's interior. Here we explore the crystal structures of
FeOOH using an advanced search algorithm combined with first-principles
calculations. Our results indicate a phase transition around 70 GPa from the
known epsilon-FeOOH to a new pyrite-type FeOOH (P-FeOOH) phase, and the two
phases remain nearly degenerate in an unusually large pressure range. These
findings clarify and explain the experimentally observed structural evolution and
extensive phase coexistence. Moreover, our structure search identifies a
previously unknown monoclinic (M-FeOOH) phase that is energetically close to P
FeOOH at pressures near the core-mantle boundary. We further reveal that the high
pressure FeOOH phases exhibit remarkably distinct sound-velocity profiles,
providing key material properties essential to interpreting seismic data and
elucidating FeOOH's influence on geophysical and geochemical processes in deep
Earth.
PMID- 29649872
TI - First-Principles Investigation of the Formation of Pt Nanorafts on a Mo2C Support
and Their Catalytic Activity for Oxygen Reduction Reaction.
AB - We use first-principles calculations to study the formation of Pt nanorafts and
their oxygen reduction reaction (ORR) catalytic activity on Mo2C. Due to the high
Pt binding energy on C atoms, Pt forms sheet-like structures on the Mo2C surface
instead of agglomerating into particles. We find that the disordered Mo2C surface
carbon arrangement limits the Pt sheet growth, leading to the formation of 4-6
atom Pt nanorafts. The O-O repulsion between the O atoms on the Mo2C and O
adsorbate enhances the ORR activity by weakening the O adsorption energy. We find
a significant change from the usual scaling of the energies of the intermediates
in the ORR pathway and a strong interaction between the nanoraft and water that
lead to a high activity of the Pt nanorafts. Fundamentally, our work demonstrates
that the activity of metal catalysts can be strongly affected by manipulation of
the atomic arrangement of the supporting carbide surface.
PMID- 29649873
TI - pH Dependency in Anode Biofilms of Thermincola ferriacetica Suggests a Proton
Dependent Electrochemical Response.
AB - Monitoring the electrochemical response of anode respiring bacteria (ARB) helps
elucidate the fundamental processes of anode respiration and their rate
limitations. Understanding these limitations provides insights on how ARB create
the complex interfacing of biochemical metabolic processes with insoluble
electron acceptors and electronics. In this study, anode biofilms of the
thermophilic (60 degrees C) Gram-positive ARB Thermincola ferriacetica were
studied to determine the presence of a proton-dependent electron transfer
response. The effects of pH, the presence of an electron donor (acetate), and
biofilm growth were varied to determine their influence on the electrochemical
midpoint potential ( EKA) and formal redox potential ( E degrees ') under
nonturnover conditions. The EKA and E degrees ' are associated with an enzymatic
process within ARB's metabolism that controls the rate and energetic state of
their respiration. Results for all conditions indicate that pH was the major
contributor to altering the energetics of T. ferriacetica anode biofilms.
Electrochemical responses measured in the absence of an electron donor and with a
minimal proton gradient within the anode biofilms resulted in a 48 +/- 7 mV/pH
unit shift in the E degrees ', suggesting a proton-dependent rate-limiting
process. Given the limited energy available for anode respiration (<200 mV when
using acetate as electron donor), our results provide a new perspective in
understanding proton-transport limitations in ARB biofilms, one in which ARB are
thermodynamically limited by pH gradients. Since the anode biofilms of all ARB
that perform direct extracellular electron transfer (EET) investigated thus far
exhibit an n = 1 Nernstian behavior, and because this behavior is affected by
changes in pH, we hypothesize that the Nernstian response is associated with
membrane proteins responsible for proton translocation. Finally, this study shows
that the EKA and E degrees ' are a function of pH within the physiological range
of ARB, and thus, given the significant effect pH has on this parameter, we
recommend reporting the EKA and E degrees ' of ARB biofilms at a specific bulk
pH.
PMID- 29649874
TI - Structural Dynamics of the Oxygen-Evolving Complex of Photosystem II in Water
Splitting Action.
AB - Oxygenic photosynthesis in nature occurs via water splitting catalyzed by the
oxygen-evolving complex (OEC) of photosystem II. To split water, the OEC cycles
through a sequence of oxidation states (S i, i = 0-4), the structural mechanism
of which is not fully understood under physiological conditions. We monitored the
OEC in visible-light-driven water-splitting action by using in situ, aqueous
environment surface-enhanced Raman scattering (SERS). In the unexplored low
frequency region of SERS, we found dynamic vibrational signatures of water
binding and splitting. Specific snapshots in the dynamic SERS correspond to
intermediate states in the catalytic cycle, as determined by density functional
theory and isotopologue comparisons. We assign the previously ambiguous
protonation configuration of the S0-S3 states and propose a structural mechanism
of the OEC's catalytic cycle. The findings address unresolved questions about
photosynthetic water splitting and introduce spatially resolved, low-frequency
SERS as a chemically sensitive tool for interrogating homogeneous catalysis in
operando.
PMID- 29649875
TI - Doubly pH Responsive Emulsions by Exploiting Aggregation of Oppositely Charged
Nanoparticles and Polyelectrolytes.
AB - We present a simple and modular approach to realize highly stable pH responsive
Pickering emulsion from mixtures of commercially available oppositely charged
nanoparticle and polyelectrolyte. While highly charged nanoparticles and
polyelectrolytes when used solely do not stabilize emulsions, we show that the
electrostatic attraction between oppositely charged nanoparticles and
polyelectrolytes can be exploited to formulate emulsions with long-term stability
of up to 8 months. The Ludox CL nanoparticles and poly(4-styrenesulfonate) sodium
salt (PSS) when dispersed in aqueous solution at pH 2-11 form particle
polyelectrolyte complexes (PPCs) due to heteroaggregation. These complexes are
effective in stabilizing oil-in-water Pickering emulsions. We demonstrate that
this is due to the formation of weakly charged complexes that are surface active
and hence readily adsorbed to the oil-water interface created during
emulsification. We show that the composition of nanoparticles and
polyelectrolytes in the mixture as well as the pH can be tuned to control the
average diameter of the emulsions droplets. Immediate destabilization and doubled
responsiveness of the emulsions stabilized by particle polyelectrolyte complexes
are illustrated by changing the pH of the stable emulsions formed at intermediate
pH to either 1 or 13. The aggregation behavior of nanoparticle-polyelectrolyte
mixtures and the effect of various parameters such as mixing fraction, pH, and
energy input on the formation of Pickering emulsions is discussed. Furthermore,
we show that the formation of near charge neutral aggregates that exhibit optimal
wetting conditions is a requirement to accomplish emulsion formation. The
visualization of particle polyelectrolyte complexes around the emulsion droplets,
their morphology prior to emulsification, and their wetting properties are also
investigated to elucidate the mechanism of emulsification.
PMID- 29649877
TI - beta- N-Methylamino-l-alanine (BMAA) Not Involved in Alzheimer's Disease.
AB - beta- N-Methylamino-l-alanine (BMAA) is a neurotoxic agent implicated in ALS as
well as Parkinson's and Alzheimer's diseases. It is produced by blue-green algae
and could find its way via fish and seafood into the human food supply. Isolation
from biological samples yields the compound in monomeric and protein-bound form.
It has been suggested that the protein-bound fraction may result from genetic
misincorporation into proteins in place of serine. Concomitant misfolding of the
mutated proteins may be responsible for the neurological diseases. Recent reports
that contradict the misincorporation theory leave unresolved the nature of the
protein-bound form of BMAA. We have found from quantum mechanical calculations on
model systems that it is possible to bind BMAA with high affinity in a
noncovalent fashion to proteins. Because of our interest in Alzheimer's disease,
molecular dynamics simulations were applied to search for such binding between
BMAA and the beta-amyloid peptide and to discover whether replacement of either
of its two serine residues could affect its aggregation into neurotoxic
oligomers. No stable noncovalently bound complex was found, and it was concluded
that incorporation of BMAA in place of serine would not alter the conformational
dynamics of the beta-amyloid peptide.
PMID- 29649876
TI - Biomolecular Simulations under Realistic Macroscopic Salt Conditions.
AB - Biomolecular simulations are typically performed in an aqueous environment where
the number of ions remains fixed for the duration of the simulation, generally
with either a minimally neutralizing ion environment or a number of salt pairs
intended to match the macroscopic salt concentration. In contrast, real
biomolecules experience local ion environments where the salt concentration is
dynamic and may differ from bulk. The degree of salt concentration variability
and average deviation from the macroscopic concentration remains, as yet,
unknown. Here, we describe the theory and implementation of a Monte Carlo
osmostat that can be added to explicit solvent molecular dynamics or Monte Carlo
simulations to sample from a semigrand canonical ensemble in which the number of
salt pairs fluctuates dynamically during the simulation. The osmostat reproduces
the correct equilibrium statistics for a simulation volume that can exchange ions
with a large reservoir at a defined macroscopic salt concentration. To achieve
useful Monte Carlo acceptance rates, the method makes use of nonequilibrium
candidate Monte Carlo (NCMC) moves in which monovalent ions and water molecules
are alchemically transmuted using short nonequilibrium trajectories, with a
modified Metropolis-Hastings criterion ensuring correct equilibrium statistics
for an ( DeltaMU, N, p, T) ensemble to achieve a ~1046* boost in acceptance
rates. We demonstrate how typical protein (DHFR and the tyrosine kinase Src) and
nucleic acid (Drew-Dickerson B-DNA dodecamer) systems exhibit salt concentration
distributions that significantly differ from fixed-salt bulk simulations and
display fluctuations that are on the same order of magnitude as the average.
PMID- 29649879
TI - Special Issue on Drug Discovery for Global Health.
PMID- 29649878
TI - 2nd SCI/RSC Symposium on Medicinal Chemistry for Global Health: A Unique
Opportunity for the Field.
PMID- 29649880
TI - Pulmonary inflammatory myofibroblastic tumor: a case report.
AB - Pulmonary inflammatory myofibroblastic tumor is a rare disease. Computed
tomography in a 54-year-old woman showed a mass compressing the segmental
bronchus of the left lung. Bronchoscopy indicated a mesenchymal tumor, hamartoma,
or inflammatory myofibroblastic tumor. Because an inflammatory myofibroblastic
tumor can potentially clog the segmental bronchus, we performed a left upper
division segmentectomy. Pathologic examination revealed an inflammatory
myofibroblastic tumor. In most cases, preoperative diagnosis is difficult because
of the varied radiologic manifestations, and it can also be difficult to
distinguish from malignancy in small tissue samples.
PMID- 29649881
TI - Dual drainage total anomalous pulmonary venous connection: a rare mixed variant.
AB - The mixed type of total anomalous pulmonary venous connection is the least common
variant, occurring in approximately 5% of all patients. Dual drainage through a
common venous confluence is much rarer. Computed tomography to delineate the
exact pulmonary venous anatomy is a must in such cases. Correct preoperative
recognition and intraoperative confirmation to check the drainage of all 4
pulmonary veins is essential in all cases of total anomalous pulmonary venous
connection. We report the case of an adult patient with dual drainage to coronary
sinus and left vertical vein to innominate vein.
PMID- 29649882
TI - Local Atopy in Childhood Adenotonsillar Hypertrophy.
AB - Background Although the cause of adenotonsillar hypertrophy remains unknown, some
studies have shown that allergy may be a risk factor. Purpose This study
determined the levels of allergen-specific immunoglobulin E (sIgE) in the
adenotonsillar tissues of children with adenotonsillar hypertrophy and evaluated
the clinical significance of local atopy in adenotonsillar tissues. Methods We
measured 21 types of specific immunoglobulin E in the serum and adenotonsillar
tissues of 102 children with adenotonsillar hypertrophy and compared the
sensitization patterns of the serum and local tissues. The patients were divided
into three groups-atopy, local atopy, and nonatopy-according to the sensitization
of serum and adenotonsillar tissues, and the clinical symptoms among the groups
were analyzed. Results Seventy-two (70.6%) children with adenotonsillar
hypertrophy were sensitized to more than one allergen in the serum and/or
adenotonsillar tissue. Thirty (29.4%) children had no IgE positivity to any
allergen in both serum and adenotonsillar tissues. Fifty-five (53.9%) were
sensitized to at least one allergen in the serum. Seventy (68.6%) were sensitized
to at least one allergen in the adenotonsillar tissue. Seventeen (36.2%) of 47
children with specific immunoglobulin E-negative serum had specific
immunoglobulin E-positive adenotonsillar tissues. The rate of specific
immunoglobulin E was significantly higher in local tissues than in serum. The
rate of inhalant allergen specific immunoglobulin E was significantly higher in
the adenoids than in the tonsils. However, the rate of food allergen specific
immunoglobulin E was significantly higher in the tonsils than adenoids. The
lifetime prevalence of asthma and allergic rhinitis, recent symptoms or treatment
of allergic rhinitis, and severity of nasal symptoms (rhinorrhea, sneezing, and
nasal itching) were significantly higher in children with local atopy than with
nonatopy. Conclusions These results confirm that allergic response may be a risk
factor for adenotonsillar hypertrophy. Local allergic inflammation may play an
important role in childhood adenotonsillar hypertrophy, and local atopy in
adenotonsillar tissues can cause respiratory allergic symptoms in children.
PMID- 29649883
TI - Role of Geographic Information System in Assessing Determinants of Cardiovascular
Disease: An Experience From a Low- and Middle-Income Country.
AB - Cardiovascular disease (CVD) is the leading cause of morbidity and mortality in
the world. The determinants of CVD in an urban population using conventional and
geographic information system techniques were attempted as a community-based
census-type cross-sectional study in Kerala, India, among 1649 individuals
residing in 452 households. Sociodemographic details, risk factor exposures, and
self-reported disease prevalence were determined. Location of houses, wells from
which subjects drew drinking water, and distances of the house from the outer
road (proxy for air pollution) were mapped using differential global positioning
system and pH of water samples determined. Prevalence of CVD was 5.8%.
Significant predictors of CVD were male gender, diabetes mellitus, hypertension,
and hypothyroidism. Statistically significant spatial association was found
between CVD and groundwater pH. Geographic information system technology is
useful in identification of spatial clustering and disease hotspots for designing
preventive strategies targeting CVD.
PMID- 29649884
TI - The Pharmacologic Role and Clinical Utility of PCSK9 Inhibitors for the Treatment
of Hypercholesterolemia.
AB - In addition to monoclonal antibodies against proprotein convertase subtilisin
kexin type 9 (PCSK9), vaccines against PCSK9 and smaller molecule inhibitors as
well as RNA inhibitors of PCSK9 production have been created. The monoclonal
antibodies against PCSK9 and the PCSK9 RNA inhibitors can reduce low-density
lipoproteins (LDLs) by over 50%, non-high-density lipoprotein (HDL) cholesterol
and triglycerides, and increasing HDL. Although effective in several homozygous
familial hypercholesterolemia patient types, PCSK9 inhibitors does not work in
all patient types. Outcome trials show no effects on mortality but do show
reductions in atherosclerotic events such as myocardial infarctions, strokes, and
need for coronary revascularization. PCSK9 inhibitors have a very attractive
safety profile with no significant elevations in measures of muscle or liver
damage. The current and more advanced experimental agents all require
subcutaneous dosing, and injection site reactions are among the most common
adverse events. Therapy for the Food and Drug Administration (FDA) approved
agents is markedly expensive, and this is the primary barrier to utilization.
However, it is possible to identify patients with a number needed to treat to
prevent an atherosclerotic event low enough to render it cost-effective and one
such factor is whether or not you require a 50% reduction in LDL in order to
achieve your LDL goal.
PMID- 29649885
TI - Efficacy and Safety of S-Amlodipine 2.5 and 5 mg/d in Hypertensive Patients Who
Were Treatment-Naive or Previously Received Antihypertensive Monotherapy.
AB - The aim of the present study was to evaluate the efficacy and safety of S
amlodipine 2.5 and 5 mg/d in patients with hypertension who were treatment-naive
or previously received antihypertensive monotherapy. During the 8-week treatment
period, all patients received S-amlodipine 2.5 mg/d for the first 4 weeks,
followed by S-amlodipine 5 mg/d for the second 4 weeks. For efficacy assessments,
ambulatory and office blood pressure (BP) measurements were performed during the
baseline, fourth-week, and eighth-week visits. For safety assessments, all
adverse events and abnormal laboratory findings were recorded. This study is
registered with ClinicalTrials.gov (NCT03038451). Of 43 patients evaluated at the
screening visit, 33 were enrolled. In the treatment-naive arm, significant
reductions in both office and ambulatory systolic BP (SBP) and diastolic BP (DBP)
were observed with S-amlodipine 2.5 mg/d and additional significant reductions
were achieved with dose titration (S-amlodipine 5 mg/d). At the end of the study,
the rate of the treatment-naive patients with BP under control (SBP/DBP <140/90
mm Hg) was 53% with S-amlodipine 2.5 mg and increased to 78% with S-amlodipine 5
mg. For the noninferiority evaluation, S-amlodipine 2.5 and 5 mg/d treatments
were generally noninferior to both office and ambulatory BP levels achieved with
the medications that the patients received before participating in the study.
Five nonserious adverse events likely to be associated with the study drug were
observed. No serious adverse event was encountered. Consequently, S-amlodipine
can be suggested as an effective and safe treatment option for patients with
hypertension.
PMID- 29649886
TI - Cultural Adaptations to the Measurement of Attitudes to Ageing: Psychometric
Assessment of the Malay Reactions to Ageing Questionnaire.
AB - The aims of this study were to culturally adapt the Reactions to Ageing
Questionnaire (RAQ) for a non-Western (Malay) population, and explore attitudes
to ageing in relation to age, gender, and education. Eight new culturally
relevant items were generated by asking Malay-speaking participants about their
reactions to ageing. A Malay version of the extended 35-item RAQ was then
administered to 911 Malaysian participants aged 18 to 60 years. Exploratory
factor analysis revealed four factors. Three of the factors were similar to those
identified in the original RAQ, while "Family and Religion" emerged as a new
factor. More negative attitudes to ageing were observed in younger and female
respondents. There were no effects of education. This culturally adapted RAQ
exhibits robust psychometric properties, and could be used to assess attitudes to
ageing in Malaysia and other Southeast Asian countries. Moreover, we have
identified a "core set" of RAQ items that could be applicable worldwide.
PMID- 29649887
TI - Clinical Effect of Endoscopic Vidian Neurectomy on Bronchial Asthma Outcomes in
Patients with Coexisting Refractory Allergic Rhinitis and Asthma.
AB - Background The prevalence of both allergic rhinitis and bronchial asthma is high
throughout the world; their mutual influence on each other has been documented in
many studies. However, studies regarding surgical intervention are limited.
Objective To evaluate the clinical significance of endoscopic vidian neurectomy
on bronchial asthma outcomes in patients with coexisting refractory allergic
rhinitis and asthma. Methods A total of 109 patients with moderate to severe
persistent intractable allergic rhinitis and mild/moderate asthma were allocated
to the bilateral endoscopic vidian neurectomy group (group 1) or conservative
medication group (group 2) according to the patients' self-selection. The
Rhinoconjunctivitis Quality of Life Questionnaire, Visual Analog Scale, Asthma
Quality of Life Questionnaire, Total Asthma Symptom Score, and medication scores
were evaluated at six months, one year, and three years after undergoing the
initial treatments. Multivariate analysis was performed to determine which
triggers of asthma attacks were associated with improved asthma outcomes in
patients. Results Ninety-five patients were followed up for at least three years.
Postoperative scores of Rhinoconjunctivitis Quality of Life Questionnaire and
Visual Analog Scale were significantly lower than preoperative scores during
follow-up in group 1 and were significantly lower than those of group 2.
Postoperative scores of Asthma Quality of Life Questionnaire at the three follow
up time points were higher than the preoperative scores in group 1. The Total
Asthma Symptom Score was not significantly decreased in group 1. The medication
scores for allergic rhinitis and asthma were gradually reduced after surgery. At
the end of the follow-up, the improvement rates for allergic rhinitis and asthma
were 90.6% and 45.3%, respectively. Asthma outcomes were significantly improved
by controlling rhinitis symptoms in patients whose asthma attacks were induced by
"rhinitis onset" or "weather change." Conclusion Controlling allergic rhinitis
symptoms by bilateral endoscopic vidian neurectomy can significantly improve
asthma outcomes in patients whose asthma attacks are induced by rhinitis onset
and/or cold air.
PMID- 29649888
TI - Factors affecting occupational therapists' decision to join their regional
professional association: Facteurs influencant la decision des ergotherapeutes de
joindre les rangs de leur association professionnelle regionale.
AB - BACKGROUND: Voluntary occupational therapy organizations fill an important role.
However, recruitment and retention can be problematic. Little is known about
factors influencing occupational therapists to join/maintain membership in
professional associations. PURPOSE: This study investigated factors influencing
occupational therapists' decision to join/remain members of their association.
METHOD: An electronic survey was carried out and data were analyzed using SPSS
software and manual categorization of open-ended comments. FINDINGS: Two hundred
and fifty-four therapists responded. Generation of new ideas, opportunities for
professional development, self-improvement, maintenance of standards, improvement
of the profession, and discounts on equipment/educational opportunities were
significant factors in deciding to join the organization. The factors perceived
as priorities varied in relation to participants' year of graduation.
IMPLICATIONS: More-customized strategies, reflecting priorities that vary during
a therapist's career path, may need to be employed to best address recruitment
and retention across the range of therapists' needs and goals.
PMID- 29649889
TI - Endoscopic Resection of Sinonasal Inverted Papilloma: Systematic Review and Meta
Analysis.
AB - Background Pure endoscopic surgery (functional endoscopic sinus surgery) has been
increasingly replacing external approaches in the management of sinonasal
inverted papillomas. Objective To analyze and compare the effectiveness of pure
endoscopic procedure with external or combined procedures to paranasal inverted
papillomas, including the experience from two institutions in North Greece, over
a 20-year period. Methods Systematic literature searches of MEDLINE (1952-2016),
EMBASE (1974-2016), and the Cochrane Central Register of Controlled Trials.
Review of all English-language studies comparing endoscopic and open techniques.
Odds ratios (ORs), risk ratio, 95% confidence intervals (CIs), and tests for
heterogeneity were reported. Recurrence rates according to initial tumor stage.
Results In total, 2451 patients had been enrolled in the 23 studies, published
between 1992 and 2014. All the included articles are graded as level IV evidence.
Among the 1526 patients of the endoscopic group, 212 (13.8%) had recurrence, with
the mean time of recurrence to range from 14 to 46.6 months. In the external
approach group, 111 (18.7%) of the 592 patients had recurrence, with the time of
recurrence to range from 7 to 92 months. The recurrence rate in the combined
approach group was 12.9%. The occurrence rate of recurrence attributable to the
surgical choice was significantly different between endoscopic and external group
(OR: 0.61; 95% CI: 0.40-0.92; P = 0.02; 14.9% vs. 18.8% in endoscopic and
external group, respectively). Conclusions The present systematic review and meta
analysis indicates that endoscopic approach seems a favorable treatment option of
sinonasal inverted papillomas and confirms the global recommendation that is the
gold standard in the treatment of such nose lesions, revealing a lower recurrence
rate compared to external approaches.
PMID- 29649891
TI - Erratum.
AB - Singer AE, Ash T, Ochotorena C, Lorenz KA, Chong K, Shreve ST, Ahluwalia SC. A
Systematic Review of Family Meeting Tools in Palliative and Intensive Care
Settings. Am J Hosp Palliat Care. 2016; 33(8):797-806. (DOI:
10.1177/1049909115594353) It was noted that the following references were
duplicated in the above mentioned article. (i) Billings JA, Block SD. The end-of
life family meeting in intensive care part III: A guide for structured
discussions. J Palliat Med. 2011;14(9):1058-1064. (ii) Fineberg IC, Kawashima M,
Asch SM. Communication with families facing life-threatening illness: a research
based model for family conferences. J Palliat Med. 2011;14(4):421-427. (iii)
Curtis JR, Engelberg RA, Wenrich MD, Shannon SE, Treece PD, Rubenfeld GD. Missed
opportunities during family conferences about end-of-life care in the intensive
care unit . Am J Respir Crit Care Med. 2005;171(8):844-849. (iv) Lanken PN, Terry
PB, Delisser HM, et al. An official American Thoracic Society clinical policy
statement: palliative care for patients with respiratory diseases and critical
illnesses . Am J Respir Crit Care Med. 2008;177(8):912-927. (v) Truog RD,
Campbell ML, Curtis JR, et al. Recommendations for end-of-life care in the
intensive care unit: a consensus statement by the American College of Critical
Care Medicine. Crit Care Med. 2008;36(3):953-963. SAGE regrets the error.
PMID- 29649890
TI - What Parenteral Opioids to Use in Face of Shortages of Morphine, Hydromorphone,
and Fentanyl.
AB - Parenteral potent opioid availability is becoming an issue in acute pain
management. Two opioids, nalbuphine and buprenorphine, are available which can be
substituted for hydromorphone, fentanyl, and morphine. There are advantages and
disadvantages in using these 2 opioids which are discussed, and potential dosing
strategies are outlined.
PMID- 29649892
TI - A Pilot Comparison between Caregiver's and Patient's Perceived Quality of Life in
Chronic Rhinosinusitis.
AB - Introduction Chronic rhinosinusitis negatively impacts a patient's quality of
life, but current studies only address the patient's perception of their disease.
Caregivers living with the patient may have an alternative perception of the
severity of the quality of life disturbance that patient's experience with
chronic rhinosinusitis. Methods This was a prospective cohort study that enrolled
patients with a confirmed chronic rhinosinusitis diagnosis who presented to
clinic with a caregiver. At the initial visit, patients completed a
Rhinosinusitis Disability Index. The caregiver completed a Rhinosinusitis
Disability Index based on their perception of the patient's symptoms in addition
to a Modified Caregiver Strain Index and a Short Form-36 to assess caregiving
strain and overall health, respectively. Statistical analyses were performed with
significance defined as P < 0.05 a priori. Results A total of 44 total subjects
(22 patient and caregiver pairs) were enrolled. Patients reported a total
Rhinosinusitis Disability Index of 36.8 (confidence interval: 26.9, 46.6), and
caregivers reported a total Rhinosinusitis Disability Index of 50.4 [confidence
interval: 38.8, 61.9] ( P = 0.02). The principal differences between patient and
caregiver scores were noted in the emotional and physical domains ( P = 0.01 and
P = 0.05, respectively). Only the functional domain was not statistically
different ( P = 0.20). The patient's total Rhinosinusitis Disability Index is
positively correlated with the caregiver's total Modified Caregiver Strain Index
with a spearman coefficient of .60 (p <= 0.005). Conclusions Caregivers
experience greater strain as the patient's quality of life declines. Caregivers
perceive patients to have worse quality of life than patients report. Based on
these data, caregivers may provide additional insight to the quality of life
disturbance of chronic rhinosinusitis. In addition, the societal impact of
chronic rhinosinusitis may be underestimated.
PMID- 29649893
TI - A Three-Year Course of House Dust Mite Sublingual Immunotherapy Appears Effective
in Controlling the Symptoms of Allergic Rhinitis.
AB - Background Allergic rhinitis is the most common allergic disorder. Although the
management of the disease is successful in many patients, based on guidelines,
some of them remain with symptoms uncontrolled with pharmacotherapy. Presently,
there is no substantiated information on the control of allergic rhinitis in
patients who underwent sublingual immunotherapy. Objective The purpose of this
prospective follow-up study was to assess the control of allergic rhinitis in
adults after a three-year course of house dust mite sublingual immunotherapy.
Methods This prospective real-life study was designed to include adults with
moderate to severe allergic rhinitis sensitized to house dust mite who underwent
a three-year course of sublingual immunotherapy. Control of symptoms was assessed
by Rhinitis Control Assessment Test (RCAT) after three years of house dust mite
sublingual immunotherapy. Additionally, patients assessed their symptoms by
utilizing a visual analog scale. Results A total number of 86 consecutively
enrolled patients (46 (53.49%) men; mean age 26.10 years (SD = 5.85)) with
moderate to severe allergic rhinitis and clinically relevant sensitization to
house dust mite were evaluated. When assessed by RCAT on the third year, 74
(86.05%) had well-controlled symptoms and 20 (27.03%) of them were completely
controlled. A significant reduction in visual analog scale scores-from 7.52 cm at
baseline to 2.31 cm-was established ( P < 0.0001). There was a strong negative
correlation between RCAT scores and visual analog scale (r = -0.65; P < 0.01).
Conclusion This study provided evidence that a three-year course of house dust
mite sublingual immunotherapy appears effective in controlling the symptoms of
allergic rhinitis.
PMID- 29649894
TI - Use of Neural Response Telemetry for Pediatric Cochlear Implants: Current
Practice.
AB - OBJECTIVES: Evaluate usage trends of neural response telemetry (NRT) in cochlear
implant centers across the nation and assess reported benefits of intraoperative
NRT for pediatric cochlear implant recipients. STUDY DESIGN: Survey. STUDY
PARTICIPANTS: All US cochlear implant centers (n = 110). METHODS: A 15-question
multiple-choice survey was distributed electronically to all centers. The survey
captured demographic information of all centers, practice patterns surrounding
the use of NRT, and the extent to which intraoperative NRT is of benefit.
RESULTS: Thirty-two invited participants (29%) completed the survey. A majority
of participants reported practicing in an academic center (66%), followed by a
hospital setting (19%) and private practice (16%). Seventy-two percent of survey
participants reported using NRT for pediatric cochlear implant recipients. Sixty
three percent felt it improved the ability to program at initial activation, and
50% of participants felt that NRT improves satisfaction at initial activation.
CONCLUSION: This study suggests that a majority of surgeons use intraoperative
NRT for pediatric cochlear implantation as an additional measure to ensure
appropriate electrode placement and improve device activation. Larger studies are
needed to better establish the relationship between intraoperative NRT and
postoperative outcomes and justify the additional costs associated with
intraoperative NRT.
PMID- 29649895
TI - The Impact of Overweight/Obesity Duration and Physical Activity on Medical
Multimorbidity: Examining the WATCH Paradigm.
AB - PURPOSE:: The "fat-but-fit" paradigm has been evaluated. However, the duration of
overweight/obesity within the "fat-but-fit" paradigm (ie, assessing body mass at
more than 1 time point) has not been extensively evaluated, which was this
study's purpose. DESIGN:: Cross-sectional. SETTING:: National Health and
Nutrition Examination Survey 2003 to 2006. PARTICIPANTS:: Ages 36 to 85; N =
3621. MEASURES:: Physical activity assessed via accelerometry. Medical
multimorbidity was assessed via physician diagnosis of 13 chronic diseases.
Height and body mass were directly measured for current body mass index (BMI),
and 10-year prior BMI was calculated using current height and self-reported
weight 10 years prior. Six mutually exclusive groups were created: (1) active,
normal weight now and 10 years ago; (2) inactive, normal weight now and 10 years
ago; (3) active, overweight/obese now but not 10 years ago; (4) active,
overweight/obese now and 10 years ago; (5) inactive, overweight/obese now but not
10 years ago; and (6) inactive, overweight/obese now and 10 years ago. ANALYSIS::
Logistic regression. RESULTS:: Compared to group 1, adjusted odds ratios (ORs)
were as follows: group 2: OR = 2.0 ( P = .004), group 3: OR = 1.7 ( P = .004),
group 4: OR = 2.5 ( P < .001), group 5: OR = 2.9 ( P < .001), and group 6: OR =
4.8 ( P < .001). CONCLUSIONS:: All patterns of weight change/duration and
activity level altered the odds of medical multimorbidity, suggesting that the
duration of overweight/obesity should also be taken into consideration when
assessing the "fat-but-fit" paradigm.
PMID- 29649896
TI - The Role of Managers in Employee Wellness Programs: A Mixed-Methods Study.
AB - PURPOSE:: The purpose of this study is to evaluate managers' barriers and
facilitators to supporting employee participation in the Washington State
Wellness program. DESIGN:: Exploratory sequential mixed methods. SETTING:: Four
Washington State agencies located in Olympia and Tumwater, Washington.
PARTICIPANTS:: State employees in management positions (executive, middle, and
line), whose job includes supervision of subordinates and responsibility for the
performance and conduct of a subunit or group. METHODS:: We interviewed 23
managers and then used the results to create a survey that was fielded to all
managers at the 4 agencies. The survey response rate was 65% (n = 607/935).
ANALYSIS:: We used qualitative coding techniques to analyze interview transcripts
and descriptive statistics to summarize survey data. We used the Total Worker
Health framework to organize our findings and conclusions. RESULTS:: Managers
support the wellness program, but they also face challenges with accommodating
employees' participation due to workload, scheduling inflexibility, and self
efficacy to discuss wellness with direct reports. About half the managers receive
support from the manager above them, and most have not received training on the
wellness program. CONCLUSION:: Our findings point to several strategies that can
strengthen managers' role in supporting the wellness program: the provision of
training, targeted messages, formal expectations, and encouragement (from the
manager above) to support employees' participation.
PMID- 29649897
TI - An Investigation of Youth Assets and Physical Activity and BMI Using a
Longitudinal Cohort Design.
AB - PURPOSE:: Substantial research has demonstrated that assets (eg, family
communication, school connectedness) protect youth from participation in numerous
risk behaviors. However, very few studies have explored the relationship between
assets and positive health behaviors. This study investigated prospective
associations among assets and physical activity (PA) and body mass index (BMI).
DESIGN:: Longitudinal design with 5 waves of data collected annually over a 4
year period. SETTING:: Community-based setting with participants recruited via
door-to-door canvasing of homes located in stratified (by race and income)
randomly selected census tracts and blocks. PARTICIPANTS:: Participants were 1111
youth (baseline mean age = 14.3 years [SD = 1.6]; 53% female; 40.6% white, 28.6%
Hispanic, 24.4% black, 6.4% other) and their parents. MEASURES:: Weekly
participation in PA, BMI, and 14 youth assets representing multiple levels of
influence (individual, family, and community). ANALYSIS:: Generalized linear
mixed models assessed associations among the assets and PA and BMI over the 5
waves of data. RESULTS:: There was a significant and graded relationship between
assets and weekly participation in PA. For example, at the community-asset level,
PA minutes were higher among youth with 2 assets ( P = .006), 3 assets ( P =
.0006), and 4 to 5 assets ( P < .0001) compared to youth with 0 to 1 assets. No
effects were found for BMI. CONCLUSION:: Asset-based health promotion programs
for youth may promote positive health behaviors and prevent participation in risk
behaviors.
PMID- 29649899
TI - Managers' Support for Employee Wellness Programs: An Integrative Review.
AB - OBJECTIVE:: The aim of this integrative literature review is to synthesize the
existing evidence regarding managers' support for employee wellness programs.
DATA SOURCE:: The search utilized multiple electronic databases and libraries.
STUDY INCLUSION AND EXCLUSION CRITERIA:: Inclusion criteria comprised peer
reviewed research published in English, between 1990 and 2016, and examining
managers' support in the context of a worksite intervention. The final sample
included 21 articles for analysis. DATA EXTRACTION:: Two researchers extracted
and described results from each of the included articles using a content
analysis. DATA SYNTHESIS:: Two researchers independently rated the quality of the
included articles. Researchers synthesized data into a summary table by study
design, sample, data collected, key findings, and quality rating. RESULTS::
Factors that may influence managers' support include their organization's
management structure, senior leadership support, their expected roles, training
on health topics, and their beliefs and attitudes toward wellness programs and
employee health. Managers' support may influence the organizational culture,
employees' perception of support, and employees' behaviors. CONCLUSIONS:: When
designing interventions, health promotion practitioners and researchers should
consider strategies that target senior, middle, and line managers' support.
Interventions need to include explicit measures of managers' support as part of
the evaluation plan.
PMID- 29649898
TI - The Minne-Loppet Motivation Study: An Intervention to Increase Motivation for
Outdoor Winter Physical Activity in Ethnically and Racially Diverse Elementary
Schools.
AB - PURPOSE:: To test the effectiveness of an intervention to increase motivation for
physical activity in racially diverse third- through fifth-grade students.
DESIGN:: Natural experiment. SETTING:: Elementary schools in Minneapolis,
Minnesota. PARTICIPANTS:: Two hundred ninety-one students in 18 Minne-Loppet Ski
Program classes and 210 students in 12 control classrooms from the same schools.
INTERVENTION:: The Minne-Loppet Ski Program, an 8-week curriculum in elementary
schools that teaches healthy physical activity behaviors through cross-country
skiing. MEASURES:: Pretest and posttest surveys measured self-determination
theory outcomes: intrinsic exercise motivation, intrinsic ski motivation,
autonomy, competence, and relatedness. ANALYSIS:: Hierarchical linear regression
models tested treatment effects controlled for grade, race, sex, and baseline
measures of the outcomes. RESULTS:: Minne-Loppet program students showed
significantly greater motivation to ski (beta = 0.95, 95% confidence interval
[CI]: 0.15-1.75) and significantly greater perceived competence (beta = 0.78, 95%
CI: 0.06-1.50) than students in control classrooms. Treatment effects for general
exercise motivation and perceived competence differed by race. African American
students in Minne-Loppet classes showed significantly greater general exercise
motivation (beta = 1.08, 95% CI: 0.03-2.14) and perceived competence (beta =
1.95, 95% CI: 0.91-2.99) than African American students in control classes.
CONCLUSION:: The Minne-Loppet program promoted perceived competence and
motivation to ski. Future improvements to the Minne-Loppet and similar
interventions should aim to build general motivation and provide support needed
to better engage all participants.
PMID- 29649900
TI - In Vitro Comparison of a Novel Single Probe Dual-Energy Lithotripter to Current
Devices.
AB - PURPOSE: The LithoClast Trilogy is a novel single probe, dual-energy lithotripter
with ultrasonic (US) vibration and electromagnetic impact forces. ShockPulse and
LithoClast Select are existing lithotripters that also use a combination of US
and mechanical impact energies. We compared the efficacy and tip motion of these
devices in an in vitro setting. MATERIALS AND METHODS: Begostones, in the ratio
15:3, were used in all trials. Test groups were Trilogy, ShockPulse, Select
ultrasound (US) only, and Select ultrasound with pneumatic (USP). For clearance
testing, a single investigator facile with each lithotripter fragmented 10 stones
per device. For drill testing, a hands-free apparatus with a submerged balance
was used to apply 1 or 2 lbs of pressure on a stone in contact with the device
tip. High-speed photography was used to assess Trilogy and ShockPulse's probe tip
motion. RESULTS: Select-USP was slowest and Trilogy fastest on clearance testing
(p < 0.01). On 1 lbs drill testing, Select-US was slowest (p = 0.001). At 2 lbs,
ShockPulse was faster than Select US (p = 0.027), but did not significantly
outpace Trilogy nor Select-USP. At either weight, there was no significant
difference between Trilogy and ShockPulse. During its US function, Trilogy's
maximum downward tip displacement was 0.041 mm relative to 0.0025 mm with
ShockPulse. Trilogy had 0.25 mm of maximum downward displacement during its
impactor function while ShockPulse had 0.01 mm. CONCLUSIONS: Single probe dual
energy devices, such as Trilogy and ShockPulse, represent the next generation of
lithotripters. Trilogy more efficiently cleared stone than currently available
devices, which could be explained by its larger probe diameter and greater
downward tip displacement during both US and impactor functions.
PMID- 29649901
TI - Kidney Stone Composition in Third-World Areas: What Kashgar Tells Us?
AB - OBJECTIVE: To analyze urinary stone compositions in patients from Kashgar, China.
MATERIALS AND METHODS: We analyzed the components of urinary stones in 732
consecutive patients with urolithiasis admitted to the First and Second People's
Hospital of Kashgar Prefecture, Xinjiang, from July 2014 to November 2016. The
patients were divided into two groups by ages: group A, 0 to 18 years and group
B, >18 years old. The distributions of various stone compositions were analyzed
and correlated with the gender and age. RESULTS: The mean age of group A was 3.90
+/- 4.09 years and that of group B was 39.88 +/- 16.40 years. The overall gender
ratio (male:female) was 2.27:1. Ammonium acid urate (AAU) stone was the most
frequent stone, male 35.83% and female 33.48%. Female patients were significantly
more common than male patients in calcium apatite stone (p = 0.004). Of all 732
cases, patients younger than 18 years were more than patients older than 18 years
(58.47% vs 41.53%). The majority of the patients (77.87%) had the stone located
in the upper urinary tract. Two peak ages for both genders were noted in 1 to 3
years and 19 to 40 years group of the patients. In group of 1 to 3 years
patients, male were more than female (37.60% vs 24.55%, p = 0.001), whereas in
the group of 10 to 18 years patients, female were more than male (10.71% vs
4.13%). AAU was the predominant stone component in group <1 year (70. 5%, p <
0.01, as compared with other groups.). Uric acid stone was more prevalent in
group >60 years (66.8%, p < 0.01) than in other groups. Patients in 1 to 3 years
were in the peak age group of AAU stones in both the upper and lower urinary
tract. CONCLUSION: Most of the patients with urolithiasis diagnosed and treated
in Kashgar are <18 years old, especially younger than 3 years old. The most
frequent stone component in this area was AAU. More than 50% patients <18 years
old had AAU stone. The mechanisms that could trigger the high prevalence of AAU
stone in patients <18 years old are worth further investigation.
PMID- 29649902
TI - Fetal MR imaging in urogenital system anomalies.
AB - Fetal magnetic resonance imaging (MRI) is an important adjunct to ultrasound in
evaluation of fetal urogenital system. While, ultrasound remains the primary
modality, MRI helps in more complicated cases or where ultrasound is limited due
to technical factors such as poor acoustic window. The goal of this article is to
review the common fetal urogenital anomalies and their imaging manifestations on
ultrasound and MRI, and discuss how MRI adds diagnostic value.
PMID- 29649904
TI - Economic burden of treatment failure in chronic lymphocytic leukemia patients.
AB - OBJECTIVE: This study assessed healthcare costs of first-line treatment failure
(TF) in patients with chronic lymphocytic leukemia (CLL), a subtype of non
Hodgkin's lymphoma. METHODS: Pre-diagnosis treatment-naive adults with >=2 CLL
diagnoses initiated on an antineoplastic agent (index date) after their first CLL
diagnosis with >=12 and >=6 months of continuous observation pre- and post-index,
respectively, were selected from the Truven Health MarketScan Research Databases.
Patients had no solid malignancies in the pre-index period nor selected blood
malignancies at any time. Initial therapy included antineoplastic agents
initiated in the first 30 days post-index. TF occurred at the earliest of:
initiation of a new antineoplastic agent, treatment resumption following a >=3
month break, non-chemotherapy intervention (stem cell transplant or
radiotherapy), hospice care or hospital mortality. The cost of TF was evaluated
as the healthcare cost difference between patients with and without first-line TF
using ordinary least square regressions adjusted for baseline characteristics.
Non-parametric bootstrap was used to evaluate statistical significance. RESULTS:
Among 2226 patients identified (mean age: 68 years; female: 41%), 46% experienced
first-line TF. The average TF cost was $3011 per patient per month (p < .001).
When stratifying patients by event indicating TF and by most common therapies,
non-chemotherapy intervention ($7582 per patient per month; p < .0001) and
fludarabine/cyclophosphamide/rituximab ($4758; p < .001) were associated with the
highest TF cost, respectively. CONCLUSIONS: The cost of first-line TF is high and
varies across first-line therapies. This should be considered when selecting the
initial therapy in these patients.
PMID- 29649903
TI - Pharmacokinetic evaluation of quetiapine fumarate controlled release hybrid
hydrogel: a healthier treatment of schizophrenia.
AB - The current study aimed to rationally develop and characterize pH-sensitive
controlled release hydrogels by graft polymerization of gelatin (Gel) and
hydroxypropyl methyl cellulose (HPMC) in the presence of glutaraldehyde (GA)
using quetiapine fumarate for the treatment of schizophrenia. The prepared
hydrogels discs were subjected to various physicochemical studies including:
swelling, diffusion, porosity, sol-gel analysis, Fourier transform infrared
spectroscopy, differential scanning calorimetry, and scanning electron
microscopy. Three different pH values (1.2, 6.8 and 7.4) were used to determine
shape, transition, and controlled release behavior of prepared hydrogels. Various
kinetic models including zero order, first order, Higuchi model and Power Law
equation were applied on drug release data. The optimized hydrogels were
subjected to in vivo studies using albino rabbits. Swelling and release results
were found to be insignificant (p < .05) evidencing that there was no significant
difference in swelling and drug release rate of hydrogels in different pH
mediums. Swelling, porosity, gel-fraction, and drug released (%) were found to be
dependent on concentrations of Gel, HPMC, and GA. Kinetic models revealed that
QTP-F release followed non-Fickian diffusion. In-vivo studies contributed
significantly higher plasma QTP-F concentration (Cmax), time for maximum plasma
concentration (Tmax), area under the curve (AUC0-inf) and half-life (t1/2) as
18.32 +/- 0.50 ug/ml, 8.00 +/- 0.01 hrs, 6021.2 +/- 5.09 ug.hrs/ml and 10.06 +/-
0.43 hrs, respectively, for test-hydrogels when compared to reference market
brand (Qusel(r) 200 mg, Hilton Pharma, Karachi, Pakistan) QTP-F tablets. It might
be concluded that QTP-F loaded pH-sensitive hydrogels were developed successfully
with reduced dosing frequency for schizophrenia.
PMID- 29649905
TI - The Effect of Acupressure on Pain in Cancer Patients With Bone Metastasis: A
Nonrandomized Controlled Trial.
AB - BACKGROUND: Pain is a serious and common problem in bone metastases. For this
purpose, complementary and supportive practices are also applied along with
medical treatment. This study was conducted for the purpose of evaluating the
effect of acupressure on pain in cancer patients with bone metastasis. METHODS:
The study was conducted in a nonrandomized controlled trial with patients who
applied to the radiotherapy unit of an oncology hospital. The data of the study
were collected by using a questionnaire and the Visual Analog Scale. A total of 8
acupressure sessions, which lasted for approximately 10 minutes each (with
warming and acupressure periods), was applied to the intervention group. The data
were analyzed by using chi2 test, paired t test, and Pearson's correlation
coefficient. RESULTS: It was determined that the pain mean score of the
intervention group was 7.6 +/- 1.9 before the acupressure and decreased to 6.8 +/
1.9 after the acupressure and this result was statistically significant. On the
other hand, no significant difference was determined in the pain mean score of
the control group. CONCLUSIONS: Acupressure is applicable for cancer patients
with bone metastasis by nursing staff after receiving brief training and may make
a difference in relieving pain of the patients. Further well-designed trials
should be conducted.
PMID- 29649906
TI - Knockdown of LncRNA MALAT1 contributes to the suppression of inflammatory
responses by up-regulating miR-146a in LPS-induced acute lung injury.
AB - BACKGROUND: Acute lung injury (ALI) is a type of severe pulmonary inflammatory
disease with high rates of morbidity and mortality. Now, an increasing number of
studies suggest that lncRNAs may act as key regulators of the inflammatory
response and play a crucial role in the pathogenesis of many inflammatory
diseases. Our study firstly explored the function and underlying mechanism of
lncRNA metastasis-associated lung adenocarcinoma transcription 1 (MALAT1) in
regulating the inflammatory response of lipopolysaccharide (LPS)-induced ALI in
rats. METHODS: The ALI rats were constructed by intratracheal instillation with
LPS. Hematoxylin and eosin (HE) for histological examination were performed to
detect histopathological changes in the lung tissues. Enzyme-linked immunosorbent
assay (ELISA) was used to determine the concentrations of cytokines TNF-alpha, IL
6, and IL-1beta in the supernatants of the bronchoalveolar lavage fluid (BALF).
Quantitative real-time PCR (qRT-PCR) analysis was employed to assess the
expression of MALAT1, miR-146a, TNF-alpha, IL-6, and IL-1beta in lung tissues.
Luciferase reporter assay and RNA immunoprecipitation (RIP) assay were used to
detect the relationship between MALAT1 and miR-146a. RESULTS: The results
revealed that MALAT1 knockdown played a protective role in the LPS-induced ALI
rat model. In addition, knockdown of MALAT1 in vitro inhibited LPS-induced
inflammatory response in murine alveolar macrophages cell line MH-S and murine
alveolar epithelial cell line MLE-12. This study found that MALAT1 acts as a
molecular sponge for miR-146a and MALAT1 negatively regulated miR-146a
expression. Mechanistically, MALAT1 overexpression alleviated the inhibitory
effect of miR-146a on LPS-induced inflammatory response in MH-S. CONCLUSIONS:
Together, our study provided the first evidence that MALAT1 knockdown could
suppress inflammatory response by up-regulating miR-146a in LPS-induced ALI,
which provided a potential therapeutic target for the treatment of ALI.
PMID- 29649907
TI - Farnesoid X receptor modulators 2014-present: a patent review.
AB - INTRODUCTION: The nuclear receptor FXR regulates the expression of genes involved
in bile acids, glucose and lipid homeostasis. For its role as guardian of
metabolism, FXR has been identified a promising pharmacological target in liver
bile acid and lipid accumulation, such as cholestasis and non-alcoholic fatty
liver disease (NAFLD). The field of FXR research is extremely competitive with a
large number of patents and articles published in the last decades identifying
promising hit compounds. Areas covered. The present review summarizes recent
patent activity (2014-to date) filing for synthetic and natural FXR ligands,
including bile acid derivatives and non-steroidal compounds, alongside their in
vitro and in vivo efficacy as well as their therapeutic applications. Expert
opinion. While the first FXR agonist, obeticholic acid, has gained approval,
significant safety issues have been emerged. Today is unclear whether these
safety issues are class related or restricted to the bile acid scaffold of this
agent. Despite the significant number of patent applications claiming steroidal
and non-steroidal FXR agonists, several questions on their therapeutic potential
in cholestasis and NASH remain open leaving a space for the development of novel
compounds.
PMID- 29649908
TI - Invisible realities: Caring for older Moroccan migrants with dementia in Belgium.
AB - The number of older Moroccan migrants reaching the age of high risk for dementia
is increasing in Belgium. Yet no study has been performed to explore how Moroccan
families facing dementia experience and manage the condition. The study employed
a qualitative design using semi-structured interviews with 12 informal and 13
formal caregivers to answer this research question. Findings indicate that the
experience of dementia includes several invisible realities that challenge the
informal and formal caregivers: (1) the invisibility of dementia as a condition;
(2) the invisible subtleties of the informal care execution; (3) the invisibility
and inaccessibility of care services as explanation for these family's non-use of
available services; and (4) the overlooking of culture, migration and religion as
invisible influencers of the overall dementia experience. A better understanding
of these hidden realities of migrant older people with dementia and their
caregivers could lead to interventions to provide effective and tailored person
centred care that is sensitive to the individual's life experiences, culture and
religious background.
PMID- 29649909
TI - "Music & Memory" and improved swallowing in advanced dementia.
AB - : Background Dysphagia and difficulty with eating affects a significant portion
of individuals with advanced dementia. Such problems with oral intake can have
serious health consequences including mealtime distress, dehydration and
malnutrition, aspiration, reduced quality of life, and increased mortality risk.
Design We present the first data indicating that "Music & Memory" interventions
improve swallowing in individuals with advanced dementia, thereby making oral
feeding easier and potentially diminishing reliance on PEG. Setting Columbia
Health Care Center, Wyocena, WI (with Music&Memory.org, Mineola, NY and Stony
Brook University). Participants Residents with advanced dementia (N = 5).
MEASUREMENTS: Observation by eight professional caregivers. Results (1) Enhanced
swallowing mechanism with Music & Memory prior to dining; (2) decreased incidents
of choking during mealtime; (3) improved nutritional status; (4) reduced weight
loss; (5) reduced need for speech interventions; (6) enhanced quality of life.
Conclusions The preliminary results call for additional research.
PMID- 29649910
TI - Variations in corticomotor excitability in response to distal focal thermal
stimulation.
AB - PURPOSE: This study investigated the effects of thermal stimulation on
corticomotor excitability with transcranial magnetic stimulation (TMS). MATERIAL
AND METHODS: Participants consisted of healthy young adults (n = 20) and seniors
(n = 15). Each experimental session consisted of a baseline (BL) assessment,
followed by a warming and a cooling protocol. At BL, recordings of motor evoked
potentials (MEPs) and skin temperature were performed with the index finger
covered with a 'neutral' gel pack (24 degrees C). For warming, the same
measurements were performed, but with the index covered with a warmed gel pack
(45 degrees C). The gel pack was kept for 5 min, and the measurements were
performed at 1 min during warming and 5 and 10 min post. After a break,
participants were tested with the cooling protocol (gel pack 10 degrees C) by
repeating the same sequence as in the warming. RESULTS: The two thermal protocols
induced the desired range of skin temperatures (warming = 35-45 degrees ; cooling
= 13-24 degrees ). For MEP modulation, the primary analysis revealed no main
effects or interactions, owing to the variability of responses to either warming
or cooling stimulation. Further analysis of individual responses revealed that
modulation, when present, was short-lasting and was characterized by a depression
in about half of the participants. Facilitation was also observed, but only in
smaller clusters, especially with cooling (13/35). Modulation in MEP amplitude
did not correlate with changes in skin temperature. CONCLUSION: These results are
consistent with previous reports regarding variability in response to sensory
stimulation protocols. In the case of thermal stimulation, such variability
likely reflects individual differences in the influences exerted by thermal
afferents centrally.
PMID- 29649911
TI - Curriculum development: A dynamic change inside the chrysalis.
PMID- 29649912
TI - Post-combat adaptation: improving social support and reaching constructive
growth.
AB - BACKGROUND AND OBJECTIVES: Posttraumatic stress disorder, a commonly researched
mental health outcome associated with trauma, does not develop in the majority of
survivors. More common trajectories of adaptation include resilience, and
posttraumatic growth (PTG). The objectives of the current study were to: (1)
describe posttrauma adaptation profiles in a sample of Israeli male military
veterans (N = 448); and (2) to explore the protective factors that promote
constructive PTG within two profiles of posttrauma adaptation. METHODS: The study
used secondary data to estimate latent profile mixture models and a series of
logistic regression analyses. RESULTS: Demographic controls, combat related
variables, endorsement of coping strategies, and reports of improvement in social
support were not significant predictors of constructive growth in the resilient
class. However, those in the struggling growth subset of the sample who reported
improvement in perceived social support increased the odds of reaching
constructive growth. CONCLUSION: These findings highlight the importance of
tailored clinical interventions that account for more complex profiles of
posttrauma adaptation; and further, provide evidence that adaptation takes place
over time. Finally, these findings call for future research to continue to
explore the quality of PTG and the contexts in which protective factors promote
positive adaptation.
PMID- 29649913
TI - Effects of an Exercise Intervention on Cancer-Related Fatigue and Its
Relationship to Markers of Oxidative Stress.
AB - BACKGROUND: Although the underlying mechanisms of cancer-related fatigue (CRF)
are not fully characterized, treatment-associated oxidative stress may play a
role. The purpose of this study was to determine the effect of an exercise
intervention on the relationship between CRF and oxidative stress. METHODS: Upon
cessation of radiation or chemotherapy, 8 cancer patients participated in a 10
week exercise intervention (EX), while 7 continued standard care (CON). Blood
draws and fatigue questionnaires were administered to cancer patients before and
after the intervention as well as to 7 age-matched individuals with no cancer
history. Changes in plasma 8-hydroxy-deoxyguanosine (8-OHdG), protein carbonyls,
antioxidant capacity, and fatigue were compared between groups. Correlations
between CRF and oxidative stress were evaluated. RESULTS: Mean total fatigue
scores decreased significantly (5.0 +/- 2.2 to 2.6 +/- 1.5, P < .05) in EX, but
not in CON. Antioxidant capacity significantly increased (+41%; P < .05) and
protein carbonyls significantly decreased (-36%; P < .05) in EX, but not in CON.
Increases in antioxidant capacity were significantly correlated with reductions
in affective ( r = -.49), sensory ( r = -.47), and cognitive fatigue ( r = -.58).
Changes in total ( r = .46) and affective ( r = .47) fatigue exhibited
significant correlations with changes in 8-OHdG over time, while behavioral ( r =
.46) and sensory ( r = .47) fatigue changes were significantly correlated with
protein carbonyls. CONCLUSIONS: Oxidative stress may be implicated in CRF, while
improved antioxidant capacity following an exercise intervention may play a role
in mitigating CRF in cancer survivors.
PMID- 29649915
TI - Filifactor alocis manipulates human neutrophils affecting their ability to
release neutrophil extracellular traps induced by PMA.
AB - Neutrophils operate at the site of injury or inflammation in the periodontal
pocket to ensure periodontal health and clearance of bacterial pathogens.
Filifactor alocis is recently identified as a potential periodontal pathogen, and
in this study, we assessed the formation of neutrophil extracellular traps
(NETs), in response to the presence of the organism . NET formation by human
neutrophils was not induced when challenged with F. alocis, independent of
opsonization, viability, time, or bacterial dose. F. alocis also failed to induce
NETs from TNF-alpha-primed neutrophils and did not induce the release of
extracellular neutrophil elastase. However, significant NET induction was
observed when neutrophils were challenged with Streptococcus gordonii or
Peptoanaerobacter stomatis, In addition, co-infection studies revealed that the
presence of F. alocis with S. gordonii or P. stomatis does not enhance or reduce
NETs. Additionally, F. alocis failed to impact pre-formed NETs induced by either
S. gordonii or P. stomatis. Pretreatment with F. alocis prior to stimulation with
phorbol 12-myristate 13-acetate (PMA), S. gordonii, or P. stomatis revealed that
the bacterium is capable of reducing only PMA but not S. gordonii or P. stomatis
NET formation. These results indicate that F. alocis manipulates neutrophils,
inhibiting the triggering of NET induction.
PMID- 29649914
TI - Positive affect skills may improve pain management in people with HIV.
AB - Non-opioid pain management strategies are critically needed for people with HIV.
We therefore conducted a secondary analysis of pain-related outcomes in a
randomized controlled trial of a positive affect skills intervention for adults
newly diagnosed with HIV ( N = 159). Results suggest that, even if pain
prevalence rises, positive affect skills may reduce pain interference and prevent
increased use of opioid analgesics by people living with HIV. Future research
should replicate and extend these findings by conducting trials that are
specifically designed to target pain outcomes.
PMID- 29649916
TI - Patient perception of Breezhaler(r) and Ellipta(r) device feedback mechanisms in
COPD: The ADVANTAGE Study.
AB - OBJECTIVES: The primary objective of the ADVANTAGE study was to compare device
naive chronic obstructive pulmonary disease (COPD) patients' perception of the
Breezhaler(r) and Ellipta(r) devices' feedback mechanisms of dose delivery
confirmation. The secondary objective was to assess comfort with the inhalers'
mouthpiece in terms of ease to form a tight seal around the mouthpiece. These
objectives were achieved by using a novel, patient perception of inhaler
questionnaire developed and tested during cognitive interviews of patients by
Evidera, London, United Kingdom. METHODS: Ten COPD patients were interviewed to
collect feedback on the interpretation, relevance and language of the
questionnaire. This questionnaire was then used in ADVANTAGE to compare patients'
perception (n = 100) of both devices. Patients completed the questionnaire after
a single inhalation of placebo through each inhaler. RESULTS: Using the final
questionnaire, patients reported being more confident of the feedback mechanism
of Breezhaler than that of the Ellipta device (mean score 4.3 versus 3.6
respectively, estimated difference [95% CI]: 0.75 [0.51, 0.99], p < .0001).
Patients also reported better comfort (ease to form a tight seal with the lips)
with the Breezhaler mouthpiece than the Ellipta mouthpiece (mean score 4.3 versus
3.9 respectively, estimated difference [95% CI]: 0.41 [0.21, 0.61], p < .0001).
There were no safety concerns associated with either device. CONCLUSION: COPD
patients showed greater preference for the Breezhaler over the Ellipta inhaler
for confidence of dose delivery and comfort of the mouthpiece. TRIAL
REGISTRATION: The trial is registered at ClinicalTrials.gov (ClinicalTrials.gov
number NCT02551224).
PMID- 29649917
TI - Association of obesity with healthcare resource utilization and costs in a
commercial population.
AB - OBJECTIVE: To examine the association of obesity with healthcare resource
utilization (HRU) and costs among commercially insured individuals. METHODS: This
retrospective observational cohort study used administrative claims from 1
January 2007 to 1 December 2013. The ICD-9-CM status codes (V85 hierarchy) from
2008 to 2012 classified body mass index (BMI) into the World Health
Organizations' BMI categories. The date of first observed BMI code was defined as
the index date and continuous eligibility for one year pre- and post- index date
was ensured. Post-index claims determined individuals' HRU and costs. Sampling
weights developed using the entropy balance method and National Health and
Nutrition Examination Survey data ensured representation of the US adult
commercially insured population. Baseline characteristics were described across
BMI classes and associations between BMI categories, and outcomes were examined
using multivariable regression. RESULTS: The cohort included 9651 individuals
with BMI V85 codes. After weighting, the BMI distribution was: normal (31.1%),
overweight (33.4%), obese class I (22.0%), obese class II (8.1%) and obese class
III (5.4%). Increasing BMI was associated with greater prevalence of
cardiometabolic conditions, including hypertension, type 2 diabetes and metabolic
syndrome. The use of antihypertensives, antihyperlipidemics, antidiabetics,
analgesics and antidepressants rose with increasing BMI. Greater BMI level was
associated with increased inpatient, emergency department and outpatient
utilization, and higher total healthcare, medical and pharmacy costs.
CONCLUSIONS: Increasing BMI was associated with higher prevalence of
cardiometabolic conditions and higher HRU and costs. There is an urgent need to
address the epidemic of obesity and its clinical and economic impacts.
PMID- 29649918
TI - The impact of unicornuate uterus on perinatal outcomes after IVF/ICSI cycles: a
matched retrospective cohort study.
AB - OBJECTIVE: To evaluate the impact of unicornuate uterus on perinatal outcomes
after in vitro fertilization and/or intracytoplasmic sperm injection (IVF/ICSI)
cycles. METHODS: We performed a retrospective cohort study including 160 women
with unicornuate uterus and 1:1 matched controls with normally shaped uterus.
They received IVF/ICSI treatment during January 2009 and December 2011. The
perinatal outcomes were followed up till December 2014. RESULTS: There were no
significant differences in pregnancy rate, clinical pregnancy rate or live birth
rate (53.6 versus 52.7, 41.4 versus 43.5, 33.8% versus 31.8%) between unicornuate
uterus group and controls. Their biochemical pregnancy rate (22.8 versus 17.5%)
and miscarriage rate (16.0 versus 18.8%) were similar. No significant differences
were identified in preterm birth rate (18.3 versus 11.8%), birthweight (3.24 +/-
0.60 versus 3.33 +/- 0.54 kg) or birth length (50.47 +/- 2.33 versus 50.06 +/-
2.40 cm) among the singletons. However, lower gestational age (35.56 +/- 2.68
versus 36.71 +/- 1.73, p = .019), higher preterm birth rate (55.0 versus 34.4%, p
= .038), lower birthweight (2.33 +/- 0.58 versus 2.69 +/- 0.38 kg, p = .001),
lower birth length (45.33 +/- 2.46 versus 48.88 +/- 2.06 cm, p = .000), as well
as higher rate of very low birthweight (13.2% versus 0, p = .007) were found for
the twins from unicornuate uterus group. CONCLUSIONS: The results indicated
unimpaired pregnancy and perinatal outcomes for women with unicornuate uterus
conceiving one fetus. However, close attention should be paid to twin pregnancy
in unicornuate uterus owing to increased risks of prematurity and low
birthweight. Selected single embryo transfer is recommended for women with
unicornuate uterus undergoing IVF/ICSI cycles.
PMID- 29649919
TI - Single-compartment models of retinal ganglion cells with different
electrophysiologies.
AB - There are more than 15 different types of retinal ganglion cells (RGCs) in the
mammalian retina. To model responses of RGCs to electrical stimulation, we use
single-compartment Hodgkin-Huxley-type models and run simulations in the Neuron
environment. We use our recently published in vitro data of different
morphological cell types to constrain the model, and study the effects of
electrophysiology on the cell responses separately from the effects of
morphology. We find simple models that can match the spike patterns of different
types of RGCs. These models, with different input-output properties, may be used
in a network to study retinal network dynamics and interactions.
PMID- 29649920
TI - Corneal transplantation trends in France from 2004 to 2015: A 12-year review.
AB - PURPOSE: The aim of this study was to report the 12-year longitudinal trends in
indication and corneal transplantation techniques in France from 2004 to 2015.
RESULTS: The records of all corneal transplantations performed from 2004 to 2015
in France were retrospectively reviewed. The patient indications and types of
transplant performed were analyzed. A total of 46,658 corneal transplantations
were performed between 2004 and 2015, with 34,187 (73.3%) penetrating
keratoplasty and 10,452 (22.4%) lamellar keratoplasty. The leading surgical
indications were secondary endothelial failure (24.3%), keratoconus (18.8%),
regraft (13.5%), and Fuchs endothelial corneal dystrophy (15.1%). Endothelial
keratoplasty became the preferred technique for endothelial diseases and deep
anterior lamellar keratoplasty the preferred technique for keratoconus,
surpassing penetrating keratoplasty in 2013. CONCLUSION: Secondary endothelial
failure is the top indication for performing a keratoplasty over the 12-year
period. There was a shift from penetrating keratoplasty to endothelial
keratoplasty performed for Fuchs endothelial corneal dystrophy and secondary
endothelial failure, and to deep anterior lamellar keratoplasty, performed for
keratoconus. This highlights an important shift in managing corneal diseases
toward the application of selective and more conservative surgeries and changes
in indications in corneal transplantation.
PMID- 29649921
TI - Prostate Cancer Information Available in Health-Care Provider Offices: An
Analysis of Content, Readability, and Cultural Sensitivity.
AB - Prostate cancer (PrCA) is the most common cancer affecting men in the United
States, and African American men have the highest incidence among men in the
United States. Little is known about the PrCA-related educational materials being
provided to patients in health-care settings. Content, readability, and cultural
sensitivity of materials available in providers' practices in South Carolina were
examined. A total of 44 educational materials about PrCA and associated sexual
dysfunction was collected from 16 general and specialty practices. The content of
the materials was coded, and cultural sensitivity was assessed using the Cultural
Sensitivity Assessment Tool. Flesch Reading Ease, Flesch-Kincaid Grade Level, and
the Simple Measure of Gobbledygook were used to assess readability. Communication
with health-care providers (52.3%), side effects of PrCA treatment (40.9%),
sexual dysfunction and its treatment (38.6%), and treatment options (34.1%) were
frequently presented. All materials had acceptable cultural sensitivity scores;
however, 2.3% and 15.9% of materials demonstrated unacceptable cultural
sensitivity regarding format and visual messages, respectively. Readability of
the materials varied. More than half of the materials were written above a high
school reading level. PrCA-related materials available in health-care practices
may not meet patients' needs regarding content, cultural sensitivity, and
readability. A wide range of educational materials that address various aspects
of PrCA, including treatment options and side effects, should be presented in
plain language and be culturally sensitive.
PMID- 29649922
TI - Perceptions of rural school mental health services: a focus group study.
AB - The presence of emotional or behavioural disorders has an impact on academic
achievement. Access to behavioural health services is a challenge, particularly
in rural communities. School-based mental health services have been recognised as
an effective means of addressing the needs of students with emotional or
behavioural disorders. This qualitative focus group study explored the service
needs, gaps, and barriers to mental health services. Themes related to time,
space, family engagement, and education for teachers and caregivers emerged from
the focus groups.
PMID- 29649923
TI - Diffuse dermatophytosis occurring on dimethyl fumarate therapy.
AB - BACKGROUND: Opportunistic infections have occurred during dimethyl fumarate (DMF)
therapy. OBJECTIVE: Diffuse skin dermatophytosis which occurred during DMF
therapy in the setting of lymphopenia is described. METHODS AND RESULTS: The
clinical course, the lymphocyte subset profile, and dermatologic evaluations were
reviewed. In both instances, there was no other cause of lymphopenia or immune
suppression, and it is likely that the tinea infections are associated with DMF
therapy. CONCLUSION: The occurrence of dermatophytosis widens the spectrum of
opportunistic infections associated with DMF therapy. Further research is
necessary to fully elucidate the mechanism of lymphopenia and potentially
impaired immune competence during DMF therapy.
PMID- 29649924
TI - Polarization of Microglia to the M2 Phenotype in a Peroxisome Proliferator
Activated Receptor Gamma-Dependent Manner Attenuates Axonal Injury Induced by
Traumatic Brain Injury in Mice.
AB - Increasing evidence indicates that activated microglia play an important role in
the inflammatory response following traumatic brain injury (TBI). Inhibiting M1
and stimulating M2 activated microglia have demonstrated protective effects in
several animal models of central nervous system diseases. However, it is not
clear whether the polarization of microglia to M2 attenuates axonal injury
following TBI. In this study, we used a lateral fluid percussion injury device to
induce axonal injury in mice. Mice were randomly assigned to the sham, TBI, TBI +
rosiglitazone (peroxisome proliferator-activated receptor gamma [PPAR-gamma]
agonist), and TBI + GW9662 (PPAR-gamma antagonist) groups. Axonal injury was
assessed using immunohistochemical staining for beta amyloid precursor protein.
The inflammatory response was assessed by enzyme-linked immunosorbent assay,
microglia polarization was assessed using specific markers of M1 and M2
microglia, and neurological function was assessed using the neurological severity
score. Following TBI, microglia of the M1 phenotype increased significantly,
while those of the M2 phenotype decreased. Rosiglitazone-induced PPAR-gamma
activation promoted microglia polarization to the M2 phenotype, which reduced the
inflammatory response, attenuated axonal injury in the cerebral cortex, and
improved neurological function. Conversely, GW9662 inhibited the polarization of
microglia to M2 and aggravated inflammation and axonal injury. Our in vitro
findings in lipopolysaccharide-induced microglia were consistent with those of
our in vivo experiments. In conclusion, the polarization of microglia to the M2
phenotype via PPAR-gamma activation attenuated axonal injury following TBI in
mice, which may be a potential therapeutic approach for TBI-induced axonal
injury.
PMID- 29649925
TI - Validity and Reliability of the Turkish Version of the Adapted Rhodes Index of
Nausea and Vomiting for Pediatrics by Child (ARINVc) and by Parent (ARINVp).
AB - The study was conducted methodologically to adapt the Adapted Rhodes Index of
Nausea and Vomiting for Pediatrics by Child (ARINVc) and Adapted Rhodes Index of
Nausea and Vomiting for Pediatrics by Parent (ARINVp) into Turkish. The scales
are administered to children who receive chemotherapy and to their parents,
respectively. The study sample consisted of 8- to 18-year-old children who were
hospitalized in the pediatric oncology and hematology clinics of a university
hospital, met the sampling criteria, and agreed to participate in the research.
The study data were collected with the Sociodemographic Attributes Information
Form, ARINVc, and ARINVp using the face-to-face interview method. The mean ages
of the children and their mothers and fathers who participated in the study were
13.26 +/- 2.01, 36.33 +/- 5.10, and 40.17 +/- 4.94 years, respectively. The mean
total scores obtained from the ARINVc and ARINVp were 5.43 +/- 4.06 and 5.70 +/-
3.77, respectively. While Cronbach's alpha reliability coefficients of the scales
were .85 for the ARINVc and .84 for the ARINVp, the item-total correlation
coefficients were between 0.60 and 0.89 for the ARINVc and between 0.66 and 0.85
for the ARINVp ( P < .01). The Turkish versions of ARINVc and ARINVp were
determined to be valid and reliable scales.
PMID- 29649926
TI - Social versus biological psychiatry: It's time for integration!
PMID- 29649927
TI - Distinct episodic contexts enhance retrieval-based learning.
AB - Spaced retrieval practice results in better long-term retention than massed
retrieval practice. The episodic context account of this effect suggests that
updated representations of the more distinct temporal contexts associated with
spaced retrievals facilitate later recall. We examined whether environmental
context, in addition to temporal context, may also play a role in retrieval-based
learning. Participants studied and then attempted to retrieve the English
translations of Swahili words during four acquisition blocks of trials. They were
then randomly assigned to practice retrieving items three more times in one of
the following conditions: massed practice with the same environmental context
scene; massed practice with different environmental context scenes; spaced
practice with the same environmental context scene; or spaced practice with
different environmental context scenes. After a one-week delay, measures of
recall, forgetting, and the joint probabilities between study session recall and
final recall performance all indicated enhanced retention for both the spaced and
different environmental context conditions. Indeed, after retrieving items in the
study session, forgetting them during final recall was 371% more likely in the
same context than in the different context conditions. These findings redefine
and refine previous accounts of the episodic context model of retrieval-based
learning.
PMID- 29649928
TI - Non-Invasive Activation of Cervical Spinal Networks after Severe Paralysis.
AB - Paralysis of the upper extremities following cervical spinal cord injury (SCI)
significantly impairs one's ability to live independently. While regaining hand
function or grasping ability is considered one of the most desired functions in
tetraplegics, limited therapeutic development in this direction has been
demonstrated to date in humans with a high severe cervical injury. The underlying
hypothesis is that after severe cervical SCI, nonfunctional sensory-motor
networks within the cervical spinal cord can be transcutaneously neuromodulated
to physiological states that enable and amplify voluntary control of the hand.
Improved voluntary hand function occurred within a single session in every
subject tested. After eight sessions of non-invasive transcutaneous stimulation,
combined with training over 4 weeks, maximum voluntary hand grip forces increased
by ~325% (in the presence of stimulation) and ~225% (when grip strength was
tested without simultaneous stimulation) in chronic cervical SCI subjects
(American Spinal Injury Association Impairment Scale [AIS] B, n = 3; AIS C, n =
5) 1-21 years post-injury). Maximum grip strength improved in both the left and
right hands and the magnitude of increase was independent of hand dominance. We
refer to the neuromodulatory method used as transcutaneous enabling motor control
to emphasize that the stimulation parameters used are designed to avoid directly
inducing muscular contractions, but to enable task performance according to the
subject's voluntary intent. In some subjects, there were improvements in
autonomic function, lower extremity motor function, and sensation below the level
of the lesion. Although a neuromodulation-training effect was observed in every
subject tested, further controlled and blinded studies are needed to determine
the responsiveness of a larger and broader population of subjects varying in the
type, severity, and years post-injury. It appears rather convincing, however,
that a "central pattern generation" phenomenon as generally perceived in the
lumbosacral networks in controlling stepping neuromodulator is not a critical
element of spinal neuromodulation to regain function among spinal networks.
PMID- 29649930
TI - Pulmonary paracoccidioidomycosis associated with the use of natalizumab in
multiple sclerosis.
AB - BACKGROUND: Natalizumab (NTZ) is a monoclonal antibody with an immunosuppressive
effect that reduces the inflammation of the central nervous system, and it has
been used for the treatment of relapsing-remitting multiple sclerosis (RRMS). In
patients with low cellular immune response, systemic mycosis arising from endemic
areas may occur. RESULTS AND CONCLUSION: In this article, we will describe a case
of paracoccidioidomycosis as a complication to treatment with NTZ in an RRMS
patient.
PMID- 29649929
TI - A Real-Time Near-Infrared Fluorescence Imaging Method for the Detection of Oral
Cancers in Mice Using an Indocyanine Green-Labeled Podoplanin Antibody.
AB - Podoplanin is distinctively overexpressed in oral squamous cell carcinoma than
oral benign neoplasms and plays a crucial role in the pathogenesis and metastasis
of oral squamous cell carcinoma but its diagnostic application is quite limited.
Here, we report a new near-infrared fluorescence imaging method using an
indocyanine green (ICG)-labeled anti-podoplanin antibody and a desktop/a handheld
ICG detection device for the visualization of oral squamous cell carcinoma
xenografted tumors in nude mice. Both near-infrared imaging methods using a
desktop (in vivo imaging system: IVIS) and a handheld device (photodynamic eye:
PDE) successfully detected oral squamous cell carcinoma tumors in nude mice in a
podoplanin expression-dependent manner with comparable sensitivity. Of these 2
devices, only near-infrared imaging methods using a handheld device visualized
oral squamous cell carcinoma xenografts in mice in real time. Furthermore, near
infrared imaging methods using the handheld device (PDE) could detect smaller
podoplanin-positive oral squamous cell carcinoma tumors than a non-near-infrared,
autofluorescence-based imaging method. Based on these results, a near-infrared
imaging method using an ICG-labeled anti-podoplanin antibody and a handheld
detection device (PDE) allows the sensitive, semiquantitative, and real-time
imaging of oral squamous cell carcinoma tumors and therefore represents a useful
tool for the detection and subsequent monitoring of malignant oral neoplasms in
both preclinical and some clinical settings.
PMID- 29649931
TI - Self-Management in Liver Transplant Recipients: A Narrative Review.
AB - INTRODUCTION: Although self-management is essential for liver transplant
recipients, there is no review that has synthesized findings related to self
management in this population. OBJECTIVE: This narrative review aimed to
synthesize the current findings and identify the gaps in knowledge about self
management in liver recipients. METHODS: A search of PubMed, CINAHL Plus,
PsychINFO, ProQuest, and Web of Science was conducted using the following terms:
[Self-care OR Self-management OR Health behavior] AND [Liver transplantation].
Peer-reviewed published research articles focusing on self-management of adult
recipients were selected. A total of 23 articles were included for review. Two
reviewers independently reviewed the full text of selected articles and extracted
the data about definitions, measurements, and findings regarding self-management.
RESULTS: Three areas of self-management were identified, including medication
nonadherence (n = 11), alcohol recidivism (n = 11), and health maintenance (n =
5). Reported rates of medication nonadherence ranged from 8% to 66%. Medication
nonadherence was related to recipients' demographic (eg, age or sex), transplant
related (eg, time since transplant), and pretransplant variables (eg, history of
substance/alcohol abuse). Reported alcohol recidivism rates ranged from 3% to
95%. Age, pretransplant variables (eg, abstinent time before transplant), and
personality disorder were identified to be related to alcohol recidivism after
transplant. The health maintenance studies discussed behaviors such as smoking,
clinic appointment attendance, or vaccination/health screening behaviors of
recipients. DISCUSSION: Self-management studies in liver recipients have been
narrowly focused on medication nonadherence and alcohol recidivism. To improve
self-management in recipients, self-management beyond medication nonadherence and
alcohol recidivism should be comprehensively examined.
PMID- 29649932
TI - Optimal tube length of orotracheal intubation for mice.
AB - Endotracheal tube (ETT) management is an essential technique in handling mice
with mechanical ventilators. Malposition into bronchi causes not only lethal
complications for them but also less efficient mechanical ventilation. However,
in general, it is difficult to know whether the ETT is placed with appropriate
depth into the trachea of mice. We measured the distance from incisors to the
bifurcation of trachea of multiple mice, and created a new estimation formula to
obtain the suitable ETT length for mice with a body weight range from 17 g to 25
g: length (mm) = 0.5 * bodyweight (g) + 7. However, millimeter step adjustments
are impracticable. Thus, slightly shorter than 2 cm (18-20 mm) may be the
universal ETT length for mice with bodyweight > 17 g. Furthermore, their foot
size may be a good alternative to predict the individual optimal ETT length for
mice.
PMID- 29649933
TI - New fungal infections associated with disease-modifying treatments in MS.
PMID- 29649935
TI - Polyurethane/nano-hydroxyapatite composite films as osteogenic platforms.
AB - A wide variety of biomaterials are utilized in tissue engineering to promote cell
proliferations in vitro or tissue growth in vivo. The combination of cells,
extracellular matrices, and biocompatible materials may make it possible to grow
functional living tissues ranging from bone to nerve cells. In bone regeneration,
polymeric scaffolds can be enhanced by the addition of bioactive materials. To
this end, this study designed several ratios of polyurethane (PU) and nano
hydroxyapatite (nHA) composites (PU-nHA ratios: 100/0, 90/10, 80/20, 70/30, 60/40
w/w). The physical and mechanical properties of these composites and their
relative cellular compatibility in vitro were determined. The chemical
composition and crystallinity of the composites were confirmed using X-ray
diffraction, X-ray photoelectron spectroscopy, and thermogravimetric analyses.
Atomic force microscopy, nano-indentation, and contact angle measurements were
used to evaluate surface properties. The results showed a significant increase in
surface roughness and a decrease in contact angle when the nHA concentration
increased above 20%, resulting in a significant increase in hydrophilicity. These
surface property changes influenced cellular behavior when MC 3T3-E1 cells were
seeded on the composites. All composites were cytocompatible. There was a linear
increase in cell proliferation on the 80/20 and 70/30 composites only, whereas
subjective evaluation demonstrated noticeable clusters or nodules of cells
(considered hallmarks of osteogenic differentiation) in the absence of any
osteogenic inducers only on the 90/10 and 80/20 composites. Cellular data
suggests that the 80/20 composite was an optimal environment for cell adhesion,
proliferation, and, potentially, osteogenic differentiation in vitro.
PMID- 29649936
TI - Barriers to cervical screening and interest in self-sampling among women who
actively decline screening.
AB - OBJECTIVES: Understanding why some women actively decline cervical screening
could contribute to tailored intervention development. We explored reasons for
non-participation in cervical screening among women who had made an active
decision not to attend in the future. We also explored interest in human
papillomavirus self-sampling. METHODS: In a population-based survey of women in
Great Britain, home-based computer-assisted interviews were carried out with
screening eligible women. Women reported their intention to attend for screening
when next invited. They endorsed predefined barriers to screening and indicated
their interest in human papillomavirus self-sampling. RESULTS: Women who had
actively declined screening and those who intended to go but were currently
overdue (n=543) were included in this analysis. Women who had made an active
decision not to be screened in the future were more likely to endorse the
barriers 'I have other more important things to worry about' and to perceive
screening to be of low relevance based on their sexual behaviour. Most
participants (70%) indicated that they would be interested in human
papillomavirus self-sampling. Interest in self-sampling was greater among those
who reported having had a bad experience of screening in the past, were too busy
or embarrassed to attend, or would not want a man to carry out the test.
CONCLUSIONS: Women who had made an active decision not to attend screening felt
it was of low relevance to them and that they had more important things to worry
about. Shifting the perceived cost-benefit ratio for these women by offering
human papillomavirus self-sampling might increase screening participation in this
group.
PMID- 29649937
TI - Work Requirements in Medicaid for People With Mental Illnesses and Substance Use
Disorders.
PMID- 29649938
TI - Preoperative Physiology, Imaging, and Management of Interrupted Aortic Arch.
AB - Interrupted aortic arch (IAA) is a rare form of critical neonatal heart disease
in which there is lack of continuity between the ascending aorta and the
descending thoracic aorta. In the absence of prenatal diagnosis, patients with
IAA present in shock when the patent ductus arteriosus closes. Diagnosis can
generally be made by echocardiography, and initiation of prostaglandin E1
infusion allows for adequate lower body perfusion prior to surgical repair. Full
neonatal repair can be achieved with good outcomes in most cases. However, there
is often underdevelopment of the left ventricular outflow tract and risk for
later surgical re-intervention. Many patients with IAA, particularly those with
type B, have DiGeorge syndrome, which has important development implications.
PMID- 29649939
TI - Participation Patterns of Preschool Children With Intellectual Developmental
Disabilities.
AB - We aim to examine the pattern of participation of children with intellectual
developmental disabilities (IDD) or global developmental delay (GDD) in
comparison with typically developing preschoolers. In addition, to identify
environmental and personal factors associated with their participation, 20
children with mild to moderate GDD or IDD, and 24 age- and gender-matched
controls, aged 3 to 6 years, were assessed using the Assessment of Preschool
Children's Participation and the Environmental Restriction Questionnaire.
Significant differences were found between the groups, both for general scales of
participation and for each activity area. For the IDD/GDD group, participation
was significantly negatively correlated with environmental restrictions at home.
For the control group, participation was correlated with demographic variables.
Typically developing children participate at a higher frequency and in a more
diverse range of activities compared with children with IDD/GDD. Associations
between participation and contextual factors varied depending on the child's
health condition.
PMID- 29649940
TI - Editorial.
PMID- 29649941
TI - Guest Editorial: Revisiting Feetham's Criteria for Research of Families to
Advance Science and Inform Policy for the Health and Well-Being of Families.
PMID- 29649942
TI - Blocking Tumor Necrosis Factor-Alpha Expression Prevents Blast-Induced
Excitatory/Inhibitory Synaptic Imbalance and Parvalbumin-Positive Interneuron
Loss in the Hippocampus.
AB - Traumatic brain injury (TBI) is a major cause of neurological disorder and death
in civilian and military populations. It comprises two components-direct injury
from the traumatic impact and secondary injury from ensuing neural inflammatory
responses. Blocking tumor necrosis factor-alpha (TNF-alpha), a central regulator
of neural inflammation, has been shown to improve functional recovery after TBI.
However, the mechanisms underlying those therapeutic effects are still poorly
understood. Here, we examined effects of 3,6'-dithiothalidomide (dTT), a
potentially therapeutic TNF-alpha inhibitor, in mice with blast-induced TBI. We
found that blast exposure resulted in elevated expression of TNF-alpha,
activation of microglial cells, enhanced excitatory synaptic transmission,
reduced inhibitory synaptic transmission, and a loss of parvalbumin-positive
(PV+) inhibitory interneurons. Administration of dTT for 5 days after the blast
exposure completely suppressed blast-induced increases in TNF-alpha
transcription, largely reversed blasted-induced synaptic changes, and prevented
PV+ neuron loss. However, blocking TNF-alpha expression by dTT failed to mitigate
blast-induced microglial activation in the hippocampus, as evidenced by their non
ramified morphology. These results indicate that TNF-alpha plays a major role in
modulating neuronal functions in blast-induced TBI and that it is a potential
target for treatment of TBI-related brain disorders.
PMID- 29649943
TI - 2017 Reviewer Thank You.
PMID- 29649944
TI - Visuospatial bootstrapping: Binding useful visuospatial information during verbal
working memory encoding does not require set-shifting executive resources.
AB - Immediate serial recall of digits is better when the digits are shown by
highlighting them in a familiar array, such as a phone keypad, compared with
presenting them serially in a single location, a pattern referred to as
"visuospatial bootstrapping." This pattern implies the establishment of temporary
links between verbal and spatial working memory, alongside access to information
in long-term memory. However, the role of working memory control processes like
those implied by the "Central Executive" in bootstrapping has not been directly
investigated. Here, we report a study addressing this issue, focusing on
executive processes of attentional shifting. Tasks in which information has to be
sequenced are thought to be heavily dependent on shifting. Memory for digits
presented in keypads versus single locations was assessed under two secondary
task load conditions, one with and one without a sequencing requirement, and
hence differing in the degree to which they invoke shifting. Results provided
clear evidence that multimodal binding (visuospatial bootstrapping) can operate
independently of this form of executive control process.
PMID- 29649945
TI - The priming function of in-car audio instruction.
AB - Studies to date have focused on the priming power of visual road signs, but not
the priming potential of audio road scene instruction. Here, the relative priming
power of visual, audio, and multisensory road scene instructions was assessed. In
a lab-based study, participants responded to target road scene turns following
visual, audio, or multisensory road turn primes which were congruent or
incongruent to the primes in direction, or control primes. All types of
instruction (visual, audio, and multisensory) were successful in priming
responses to a road scene. Responses to multisensory-primed targets (both audio
and visual) were faster than responses to either audio or visual primes alone.
Incongruent audio primes did not affect performance negatively in the manner of
incongruent visual or multisensory primes. Results suggest that audio
instructions have the potential to prime drivers to respond quickly and safely to
their road environment. Peak performance will be observed if audio and visual
road instruction primes can be timed to co-occur.
PMID- 29649946
TI - Does social presence or the potential for interaction reduce social gaze in
online social scenarios? Introducing the "live lab" paradigm.
AB - Research has shown that people's gaze is biased away from faces in the real world
but towards them when they are viewed onscreen. Non-equivalent stimulus
conditions may have represented a confound in this research, however, as
participants viewed onscreen stimuli as pre-recordings where interaction was not
possible compared with real-world stimuli which were viewed in real time where
interaction was possible. We assessed the independent contributions of online
social presence and ability for interaction on social gaze by developing the
"live lab" paradigm. Participants in three groups ( N = 132) viewed a confederate
as (1) a live webcam stream where interaction was not possible (one-way), (2) a
live webcam stream where an interaction was possible (two-way), or (3) a pre
recording. Potential for interaction, rather than online social presence, was the
primary influence on gaze behaviour: participants in the pre-recorded and one-way
conditions looked more to the face than those in the two-way condition,
particularly, when the confederate made "eye contact." Fixation durations to the
face were shorter when the scene was viewed live, particularly, during a bid for
eye contact. Our findings support the dual function of gaze but suggest that
online social presence alone is not sufficient to activate social norms of civil
inattention. Implications for the reinterpretation of previous research are
discussed.
PMID- 29649947
TI - The time to remember: Temporal compression and duration judgements in memory for
real-life events.
AB - Recent studies suggest that the continuous flow of information that constitutes
daily life events is temporally compressed in episodic memory, yet the
characteristics and determinants of this compression mechanism remain unclear.
This study examined this question using an experimental paradigm incorporating
wearable camera technology. Participants experienced a series of real-life events
and were later asked to mentally replay various event sequences that were cued by
pictures taken during the original events. Estimates of temporal compression (the
ratio of the time needed to mentally re-experience an event to the actual event
duration) showed that events were replayed, on average, about eight times faster
than the original experiences. This compression mechanism seemed to operate by
representing events as a succession of moments or slices of prior experience
separated by temporal discontinuities. Importantly, however, rates of temporal
compression were not constant and were lower for events involving goal-directed
actions. The results also showed that the perceived duration of events increased
with the density of recalled moments of prior experience. Taken together, these
data extend our understanding of the mechanisms underlying the temporal
compression and perceived duration of real-life events in episodic memory.
PMID- 29649949
TI - Postprandial effects of breakfast glycaemic index on cognitive performance among
young, healthy adults: A crossover clinical trial.
AB - OBJECTIVE: To evaluate the postprandial effects of high and low glycaemic index
(GI) breakfasts on cognitive performance in young, healthy adults. METHODS: A
crossover clinical trial including 40 young, healthy adults (aged 20-40 years,
50% females) recruited from primary healthcare centres in Salamanca, Spain.
Verbal memory, phonological fluency, attention, and executive functions were
examined 0, 60, and 120 minutes after consuming a low GI (LGI), high GI (HGI), or
water breakfast. Every subject tried each breakfast variant, in a randomized
order, separated by a washout period of 7 days, for a total of 3 weeks. RESULTS:
A significant interaction between the type of breakfast consumed and immediate
verbal memory was identified (P<.05). We observed a trend towards better
performance in verbal memory (delayed and immediate), attention, and phonological
fluency following an LGI breakfast. DISCUSSION: Cognitive performance during the
postprandial phase in young, healthy adults was minimally affected by the GI of
breakfast. The potential for breakfast's GI modulation to improve short- and long
term cognitive functioning requires further research.
PMID- 29649950
TI - Scrotal skin nodule: sebaceous cyst or tuberculosis? A lesson learnt!
AB - Tuberculosis (TB) is a great mimic of many diseases and may present a dilemma in
diagnosis. Sebaceous cyst is the commonest swelling that occurs in the scrotal
skin. We present a 23-year-old patient who presented with a painful hard scrotal
skin nodule, clinically diagnosed to be an infected calcified sebaceous cyst,
excised and reported to be due to TB. Nodular scrotal skin TB is extremely rare
but should nonetheless be considered as a differential diagnosis in regions where
it is prevalent. Thus, excision of any suspicious nodule is indicated.
PMID- 29649951
TI - Biliary ascariasis presenting with gangrenous perforation of the gall bladder:
report of a case and brief review of literature.
AB - The most common cause of gallbladder perforation is calculous cholecystitis.
Rarer causes include trauma, iatrogenic injuries, biliary stasis and gall bladder
ischemia. We report a case of gall bladder gangrene with perforation, secondary
to extensive ascariasis. A 45-year-old woman presented with acute intestinal
obstruction and jaundice. She had abdominal distension and right hypochondrial
tenderness. Abdominal radiography showed dilated bowel loops and ultrasonogram
showed worms in the small intestine and biliary tree. On exploration, a bolus of
worms 2 feet proximal to the ileocaecal junction was found causing obstruction.
Worms were also present in the bile duct and gallbladder causing gangrene and
perforation. She underwent cholecystectomy, bile-duct exploration and enterotomy.
However, she died on the third postoperative day of overwhelming sepsis. Enteric
complications of ascaris leading to bowel obstruction are well-known.
Hepatobiliary complications such as cholangitis and obstructive jaundice are
rare. However, such an extreme degree of infestation leading to gangrene and
perforation of the gall bladder is extremely rare.
PMID- 29649948
TI - Women's sexual dysfunction associated with psychiatric disorders and their
treatment.
AB - Impairment of mental health is the most important risk factor for female sexual
dysfunction. Women living with psychiatric illness, despite their frequent sexual
difficulties, consider sexuality to be an important aspect of their quality of
life. Antidepressant and antipsychotic medication, the neurobiology and symptoms
of the illness, past trauma, difficulties in establishing relationships and
stigmatization can all contribute to sexual dysfunction. Low sexual desire is
strongly linked to depression. Lack of subjective arousal and pleasure are linked
to trait anxiety: the sensations of physical sexual arousal may lead to fear
rather than to pleasure. The most common type of sexual pain is 10 times more
common in women with previous diagnoses of anxiety disorder. Clinicians often do
not routinely inquire about their patients' sexual concerns, particularly in the
context of psychotic illness but careful assessment, diagnosis and explanation of
their situation is necessary and in keeping with patients' wishes. Evidence-based
pharmacological and non-pharmacological interventions are available but poorly
researched in the context of psychotic illness.
PMID- 29649952
TI - Cefquinome-loaded microsphere formulations against Klebsiella pneumonia infection
during experimental infections.
AB - The aim of this study was to prepare cefquinome-loaded polylactic acid
microspheres and to evaluate their in vitro and in vivo characteristics and
pharmacodynamics for the therapy of pneumonia in a rat model. Microspheres were
prepared using a 0.7 mm two-fluid nozzle spray drier in one step resulting in
spherical and smooth microspheres of uniform size (9.8 +/- 3.6 MUm). The
encapsulation efficiency and drug loading of cefquinome were 91.6 +/- 2.6% and
18.7 +/- 1.2%, respectively. In vitro release of cefquinome from the microspheres
was sustained for 36 h. Cefquinome-loaded polylactic acid microspheres as a drug
delivery system was successful for clearing experimental Klebsiella pneumonia
lung infections. A decrease in inflammatory cells and an inhibition of
inflammatory cytokines TNF-alpha, IL-1beta and IL-8 after microspheres treatment
was found. Changes in cytokine levels and types are secondary manifestations of
drug bactericidal effects. Rats were considered to be microbiologically cured
because the bacterial load was less than 100 CFU/g. These results also indicated
that the spray-drying method of loading therapeutic drug into polylactic acid
microspheres is a straightforward and safe method for lung-targeting therapy in
animals.
PMID- 29649953
TI - Prevalence and variables associated with an abnormal ankle-brachial index among
patients with human immunodeficiency virus/acquired immunodeficiency syndrome.
AB - : Objectives The longer survival of patients with human immunodeficiency
virus/acquired immunodeficiency syndrome and the introduction of the highly
active antiretroviral therapy have increased the number of chronic conditions;
among these, cardiovascular diseases. The aim of this study is to determine
patient, disease, and factors associated with peripheral arterial disease in a
population of patients with human immunodeficiency virus/acquired
immunodeficiency syndrome. Methods A prospective nested case-control study of a
cohort of patients with human immunodeficiency virus/acquired immunodeficiency
syndrome was conducted in a tertiary medical center in Mexico City. A sample size
of 206 patients was calculated. Medical history, relevant laboratory data,
peripheral arterial exam, and screening ankle-brachial index tests were obtained.
Results The prevalence of abnormal ankle-brachial indexes was 20% (42 patients).
Patient's mean age was 44 years +/-13. The majority (98.5%) were actively
receiving highly active antiretroviral therapy; active smoking was reported in 55
(27%), arterial hypertension and type 2 diabetes mellitus were found in 24 (12%)
and 22 (11%) patients. Median time from the human immunodeficiency virus
diagnosis was eight years (Interquartile range +/-11); the mean CD4 count was
481, with a mean viral load of 13,557 copies (SD +/- 69025.27) and 1889.18 (SD +/
9052.77) for patients with normal and abnormal ankle-brachial index and a median
of 40 (IQ +/- 2). Viral load ( p = 0.04) and number of years with human
immunodeficiency virus/acquired immunodeficiency syndrome ( p = 0.04) were
significantly associated with abnormal ankle-brachial indexes. Conclusions
Abnormal ankle-brachial index seems to be more frequent in Mexican patients with
human immunodeficiency virus/acquired immunodeficiency syndrome when compared
with the general population at the same age. The most important factors
associated with arterial disease were the viral load and the number of years with
human immunodeficiency virus/acquired immunodeficiency syndrome. TRIAL
REGISTRATION: ClinicalTrials.gov NCT02264509.
PMID- 29649954
TI - Anatomical Considerations and Open Surgery to Treat Juxtarenal Abdominal Aortic
Aneurysms.
AB - INTRODUCTION: Historically, the open approach to the abdominal aorta has been
transperitoneal (TP). In comparison, a retroperitoneal (RP) incision exposes the
lateral wall of the suprarenal aorta for clamp application and midline structures
such as the duodenum and pancreas are not encountered. Proximal clamp position
for open repair of juxtarenal abdominal aortic aneurysm (JR-AAA) is suprarenal,
supra-superior mesenteric, or supraceliac. While RP and TP approaches have
previously been compared for physiological reasons, there are currently no
randomized controlled trials comparing these methods from an anatomical
perspective. AIMS: The primary aim is to examine the evidence for adopting an RP
approach for JR-AAA and compare it with TP approach from an anatomical
perspective. The secondary aim is to assess optimum proximal clamp position and
its effect on renal function and mortality for the 2 approaches. METHODS/DESIGN:
Literature was reviewed searching databases Medline and Embase for studies on
clamp positioning in JR-AAA repair using a TP or RP approach, up to December
2017. CONCLUSIONS: There is no clear evidence for the optimum cross-clamp
position for open repair of JR-AAAs. More proximal clamps provide adequate
operative space with the possible downside of increased afterload leading to
visceral and renal ischemia. Clamps placed inferior to the superior mesenteric
artery allow continued bowel and hepatic perfusion with the potential to cause
trauma to the adjacent aortic branches during application. As far as the optimum
approach is concerned, many series show a strong trend for RP as a more proximal
clamp is required. Significant numbers develop renal failure after JR-AAA repair,
with most recovering fully irrespective of the clamp position.
PMID- 29649955
TI - Repair of TEVAR-Associated Type A Dissection in the Elderly Is Possible With
Reasonable Morbidity and Mortality.
AB - BACKGROUND: Type A aortic dissection (AAD) is a devastating complication of
thoracic endovascular repair (TEVAR). In elderly patients, surgery for AAD
carries considerable morbidity and mortality. Repair of AAD after previous TEVAR
is an even greater challenge as it usually requires the arch to be addressed and
a preexisting stent graft to be included into the aortic repair. METHODS: A case
series of 5 elderly patients who presented with acute AAD after previous TEVAR
was reviewed. In 4 cases, there was retrograde AAD with involvement of the arch
and stent graft. In 1 patient, intraoperative inspection showed no involvement of
the arch. Three underwent ascending and subtotal arch replacement in moderate
hypothermic circulatory arrest with selective cerebral perfusion. In 1 case,
concomitant tricuspid valve repair was performed. The patient without involvement
of the arch underwent emergent replacement of the ascending aorta in deep
hypothermic circulatory arrest, and in the oldest, aged 88 years, surgery was
limited to wrapping of the ascending aorta as an on-pump beating salvage
procedure. RESULTS: Four (80%) of 5 patients survived and were discharged after
an intensive care unit stay of 17.45 +/- 15.98 days and a hospital stay of 26.0
+/- 10.98 days. Mortality was 20%. All survivors were discharged with appropriate
rehabilitation potential and without lasting neurological disabilities.
PMID- 29649956
TI - Improving the compression dewatering of sewage sludge through bioacidification
conditioning driven by Acidithiobacillus ferrooxidans: dewatering rate vs.
dewatering extent.
AB - Prior to mechanical dewatering, sludge conditioning is indispensable to reduce
the difficulty of sludge treatment and disposal. The effect of bioacidification
conditioning driven by Acidithiobacillus ferrooxidans LX5 on the dewatering rate
and extent of sewage sludge during compression dewatering process was
investigated in this study. The results showed that the bioacidification of
sludge driven by A. ferrooxidans LX5 simultaneously improved both the sludge
dewatering rate and extent, which was not attained by physical/chemical
conditioning approaches, including ultrasonication, microwave, freezing/thawing,
or by adding the chemical conditioner cationic polyacrylamide (CPAM). During the
bioacidification of sludge, the decrease in sludge pH induced the damage of
sludge microbial cell structures, which enhanced the dewatering extent of sludge,
and the added Fe2+ and the subsequent bio-oxidized Fe3+ effectively flocculated
the damaged sludge flocs to improve the sludge dewatering rate. In the
compression dewatering process consisting of filtration and expression stages,
high removal of moisture and a short dewatering time were achieved during the
filtration stage and the expression kinetics were also improved because of the
high elasticity of sludge cake and the rapid creeping of the aggregates within
the sludge cake. In addition, the usefulness of bioacidification driven by A.
ferrooxidans LX5 in improving the compression dewatering of sewage sludge could
not be attained by the chemical treatment of sludge through pH modification and
Fe3+ addition. Therefore, the bioacidification of sludge driven by A.
ferrooxidans LX5 is an effective conditioning method to simultaneously improve
the rate and extent of compression dewatering of sewage sludge.
PMID- 29649957
TI - Tissue-Engineered Neo-Urinary Conduit from Decellularized Trachea.
AB - Decellularized tissues have been increasingly popular for constructing scaffolds
for tissue engineering applications due to their beneficial biological
compositions and mechanical properties. It is therefore natural to consider
decellularized trachea for construction of tissue-engineered trachea, as well as
other tubular organs. A Neo-Urinary Conduit (NUC) is such a tubular organ that
works as a passage for urine removal in bladder cancer patients who need a
urinary diversion after their diseased bladder is removed. In this study, we
report our findings on the feasibility of using a decellularized trachea for NUC
applications. As a NUC scaffold, decellularized trachea provides benefits of
having not only naturally occurring biological components but also having
sufficient mechanical properties and structural integrity. We, therefore,
decellularized rabbit trachea, evaluated its mechanical performance, and
investigated its ability to support in vitro growth of human smooth muscle cells
(hSMCs) and human urothelial cells (hUCs). The decellularized trachea had
appropriate biomechanical properties with ultimate tensile strength of ~0.34 MPa
in longitudinal direction and ~1.0 MPa in circumferential direction and resisted
a radial burst pressure of >155 mm Hg. Cell morphology study by scanning electron
microscopy further showed that hUCs grown on decellularized trachea adopted a
typical flatten and interconnected network structure in the lumen of the
scaffold, while they formed a round spherical shape and did not spread on the
outer surfaces. SMCs, on the other hand, spread well throughout the scaffold. The
gene expression analysis by real time quantitative polymerase chain reaction (RT
qPCR) and immunofluorescence studies further confirmed scaffold's ability to
support long-term growth of hSMCs. Since uroepithelium has been shown to
regenerate itself over time in vivo, these findings suggest that it is possible
to construct a NUC from decellularized trachea without any preseeding of UCs. In
future, we plan to translate decellularized trachea in a preclinical animal model
and evaluate its biological performance.
PMID- 29649958
TI - The nuts and bolts of the Haloferax CRISPR-Cas system I-B.
AB - Invading genetic elements pose a constant threat to prokaryotic survival,
requiring an effective defence. Eleven years ago, the arsenal of known defence
mechanisms was expanded by the discovery of the CRISPR-Cas system. Although
CRISPR-Cas is present in the majority of archaea, research often focuses on
bacterial models. Here, we provide a perspective based on insights gained
studying CRISPR-Cas system I-B of the archaeon Haloferax volcanii. The system
relies on more than 50 different crRNAs, whose stability and maintenance
critically depend on the proteins Cas5 and Cas7, which bind the crRNA and form
the Cascade complex. The interference machinery requires a seed sequence and can
interact with multiple PAM sequences. H. volcanii stands out as the first example
of an organism that can tolerate autoimmunity via the CRISPR-Cas system while
maintaining a constitutively active system. In addition, the H. volcanii system
was successfully developed into a tool for gene regulation.
PMID- 29649960
TI - President's Message.
PMID- 29649961
TI - Family Care/Caring Based on Family Belief Systems Theory.
PMID- 29649959
TI - Whole Brain Magnetic Resonance Spectroscopic Determinants of Functional Outcomes
in Pediatric Moderate/Severe Traumatic Brain Injury.
AB - Diffuse axonal injury contributes to the long-term functional morbidity observed
after pediatric moderate/severe traumatic brain injury (msTBI). Whole-brain
proton magnetic resonance echo-planar spectroscopic imaging was used to measure
the neurometabolite levels in the brain to delineate the course of
disruption/repair during the first year post-msTBI. The association between
metabolite biomarkers and functional measures (cognitive functioning and corpus
callosum [CC] function assessed by interhemispheric transfer time [IHTT] using an
event related potential paradigm) was also explored. Pediatric patients with
msTBI underwent assessments at two times (post-acutely at a mean of three months
post-injury, n = 31, and chronically at a mean of 16 months post-injury, n = 24).
Healthy controls also underwent two evaluations, approximately 12 months apart.
Post-acutely, in patients with msTBI, there were elevations in choline (Cho;
marker for inflammation and/or altered membrane metabolism) in all four brain
lobes and the CC and decreases in N-acetylaspartate (NAA; marker for neuronal and
axonal integrity) in the CC compared with controls, all of which normalized by
the chronic time point. Subgroups of TBI showed variable patterns chronically.
Patients with slow IHTT had lower lobar Cho chronically than those with normal
IHTT; they also did not show normalization in CC NAA whereas those with normal
IHTT showed significantly higher levels of CC NAA relative to controls. In the
normal IHTT group only, chronic CC Cho and NAA together explained 70% of the
variance in long-term cognitive functioning. MR based whole brain metabolic
evaluations show different patterns of neurochemistry after msTBI in two
subgroups with different outcomes. There is a dynamic relationship between
prolonged inflammatory responses to brain damage, reparative
processes/remyelination, and subsequent neurobehavioral outcomes. Multimodal
studies allow us to test hypotheses about degenerative and reparative processes
in patient groups that have divergent functional outcome, with the ultimate goal
of developing targeted therapeutic agents.
PMID- 29649962
TI - The Mycoheterotrophic Symbiosis Between Orchids and Mycorrhizal Fungi Possesses
Major Components Shared with Mutualistic Plant-Mycorrhizal Symbioses.
AB - Achlorophylous and early developmental stages of chorolophylous orchids are
highly dependent on carbon and other nutrients provided by mycorrhizal fungi, in
a nutritional mode termed mycoheterotrophy. Previous findings have implied that
some common properties at least partially underlie the mycorrhizal symbioses of
mycoheterotrophic orchids and that of autotrophic arbuscular mycorrhizal (AM)
plants; however, information about the molecular mechanisms of the relationship
between orchids and their mycorrhizal fungi is limited. In this study, we
characterized the molecular basis of an orchid-mycorrhizal (OM) symbiosis by
analyzing the transcriptome of Bletilla striata at an early developmental stage
associated with the mycorrhizal fungus Tulasnella sp. The essential components
required for the establishment of mutual symbioses with AM fungi or rhizobia in
most terrestrial plants were identified from the B. striata gene set. A cross
species gene complementation analysis showed one of the component genes, calcium
and calmodulin-dependent protein kinase gene CCaMK in B. striata, retains
functional characteristics of that in AM plants. The expression analysis revealed
the activation of homologs of AM-related genes during the OM symbiosis. Our
results suggest that orchids possess, at least partly, the molecular mechanisms
common to AM plants.
PMID- 29649963
TI - Whole-Genome Sequence of the Orchid Anthracnose Pathogen Colletotrichum
orchidophilum.
AB - Colletotrichum orchidophilum is a plant-pathogenic fungus infecting a wide range
of plant species belonging to the family Orchidaceae. In addition to its economic
impact, C. orchidophilum has been used in recent years in evolutionary studies
because it represents the closest related species to the C. acutatum species
complex. Here, we present the first-draft whole-genome sequence of C.
orchidophilum IMI 309357, providing a resource for future research on anthracnose
of Orchidaceae and other hosts.
PMID- 29649964
TI - Gene Expression Profiling Shows That NbFDN1 Is Involved in Modulating the
Hypersensitive Response-Like Cell Death Induced by the Oat dwarf virus RepA
Protein.
AB - In this study, we used high-throughput deep nucleotide sequencing to characterize
the global transcriptional response of Nicotiana benthamiana plants to transient
expression of the RepA protein from Oat dwarf virus (ODV). We identified 7,878
significantly differentially expressed genes (DEG) that mapped to 125 pathways,
suggesting that comprehensive networks are involved in regulation of RepA-induced
cell death. Of the 202 DEG associated with photosynthesis, expression of 195 was
found to be downregulated, indicating a significant inhibition of photosynthesis
in response to RepA expression, which is associated with chloroplast disruption
and physiological changes. We focused our analysis on NbFDN1, a member of the
ferredoxin protein family that participates in the chloroplast electron transport
chain performing oxygenic photosynthesis, which was identified to directly
interact with NbTsip1. We separately knocked down the expression of NbFDN1 and
NbTsip1 using virus-induced gene silencing, and found that NbFDN1 silencing
speeded up the development of RepA-induced cell death, unlike NbTsip1 silencing,
which showed an opposite effect on RepA-induced response. Further study showed
increased H2O2 accumulation and a negative correlation between the transcripts of
NbFDN1 and NbTsip1 in NbFDN1-silenced plants. Hence, we speculate that NbFDN1 has
an effect on RepA-induced hypersensitive response-like response by modulating
NbTsip1 transcription as well as H2O2 production.
PMID- 29649965
TI - Evaluation of the influence of sprinkling powdered slaked lime on microorganisms
for the prevention of domestic animal infectious diseases.
AB - When infectious diseases arise in domestic animals, a large amount of slaked lime
is sprinkled on cattle sheds and their surroundings for disinfection and
prevention. However, optimal sprinkling methods, standard and upper limit of
slaked lime, and influence of slaked lime on non-target microorganisms remain
unclear. In this study, we clarified detailed microbicidal effects of slaked lime
via in vitro experiments and the influence of sprinkling powdered slaked lime
(PSL) in field soil on microorganisms. In vitro disinfection tests assessing the
appropriate amount of water and ventilation conditions were also performed in
sterilized glass bottles with soil and Salmonella enterica subsp. enterica
serovar Typhimurium. Under conditions with a small amount of water relative to
the amount of PSL, the bactericidal effect and sustainability of powdered slaked
lime (PSL) tended to be lower than those without spraying water. Moreover, the
sterilization effect markedly decreased after 7 days under conditions with
abundant water. These results indicate that the amount of sprayed water is very
important for the bactericidal effect and persistence of PSL. A field experiment
showed that the pH and exchange calcium (Ca) content of the soil sprinkled with
over 1000 g m-2 PSL remained high even after a long period (>=1 year), with
values of approximately 0.5-1.0 and approximately 3-11 times the level without
PSL, respectively. However, sprinkling PSL did not influence viable microbial
counts at any concentration.
PMID- 29649966
TI - A Systematic Review of Intimate Partner Violence Interventions: State of the
Field and Implications for Practitioners.
AB - Intimate partner violence (IPV) victimization is a global public health issue and
has serious consequences of women's health. While scholars and researchers have
made some progress in addressing IPV and its impact across different levels of
care, there is a paucity of intervention research in this area. For example, we
know little about which intervention models work best for particular groups of
IPV survivors. Previous reviews have concluded there is insufficient evidence to
recommend specific treatment options for victims, but they have also been limited
in scope of target populations or have employed narrow eligibility criteria. This
systematic review examined the efficacy and effectiveness of interventions for
victims of IPV related to physical and mental health and revictimization. Three
large databases were searched and articles were selected using specified
criteria. Fifty-seven articles met inclusion criteria. Results indicate that both
empowerment-based advocacy and cognitively focused clinical interventions
demonstrate positive outcomes on the vast sequelae of violence in the context of
an intimate relationship. The heterogeneity of intervention approaches and
frameworks makes comparisons across studies challenging, but this review
demonstrates that interventions focused on problem-solving/solution seeking,
enhanced choice making and the alteration in distorted self-thinking and
perception are promising in facilitating and maintaining positive physical and
mental health changes for women who experience violence.
PMID- 29649967
TI - Influence of maternal and own genotype at tanning dependence-related SNPs on sun
exposure in childhood.
AB - BACKGROUND: Research suggests there may be a genetic influence on the likelihood
of becoming tanning dependent (TD). The way in which mothers regulate their
children's sun exposure may be affected by being TD. We investigated the
associations between single nucleotide polymorphisms (SNPs) related to being TD
and early sun exposure. METHODS: Data from the Avon Longitudinal Study of Parents
and Children (ALSPAC) were used. Associations between 17 TD related SNPs in
children and their mothers and 10 sun exposure variables in children (assessed
via questionnaire at age 8) were analyzed in logistic and ordinal logistic
regressions. Analyses were adjusted for principal components of population
structure and age (at time of questionnaire response). Models with additional
adjustment for maternal or offspring genotypes were also tested. Secondary
analyses included adjustment for sex and skin pigmentation. RESULTS: Among ALSPAC
children, the rs29132 SNP in the Vesicle-associated membrane protein-associated
protein A (VAPA) gene was associated with five sun exposure variables whilst the
rs650662 SNP in the Opioid Receptor Mu 1 (OPRM1) gene was associated with three.
The remaining SNPs did not show associations beyond what was expected by chance.
After Bonferroni correction one SNP in the children was associated with an
increased likelihood of using sun cream whilst in the sun at 8 years old
(rs60050811 in the Spermatogenesis and Centriole Associated 1 (SPATC1) gene, OR
per C allele = 1.34, 95% CI 1.11-1.62, p = .003). In the mothers, rs650662 in
OPRM1 was associated with the use of a lower factor of sun cream in their
children, (OR per A allele = 0.89, 95% CI 0.82-0.96, p = .002). Whilst rs2073478
in the Aldehyde Dehydrogenase 1 Family Member B1 (ALDH1B1) gene was associated
with a reduced odds of their child using a sun block or cream with a 4 star
rating (OR per T allele = 0.68, 95% CI 0.53-0.88, p = .003). Similar but weaker
associations were observed for the main findings in the secondary analyses.
CONCLUSIONS: We found weak evidence to suggest that genes previously associated
with TD are associated with sun exposure in children of European ancestry from
southwest England.
PMID- 29649968
TI - Swimming exercise to control precocious maturation in male seabass (Dicentrarchus
labrax).
AB - BACKGROUND: Male European seabass, already predominant (~ 70%) in cultured
stocks, show a high incidence (20-30%) of precocious sexual maturation under
current aquaculture practices, leading to important economic losses for the
industry. In view of the known modulation of reproductive development by swimming
exercise in other teleost species, we aimed at investigating the effects of
sustained swimming on reproductive development in seabass males during the first
year of life in order to determine if swimming could potentially reduce
precocious sexual maturation. METHODS: Pre-pubertal seabass (3.91 +/- 0.22 g of
body weight (BW)) were subjected to a 10 week swimming regime at their optimal
swimming speed (Uopt) in an oval-shaped Brett-type flume or kept at rest during
this period. Using Blazka-type swim tunnels, Uopt was determined three times
during the course of the experiment: 0.66 m s- 1 at 19 +/- 1 g BW, 10.2 +/- 0.2
cm of standard length (SL) (week 1); 0.69 m s- 1 at 38 +/- 3 g BW, 12.7 +/- 0.3
cm SL (week 5), and also 0.69 m s- 1 at 77 +/- 7 g BW, 15.7 +/- 0.5 cm SL (week
9). Every 2 weeks, size and gonadal weight were monitored in the exercised (N =
15) and non-exercised fish (N = 15). After 10 weeks, exercised and non-exercised
males were sampled to determine plasma 11-ketotestosterone levels, testicular
mRNA expression levels of genes involved in steroidogenesis and gametogenesis by
qPCR, as well as the relative abundance of germ cells representing the different
spermatogenic stages by histological examination. RESULTS: Our results indicate
that sustained swimming exercise at Uopt delays testicular development in male
European seabass as evidenced by decreased gonado-somatic index, slower
progression of testicular development and by reduced mRNA expression levels of
follicle stimulating hormone receptor (fshR), 3-beta-hydroxysteroid dehydrogenase
(3betahsd), 11-beta hydroxysteroid dehydrogenase (11betahsd), estrogen receptor
beta (erbeta2), anti-mullerian hormone (amh), structural maintenance of
chromosomes protein 1B (smc1beta), inhibin beta A (inhba) and gonado-somal
derived factor 1 (gsdf1) in exercised males as compared with the non-exercised
males. CONCLUSIONS: Swimming exercise may represent a natural and non-invasive
tool to reduce the incidence of sexually precocious males in seabass aquaculture.
PMID- 29649969
TI - Magnetic resonance imaging for assessment of cerebrovascular reactivity and its
relationship to cognition: a systematic review.
AB - BACKGROUND: Cerebrovascular reactivity (CVR) refers to the responsiveness of
cerebral vasculature to vasoactive stimuli. CVR is an indicator of brain health
and can be assessed using vasodilatory techniques and magnetic resonance imaging
(MRI). Using such approaches, some researchers have explored the relationship
between CVR and cognition; here we systematically review this work. RESULTS: We
extracted information pertaining to: (1) study location and design, participant
characteristics, sample sizes, (2) design of vascular challenge, end-tidal CO 2
(etCO 2 ) concentrations (if applicable), (3) MRI protocol, (4) cognitive
assessment, (5) CVR values, and outcomes of statistical analyses with cognitive
tests. Five studies assessed participants with cognitive impairment compared to
controls, one studied patients with multiple sclerosis with or without cognitive
impairment compared to controls, one examined patients with moyamoya disease with
or without cognitive impairment, two investigated patients with Type 2 diabetes
mellitus (T2DM), and one was a cross-sectional study with younger and older
healthy adults. Cognition was typically probed using the MMSE and tests of
executive function, while a number of vasodilatory techniques were employed.
CONCLUSION: CVR was associated with cognition in six of ten studies, but
heterogeneity of study samples, designs and vasodilatory methods may have a role
in the inconsistent findings. We make recommendations for future research that
includes use of a multi-domain cognitive assessment and standardised hypercapnic
challenge with MRI.
PMID- 29649970
TI - Correction to: Genetic characterization of Bacillus anthracis in Guizhou
Province, Southwest of China.
AB - ERRATUM: Upon publication of the original article (1) it was highlighted by the
authors that a grant awarded to support the research work of the study was missed
in the acknowledgements. It should also be acknowledged that the grant titled
"Genotyping and Molecular Epidemiological Characteristic of Bacillus anthracis in
Guizhou Province" awarded by the Program of Natural Science Foundation of Guizhou
Province (Grant No. Qian Ke He J Word [2015] 2084)also contributed to the
resources for this research. This has since been formally noted in this
correction article.
PMID- 29649971
TI - Realizing drug repositioning by adapting a recommendation system to handle the
process.
AB - BACKGROUND: Drug repositioning is the process of identifying new targets for
known drugs. It can be used to overcome problems associated with traditional drug
discovery by adapting existing drugs to treat new discovered diseases. Thus, it
may reduce associated risk, cost and time required to identify and verify new
drugs. Nowadays, drug repositioning has received more attention from industry and
academia. To tackle this problem, researchers have applied many different
computational methods and have used various features of drugs and diseases.
RESULTS: In this study, we contribute to the ongoing research efforts by
combining multiple features, namely chemical structures, protein interactions and
side-effects to predict new indications of target drugs. To achieve our target,
we realize drug repositioning as a recommendation process and this leads to a new
perspective in tackling the problem. The utilized recommendation method is based
on Pareto dominance and collaborative filtering. It can also integrate multiple
data-sources and multiple features. For the computation part, we applied several
settings and we compared their performance. Evaluation results show that the
proposed method can achieve more concentrated predictions with high precision,
where nearly half of the predictions are true. CONCLUSIONS: Compared to other
state of the art methods described in the literature, the proposed method is
better at making right predictions by having higher precision. The reported
results demonstrate the applicability and effectiveness of recommendation methods
for drug repositioning.
PMID- 29649972
TI - Exploring barriers to the use of formal maternal health services and priority
areas for action in Sidama zone, southern Ethiopia.
AB - BACKGROUND: In 2015 the maternal mortality ratio for Ethiopia was 353 per 100,000
live births. Large numbers of women do not use maternal health services. This
study aimed to identify factors influencing the use of maternal health services
at the primary health care unit (PHCU) level in rural communities in Sidama zone,
south Ethiopia in order to design quality improvement interventions. METHODS: We
conducted a qualitative study in six woredas in 2013: 14 focus group discussions
(FGDs) and 44 in-depth interviews with purposefully selected community members
(women, male, traditional birth attendants, local kebele administrators), health
professionals and health extension workers (HEWs) at PHCUs. We digitally
recorded, transcribed and thematically analysed the interviews and FGDs using
Nvivo. The 'three delay model' informed the analytical process and discussion of
barriers to the use of maternal health services. RESULTS: Lack of knowledge on
danger signs and benefits of maternal health services; cultural and traditional
beliefs; trust in TBAs; lack of decision making power of women, previous negative
experiences with health facilities; fear of going to an unfamiliar setting; lack
of privacy and perceived costs of maternal health services were the main factors
causing the first delay in deciding to seek care. Transport problems in
inaccessible areas were the main contributing factor for the second delay on
reaching care facilities. Lack of logistic supplies and equipment, insufficient
knowledge and skills and unprofessional behaviour of health workers were key
factors for the third delay in accessing quality care. CONCLUSIONS: Use of
maternal health services at the PHCU level in Sidama zone is influenced by
complex factors within the community and health system. PHCUs should continue to
implement awareness creation activities to improve knowledge of the community on
complications of pregnancy and benefits of maternal health services. The health
system has to be responsive to community's cultural norms and practices. The
mangers of the woreda health office and health centres should take into account
the available budgets; work on ensuring the necessary logistics and supplies to
be in place at PHCU.
PMID- 29649973
TI - Clinical and histological evolution after de novo donor-specific anti-human
leukocyte antigen antibodies: a single centre retrospective study.
AB - BACKGROUND: Donor-specific anti-human leukocyte antigen (HLA) antibodies (DSA)
can be preformed or de novo (dn). Strategies to manage preformed DSA are well
described, but data on the management and outcomes of dnDSA are lacking. METHODS:
We performed a retrospective analysis of data from a single centre of the
management and outcomes of 22 patients in whom a dnDSA was identified with
contemporary and follow up biopsies. RESULTS: Evolution from baseline to follow
up revealed a statistically significant loss of kidney function (estimated
glomerular filtration rate: 45.9 +/- 16.7 versus 37.4 +/- 13.8 ml/min/1.73 m2; p
= 0.005) and increase in the proportion of patients with transplant
glomerulopathy (percentage with cg lesion >=1: 27.2% vs. 45.4%; p = 0.04). Nine
patients were not treated at the time of dnDSA identification, and 13 patients
received various drug combinations (e.g., corticosteroids, plasmapheresis,
thymoglobulins and/or rituximab). No significant pathological changes were
observed for the various treatment combinations. CONCLUSION: Our retrospective
analysis of a small sample suggests that dnDSA should be considered a risk factor
for the loss of kidney function independent of the baseline biopsy, and
multidisciplinary evaluations of the transplant patient are a necessary
requirement. Further confirmation in a multicentre prospective trial is required.
PMID- 29649974
TI - Delayed and repeated intranasal delivery of bone marrow stromal cells increases
regeneration and functional recovery after ischemic stroke in mice.
AB - BACKGROUND: Stroke is a leading cause of death and disability worldwide, yet
there are limited treatments available. Intranasal administration is a novel non
invasive strategy to deliver cell therapy into the brain. Cells delivered via the
intranasal route can migrate from the nasal mucosa to the ischemic infarct and
show acute neuroprotection as well as functional benefits. However, there is
little information about the regenerative effects of this transplantation method
in the delayed phase of stroke. We hypothesized that repeated intranasal
deliveries of bone marrow stromal cells (BMSCs) would be feasible and could
enhance delayed neurovascular repair and functional recovery after ischemic
stroke. RESULTS: Reverse transcription polymerase chain reaction and
immunocytochemistry were performed to analyze the expression of regenerative
factors including SDF-1alpha, CXCR4, VEGF and FAK in BMSCs. Ischemic stroke
targeting the somatosensory cortex was induced in adult C57BL/6 mice by
permanently occluding the right middle cerebral artery and temporarily occluding
both common carotid arteries. Hypoxic preconditioned (HP) BMSCs (HP-BMSCs) with
increased expression of surviving factors HIF-1alpha and Bcl-xl (1 * 106
cells/100 MUl per mouse) or cell media were administered intranasally at 3, 4, 5,
and 6 days after stroke. Mice received daily BrdU (50 mg/kg) injections until
sacrifice. BMSCs were prelabeled with Hoechst 33342 and detected within the peri
infarct area 6 and 24 h after transplantation. In immunohistochemical staining,
significant increases in NeuN/BrdU and Glut-1/BrdU double positive cells were
seen in stroke mice received HP-BMSCs compared to those received regular BMSCs.
HP-BMSC transplantation significantly increased local cerebral blood flow and
improved performance in the adhesive removal test. CONCLUSIONS: This study
suggests that delayed and repeated intranasal deliveries of HP-treated BMSCs is
an effective treatment to encourage regeneration after stroke.
PMID- 29649975
TI - Characterising resuscitation promoting factor fluorescent-fusions in
mycobacteria.
AB - BACKGROUND: Resuscitation promoting factor proteins (Rpfs) are peptidoglycan
glycosidases capable of resuscitating dormant mycobacteria, and have been found
to play a role in the pathogenesis of tuberculosis. However, the specific roles
and localisation of each of the 5 Rpfs in Mycobacterium tuberculosis remain
mostly unknown. In this work our aim was to construct fluorescent fusions of M.
tuberculosis Rpf proteins as tools to investigate their function. RESULTS: We
found that Rpf-fusions to the fluorescent protein mCherry are functional and able
to promote cell growth under different conditions. However, fusions to Enhanced
Green Fluorescent Protein (EGFP) were non-functional in the assays used and none
were secreted into the extracellular medium, which suggests Rpfs may be secreted
via the Sec pathway. No specific cellular localization was observed for either
set of fusions using time-lapse video microscopy. CONCLUSIONS: We present the
validation and testing of five M. tuberculosis Rpfs fused to mCherry, which are
functional in resuscitation assays, but do not show any specific cellular
localisation under the conditions tested. Our results suggest that Rpfs are
likely to be secreted via the Sec pathway. We propose that such mCherry fusions
will be useful tools for the further study of Rpf localisation, individual
expression, and function.
PMID- 29649976
TI - Identification of a novel nonsense mutation in SH2D1A in a patient with X-linked
lymphoproliferative syndrome type 1: a case report.
AB - BACKGROUND: X-linked lymphoproliferative syndrome type 1 (XLP1) is an X-linked
recessive genetic disorder with a strong resemblance to hemophagocytic
lymphohistiocytosis (HLH). Causative mutations for XLP1 have been identified in
SH2D1A, located on chromosome Xq25. CASE PRESENTATION: We report a case of an 18
month-old male with a novel nonsense mutation in SH2D1A. The patient presented
the typical phenotype of HLH, including splenomegaly and hemophagocytosis in the
bone marrow. Thus, he was initially diagnosed with HLH based on HLH-2004
guidelines. High-throughput amplicon sequencing was performed to detect mutations
in the most commonly reported causative genes of HLH, i.e., PRF1, UNC13D, STX11,
STXBP2, SH2D1A, and XIAP. A likely pathogenic nonsense mutation was detected in
SH2D1A (NM_002351.4:c.300T>A). The mutation was inherited from the patient's
mother, and an X-linked recessive mode of inheritance was confirmed by a two
generation pedigree analysis based on Sanger sequencing results. CONCLUSIONS: The
nonsense mutation in SH2D1A (NM_002351.4:c.300T>A) was reported for the first
time in a case of XLP1 and was considered to be likely pathogenic based on the
truncation of the mRNA sequence. This finding expands the spectrum of known XLP
related mutations in Chinese patients and indicates the utility of amplicon
sequencing for XLP and HLH diagnosis.
PMID- 29649977
TI - Development of fine motor skills is associated with expressive language outcomes
in infants at high and low risk for autism spectrum disorder.
AB - BACKGROUND: A growing body of research suggests that fine motor abilities are
associated with skills in a variety of domains in both typical and atypical
development. In this study, we investigated developmental trajectories of fine
motor skills between 6 and 24 months in relation to expressive language outcomes
at 36 months in infants at high and low familial risk for autism spectrum
disorder (ASD). METHODS: Participants included 71 high-risk infants without ASD
diagnoses, 30 high-risk infants later diagnosed with ASD, and 69 low-risk infants
without ASD diagnoses. As part of a prospective, longitudinal study, fine motor
skills were assessed at 6, 12, 18, and 24 months of age and expressive language
outcomes at 36 months using the Mullen Scales of Early Learning. Diagnosis of ASD
was determined at the infant's last visit to the lab (18, 24, or 36 months) using
the Autism Diagnostic Observation Schedule. RESULTS: Hierarchical linear modeling
revealed that high-risk infants who later developed ASD showed significantly
slower growth in fine motor skills between 6 and 24 months, compared to their
typically developing peers. In contrast to group differences in growth from age 6
months, cross-sectional group differences emerged only in the second year of
life. Also, fine motor skills at 6 months predicted expressive language outcomes
at 3 years of age. CONCLUSIONS: These results highlight the importance of
utilizing longitudinal approaches in measuring early fine motor skills to reveal
subtle group differences in infancy between ASD high-risk and low-risk infant
populations and to predict their subsequent language outcomes.
PMID- 29649978
TI - Personalized objects can optimize the diagnosis of EMCS in the assessment of
functional object use in the CRS-R: a double blind, randomized clinical trial.
AB - BACKGROUND: Behavioral assessment has been acted as the gold standard for the
diagnosis of disorders of consciousness (DOC) patients. The item "Functional
Object Use" in the motor function sub-scale in the Coma Recovery Scale-Revised
(CRS-R) is a key item in differentiating between minimally conscious state (MCS)
and emergence from MCS (EMCS). However, previous studies suggested that certain
specific stimuli, especially something self-relevant can affect DOC patients'
scores of behavioral assessment scale. So, we attempted to find out if
personalized objects can improve the diagnosis of EMCS in the assessment of
Functional Object Use by comparing the use of patients' favorite objects and
other common objects in MCS patients. METHODS: Twenty-one post-comatose patients
diagnosed as MCS were prospectively included. The item "Functional Object Use"
was assessed by using personalized objects (e.g., cigarette, paper) and non
personalized objects, which were presented in a random order. The rest
assessments were performed following the standard protocol of the CRS-R. The
differences between functional uses of the two types of objects were analyzed by
the McNemar test. RESULTS: The incidence of Functional Object Use was
significantly higher using personalized objects than non-personalized objects in
the CRS-R. Five out of the 21 MCS studied patients, who were assessed with non
personalized objects, were re-diagnosed as EMCS with personalized objects (chi2 =
5, df = 1, p < 0.05). CONCLUSIONS: Personalized objects employed here seem to be
more effective to elicit patients' responses as compared to non-personalized
objects during the assessment of Functional Object Use in DOC patients. TRIAL
REGISTRATION: Clinical Trials.gov: NCT02988206 ; Date of registration:
2016/12/12.
PMID- 29649979
TI - Ginseng Genome Database: an open-access platform for genomics of Panax ginseng.
AB - BACKGROUND: The ginseng (Panax ginseng C.A. Meyer) is a perennial herbaceous
plant that has been used in traditional oriental medicine for thousands of years.
Ginsenosides, which have significant pharmacological effects on human health, are
the foremost bioactive constituents in this plant. Having realized the importance
of this plant to humans, an integrated omics resource becomes indispensable to
facilitate genomic research, molecular breeding and pharmacological study of this
herb. DESCRIPTION: The first draft genome sequences of P. ginseng cultivar
"Chunpoong" were reported recently. Here, using the draft genome, transcriptome,
and functional annotation datasets of P. ginseng, we have constructed the Ginseng
Genome Database http://ginsengdb.snu.ac.kr /, the first open-access platform to
provide comprehensive genomic resources of P. ginseng. The current version of
this database provides the most up-to-date draft genome sequence (of
approximately 3000 Mbp of scaffold sequences) along with the structural and
functional annotations for 59,352 genes and digital expression of genes based on
transcriptome data from different tissues, growth stages and treatments. In
addition, tools for visualization and the genomic data from various analyses are
provided. All data in the database were manually curated and integrated within a
user-friendly query page. CONCLUSION: This database provides valuable resources
for a range of research fields related to P. ginseng and other species belonging
to the Apiales order as well as for plant research communities in general.
Ginseng genome database can be accessed at http://ginsengdb.snu.ac.kr /.
PMID- 29649980
TI - Patterns of caesarean section in HIV infected and non-infected women in Malawi:
is caesarean section used for PMTCT?
AB - BACKGROUND: Caesarean section (CS) is not recommended for PMTCT in Malawi HIV
Guidelines, contrary to most high-income countries where CS is indicated if viral
suppression is sub-optimal pre-delivery. We describe patterns of CS in HIV
infected and uninfected women in Malawi and explored if insight into the use of
Elective CS (ECS) for PMTCT could be obtained. METHODS: We used routinely
collected data from individual medical records from 17 large health facilities in
the central and southern regions of Malawi, from January 2010 to December 2013.
We included data from maternity registers from all HIV-positive women, and
randomly selected around every fourth woman with negative or unknown HIV status.
We used multivariable logistic regressions and cluster-based robust standard
errors to examine independent associations of patient- and facility
characteristics with CS and ECS. RESULTS: We included 62,033 women in the
analysis. The weighted percentage of women who had a spontaneous vaginal delivery
was 80.0% (CI 95% 79.5-80.4%); 2.4% (95% CI 2.3-2.6%) had a vacuum extraction;
2.3% (95% CI 2.2-2.5%) had a vaginal breech delivery; 14.0% (95% CI 13.6-14.4%)
had a CS while for 1.3% (95% CI 1.2-1.4%) the mode of delivery was not recorded.
Prevalence of CS without recorded medical or obstetric indication (ECS) was 5.1%,
(n = 3152). Presence of maternal and infant complications and older age were
independently associated with CS delivery. HIV-positive women were less likely to
have ECS than HIV negative women (aOR 0.65; 95%-CI 0.57-0.74). Among HIV-positive
women, those on antiretrovirals (ARV's) for >=4 weeks prior to delivery were less
likely to have ECS than HIV-positive women who had not received ARVs during
pregnancy (aOR 0.81; 95% CI 0.68-0.96). CONCLUSIONS: The pattern of CS's in
Malawi is largely determined by maternal and infant complications. Positive HIV
status was negatively associated with CS delivery, possibly because health care
workers were concerned about the risk of occupational HIV transmission and the
known increased risk of post-operative complications. Our results leave open the
possibility that CS is practiced to prevent MTCT given that ECS was more common
among women at high risk of MTCT due to no or short exposure to ARV's.
PMID- 29649982
TI - Identification and characterization of a novel 43-bp deletion mutation of the
ATP7B gene in a Chinese patient with Wilson's disease: a case report.
AB - BACKGROUND: Wilson's disease (WD) is an autosomal recessive disorder
characterized by copper accumulation. ATP7B gene mutations lead to ATP7B protein
dysfunction, which in turn causes Wilson's disease. CASE PRESENTATION: We
describe a male case of Wilson's disease diagnosed at 10 years after routine
biochemical test that showed low serum ceruloplasmin levels and Kayser-Fleischer
rings in both corneas. Analysis of the ATP7B gene revealed compound heterozygous
mutations in the proband, including the reported c.3517G > A mutation and a novel
c.532_574del mutation. The c.532_574del mutation covered a 43-bp region in exon
2, and resulted in a frameshift mutation (p.Leu178PhefsX10). By base sequence
analysis, two microhomologies (TCTCA) were observed on both deletion breakpoints
in the ATP7B gene. Meanwhile, the presence of some sequence motifs associated
with DNA breakage near the deletion region promoted DNA strand break.
CONCLUSIONS: By comparison, a replication-based mechanism named fork stalling and
template switching/ microhomology-mediated break-induced replication
(FoSTeS/MMBIR) was used to explain the formation of this novel deletion mutation.
PMID- 29649981
TI - In silico cancer research towards 3R.
AB - BACKGROUND: Improving our understanding of cancer and other complex diseases
requires integrating diverse data sets and algorithms. Intertwining in vivo and
in vitro data and in silico models are paramount to overcome intrinsic
difficulties given by data complexity. Importantly, this approach also helps to
uncover underlying molecular mechanisms. Over the years, research has introduced
multiple biochemical and computational methods to study the disease, many of
which require animal experiments. However, modeling systems and the comparison of
cellular processes in both eukaryotes and prokaryotes help to understand specific
aspects of uncontrolled cell growth, eventually leading to improved planning of
future experiments. According to the principles for humane techniques milestones
in alternative animal testing involve in vitro methods such as cell-based models
and microfluidic chips, as well as clinical tests of microdosing and imaging. Up
to-date, the range of alternative methods has expanded towards computational
approaches, based on the use of information from past in vitro and in vivo
experiments. In fact, in silico techniques are often underrated but can be vital
to understanding fundamental processes in cancer. They can rival accuracy of
biological assays, and they can provide essential focus and direction to reduce
experimental cost. MAIN BODY: We give an overview on in vivo, in vitro and in
silico methods used in cancer research. Common models as cell-lines, xenografts,
or genetically modified rodents reflect relevant pathological processes to a
different degree, but can not replicate the full spectrum of human disease. There
is an increasing importance of computational biology, advancing from the task of
assisting biological analysis with network biology approaches as the basis for
understanding a cell's functional organization up to model building for
predictive systems. CONCLUSION: Underlining and extending the in silico approach
with respect to the 3Rs for replacement, reduction and refinement will lead
cancer research towards efficient and effective precision medicine. Therefore, we
suggest refined translational models and testing methods based on integrative
analyses and the incorporation of computational biology within cancer research.
PMID- 29649983
TI - NCX-DB: a unified resource for integrative analysis of the sodium calcium
exchanger super-family.
AB - Na+/Ca2+ exchangers are low-affinity high-capacity transporters that mediate Ca2+
extrusion by coupling Ca2+ efflux to the influx of Na+ ions. The Na+/Ca2+
exchangers form a super-family comprised of three branches each differing in ion
substrate selectivity: Na+/Ca2+ exchangers (NCX), Na+/Ca2+/K+ exchangers, and
Ca2+/cation exchangers. Their primary function is to maintain Ca2+ homeostasis
and play a particularly important role in excitable cells that experience
transient Ca2+ fluxes. Research into the role and activity of Na+/Ca2+ exchangers
has focused extensively on the cardio-vascular system, however, growing evidence
suggests that Na+/Ca2+ exchangers play a key role in neuronal processes such as
memory formation, learning, oligodendrocyte differentiation, neuroprotection
during brain ischemia and axon guidance. They have also been implicated in
pathologies such as Alzheimer's disease, Parkinson's disease, Multiple Sclerosis
and Epilepsy, however, a clear understanding of their mechanism during disease is
lacking. To date, there has never been a central resource or database for
Na+/Ca2+ exchangers. With clear disease relevance and ever-increasing research on
Na+/Ca2+ exchangers from both model and non-model species, a database that
unifies the data on Na+/Ca2+ exchangers is needed for future research. NCX-DB is
a publicly available database with a web interface that enables users to explore
various Na+/Ca2+ exchangers, perform cross-species sequence comparison, identify
new exchangers, and stay-up to date with recent literature. NCX-DB is available
on the web via an interactive user interface with an intuitive design, which is
applicable for the identification and comparison of Na+/Ca2+ exchanger proteins
across diverse species.
PMID- 29649984
TI - BB-Cl-Amidine as a novel therapeutic for canine and feline mammary cancer via
activation of the endoplasmic reticulum stress pathway.
AB - BACKGROUND: Mammary cancer is highly prevalent in dogs and cats and results in a
poor prognosis due to critically lacking viable treatment options. Recent human
and mouse studies have suggested that inhibiting peptidyl arginine deiminase
enzymes (PAD) may be a novel breast cancer therapy. Based on the similarities
between human breast cancer and mammary cancer in dogs and cats, we hypothesized
that PAD inhibitors would also be an effective treatment for mammary cancer in
these animals. METHODS: Canine and feline mammary cancer cell lines were treated
with BB-Cl-Amidine (BB-CLA) and evaluated for viability and tumorigenicity.
Endoplasmic reticulum stress was tested by western blot, immunofluorescence, and
quantitative reverse transcriptase polymerase chain reaction (qRT-PCR). Canine
and feline mammary cancer xenograft models were created using NOD scid gamma
(NSG) mice, and were treated with BB-CLA for two weeks. RESULTS: We found that BB
CLA reduced viability and tumorigenicity of canine and feline mammary cancer cell
lines in vitro. Additionally, we demonstrated that BB-CLA activates the
endoplasmic reticulum stress pathway in these cells by downregulating 78 kDa
Glucose-regulated Protein (GRP78), a potential target in breast cancer for
molecular therapy, and upregulating the downstream target gene DNA Damage
Inducible Transcript 3 (DDIT3). Finally, we established a mouse xenograft model
of both canine and feline mammary cancer in which we preliminarily tested the
effects of BB-CLA in vivo. CONCLUSION: We propose that our established mouse
xenograft models will be useful for the study of mammary cancer in dogs and cats,
and furthermore, that BB-CLA has potential as a novel therapeutic for mammary
cancer in these species.
PMID- 29649986
TI - Correction to: Clinical evaluation of two different protein content formulas fed
to full-term healthy infants: a randomized controlled trial.
AB - Following the publication of the original article [1], it was brought to our
attention that the authors' names and surnames were erroneously interchanged.
PMID- 29649985
TI - The incidence of acute oxaliplatin-induced neuropathy and its impact on treatment
in the first cycle: a systematic review.
AB - BACKGROUND: Although acute oxaliplatin-induced neuropathy (OXIPN) is frequently
regarded to be transient, recent studies have reported prolongation of infusion
times, dose reduction and treatment cessation following the first dose of
oxaliplatin in quarter of patients. Acute OXIPN is also a well-established risk
factor for chronic neuropathy. However, there is underreporting of these
parameters during the acute phase (<= 14 days). This paper systematically reviews
the incidence of acute OXIPN and its impact on treatment in the first cycle.
METHODS: A systematic literature search was performed using PubMed and Medline.
Published original articles were included if they described details about
prevalence of oxaliplatin-induced acute neuropathy. RESULTS: Fourteen studies,
comprised of 6211 patients were evaluated. The majority of patients were treated
with oxaliplatin in combination with leucovorin and fluorouracil (FOLFOX). Most
studies used the National Cancer Institute Common Toxicity Criteria to assess
acute neuropathy. Acute neuropathy (Grades 1-4) was the most common event with
prevalence ranging from 4-98%, followed by haematological (1.4-81%) and
gastrointestinal (1.2-67%) toxicities, respectively. Drug regimens, starting dose
of oxaliplatin and neuropathy assessment tools varied across studies. In
addition, moderate to severe toxicities were common in patients that received a
large dose of oxaliplatin (> 85 mg/m2) and/ or combined drugs. The majority of
studies did not report the factors affecting acute neuropathy namely the range
(minimal) doses required to evoke acute neuropathy, patient and clinical risk
factors. In addition, there was no systematic reporting of the number of patients
subjected to prolonged infusion, dose reduction, treatment delay and treatment
cessation during the acute phase. CONCLUSION: Despite the heterogeneity of
studies regarding oxaliplatin starting dose, drug regimen, neuropathy assessment
tools and study design, a large number of patients developed acute neuropathy. To
develop a better preventive and therapeutic guideline for acute/chronic
neuropathy, a prospective study should be conducted in a large cohort of patients
in relation to drug regimen, starting/ranges (minimal) of doses producing acute
neuropathy, treatment compliance, patient and clinical risk factors using a
standardised neuropathy assessment tool.
PMID- 29649987
TI - Multimodal imaging of foveoschisis and macular pseudohole associated with gyrate
atrophy: a family report.
AB - BACKGROUND: To report the results of multimodal imaging of a biochemically
confirmed case of a family with gyrate atrophy (GA) associated with foveoschisis
and macular pseudohole. CASE PRESENTATION: Two sisters presented to us with
progressive bilateral decreased vision. The 26-year old sister had a best
corrected visual acuity (BCVA) of 20/32 in the right eye (RE) and 20/100 in the
left eye (LE). Dilated fundus examination revealed multiple bilateral
chorioretinal atrophy areas in the midperipheral and peripheral retina.
Fluorescein angiography did not show any leak in the macular area. Swept-source
optical coherence tomography (SS-OCT) showed increased central macular thickness
in both eyes with foveoschisis. Optical coherence tomography angiography (OCTA)
showed petaloid non-reflective areas and some perifoveal microvascular
alterations similar to telangiectasias in the deep capillary complex. The 30-year
old sister had a BCVA of 20/20 in the RE and 20/32 in the LE. SS-OCT was normal
in the RE and demonstrated a macular pseudohole with a fine epiretinal membrane
in the LE. The persistent retinal tissue at the base of the pseudohole was
disorganised. Blood tests showed hyperornithinemia in the 2 cases. Based on these
observations, the patients were diagnosed with gyrate atrophy of the choroid and
retina and were treated with a pyridoxine supplement and an arginine-restricted
diet. CONCLUSIONS: Foveoschisis and macular pseudohole may be associated in GA,
increasing the risk of rapid vision loss. OCTA is an interesting imaging tool
that can help to better understand the pathophysiological mechanism of these
macular involvements in GA.
PMID- 29649988
TI - The function and morphology of Meibomian glands in patients with thyroid eye
disease: a preliminary study.
AB - BACKGROUND: To investigate function and morphology of the meibomian gland (MG) in
patients with thyroid eye disease (TED). METHODS: In this prospective case series
study, patients with unilateral or bilateral TED were consecutively enrolled. The
diagnosis of TED was based on the typical orbital findings and/or radiographic
evidence. The disease activity of TED was classified according to the clinical
activity score (CAS). Degrees of lagophthalmos and exophthalmos, blinking rates,
and results of the Schirmer test 1 were also recorded. All patients completed the
SPEED questionnaire and underwent MG assessment, including lipid layer thickness
(LLT), MG dropout (MGd), and MG expression. RESULTS: In total 31 eyes from 17
patients with unilateral or bilateral TED were included. Patients were divided
into inactive TED (CAS 0-1; 20 eyes from 11 patients) and active TED (CAS 2-3, 11
eyes from 6 patients) groups. MGd was significantly more severe in the active TED
than the inactive TED group [Median (Inter-quartile region): 3.0 (2.0-3.0) vs.
2.0 (1.0-2.0) degree, P = 0.04]. However, patients with active TED had thicker
LLT than those with inactive TED (90.0 [80.0-100.0] vs. 65.0 [47.8-82.5] nm, P =
0.02), and LLT was positively correlated with lagophthalmos (r = 0.37, P = 0.04).
CONCLUSIONS: Patients with active TED had more severe MGd, but thicker LLT.
Active TED may cause periglandular inflammation of MGs, leading to MGd, but
compensatory secretion from residual MGs and lagophthalmos-induced forceful
blinking might temporarily release more lipids over the tear film.
PMID- 29649989
TI - Evaluation of efficacy and safety for Brucea javanica oil emulsion in the control
of the malignant pleural effusions via thoracic perfusion.
AB - BACKGROUND: Brucea javanica oil emulsion (BJOE) is traditional Chinese medicine
with implicated anti-tumor activity, which has been used for treating lung cancer
in China. The aim of this investigation was to evaluate the effects and safety of
intrapleural injection of BJOE in treating malignant pleural effusion (MPE).
METHODS: The randomised controlled trials (RCTs) on the effects and safety of
BJOE in treating MPE were searched from electronic medical database including
MEDLINE, SCI, EMBASE, Cochrance Library and CNKI. A total of 14 RCTs with 1085
patients were involved in this meta-analysis. RESULTS: The overall response rate
(ORR) of traditional chemotherapy drugs plus BJOE was higher than that of
traditional chemotherapy drugs alone (p = 0.001; odds ratio = 1.39). Meanwhile,
the combination of BJOE and traditional chemotherapy drugs improved the quality
of life (QOL) of patients with MPE (p < 0.001; odds ratio = 1.56) compared with
traditional chemotherapy drugs alone. Moreover, the participation of BJOE reduced
the myelotoxicity and digestive reactions caused by traditional chemotherapy
drugs (p < 0.05). CONCLUSIONS: The efficacy and safety of traditional
chemotherapy drugs plus BJOE was superior to traditional chemotherapy drugs alone
via intrapleural injection in controlling MPE, which suggested that BJOE can be
used to treat MPE.
PMID- 29649990
TI - Correction to: Genomics of NSCLC patients both affirm PD-L1 expression and
predict their clinical responses to anti-PD-1 immunotherapy.
AB - It has been highlighted that in the original manuscript [1] Table S3 'An example
of the predictive computational modeling process. Specific details on an annexure
section of the PD-L1 pathway show the step-by-step reactions, mechanisms, and
reaction equations that occur. Such reactions also occurred in all of the other
pathways' was omitted and did not appear in the Additional files and that the
Additional files were miss-numbered thereafter. This Correction shows the correct
and incorrect Additional files. The original article has been updated.
PMID- 29649991
TI - Interpersonal communication regarding pregnancy-related services: friends versus
health professionals as conduits for information.
AB - BACKGROUND: Social network characteristics influence a wide range of health
behaviors but few studies examine the relationship between social network
characteristics and pregnancy-related outcomes. METHODS: Using a baseline survey
from a behavior change pilot project in the Upper West region of Ghana, we
examine four outcomes: (1) early antenatal care, (2) having at least four
antenatal care visits, (3) skilled birth attendance, and (4) postpartum care. We
collected demographic and network data from 1606 women of reproductive age who
had a child in the five years preceding the survey. We estimated associations by
regressing the four pregnancy-related outcomes on the demographic and network
characteristics. RESULTS: The results suggest that there is little interpersonal
communication about pregnancy-related issues, as 60.2% of respondents reported
talking to no one. For those women who did talk to someone, communication with a
health professional had the strongest association with accessing services (e.g.,
Adjusted Odds Ratio [AOR] = 8.02, p < 0.01, for having a facility birth).
Communicating with friends was also significantly associated with outcomes (AOR =
4.23, p < 0.0, for having a facility birth). CONCLUSIONS: This study provides
evidence that there was little social communication about pregnancy-related
issues in these communities at that time, indicating that an intervention to
promote such communication could be successful. In addition, women who reported
discussing pregnancy-related issues with friends or a health professional were
more likely to access a birth facility and have a skilled birth attendant than
those who reported discussing the same topics with their partner.
PMID- 29649992
TI - Protocol for promoting recovery optimization of walking activity in stroke
(PROWALKS): a randomized controlled trial.
AB - BACKGROUND: Stroke survivors are more physically inactive than even the most
sedentary older adults, and low activity is associated with increased risk of
recurrent stroke, medical complications, and mortality. We hypothesize that the
combination of a fast walking intervention that improves walking capacity, with a
step activity monitoring program that facilitates translation of gains from the
clinic to the "real-world", would generate greater improvements in real world
walking activity than with either intervention alone. METHODS: Using a single
blind randomized controlled experimental design, 225 chronic (> 6 months) stroke
survivors complete 12 weeks of fast walking training, a step activity monitoring
program or a fast walking training + step activity monitoring program. Main
eligibility criteria include: chronic ischemic or hemorrhagic stroke (> 6 months
post), no evidence of cerebellar stroke, baseline walking speed between 0.3 m/s
and 1.0 m/s, and baseline average steps / day < 8000. The primary (steps per
day), secondary (self-selected and fastest walking speed, walking endurance,
oxygen consumption) and exploratory (vascular events, blood lipids, glucose,
blood pressure) outcomes are assessed prior to initiating treatment, after the
last treatment and at a 6 and 12-month follow-up. Moderation of the changes in
outcomes by baseline characteristics are evaluated to determine for whom the
interventions are effective. DISCUSSION: Following completion of this study, we
will not only understand the efficacy of the interventions and the individuals
for which they are effective, we will have the necessary information to design a
study investigating the secondary prevention benefits of improved physical
activity post-stroke. This study is, therefore, an important step in the
development of both rehabilitative and secondary prevention guidelines for
persons with stroke. TRIAL REGISTRATION: ClinicalTrials.gov Identifier:
NCT02835313 . First Posted: July 18, 2016.
PMID- 29649993
TI - VIPER: Visualization Pipeline for RNA-seq, a Snakemake workflow for efficient and
complete RNA-seq analysis.
AB - BACKGROUND: RNA sequencing has become a ubiquitous technology used throughout
life sciences as an effective method of measuring RNA abundance quantitatively in
tissues and cells. The increase in use of RNA-seq technology has led to the
continuous development of new tools for every step of analysis from alignment to
downstream pathway analysis. However, effectively using these analysis tools in a
scalable and reproducible way can be challenging, especially for non-experts.
RESULTS: Using the workflow management system Snakemake we have developed a user
friendly, fast, efficient, and comprehensive pipeline for RNA-seq analysis. VIPER
(Visualization Pipeline for RNA-seq analysis) is an analysis workflow that
combines some of the most popular tools to take RNA-seq analysis from raw
sequencing data, through alignment and quality control, into downstream
differential expression and pathway analysis. VIPER has been created in a modular
fashion to allow for the rapid incorporation of new tools to expand the
capabilities. This capacity has already been exploited to include very recently
developed tools that explore immune infiltrate and T-cell CDR (Complementarity
Determining Regions) reconstruction abilities. The pipeline has been conveniently
packaged such that minimal computational skills are required to download and
install the dozens of software packages that VIPER uses. CONCLUSIONS: VIPER is a
comprehensive solution that performs most standard RNA-seq analyses quickly and
effectively with a built-in capacity for customization and expansion.
PMID- 29649994
TI - Bile acids at neutral and acidic pH induce apoptosis and gene cleavages in
nasopharyngeal epithelial cells: implications in chromosome rearrangement.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) increases the risk of developing
nasopharyngeal carcinoma (NPC) while nasopharyngeal reflux is known to be one of
the major aetiological factors of CRS. Bile acid (BA), the component of gastric
duodenal contents, has been recognised as a carcinogen. BA-induced apoptosis was
suggested to be involved in human malignancies. Cells have the potential and
tendency to survive apoptosis. However, cells that evade apoptosis upon erroneous
DNA repair may carry chromosome rearrangements. Apoptotic nuclease, caspase
activated deoxyribonuclease (CAD) has been implicated in mediating translocation
in leukaemia. We hypothesised that BA-induced apoptosis may cause chromosome
breaks mediated by CAD leading to chromosome rearrangement in NPC. This study
targeted the AF9 gene located at 9p22 because 9p22 is one of the most common
deletion sites in NPC. METHODS: We tested the ability of BA at neutral and acidic
pH in inducing phosphatidylserine (PS) externalisation, reactive oxygen species
(ROS) production, mitochondrial membrane potential (MMP) disruption, and caspase
3/7 activity in normal nasopharyngeal epithelial (NP69) and NPC (TWO4) cells.
Inverse-PCR (IPCR) was employed to detect AF9 gene cleavages. To investigate the
role of CAD in mediating these cleavages, caspase inhibition was performed. IPCR
bands representing AF9 cleaved fragments were sequenced. RESULTS: BA-treated
cells showed higher levels of PS externalisation, ROS production, MMP loss and
caspase 3/7 activity than untreated control cells. The effect of BA in the
induction of these intracellular events was enhanced by acid. BA at neutral and
acidic pH also induced significant cleavage of the AF9 gene. These BA-induced
gene cleavages were inhibited by Z-DEVD-FMK, a caspase-3 inhibitor. Intriguingly,
a few chromosome breaks were identified within the AF9 region that was previously
reported to participate in reciprocal translocation between the mixed lineage
leukaemia (MLL) and AF9 genes in an acute lymphoblastic leukaemia (ALL) patient.
CONCLUSIONS: These findings suggest a role for BA-induced apoptosis in mediating
chromosome rearrangements in NPC. In addition, CAD may be a key player in
chromosome cleavages mediated by BA-induced apoptosis. Persistent exposure of
sinonasal tract to gastric duodenal refluxate may increase genomic instability in
surviving cells.
PMID- 29649995
TI - The prevalence and systemic risk factors of diabetic macular edema: a cross
sectional study from Turkey.
AB - BACKGROUND: The aim of this study was to evaluate the prevalence of diabetic
macular edema (DME) utilizing optical coherence tomography (OCT), and to clarify
the effects of the systemic findings and risk factors on the development of DME.
METHODS: This cross-sectional study was conducted in the departments of
ophthalmology and endocrinology at the Dokuz Eylul University School of Medicine
in Izmir, Turkey. The demographics, type and duration of diabetes mellitus,
treatment modality, smoking and alcohol consumption habits, as well as the
systemic blood pressure, renal functional tests, hemoglobulin A1c level, serum
lipid profile, and 24-h urine albumin level were noted and statistically
analyzed. The relationships between the systemic findings and DME were studied.
RESULTS: Four-hundred and thirteen eyes of 413 diabetic patients who were
examined between January 2011 and July 2012 were enrolled in this study. The
prevalence of DME was 15.3% among the patients. The males exhibited DME
significantly more frequently than the females (p = 0.031), and the duration of
diabetes was significantly longer in those patients with DME (p < 0.001). Those
patients without DME frequently used antihyperlipidemic drugs and had a higher
level of high density lipoprotein cholesterol (p = 0.040 and p = 0.046,
respectively). The patient's alcohol consumption, nephropathy, neuropathy,
previous cataract surgery, severity of diabetic retinopathy, and insulin usage
were statistically significant factors with regard to the DME prevalence.
CONCLUSIONS: This study demonstrated the prevalence of DME in Turkey by utilizing
OCT. The development of DME can be avoided or limited and the response to
treatment may be improved by the regulation of the DME risk factors.
PMID- 29649996
TI - The effects of synbiotic supplementation on hormonal status, biomarkers of
inflammation and oxidative stress in subjects with polycystic ovary syndrome: a
randomized, double-blind, placebo-controlled trial.
AB - BACKGROUND: To our knowledge, no reports are available indicating the effects of
synbiotic supplementation on hormonal status, biomarkers of inflammation and
oxidative stress in subjects with polycystic ovary syndrome (PCOS). This research
was done to assess the effects of synbiotic supplementation on hormonal status,
biomarkers of inflammation and oxidative stress in subjects with PCOS. METHODS:
This randomized double-blind, placebo-controlled trial was conducted on 60
subjects diagnosed with PCOS according to the Rotterdam criteria. Subjects were
randomly assigned into two groups to take either synbiotic (n = 30) or placebo (n
= 30) for 12 weeks. Endocrine, inflammation and oxidative stress biomarkers were
quantified at baseline and after the 12-week intervention. RESULTS: After the 12
week intervention, compared with the placebo, synbiotic supplementation
significantly increased serum sex hormone-binding globulin (SHBG) (changes from
baseline in synbiotic group: + 19.8 +/- 47.3 vs. in placebo group: + 0.5 +/- 5.4
nmol/L, p = 0.01), plasma nitric oxide (NO) (changes from baseline in synbiotic
group: + 5.5 +/- 4.8 vs. in placebo group: + 0.3 +/- 9.1 MUmol/L, p = 0.006), and
decreased modified Ferriman Gallwey (mF-G) scores (changes from baseline in
synbiotic group: - 1.3 +/- 2.5 vs. in placebo group: - 0.1 +/- 0.5, p = 0.01) and
serum high-sensitivity C-reactive protein (hs-CRP) (changes from baseline in
synbiotic group: - 950.0 +/- 2246.6 vs. in placebo group: + 335.3 +/- 2466.9
ng/mL, p = 0.02). We did not observe any significant effect of synbiotic
supplementation on other hormonal status and biomarkers of oxidative stress.
CONCLUSIONS: Overall, synbiotic supplementation for 12 weeks in PCOS women had
beneficial effects on SHBG, mFG scores, hs-CRP and NO levels, but did not affect
other hormonal status and biomarkers of oxidative stress. TRIAL REGISTRATION:
This study was retrospectively registered in the Iranian website ( www.irct.ir )
for registration of clinical trials ( IRCT201509115623N53 ), on 2015-09-27.
PMID- 29649997
TI - Year-round effects of a four-week randomized controlled trial using different
types of feedback on employees' physical activity.
AB - BACKGROUND: This follow-up study investigated the year-round effects of a four
week randomized controlled trial using different types of feedback on employees'
physical activity, including a need-supportive coach intervention. METHODS:
Participants (n = 227) were randomly assigned to a Minimal Intervention Group
(MIG; no feedback), a Pedometer Group (PG; feedback on daily steps only), a
Display Group (DG; feedback on daily steps, on daily moderate-to-vigorous
physical activity [MVPA] and on total energy expenditure [EE]), or a Coaching
Group (CoachG; same as DG with need supportive coaching). Daily physical activity
level (PAL; Metabolic Equivalent of Task [MET]), number of daily steps, daily
minutes of moderate to vigorous physical activity (MVPA), active daily EE (EE > 3
METs) and total daily EE were measured at five time points: before the start of
the 4-week intervention, one week after the intervention, and 3, 6, and 12 months
after the intervention. RESULTS: For minutes of MVPA, MIG showed higher mean
change scores compared with the DG. For steps and daily minutes of MVPA,
significantly lower mean change scores emerged for MIG compared with the PG.
Participants of the CoachG showed significantly higher change scores in PAL,
steps, minutes of MVPA, active EE, total EE compared with the MIG. As
hypothesized, participants of the CoachG had significantly higher mean change
scores in PAL and total EE compared with groups that only received feedback.
However, no significant differences were found for steps, minutes of MVPA and
active EE between CoachG and PG. CONCLUSIONS: Receiving additional need
supportive coaching resulted in a higher PAL and active EE compared with
measurement (display) feedback only. These findings suggest to combine feedback
on physical activity with personal coaching in order to facilitate long-term
behavioral change. When it comes to increasing steps, minutes of MVPA or active
EE, a pedometer constitutes a sufficient tool. TRIAL REGISTRATION: Clinical
Trails.gov NCT01432327 . Date registered: 12 September 2011.
PMID- 29649998
TI - Effectiveness of ultrasonography and nerve conduction studies in the diagnosing
of carpal tunnel syndrome: clinical trial on accuracy.
AB - BACKGROUND: The aim of this study was to evaluate the effectiveness of two
diagnostic tests routinely used for diagnosing carpal tunnel syndrome (CTS)
ultrasonography (US) and nerve conduction studies (NCS)-by comparing their
accuracy based on surgical results, with the remission of paresthesia as the
reference standard. METHODS: We enrolled 115 patients, all of the female gender
with a high probability of a clinical diagnosis of CTS. All patients underwent US
and NCS for a diagnosis and subsequent surgical treatment. As a primary outcome,
the accuracy of the US and NCS diagnoses was measured by comparing their
diagnoses compared with those determined by the surgical outcomes. Their accuracy
was secondarily evaluated based on before and after scores of the Boston Carpal
Tunnel Questionnaire (BCTQ). RESULTS: Overall, 104 patients (90.4%) were
diagnosed with CTS by the surgical reference standard, 97 (84.3%) by NCS, and 90
(78.3%) by US. The concordance of NCS and surgical treatment (p < 0.001; kappa =
0.648) was superior to that of US and surgical treatment (p < 0.001; kappa =
0.423). The sensitivity and specificity of US and NCS were similar (p = 1.000 and
p = 0.152, respectively: McNemar's test). The BCTQ scores were lower after
surgery in patients diagnosed by both US and NCS (p < 0.001and p < 0.001,
respectively: analysis of variance). CONCLUSIONS: US and NCS effectively
diagnosed CTS with good sensitivity but were not effective enough to rule out a
suspicion of CTS. TRIAL REGISTRATION: This study was registered at September, 10
th, 2015, and the registration number was NCT02553811 .
PMID- 29649999
TI - The financial transaction between counseling and nursing care service centers
(CNCSCs) and their clients: a qualitative study.
AB - BACKGROUND: Community-oriented nursing care is an important model of nursing
care. Counseling and Nursing Care Service Centers (CNCSCs) have been providing
these private services to the Iranian community for nearly two decades. Resource
management, cost-benefit analysis and affordability are important steps in
providing these services. The present study was conducted to explore the
challenges of financial transactions between CNCSCs and their clients. METHODS:
This study has a qualitative design and was conducted on a total of 30
participants, consisting of CNCSC managers, staff, physicians and clients who
were selected through purposive theoretical sampling. Data were collected through
in-depth interviews and direct observations and were analyzed using conventional
qualitative content analysis. RESULTS: The analysis of the data led to the
extraction of three main categories, including the flaunted atmosphere due to
direct financial transaction, instability in determining tariffs for nursing
services and the use of strategies for cost-effective services and client
satisfaction. CONCLUSION: To increase affordability and satisfaction and expand
private community-based nursing. Services, appropriate financial policies should
be designed and applied that can lead to transparent and simple financial
transactions with the clients by way of indirect monetary exchanges. These
policies should be designed in a systematic manner with integrity, facilitate
inter-sectorial cooperation in the health sector and be cost-effective for the
clients, insurance companies and the health system.
PMID- 29650000
TI - Favorable outcome of patients with lung adenocarcinoma harboring POLE mutations
and expressing high PD-L1.
AB - Mutations in polymerase epsilon (POLE) confer favorable prognosis and outcomes in
various cancer types, but their role in non-small cell lung cancer (NSCLC) is
unknown. Utilizing the data of 513 patients with adenocarcinoma (LUAD) and 497
patients with squamous cell carcinoma (LUSC) from The Cancer Genome Atlas (TCGA)
cohort, we tested the prognostic value of POLE mutations and programmed cell
death ligand 1 (PD-L1) expression in the two main subtypes of NSCLC. POLE
mutation is a favorable biomarker for the improved overall survival (OS) of the
LUSC patients (P = 0.033, 28 mutant vs. 469 wildtype patients), but not that of
the LUAD patients (P = 0.12, 31 mutant vs. 482 wildtype patients). POLE-mutant
LUAD patients with high expression of PD-L1 (Mut-High, n = 6) exhibited improved
OS (P = 0.024) when compared to POLE-mutant patients with low PD-L1 expression
(Mut-Low, n = 24) and other patients without POLE mutation (n = 476). This
benefit was not due to the high content of the tumor infiltrating lymphocytes.
Instead, the antitumor immune response was activated in Mut-High patients so that
these patients were likely responding more effectively to immuno-oncology (IO)
treatments; whereas genes involved with metabolic pathways were enriched in Mut
Low group, which may cause the decreased OS of these patients. Our study sheds
light on the molecular basis of NSCLC and adds to our understanding of responses
to chemotherapy and IO therapy.
PMID- 29650001
TI - Prediction of autonomic dysreflexia during urodynamics: a prospective cohort
study.
AB - BACKGROUND: Autonomic dysreflexia is a severe and potentially life-threatening
condition in patients with spinal cord injury, as it can lead to myocardial
ischemia, brain hemorrhage, or even death. Urodynamic investigation is the gold
standard to assess neurogenic lower urinary tract dysfunction due to spinal cord
injury and reveal crucial pathological findings, such as neurogenic detrusor
overactivity. However, neurogenic detrusor overactivity and urodynamic
investigation are known to be leading triggers of autonomic dysreflexia.
Therefore, we aimed to determine predictors of autonomic dysreflexia in
individuals with spinal cord injury during urodynamic investigation. METHODS:
This prospective cohort study included 300 patients with spinal cord injuries and
complete datasets of continuous non-invasive cardiovascular monitoring, recorded
during same session repeat urodynamic investigation. We used logistic regression
to reveal predictors of autonomic dysreflexia during urodynamic investigation.
RESULTS: We found that level of injury and presence of neurogenic detrusor
overactivity were the only two independent significant predictors for autonomic
dysreflexia during urodynamic investigation. A lesion at spinal segment T6 or
above (odds ratio (OR) 5.5, 95% CI 3.2-9.4) compared to one at T7 or below, and
presence of neurogenic detrusor overactivity (OR 2.7, 95% confidence interval
(CI) 1.4-4.9) were associated with a significant increased odds of autonomic
dysreflexia during urodynamic investigation. Both odds persisted after adjustment
for age, sex, and completeness and stage of injury (adjusted OR (AOR) 6.6, 95% CI
3.8-11.7, and AOR 2.2, 95% CI 1.1-4.5, respectively). Further stratification by
lesion level showed level-dependent significantly increased adjusted odds of
autonomic dysreflexia, i.e., from C1-C4 (AOR 16.2, 95% CI 5.9-57.9) to T4-T6 (AOR
2.6, 95% CI 1.3-5.2), compared to lesions at T7 or below. CONCLUSIONS: In
patients with neurogenic lower urinary tract dysfunction due to spinal cord
injury, autonomic dysreflexia is independently predicted by lesion level and
presence of neurogenic detrusor overactivity. Considering the health risks
associated with autonomic dysreflexia, such as seizures, stroke, retinal
bleeding, or even death, we recommend both continuous cardiovascular monitoring
during urodynamic investigation in all spinal cord-injured patients with emphasis
on those with cervical lesions, and appropriate neurogenic detrusor overactivity
treatment to reduce the probability of potentially life-threatening
complications. TRIAL REGISTRATION: ClinicalTrials.gov, NCT01293110 .
PMID- 29650002
TI - Identifying bottlenecks in the iron and folic acid supply chain in Bihar, India:
a mixed-methods study.
AB - BACKGROUND: Maternal anaemia prevalence in Bihar, India remains high despite
government mandated iron supplementation targeting pregnant women. Inadequate
supply has been identified as a potential barrier to iron and folic acid (IFA)
receipt. Our study objective was to examine the government health system's IFA
supply and distribution system and identify bottlenecks contributing to
insufficient IFA supply. METHODS: Primary data collection was conducted in
November 2011 and July 2012 across 8 districts in Bihar, India. A cross
sectional, observational, mixed methods approach was utilized. Auxiliary Nurse
Midwives were surveyed on current IFA supply and practices. In-depth interviews
(n = 59) were conducted with health workers at state, district, block, health sub
centre, and village levels. RESULTS: Overall, 44% of Auxiliary Nurse Midwives
were out of IFA stock. Stock levels and supply chain practices varied greatly
across districts. Qualitative data revealed specific bottlenecks impacting IFA
forecasting, procurement, storage, disposal, lack of personnel, and few training
opportunities for key players in the supply chain. CONCLUSIONS: Inadequate IFA
supply is a major constraint to the IFA supplementation program, the extent of
which varies widely across districts. Improvements at all levels of
infrastructure, practices, and effective monitoring will be critical to
strengthen the IFA supply chain in Bihar.
PMID- 29650003
TI - Judging a salmon by its spots: environmental variation is the primary determinant
of spot patterns in Salmo salar.
AB - BACKGROUND: In fish, morphological colour changes occur from variations in
pigment concentrations and in the morphology, density, and distribution of
chromatophores in the skin. However, the underlying mechanisms remain unresolved
in most species. Here, we describe the first investigation into the genetic and
environmental basis of spot pattern development in one of the world's most
studied fishes, the Atlantic salmon. We reared 920 salmon from 64 families of
domesticated, F1-hybrid and wild origin in two contrasting environments
(Hatchery; tanks for the freshwater stage and sea cages for the marine stage, and
River; a natural river for the freshwater stage and tanks for the marine stage).
Fish were measured, photographed and spot patterns evaluated. RESULTS: In the
Hatchery experiment, significant but modest differences in spot density were
observed among domesticated, F1-hybrid (1.4-fold spottier than domesticated) and
wild salmon (1.7-fold spottier than domesticated). A heritability of 6% was
calculated for spot density, and a significant QTL on linkage group SSA014 was
detected. In the River experiment, significant but modest differences in spot
density were also observed among domesticated, F1-hybrid (1.2-fold spottier than
domesticated) and wild salmon (1.8-fold spottier than domesticated). Domesticated
salmon were sevenfold spottier in the Hatchery vs. River experiment. While
different wild populations were used for the two experiments, on average, these
were 6.2-fold spottier in the Hatchery vs. River experiment. Fish in the Hatchery
experiment displayed scattered to random spot patterns while fish in the River
experiment displayed clustered spot patterns. CONCLUSIONS: These data demonstrate
that while genetics plays an underlying role, environmental variation represents
the primary determinant of spot pattern development in Atlantic salmon.
PMID- 29650004
TI - Comparing GPs' risk attitudes for their own health and for their patients' : a
troubling discrepancy?
AB - BACKGROUND: In this paper, we report the results of risk attitudes elicitation of
a French general practitioners national representative sample (N=1568). METHODS:
Willingness to take risks in four different domains (daily life, financial
matters, own health and patient health) was collected through a large-scale
telephone interview of GPs using self-reported 11-point Likert scale questions.
RESULTS: We uncover some specificities of the GPs population regarding their
attitudes towards risk. In particular, we detect an important positive gap
between their willingness to take risks in the domain of their own health and in
the domain of the heath of their patients. This "patient-regarding" risk aversion
is discussed with respect to its important consequences regarding medical
behavior bias. CONCLUSIONS: We confirm the self-other discrepancy found in the
medical literature on physicians' behaviors and emphasize the utility of the
study and measures of personality traits such as "risk attitudes" for the medical
professions and for the population they address.
PMID- 29650005
TI - The effect of case management and vector-control interventions on space-time
patterns of malaria incidence in Uganda.
AB - BACKGROUND: Electronic reporting of routine health facility data in Uganda began
with the adoption of the District Health Information Software System version 2
(DHIS2) in 2011. This has improved health facility reporting and overall data
quality. In this study, the effects of case management with artemisinin-based
combination therapy (ACT) and vector control interventions on space-time patterns
of disease incidence were determined using DHIS2 data reported during 2013-2016.
METHODS: Bayesian spatio-temporal negative binomial models were fitted on
district-aggregated monthly malaria cases, reported by two age groups, defined by
a cut-off age of 5 years. The effects of interventions were adjusted for socio
economic and climatic factors. Spatial and temporal correlations were taken into
account by assuming a conditional autoregressive and a first-order autoregressive
AR(1) process on district and monthly specific random effects, respectively.
Fourier trigonometric functions were incorporated in the models to take into
account seasonal fluctuations in malaria transmission. RESULTS: The temporal
variation in incidence was similar in both age groups and depicted a steady
decline up to February 2014, followed by an increase from March 2015 onwards. The
trends were characterized by a strong bi-annual seasonal pattern with two peaks
during May-July and September-December. Average monthly incidence in children < 5
years declined from 74.7 cases (95% CI 72.4-77.1) in 2013 to 49.4 (95% CI 42.9
55.8) per 1000 in 2015 and followed by an increase in 2016 of up to 51.3 (95% CI
42.9-55.8). In individuals >= 5 years, a decline in incidence from 2013 to 2015
was followed by an increase in 2016. A 100% increase in insecticide-treated nets
(ITN) coverage was associated with a decline in incidence by 44% (95% BCI 28
59%). Similarly, a 100% increase in ACT coverage reduces incidence by 28% (95%
BCI 11-45%) and 25% (95% BCI 20-28%) in children < 5 years and individuals >= 5
years, respectively. The ITN effect was not statistically important in older
individuals. The space-time patterns of malaria incidence in children < 5 are
similar to those of parasitaemia risk predicted from the malaria indicator survey
of 2014-15. CONCLUSION: The decline in malaria incidence highlights the
effectiveness of vector-control interventions and case management with ACT in
Uganda. This calls for optimizing and sustaining interventions to achieve
universal coverage and curb reverses in malaria decline.
PMID- 29650006
TI - Beclomethasone dipropionate and formoterol fumarate synergistically interact in
hyperresponsive medium bronchi and small airways.
AB - BACKGROUND: Corticosteroids increase the expression of beta2-adrenoceptors (beta2
ARs) and protect them against down-regulation. Conversely, beta2-AR agonists
improve the anti-inflammatory action of corticosteroids. Nevertheless, it is
still uncertain whether adding a long-acting beta2-AR agonist (LABA) to an
inhaled corticosteroid (ICS) results in an additive effect, or there is true
synergy. Therefore, the aim of this study was to pharmacologically characterize
the interaction between the ICS beclomethasone diproprionate (BDP) and the LABA
formoterol fumarate (FF) in a validated human ex vivo model of bronchial asthma.
METHODS: Human medium and small airways were stimulated by histamine and treated
with different concentrations of BDP and FF, administered alone and in
combination at concentration-ratio reproducing ex vivo that of the currently
available fixed-dose combination (FDC; BDP/FF 100:6 combination-ratio).
Experiments were performed in non-sensitized (NS) and passively sensitized (PS)
airways. The pharmacological interaction was assessed by using Bliss Independence
and Unified Theory equations. RESULTS: BDP/FF synergistically increased the
overall bronchorelaxation in NS and PS airways (+ 15.15% +/- 4.02%; P < 0.05 vs.
additive effect). At low-to-medium concentrations the synergistic interaction was
greater in PS than in NS bronchioles (+ 16.68% +/- 3.02% and + 7.27% +/- 3.05%,
respectively). In PS small airways a very strong synergistic interaction
(Combination Index: 0.08; + 20.04% +/- 2.18% vs. additive effect) was detected
for the total concentrations of BDP/FF combination corresponding to 10.6 ng/ml.
CONCLUSION: BDP/FF combination synergistically relaxed human bronchi; the extent
of such an interaction was very strong at low-to-medium concentrations in PS
small airways. TRIAL REGISTRATION: Not applicable.
PMID- 29650007
TI - Malaria incidence and prevalence during the first year of life in Nanoro, Burkina
Faso: a birth-cohort study.
AB - BACKGROUND: Infants are thought to be protected against malaria during the first
months of life mainly due to passage of maternal antibodies. However, in high
transmission settings, malaria in early infancy is not uncommon and
susceptibility to the infections varies between individuals. This study aimed to
determine malaria morbidity and infection during early childhood in rural Burkina
Faso. METHODS: Malariometric indices were determined over 1-year follow-up in a
birth cohort of 734 infants living in Nanoro health district. Clinical malaria
episodes were determined by passive case detection at peripheral health centres
while asymptomatic malaria infections were identified during 4 cross-sectional
surveys at 3, 6, 9 and 12 months of age. Plasmodium falciparum infections were
detected by rapid diagnostic test and/or light microscopy (LM) and quantitative
PCR (qPCR). RESULTS: In total, 717 clinical episodes were diagnosed by qPCR over
8335.18 person-months at risk. The overall malaria incidence was 1.03 per child
year and increased from 0.27 per child-year at 0-3 months of age to 1.92 per
child-year at 9-12 months of age. Some 59% of children experienced at least one
clinical episode with a median survival time estimated at 9.9 months, while 20%
of infants experienced the first episode before 6 months of age. The majority of
the clinical episodes were attributable to microscopic parasitaemia (84.2%), and
there was a positive correlation between parasite density and age (Spearman's rho
= 0.30; P < 0.0001). Prevalence of asymptomatic infections was similar at 3, 6
and 9 months of age (17.7-20.1%) and nearly 1.6 times higher at 12 months
(31.3%). Importantly, gametocyte prevalence among the LM-positive study
population was 6.7%, but increased to 10% among asymptomatic infections. In
addition, 46% of asymptomatic infections were only detected by qPCR suggesting
that infants below 1 year are a potential reservoir for sustaining malaria
transmission. Both symptomatic and asymptomatic infections showed marked seasonal
distribution with the highest transmission period (July to December) accounting
for about 89 and 77% of those infections, respectively. CONCLUSIONS: These
findings indicate high and marked age and seasonal-dependency of malaria
infections and disease during the first year of life in Nanoro, calling for
intensified efforts to control malaria in rural Burkina Faso.
PMID- 29650008
TI - The challenge of maintaining microscopist capacity at basic levels for malaria
elimination in Jiangsu Province, China.
AB - BACKGROUND: Local malaria transmission has decreased rapidly since the National
Malaria Elimination Action Plan was launched in China in 2010. However, imported
malaria cases from Africa and Southeast Asia still occur in China due to overseas
laborers. Diagnosis by microscopy is the gold standard for malaria and is used in
most hospitals in China. However, the current capacity of microscopists to manage
malaria cases in hospitals and public health facilities to meet the surveillance
needs to eliminate and prevent the reintroduction of malaria is unknown. METHODS:
Malaria diagnoses were assessed by comparing the percentage of first visit and
confirmed malaria diagnoses at Centers for Disease Control and Prevention (CDCs)
and hospitals. The basic personnel information for public health departments and
hospitals at different levels was investigated. The skills of microscopists for
blood smear preparation and slide interpretation were also examined at the county
and township levels. RESULTS: Inaccurate rate with 13.49% and 7.32%,
respectively, in 2013 and 2014, from 341 and 355 reported cases from sub
provincial levels in Jiangsu province. Most of the 523 malaria cases reported in
Nantong Prefecture from 2000 to 2014 involved patients who first visited county
CDCs seeking treatment, however, none of these cases received confirmed diagnosis
of malaria in townships or villages.The staff at county CDCs and hospitals with a
higher education background performed better at making and interpreting blood
smears than staff from townships. CONCLUSIONS: The network for malaria
elimination in an entire province has been well established. However, an
insufficient capacity for malaria diagnosis was observed, especially the
preparing and reading the blood smears at the township and village levels, which
is a challenge to achieving and maintaining malaria elimination.
PMID- 29650009
TI - Does precautionary information about electromagnetic fields trigger nocebo
responses? An experimental risk communication study.
AB - BACKGROUND: Regarding electromagnetic fields from mobile communication
technologies, empirical studies have shown that precautionary information given
to lay recipients increases their risk perceptions, i.e. the belief that
electromagnetic fields are dangerous. Taking this finding one step further, the
current study investigates whether precautionary information also leads to higher
symptom perceptions in an alleged exposure situation. Building on existing
research on nocebo responses to sham electromagnetic fields, an interaction of
the precautionary information with personality characteristics was hypothesised.
METHODS: An experimental design with sham exposure to an electromagnetic field of
a WLAN device was deployed. The final sample is constituted by N = 137
participants. Participants received either only basic information about the
safety of current WLAN exposure limits or in addition also precautionary
information (e.g. 'prefer wired connections if wireless technology can be
relinquished'). Subsequently, symptoms and other variables were assessed before
and after sham exposure to a WLAN electromagnetic field. RESULTS: Results are not
in favour of the hypothesised effects. There was neither a main effect of
precautionary information, nor were there any of the hypothesised interaction
effects of precautionary information and personality characteristics on perceived
symptoms under sham exposure. Exploratory analyses highlight the role of prior
risk perception as a predictor of nocebo responses, and of symptom expectations
as a mediator between these two variables. CONCLUSIONS: As the statistical power
to detect even small effects was relatively high, we interpret this as a robust
indication that precautionary information does not lead to increased nocebo
responses by itself. The implications for health authorities' communication with
the public are discussed.
PMID- 29650010
TI - How timely closure can reduce outbreak duration: gastroenteritis in care homes in
North West England, 2012-2016.
AB - BACKGROUND: Data on outbreaks of infectious gastroenteritis in care homes have
been collected using an internet-based surveillance system in North West England
since 2012. We analysed the burden and characteristics of care home outbreaks to
inform future public health decision-making. METHODS: We described
characteristics of care homes and summary measures of the outbreaks such as
attack rate, duration and pathogen identified. The primary analysis outcome was
duration of closure following an outbreak. We used negative binomial regression
to estimate Incidence Rate Ratios (IRR) and confidence intervals (CI) for each
explanatory variable. RESULTS: We recorded 795 outbreaks from 379 care homes
(37.1 outbreaks per 100 care homes per year). In total 11,568 cases, 75
hospitalisations and 29 deaths were reported. Closure within three days of the
first case (IRR = 0.442, 95%CI 0.366-0.534) was significantly associated with
reduced duration of closure. The total size of the home (IRR = 1.426, 95%CI =
1.275-1.595) and the total attack rate (IRR = 1.434, 95%CI = 1.257-1.595) were
significantly associated with increased duration of closure. CONCLUSIONS: Care
homes that closed promptly had outbreaks of shorter duration. Care home
providers, and those advising them on infection control, should aim to close
homes quickly to prevent lengthy disruption to services.
PMID- 29650011
TI - Physical activity and chronic diseases among older people in a mid-size city in
China: a longitudinal investigation of bipolar effects.
AB - BACKGROUND: While previous studies have shown that regular physical activity can
delay the onset of certain chronic diseases; less is known about the changes in
physical activity practices following chronic disease diagnoses. China is
experiencing a rapid aging transition, with physical activity an important
routine in many older people's lives. This study utilizes the Health Belief Model
to better understand the bidirectional relationships and bipolar effects between
physical activity and chronic disease burden in Huainan City, a mid-sized city in
China. METHODS: Longitudinal health survey data (2010-2015) from annual clinic
visits for 3198 older people were obtained from a local hospital, representing
97% of the older population in three contiguous neighborhoods in Huainan City.
The chronic diseases studied included obesity, hypertension, diabetes,
hyperlipidemia, cardiovascular diseases, liver and biliary system diseases, and
poor kidney function. Multilevel logistic regression was used to examine
differences in physical activity levels across socio-demographic groups. Cox
proportional hazards models were used to examine the impacts of physical activity
practice levels on chronic disease onsets. Logistic regression was used to
estimate the effects of chronic disease diagnosis on physical activity practice
levels. RESULTS: The prevalence of chronic diseases increased with increasing
age, among men, and those with a lower education. Older people who were
physically active experienced a later onset of chronic disease compared to their
sedentary counterparts, particularly for obesity and diabetes. Following
diagnosis of a chronic disease, physically active older people were more likely
to increase their physical activity levels, while sedentary older people were
less likely to initiate physical activity, demonstrating bipolar health
trajectory effects. CONCLUSIONS: Health disparities among older people may widen
as the sedentary experience earlier onsets of chronic diseases and worse health
trajectories, compared to physically active people. Future health education
communication and programmatic interventions should focus on sedentary and less
healthy older populations to encourage healthy aging. These lessons from China
may be applied to other countries also experiencing an increasing aging
population.
PMID- 29650013
TI - Life expectancy inequalities in the elderly by socioeconomic status: evidence
from Italy.
AB - BACKGROUND: Life expectancy considerably increased in most developed countries
during the twentieth century. However, the increase in longevity is neither
uniform nor random across individuals belonging to various socioeconomic groups.
From an economic policy perspective, the difference in mortality by socioeconomic
conditions challenges the fairness of the social security systems. We focus on
the case of Italy and aim at measuring differences in longevity at older ages by
individuals belonging to different socioeconomic groups, also in order to assess
the effective fairness of the Italian public pension system, which is based on a
notional defined contribution (NDC) benefit computation formula, whose rules do
not take into account individual heterogeneity in expected longevity. METHODS: We
use a longitudinal dataset that matches survey data on individual features
recorded in the Italian module of the EU-SILC, with information on the whole
working life and until death collected in the administrative archives managed by
the Italian National Social Security Institute. In more detail, we follow until
2009 a sample of 11,281 individuals aged at least 60 in 2005. We use survival
analysis and measure the influence of a number of events experienced in the labor
market and individual characteristics on mortality. Furthermore, through Kaplan
Meier simulations of hypothetical social groups, adjusted by a Brass relational
model, we estimate and compare differences in life expectancy of individuals
belonging to different socioeconomic groups. RESULTS: Our findings confirm that
socioeconomic status strongly predicts life expectancy even in old age. All
estimated models show that the prevalent type of working activity before
retirement is significantly associated with the risk of death, even when
controlling for dozens of variables as proxies of individual demographic and
socioeconomic characteristics. The risk of death for self-employed individuals is
26% lower than that of employees, and life expectancy at 60 differs by five years
between individuals with opposite socioeconomic statuses. CONCLUSIONS: Our study
is the first that links results based on a micro survival analysis on subgroups
of the elderly population with results related to the entire Italian population.
The extreme differences in mortality risks by socioeconomic status found in our
study confirm the existence of large health inequalities and strongly question
the fairness of the Italian public pension system.
PMID- 29650012
TI - Technologies to monitor the health of loaded skin tissues.
AB - There are many situations where the skin and underlying soft tissues are
compromised by mechanical loading in the form or pressure, or pressure in
combination with shear. If sustained, this can lead to damage in the tissues
particularly adjacent to bony prominences, resulting in chronic wounds. An array
of bioengineering technologies have been adopted to assess the integrity of
loaded soft tissues. This paper aims to review these approaches for the
quantification, simulation and early detection of mechanically-induced skin
damage. The review considers different measurements at the interface between the
skin and support surface/medical device, involving pressure, shear, friction and
the local microclimate. The potential of the techniques to monitor the
physiological response of the skin to these external stimuli including
biophysical measurement devices and sampling of biofluids are critically
analysed. In addition, it includes an analysis of medical imaging technologies
and computational modelling to provide a means by which tissue deformation can be
quantified and thresholds for tissue damage defined. Bioengineering measurement
and imaging technologies have provided an insight into the temporal status of
loaded skin. Despite the advances in technology, to date, the translation to
clinical tools which are robust and cost effective has been limited. There is a
need to adapt existing technologies and simulation platforms to enable patients,
carers and clinicians to employ appropriate intervention strategies to minimise
soft tissue damage.
PMID- 29650014
TI - Correlation analysis between the magnetic resonance imaging characteristics of
osteoporotic vertebral compression fractures and the efficacy of percutaneous
vertebroplasty: a prospective cohort study.
AB - BACKGROUND: To explore the relationship between the magnetic resonance imaging
(MRI) characteristics of osteoporotic vertebral compression fractures (OVCFs) and
the efficacy of percutaneous vertebroplasty (PVP). METHODS: A prospective study
was conducted to analyze the clinical and imaging data of 93 patients with OVCFs
treated via PVP. A visual analogue scale (VAS), the Oswestry Disability Index
(ODI), and the Medical Outcomes Study(MOS) 36-Item short-form health survey (SF
36) were completed before surgery as well as 1 day and 1, 6, and 12 months after
surgery. In addition, postoperative complications were recorded. According to the
degree and ranges of bone marrow edema on MRI, the patients were divided into
three groups: the mild (group A), moderate (group B), and severe (group C) bone
marrow edema groups. Pain and dysfunction scores were compared across the three
groups of patients before surgery as well as 1 day and 1, 6, and 12 months after
surgery. RESULTS: The VAS, ODI, and SF-36 scores showed significant differences
(P < 0.05) before and after surgery among the three groups. The ODI and SF-36
scores were significantly different (P < 0.05) at 1 day and 1 month after surgery
among the three groups. Groups A and B showed significantly better pain relief
than group C. Group B experienced better pain relief than group A. These results
indicate that PVP was associated with better pain relief effects among patients
with a greater extent of bone marrow edema. The edema ranges of the vertebral
fractures were negatively correlated with the postoperative VAS and ODI scores 1
month after surgery, whereas the ranges were positively correlated with
postoperative SF-36 scores 1 month after surgery. CONCLUSIONS: PVP is an
effective treatment for OVCFs. Better outcomes were observed among patients with
severe or moderate bone marrow edema rather than those with mild bone marrow
edema. A greater degree of pain relief after PVP was correlated with faster
recovery of the postoperative function. However, this correlation gradually
became weak over time and disappeared 6 months after surgery. Therefore, PVP
should be an option for early stage OVCFs, especially among patients with bone
marrow edema signs on MRI.
PMID- 29650015
TI - Inclusion and exclusion criteria used in non-specific low back pain trials: a
review of randomised controlled trials published between 2006 and 2012.
AB - BACKGROUND: Low back pain is a common health complaint resulting in substantial
economic burden. Each year, upwards of 20 randomised controlled trials (RCTs)
evaluating interventions for non-specific low back pain are published. Use of the
term non-specific low back pain has been criticised on the grounds of encouraging
heterogeneity and hampering interpretation of findings due to possible
heterogeneous causes, challenging meta-analyses. We explored selection criteria
used in trials of treatments for nsLBP. METHODS: A systematic review of English
language reports of RCTs in nsLBP population samples, published between 2006 and
2012, identified from MEDLINE, EMBASE, and the Cochrane Library databases, using
a mixed-methods approach to analysis. Study inclusion and exclusion criteria were
extracted, thematically categorised, and then descriptive statistics were used to
summarise the prevalence by emerging category. RESULTS: We included 168 studies.
Two inclusion themes (anatomical area, and symptoms and signs) were identified.
Anatomical area was most reported as between costal margins and gluteal folds (n
= 8, 5%), while low back pain (n = 150, 89%) with or without referred leg pain (n
= 27, 16%) was the most reported symptom. Exclusion criteria comprised 21 themes.
Previous or scheduled surgery (n = 84, 50%), pregnancy (n = 81, 48%), malignancy
(n = 78, 46%), trauma (n = 63, 37%) and psychological conditions (n = 58, 34%)
were the most common. Sub-themes of exclusion criteria mostly related to
neurological signs and symptoms: nerve root compromise (n = 44, 26%),
neurological signs (n = 34, 20%) or disc herniation (n = 30, 18%). Specific
conditions that were most often exclusion criteria were spondylolisthesis (n =
35, 21%), spinal stenosis (n = 31, 18%) or osteoporosis (n = 27, 16%).
CONCLUSION: RCTs of interventions for non-specific low back pain have
incorporated diverse inclusion and exclusion criteria. Guidance on
standardisation of inclusion and exclusion criteria for nsLBP trials will
increase clinical homogeneity, facilitating greater interpretation of between
trial comparisons and meta-analyses. We propose a template for reporting
inclusion and exclusion criteria.
PMID- 29650016
TI - Evolution of computational models in BioModels Database and the Physiome Model
Repository.
AB - BACKGROUND: A useful model is one that is being (re)used. The development of a
successful model does not finish with its publication. During reuse, models are
being modified, i.e. expanded, corrected, and refined. Even small changes in the
encoding of a model can, however, significantly affect its interpretation. Our
motivation for the present study is to identify changes in models and make them
transparent and traceable. METHODS: We analysed 13734 models from BioModels
Database and the Physiome Model Repository. For each model, we studied the
frequencies and types of updates between its first and latest release. To
demonstrate the impact of changes, we explored the history of a Repressilator
model in BioModels Database. RESULTS: We observed continuous updates in the
majority of models. Surprisingly, even the early models are still being modified.
We furthermore detected that many updates target annotations, which improves the
information one can gain from models. To support the analysis of changes in model
repositories we developed MoSt, an online tool for visualisations of changes in
models. The scripts used to generate the data and figures for this study are
available from GitHub https://github.com/binfalse/BiVeS-StatsGenerator and as a
Docker image at https://hub.docker.com/r/binfalse/bives-statsgenerator/ . The
website https://most.bio.informatik.uni-rostock.de/ provides interactive access
to model versions and their evolutionary statistics. CONCLUSION: The reuse of
models is still impeded by a lack of trust and documentation. A detailed and
transparent documentation of all aspects of the model, including its provenance,
will improve this situation. Knowledge about a model's provenance can avoid the
repetition of mistakes that others already faced. More insights are gained into
how the system evolves from initial findings to a profound understanding. We
argue that it is the responsibility of the maintainers of model repositories to
offer transparent model provenance to their users.
PMID- 29650018
TI - Health conditions and lifestyle risk factors of adults living in Puerto Rico: a
cross-sectional study.
AB - BACKGROUND: Puerto Rico is experiencing an economic and healthcare crisis, yet
there are scarce recent and comprehensive reports on the population's health
profile. We aimed to describe prevalent risk factors and health conditions of
adults living in Puerto Rico and assess their interrelationship. METHODS:
Participants (n = 380) aged 30-75y recruited from a 2015 convenience sample in
primary care clinics in the San Juan, Puerto Rico metropolitan area answered
cross-sectional interviewer-administered questionnaires on sociodemographic
characteristics, lifestyle behaviors, self-reported medically-diagnosed diseases,
health services, and psychosocial factors. Anthropometric measures were obtained.
Logistic regression models assessed factors associated with having >=2
cardiometabolic conditions or >= 2 chronic diseases. RESULTS: Most participants
had completed >=college education (57%), had household income <$10,000/y (60%),
received government-assisted food benefits (51%), and had health insurance (93%).
Nearly 20% reported smoking, 27% alcohol use, 74% light/sedentary physical
activity, 51% sleeping difficulties, and 36% self-rated fair/poor diet. Social
support was moderate, and 53% screened positive for depressive symptomatology.
Abdominal obesity was observed in 33% of men and 76% of women (p < 0.0001). Self
reported medically-diagnosed conditions included hypertension (39%), anxiety
(30%), obesity (28%), arthritis (26%), hypercholesterolemia (24%), depression
(22%), respiratory problems (21%), and diabetes (21%). Higher odds of having >=2
cardiometabolic conditions (37%) was observed among participants aged >=50y, with
sedentary physical activity, and self-rated fair/poor diet. Odds of having >=2
chronic diseases (62%) were higher among >=50y, sleeping difficulties, > 2 h/day
television, and self-rated fair/poor diet. Participants obtained (79%) and
trusted (92%) health information from physicians. While most participants with a
cardiometabolic condition reported receiving medical recommendations on diet (>
73%) and physical activity (> 67%), fewer followed them (< 67% and < 53%,
respectively), yet most adhered to medication treatments (> 73%). Participants
following medical recommendations were more likely to report healthy vs. poor
behaviors (90% vs. 75%, self-rated diet); (73% vs. 56%, physical activity).
CONCLUSIONS: Adults living in Puerto Rico have multiple lifestyles risk factors
and high prevalence of chronic diseases, namely cardiometabolic and psychological
conditions. Comprehensive epidemiological studies are needed to identify
contributors to chronic disease, including lifestyle behaviors. Concerted multi
level public health and clinical programs should be prioritized to help this
population improve their health.
PMID- 29650019
TI - The effect of North Carolina free clinics on hospitalizations for ambulatory care
sensitive conditions among the uninsured.
AB - BACKGROUND: Free clinics are volunteer based organizations that provide health
care services to low-income individuals for free or minimal cost. Communities
served by a free clinic can provide ambulatory care services for uninsured
individuals, reducing reliance on costly hospital admissions for ambulatory care
sensitive conditions. This study examines whether free clinics in North Carolina
reduce hospitalizations for ambulatory care sensitive conditions for uninsured
adults. METHODS: The study used North Carolina hospital discharge data from 2003
to 2007, restricted to uninsured adults residing in North Carolina (N = 270,325).
Prevention Quality Indicators identified hospitalizations for ambulatory care
sensitive conditions. The entry of new free clinics in some counties during this
time period in conjunction with county-level and year fixed effects allows the
logistic regression analysis to simulate a pre/post study design. RESULTS:
Discharges for ambulatory care sensitive conditions constituted 12.6% of the
sample. Despite the limited coverage provided by free clinics, which serve 5.5%
of the uninsured in North Carolina, uninsured adults in counties served by a free
clinic had an 8.0% reduced odds of a hospitalization being for an ambulatory care
sensitive condition. When the model is limited to ambulatory care sensitive
conditions related to chronic conditions, the odds of a hospitalization of an
uninsured adult for an ambulatory care sensitive condition in counties served by
a free clinic is reduced by 9.0%. CONCLUSION: Free clinics are effective
providers of primary care services for uninsured individuals, particularly for
those with chronic conditions. To enhance this impact by increasing free clinics'
reach, state and local policy makers should support and encourage development of
free clinics in high need areas.
PMID- 29650017
TI - Toll-like receptors in lupus nephritis.
AB - The pathogenesis of systemic autoimmune diseases such as systemic lupus
erythematosus (SLE) is based on the loss of self-tolerance against ubiquitous
autoantigens involving all mechanisms of adaptive immunity. However, data
accumulating over the last decade imply an important role also for numerous
elements of innate immunity, namely the Toll-like receptors in the pathogenesis
of SLE. Here we discuss their role in the most common organ complication of SLE,
i.e. lupus nephritis. We summarize experimental and clinical data on the
expression and functional contribution of the Toll-like receptors in immune
complex glomerulonephritis, and intrarenal inflammation. Based on these
discoveries Toll-like receptors are evolving as therapeutic targets for the
treatment of SLE and lupus nephritis.
PMID- 29650020
TI - Understanding community-based participatory research through a social movement
framework: a case study of the Kahnawake Schools Diabetes Prevention Project.
AB - BACKGROUND: A longstanding challenge of community-based participatory research
(CBPR) has been to anchor evaluation and practice in a relevant theoretical
framework of community change, which articulates specific and concrete evaluative
benchmarks. Social movement theories provide a broad range of theoretical tools
to understand and facilitate social change processes, such as those involved in
CBPR. Social movement theories have the potential to provide a coherent
representation of how mobilization and collective action is gradually developed
and leads to systemic change in the context of CBPR. The current study builds on
a social movement perspective to assess the processes and intermediate outcomes
of a longstanding health promotion CBPR project with an Indigenous community, the
Kahnawake Schools Diabetes Prevention Project (KDSPP). METHODS: This research
uses a case study design layered on a movement-building evaluation framework,
which allows progress to be tracked over time. Data collection strategies
included document (scientific and organizational) review (n = 51) and talking
circles with four important community stakeholder groups (n = 24). RESULTS:
Findings provide an innovative and chronological perspective of the evolution of
KSDPP as seen through a social movement lens, and identify intermediate outcomes
associated with different dimensions of movement building achieved by the project
over time (mobilization, leadership, vision and frames, alliance and
partnerships, as well as advocacy and action strategies). It also points to areas
of improvement for KSDPP in building its potential for action. CONCLUSION: While
this study's results are directly relevant and applicable to the local context of
KSDPP, they also highlight useful lessons and conclusions for the planning and
evaluation of other long-standing and sustainable CBPR initiatives. The
conceptual framework provides meaningful benchmarks to track evidence of progress
in the context of CBPR. Findings from the study offer new ways of thinking about
the evaluation of CBPR projects and their progress by drawing on frameworks that
guide other forms of collective action.
PMID- 29650021
TI - Overexpression of a type III PKS gene affording novel violapyrones with enhanced
anti-influenza A virus activity.
AB - BACKGROUND: Type III polyketide synthases (PKSs) are simple homodimer
ketosynthases that distribute across plants, fungi, and bacteria, catalyzing
formation of pyrone- and resorcinol-types aromatic polyketides with various
bioactivities. The broad substrate promiscuity displayed by type III PKSs makes
them wonderful candidates for expanding chemical diversity of polyketides.
RESULTS: Violapyrone B (VLP B, 10), an alpha-pyrone compound produced by deepsea
derived Streptomyces somaliensis SCSIO ZH66, is encoded by a type III PKS VioA.
We overexpressed VioA in three different hosts, including Streptomyces coelicolor
M1146, Streptomyces sanyensis FMA as well as the native producer S. somaliensis
SCSIO ZH66, leading to accumulation of different violapyrone compounds. Among
them, S. coelicolor M1146 served as the host producing the most abundant
violapyrones, from which five new (2-4, 7 and 12) and nine known (1, 5, 6, 8-11,
13 and 14) compounds were identified. Anti-influenza A (H1N1) virus activity of
these compounds was then evaluated using ribavirin as a positive control (IC50 =
112.9 MUM), revealing that compounds 11-14 showed considerable activity with IC50
values of 112.7, 26.9, 106.7 and 28.8 MUM, respectively, which are significantly
improved as compared to that of VLP B (10) (IC50 > 200 MUM). The productions of
10 and 13 were increased by adding P450 inhibitor metyrapone. In addition, site
directed mutagenesis experiment led to demonstration of the residue S242 to be
essential for the activity of VioA. CONCLUSIONS: Biological background of the
expression hosts is an important factor impacting on the encoding products of
type III PKSs. By using S. coelicolor M1146 as cell factory, we were able to
generate fourteen VLPs compounds. Anti-H1N1 activity assay suggested that the
lipophilic nature of the alkyl chains of VLPs plays an important role for the
activity, providing valuable guidance for further structural optimization of
VLPs.
PMID- 29650022
TI - Dr. Jekyll and Mr. Hyde: MAP17's up-regulation, a crosspoint in cancer and
inflammatory diseases.
PMID- 29650023
TI - Sustained impact of energy-dense TV and online food advertising on children's
dietary intake: a within-subject, randomised, crossover, counter-balanced trial.
AB - BACKGROUND: Policies restricting children's exposure to unhealthy food marketing
have been impeded by the lack of evidence showing a direct link between food
advertising exposure and children's energy intake and body weight. Food
advertising exposure increases children's immediate food consumption, but whether
this increased intake is compensated for at later eating occasions is not known;
consequently the sustained effect on diets remains unclear. METHODS: We conducted
a within-subject, randomised, crossover, counterbalanced study across four, six
day holiday camps in New South Wales, Australia between April 2016 and January
2017. Children (7-12 years, n = 160) were recruited via local schools, email
networks and social media. Two gender- and age-balanced groups were formed for
each camp (n = 20), randomised to either a multiple- or single- media condition
and exposed to food and non-food advertising in an online game and/or a
television cartoon. Children's food consumption (kilojoules) was measured at a
snack immediately after exposure and then at lunch later in the day. Linear mixed
models were conducted to examine relationships between food advertising exposure
and dietary intake, taking into account gender, age and weight status. RESULTS:
All children in the multiple-media condition ate more at a snack after exposure
to food advertising compared with non-food advertising; this was not compensated
for at lunch, leading to additional daily food intake of 194 kJ (95% CI 80-308, p
= 0.001, d = 0.2). Exposure to multiple-media food advertising compared with a
single-media source increased the effect on snack intake by a difference of 182
kJ (95% CI 46-317, p = 0.009, d = 0.4). Food advertising had an increased effect
among children with heavier weight status in both media groups. CONCLUSION:
Online ('advergame') advertising combined with TV advertising exerted a stronger
influence on children's food consumption than TV advertising alone. The lack of
compensation at lunch for children's increased snack intake after food
advertising exposure suggests that unhealthy food advertising exposure
contributes to a positive energy-gap, which could cumulatively lead to the
development of overweight. TRIAL REGISTRATION: Australian New Zealand Clinical
Trials Registry, number ACTRN12617001230347 (Retrospectively registered).
PMID- 29650025
TI - Effectiveness of health education as an intervention designed to prevent female
genital mutilation/cutting (FGM/C): a systematic review.
AB - BACKGROUND: Female Genital Mutilation/Cutting (FGM/C) is a harmful practice that
violates the human rights of women and girls. Despite global efforts to restrict
the practice, there have been few reports on major positive changes to the
problem. Health education interventions have been successful in preventing
various health conditions and promoting service use. They have also been regarded
as promising interventions for preventing FGM/C. The objective of this systematic
review is to synthesise findings of studies about effectiveness of health
education as an intervention to prevent FGM/C. METHODS: The electronic databases
searched were MEDLINE, EMBASE, Cochrane library, Web of Science, Psych INFO,
CINAHL and ASSIA. Our search included papers published in the English language
without date limits. Study quality was assessed using the Mixed Methods Appraisal
Tool (MMAT). A predesigned data recording form was used to extract data from the
included studies which were summarised by comparing similar themes. RESULTS:
Twelve out of 359 individual studies met our inclusion criteria. Seven studies
were quantitative, three were qualitative and two used mixed methods. Six studies
tested before and after the interventions, four studies assessed the
effectiveness of previous interventions used by different research teams and two
studies endorsed the intervention. Four main factors emerged and were associated
with facilitating or hindering the effectiveness of health education
interventions: sociodemographic factors; socioeconomic factors; traditions and
beliefs; and intervention strategy, structure and delivery. CONCLUSIONS: It is
vital to target factors associated with facilitating or hindering the
effectiveness of health education for FGM/C. This increases the possibility of
effective, collective change in behaviour and attitude which leads to the
sustainable prevention of FGM/C and ultimately the improved reproductive health
and well-being of individuals and communities.
PMID- 29650024
TI - VGLUT1 or VGLUT2 mRNA-positive neurons in spinal trigeminal nucleus provide
collateral projections to both the thalamus and the parabrachial nucleus in rats.
AB - The trigemino-thalamic (T-T) and trigemino-parabrachial (T-P) pathways are
strongly implicated in the sensory-discriminative and affective/emotional aspects
of orofacial pain, respectively. These T-T and T-P projection fibers originate
from the spinal trigeminal nucleus (Vsp). We previously determined that many
vesicular glutamate transporter (VGLUT1 and/or VGLUT2) mRNA-positive neurons were
distributed in the Vsp of the adult rat, and most of these neurons sent their
axons to the thalamus or cerebellum. However, whether VGLUT1 or VGLUT2 mRNA
positive projection neurons exist that send their axons to both the thalamus and
the parabrachial nucleus (PBN) has not been reported. Thus, in the present study,
dual retrograde tract tracing was used in combination with fluorescence in situ
hybridization (FISH) for VGLUT1 or VGLUT2 mRNA to identify the existence of
VGLUT1 or VGLUT2 mRNA neurons that send collateral projections to both the
thalamus and the PBN. Neurons in the Vsp that send collateral projections to both
the thalamus and the PBN were mainly VGLUT2 mRNA-positive, with a proportion of
90.3%, 93.0% and 85.4% in the oral (Vo), interpolar (Vi) and caudal (Vc)
subnucleus of the Vsp, respectively. Moreover, approximately 34.0% of the
collateral projection neurons in the Vc showed Fos immunopositivity after
injection of formalin into the lip, and parts of calcitonin gene-related peptide
(CGRP)-immunopositive axonal varicosities were in direct contact with the Vc
collateral projection neurons. These results indicate that most collateral
projection neurons in the Vsp, particularly in the Vc, which express mainly
VGLUT2, may relay orofacial nociceptive information directly to the thalamus and
PBN via axon collaterals.
PMID- 29650027
TI - In regard to "Tran A, Zhang J, Woods K, Yu V, Nguyen D, Gustafson G, Rosen L,
Sheng K. Treatment planning comparison of IMPT, VMAT and 4pi radiotherapy for
prostate cases. Radiation oncology. 2017 Jan 11; 12(1):10".
AB - This article describe the three dimensional geometrical incompetency of the term
"4pi radiotherapy"; frequently used in radiation oncology to establish the
superiority (or rather complexity) of particular kind of external beam delivery
technique. It was claimed by several researchers, to obtain 4pic solid angle at
target centre created by the tele-therapy delivery machine in three dimensional
Euclidian space. However with the present design of linear accelerator (or any
other tele-therapy machine) it is not possible to achieve more than 2pic with the
allowed boundary condition of 0 <= Gnatry position<=pic and [Formula: see
text]<=Couch Position<=[Formula: see text] .This article describes why it is not
possible to achieve a 4pic solid angle at any point in three dimensional
Euclidian spaces. This article also recommends not to use the terminology "4pi
radiotherapy" for describing any external beam technique or its complexity as
this term is geometrically wrong.
PMID- 29650026
TI - SQUID: transcriptomic structural variation detection from RNA-seq.
AB - Transcripts are frequently modified by structural variations, which lead to fused
transcripts of either multiple genes, known as a fusion gene, or a gene and a
previously non-transcribed sequence. Detecting these modifications, called
transcriptomic structural variations (TSVs), especially in cancer tumor
sequencing, is an important and challenging computational problem. We introduce
SQUID, a novel algorithm to predict both fusion-gene and non-fusion-gene TSVs
accurately from RNA-seq alignments. SQUID unifies both concordant and discordant
read alignments into one model and doubles the precision on simulation data
compared to other approaches. Using SQUID, we identify novel non-fusion-gene TSVs
on TCGA samples.
PMID- 29650028
TI - A rare presentation of pulmonary sarcoidosis as a solitary lung mass: a case
report.
AB - BACKGROUND: Sarcoidosis is a multisystem, chronic granulomatous disease of
unknown etiology that predominantly affects the lungs. Pulmonary sarcoidosis
classically presents with constitutional symptoms and computed tomographic scan
findings of bilateral, symmetric micronodules in a peribronchovascular
distribution with upper and middle lung zone predominance accompanied by
bilateral, symmetric hilar lymphadenopathy. A solitary lung mass is a rare
finding for pulmonary sarcoidosis, and with its associated constitutional
symptoms, it strongly mimics a malignancy. We aimed to provide further insight
into the broad differential diagnosis of a lung mass by describing our
experiences in the care of a patient who presented with clinical and radiographic
features of lung cancer who was ultimately found to have an atypical
manifestation of stage II pulmonary sarcoidosis. CASE PRESENTATION: A 44-year-old
African American woman with a history of childhood asthma and type 2 diabetes
mellitus presented with shortness of breath. After being treated for a presumed
asthma exacerbation with prednisone, she experienced worsening dyspnea, night
sweats, and unintentional weight loss. Further evaluation revealed a large left
lower lobe mass and hilar lymphadenopathy. A computed tomography-guided biopsy of
the lung mass revealed a multifocal non-necrotizing granuloma with multinucleated
giant cells. Although consistent with sarcoidosis, this finding could represent a
sarcoid-like reaction secondary to an occult malignancy. A more extensive repeat
biopsy via bronchoscopy and mediastinoscopy revealed granulomatous inflammation
without evidence of malignancy or infection. These procedures confirmed the
diagnosis of pulmonary sarcoidosis, and she was started on treatment with high
dose prednisone. Her treatment course was complicated by hyperglycemia
necessitating insulin therapy, but after 3 months of therapy, she reported
improvement in her dyspnea, and repeat imaging revealed a significant decrease in
the size of the lung mass and lymphadenopathy. Given her clinical and
radiographic response, she was continued on a prednisone taper. CONCLUSIONS:
Atypical manifestations of pulmonary sarcoidosis are diagnostically challenging
because the clinical and radiographic features of the disease mimic those of a
malignancy. We aimed to illustrate a unique etiology of a lung mass and the
importance of maintaining a broad differential diagnosis. Nonetheless, with the
possibility of a malignancy, a high index of suspicion is necessary for timely
diagnosis and optimal management.
PMID- 29650029
TI - The dose distribution in dominant intraprostatic tumour lesions defined by
multiparametric MRI and PSMA PET/CT correlates with the outcome in patients
treated with primary radiation therapy for prostate cancer.
PMID- 29650030
TI - Harnessing Qatar Biobank to understand type 2 diabetes and obesity in adult
Qataris from the First Qatar Biobank Project.
AB - BACKGROUND: Human tissues are invaluable resources for researchers worldwide.
Biobanks are repositories of such human tissues and can have a strategic
importance for genetic research, clinical care, and future discoveries and
treatments. One of the aims of Qatar Biobank is to improve the understanding and
treatment of common diseases afflicting Qatari population such as obesity and
diabetes. METHODS: In this study we apply a panorama of state-of-the-art
statistical methods and machine learning algorithms to investigate associations
and risk factors for diabetes and obesity on a sample of 1000 Qatari population.
RESULTS: Regarding diabetes, we identified pronounced associations and risk
factors in Qatari population including magnesium, chloride, c-peptide of insulin,
insulin, and uric acid. Similarly, for obesity, significant associations and risk
factors include insulin, c-peptide of insulin, albumin, and uric acid. Moreover,
our study has revealed interactions of hypomagnesemia with HDL-C, triglycerides,
and free thyroxine. CONCLUSIONS: Our study strongly confirms known associations
and risk factors associated with diabetes and obesity in Qatari population as
previously found in other population studies in different parts of the world.
Moreover, interactions of hypomagnesemia with other associations and risk factors
merit further investigations.
PMID- 29650032
TI - Biosecurity and animal disease management in organic and conventional Swedish
dairy herds: a questionnaire study.
AB - BACKGROUND: Good animal health is a notion that is germane to organic dairy
production, and it is expected that such herds would pay significant attention on
the health of their animals. However, it is not known if the applied animal
disease management is actually more adequate in organic dairy cattle herds than
in conventional dairy herds. A questionnaire study on biosecurity and animal
disease management activities was therefore conducted among Swedish farmers with
organic and conventional dairy cattle herds. RESULTS: A total of 192 useable
questionnaires were returned; response rates of 30.3 and 20.2% for organic and
conventional farmers, respectively. Herd characteristics of the two herd types
were very similar, except that pipeline/tie-stall systems were less common in
organic farms and that organic farmers had a higher education level than their
conventional counterparts. Also, very few systematic differences in general or
specific disease management activities were observed between the two types of
farms. The main exceptions being how milk from cows during antibiotic treatment
was used, views on policy actions in relation to antibiotic use, and attitudes
towards calling for veterinary support. Using milk from cows during antibiotic
treatment was more common in conventional herds, although it was mainly given to
bull calves. Farmers of organic herds were more positive to policy actions to
reduce the use and need for antibiotics, and they reported waiting longer before
contacting a veterinarian for calves with diarrhoea and cows with subclinical
mastitis. CONCLUSIONS: The stated biosecurity and animal disease management was
relatively equal in Swedish organic and conventional dairy herds. Our results
thus indicate that animal health is as important in conventionally managed dairy
herds in Sweden as in organically managed herds.
PMID- 29650031
TI - The physiological role of Motin family and its dysregulation in tumorigenesis.
AB - Members in Motin family, or Angiomotins (AMOTs), are adaptor proteins that
localize in the membranous, cytoplasmic or nuclear fraction in a cell context
dependent manner. They control the bioprocesses such as migration, tight junction
formation, cell polarity, and angiogenesis. Emerging evidences have demonstrated
that AMOTs participate in cancer initiation and progression. Many of the previous
studies have focused on the involvement of AMOTs in Hippo-YAP1 pathway. However,
it has been controversial for years that AMOTs serve as either positive or
negative growth regulators in different cancer types because of the various
cellular origins. The molecular mechanisms of these opposite roles of AMOTs
remain elusive. This review comprehensively summarized how AMOTs function
physiologically and how their dysregulation promotes or inhibits tumorigenesis.
Better understanding the functional roles of AMOTs in cancers may lead to an
improvement of clinical interventions as well as development of novel therapeutic
strategies for cancer patients.
PMID- 29650033
TI - Enterovirus serotypes in patients with central nervous system and respiratory
infections in Viet Nam 1997-2010.
AB - BACKGROUND: Enteroviruses are the most common causative agents of human illness.
Enteroviruses have been associated with regional and global epidemics, recently,
including with severe disease (Enterovirus A71 and D68), and are of interest as
emerging viruses. Here, we typed Enterovirus A-D (EV) from central nervous system
(CNS) and respiratory infections in Viet Nam. METHODS: Data and specimens from
prospective observational clinical studies conducted between 1997 and 2010 were
used. Species and serotypes were determined using type-specific RT-PCR and viral
protein 1 or 4 (VP1, VP4) sequencing. RESULTS: Samples from patients with CNS
infection (51 children - 10 CSF and 41 respiratory/rectal swabs) and 28 adults
(28 CSF) and respiratory infection (124 children - 124 respiratory swabs) were
analysed. Twenty-six different serotypes of the four Enterovirus species (A-D)
were identified, including EV-A71 and EV-D68. Enterovirus B was associated with
viral meningitis in children and adults. Hand, foot and mouth disease associated
Enteroviruses A (EV-A71 and Coxsackievirus [CV] A10) were detected in children
with encephalitis. Diverse serotypes of all four Enterovirus species were found
in respiratory samples, including 2 polio-vaccine viruses, but also 8 CV-A24 and
8 EV-D68. With the exception of EV-D68, the relevance of these viruses in
respiratory infection remains unknown. CONCLUSION: We describe the diverse
spectrum of enteroviruses from patients with CNS and respiratory infections in
Viet Nam between 1997 and 2010. These data confirm the global circulation of
Enterovirus genera and their associations and are important for clinical
diagnostics, patient management, and outbreak response.
PMID- 29650034
TI - COMplex Fracture Orthopedic Rehabilitation (COMFORT) - Real-time visual
biofeedback on weight bearing versus standard training methods in the treatment
of proximal femur fractures in the elderly: study protocol for a multicenter
randomized controlled trial.
AB - BACKGROUND: Proximal femur fractures are a common injury after low energy trauma
in the elderly. Most rehabilitation programs are based on restoring mobility and
early resumption of weight-bearing. However, therapy compliance is low in
patients following lower extremity fractures. Moreover, little is known about the
relevance of gait parameters and how to steer the rehabilitation after proximal
femur fractures in the elderly. Therefore, the aim of this prospective,
randomized controlled trial is to gain insight in gait parameters and evaluate if
real-time visual biofeedback can improve therapy compliance after proximal femur
fractures in the elderly. METHODS: This is a two-arm, parallel-design,
prospective, randomized controlled trial. Inclusion criteria are age >= 60 years,
a proximal femur fracture following low energy trauma, and unrestricted-weight
bearing. Exclusion criteria are cognitive impairment and limited mobility before
trauma. Participants are randomized into either the control group, which receives
care as usual, or the intervention group, which receives real-time visual
biofeedback about weight-bearing during gait in addition to care as usual.
Spatiotemporal gait parameters will be measured in 94 participants per group
during a 30-m walk with an ambulatory biofeedback system (SensiStep). The
progress of rehabilitation will be evaluated by the primary outcome parameters
maximum peak load and step duration in relation to the discharge date. Secondary
outcome parameters include other spatiotemporal gait parameters in relation to
discharge date. Furthermore, the gait parameters will be related to three
validated clinical tests: Elderly Mobility Scale; Functional Ambulation
Categories; and Visual Analogue Scale. The primary hypothesis is that
participants in the intervention group will show improved and faster
rehabilitation compared to the control group. DISCUSSION: The first aim of this
multicenter trial is to investigate the normal gait patterns after proximal femur
fractures in the elderly. The use of biofeedback systems during rehabilitation
after proximal femur fractures in the elderly is promising; therefore, the second
aim is to investigate the effect of real-time visual biofeedback on gait after
proximal femur fractures in the elderly. This could lead to improved outcome. In
addition, analysis of the population may indicate characteristics of subgroups
that benefit from feedback, making a differentiated approach in rehabilitation
strategy possible. TRIAL REGISTRATION: TrialRegister.nl, NTR6794 . Registered on
31 October 2017.
PMID- 29650035
TI - Image-guided radiotherapy reduces the risk of under-dosing high-risk prostate
cancer extra-capsular disease and improves biochemical control.
AB - BACKGROUND: To determine if reduced dose delivery uncertainty is associated with
daily image-guidance (IG) and Prostate Specific Antigen Relapse Free Survival
(PRFS) in intensity-modulated radiotherapy (IMRT) of high-risk prostate cancer
(PCa). METHODS: Planning data for consecutive PCa patients treated with IMRT (n =
67) and IG-IMRT (n = 35) was retrieved. Using computer simulations of setup
errors, we estimated the patient-specific uncertainty in accumulated treatment
dose distributions for the prostate and for posterolateral aspects of the gland
that are at highest risk for extra-capsular disease. Multivariate Cox regression
for PRFS considering Gleason score, T-stage, pre-treatment PSA, number of
elevated clinical risk factors (T2c+, GS7+ and PSA10+), nomogram-predicted risk
of extra-capsular disease (ECD), and dose metrics was performed. RESULTS: For
IMRT vs. IG-IMRT, plan dosimetry values were similar, but simulations revealed
uncertainty in delivered dose external to the prostate was significantly
different, due to positioning uncertainties. A patient-specific interaction term
of the risk of ECD and risk of low dose to the ECD (p = 0.005), and the number of
elevated clinical risk factors (p = 0.008), correlate with reduced PRFS.
CONCLUSIONS: Improvements in PSA outcomes for high-risk PCa using IG-IMRT vs.
IMRT without IG may be due to improved dosimetry for ECD.
PMID- 29650037
TI - Open-label, randomized multicentre phase II study to assess the efficacy and
tolerability of sunitinib by dose administration regimen (dose modification or
dose interruptions) in patients with advanced or metastatic renal cell carcinoma:
study protocol of the SURF trial.
AB - BACKGROUND: Sunitinib is a tyrosine kinase inhibitor approved in the first-line
metastatic renal cell carcinoma (MRCC) setting at the dose of 50 mg daily for 4
weeks followed by a pause of 2 weeks. Due to toxicity, this standard schedule (50
mg daily 4/2) can induce up to 50% of sunitinib dose modification (reduction
and/or interruption). The current recommendation in such case is to reduce the
dose to 37.5 mg per day (standard schedule 4/2). Recent data highlight an
alternative schedule: 2 weeks of treatment followed by 1 week of pause
(experimental schedule 2/1). The SURF trial is set up to evaluate prospectively
experimental schedule 2/1 when toxicity occurs. This article displays the key
elements of the study protocol. METHODS/DESIGN: SURF [NCT02689167] is a
prospective, randomized, open-label phase IIb study. Patients are included at
sunitinib initiation while receiving standard schedule 4/2 (50 mg daily)
according to the marketing authorization indication. When a dose adjustment of
sunitinib is required, patients are randomized between standard schedule 4/2
(37.5 mg daily) and experimental schedule 2/1 (50 mg daily). Key eligibility
criteria are the following: patients with locally advanced inoperable or MRCC who
are starting first-line treatment with sunitinib, with histologically or
cytologically confirmed renal cancer clear cell variant or with a clear cell
component, and with Karnofsky performance status >=70%. The primary objective is
to assess the median duration of sunitinib treatment (DOT) in each group. The key
secondary objectives are progression-free survival, overall survival, time to
randomization, objective response rate, safety, sunitinib dose intensity, health
related quality of life, and the description of main drivers triggering
randomization. We hypothesized that experimental schedule 2/1 would result in an
improvement in median DOT from 6 to 8.5 months. It was estimated that 112
patients would be needed in each arm during 24 months. In order to take into
account the possibility of treatment discontinuation before randomization, 248
patients are necessary. DISCUSSION: The SURF trial is asking a pragmatic question
adapted to the current practice on what is the best way to adapt sunitinib when
treatment-related adverse events occur. The results of the SURF trial will bring
high-value data to support the use of an alternative schedule in sunitinib
treatment. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02689167 . Registered on 26
February 2016.
PMID- 29650036
TI - Prevalence and molecular characterization of Cryptosporidium spp. and Giardia
duodenalis in deer in Henan and Jilin, China.
AB - BACKGROUND: Little is known about the prevalence and zoonotic potential of
Cryptosporidium spp. and Giardia duodenalis in deer in China. In this study, 662
fecal samples were collected from 11 farms in Henan and Jilin Provinces between
July 2013 and August 2014, and were screened for the presence of Cryptosporidium
and G. duodenalis with genotyping and subtyping methods. RESULTS: Cryptosporidium
spp. and G. duodenalis were detected in 6.80% (45/662) and 1.21% (5/662) of
samples, respectively. Six Cryptosporidium species/genotypes were identified
based on the small subunit ribosomal ribonucleic acid (SSU rRNA) gene: C. parvum
(n = 11); C. andersoni (n = 5); C. ubiquitum (n = 3); C. muris (n = 1); C. suis
like (n = 1); and Cryptosporidium deer genotype (n = 24). When five of the 11 C.
parvum isolates were subtyped by sequencing the 60 kDa glycoprotein (gp60) gene,
zoonotic subtypes IIaA15G2R2 (n = 4) and IIdA19G1 (n = 1) were found. According
to a subtype analysis, three C. ubiquitum isolates belonged to XIIa subtype 2. In
contrast, only assemblage E was detected in the five Giardia-positive samples
with small subunit ribosomal ribonucleic acid (SSU rRNA) gene sequencing.
CONCLUSIONS: To our knowledge, this is the first study to report C. andersoni, as
well as C. parvum zoonotic subtypes IIaA15G2R2 and IIdA19G1 in cervids. These
data, though limited, suggest that cervids may be a source of zoonotic
Cryptosporidium and Giardia. Cervids in the present study are likely to be of low
zoonotic potential to humans, and more molecular epidemiological studies are
required to clarify the prevalence and public health significance of
Cryptosporidium and G. duodenalis in cervids throughout China.
PMID- 29650038
TI - A lifelong study of a pack Rhodesian ridgeback dogs reveals subclinical and
clinical tick-borne Anaplasma phagocytophilum infections with possible
reinfection or persistence.
AB - BACKGROUND: Various tick-borne infections often occur without specific clinical
signs and are therefore notoriously hard to diagnose separately in veterinary
practice. Longitudinal studies over multiple tick seasons performing clinical,
serological and molecular investigations in parallel, may elucidate the
relationship between infection and disease. In this regard, six related Rhodesian
Ridgeback dogs living as a pack became subject of lifetime studies due to ongoing
tick infestations and recurring clinical problems. Blood samples for diagnostic
tests were obtained throughout the years 2000 to 2009. METHODS: Data collected
from clinical observations, hemograms, serology and detection of Anaplasma
phagocytophilum, either by microscopy or by DNA amplification and typing, were
placed in a time line. This dataset essentially presents as a prospective study
enabling the association of the Anaplasma infections with occurring disease.
RESULTS: All six dogs were infected, and two of them developed particular
clinical symptoms that could be associated with Anaplasma infections over time.
More specifically, episodes of general malaise with fever and purpura with
thrombocytopenia and bacterial inclusions in granulocytes, were found
concurrently with Anaplasma DNA and specific antibodies in peripheral blood
samples. DNA from A. phagocytophilum variant 4 (of 16S rRNA) was found in
multiple and sequential samples. DNA-sequences from variant 1 and the human
granulocytic ehrlichiosis (HGE) agent were also detected. CONCLUSIONS: In this
study two lifelong cases of canine anaplasmosis (CGA) are presented. The data
show that dogs can be naturally infected concurrently with A. phagocytophilum
variant 1, variant 4 and the HGE agent. The ongoing presence of specific
antibodies and Anaplasma DNA in one dog indicates one year of persisting
infection. Treatment with doxycycline during recurring clinical episodes in the
other dog resulted in transient clinical improvement and subsequent disappearance
of specific antibodies and DNA suggesting that re-infection occurred.
PMID- 29650039
TI - Carbon black suppresses the osteogenesis of mesenchymal stem cells: the role of
mitochondria.
AB - BACKGROUND: The rapid increase in carbon black poses threats to human health. We
evaluated the effect of CB (Printex 90) on the osteogenesis of bone-marrow
derived mesenchymal stem cells (MSCs). Mitochondria play an important role in the
osteogenesis of MSCs and are potential targets of nanomaterials, so we studied
the role of mitochondria in the CB Printex 90-induced effects on osteogenesis.
RESULTS: Low doses of Printex 90 (3 ng/mL and 30 ng/mL) that did not cause
deleterious effects on MSCs' viability significantly inhibited osteogenesis of
MSCs. Printex 90 caused down-regulation of osteoblastic markers, reduced activity
of alkaline phosphatase (ALP), and poor mineralization of osteogenically induced
MSCs. Cellular ATP production was decreased, mitochondrial respiration was
impaired with reduced expression of ATPase, and the mitochondrial membrane was
depolarized. The quantity and quality of mitochondria are tightly controlled by
mitochondrial biogenesis, mitochondrial dynamics and mitophagy. The
transcriptional co-activator and transcription factors for mitochondrial
biogenesis, PGC-1alpha, Nrf1 and TFAM, were suppressed by Printex 90 treatment,
suggesting that decreased biogenesis was caused by Printex 90 treatment during
osteogenesis. Mitochondrial fusion and fission were significantly inhibited by
Printex 90 treatment. PINK1 accumulated in Printex 90-treated cells, and more
Parkin was recruited to mitochondria, indicating that mitophagy increased to
remove the damaged mitochondria. CONCLUSIONS: This is the first report of the
inhibitory effects of CB on the osteogenesis of MSCs and the involvement of
mitochondria in CB Printex 90-induced suppression of MSC osteogenesis.
PMID- 29650040
TI - Gene-level differential analysis at transcript-level resolution.
AB - Compared to RNA-sequencing transcript differential analysis, gene-level
differential expression analysis is more robust and experimentally actionable.
However, the use of gene counts for statistical analysis can mask transcript
level dynamics. We demonstrate that 'analysis first, aggregation second,' where
the p values derived from transcript analysis are aggregated to obtain gene-level
results, increase sensitivity and accuracy. The method we propose can also be
applied to transcript compatibility counts obtained from pseudoalignment of
reads, which circumvents the need for quantification and is fast, accurate, and
model-free. The method generalizes to various levels of biology and we showcase
an application to gene ontologies.
PMID- 29650041
TI - Deep learning meets ontologies: experiments to anchor the cardiovascular disease
ontology in the biomedical literature.
AB - BACKGROUND: Automatic identification of term variants or acceptable alternative
free-text terms for gene and protein names from the millions of biomedical
publications is a challenging task. Ontologies, such as the Cardiovascular
Disease Ontology (CVDO), capture domain knowledge in a computational form and can
provide context for gene/protein names as written in the literature. This study
investigates: 1) if word embeddings from Deep Learning algorithms can provide a
list of term variants for a given gene/protein of interest; and 2) if biological
knowledge from the CVDO can improve such a list without modifying the word
embeddings created. METHODS: We have manually annotated 105 gene/protein names
from 25 PubMed titles/abstracts and mapped them to 79 unique UniProtKB entries
corresponding to gene and protein classes from the CVDO. Using more than 14 M
PubMed articles (titles and available abstracts), word embeddings were generated
with CBOW and Skip-gram. We setup two experiments for a synonym detection task,
each with four raters, and 3672 pairs of terms (target term and candidate term)
from the word embeddings created. For Experiment I, the target terms for 64
UniProtKB entries were those that appear in the titles/abstracts; Experiment II
involves 63 UniProtKB entries and the target terms are a combination of terms
from PubMed titles/abstracts with terms (i.e. increased context) from the CVDO
protein class expressions and labels. RESULTS: In Experiment I, Skip-gram finds
term variants (full and/or partial) for 89% of the 64 UniProtKB entries, while
CBOW finds term variants for 67%. In Experiment II (with the aid of the CVDO),
Skip-gram finds term variants for 95% of the 63 UniProtKB entries, while CBOW
finds term variants for 78%. Combining the results of both experiments, Skip-gram
finds term variants for 97% of the 79 UniProtKB entries, while CBOW finds term
variants for 81%. CONCLUSIONS: This study shows performance improvements for both
CBOW and Skip-gram on a gene/protein synonym detection task by adding knowledge
formalised in the CVDO and without modifying the word embeddings created. Hence,
the CVDO supplies context that is effective in inducing term variability for both
CBOW and Skip-gram while reducing ambiguity. Skip-gram outperforms CBOW and finds
more pertinent term variants for gene/protein names annotated from the scientific
literature.
PMID- 29650042
TI - Dental pulp stem cells used to deliver the anticancer drug paclitaxel.
AB - BACKGROUND: Understanding stem cell behavior as a delivery tool in cancer therapy
is essential for evaluating their future clinical potential. Previous in-vivo
studies proved the use of mesenchymal stem cells (MSCs) for local delivery of the
commonest anticancer drug, paclitaxel (PTX). Dental pulp is a relatively abundant
noninvasive source of MSCs. We assess dental pulp stem cells (DPSCs), for the
first time, as anticancer drug carriers. Confocal Raman microscopy is a unique
tool to trace drug and cell viability without labeling. METHODS: Drug uptake and
cell apoptosis are identified through confocal Raman microscope. We traced
translocation of cytochrome c enzyme from the mitochondria, as a biomarker for
apoptosis, after testing both cancer and stem cells. The viability of stem cells
was checked by means of confocal Raman microscope and by cytotoxicity assays.
RESULTS: In this study, we prove that DPSCs can be loaded in vitro with the
anticancerous drug without affecting their viability, which is later released in
the culture medium of breast cancer cells (MCF-7 cells) in a time-dependent
fashion. The induced cytotoxic damage in MCF-7 cells was observed consequently
after PTX release by DPSCs. Additionally, quantitative Raman images of
intracellular drug uptake in DPSCs and MCF-7 cells were obtained. Cytotoxic
assays prove the DPSCs to be more resistant to PTX as compared to bone marrow
derived MSCs, provided similar conditions. CONCLUSIONS: Applications of dental
stem cells for targeted treatment of cancer could be a revolution to reduce
morbidity due to chemotherapy, and to increase the efficacy of systemic cancer
treatment.
PMID- 29650043
TI - Experimental studies on effects of diet on Lawsonia intracellularis infections in
fattening boars in a natural infection model.
AB - BACKGROUND: Lawsonia intracellularis is one of the most economically important
pathogens in swine production. This study tested the hypothesis that the
composition of diets for pigs has an impact on the excretion of L.
intracellularis in a natural infection model. RESULTS: Fifty boars (~ 90 kg BW)
from a SPF-farm with a strict hygiene and management regime for reducing the
spread of an L. intracellularis infection up to the beginning of the final
fattening period were transported, regrouped and randomly allotted to groups of
five animals each at the research facility. After a 1-week acclimatisation period
groups were fed one of five diets 4 weeks before slaughter. These were either a
finely ground pelleted diet (FP) or a coarsely ground meal diet (CM), both
consisting of wheat (40.0%), barley (39.3%), soybean meal (16.0%), soybean oil
(2.0%) and minor components. In the other meal diets parts of wheat, barley and
soybean meal were substituted either with 22% cracked corn (CORN), 16.9% dried
whey (WHEY) or 30% raw potato starch (RPS). The animals had a comparable
serological status in a blocking-ELISA immediately before the start and at the
end of the feeding experiment. Values increased significantly during the trial.
In all subgroups (FP/CM/CORN/WHEY/RPS), shedding was detected in week 0 (genome
equivalents = GE; log10 GE L. intracellularis/g faeces: 2.46 +/- 2.64/3.58 +/-
2.54/3.43 +/- 2.37/2.30 +/- 3.16/2.58 +/- 2.73). The average number of L.
intracellularis microbes in faeces during the trial period did not differ between
the groups (log10 GE L. intracellularis/g faeces: 3.40 +/- 1.53/3.01 +/-
1.41/3.80 +/- 1.71/3.98 +/- 2.20/4.08 +/- 2.13). In animals fed the WHEY-diet,
significantly lower counts of L. intracellularis were found in the caecal
content. The acetate content in the caecum was negatively correlated with the
serological results at the end of the trial (r = - 0.36; P = 0.010). Butyrate
concentrations in the caecal content were negatively correlated with the number
of L. intracellularis in the caecum (r = - 0.32; P = 0.023). CONCLUSION:
Therefore, this study provides preliminary evidence that there might be specific
dietary effects on the course of a L. intracellularis infection.
PMID- 29650044
TI - Human amnion-derived mesenchymal stem cells alleviate lung injury induced by
white smoke inhalation in rats.
AB - BACKGROUND: White smoke inhalation (WSI) is an uncommon but potentially deadly
cause of acute lung injury and acute respiratory distress syndrome for which no
effective pharmaceutical treatment has been developed. This study aimed to
determine the protective effects of human amnion-derived mesenchymal stem cells
(hAMSCs) against WSI-induced lung injury in rats. METHODS: hAMSCs were injected
into rats via the tail vein 4 h after WSI. At 1, 3, 7, 14, and 28 days after cell
injection, hAMSCs labeled with PKH26 in lung, heart, liver, and kidney tissues
were observed by fluorescence microscopy. The lung injury score was determined by
hematoxylin and eosin staining. Lung fibrosis was assessed by Masson's trichrome
staining. The computed tomography (CT) score was assessed by CT scanning. The
wet/dry weight ratio was calculated. The levels of interleukin (IL)-1beta, IL-6,
and IL-10 were determined by enzyme-linked immunosorbent assays. The expression
of surfactant protein (SP)-A, SP-C, and SP-D was measured by Western blotting.
RESULTS: The injected hAMSCs were primarily distributed in the lung tissues in
WSI-induced rats. Compared with the model and phosphate-buffered saline (PBS)
group, hAMSC treatment led to reduced lung injury, lung fibrosis, CT score, and
inflammation levels in WSI-induced mice. hAMSC treatment also resulted in
increased cell retention in the lung, partial pressure of oxygen (PaO2), and
PaO2/fraction of inspired oxygen (FiO2) levels, and pulmonary SP-A, SP-C, and SP
D expression compared with that in the model and PBS group. CONCLUSIONS: hAMSCs
are a potential cell-based therapy for WSI-induced lung injury.
PMID- 29650045
TI - Characterization of the interaction between human decidua parietalis mesenchymal
stem/stromal cells and natural killer cells.
AB - BACKGROUND: Human decidua parietalis mesenchymal stem/multipotent stromal cells
(DPMSCs) have unique phenotypic and functional properties that make them
promising candidates for cell-based therapy. Here, we investigated DPMSC
interaction with natural killer (NK) cells, and the effects of this interaction
on NK cell phenotypic characteristics and functional activities. METHODS: DPMSCs
isolated from the decidua parietalis of human fetal membranes were cultured with
interleukin (IL)-2-activated and IL-2-unactivated NK cells isolated from healthy
human peripheral blood. NK cell proliferation and cytolytic activities were then
examined using functional assays. NK cell expression of receptors mediating the
cytolytic activity against DPMSCs, and the mechanism underlying this effect on
DPMSCs, were also examined using flow cytometry and light microscopy,
respectively. RESULTS: DPMSCs stimulated IL-2-induced proliferation of resting NK
cells and the proliferation of activated NK cells. Moreover, IL-2-activated NK
cells, but not freshly isolated NK cells, efficiently lysed DPMSCs. The induction
of this NK cell cytolytic activity against DPMSCs was mediated by the activating
NK cell receptors NKG2D, CD69, NKp30, and NKp44. However, DPMSCs showed a direct
induction of NK cell cytolytic activity through CD69. We also found that DPMSCs
expressed the ligands for these activating NK cell receptors including Nectin-2,
ULBP-2, MICA, and MICB. Although DPMSCs expressed HLA class I molecules, they
were susceptible to lysis by NK cells, suggesting that HLA class I antigens do
not play a significant role in NK cell cytolytic action. In addition, DPMSCs did
not inhibit NK cell cytolytic activity against cancer cells. Importantly, DPMSCs
significantly increased NK expression of inflammatory molecules with anticancer
activities. CONCLUSIONS: We conclude that DPMSCs have potential for therapeutic
application in cancer therapy, but not in transplantation or immunological
diseases.
PMID- 29650046
TI - Blighted ovum and tubal pregnancy: a rare form of heterotopic pregnancy: case
report.
AB - BACKGROUND: Heterotopic pregnancies are rare in spontaneous conceptions.
Nonetheless, when it does occur, the intrauterine pregnancy is usually viable. We
herein present a true rarity of the coexistence of a blighted ovum and an ectopic
pregnancy. CASE PRESENTATION: A 25 year old G2P1001 married seamstress of African
ethnicity at 8 weeks of amenorrhoea presented to our health facility with a 4 day
history of lower abdominal pains and vaginal bleeding for which physical
examination revealed a closed cervix. Trans-abdominal ultrasound scan confirmed a
diagnosis of a blighted ovum and an ectopic pregnancy. Patient was managed with
surgical therapy. Evolution thereafter was uneventful. CONCLUSION: The case
presented confirms that HP can occur in the absence of predisposing factors, and
that the detection of a blighted ovum should not preclude the possibility of a
simultaneous ectopic pregnancy. A high index of suspicion could lead to early
diagnosis, prompt management and a favourable prognosis even in a low-income
setting.
PMID- 29650047
TI - Care Cascade for targeted tuberculosis testing and linkage to Care in Homeless
Populations in the United States: a meta-analysis.
AB - BACKGROUND: Homelessness increases the risk of tuberculosis (TB) disease and
latent TB infection (LTBI), but persons experiencing homelessness often lack
access to testing and treatment. We assessed the yield of TB testing and linkage
to care for programs targeting homeless populations in the United States.
METHODS: We conducted a comprehensive search of peer-reviewed and grey
literature, adapting Cochrane systematic review methods. Two reviewers
independently assessed study eligibility and abstracted key data on the testing
to care cascade: number of persons reached, recruited for testing, tested for
LTBI, with valid test results, referred to follow-up care, and initiating care.
We used random effects to calculate pooled proportions and 95% confidence
intervals (CI) of persons retained in each step via inverse-variance weighted
meta-analysis, and cumulative proportions as products of adjacent step
proportions. RESULTS: We identified 23 studies published between 1986 and 2014,
conducted in 12 states and 15 cities. Among studies using tuberculin skin tests
(TST) we found that 93.7% (CI 72.4-100%) of persons reached were recruited, 97.9%
(89.3-100%) of those recruited had tests placed, 85.5% (78.6-91.3%) of those with
tests placed returned for reading, 99.9% (99.6-100%) of those with tests read had
valid results, and 24.7% (21.0-28.5%) with valid results tested positive. All
persons testing positive were referred to follow-up care, and 99.8% attended at
least one session of follow-up care. Heterogeneity was high for most pooled
proportions. For a hypothetical cohort of 1000 persons experiencing homelessness
reached by a targeted testing program using TST, an estimated 917 were tested,
194 were positive, and all of these initiated follow-up care. CONCLUSIONS:
Targeted TB testing of persons experiencing homelessness appears effective in
detecting LTBI and connecting persons to care and potential treatment. Future
evaluations should assess diagnostic use of interferon gamma release assays and
completion of treatment, and costs of testing and treatment.
PMID- 29650048
TI - A comparison of the stem cell characteristics of murine tenocytes and tendon
derived stem cells.
AB - Tendon is a commonly injured soft musculoskeletal tissue, however, poor healing
potential and ineffective treatment strategies result in persistent injuries and
tissue that is unable to perform its normal physiological function. The
identification of a stem cell population within tendon tissue holds therapeutic
potential for treatment of tendon injuries. This study aimed, for the first time,
to characterise and compare tenocyte and tendon-derived stem cell (TDSC)
populations in murine tendon. Tenocytes and TDSCs were isolated from murine tail
tendon. The cells were characterised for morphology, clonogenicity,
proliferation, stem cell and tenogenic marker expression and multipotency. TDSCs
demonstrated a rounded morphology, compared with a more fibroblastic morphology
for tenocytes. Tenocytes had greater clonogenic potential and a smaller
population doubling time compared with TDSCs. Stem cell and early tenogenic
markers were more highly expressed in TDSCs, whereas late tenogenic markers were
more highly expressed in tenocytes. Multipotency was increased in TDSCs with the
presence of adipogenic differentiation which was absent in tenocytes. The
differences in morphology, clonogenicity, stem cell marker expression and
multipotency observed between tenocytes and TDSCs indicate that at least two cell
populations are present in murine tail tendon. Determination of the most
effective cell population for tendon repair is required in future studies, which
in turn may aid in tendon repair strategies.
PMID- 29650050
TI - The associations of comorbidities and consumption of fruit and vegetable with
quality of life among stomach cancer survivors.
AB - BACKGROUND: Stomach cancer survivors (SCS) often carry the dual burden of the
cancer itself and other comorbidities; meanwhile, they are highly motivated to
seek health advice about lifestyles to improve their health and quality of life
(QOL). The associations of the comorbidity and the consumption of vegetable and
fruit with QOL remain even less clear among the SCS. This study aimed to
investigate the associations of comorbidities and consumption of fruit and
vegetable with QOL among SCS. METHODS: A cross-sectional study was conducted
among 969 SCS between April and July 2015 in Shanghai, People's Republic of
China. Data were collected using a self-reported questionnaire, which included
questions on sociodemographic characteristics, comorbidities and fruit and
vegetable consumption, and a simplified Chinese version of the European
Organization for Research and Treatment quality of life version 3 (EORTC QLQ-C30)
questionnaire. In order to mitigate the bias caused by confounding factors,
multiple linear regression models were employed to calculate the adjusted means
of QOL scores. RESULTS: The proportion of participants without any comorbidity
was only 23.3%, and the most common comorbidity among SCS was digestive diseases
(49.8%). Participants with comorbidity generally reported lower scores for global
health and functioning subscales and higher scores for symptom in EORTC QLQ-C30
compared to participants without comorbidity, indicating poorer QOL. Higher
scores in most functioning subscales and lower scores in some symptoms subscales
were found in participants (38.7%) who ate more than 250 g vegetables every day,
compared to participants with less vegetable consumption, and in participants
(58.1%) who ate fruit every day, compared to participants who didn't eat fruit
every day indicating better QOL. CONCLUSIONS: The comorbidities are common health
problems among SCS and have significantly negative influence on QOL, and
participants with comorbidities generally reported lower QOL scores. The enough
vegetables and fruit consumption are positively associated with QOL of SCS. These
findings suggested that a multidisciplinary team approach and a variety of
delivery systems are needed to address the medical, psychosocial, and lifestyle
components for enriching patient-centered care among SCS.
PMID- 29650051
TI - Proteolytic biomarkers are related to prognosis in COPD- report from a population
based cohort.
AB - BACKGROUND: The imbalance between proteases and anti-proteases is considered to
contribute to the development of COPD. Our aim was to evaluate the protease MMP
9, the antiprotease TIMP-1 and the MMP-9/TIMP-1-ratio as biomarkers in relation
to prognosis. Prognosis was assessed as lung function decline and mortality. This
was done among subjects with COPD in a population-based cohort. METHODS: In 2005,
clinical examinations including spirometry and peripheral blood sampling, were
made in a longitudinal population-based cohort. In total, 1542 individuals
participated, whereof 594 with COPD. In 2010, 1031 subjects participated in
clinical examinations, and 952 subjects underwent spirometry in both 2005 and
2010. Serum MMP-9 and TIMP-1 concentrations were measured with enzyme linked
immunosorbent assay (ELISA). Mortality data were collected from the Swedish
national mortality register from the date of examination in 2005 until 31st
December 2010. RESULTS: The correlation between biomarkers and lung function
decline was similar in non-COPD and COPD, but only significant for MMP-9 and MMP
9/TIMP-1-ratio in non-COPD. Mortality was higher in COPD than non-COPD (16% vs.
10%, p = 0.008). MMP-9 concentrations and MMP-9/TIMP-1 ratios in 2005 were higher
among those who died during follow up, as well as among those alive but not
participating in 2010, when compared to those participating in the 2010
examination. In non-COPD, male sex, age, burden of smoking, heart disease and MMP
9/TIMP-1 ratio were associated with increased risk for death, while increased
TIMP-1 was protective. Among those with COPD, age, current smoking, increased MMP
9 and MMP-9/TIMP-1 ratio were associated with an increased risk for death.
CONCLUSIONS: The expected association between these biomarkers and lung function
decline in COPD was not confirmed in this population-based study, probably due to
a healthy survivor effect. Still, it is suggested that increased proteolytic
imbalance may be of greater prognostic importance in COPD than in non-COPD.
PMID- 29650052
TI - Weighting of orthostatic intolerance time measurements with standing difficulty
score stratifies ME/CFS symptom severity and analyte detection.
AB - BACKGROUND: Myalgic Encephalomyelitis/Chronic Fatigue Syndrome (ME/CFS) is
clinically defined and characterised by persistent disabling tiredness and
exertional malaise, leading to functional impairment. METHODS: This study
introduces the weighted standing time (WST) as a proxy for ME/CFS severity, and
investigates its behaviour in an Australian cohort. WST was calculated from
standing time and subjective standing difficulty data, collected via orthostatic
intolerance assessments. The distribution of WST for healthy controls and ME/CFS
patients was correlated with the clinical criteria, as well as pathology and
cytokine markers. Included in the WST cytokine analyses were activins A and B,
cytokines causally linked to inflammation, and previously demonstrated to
separate ME/CFS from healthy controls. Forty-five ME/CFS patients were recruited
from the CFS Discovery Clinic (Victoria) between 2011 and 2013. Seventeen healthy
controls were recruited concurrently and identically assessed. RESULTS: WST
distribution was significantly different between ME/CFS participants and
controls, with six diagnostic criteria, five analytes and one cytokine also
significantly different when comparing severity via WST. On direct comparison of
ME/CFS to study controls, only serum activin B was significantly elevated, with
no significant variation observed for a broad range of serum and urine markers,
or other serum cytokines. CONCLUSIONS: The enhanced understanding of standing
test behaviour to reflect orthostatic intolerance as a ME/CFS symptom, and the
subsequent calculation of WST, will encourage the greater implementation of this
simple test as a measure of ME/CFS diagnosis, and symptom severity, to the
benefit of improved diagnosis and guidance for potential treatments.
PMID- 29650053
TI - Volume of mural thrombus plays a role in the elevation of inflammatory markers
after endovascular aortic repair.
AB - BACKGROUND: Although systemic inflammatory responses are common after
endovascular aortic repair (EVAR), its etiology remains uncertain. It is normally
well tolerated and has a benign course. This study was undertaken to investigate
the possible etiology of post-EVAR inflammation by measuring volumes of chronic
mural thrombus and fresh thrombus. METHODS: The subjects of this study included
34 patients who underwent EVAR from February 2012 to July 2017. Inflammatory
markers in all the patients were evaluated before surgery, using the highest
value among the laboratory data up to 5 days after surgery, and postoperative
computed tomographic angiography (CTA) was taken for all of them before their
discharging. Volumes of mural thrombus and fresh thrombus were calculated by CTA.
The mean interval from surgery to immediate postoperative CTA was estimated as
6.8 +/- 4.0 days. RESULTS: After undergoing EVAR, white blood cell (WBC) (p <
0.01), C-reactive protein (CRP) (p < 0.01) and erythrocyte sedimentation rate
(ESR) (p = 0.01) were significantly elevated. Two groups were defined according
to the post-implantation syndrome (PIS) by the criteria of systemic inflammatory
response syndrome (SIRS);no significant differences were observed in any factors
between the two groups. Classification of two groups by the criteria of
increasing WBC and CRP revealed that inflammatory markers were significantly
enhanced as the volume of mural thrombus increased (p = 0.03). However, no
significant risk factor was found in view of aneurysmal growth after EVAR.
CONCLUSION: Volume of mural thrombus is an important risk factor for the
elevation of inflammatory markers after EVAR.
PMID- 29650054
TI - Global commitments and China's endeavors to promote health and achieve
sustainable development goals.
AB - BACKGROUND: With its immense population and as the largest developing country in
the world, China has made remarkable achievements in health promotion at a
relatively low cost. However, China is still faced with challenges such as
changes of disease spectrum, the coming era of an aging society, and the risk of
environmental pollution. MAIN TEXT: On October 25, 2016, China formally passed
the blueprint of "Healthy China 2030," working towards the national goal of
reaching a health standard on par with developed countries by 2030, which was
also a response to realize the 2030 United Nations Sustainable Development Goals.
"Healthy China 2030" is comprised of 29 chapters that cover five health areas.
China is sparing no effort to transfer from being merely the most populous
country, to becoming a leading nation in health education. In "Healthy China
2030," collaborated construction and resource sharing were clearly stated as the
core strategy. A shift in concentration towards coordinated development of health
based economy from a previous pursuit of rapid economic growth was also
underlined. There are also several major issues, such as severely aging
population, the burden of chronic diseases, the insufficiency of health
expenditure, and the great demand on health protection, waiting to be dealt with
during the implementation process of "Healthy China 2030". CONCLUSIONS: "Healthy
China 2030" is a momentous move to enhance public health, which is also a
response to the global commitments. We also need to rethink our approach to reach
the living standards and maintain a better environment.
PMID- 29650057
TI - Blood money: Harvey's De motu cordis (1628) as an exercise in accounting.
AB - William Harvey's famous quantitative argument from De motu cordis (1628) about
the circulation of blood explained how a small amount of blood could recirculate
and nourish the entire body, upending the Galenic conception of the blood's
motion. This paper argues that the quantitative argument drew on the calculative
and rhetorical skills of merchants, including Harvey's own brothers. Modern
translations of De motu cordis obscure the language of accountancy that Harvey
himself used. Like a merchant accounting for credits and debits, intake and
output, goods and moneys, Harvey treated venous and arterial blood as essentially
commensurate, quantifiable and fungible. For Harvey, the circulation (and
recirculation) of blood was an arithmetical necessity. The development of
Harvey's circulatory model followed shifts in the epistemic value of mercantile
forms of knowledge, including accounting and arithmetic, also drawing on an
Aristotelian language of reciprocity and balance that Harvey shared with
mercantile advisers to the royal court. This paper places Harvey's calculations
in a previously underappreciated context of economic crisis, whose debates
focused largely on questions of circulation.
PMID- 29650055
TI - A combined effort to avoid strongyle infection in horses in an oceanic climate
region: rotational grazing and parasiticidal fungi.
AB - BACKGROUND: An approach to preventing strongyle infection in horses was tested,
comprising rotational pasturing and the administration of spores of two
parasiticidal fungi, Mucor circinelloides and Duddingtonia flagrans. METHODS:
Twenty-two adult Spanish Sport Horses were dewormed with ivermectin (1 mg pour
on/kg body weight) and then randomly divided into three groups. G-1 was
maintained with continuous grazing, and G-2 and G-3 were kept on a four-paddock
rotation system. Commercial pelleted feed (2.5 kg/horse) was supplied to G-1 and
G-2 twice a week; horses in G-3 received pellets containing 2 * 106 spores/kg of
each fungus. Fecal samples were analyzed by the flotation method to estimate the
reduction in the fecal egg counts (FECR), the percentage of horses shedding eggs
(PHR), and the egg reappearance period (ERP). RESULTS: Third-stage larvae were
identified in fecal pats as Cyathostomum (sensu lato) types A, C and D,
Gyalocephalus capitatus, Triodontophorus serratus, Poteriosthomum spp.,
Strongylus vulgaris and S. edentatus. Two weeks after treatment, the FECR values
were 100% in G-1, 96% in G-2 and 99% in G-3; the PHR values were 100% in G-1, 75%
in G-2 and 88% in G-3. A strongyle ERP of 6 weeks was observed in G-1, ERP of 10
weeks was observed in G-2, and ERP of 16 weeks was observed in G-3. The counts of
eggs per gram of feces (EPG) were > 300 EPG in G-1 and G-2 but remained below 250
EPG in G-3 throughout the observation period of 12 months. CONCLUSIONS: These
results suggest that horse strongyle infection could be decreased by combining
rotational pasturing with feeding pellets containing the spores of parasiticidal
fungi.
PMID- 29650056
TI - Pancreatoduodenectomy with or without prophylactic falciform ligament wrap around
the gastroduodenal artery stump for prevention of pancreatectomy hemorrhage.
AB - BACKGROUND: The purpose of this study is to evaluate whether wrapping of the
pedicled falciform ligamentum flap around the gastroduodenal artery (GDA)
stump/hepatic artery can significantly decrease the incidence of erosion
hemorrhage after pancreatoduodenectomy (PD). METHODS/DESIGN: This is a randomized
controlled multicenter trial involving 400 patients undergoing PD. Patients will
be randomized into two groups. The intervention group consists of 200 patients
with a prophylactic wrapping of the GDA stump using the pedicled falciform
ligament. The control group consists of 200 patients without the wrap. The
primary endpoint is the rate of postoperative erosion hemorrhage of the GDA stump
or hepatic artery within 3 months. The secondary endpoints are postpancreatectomy
hemorrhage stratified according to the texture of the pancreas, postoperative
pancreatic fistula (POPF), postoperative rate of therapeutic interventions,
morbidity, and mortality. DISCUSSION: Only few retrospective studies investigated
the effectiveness of a falciform ligament wrap around the GDA for prevention of
erosion hemorrhage. Erosion hemorrhage occurs in up to 6-9% of cases after PD and
is most frequently evoked by a POPF. Erosion hemorrhage is associated with a
remarkable mortality of over 30%. The rate of hemorrhage after performing the
wrap is reported to be low. However, there exist no prospectively controlled data
to support its general use. Therefore, the presented randomized controlled trial
will provide clinically relevant evidence of the effectiveness of the wrap with
statistical significance. TRIAL REGISTRATION: clinicaltrials.gov, NCT02588066 ;
Registered on 27 October 2015.
PMID- 29650049
TI - Variation in general supportive and preventive intensive care management of
traumatic brain injury: a survey in 66 neurotrauma centers participating in the
Collaborative European NeuroTrauma Effectiveness Research in Traumatic Brain
Injury (CENTER-TBI) study.
AB - BACKGROUND: General supportive and preventive measures in the intensive care
management of traumatic brain injury (TBI) aim to prevent or limit secondary
brain injury and optimize recovery. The aim of this survey was to assess and
quantify variation in perceptions on intensive care unit (ICU) management of
patients with TBI in European neurotrauma centers. METHODS: We performed a survey
as part of the Collaborative European NeuroTrauma Effectiveness Research in
Traumatic Brain Injury (CENTER-TBI) study. We analyzed 23 questions focused on:
1) circulatory and respiratory management; 2) fever control; 3) use of
corticosteroids; 4) nutrition and glucose management; and 5) seizure prophylaxis
and treatment. RESULTS: The survey was completed predominantly by intensivists (n
= 33, 50%) and neurosurgeons (n = 23, 35%) from 66 centers (97% response rate).
The most common cerebral perfusion pressure (CPP) target was > 60 mmHg (n = 39,
60%) and/or an individualized target (n = 25, 38%). To support CPP, crystalloid
fluid loading (n = 60, 91%) was generally preferred over albumin (n = 15, 23%),
and vasopressors (n = 63, 96%) over inotropes (n = 29, 44%). The most commonly
reported target of partial pressure of carbon dioxide in arterial blood (PaCO2)
was 36-40 mmHg (4.8-5.3 kPa) in case of controlled intracranial pressure (ICP) <
20 mmHg (n = 45, 69%) and PaCO2 target of 30-35 mmHg (4-4.7 kPa) in case of
raised ICP (n = 40, 62%). Almost all respondents indicated to generally treat
fever (n = 65, 98%) with paracetamol (n = 61, 92%) and/or external cooling (n =
49, 74%). Conventional glucose management (n = 43, 66%) was preferred over tight
glycemic control (n = 18, 28%). More than half of the respondents indicated to
aim for full caloric replacement within 7 days (n = 43, 66%) using enteral
nutrition (n = 60, 92%). Indications for and duration of seizure prophylaxis
varied, and levetiracetam was mostly reported as the agent of choice for both
seizure prophylaxis (n = 32, 49%) and treatment (n = 40, 61%). CONCLUSIONS:
Practice preferences vary substantially regarding general supportive and
preventive measures in TBI patients at ICUs of European neurotrauma centers.
These results provide an opportunity for future comparative effectiveness
research, since a more evidence-based uniformity in good practices in general ICU
management could have a major impact on TBI outcome.
PMID- 29650058
TI - Roles of instrumented farm-scale trials in trade-off assessments of pasture-based
ruminant production systems.
AB - For livestock production systems to play a positive role in global food security,
the balance between their benefits and disbenefits to society must be
appropriately managed. Based on the evidence provided by field-scale randomised
controlled trials around the world, this debate has traditionally centred on the
concept of economic-environmental trade-offs, of which existence is theoretically
assured when resource allocation is perfect on the farm. Recent research
conducted on commercial farms indicates, however, that the economic-environmental
nexus is not nearly as straightforward in the real world, with environmental
performances of enterprises often positively correlated with their economic
profitability. Using high-resolution primary data from the North Wyke Farm
Platform, an intensively instrumented farm-scale ruminant research facility
located in southwest United Kingdom, this paper proposes a novel, information
driven approach to carry out comprehensive assessments of economic-environmental
trade-offs inherent within pasture-based cattle and sheep production systems. The
results of a data-mining exercise suggest that a potentially systematic
interaction exists between 'soil health', ecological surroundings and livestock
grazing, whereby a higher level of soil organic carbon (SOC) stock is associated
with a better animal performance and less nutrient losses into watercourses, and
a higher stocking density with greater botanical diversity and elevated SOC. We
contend that a combination of farming system-wide trials and environmental
instrumentation provides an ideal setting for enrolling scientifically sound and
biologically informative metrics for agricultural sustainability, through which
agricultural producers could obtain guidance to manage soils, water, pasture and
livestock in an economically and environmentally acceptable manner. Priority
areas for future farm-scale research to ensure long-term sustainability are also
discussed.
PMID- 29650059
TI - Labyrinthitis Ossificans in a Cynomolgus Macaque (Macaca fascicularis).
AB - Labyrinthitis is inflammation of the membranous and bony labyrinth of the inner
ear. Typical portals of entry includehematogenous spread from the cochlear
vasculature, passage of otitis media pathogens through the round window, and
mostcommonly, meningogenic spread from the subarachnoid space. The sequela of
chronic inner ear inflammation is labyrinthitisossificans, in which inner ear
structures are replaced by fibrous and osseous tissues. Labyrinthitis in humans
has been reportedconcurrently with infection due to various viruses (for example,
varicella-zoster, measles, mumps) and bacteria (for example,Treponema pallidum,
Streptococcus pneumoniae) and may be associated with vertebrobasilar ischemia and
meningitis. Profoundsensorineural hearing loss is a common, serious complication
of this disease. Here, we report a case of labyrinthitisossificans in a
cynomolgus macaque (Macaca fascicularis) with a potential infectious etiology.
Historically, this animal hadan indwelling femoral intravenous catheter for more
than 4 y. He presented with a right-sided head tilt and incoordinationof 2 mo
duration. The macaque was treated with NSAID and antibiotics, which corrected the
incoordination but not the headtilt. MRI revealed right-sided labyrinthitis, and
euthanasia was elected due to clinical signs that were refractory to
treatment.Gross pathology was unremarkable, but histopathology revealed chronic
labyrinthitis ossificans with local fibroplasia andvestibuloauditory neuritis. We
describe here the clinical features, imaging, and histologic lesions of
labyrinthitis in a macaque.
PMID- 29650061
TI - Comparison of sperm motility subpopulation structure among wild anadromous and
farmed male Atlantic salmon (Salmo salar) parr using a CASA system.
AB - Atlantic salmon (Salmo salar) is an endangered freshwater species that needs help
to recover its wild stocks. However, the priority in aquaculture is to obtain
successful fertilisation and genetic variability to secure the revival of the
species. The aims of the present work were to study sperm subpopulation structure
and motility patterns in wild anadromous males and farmed male Atlantic salmon
parr. Salmon sperm samples were collected from wild anadromous salmon (WS) and
two generations of farmed parr males. Sperm samples were collected from sexually
mature males and sperm motility was analysed at different times after activation
(5 and 35s). Differences among the three groups were analysed using statistical
techniques based on Cluster analysis the Bayesian method. Atlantic salmon were
found to have three sperm subpopulations, and the spermatozoa in ejaculates of
mature farmed parr males had a higher velocity and larger size than those of WS
males. This could be an adaptation to high sperm competition because salmonid
species are naturally adapted to this process. Motility analysis enables us to
identify sperm subpopulations, and it may be useful to correlate these sperm
subpopulations with fertilisation ability to test whether faster-swimming
spermatozoa have a higher probability of success.
PMID- 29650060
TI - Gastrostomy versus nasogastric tube feeding for chemoradiation patients with head
and neck cancer: the TUBE pilot RCT.
AB - BACKGROUND: Approximately 9000 new cases of head and neck squamous cell cancers
(HNSCCs) are treated by the NHS each year. Chemoradiation therapy (CRT) is a
commonly used treatment for advanced HNSCC. Approximately 90% of patients
undergoing CRT require nutritional support via gastrostomy or nasogastric tube
feeding. Long-term dysphagia following CRT is a primary concern for patients. The
effect of enteral feeding routes on swallowing function is not well understood,
and the two feeding methods have, to date (at the time of writing), not been
compared. The aim of this pilot randomised controlled trial (RCT) was to compare
these two options. METHODS: This was a mixed-methods multicentre study to
establish the feasibility of a RCT comparing oral feeding plus pre-treatment
gastrostomy with oral feeding plus as-required nasogastric tube feeding in
patients with HNSCC. Patients were recruited from four tertiary centres treating
cancer and randomised to the two arms of the study (using a 1 : 1 ratio). The
eligibility criteria were patients with advanced-staged HNSCC who were suitable
for primary CRT with curative intent and who presented with no swallowing
problems. MAIN OUTCOME MEASURES: The primary outcome was the willingness to be
randomised. A qualitative process evaluation was conducted alongside an economic
modelling exercise. The criteria for progression to a Phase III trial were based
on a hypothesised recruitment rate of at least 50%, collection of outcome
measures in at least 80% of those recruited and an economic value-of-information
analysis for cost-effectiveness. RESULTS: Of the 75 patients approached about the
trial, only 17 consented to be randomised [0.23, 95% confidence interval (CI)
0.13 to 0.32]. Among those who were randomised, the compliance rate was high
(0.94, 95% CI 0.83 to 1.05). Retention rates were high at completion of treatment
(0.94, 95% CI 0.83 to 1.05), at the 3-month follow-up (0.88, 95% CI 0.73 to 1.04)
and at the 6-month follow-up (0.88, 95% CI 0.73 to 1.04). No serious adverse
events were recorded in relation to the trial. The qualitative substudy
identified several factors that had an impact on recruitment, many of which are
amenable to change. These included organisational factors, changing cancer
treatments and patient and clinician preferences. A key reason for the
differential recruitment between sites was the degree to which the
multidisciplinary team gave a consistent demonstration of equipoise at all
patient interactions at which supplementary feeding was discussed. An exploratory
economic model generated from published evidence and expert opinion suggests
that, over the 6-month model time horizon, pre-treatment gastrostomy tube feeding
is not a cost-effective option, although this should be interpreted with caution
and we recommend that this should not form the basis for policy. The economic
value-of-information analysis indicates that additional research to eliminate
uncertainty around model parameters is highly likely to be cost-effective. STUDY
LIMITATIONS: The recruitment issues identified for this cohort may not be
applicable to other populations undergoing CRT. There remains substantial
uncertainty in the economic evaluation. CONCLUSIONS: The trial did not meet one
of the three criteria for progression, as the recruitment rate was lower than
hypothesised. Once patients were recruited to the trial, compliance and retention
in the trial were both high. The implementation of organisational and operational
measures can increase the numbers recruited. The economic analysis suggests that
further research in this area is likely to be cost-effective. FUTURE WORK: The
implementation of organisational and operational measures can increase
recruitment. The appropriate research question and design of a future study needs
to be identified. More work is needed to understand the experiences of
nasogastric tube feeding in patients undergoing CRT. TRIAL REGISTRATION: Current
Controlled Trials ISRCTN48569216. FUNDING: This project was funded by the
National Institute for Health Research (NIHR) Health Technology Assessment
programme and will be published in full in Health Technology Assessment; Vol. 22,
No. 16. See the NIHR Journals Library website for further project information.
PMID- 29650062
TI - Fish sperm motility analysis: the central role of the flagellum.
AB - Motility analysis of spermatozoa relies on the investigation of either head
trajectories or flagellum characteristics. Those two sets of parameters are far
from being independent, the flagellum playing the role of motor, whereas the head
plays a passive role of cargo. Therefore, quantitative descriptions of head
trajectories represent a simplification of the complex pattern of whole sperm
cell motion, resulting from the waves developed by the flagellum. The flagellum
itself responds to a large variety of signals that precisely control its axoneme
to allow activation, acceleration, slowing down or reorientation of the whole
spermatozoon. Thus, it is obvious that analysis of flagellum characteristics
provides information on the original source of movement and orientation of the
sperm cell and presents additional parameters that enrich the panoply of
quantitative descriptors of sperm motility. In this review, we briefly describe
the methodologies used to obtain good-quality images of fish spermatozoa (head
and especially flagellum) while they move fast and the methods developed for
their analysis. The paper also aims to establish a link between classical
analyses by computer-aided sperm analysis (CASA) and the descriptors generated by
fish sperm flagellum analysis, and emphasises the information to be gained
regarding motility performance from flagellum motion data.
PMID- 29650063
TI - The Enduring Value of the Physical Examination.
AB - This article focuses exclusively on physical examination (PE) in the context of
clinical medicine, that is, the interaction between a health care provider and
patient. In essence, there is not only benefit (value) to PE but also that it
will last (endure) for some time. Both "enduring" and "value" are explored in
more depth with respect to the future integration of PE into the clinical
assessment of a patient and how its value extends well beyond current
diagnostic/cost-based metrics.
PMID- 29650064
TI - The Physical Examination as Ritual: Social Sciences and Embodiment in the Context
of the Physical Examination.
AB - The privilege of examining a patient is a skill of value beyond its diagnostic
utility. A thorough physical examination is an important ritual that benefits
patients and physicians. The concept of embodiment helps one understand how
illness and pain further define and shape the lived experiences of individuals in
the context of their race, gender, sexuality, and socioeconomic status.
Understanding ritual in medicine, including the placebo effects of such rituals,
reaffirms the centrality of the physical examination to the process of building
strong physician-patient relationships.
PMID- 29650065
TI - The Hypothesis-Driven Physical Examination.
AB - The physical examination remains a vital part of the clinical encounter. However,
physical examination skills have declined in recent years, in part because of
decreased time at the bedside. Many clinicians question the relevance of physical
examinations in the age of technology. A hypothesis-driven approach to teaching
and practicing the physical examination emphasizes the performance of maneuvers
that can alter the likelihood of disease. Likelihood ratios are diagnostic
weights that allow clinicians to estimate the post-probability of disease. This
hypothesis-driven approach to the physical examination increases its value and
efficiency, while preserving its cultural role in the patient-physician
relationship.
PMID- 29650066
TI - The Role of Technology in the Bedside Encounter.
AB - Technology has the potential to both distract and reconnect providers with their
patients. The widespread adoption of electronic medical records in recent years
pulls physicians away from time at the bedside. However, when used in conjunction
with patients, technology has the potential to bring patients and physicians
together. The increasing use of point-of-care ultrasound by physicians is
changing the bedside encounter by allowing for real-time diagnosis with the
treating physician. It is a powerful example of the way technology can be a force
for refocusing on the bedside encounter.
PMID- 29650067
TI - Diagnostic Errors and the Bedside Clinical Examination.
AB - Diagnostic errors are common in clinical practice and lead to adverse patient
outcomes. Systematic reviews have shown that inadequate history taking and
physical examination lead to a plurality, if not a majority, of diagnostic
errors. Recent advances in cognitive science have also shown that unconscious
biases likely contribute to many diagnostic errors. Research into diagnostic
error has been hampered by methodologic inconsistency and a paucity of studies in
real-world clinical settings. The best evidence indicates that educational
interventions to reduce diagnostic error should give physicians feedback about
clinical outcomes and enhance their ability to recognize signs and symptoms of
specific diseases at the bedside.
PMID- 29650068
TI - The Outpatient Physical Examination.
AB - The physical examination in the outpatient setting is a valuable tool. Even in
settings where there is lack of evidence, such as the annual physical examination
of an asymptomatic adult, the physical examination is beneficial for the
physician-patient relationship. When a patient has specific symptoms, the
physical examination-in addition to a thorough history-can help narrow down, or
in many cases establish, a diagnosis. In a time where imaging and laboratory
tests are easily available, but are expensive and can be invasive, a skilled
physical examination remains an important component of patient evaluation.
PMID- 29650069
TI - The Electronic Health Record and the Clinical Examination.
AB - This review examines how the adoption of the electronic health record (EHR) has
changed the most fundamental unit of medicine: the clinical examination. The
impact of the EHR on the clinical history, physical examination, documentation,
and the doctor-patient relationship is described. The EHR now has a dominant role
in clinical care and will be a central factor in clinical work of the future.
Conversation needs to be shifted toward defining best practices with current EHRs
inside and outside of the examination room.
PMID- 29650070
TI - Communication and Ethics in the Clinical Examination.
AB - At the heart of every effective patient-physician interaction is a relationship
that is built on trust. Cultivating sound communication skills coupled with the
awareness and application of ethical principles is integral to this process. One
of the foremost challenges in competent practice is negotiating situations that
arise at the bedside when such issues as patient autonomy, differing world views,
honesty, and cost stewardship come into conflict. It is essential for health care
providers to consider how to detect and prioritize these issues as they advocate
for high-quality and patient-centered care.
PMID- 29650071
TI - Improving Observational Skills to Enhance the Clinical Examination.
AB - For much of the 20th century, educators lacked evidence that teaching
observational skills could benefit modern medicine. But in 2001, a statistical
model emerged that supported the effectiveness of teaching observational skills
to medical students using a museum-based curriculum. The story that led to that
ground-breaking study, and the consequences that sprung from it, is retold here,
traveling from the darkened caves in the foothills of France to the brightly lit
galleries of the Yale center for British art. It never would have happened
without the indelible mark made by one curious man's journey.
PMID- 29650072
TI - Patient-Centered Bedside Rounds and the Clinical Examination.
AB - Bedside hospital rounds promote patient-centered care in teaching and nonteaching
settings. Patients and families prefer bedside rounds and provider acceptance is
increasing. Efficient bedside rounds with an interprofessional team or with
learners requires preparation of the patient and the rounding team. Bedside
"choreography" provides structure and sets expectations for time spent in the
room. By using relationship-centered communication, rounds can be both patient
proximate and patient centered. The clinical examination can be integrated into
the flow of the presentation and case discussion. Patient and provider experience
can be enhanced through investing time at the bedside.
PMID- 29650073
TI - The Clinical Examination and Socially At-Risk Populations: The Examination
Matters for Health Disparities.
AB - Data from the United States show that persons from low socioeconomic backgrounds,
those who are socially isolated, belong to racial or ethnic minority groups, or
identify as lesbian, gay, bisexual, or transgender experience health disparities
at a higher rate. Clinicians must transition from a biomedical to a
biopsychosocial framework within the clinical examination to better address
social determinants of health that contribute to health disparities. We review
the characteristics of successful patient-clinician interactions. We describe
strategies for relationship-centered care within routine encounters. Our goal is
to train clinicians to mitigate differences and reduce disparities in health care
delivery.
PMID- 29650074
TI - Clinical Examination Component of Telemedicine, Telehealth, mHealth, and
Connected Health Medical Practices.
AB - Telemedicine and telehealth are the practices of medicine at a distance.
Performing the equivalent of a complete clinical examination by telemedicine
would be unusual. However, components of a more traditional clinical examination
are part of the telemedicine workup for specific conditions. Telemedicine
clinical examinations are facilitated, and enhanced, through the integration of a
class of medical devices referred to as telemedicine peripherals (eg, electronic
stethoscopes, tele-ophthalmoscopes, video-otoscopes, and so forth). Direct-to
consumer telehealth is a rapidly expanding segment of the health care service
industry.
PMID- 29650075
TI - Clinical Skills Assessment in the Twenty-First Century.
AB - Clinical skills remain fundamental to the practice of medicine and form a core
component of the professional identity of the physician. However, evidence exists
to suggest that the practice of some clinical skills is declining, particularly
in the United States. A decline in practice of any skill can lead to a decline in
its teaching and assessment, with further decline in practice as a result.
Consequently, assessment not only drives learning of clinical skills, but their
practice. This article summarizes contemporary approaches to clinical skills
assessment that, if more widely adopted, could support the maintenance and
reinvigoration of bedside clinical skills.
PMID- 29650076
TI - Digital Tools to Enhance Clinical Reasoning.
AB - Physicians can improve their diagnostic acumen by adopting a simulation-based
approach to analyzing published cases. The tight coupling of clinical problems
and their solutions affords physicians the opportunity to efficiently upgrade
their illness scripts (structured knowledge of a specific disease) and schemas
(structured frameworks for common problems). The more times clinicians practice
accessing and applying those knowledge structures through published cases, the
greater the odds that they will have an enhanced approach to similar patient
cases in the future. This article highlights digital resources that increase the
number of cases a clinician experiences and learns from.
PMID- 29650077
TI - Recapturing the Lost Art.
PMID- 29650078
TI - The Clinical Examination in Twenty-First Century Medicine.
PMID- 29650079
TI - Introduction.
PMID- 29650080
TI - Definition, Classification and Diagnosis of Diabetes, Prediabetes and Metabolic
Syndrome.
PMID- 29650081
TI - Hypoglycemia.
PMID- 29650082
TI - Hyperglycemic Emergencies in Adults.
PMID- 29650083
TI - In-Hospital Management of Diabetes.
PMID- 29650084
TI - Weight Management in Diabetes.
PMID- 29650085
TI - Diabetes and Mental Health.
PMID- 29650086
TI - Influenza, Pneumococcal, Hepatitis B and Herpes Zoster Vaccinations.
PMID- 29650087
TI - Diabetes and Transplantation.
PMID- 29650088
TI - Diabetes and Driving.
PMID- 29650089
TI - Complementary and Alternative Medicine for Diabetes.
PMID- 29650090
TI - Screening for Diabetes in Adults.
PMID- 29650091
TI - Cardiovascular Protection in People With Diabetes.
PMID- 29650092
TI - Screening for the Presence of Cardiovascular Disease.
PMID- 29650093
TI - Dyslipidemia.
PMID- 29650094
TI - Treatment of Hypertension.
PMID- 29650095
TI - Management of Acute Coronary Syndromes.
PMID- 29650096
TI - Treatment of Diabetes in People With Heart Failure.
PMID- 29650097
TI - Reducing the Risk of Developing Diabetes.
PMID- 29650098
TI - Chronic Kidney Disease in Diabetes.
PMID- 29650099
TI - Retinopathy.
PMID- 29650100
TI - Neuropathy.
PMID- 29650101
TI - Foot Care.
PMID- 29650102
TI - Sexual Dysfunction and Hypogonadism in Men With Diabetes.
PMID- 29650103
TI - Type 1 Diabetes in Children and Adolescents.
PMID- 29650104
TI - Type 2 Diabetes in Children and Adolescents.
PMID- 29650105
TI - Diabetes and Pregnancy.
PMID- 29650106
TI - Organization of Diabetes Care.
PMID- 29650107
TI - Diabetes in Older People.
PMID- 29650108
TI - Type 2 Diabetes and Indigenous Peoples.
PMID- 29650109
TI - Self-Management Education and Support.
PMID- 29650110
TI - Targets for Glycemic Control.
PMID- 29650111
TI - Monitoring Glycemic Control.
PMID- 29650112
TI - Physical Activity and Diabetes.
PMID- 29650113
TI - Methods.
PMID- 29650114
TI - Nutrition Therapy.
PMID- 29650115
TI - Glycemic Management in Adults With Type 1 Diabetes.
PMID- 29650116
TI - Pharmacologic Glycemic Management of Type 2 Diabetes in Adults.
PMID- 29650117
TI - Implementation of Transcatheter Aortic Valve Replacement in France.
AB - BACKGROUND: Transcatheter aortic valve replacement (TAVR) has emerged as an
alternative to surgical aortic valve replacement (SAVR), but unbiased data
regarding evolution of the treatment of patients with aortic stenosis at the
nationwide level are scarce. OBJECTIVES: This study sought to evaluate the number
of aortic valve replacements (AVRs) performed in France, changes over time, and
the effect of the adoption of TAVR. METHODS: Based on a French administrative
hospital-discharge database, the study collected all consecutive AVRs performed
in France between 2007 and 2015. RESULTS: A total of 131,251 interventions were
performed: 109,317 (83%) SAVR and 21,934 (17%) TAVR. AVR linearly increased (from
10,892 to 18,704; p for trend <0.0001) mainly due to a marked increase in TAVR
(from 244 to 6,722; p for trend = 0.0004), whereas SAVR remained stable (from
10,892 to 11,982; p for trend = 0.18). Parallel to a decrease in the Charlson
index (p for trend <0.05), SAVR and TAVR in-hospital mortality rates
significantly declined (both p for trend <0.01). The number of TAVRs
significantly increased in all age categories (<75, 75 to 79, 80 to 84, and >=85
years of age; all p for trend = 0.003), but reached or even exceeded SAVR in the
2 oldest categories. Although mortality rates declined for both isolated SAVR and
TAVR, it became similar or slightly lower for TAVR than for isolated SAVR in 2015
in the 3 oldest age categories even if it did not reach statistical significance
(p = 0.66, p = 0.47, and p = 0.06, respectively). CONCLUSIONS: The number of AVRs
markedly increased in France between 2007 and 2015 due to the wide adoption of
TAVR, which represented one-third of all AVRs in 2015. Patients' profile
improved, suggesting that patients are referred earlier, and in-hospital
mortality declined in all AVR subsets. Despite a worse clinical profile, the
immediate outcome of TAVR compared favorably to isolated SAVR in patients >75
years of age. The results may have major implications for clinical practice and
policymakers.
PMID- 29650118
TI - Interpreting National Trends in Aortic Valve Replacement: Let the Buyer Beware.
PMID- 29650119
TI - Assessing the Malignant Ventricular Arrhythmic Substrate in Patients With Brugada
Syndrome.
AB - BACKGROUND: Guidelines recommend the use of implanted cardioverter-defibrillators
in patients with Brugada syndrome and induced ventricular tachyarrhythmias, but
there is no evidence supporting it. OBJECTIVES: This prospective registry study
was designed to explore clinical and electrophysiological predictors of malignant
ventricular tachyarrhythmia inducibility in Brugada syndrome. METHODS: A total of
191 consecutive selected patients with (group 1; n = 88) and without (group 2; n
= 103) Brugada syndrome-related symptoms were prospectively enrolled in the
registry. Patients underwent electrophysiological study and substrate mapping or
ablation before and after ajmaline testing (1 mg/kg/5 min). RESULTS: Overall,
before ajmaline testing, 53.4% of patients had ventricular tachyarrhythmia
inducibility, which was more frequent in group 1 (65.9%) than in group 2 (42.7%;
p < 0.001). Regardless of clinical presentation, larger substrates with more
fragmented long-duration ventricular potentials were found in patients with
inducible arrhythmias than in patients without inducible arrhythmias (p < 0.001).
One extrastimulus was used in more extensive substrates (median 13 cm2; p <
0.001), and ventricular fibrillation was the more frequently induced rhythm (p <
0.001). After ajmaline, patients without arrhythmia inducibility had arrhythmia
inducibility without a difference in substrate characteristics between the 2
groups. The substrate size was the only independent predictor of inducibility
(odds ratio: 4.51; 95% confidence interval: 2.51 to 8.09; p < 0.001). A substrate
size of 4 cm2 best identified patients with inducible arrhythmias (area under the
curve: 0.98; p < 0.001). Substrate ablation prevented ventricular tachyarrhythmia
reinducibility. CONCLUSIONS: In Brugada syndrome dynamic substrate variability
represents the pathophysiological basis of lethal ventricular tachyarrhythmias.
Substrate size is independently associated with arrhythmia inducibility, and its
determination after ajmaline identifies high-risk patients missed by clinical
criteria. Substrate ablation is associated with electrocardiogram normalization
and not arrhythmia reinducibility. (Epicardial Ablation in Brugada Syndrome
[BRUGADA_I]; NCT02641431; Epicardial Ablation in Brugada Syndrome: An Extension
Study of 200 BrS Patients; NCT03106701).
PMID- 29650120
TI - Programmed Ventricular Stimulation and Brugada Syndrome: New Insights, Old
Controversies.
PMID- 29650121
TI - Prediction of Abnormal Myocardial Relaxation From Signal Processed Surface ECG.
AB - BACKGROUND: Myocardial relaxation is impaired in almost all cases with left
ventricular diastolic dysfunction (LVDD) and is a strong predictor of
cardiovascular and all-cause mortality. OBJECTIVES: This study investigated the
feasibility of signal-processed surface electrocardiography (spECG) as a
diagnostic tool for predicting the presence of abnormal cardiac muscle
relaxation. METHODS: A total of 188 outpatients referred for coronary computed
tomography (CT) angiography underwent an echocardiogram for assessment of LVDD.
The use of 12-lead spECG for predicting myocardial relaxation abnormalities as
identified using tissue Doppler echocardiography was validated with machine
learning approaches. RESULTS: A total of 188 subjects underwent diagnostic
testing, with 133 (70%) showing abnormal myocardial relaxation on tissue Doppler
imaging. A 12-lead spECG showed an area under the curve of 91% (95% confidence
interval: 86% to 95%) for prediction of abnormal myocardial mechanical relaxation
with a sensitivity and specificity of 80% and 84%, respectively. The spECG
demonstrated more accurate diagnostic performance in individuals age >=60 years
as well as those with obesity or hypertension, compared with their respective
counterparts. Prediction of low early diastolic relaxation velocity (e') also
correctly identified concomitant significant underlying coronary artery disease
in 23 of 28 cases (82%). Furthermore, a superior integrated discrimination and
net reclassification improvement was observed for spECG over clinical features
and traditional ECG. CONCLUSIONS: The spECG provides a robust prediction of
abnormal myocardial relaxation. These data suggest a potential role for spECG as
a novel screening strategy for identifying patients at risk for LVDD who would
benefit undergoing echocardiographic evaluations.
PMID- 29650122
TI - Machine Learning for Electrocardiographic Diagnosis of Left Ventricular Early
Diastolic Dysfunction.
PMID- 29650124
TI - Phenotypic Landscape and Risk Management in Long QT Syndrome: Nudging Forward.
PMID- 29650123
TI - Interplay Between Genetic Substrate, QTc Duration, and Arrhythmia Risk in
Patients With Long QT Syndrome.
AB - BACKGROUND: Long QT syndrome (LQTS) is a common inheritable arrhythmogenic
disorder, often secondary to mutations in the KCNQ1, KCNH2, and SCN5A genes. The
disease is characterized by a prolonged ventricular repolarization (QTc interval)
that confers susceptibility to life-threatening arrhythmic events (LAEs).
OBJECTIVES: This study sought to create an evidence-based risk stratification
scheme to personalize the quantification of the arrhythmic risk in patients with
LQTS. METHODS: Data from 1,710 patients with LQTS followed up for a median of 7.1
years (interquartile range [IQR]: 2.7 to 13.4 years) were analyzed to estimate
the 5-year risk of LAEs based on QTc duration and genotype and to assess the
antiarrhythmic efficacy of beta-blockers. RESULTS: The relationship between QTc
duration and risk of events was investigated by comparison of linear and cubic
spline models, and the linear model provided the best fit. The 5-year risk of
LAEs while patients were off therapy was then calculated in a multivariable Cox
model with QTc and genotype considered as independent factors. The estimated risk
of LAEs increased by 15% for every 10-ms increment of QTc duration for all
genotypes. Intergenotype comparison showed that the risk for patients with LQT2
and LQT3 increased by 130% and 157% at any QTc duration versus patients with
LQT1. Analysis of response to beta-blockers showed that only nadolol reduced the
arrhythmic risk in all genotypes significantly compared with no therapy (hazard
ratio: 0.38; 95% confidence interval: 0.15 to 0.93; p = 0.03). CONCLUSIONS: The
study provides an estimator of risk of LAEs in LQTS that allows a granular
estimate of 5-year arrhythmic risk and demonstrate the superiority of nadolol in
reducing the risk of LAEs in LQTS.
PMID- 29650125
TI - Restenosis, Stent Thrombosis, and Bleeding Complications: Navigating Between
Scylla and Charybdis.
AB - The field of interventional cardiology has significantly evolved over 40 years by
overcoming several challenges. The introduction of first-generation drug-eluting
stents significantly reduced the rates of restenosis, but at the expense of an
increase of late stent thrombosis. Prolonged antithrombotic therapy reduced rates
of stent thrombosis, but at the cost of increased bleeding. Although the advent
of second-generation drug-eluting stents subsequently reduced the incidence of
late stent thrombosis, its permanent nature prevents full recovery of vascular
structure and function with accordant risk of very late stent failure. In the
present era of interventional cardiology, the tradeoff between stent thrombosis,
restenosis, and bleeding presents as a particularly complex challenge. In this
review, the authors highlight major contributors of late/very late stent
thrombosis while targeting stent restenosis, and they discuss evolutionary
advances in stent technology and antiplatelet therapy, to further improve upon
the care of patients with coronary artery disease.
PMID- 29650126
TI - Myocardial Interstitial Fibrosis in Heart Failure: Biological and Translational
Perspectives.
AB - Myocardial interstitial fibrosis contributes to left ventricular dysfunction
leading to the development of heart failure. Basic research has provided abundant
evidence for the cellular and molecular mechanisms behind this lesion and the
pathways by which it imparts a detrimental impact on cardiac function.
Translation of this knowledge, however, to improved diagnostics and therapeutics
for patients with heart failure has not been as robust. This is partly related to
the paucity of biomarkers to accurately identify myocardial interstitial fibrosis
and to the lack of personalized antifibrotic strategies to treat it in an
effective manner. This paper summarizes current knowledge of the mechanisms and
detrimental consequences of myocardial interstitial fibrosis, discusses the
potential of circulating and imaging biomarkers available to recognize different
phenotypes of this lesion and track their clinical evolution, and reviews the
currently available and potential future therapies that allow its individualized
management in heart failure patients.
PMID- 29650127
TI - Fondaparinux and Direct Oral Anticoagulants: Promising Anticoagulant for
Management of Heparin-Induced Thrombocytopenia.
PMID- 29650128
TI - Reply: Fondaparinux and Direct Oral Anticoagulants: Promising Anticoagulant for
Management of Heparin-Induced Thrombocytopenia.
PMID- 29650129
TI - Correction.
PMID- 29650130
TI - Effects of Single-Session Group Mantra-meditation on Salivary Immunoglobulin A
and Affective State: A Psychoneuroimmunology Viewpoint.
AB - OBJECTIVES: Based on existing psychoneuroimmunological insights, the present
study aimed at investigating possible effects of a single-session group mantra
meditation on salivary immunoglobulin A (s-IgA) and affective states. MATERIALS
AND METHODS: A controlled pretest-posttest study enrolled 30 healthy women (mean
age 44 +/- 3 years) through a multi-stage random sampling method from yoga clubs
in Shiraz (Feb-Dec, 2016). Subjects were randomly assigned to experimental (n =
15) and control (n = 15) groups. Participants in both the groups attended a
structured introductory lecture about mantra-meditation after which those in the
experimental group meditated for 20min. Saliva samples were collected after the
intervention, and the participants' affective states were examined by a qualified
clinical psychologist blinded to the intervention using the positive and negative
affect schedule questionnaire at sequential time-points, i.e., baseline, post
meditation, and one hour later. Similar assessments were done for the control
group subjects. The enzyme-linked immunosorbent assay was used to test saliva
samples for the IgA titer. The s-IgA and the positive and negative affect
schedule (PANAS) test results were statistically evaluated using an analysis of
variance. RESULT: The mean s-IgA titer in the experimental group at 'post
meditation' and '1-hour later' time-points were found to be statistically
different from those of the control group (P < .05). In addition, results
indicated a significant change in affect among experimental group subjects as
compared to controls (P < .05). CONCLUSION: Our findings suggest that "group
mantra-meditation" training even for a single session may positively influence
some immunological components and improve affective states. As a simple and low
cost psychoneurobehavioral intervention, this method may offer mental-health
benefits at nursing homes as well as group-therapies.
PMID- 29650131
TI - Current Staging Strategies for Muscle-Invasive Bladder Cancer and Upper Tract
Urothelial Cell Carcinoma.
AB - Please note, the synopsis was used from your supplied original manuscript, and
not the accompanying abstract, per journal style: This article discusses current
staging strategies in muscle-invasive bladder cancer and upper tract urothelial
cell carcinoma. Current staging methods can help identify patients with localized
or metastatic disease but are subject to limitations which must be considered.
PMID- 29650132
TI - Optimal Timing of Chemotherapy and Surgery in Patients with Muscle-Invasive
Bladder Cancer and Upper Urinary Tract Urothelial Carcinoma.
AB - Radical cystectomy with bilateral pelvic lymph node dissection is the standard of
care for patients with clinically localized muscle-invasive bladder cancer.
Survival after radical cystectomy is associated with final pathologic staging.
Survival decreases with increasing pT stage because of the presence of occult
micrometastases, indicating the need for systemic chemotherapy. Systemic
chemotherapy is delivered as either neoadjuvant therapy preoperatively, or as
adjuvant therapy postoperatively. This article reviews the evidence for
neoadjuvant and adjuvant chemotherapy for the treatment of muscle-invasive
bladder and upper tract urothelial cancer and offers recommendations based on
these data and recently updated clinical guidelines.
PMID- 29650133
TI - Contemporary Preoperative and Intraoperative Management of the Radical Cystectomy
Patient.
AB - Radical cystectomy is a morbid procedure performed on an aging and often frail
population. Nonetheless, advances in preoperative and intraoperative management
have significantly improved patient outcomes. Preoperative optimization includes
a focus on patient education, risk factor reduction, risk stratification,
nutritional optimization, and bowel motility enhancement. Intraoperative
optimization focuses on maintaining normothermia, restrictive fluid
administration, minimization of blood transfusions, and nonopioid pain
management.
PMID- 29650134
TI - Robotic Cystectomy.
AB - Retrospective observational studies support the utility of robotic-assisted
radical cystectomy (RARC). Randomized controlled trials (RCTs) have shown that
RARC with extracorporeal urinary diversion may lead to decreased estimated blood
loss, decreased rate of transfusion, similar oncologic outcomes, cost
effectiveness, and variable increased operative times. Although RCTs comparing
RARC with open radical cystectomy are currently ongoing, it may be several years
before the utility of RARC is known. The discussion on the role of cystectomy,
indications, outcomes, care pathways, access to high-volume care centers, and
efforts to decrease complications may prove as important as the technique itself.
PMID- 29650135
TI - Robotic Nephroureterectomy.
AB - Upper tract urothelial carcinoma is a rare malignancy that has an abundance of
surgical treatment options, including open, laparoscopic, robotic, and endoscopic
approaches. As advances in technology allow for shorter, less morbid operations,
the variation in care of this uncommon disease has raised concerns about
compromising oncologic principles. Many institutions have described their
experience with promising results; however, there is a paucity of high-quality
data that supports the use of robotic surgery as a new gold standard. This
article describes how to perform the operation using a single-dock method and
reviews contemporary literature on perioperative and oncologic outcomes.
PMID- 29650136
TI - Role and Indications of Organ-Sparing "Radical" Cystectomy: The Importance of
Careful Patient Selection and Counseling.
AB - Organ-sparing cystectomy remains an operation for a highly selected patient
population that can offer similar oncologic outcomes but improved sexual function
in men and women. Occult prostate cancer in men may occur even with screening but
the majority is of clinical insignificance. Paramount to patient selection are
oncologic concerns, but preoperative sexual function, age, performance status,
and postoperative expectations must also be evaluated during patient selection.
Improved diagnostic and surveillance tools may facilitate and improve patient
selection in the future.
PMID- 29650137
TI - Lymphadenectomy for Muscle-Invasive Bladder Cancer and Upper Tract Urothelial
Cell Carcinoma.
AB - There are currently no reported randomized trials that characterize the staging
or therapeutic benefit of performing a lymph node dissection in either bladder
cancer or upper tract urothelial carcinoma. Several unanswered questions remain
in this domain focused on the indications and patient selection for pelvic lymph
node dissection, extent of dissection, its impact on outcome, and potential
risks. However, the results of observational studies suggest that the burden of
metastasis is high in both diseases when muscle invasive and performing a
lymphadenectomy can provide prognostic information and yield therapeutic benefit.
PMID- 29650138
TI - Enhanced Recovery After Surgery Pathways: Role and Outcomes in the Management of
Muscle Invasive Bladder Cancer.
AB - Radical cystectomy remains the gold standard therapy for the treatment of muscle
invasive urothelial carcinoma, yet is accompanied by significant rates of
perioperative complications and readmission. Enhanced recovery protocols aim to
apply evidence-based principles of care to ameliorate the morbidity of this
procedure by enabling better tolerance of and recovery from radical cystectomy.
Multiple patient series have demonstrated the capacity for enhanced-recovery
after-surgery (ERAS) principles to improve outcomes among patients undergoing
radical cystectomy through decreased incidence of gastrointestinal complications
and decreased length of hospitalization without increased readmissions or overall
morbidity. Opportunities remain for adoption of established ERAS principles.
PMID- 29650139
TI - Follow-up Management of Cystectomy Patients.
AB - Bladder cancer is the sixth leading cancer in the United States. Radical
cystectomy is a lifesaving procedure for bladder cancer with or without muscle
invasion. Radical cystectomy is performed on 39% of these patients, and 35% will
have a life-threatening recurrence. Distant metastases are the most common;
local, upper tract, and urethral recurrence can also occur. Surveillance after
cystectomy is critical to diagnosing recurrence early. Functional complications
after urinary diversion include bowel dysfunction, vitamin B12 deficiency,
acidosis, electrolyte abnormalities, osteopenia, nephrolithiasis, urinary tract
infections, renal functional decline, and urinary obstruction, which can be
reversed when diagnosed early.
PMID- 29650140
TI - Quality of Life After Radical Cystectomy.
AB - Approximately 1 in 5 new cases of clinically localized bladder cancer is muscle
invasive and requires the patient to choose from 1 of 2 prevailing options for
treatment: radical cystectomy or radiation to the bladder. However, these
treatments are associated with detrimental effects on patient well-being and
quality of life, particularly with respect to functional independence, urinary
and sexual function, social and emotional health, body image, and psychosocial
stress. Compared with the literature on other malignancies like breast or
prostate cancer, high-quality studies evaluating the effects of bladder cancer
treatment on quality of life are lacking.
PMID- 29650141
TI - Adjuvant Therapy in Muscle-Invasive Bladder Cancer and Upper Tract Urothelial
Carcinoma.
AB - This article summarizes the role of adjuvant chemotherapy in muscle-invasive and
transitional cell carcinoma of the bladder and upper urinary tract.
PMID- 29650142
TI - Endoscopic Approaches to Upper Tract Urothelial Carcinoma.
AB - A select group of patients with upper tract urothelial carcinoma (UTUC) may meet
indications for endoscopic management. Strategies for disease management are
provided, based on a comprehensive review of the data using PubMed and Medline
databases and marrying this with our experience with endoscopic management of
UTUC. Endoscopic management of UTUC via retrograde or antegrade approaches is a
viable treatment option for appropriately selected patients with low-risk UTUC,
including those with low-grade, low-volume, and solitary tumors. However,
recurrence risk limits these procedures to compliant patients under a vigilant
surveillance program. Efficacious adjuvant therapies are needed to reduce local
recurrences.
PMID- 29650143
TI - Perioperative Immunotherapy in Muscle-Invasive Bladder Cancer and Upper Tract
Urothelial Carcinoma.
AB - Neoadjuvant chemotherapy improves survival in patients with muscle-invasive
bladder cancer. However, a significant proportion of patients are ineligible for
cisplatin owing to renal impairment or other medical comorbidities. The
introduction of anti-programmed cell death protein 1/programmed death-ligand
1(PD1/PD-L1) checkpoint inhibitors has redefined the therapeutic landscape for
platinum-resistant urothelial cancers; their clinical efficacy and favorable
toxicity render these agents attractive therapeutic options either as monotherapy
or in combination with other agents in earlier disease states, including muscle
invasive disease. We review potential perioperative immunotherapy strategies,
ongoing clinical trials and areas of unmet needs, including upper tract disease
and non-urothelial cancers.
PMID- 29650144
TI - Erratum.
PMID- 29650145
TI - Current Management of Invasive Bladder and Upper Tract Urothelial Cancer.
PMID- 29650146
TI - Smelling the metal: Volatile organic compound emission under Zn excess in the
mint Tetradenia riparia.
AB - This work investigated the effect of Zn excess on growth, metal accumulation and
photosynthetic changes in Tetradenia riparia, in relation to possible variations
in the composition of the plant volatilome. Experiments were carried out in
hydroponics exposing plants to a range of Zn concentrations. Zinc excess
negatively affected plant growth in a dose-dependent manner. The metal was
accumulated proportionally to its concentration in the medium and preferentially
allocated to roots. All the photosynthetic parameters and the concentration of
some photosynthetic pigments were negatively affected by Zn, whereas the level of
leaf total soluble sugars remained unchanged. Twenty-three different VOCs were
identified in the plant volatilome. Each compound was emitted at a different
level and intensity of emission was manifold increased by the presence of Zn in
the growth medium. The Zn-induced compounds could represent both an adaptive
response (f.i. methanol, acetylene, C6-aldehydes, isoprene, terpenes) and a
damage by-product (f.i. propanal, acetaldehyde, alkyl fragments) of the metal
presence in the culture medium. Given that the Zn-mediated induction of those
VOCs, considered protective, occurred even under a Zn-limited photosynthetic
capacity, our work supports the hypothesis of an active role of such molecules in
an adaptive plant response to trace metal stress.
PMID- 29650147
TI - Source-sink relations of sunflower plants as affected by a parasite modifies
carbon allocations and leaf traits.
AB - Sunflower broomrape (Orobanche cumana) is a root holoparasitic plant causing
major damage to sunflower (Helianthus annuus L.). Parasite infection initiates
source-sink relations between the parasite (sink) and the host (source),
allocating carbohydrates, water and nutrients to the parasite. The primary aim of
the current study was to explore responses of sunflower to broomrape parasitism,
specifically to examine alternations in leaf area, leaf mass per area (LMA),
mesophyll structure and root hydraulic conductivity. Leaf changes revealed
modifications similar to described previously in shade adapted plants, causing
larger and thinner leaves. These traits were accompanied with significantly
higher root hydraulics. These changes were caused by carbohydrate depletion due
to source-sink relationships between the host and parasite. An Imazapic herbicide
(ALS inhibitor) was used for controlling broomrape attachments and by to
investigate the plasticity of the traits found. Broomrape infected plants which
were treated with Imazapic had leaves similar to non-infected plants, including
mesophyll structure and carbon assimilation rates. These results demonstrated
source-sink effects of broomrape which cause a low-light-like acclimation
behavior which is reversible.
PMID- 29650148
TI - Functions and regulation of phosphate starvation-induced secreted acid
phosphatases in higher plants.
AB - Phosphorus is essential for plant growth and development, but levels of inorganic
phosphate (Pi), the major form of phosphorus that plants assimilate, are quite
limiting in most soils. To cope with Pi deficiency, plants trigger a suite of
adaptive responses, including the induction and secretion of acid phosphatases
(APases). In this article, we describe how Pi starvation-induced (PSI) APases are
analyzed, and we provide a brief historical review of their identification. We
then discuss the current understanding of the functions of PSI-secreted APases
and how these APases are regulated at the molecular level. Finally, we provide a
perspective on the future direction of research in this field.
PMID- 29650149
TI - A Golden Gate and Gateway double-compatible vector system for high throughput
functional analysis of genes.
AB - A major research topic nowadays is to study and understand the functions of the
increasing number of predicted genes that have been discovered through the
complete genome sequencing of many plant species. With the aim of developing
tools for rapid and convenient gene function analysis, we have developed a set of
"pGate" vectors based on the principle of Golden gate and Gateway cloning
approaches. These vectors combine the positive aspects of both Golden gate and
Gateway cloning strategies. pGate vectors can not only be used as Golden gate
recipient vectors to assemble multiple DNA fragments in a pre-defined order, but
they can also work as an entry vector to transfer the assembled DNA fragment(s)
to a large number of already-existing, functionally diverse, Gateway compatible
destination vectors without adding additional nucleotides during cloning. We show
the pGate vectors are effective and convenient in several major aspects of gene
function analyses, including BiFC (Bimolecular fluorescence complementation) to
analyze protein-protein interaction, amiRNA (artificial microRNA) candidate
screening and as assembly of CRISPR/Cas9 (Clustered regularly interspaced short
palindromic repeats, CRISPR-associated protein-9 nuclease) system elements
together for genome editing. The pGate system is a practical and flexible tool
which can facilitate plant gene function research.
PMID- 29650150
TI - The SWI/SNF subunit SWI3B regulates IAMT1 expression via chromatin remodeling in
Arabidopsis leaf development.
AB - The SWI/SNF complex is crucial to chromatin remodeling in various biological
processes in different species, but the distinct functions of its components in
plant development remain unclear. Here we uncovered the role of SWI3B, a subunit
of the Arabidopsis thaliana SWI/SNF complex, via RNA interference. Knockdown of
SWI3B resulted in an upward-curling leaf phenotype. Further investigation showed
that the RNA level of IAA carboxyl methyltransferase 1 (IAMT1), encoding an
enzyme involved in auxin metabolism, was dramatically elevated in the knockdown
(SWI3B-RNAi) plants. In addition, activation of IAMT1 produced a leaf-curling
phenotype similar to that of the SWI3B-RNAi lines. Database analysis suggested
that the last intron of IAMT contains a site of polymerase V (Pol V) stabilized
nucleosome, which may be associated with SWI3B. Data from a micrococcal nuclease
(MNase) digestion assay showed that nucleosome occupancy around this site was
downregulated in the leaves of SWI3B-RNAi plants. In addition, knockdown of IAMT1
in the SWI3B-RNAi background repressed the abnormal leaf development. Thus, SWI3B
mediated chromatin remodeling is critical in regulating the expression of IAMT1
in leaf development.
PMID- 29650151
TI - Characterization of l-aspartate oxidase from Arabidopsis thaliana.
AB - The flavoprotein l-aspartate oxidase (LASPO) is the first enzyme of the de novo
biosynthetic pathway of NAD+ in plants. Although LASPO is considered pivotal to
maintain NAD+ homeostasis, it has not been hitherto characterized in plants.
Here, the cDNA encoding the LASPO from the model plant Arabidopsis thaliana
(AtLASPO, At5g14760) has been cloned and expressed in Escherichia coli for
subsequent enzyme characterization. The purified AtLASPO enzyme displayed a Km of
0.79 mM for l-aspartate and a kcat of 0.25 s-1. We could further detect an l
aspartate: fumarate oxidoreductase activity of the recombinant plant enzyme. In
addition, results indicated that NADP+ but not NAD+, and even more strongly NADH,
inhibited AtLASPO at physiological concentrations by competing with the flavin
for binding to the apoprotein. LASPO optimal pH and temperature, as well as
plastidial pyridine nucleotide concentrations may contribute to an increased NAD+
production in planta. Moreover, in Arabidopsis thaliana AtLASPO gene expression
exhibited a clear correlation between LASPO activity and NAD+ levels, thus
demonstrating that plant LASPO catalyzes a key metabolic step of NAD+ synthesis.
PMID- 29650152
TI - The antagonistic basic helix-loop-helix partners BEE and IBH1 contribute to
control plant tolerance to abiotic stress.
AB - The bHLH family is composed by canonical and non-canonical transcription factors
(TFs) that differ in the presence or absence of their DNA-binding domain,
respectively. Since both types of bHLH proteins are able to dimerize, their
relative abundance impacts their biological activity. Among this TF family BEE
and IBH are canonical and non-canonical bHLHs, respectively and previous reports
indicated that BEE2 and IBH1 dimerize. Wondering whether BEE TFs participate in
the abiotic stress response and how the dimerization with IBH1 could regulate
their role in Arabidopsis, double bee1/bee2 and triple bee1/bee2/bee3 mutants
were tested under salinity and drought stresses. The bee1/bee2/bee3 mutant showed
an enhanced tolerance whereas the double mutant behaved similar to wild type
plants. These results indicated that BEE genes play a role in the stress response
and also put in evidence the redundancy within the BEE family. Moreover, ectopic
expression of IBH1 on different mutant backgrounds improved plant tolerance to
abiotic stress, independently of the background. However, the yield of these
transgenic plants was penalized with abortive seeds. Our results suggest that BEE
genes are negative regulators of physiological responses to abiotic stress
whereas IBH1 is a positive modulator via different pathways, one of them
involving BEE TFs.
PMID- 29650153
TI - Increasing genetic variability in oilseed rape (Brassica napus) - Genotypes and
phenotypes of oilseed rape transformed by wild type Agrobacterium rhizogenes.
AB - Brassica napus (oilseed rape) is a major oil crop worldwide. Due to the short
domestication period of oilseed rape the genetic variability is limited compared
to other crops. Transfer of rol and aux genes from Agrobacterium rhizogenes is
used in horticulture to increase genetic variability. In the current study, we
explore transformation by A. rhizogenes as a biotechnological approach in
breeding for more branched and shorter oilseed rape. In the 2nd generation of
transformed oilseed rape, branch numbers increased significantly by 49% from 7.7
+/- 0.4 to 11.5 +/- 1.9 when comparing rol+/aux+ plants with WT. Simultaneously,
the apical height of plants was reduced by 25% from 81.3 +/- 1.9 cm to 62.4 +/-
6.7 cm in rol+/aux+ plants at the onset of flowering. Reproductive parameters
affecting yield as seed size and number were negatively affected in rol+/aux+
plants. Interestingly, oil composition was changed in rol+/aux+ seeds. Oleic acid
(omega9) contents were reduced by more than 3% whereas alpha-linolenic acid
(omega6) increased by more than 25% in mature seeds. To obtain shorter and more
branched breeding material of oilseed rape we suggest crossing plants with the
rol+/aux+ genotype back into the parental breeding line. This could reduce the
negative impact of rol+/aux+ on yield.
PMID- 29650154
TI - Genomic-based multiple-trait evaluation in Eucalyptus grandis using dominant DArT
markers.
AB - We investigated the impact of combining the pedigree- and genomic-based
relationship matrices in a multiple-trait individual-tree mixed model (a.k.a.,
multiple-trait combined approach) on the estimates of heritability and on the
genomic correlations between growth and stem straightness in an open-pollinated
Eucalyptus grandis population. Additionally, the added advantage of incorporating
genomic information on the theoretical accuracies of parents and offspring
breeding values was evaluated. Our results suggested that the use of the combined
approach for estimating heritabilities and additive genetic correlations in
multiple-trait evaluations is advantageous and including genomic information
increases the expected accuracy of breeding values. Furthermore, the multiple
trait combined approach was proven to be superior to the single-trait combined
approach in predicting breeding values, in particular for low-heritability
traits. Finally, our results advocate the use of the combined approach in forest
tree progeny testing trials, specifically when a multiple-trait individual-tree
mixed model is considered.
PMID- 29650155
TI - Strigolactones and interaction with auxin regulating root elongation in tall
fescue under different temperature regimes.
AB - Strigolactones (SL) have recently been found to play roles in regulating root
development. However, it remains unclear how SL may mediate root elongation of
perennial grass species under different temperatures that differentially affect
root growth. The objectives of this study were to examine effects of SL on root
elongation of tall fescue and to examine the interactive effects of SL and auxin
in regulating root growth under both non-stress and heat stress conditions. Tall
fescue (cv. 'Kentuck-31') plants were treated with GR24 (a synthetic
strigolactones), NAA (alpha-naphthylacetic acid), or NPA (auxin transport
inhibitor N-1-naphthylphalamic acid) or their combination under non-stress
control and heat stress (35/30 degrees C) in growth chamber. Crown root
elongation was evaluated by measuring root length. Cell number and length in root
tips were measured under confocal microscope. Expression levels of genes related
to cell growth, SL signaling and auxin transport were determined. SL promoted
crown root elongation in tall fescue under normal temperature and heat stress,
and alleviated heat-inhibition of root growth. GR24-enhanced root elongation was
accompanied with the increase in cell numbers, up-regulation of cell cycle
related genes, and down-regulation auxin transport-related genes in crown root
tips of tall fescue. The positive effects of SL for promoting crown root
elongation in tall fescue under both non-stress and heat stress could be mainly
due to its regulation of cell division and involve the interference of auxin
transport.
PMID- 29650156
TI - Temperature variation caused by sowing dates significantly affects floral
initiation and floral bud differentiation processes in rapeseed (Brassica napus
L.).
AB - To understand the influence of temperature on floral initiation and to reveal the
relationship between floral bud development and yield potential of rapeseed
(Brassica napus L.), early- ("1358"), intermediate- ("Zhongshuang No.11") and
late- ("Zheshuang No.8") maturity genotypes were sown on different sowing dates
under field conditions during four crop seasons. A multiplicative model was
introduced to distinguish and quantify the effects of photoperiod and temperature
on pre-floral initiation phase. Parameters in this model showed that early
maturity genotype was more sensitive to photoperiod; while late-maturity genotype
was more sensitive to vernalization. The relationships between cumulative
temperature and mean temperature of pre-floral initiation phase could be well
descried by exponential equation. The developmental rate of pre-floral initiation
phase against mean daily temperature displayed an asymmetrical distribution, and
it decreased rapidly when the mean temperature exceeded the optimum. Leaf
primordia differentiated from the shoot apical meristem showed significant linear
relationship with the thermal time at pre-floral initiation phase; dynamic change
of floral bud differentiated from the shoot apical meristem robustly fitted to a
sigmoidal logistic curve. According to the fitted logistic equation, the maximum
differentiation rate varied from 1.7 to 4.1 per 10 degrees Cd due to different
sowing dates and genotypes. Averaged across growing seasons, sowing dates and
genotypes, bud degeneration rate was 33% on the main raceme, and varied from 58%
to 99% on the seven primary branches. The yield showed a significant correlation
with floral bud number although the latter showed serious degeneration. In
conclusion, the floral bud quantity largely determines rapeseed yield, and thus
the genotypes with strong vernalization requirement should be planted early to
extend the vegetative stage to achieve more fertile floral buds while the
genotypes with weak vernalization requirement should be planted late to avoid
flowering in chilling environment.
PMID- 29650157
TI - RNA interference of GhPEPC2 enhanced seed oil accumulation and salt tolerance in
Upland cotton.
AB - Phosphoenolpyruvate carboxylase (PEPCase) mainly produces oxaloacetic acid for
tricarboxylic acid (TCA) cycle. Here we reported that GhPEPC2 silencing with
PEPC2-RNAi vector could regulate oil and protein accumulation in cottonseeds. In
GhPEPC2 transgenic plants, PEPCase activities in immature embryos were
significantly reduced, and the oil content in seed kernel was increased 7.3
percentages, whereas total proteins decreased 5.65 percentages. Compared to wild
type, agronomical traits of transgenic plant were obviously unaffected.
Furthermore, gene expression profile of GhPEPC2 transgenic seeds were
investigated using RNA-seq, most lipid synthesis related genes were up-regulated,
but amino acid metabolic related genes were down-regulated. In addition, the
GhPEPC2 transgenic cotton seedlings were stressed using sodium salts at seedling
stage, and the salt tolerance was significantly enhanced. Our observations of
GhPEPC2 in cotton would shade light on understanding the regulation of oil
content, protein accumulation and salt tolerance enhancement in other plants.
PMID- 29650158
TI - Saving for a rainy day: Control of energy needs in resurrection plants.
AB - Plants constantly respond to threats in their environment by balancing their
energy needs with growth, defence and survival. Some plants such as the small
group of resilient angiosperms, the resurrection plants, do this better than
most. Resurrection plants possess the capacity to tolerate desiccation in
vegetative tissue and upon watering, regain full metabolic capacity within 72 h.
Knowledge of how these plants survive such extremes has advanced in the last few
decades, but the molecular mechanics remain elusive. Energy and water metabolism,
cell cycle control, growth, senescence and cell death all play key roles in
resurrection plant stress tolerance. Some resurrection plants suppress growth to
improve energy efficiency and survival while sensitive species exhaust energy
resources rapidly, have a diminished capacity to respond and die. How do the
stress and energy metabolism responses employed by resurrection plants differ to
those used by sensitive plants? In this perspective, we summarise recent findings
defining the relationships between energy metabolism, stress tolerance and
programmed cell death and speculate important roles for this regulation in
resurrection plants. If we want to harness the strategies of resurrection plants
for crop improvement, first we must understand the processes that underpin energy
metabolism during growth and stress.
PMID- 29650159
TI - Expression of CLAVATA3 fusions indicates rapid intracellular processing and a
role of ERAD.
AB - The 12 amino acid peptide derived from the Arabidopsis soluble secretory protein
CLAVATA3 (CLV3) acts at the cell surface in a signalling system that regulates
the size of apical meristems. The subcellular pathway involved in releasing the
peptide from its precursor is unknown. We show that a CLV3-GFP fusion expressed
in transfected tobacco protoplasts or transgenic tobacco plants has very short
intracellular half-life that cannot be extended by the secretory traffic
inhibitors brefeldin A and wortmannin. The fusion is biologically active, since
the incubation medium of protoplasts from CLV3-GFP-expressing tobacco contains
the CLV3 peptide and inhibits root growth. The rapid disappearance of intact CLV3
GFP requires the signal peptide and is inhibited by the proteasome inhibitor
MG132 or coexpression with a mutated CDC48 that inhibits endoplasmic reticulum
associated protein degradation (ERAD). The synthesis of CLV3-GFP is specifically
supported by the endoplasmic reticulum chaperone endoplasmin in an in vivo assay.
Our results indicate that processing of CLV3 starts intracellularly in an early
compartment of the secretory pathway and that ERAD could play a regulatory or
direct role in the active peptide synthesis.
PMID- 29650160
TI - Manipulation of plant architecture and flowering time by down-regulation of the
GRAS transcription factor SlGRAS26 in Solanum lycopersicum.
AB - Previous studies suggest that GRAS transcription factors act as essential
regulators, not only in plant growth and development but also in response to
biotic and abiotic stresses. Recently, 53 GRAS proteins have been identified, but
only a few of them have been functionally studied in tomato. Here, we isolated a
novel GRAS transcription factor SlGRAS26, its down-regulation generated
pleiotropic phenotypes, including reduced plant height with more lateral shoots,
internode length, leaf size, even leaflets, accelerated flowering transition and
decreased trichome number. Transcription analysis showed that down-regulation of
SlGRAS26 altered vegetative growth by suppressing gibberellin (GA) biosynthesis
genes and activating the GA inactivating genes, thereby reducing endogenous GA
content in transgenic plants. SlGRAS26 may regulate the initiation of lateral
buds by regulating the expression of Blind (BL) and BRC1b. The earlier initiation
of flower buds in transgenic lines may be controlled by significant up-regulation
of SFT, CO1, SBP3, SBP13, and SBP15 genes, related to flowering time. These
results demonstrate that SlGRAS26 may play a vital role in the initiation of
lateral and inflorescence meristems in tomato.
PMID- 29650161
TI - Molecular and functional characterization of ShNAC1, an NAC transcription factor
from Solanum habrochaites.
AB - NAC transcription factors (TFs) are important regulators of plant adaptation to
abiotic stress. In this study, we functionally characterized an NAC TF, ShNAC1,
from Solanum habrochaites. ShNAC1 was up-regulated by drought, cold, and salt
stresses, and it displayed lower expression at the late stage of stress
treatments than its orthologous gene in S. lycopersicum. Overexpression of ShNAC1
in tomato resulted in reduced cold, drought, and salt tolerance. Additionally,
ShNAC1 displayed the highest expression in senescent leaf, and overexpressing
ShNAC1 accelerated salt- and dark-induced leaf senescence. ShNAC1 was located in
the nucleus without transactivation activity. RNA-seq analysis revealed that 81%
(190 out of 234) differentially-expressed genes (DEGs) showed down-regulation in
the transgenic line L2 compared with wild-type, suggesting that ShNAC1 may
function as a transcriptional repressor. Among these down-regulated DEGs, many
were involved in stress responses, such as SlHKT1;1, SlMAPKKK59, SlJA2, SlTIL,
SlALDH2B1, etc. Noticeably, one ACS gene and three ACO genes involved in ethylene
biosynthesis were up-regulated, while seven ERF genes in the ethylene signal
transduction pathway were down-regulated in the transgenic lines, respectively.
Our results suggested that ShNAC1 negatively regulates tolerance to abiotic
stress in tomato probably by modulating the ethylene biosynthesis and signal
transduction pathways.
PMID- 29650162
TI - Relation between hypericin content and morphometric leaf parameters in Hypericum
spp.: A case of cubic degree polynomial function.
AB - Higher plants often accumulate secondary metabolites in multicellular structures
or in secretory reservoirs. Biotechnological production of such compounds by cell
cultures lacking proper morphological structures is difficult, therefore
possibilities for an efficient increase of their formation by organ cultures are
being searched. The genus Hypericum comprises many species that store photoactive
and phototoxic naphthodianthrones in the dark nodules on their above-ground
parts. To date, the relation between the content of hypericins and their proto
forms accumulated in the nodules, and morphological characters of the plant parts
containing these structures has not been sufficiently explained. The content of
hypericins and leaf morphology characters were measured in 12 selected diploid
seed-derived Hypericum species cultured in vitro. The leaf volume and the volume
of the nodules per leaf were calculated. Based on these data, a cubic degree
polynomial regression model with high reliability was constructed. The model
enables an estimate of the biosynthetic capacity of the cultures, and may be
useful in designing the experiments aimed at elicitation of these unique
secondary metabolites in shoot cultures of Hypericum spp. An analogous model may
be developed for interpretation of experimental results for other plant species
which accumulate metabolites in specialized morphological structures.
PMID- 29650163
TI - Developing a health education game for preschoolers: What should we consider?
AB - OBJECTIVE: To provide a comprehensive picture of what preschoolers prefer in
computer game so that such game may be developed as a medium in health education.
This is an important step to attract the children's attention so that the
learning objectives from the educational game can be achieved. METHOD: This study
used descriptive qualitative approach and involved seven children aged from three
to six years. Purposive sampling was used to choose participants in accordance
with the inclusion criteria. Participants were also chosen on the basis of
whether they had good communication skills based on evaluation by their teachers.
Data were collected through interviews and observation of types and models
preferred by preschoolers followed by the analysis process using the Colaizzi
method. RESULTS: Research showed there were three characteristic features of
games preferred by preschoolers: 1) main character was preferred to be a moving
creature, contain elements of fantasy, and have an attractive appearance; 2) game
model was favored when it involved activities and rewards, and 3) the use of
bright colors, particularly primary and secondary colors. CONCLUSIONS: To develop
an appealing game for preschoolers, features such as the main character,
activities in the games, and bright colors should be considered.
PMID- 29650164
TI - What does the literature suggest about what carers need from mental health
services for their own wellbeing? A Systematic Review.
AB - OBJECTIVE: The aim of this study was to examine prior studies relating to carers'
needs from mental health services for their own wellbeing. METHOD: A systematic
approach was adopted for the literature review. The databases searched included
MEDLINE, PSycINFO, EMBASE, and CINAHL, involving the use of search terms such as
carers, mental health, and needs. The search was conducted in April 2012 and
updated in December 2015. In total, 40 published papers were included in the
review and were subsequently assessed for quality. For the data synthesis, a
thematic analysis approach was employed to integrate the quantitative and
qualitative evidence relating to carers' needs. RESULTS: Twenty-five of the
reviewed studies were qualitative, 12 were quantitative, and 3 were mixed. Four
major carer needs emerged from the synthesis: (1) holistic wellbeing of service
users, (2) holistic wellbeing of carers, (3) supportive attitudes of
professionals, and (4) carer involvement. All four of these needs, in fact,
revolved around the carers' ill relatives. CONCLUSIONS: The studies reviewed
suggest that while carers of people suffering from mental illness have a range of
needs, they generally fail to offer straightforward information about their own
needs.
PMID- 29650165
TI - Therapeutic group therapy improved self-efficacy of school age children.
AB - OBJECTIVE: The survival of children against disaster can be seen from their
confidence in their ability (self-efficacy). Self-efficacy can help children to
determine their ability against disaster as preparedness. The proper intervention
to increase self-efficacy as a protective factor is a therapeutic group therapy.
The aim of this research is to measure the increase of self-efficacy of school
age children against earthquake and Tsunami through therapeutic group therapy.
METHOD: This research used quasi-experimental design with pre-post-tests with
control group. The sample involved in this study is 69 children, where 35 of them
are in the experimental group while the rest 34 children are in the control group
consisting of school children at the IV and V graders of elementary school.
RESULTS: The result of the research showed that the self-efficacy of school
children is improved significantly after being treated with therapeutic group
therapy (p value < 0,05), those who were not treated with therapeutic group
therapy have no significant improvement (p value > 0,05). CONCLUSIONS: This
research is recommended to be conducted on school age children to improve their
self-efficacy against disaster through health education.
PMID- 29650166
TI - Family experiences in communicating with family members experiencing social
isolation after hospitalization.
AB - OBJECTIVE: Social isolation is one of the negative symptoms of schizophrenia that
is likely to persist after hospitalization. This study aimed to describe family
experiences in communicating with post-hospitalized family members experiencing
social isolation. METHOD: This study used a descriptive phenomenology qualitative
approach. The research sample consisted of seven participants selected by a
purposive sampling method. Data were obtained through in-depth interviews with
family members of people with schizophrenia who experienced social isolation
after hospitalization. Data were analyzed using Colaizzi's method. RESULTS: Five
themes emerged in this study: a) emotional reactions towards communication
changes after hospitalization; b) family coping strategies in communicating with
post-hospitalized clients; c) stigma and emotional expression as factors
aggravating limited social interaction; d) types of family communication used to
fulfill the psychological needs of patients, and e) family involvement in
communicating with socially-isolated clients after hospitalization. CONCLUSIONS:
Family communication becomes part of the adaptation of the family to caring for a
family member with a chronic illness. It is recommended that nurses provide
mental health education and psychological education on communication skills to
family caregivers.
PMID- 29650167
TI - The experience of parents implementing authoritarian parenting for their school
age children.
AB - OBJECTIVE: To explore families' experiences who use an authoritarian parenting
style in caring for school-age children. METHOD: This was a qualitative study
employing a phenomenological approach. The sampling method was to interview
parents of school-age children living in the Central Maluku district in
Indonesia. RESULTS: The findings of this study generated the following themes:
(1) parents strictly controlled their children to achieve the parental values and
expectations, (2) children failed to meet the parental values and expectations,
and (3) problems experienced by the children were the results of the parenting
style. CONCLUSIONS: This study suggested nursing professionals provide adequate
information for parents with respect to parenting styles that may facilitate the
optimal growth and development of the children. Future studies pertinent to
cultural factors associated with authoritarian parenting were also suggested to
better understand the cultural context of this parenting style.
PMID- 29650168
TI - Thought stopping and supportive therapy can reduce postpartum blues and anxiety
parents of premature babies.
AB - OBJECTIVE: The parents of premature baby tend to be at risk undergoing postpartum
blues and anxiety. It is due to many problems faced by postpartum mother of
premature baby. This research is aim to identifying influence of thought stopping
and supportive therapy of postpartum blues and anxiety parents of premature
babies. METHOD: This is quantitative with quasi-experiment with control group
pretest-posttest design and consecutive sampling method. Sample in this research
are 62 postpartum mothers of premature babies in perinatal NICU (neonatal
intensive care unit). RESULTS: The results show that there is significant
decrease of postpartum blues and anxiety (p value = 0.000) in the group that was
treated by using nursing intervention, thought stopping and supportive therapy
and greater significant decrease than the group that was only treated by nursing
intervention. CONCLUSIONS: Thought stopping and supportive therapy are able to
decrease postpartum blues and anxiety parents of premature babies.
PMID- 29650169
TI - The need for first aid education for adolescents.
AB - OBJECTIVE: The objective of this study is to identify the need for basic first
aid knowledge and skills among adolescents. METHOD: A cross-sectional study using
multistage sampling was conducted among 375 secondary school students in Kuantan,
Pahang, Malaysia. The survey was adapted from a Hong Kong Red Cross survey. A
back to back translation of this instrument was carried out by two bilingual
medical experts with Cronbach's alpha 0.8. The data were analyzed using SPSS
(Statistical Package for the Social Sciences) in terms of descriptive analysis,
and an independent t-test and chi-square test were carried out. RESULTS: There
were 149 respondents from the lower form (grade level) and 226 respondents from
the upper form. The majority of respondents (81.6%) were Malay. An independent t
test revealed a significant association between knowledge and attitude, as
students who scored higher on first aid questions showed a more positive attitude
towards first aid (1.475%). There were also significant associations between race
and experience learning first aid. CONCLUSIONS: Adolescents receive minimal first
aid education. Thus, there is an urgent need to educate adolescents more in first
aid to promote a safer community and to prevent any further injuries.
PMID- 29650170
TI - Improving nurses' performance through remuneration: a literature review.
AB - BACKGROUND: A remuneration system greatly influences the quality of nursing care
and services. OBJECTIVE: The goal of this study was to identify the effects of a
remuneration system on nurses' performance. DESIGN: This research used a
literature review design and involved the analysis of 25 articles published in
the Cumulative Index to Nursing and Allied Health Literature (CINAHL), MEDLINE,
EMBASE, PsycINFO, and Global Health databases. The literature was limited to
articles published in English between August 2006 and August 2015. RESULTS: The
results of this study indicate that the improvement of remuneration systems has
positive consequences in terms of nurses' performance and subsequent quality of
healthcare services. A well-managed remuneration system has the potential to
increase nurses' motivation, productivity, satisfaction, and even improve
retention. In contrast, poorly managed and low remuneration contributes to a
shortage of nurses due to high turnover rates. CONCLUSIONS: Adequate remuneration
has been shown to improve nurses' performance and, consequently, improve the
quality of healthcare. This literature review provides scientific evidence for
decision-makers to consider the implementation of remuneration systems that
include credentialing, re-credentialing, and career ladders. Future studies are
suggested to investigate the development of well-managed remuneration systems for
nurses.
PMID- 29650171
TI - The association between stereotyping and interprofessional collaborative
practice.
AB - OBJECTIVE: This study aimed to identify the association between stereotyping and
professional intercollaborative practice. METHOD: This study used a cross
sectional analytical study involving physicians, nurses, pharmacists, and
dietitians in a hospital in Jakarta, Indonesia, who were selected using the
stratified random sampling method. Data was collected using the Student
Stereotypes Rating Questionnaire (SSRQ) and the Assessment of Interprofessional
Team Collaboration Scale (AITCS). The stereotyping level was analyzed based on a
nine-point SSRQ, while interprofessional collaborative practice was scored based
on partnership/shared decision-making, cooperation, and coordination. RESULTS:
Stereotyping was shown to significantly correlate with interprofessional
collaborative practice as measured by the SSRQ and AITCS. CONCLUSIONS: Poor
interprofessional collaborative practice in subscale partnership/decision-making
was dominant. Also, low-rating stereotyping was shown to be dominant with poor
interprofessional collaborative practice. RECOMMENDATION: The research recommends
that health care providers improve partnership/ decision-making skills for better
interprofessional collaboration. For further research, it's recommended to
explore another barrier of interprofessional collaborative practice.
PMID- 29650172
TI - Nurse managers' perspectives on nurses' performance in mentorship program.
AB - OBJECTIVE: The aim of this study was to explore the nurse managers' perspectives
on nurses' performance in a mentorship program. METHOD: A cross-sectional survey
was conducted on 51 nurse managers in Hospital Tengku Ampuan Afzan (HTAA),
Kuantan, Pahang, by using modified and self-developed questionnaire with the
Cronbach's alpha value 0.994. The data were analyzed by using descriptive
statistics such as central tendency, frequency and percentage. RESULTS: The
gender of respondents was predominantly female (100%). The mean age is 45.41 (SD
+/- 4.51). In terms of level of education, the majority of the respondents
(76.5%) were having a diploma. The majority has been in practice as a staff nurse
between 11 to 20 years, and most of them have been practicing as nurse managers
for about five years and less. Meanwhile, results showed that the nurse managers
had positive perceptions upon newly graduated nurses' performance in the
mentorship program, concerning on their effective communication, professional
development and creative thinking. CONCLUSIONS: It is vital to identify the
effectiveness of the mentorship program among nurses; hence, it enhances job
satisfaction among new nurses.
PMID- 29650173
TI - The relationship between nurses' job satisfaction and continuing professional
development.
AB - OBJECTIVE: To identify the correlation between nurse's perception of the
continuing professional development (CPD) and the satisfaction of nursing career
ladder system (NCLS) implementation. METHOD: A non-experimental survey design was
used for this study. The respondents were selected using proportional random
sampling technique with the total sample size of 149 nurses. Data were measured
using proportion, central tendency and Pearson product-moment correlation.
RESULTS: There was a moderate, positive correlation between the CPD and the NCLS
satisfaction (R: 0.42, p= 0.0001). CONCLUSIONS: The results of this research
should be used as recommendation for improving CPD at the hospitals in Indonesia.
PMID- 29650174
TI - Improving the interpersonal competences of head nurses through Peplau's
theoretical active learning approach.
AB - BACKGROUND: Effective interpersonal skills are essential for head nurses in
governing and managing their work units. Therefore, an active learning strategy
could be the key to enhance the interpersonal competences of head nurses.
PURPOSE: This study aimed to investigate the effects of Peplau's theoretical
approach of active learning on the improvement of head nurses' interpersonal
skills. METHOD: This study used a pre-experimental design with one group having
pretests and posttests, without control group. A total sample of 25 head nurses
from inpatient units of a wellknown private hospital in Jakarta was involved in
the study. Data were analyzed using the paired t-test. RESULTS: The results
showed a significant increase in head nurses' knowledge following the training to
strengthen their interpersonal roles (P=.003). The results also revealed
significant increases in the head nurses' skills in playing the roles of leader
(P=.006), guardian (P=.014), and teacher/speaker (P=.015). Nonetheless, the
results showed no significant increases in the head nurses' skills in playing the
roles of counselor (P=.092) and stranger (P=.182). CONCLUSIONS AND
RECOMMENDATIONS: Training in strengthening the interpersonal roles of head nurses
significantly increased the head nurses' knowledge and skills. The results of the
study suggested the continuation of active learning strategies to improve the
interpersonal abilities of head nurses. Furthermore, these strategies could be
used to build the abilities of head nurses in other managerial fields.
PMID- 29650175
TI - Factors that affect the development of nurses' competencies: a systematic review.
AB - OBJECTIVE: To investigate factors affecting the development of nursing competency
based on a review of the literature. METHOD: A systematic review was utilized.
The articles were taken from the databases of Pro-Quest, ScienceDirect,
SpringerLink, and Scopus. They were retrieved using the following keywords:
nursing competence, nurse competencies and clinical competence. Twenty-one papers
were selected. RESULTS: Competence development is a continuous process of
improving knowledge, attitudes and skills, and is influenced by a myriad of
factors. Six factors were identified that affected the development of nursing
competence in our systematic review: (1) work experience, (2) type of nursing
environment, (3) educational level achieved, (4) adherence to professionalism,
(5) critical thinking, and (6) personal factors. Work experience and education
were shown to significantly influence the development of competency of nurses.
CONCLUSIONS: Nurse managers need to support staffing competence through ongoing
education, mentoring-preceptorship training, and case-reflection-discussion
teaching programs.
PMID- 29650176
TI - Pain-management strategies among hospitalized trauma patients: a preliminary
study in a teaching hospital in Indonesia.
AB - The incidence of trauma has been high and is considered to have increased
throughout the years. This study aimed to describe the pain intensity and pain
management strategies of hospitalized trauma patients. Ninety-five adults
presenting trauma with full consciousness, and who were admitted to surgical
wards were recruited. Outcomes were assessed in a 3-day follow-up of pain and
pain management from January to February 2016 by using questionnaires for
interviews. Data were analyzed using descriptive statistics and repeated measures
ANOVA. Preliminary research found that hospitalized trauma patients perceived
mild to severe pain intensity. The trend of pain at its worst, pain at its least,
average pain, and current pain decreased from Day 1 to day 3, which were
statistically and significantly different (p < .001). The pain management often
used by patients were: praying (84.2%), slow and deep breathing (78.9%), and at
an attempt at toleration (46.3%). The least frequent method of pain management
included immediately informing nurses about the pain (15.8%), reading (15.8%),
and changing position (17.9%). Besides the pharmacological and nonpharmacological
interventions received from physicians and nurses, strategies were crucial to
alleviating pain in hospitalized trauma patients related to cultural context.
PMID- 29650177
TI - Validity and reliability of the Comfort Assessment Breast Cancer Instrument in
breast cancer palliative care.
AB - Indonesia is a developing country and most breast cancer patients present with
terminal conditions, including discomfort. Comfort is a crucial component of
nursing in palliative care, especially in breast cancer patients. However, this
condition is difficult to assess and therefore assign as a diagnosis. Some
comfort assessment tools have been developed in other countries are found not
appropriate for an Indonesian context. This study is a sequence of prior
qualitative research regarding a comfort assessment tool to be developed in
Indonesia. This study piloted the comfort assessment tool in 55 patients with
breast cancer to test if it is valid, reliable, and easy to use. This cross
sectional study was conducted at an Army hospital in Jakarta, Indonesia. The
Comfort Assessment Breast Cancer Instrument measured many aspect of the patient
comfort level including physical, psycho-social, cultural-spiritual, finance, and
environmental comfort using a Likert scale from 1-4 and 34 items. Data were
processed using statistical software. The face validity test yielded coefficient
a of 0.299-0.691, while the reliability test produced a reasonably good result as
well (a = 0.912). Therefore this measure should be further assessed by an expert
panel and including construct validity.
PMID- 29650178
TI - The effective needle stick injury prevention strategies for nursing students in
the clinical settings: a literature review.
AB - OBJECTIVE: Nursing students are prone to needle stick injuries (NSIs) during
their practice in the hospitals. This study aimed to identify the effective NSI
prevention strategies for nursing students in the clinical settings. METHOD:
Literature review was performed using the databases of ScienceDirect, ProQuest,
MEDLINE, PsycINFO, Scopus, CINAHL, SpringerLink, JSTOR, and PubMed. The search
terms of "nursing students", "NSI incidents", "prevention", and "clinical
settings" were entered, generating 103 articles published between 1991 and 2015.
RESULTS: Our study demonstrated the high rates of NSIs in low- and middle (India,
91.85%). and high-income countries (Taiwan, 56.00%). Most injuries especially
occurred when students opening the ampules (53.15%) and performing intravenous
cannulation (44.50%). Our review identified four main strategies to prevent NSIs;
education, trainings, safe needle use, and effective communication. Our study
suggested the development of education and trainings curriculum and self- report
system and culture, the provision of financial, material and manpower resources
to support the prevention of NSIs. CONCLUSIONS: four main strategies to prevent
NSIs were education, trainings, safe needle use and effective communication.
IMPLICATIONS FOR PRACTICE: collaboration of hospitals and educational
institutions is essential to develop effective NSI prevention programs.
PMID- 29650179
TI - Mother-daugther communication about sexual and reproductive health issues in
Singkawang, West Kalimantan, Indonesia.
AB - While parent-adolescent sexual and reproductive health (SRH) communication is one
potential source of information for adolescents, it appears to be inadequately
practiced in Indonesia. Given that female adolescents in Indonesia are faced with
increased sex-related risks, it is important to understand, from parents and
adolescents' perspectives, how parents communicate about SRH to their
adolescents. This study was designed to investigate parents and their female
adolescent children's patterns of SRH communication in West Kalimantan,
Indonesia. A total of 15 adolescent girls (ages 13-15) and 14 mothers took part
in four focus group discussions. Fifteen girls, aged 13-15 and 14 mothers aged 25
45 years participated in the study, sharing their reflections on their
communication about sex and reproductive health issues. The analysis technique
used was thematic analysis, which is performed by refining key themes that emerge
from the data. Data were collected from the focus group discussions. The four
themes identified in this study are: (1) Infrequent communication on sexuality
between mothers and daughters, (2) Mothers' tendencies to avoid to discussing
SRH, or feeling ashamed and that it is culturally unacceptable to talk about
sexual matters, (3) Body change during puberty is the major content of the mother
daughter communications, and (4) Both mothers and daughters need adequate
information about SRH. The study reveals that communication regarding sexual and
reproductive issues between parents and female adolescents is limited in
Indonesia. The quality of communication on general topics between parents and
their female adolescents is one of the important factors related to SRH
communication between them. It is essential that Indonesian parents become better
informed and skilled, so that they may be involved in the sexual and reproductive
health education of their female adolescent children.
PMID- 29650180
TI - Pain, stress, and sleep quality in chronic wound patients.
AB - Chronic wounds are one impact of cancer cell growth that may cause discomforts or
pain. This study aimed to identify the relationship between pain, stress, and
sleep quality in cancer patients with a chronic wound. We used a cross-sectional
design with 76 patients from a cancer hospital in Jakarta, Indonesia. The
instruments used were the Numeric Rating Scale, the Questionnaire on Stress in
Cancer Patients, Revised 23, and the Pittsburgh Sleep Quality Index. The results
of this study showed that moderate-severe pain produced a higher than average
amount of stress (58.86), while patients with no pain or only mild pain had a
lower than average stress level (52.3). The results indicated that there was a
relationship between pain before analgesic usage, pain during bandage
replacement, pain that occurred at night, and stress (p=0.003, 0.007, and 0.002,
respectively; alpha=0.05). Patients who had poor sleep quality experienced above
average stress (56.3), while those with good sleep quality reported below average
stress levels (45.6). These results indicated that there was a relationship
between stress and sleep quality (p=0.033; alpha=0.05). Poor quality sleep is
more common in patients with a moderate to severe pain scale rating (93.1%).
However, Fisher's exact test results found that there was no relationship between
pain and sleep quality (p=0.301; alpha=0.05). The results of this study concluded
that stress can affect pain and sleep quality, but the pain did not have a direct
effect on sleep quality in chronic wound patients.
PMID- 29650181
TI - Relationship between levels of thyroid stimulating hormone, age, and gender, with
symptoms of depression among patients with thyroid disorders as measured by the
Depression Anxiety Stress Scale 21 (DASS-21).
AB - OBJECTIVE: The aim of this study was to investigate the correlation between
levels of depression symptoms and age, thyroid-stimulating hormone levels, and
stressful life events of the participants. METHOD: Patients above 18 years old,
with any thyroid disorders, and without psychiatric disorders were included in
this study. All participants completed the Depression Anxiety Stress Scale 21
(DASS-21). The depression symptom score was calculated and interpreted as
follows: less than 9: no depression; between 10 and 13: mild depression; between
14 and 20: moderate depression; between 21 and 27: severe depression, and more
than 28: extremely severe depression. RESULTS: The total number of participants
in this study was 199. There was no correlation between age, thyroid stimulating
hormone, and the DASS score. There was also no significant difference in the DASS
21 score between genders. However, there was a positive correlation between
depression symptoms and stressful life events (r=0.201, n=199, p < 0.05).
CONCLUSIONS: These findings would suggest that increased depression symptom
scores correlate with increased stressful life events. A larger study should be
undertaken to confirm these findings.
PMID- 29650182
TI - Workplace violence against nurses in Indonesian emergency departments.
AB - OBJECTIVE: The objective of this study was to examine the experiences of violent
incidents by nurses in Indonesian emergency departments. METHOD: The World Health
Organization's structured questionnaire on workplace violence in the health
sector was modified and translated into Bahasa. The study participants were 169
nurses working in emergency departments in six hospitals in Jakarta and Bekasi,
Indonesia. The gathered data were analyzed using descriptive and multivariate
logistic regression. RESULTS: Ten percent of emergency nurses reported
experiencing physical violence, perpetrated mostly by patients, whereas more than
half of emergency nurses (54.6%) reported experiencing non-physical violence,
with patients' relative as the main perpetrators. A majority of nurses (55.6%)
did not have encouragement to report workplace violence, and very few nurses
(10.1%) had received any information or training about workplace violence.
CONCLUSIONS: The findings of this study highlighted the seriousness of violence
in Indonesian emergency departments. Support from management, encouragement to
report violence, and access to workplace violence training were expected to
mitigate and manage violence against nurses in emergency departments.
PMID- 29650183
TI - The combination of nebulization and chest physiotherapy improved respiratory
status in children with pneumonia.
AB - OBJECTIVE: There is controversy regarding the effectiveness of chest
physiotherapy to solve airway obstruction problems experienced by children
younger than five years of age with pneumonia. The aim of this study was to
determine the effectiveness of chest physiotherapy and nebulization on the
respiratory status of these children. METHOD: This study was quasi-experimental
with a pre- and post-test nonequivalent control group design. Thirty-four
respondents selected by consecutive sampling were divided into two groups: one
that received nebulization and one that received nebulization with chest
physiotherapy. The independent t-test was used to analyze the effect of chest
physiotherapy and nebulization on the respiratory status of children younger than
age five with pneumonia. RESULTS: There was a significant mean difference in
heart rate, respiratory rate, and oxygen saturation between the control and
intervention group (p=0.000). Despite the correlation between age and heart rate,
other characteristics (nutritional status, exclusive breast-feeding, vaccination,
the length of illness, and the content of nebulization medication) had no effect
on heart rate, respiratory rate, and oxygen saturation. CONCLUSIONS: The
combination of nebulization and chest physiotherapy is more effective than
nebulization only. It is important to reconsider the combination of nebulization
and chest physiotherapy to overcome airway obstruction problems.
PMID- 29650184
TI - Women's knowledge, beliefs, and behaviors toward the prevention of human
papillomavirus transmission.
AB - OBJECTIVE: To identify the relationship between women's knowledge, beliefs, and
behaviors and human papillomavirus (HPV) transmission prevention. METHOD: This
was a cross-sectional study with a convenience sampling technique. The samples
were from 649 women of reproductive age who either were married or who had once
been married. Data were analyzed using the Pearson and Spearman correlation
tests. RESULTS: The results showed a statistically significant relationship
between knowledge, beliefs and sexual behaviors, and the prevention of HPV
transmission. Knowledge was the most dominant variable affecting the prevention
of HPV transmission (r=0.174) with p value < 0.001; the better the women's
knowledge, the higher the prevention effort. CONCLUSIONS: Beliefs regarding HPV
transmission, healthy sexual behavior, and knowledge of prevention could reduce
the prevalence of HPV transmission and would improve women's health in general.
In addition, providing education, avoiding the risk factors, early detection, and
performing a regular screening of reproductive organs are the key factors in
preventing HPV transmission.
PMID- 29650185
TI - Status disclosure and the acceptance of women living with HIV.
AB - OBJECTIVE: This study aimed at identifying the acceptance of women living with
HIV in relation to status disclosure. METHOD: This cross sectional study involved
235 women with HIV positive in Public Health Centre in Jakarta, Indonesia, who
were chosen with a consecutive sampling. We used a modified Acceptance of Disease
and Impairments Questionnaire (ADIQ) as the instrument. RESULTS: The median score
of acceptance was 3 (SD 0.72) in the range of 2.79 to 2.98 at 95% confidence
interval. More than half of the respondent (65%) are housewives and 50% of them
got infected from their spouses. It was also found that status disclosure was
associated with the women acceptance towards HIV (p < 0.05) CONCLUSIONS: The
acceptance of HIV-positive women is influenced by status disclosure which can be
positive or negative. This study suggests nurses to consider the grief response
of the women with HIV positive to facilitate their acceptance and better
adaptation to the illness.
PMID- 29650186
TI - The relationship of the preexisting anxiety problem with the demographic profile
of cervical cancer patients.
AB - OBJECTIVE: This study identified the association of the preexisting anxiety
problem in women diagnosed with cervical cancer with their demographic
characteristics. METHOD: This was a cross sectional study with 100 participants
selected by purposive sampling method. Data were collected through Hamilton
Anxiety Rating Scale (HARS) questionnaire and the medical records of
participants. RESULTS: Work status was the only demographic characteristic which
was found to be statistically significantly related to the anxiety level of the
participants before they were diagnosed with cervical cancer. Participants who
worked at home as housewives were found to have higher anxiety level than those
worked at the institutions or industry. CONCLUSIONS: Working women in this study
were more likely to have the preexisting anxiety problem prior their cervical
cancer diagnosis. This study implies the importance of promoting healthy
lifestyle to manage daily stressors, considering various interrelated factors
that may eventually contribute to cervical cancer development in women.
PMID- 29650187
TI - The influence of adolescent postpartum women's psychosocial condition on mother
infant bonding.
AB - OBJECTIVE: To identify the correlation between the psychosocial condition of
adolescent women in the postpartum period and mother-infant bonding. METHOD: This
study used a cross-sectional design. Using a consecutive sampling method, 103
adolescent women with a 1- to 12-week-old baby were recruited. Participants
completed the postpartum bonding questionnaire to identify mother-infant bonding.
This questionnaire included four dimensions: the relationship between mother and
baby, baby acceptance, readiness to perform maintenance, and delivery of comfort.
RESULTS: We identified that 45.6% of the teen mothers in this study were at risk
of psychosocial problems and that 67% of them had insufficient mother-infant
bonding. There was a statistically significant correlation between the
psychosocial condition of adolescent postpartum women and mother-infant bonding
(p=0.000; OR=5.143; 95%CI, 0.195 to 135.662). CONCLUSIONS: Adolescent mothers
require special attention during the perinatal period. They also require infant
care education and preparation to become a successful mother. Maternity nurses
play a very important role in providing education for adolescent mothers and the
elderly. Maternity nursing services must be expanded to include psychosocial
assessments for teen mothers throughout the pregnancy, childbirth, and postpartum
periods.
PMID- 29650188
TI - Oncology nurse reflection on the necessity of a pain assessment tool for
Indonesian cancer patients.
AB - OBJECTIVE: The purpose of this study was to obtain an overview on nurses'
opinions and perceptions related to pain assessment and other problems
experienced in evaluating pain in cancer patients. METHOD: This descriptive
qualitative study was part of a series of studies to develop a pain assessment
tool for cancer patients in Indonesia. Data were collected by semi-structured
interviews from 16 oncology nurses. A cross-case comparison of the interview
responses was used to analyze the data from verbatim interview transcripts.
RESULTS: Six themes were identified: pain as the main complaint reported by
cancer patients; pain assessment using a pain scale; an awareness of the absence
of nurses' role in pain management; a lack of understanding of pain conditions;
acknowledgement that a pain assessment tool should be practical and user
friendly; and the need to develop a pain assessment tool. We also explored the
knowledge of nurses in pain management and achieved an in-depth understanding of
the nurses' desire to seek additional knowledge related to pain assessment.
CONCLUSIONS: A pain assessment tool should be developed to assist nurses to
independently interpret data about pain and allow them to select or modify
interventions consistent with the patients' needs. Pain management for cancer
patients is one of the essential nurses' responsibilities in providing
comprehensive meaningful nursing care.
PMID- 29650189
TI - Islamic moral judgement on abortion and its nursing applications: expository
analysis.
AB - Health workers, like nurses are tasked to save the lives of their patients,
however, there are instances in which health workers have to deal with difficult
cases in taking a life, such as abortion. Scholars in the field of healthcare
assert that abortion is morally justified if it is sought for health reasons.
Nevertheless, there are a number of cases in which abortion is sought on other
grounds other than health, such as the individual choice to do so. Can a nurse
refuse to provide their professional service towards these people? This paper
uses analytical and comparative methods to address ethical issues in abortion
from the Islamic and conventional perspectives. Nursing implication: Since the
nurses instruct and assist people in forming a decision as they engage in nursing
care, utilising a comprehensive view of abortion based on Islamic sources would
provide a foundation in Muslim perspectives as they interact with Muslim
patients. The subject area to investigate the degree of knowledge among nurses
regarding the Islamic moral judgement on this event is extremely recommended for
future management.
PMID- 29650190
TI - Improving the utilization of health services among high-risk pregnant women
through community health nurse assistance.
AB - OBJECTIVE: The objective of this study was to identify the impact of implementing
community health nurse assistance for high-risk pregnant women on utilization of
health services. METHOD: The study was quasi experimental with a control group
design. The sample included high-risk pregnant women in 10 community health
centers in Indonesia who were selected by consecutive sampling. The total sample
included 66 women in both the intervention and the control groups. The high-risk
pregnant women in the intervention group received nurses' assistance during the
third trimester and until giving birth. Before and after the intervention, the
knowledge, attitudes, and behavior of the women were measured. RESULTS: The
average scores for the knowledge, attitudes, and behavior of women in the
intervention group increased. Differences were found in health care utilization
between the two groups. All women in the intervention group received antenatal
care during the third trimester more than once and were assisted by skilled
health personnel during childbirth, while in the control group 10.6% of
respondents were assisted by a paraji shaman (traditional birth attendant). All
women in the intervention group accepted family planning, and the contraceptive
choice varied. CONCLUSIONS: The assistance of community health nurses improves
the knowledge, attitudes, and behavior of high-risk pregnant women and positively
impacts the rate of health care utilization.
PMID- 29650191
TI - Supportive care needs and quality of life of patients with gynecological cancer
undergoing therapy.
AB - OBJECTIVE: To identify the relationship of unmet supportive care needs with
quality of life of patients with gynecological cancer undergoing therapy. METHOD:
This study used a cross-sectional design. A total of 153 patients with
gynecological cancer undergoing therapy were recruited using consecutive sampling
methods. The participants completed the questionnaire of Supportive Care Needs
Survey to identify their supportive care needs, EORTC-QLQ 30 to assess their
general quality of life, and EORTC-QLQ-CX 24 or - OV 28 to determine cancer
specific quality of life in cervical cancer and ovarian cancer, respectively.
RESULTS: Most of the participants (96.1%) reported their unmet needs of
supportive care predominantly in the physical domain (80.4%). Unmet supportive
care needs were found to be statistically significantly related to quality of
life in the global health, functional, and symptom domains (p value 1=0.003, p
value 2=<0.001, and p value 3= 0.001; r1=-0.235, r2=0.306, and r3=0.268,
respectively). CONCLUSIONS: Patients with gynecological cancer needs various
supportive care during treatment. These supportive care needs should be
identified early at the time of diagnosis and continued throughout the disease
and treatment trajectory to the survivorship point. Otherwise, unmet supportive
care needs may lead to a low quality of life.
PMID- 29650192
TI - Family support and maternal self-efficacy of adolescent mothers.
AB - OBJECTIVE: To identify a correlation between family support and maternal self
efficacy of adolescent mothers. METHOD: This cross-sectional study applied a
consecutive sampling technique. The sample was 100 primiparous adolescent
mothers. Instruments utilized were structured questionnaires, including a
demographic questionnaire, Maternal Efficacy Questionnaire (MEQ), Postpartum
Support System, Edinburgh Postnatal Depression Scale (EPDS), and Infant
Characteristics Questionnaire (ICQ). The correlation of family support and
maternal self-efficacy was analyzed using chi-square and logistic regression.
RESULTS: The results showed a significant correlation between family support and
maternal self-efficacy of adolescent mothers. The family support that most
influenced maternal self-efficacy of adolescent mothers was family instrument
support, with Wald value of 34.720. CONCLUSIONS: Instrument support most affects
maternal self-efficacy of adolescent mothers and encourages adolescents
performing nurturing and mothering roles.
PMID- 29650193
TI - Inhalation with bronchodilator combination effective in reducing length of
hospital stay in children with pneumonia.
AB - OBJECTIVE: This study aimed to examine the correlation between inhalation therapy
and length of hospital stay in children under age of five with pneumonia. METHOD:
This cross-sectional study included 102 consecutive patients (secondary data)
with pneumonia. The patients were divided depending on the type of therapy they
received: Group I used inhalation therapy with bronchodilator beta-agonist + NaCl
0,9%, Group II used inhalation therapy with bronchodilator beta-agonist and
anticholinergic + NaCl 0,9%, Group III used inhalation therapy with NaCl 0,9%,
and Group IV used no inhalation therapy. RESULTS: The study results showed a
significant correlation between the use of inhalation therapy and the length of
hospital stay (p = 0.000) after being controlled age, leucocyte count, and the
type of antibiotic therapy. However, there was no significant correlation between
the use of inhalation therapy and the length of hospital stay in children under
the age of five with pneumonia after sex and oxygen therapy being controlled.
CONCLUSIONS: Inhalation therapy with a combination of bronchodilator beta-agonist
and anticholinergic + NaCl 0.9% and with bronchodilator beta-agonist + NaCl 0.9%
are the two most effective treatments with which to reduce the length of hospital
stay in toddlers with pneumonia.
PMID- 29650194
TI - Fracture risk prediction in post-menopausal women with osteopenia and
osteoporosis: preliminary findings.
AB - OBJECTIVE: The study aims to identify the risk of obtaining a fracture among post
menopausal women with osteopenia and osteoporosis. METHOD: This work was a cross
sectional study involving a purposive sample of 87 post-menopausal women who
attended the orthopedic and menopause clinics of Hospital Tengku Ampuan Afzan,
Kuantan. The data were entered into the WHO fracture risk assessment tool
(FRAX(r)) to predict major fracture and risk for hip fracture in 10 years' time.
RESULTS: The mean age of the respondents was 61.6 years (SD=7.9). Among the
respondents, 50.6% had osteopenia and nearly half (48.3%) had osteoporosis. The
mean number of menopausal years of the respondents was 11.9 (SD=8.5), ranging
between 1 and 44 years. The FRAX findings indicated 9.7% major osteoporotic
fracture probability and 3.5% hip fracture probability, which were denoted as
high risk. A Pearson correlation coefficient was computed to assess the
relationship between menopausal years and the FRAX major osteoporotic fracture
probability. A significant positive correlation was found between the two, but
the correlation was weak (r=0.581, n=87, p < 0.001). CONCLUSIONS: The present
findings indicate that menopausal years have a positive correlation with the risk
of obtaining a fracture.
PMID- 29650195
TI - Student perception of interprofessional education application at the Health
Sciences University of Sumatera Utara.
AB - OBJECTIVE: Interprofessional education (IPE) includes collaborative
interprofessional learning activities involving students and lecturers from the
health sciences faculties at the University of Sumatera Utara. This descriptive
study aimed to explore the students' perceptions of the application of the IPE
program. METHOD: Descriptive study was conducted and one hundred sixty students
were selected from four faculties including the faculties of medicine, nursing,
pharmacy, and public health. RESULTS: The results of the study showed that 68% of
the participants stated that they had heard IPE information, whereas 97% reported
that they had never joined in IPE activities. In the health sciences faculties,
57% of nursing students had heard IPE information, but more than 90% of the
participants from the other faculties had never heard the IPE information. Also,
based on aspects of their participation, all of the students reported that they
had never joined in the IPE activities. CONCLUSIONS: according the results, the
implementation of IPE can be realized in the integration of learning methods,
such as lectures, practicum, clinical skills, and tutorials. It can be a
university policy so that the implementation of IPE with specific learning
methods can take place to improve the competence of collaboration students.
PMID- 29650197
TI - Family support in caring for older people with diabetes mellitus: a phenomenology
study.
AB - OBJECTIVE: This study was conducted to gain a deep understanding of the
experience of older people with diabetes mellitus (DM) about their family support
in Tasikmalaya, Indonesia. METHOD: The qualitative design was used with a
phenomenological approach. Data were collected through in-depth semi-structured
interviews of eight older people with DM. Ethical clearance was obtained from The
Ethics Committee of the Faculty of Nursing, University of Indonesia. All the
participants were provided with information about the purpose and the type of the
study. Participants' type of participation in this research was voluntary. The
recorded interviews were reported anonymously. Data were analyzed using the seven
steps of Colaizzi include reading the transcript, listening to the transcript,
choosing keywords, categorizing grouping, creating narratives, validating, and
translating findings into a complete narrative. RESULTS: Three themes were
identified about family support toward older people with DM such as the changes
in older people with DM, optimum family support and suboptimal family support.
CONCLUSIONS: The physical and psychological changes which older people with DM
had experienced affect the family support they had received. Therefore, this
study will give a valuable contribution to the improvement of health service for
older people with DM in Indonesia.
PMID- 29650196
TI - Clinical care pathway strenghens interprofessional collaboration and quality of
health service: a literature review.
AB - OBJECTIVE: To review the effectiveness of a clinical care pathway on
interprofessional collaboration and quality of health service. METHOD: A review
was performed of literature published from 2000 to 2015, with the following
keywords: clinical pathway, care pathway, and interprofessional collaboration.
RESULTS: Evidence depicted the positive results of nursing care for clients,
health care professionals and facilities. The research results were implemented
at different facilities using several research designs, from descriptive to
experimental. A clinical pathway was used as a tool in various clinical
situations including in emergency, elective surgery, and pre-post-surgery, as
well as in common clinical cases. It was administered by the health care
professionals in providing care, encompassing the comprehensive process from
diagnosis to clinical audit. Health care professionals should engage in active
collaboration during the implementation of a clinical care pathway. In
implementing the standard of input, process, and outcome of care to clients,
health care professionals should emphasize the process and outcome of care and
eliminate unnecessary or inefficient treatments. CONCLUSIONS: A clinical care
pathway could reduce the average length of stay for patients, increase cost
effectiveness, and, consequently, improve the quality of service. To optimize the
care process, the pathway should be implemented of multidisciplinary health care
team.
PMID- 29650198
TI - Analysis on the implementation of a health improvement project (Garbage Clinical
Insurance) in Indonesia: a literature review.
AB - OBJECTIVE: Indonesia Medika has established "Garbage Clinical Insurance" (GCI),
which enables the population below the poverty line (BPL) to obtain health
insurance by donating their garbage to pay the premium. The objective of this
paper was to critically examine the implementation of GCI in Indonesia by
reviewing the background, effects, and sustainability of this program. METHOD: A
literature search of studies related to GCI, other types of micro health
insurance, and their applications in developing countries was conducted. Recent
news (post 2014) related with the implementation of GCI was also consulted.
RESULTS: The literature revealed that the foundation of GCI was informed by the
Declaration of Alma Ata with the ideal of making health care services accessible
to everyone. Unlike most health insurance, the mechanisms of GCI seem less likely
to trigger moral hazard among its beneficiaries. However, as a micro insurance
program, the sustainability of GCI continues to be called into question.
CONCLUSIONS: The critical analysis of the present study has highlighted the
application of GCI, a micro health insurance initiative, and its relevance to
Indonesia. GCI tended to work well as it was able to utilise Indonesia's social
capital. However, GCI should aim to increase the benefits package available to
its members in order to maintain the sustainability of the program.
PMID- 29650199
TI - Preventing Pasung by mentally ill patients' families.
AB - Pasung is a way of handling the mentally ill in the several Indonesian
communities. In many cases, when the patients leave the hospital they are once
again turned out by their families and returned to the pasung. This study aims to
identify and explore the means of preventing mentally ill patients in the
community from being subjected to pasung through a test of Daulima's Pasung
Decision Questionnaire that measures a family's intention to use pasung. This
study tested the content validity and reliability of Daulima's Pasung Decision
Questionnaire by using the Spearman-Brown single test-single trial. The
respondents were 300 people drawn from five provinces in Indonesia: West Sumatra,
East Kalimantan, West Nusa Tenggara, West Java and the Special Capital Region of
Jakarta. The validity and reliability results showed that the content of this
instrument is valid once improvements had been made to the statement items
numbers 16 and 17. It was also shown to be reliable by the consistency of the
responses with an alpha value of 0.729. This means that responses to the
instrument are consistent and are reliable measures of the level of intention of
the mentally ill patient's family to use pasung.
PMID- 29650200
TI - Arbi Care application increases preschool children's hand-washing self-efficacy
among preschool children.
AB - OBJECTIVE: This research aimed to examine the effectiveness of an Android mobile
game application called Arbi Care as a means to prevent diarrhea and build self
efficacy in hand washing among preschool children. METHOD: This research used a
pre- and post-test control group and time series design approach. Respondents
were chosen randomly from a group of four to six years children. The intervention
group (n = 60) received Arbi Care intervention for 25 minutes, twice a week, for
five weeks while the control group (n = 60) received standard education. Self
efficacy was measured by using questionnaire and observation. Measurement was
carried out three times in the sixth, eight, and tenth week post-intervention.
The data was analyzed using the GLMRM test. RESULTS: There was a significant
increase in the average score of self-efficacy in hand washing for the
intervention group versus the control group. Moreover, there were significant
differences in the results of average scores in which the intervention group
showed much better self-efficacy improvement over the control group during the
first, second, and final post-test after the intervention was given (p < 0.001).
CONCLUSIONS: An Android-based educational game can be an effective medium to
improve hand washing self-efficacy among preschool children, thus helping to
prevent diarrhea.
PMID- 29650201
TI - Spirituality in adolescents with cancer.
AB - OBJECTIVE: As adolescents with cancer are at risk of experiencing spiritual
distress, they tend to have unique spiritual needs. Spirituality plays a
significant role for adolescents with cancer as it contributes to increased
comfort and calmness, and better coping mechanisms when confronted with the
illness, which indirectly improves the adolescent's quality of life. This study
aimed to explore spiritual experiences in adolescents with cancer. METHOD: A
qualitative study using a phenomenology approach was conducted. Nine adolescents
with chemotherapy-treated cancer were purposefully selected to be involved in
this study. The data were analyzed using thematic analysis. RESULTS: This study
identified six spiritual themes in adolescents with cancer: a) accepting their
illness; b) believing their illness in God's will; c) improving spiritual
practices; d) expressing empathy to parents; e) maintaining relationships with
significant others, and f) achieving self-actualization. CONCLUSIONS: This study
demonstrated that spirituality gave hope to adolescents by helping them to
overcome existential problems related to cancer. We believe it is necessary for
nurses to address the spiritual needs of adolescent with cancer as this will help
these young people accept their condition with more grace and humility.
PMID- 29650202
TI - Impact of family empowerment model on satisfaction and children's length of stay
in hospital.
AB - OBJECTIVE: The purpose of the study was to identify the impact of family
empowerment model intervention on family satisfaction and children's length of
stay in the hospital due to pneumonia. METHOD: The design of the study was that
of a quasi-experiment. About 83 family-child groups were divided into 42 pair in
the intervention group and 41 pair in the control group; which were recruited
using consecutive sampling using certain inclusion criteria. Three district
hospitals in Jakarta were used in this study. A questionnaire and family
empowerment instrument were developed and used to collect the data. An analysis
of the data used independent and paired t-test. RESULTS: The results of the study
showed a significant difference between the intervention and control groups in
empowerment and satisfaction aspects after the intervention (p= 0.000; p= 0.000).
An analysis of length of stay using the t-test indicates a significant difference
between the intervention and control groups (p= 0.000). CONCLUSIONS: The family
empowerment model (FEM) intervention has a positive impact on families, as it can
increase both the satisfaction and the empowerment of the family. Another
important indicator of the FEM's success is its ability to decrease the length of
stay of patients.
PMID- 29650203
TI - Do adolescent cancer survivors need health care and psychosocial services?: An
Indonesian experience.
AB - OBJECTIVE: Advances in childhood cancer treatment have contributed to an
increased survival rate among childhood cancer patients. The increasing number of
survivors means that more help is needed to support them in dealing with the
physical and psychosocial problems following their cancer therapy. This study
explored the needs of adolescent cancer survivors in terms of health care and
psychosocial services. METHOD: This qualitative research used a phenomenological
approach. Eight adolescent cancer survivors were interviewed using a semi
structured format. The data were analyzed using a thematic analysis. RESULTS:
Seven themes emerged from the study results: (i) follow-up care; (ii) education
for patients and their families; (iii) compassionate health care services; (iv)
psychological counseling; (v) support from families and friends; (vi) support
from school; and (vii) support from social community activities. CONCLUSIONS:
These findings showed that adolescent cancer survivors in Indonesia need long
term follow-up care for their physical and psychosocial needs. Nurses should play
an active role in addressing the needs of adolescent cancer survivors as
described in this study.
PMID- 29650204
TI - Pacifier and swaddling effective in impeding premature infant's pain score and
heart rate.
AB - OBJECTIVE: To assess the effectiveness of pacifier and swaddling on premature
infant's pain score, hearthrate, and oxygen saturation during an invasive
procedure. METHOD: This randomized control trial involv 30 premature infants who
were randomly assigned into control (n=15) and intervention (n=15) groups using
parallel design. Infants in the intervention group received pacifier and
swaddling when they were undergoing invasive procedures. The outcome indicators
of the two-day intervention were pain score, hearth rate, and oxygen saturation.
The Premature Infant Pain Profile (PIPP) was used in this study to measure
infants' pain. RESULTS: The paired t-test results showed that the pain score and
heart rate were significantly increased following the procedure in the control
group (p=0.003; p=0.013 < 0.05); meanwhile, there was no significant increase in
the intervention group (p=0.256; p=0.783 > 0.005). There was no significant
different in oxygen saturation in the control group (p=0.270) and in the
intervention (p=0.370) group before and after the procedure. CONCLUSIONS:
Providing pacifier and swaddling can impede the increase of premature infants'
pain score and hearth rate during an invasive procedures, therefore it can be
implemented as an alternative to pain management in premature infants.
PMID- 29650205
TI - Chewing gum is more effective than saline-solution gargling for reducing oral
mucositis.
AB - OBJECTIVE: This quasi-experimental study compared the effectiveness of chewing
gum and gargling with a saline solution as two types of intervention to reduce
oral mucositis scores. METHOD: The sample consisted of 44 children who were
divided into two groups, one of which chewed gum, and the other gargled with a
saline solution. The Mann-Whitney U test was used to analyze the data. RESULTS:
There was a significant difference (p = 0.001) in post-intervention oral
mucositis scores. The significant mean difference between the groups indicated
that the decreased oral mucositis scores for the chewing gum group was more
substantial than for the group gargling with a saline solution (p = 0.001).
CONCLUSIONS: The data showed that chewing gum is more effective than gargling
with a saline solution, and it can be incorporated into the nursing protocol for
treating pediatric cancer patients.
PMID- 29650206
TI - Correlation between parent-adolescent communication and adolescents' premarital
sex risk.
AB - OBJECTIVE: Previous studies have indicated the parent-adolescent relationship has
a correlation to adolescents' premarital sex behavior risk. Therefore, the aim of
this study was to discover the influence of parent-adolescent communication on
adolescents' risk of sexual issues. METHOD: This was a quantitative study with a
cross-sectional design. The population of this study consisted of students from a
high school in Jakarta. A purposive sampling technique was used, which resulted
in the selection of 253 students as samples. A PACS (Parent-Adolescent
Communication Scale) questionnaire was applied. RESULTS: The results showed that
59.3% of the adolescents studied were at risk for engaging in premarital sex,
while the risk for adolescents with positive communication with their parents was
56.5%. Bivariate analysis also showed a significant correlation between gender
and parent-adolescent communication and the risk of adolescent premarital sex
behavior (alpha < 0.05). This study's result was expected, and nurses can follow
up by providing health education on how to maintain good communication between
parents and adolescents. CONCLUSIONS: Communication must align with adolescents'
developmental tasks. Nurses can also create a promotion program on the topic of
communication for parents and adolescents.
PMID- 29650207
TI - The effect of a community-based spiritual life review program on Indonesian
elders' resilience.
AB - OBJECTIVE: This quasi-experimental, pre-/post-test study aimed to examine the
effect of a community-based spiritual life review program on the resilience of
elders residing in a disaster-prone area. METHOD: Fifty-two participants who met
the inclusion criteria were recruited from three villages in the Kutaraja sub
district in Banda Aceh, Indonesia. The participants were randomly assigned to an
experimental group and a control group. The participants' names were listed and
then randomly selected by a random number generator. The experimental group
underwent a community-based spiritual life review program, which included a
review of their spiritual lives, the appreciation of feelings, affirmation by the
religious leader, a reevaluation of their lives, and a reconstruction of their
lives to recognize their memories and present feelings. RESULTS: The elderly
resilience scores were evaluated four weeks after the program was implemented.
The control group received the same program after the study was finished. The
participants in the experimental group significantly improved their resilience
levels after completing the program (p < .05). There was a slight increase in the
resilience scores from the pre-test to the post-test in the experimental group
compared with the control group (p < .05). CONCLUSIONS: Future studies should add
implementation sessions and avoid photos that would induce participants'
traumatic memories or experiences during the spiritual life review.
PMID- 29650208
TI - Walking and talking activities as nursing therapy for improving quality of life
among older adults.
AB - OBJECTIVE: The most prominent problem resulting from decreased body function in
older adults is declining quality of life. Walking and talking among older adults
in peer group may become a nursing therapy to improve their quality of life. The
objective of this study was to identify the impact of walking and talking
intervention of quality of life among community dwelling older adults in Depok,
Indonesia. METHOD: This study applied quasi-experimental design with 43 and 40
older adults in the intervention and control group, respectively. The
participants were selected using multistage random sampling method. RESULTS:
Based on t test, the average quality of life score of older adults improved more
significantly in the intervention group than that in the control group, with p
value of 0.003, its mean p value < alpha, respectively. An ANCOVA analysis was
used to detect confounding factors. The result showed that all characteristics
have a p value of > 0.05, which means there were no confounding factors
warranting further investigation. CONCLUSIONS: It was concluded that walking and
talking therapy in peer group significantly increase the quality of life of older
adults.
PMID- 29650209
TI - Reducing neglect and improving social support for older people following a self
help group in the poor urban community of Jakarta, Indonesia.
AB - Increasing age has an impact on the decline of organ function and results in
increasing dependence on others, including family. Efforts must be made to
increase older adult's' independence to help them overcome naturally occurring
changes and health problems. This research aims to determine the effects of the
self-help groups as nursing interventions using guidelines of selfhealth
monitoring and management on the incidence of neglecting and the social support
of older people. A quasi-experimental design was used on a total sample of 208
people, consisting of 103 in the intervention group and 105 in the control group.
An independent t-test was conducted before and after the intervention model to
analyze the social support variable, and chi-square test was applied to analysis
the neglect variable. The results showed the effects on the incidence of neglect
of older people, and there were significant differences between and within the
intervention and control groups (p < 0.05) in terms of social support. The
intervention model demonstrated reduction of the incidence of neglect and an
increase in social support. The results indicate that this program can be used as
a guideline for health care center nurses in fostering self-help groups for older
people in the community.
PMID- 29650210
TI - The effects of chair yoga with spiritual intervention on the functional status of
older adults.
AB - OBJECTIVE: "Functional status" is an individual's ability to fulfill his/her
needs and to perform the activities of daily life independently. Functional
decline can lead to a higher level of dependency. This study aims to investigate
the effects of chair yoga with spiritual intervention on the functional status of
older adults. METHOD: This quasi-experimental study employed a pre- and post-test
design using a control group. The study involved an intervention group of 42
respondents and a control group of a further 42 respondents. The sample was
selected using multistage random sampling. The data were analyzed using a t-test.
RESULTS: The results of the study show that the mean score for the intervention
group was higher after the intervention (p=0.000). Furthermore, the mean score
for functional status after the intervention was significantly higher for the
intervention group than for the control group (p=0.000). CONCLUSIONS: It is
concluded that the use of chair yoga with spiritual intervention is a useful
preventive measure against functional decline in older adults. The study also
suggests that this form of intervention should be considered as a complementary
nursing therapeutic practice for older adults in the community.
PMID- 29650211
TI - Relationship between the levels of family burden in caring for older people with
the incidence of mistreatment.
AB - BACKGROUND: Caring for older people can be a burden for the family that led to
mistreatment. OBJECTIVE: To determine the relationship between the levels of
family burden in caring for older people with the occurrence of mistreatment
incidence. DESIGN AND PARTICIPANTS: A cross sectional study design was conducted
with 135 older people who live with their family as respondents. SETTING: 135
families with older people at Kelurahan/Village of Harjamukti area, Kecamatan/
District of Cimanggis, Depok city. METHOD: This study applied probability
sampling technique with multistage cluster sampling. RESULTS: Family
characteristics show that almost half of older people were caring by family
members (caregivers) who were aged 20-39 years, nearly all caregivers were women,
family income mostly less than UMK, families mostly monosyllabic nation Betawi
and caregivers mostly were their own child. Most of the families stated that no
expense in caring for the older people (89.6%), but there are still families who
feel the burden of caring. The highest type of mistreatmet of the older people is
psychological mistreatments. CONCLUSIONS: From the statistical test obtained by p
value equals to 0.553, it was concluded there was no correlation between the
incidences of any family burden with mistreatment.
PMID- 29650212
TI - The experience of older people living in an elderly residential home (Panti
Sosial Tresna Werdha): a phenomenology.
AB - OBJECTIVE: The aim of this study was to gain an overview of the experiences of
older people living in an elderly residential home (Panti Sosial Tresna Werdha
[PSTW]). METHOD: The study used qualitative method with the descriptive
phenomenology approach. The participants consisted of six older people who live
in institutions. Data were collected through in-depth interviews in accordance
with the purpose of the research, and an analysis of the data from the interviews
was performed using the analysis stage by Collaizi. RESULTS: Three themes emerged
from this study, namely independent living needs and support systems as a reason
for staying at PSTW, the adaptive response to life in PSTW, and family support as
a contributing factor to remaining at PSTW. CONCLUSIONS: Most of the elderly's
support came from their children or nephews and nieces. Receiving full emotional
support from relatives through family visits was deemed necessary for the
elderly, as it made them feel happy to continue the rest of their lives in the
elderly care home.
PMID- 29650213
TI - The experience of adolescents having mentally ill parents with pasung.
AB - OBJECTIVE: Lack of knowledge and powerlessness make family restrains, chains or
confines (pasung) the family member with mental illness. This study aimed to
explore the experience of adolescents having mentally ill parents with pasung.
METHOD: To achieve detailed and accurate understandings of adolescents'
experiences, this study used a qualitative research design with a
phenomenological approach. Purposive sampling was employed to find teenagers aged
12-19 years old who had mentally ill parents with pasung. The data were analyzed
with Colaizzi's method. RESULTS: Parents with mental illness, specifically with
physical restrain and confinement (pasung) had psychosocial impact on
adolescents. Role changes often occur in the family where teenagers should be a
breadwinner and caregiver for their parents. The results of this study were
described in three themes: 1) changes of life due to have mentally ill parents
with pasung; 2) reciprocity as the reason for taking care of the parents; 3)
positive meaning of living with mentally ill parents with pasung. CONCLUSIONS:
This study concludes that psychosocial treatment for adolescents living with
parents with pasung should consider the psychological and social impact as a
result of taking care of their parents with pasung.
PMID- 29650214
TI - Acceptance and commitment therapy and family psycho education for clients with
schizophrenia.
AB - OBJECTIVE: This study aims to determine the effectiveness of combining acceptance
and commitment therapy with family psycho education on increased insight,
diminished symptoms, and the client's improved ability to control violent
behavior. METHOD: The design of this study was a quasi-experimental pretest
posttest utilizing intervention and control groups. The intervention group
consisted of 33 people, and the control group was composed of 33 people. Data was
collected before and after respondents received both acceptance and commitment
therapy and family psycho education. RESULTS: The study showed that patient
insight improved significantly, the signs and symptoms of violent behavior
decreased, and the client's ability to control such behavior improved with a p
value < 0.05 in the intervention group after they received acceptance and
commitment therapy and family psycho education. In the control group, patient
insight did not improved significantly, showing a p value > 0.05. Therefore, our
study recommends that acceptance and commitment therapy and family psycho
education should be given to patients with schizophrenia to improve insight into
their disease, decrease signs and symptoms of violent behavior and improve their
ability to control violent behavior.
PMID- 29650215
TI - Do different positions affect the oxygen saturation and comfort level of children
under five with pneumonia?
AB - OBJECTIVE: Children with pneumonia need a correct position to increase their
oxygen saturation and comfort level. Postural changes affect the function of the
human body and disease conditions. This study aimed to identify the effect of
prone and semirecumbent positions on the oxygen saturation and comfort level of
children under five with pneumonia. METHOD: The study design was a quasi
experimental with a pre-posttest control group design. Thirty-six children with
pneumonia aged 0-59 months were selected using consecutive sampling and divided
into three groups: prone (n = 12), semirecumbent (n = 12), and control (n = 12).
Statistical analysis was conducted using the Wilcoxon test, paired t test, and
Kruskal-Wallis test. RESULTS: A significant difference in the oxygen saturation
level was found among the three groups, particularly in the semirecumbent group.
No significant difference was observed on the comfort level in all groups.
CONCLUSIONS: The semirecumbent position can be applied to improve the oxygenation
status of children under five with pneumonia. Therefore, nurses should teach the
family how to position the children with pneumonia during their hospitalization.
PMID- 29650216
TI - The effectiveness of acceptance and commitment therapy on anxiety in clients with
stroke.
AB - OBJECTIVE: The purpose of this study was to determine the effects of acceptance
and commitment therapy on anxiety in patients with stroke, especially during the
first stage of recovery. METHOD: This quantitative study featured a quasi
experimental design without a control group and was conducted in the stroke ward
of a public hospital. The 33 respondents were selected via consecutive sampling.
The data analysis was completed using the paired t-test. RESULTS: The use of
acceptance and commitment therapy significantly the signs and symptoms of anxiety
in patients with stroke (p-value = < 0.005). Specifically, acceptance and
commitment therapy effectively decreases anxiety levels from a moderate level to
a mild level in clients who are recovering from stroke. CONCLUSIONS: Acceptance
and commitment therapy is a recommended treatment for reducing anxiety in stroke
patients. The design of this study can be further developed to include a control
group.
PMID- 29650217
TI - Effect of logo-therapy, acceptance, commitment therapy, family psychoeducation on
self-stigma, and depression on housewives living with HIV/AIDS.
AB - OBJECTIVE: Self-stigma in people living with HIV/AIDS is a survival mechanism to
protect themselves from external stigma. Stigma and discrimination in people
living with HIV/AIDS can lead to inequality in social life. This inequality can
cause inferiority complex, preoccupation, and denial of diagnosis, which
correlates with the onset of depression. This study aims to determine the effect
of logotherapy, commitment acceptance therapy, and family psychoeducation on self
stigma and depression on housewives living with HIV/AIDS. METHOD: This study used
the quasi-experiment pretest-posttest design. The respondents were selected using
the purposive sampling technique. The subjects were 60 housewives living with
HIV/AIDS. Data were collected using Internalizes Stigma of AIDS Tools and
analyzed using univariate and bivariate analyses. Equality analysis was conducted
using the chi-square test and independent t test, and the effects were analyzed
using paired t test. RESULTS: The result showed a significant decrease in self
stigma and depression (p value < 0.05) in patients receiving logotherapy,
commitment acceptance therapy, and family psychoeducation. CONCLUSIONS: A
combination of logotherapy, commitment acceptance therapy, and family
psychoeducation is recommended as a therapy package to overcome self-stigma and
depression for people living with HIV/AIDS.
PMID- 29650218
TI - Corrigendum to 'Development of a mechanistic biokinetic model for hepatic bile
acid handling to predict possible cholestatic effects of drugs' [European Journal
of Pharmaceutical Sciences 115 (2018) 175-184].
PMID- 29650219
TI - WITHDRAWN: Cytogenetics alteration in adult men involved in the recycling of
electronic wastes.
PMID- 29650220
TI - Urban Teledermatology: Concept, Advantages, and Disadvantages.
PMID- 29650221
TI - Lymph Node Dissection in Patients With Melanoma and Sentinel Lymph Node
Metastasis: An Updated, Evidence-Based Decision Algorithm.
AB - Recent publication of the results of clinical trials in which lymph node
dissection was not associated with any survival benefit in patients with sentinel
node metastasis makes it necessary to reconsider the treatment of patients with
melanoma. This article provides an update on the available evidence on the
diverse factors (routes of metastatic spread, predictors, adjuvant therapy, etc.)
that must be considered when treating patients with sentinel node-positive
melanoma. The authors propose a decision-making algorithm for use in this
clinical setting. The current evidence no longer supports lymph node dissection
in patients with low-risk sentinel node metastasis (sentinel node tumor load
<=1mm).
PMID- 29650222
TI - Value of Ultrasound as a Diagnostic Tool for a Painful Thoracic Nodule.
PMID- 29650223
TI - Surgeons' muscle load during robotic-assisted laparoscopy performed with a
regular office chair and the preferred of two ergonomic chairs: A pilot study.
AB - Surgeons work in awkward work postures and have high precision demands - well
known risk factors for musculoskeletal pain. Robotic-assisted laparoscopy is
expected to be less demanding compared to conventional laparoscopy; however,
studies indicate that robotic-assisted laparoscopy is also associated with poor
ergonomics and musculoskeletal pain. The ergonomic condition in the robotic
console is partially dependent upon the chair provided, which often is a regular
office chair. Our study quantified and compared the muscular load during robotic
assisted laparoscopy using one of two custom built ergonomic chairs and a regular
office chair. The results demonstrated no differences that could be considered
clinically relevant. Overall, the study showed high levels of static and mean
muscular activity, increased perceived physical exertion from pre-to-post
surgery, and moderate to high risk for musculoskeletal injuries measured by the
Rapid Upper Limb Assessment worksheet. Authors advocate for further investigation
in surgeons' ergonomics and physical work demands in robotic surgery.
PMID- 29650224
TI - Examining vehicle operating speeds on rural two-lane curves using naturalistic
driving data.
AB - Horizontal curves have been shown to exhibit crash rates significantly higher
than comparable tangent segments. Extensive research has investigated the causes
of crashes on horizontal curves, particularly the curve navigation process and
driver speed selection. Research in this area has generally been limited by the
nature of the data, which is often inhibited by practical constraints as to the
number of locations and drivers that can be observed. This study overcomes these
hurdles through the use of naturalistic driving data, providing insights on how
drivers navigate and react to curves on rural two-lane highways. Nearly 10,000
vehicle traces were collected from 202 drivers on 219 horizontal curves as a part
of this study. All driving traces were collected on rural two-lane highways with
prevailing posted speed limits of 45 mph or 55 mph, as well as a diverse range of
curve advisory speeds. Regression models are estimated via generalized estimating
equations to discern those factors affecting mean speeds on curves. A log-linear
relationship was found between curve radius and mean vehicle speed, with speeds
relatively stable on radii of 900-1000 ft. or more, decreasing more rapidly as
radii decreased below this range. Drivers were found to reduce speeds when curve
advisories were present, but the magnitude of these reductions was much less than
suggested by the advisory signs. Speeds were significantly lower when a W1-6
curve arrow sign was present adjusting for the curve radius. There were also some
differences in speeds based on driver age and gender. Ultimately, this paper
provides insights into driver curve navigation and demonstrates the potential of
high-fidelity naturalistic driving data to assess speed management and geometric
design on horizontal curves.
PMID- 29650225
TI - An update on Cushing syndrome in pediatrics.
AB - Cushing syndrome (CS) in childhood results mostly from the exogenous
administration of glucocorticoids; endogenous CS is a rare disease. The latter is
the main reason pediatric patients with CS escape diagnosis for too long. Other
barriers to optimal care of a pediatric patient with CS include improper
following of the proper sequence of testing for diagnosing CS, which stems from
lack of understanding of pathophysiology of the hypothalamic-pituitary-adrenal
axis; lack of access to proper (i.e., experienced, state-of-the-art) surgical
treatment; and unavailability of well-tolerated and effective medications to
control hypercortisolemia. This report reviews the state-of-the-art in diagnosing
CS and provides an update on the most recent discoveries in its genetics and
treatment.
PMID- 29650226
TI - Are Cushing's disease patients curable?
AB - Treatment of Cushing's disease remains a challenge. Whereas pituitary surgery can
"cure" the patient and restore a completely normal pituitary adrenal axis, there
are immediate failures and late recurrences which ultimately require alternate
therapeutic approaches. These are numerous, but so are their drawbacks, and all
appear to be "default options". For the future, pituitary adenoma has to remain
the "reasonable obsession" of efficient and optimistic therapists....
PMID- 29650227
TI - Reply.
PMID- 29650228
TI - Visualization of Proliferative Vascular Endothelial Cells in Tumors in Vivo by
Imaging Their Partner of Sld5-1 Promoter Activity.
AB - Vascular endothelial cells (ECs) isolated from tumors characteristically express
certain genes. It has recently been suggested that tumor vessel normalization
facilitates effective drug delivery into tumors; however, how tumor vessel
normalization can be recognized on the basis of the molecules expressed by tumor
ECs is not clearly defined. The degree of cell proliferation is an important
indicator to characterize the condition of the ECs. Herein, we generated
transgenic mice expressing enhanced green fluorescent protein (EGFP) under the
transcriptional control of the DNA replication factor partner of Sld5-1 (PSF1;
official name GINS1) promoter to assess whether active ECs can be distinguished
from dormant ECs. Predictably, ECs in the adult skin exhibited no EGFP signals.
However, after s.c. injection of tumor cells, some ECs shifted to EGFP
positivity, enabling distinction of EGFP-positive from EGFP-negative cells. We
found that only a fraction of the EGFP-negative ECs strongly expressed the
glycosylphosphatidylinositol-anchor protein CD109 associated with the
phosphatidylinositol 3-kinase pathway. Taken together, these data indicate that
areas of vascular normalization in tumors can be detected by CD109 expression,
and this provides a window of opportunity for timing chemotherapy.
PMID- 29650229
TI - Allergen component analysis as a tool in the diagnosis and management of
occupational allergy.
AB - We are now in the epoch of "molecular allergology" and numerous clinically
relevant allergenic molecules are available improving the performance of in vitro
allergen tests and allergen detection methods. This review is focusing on
characterized occupational allergens and their implementation into the in vitro
diagnosis for occupational allergy and in allergen detection methods. More than
400 occupational agents are identified and documented as being 'respiratory
sensitizers', but currently only a limited number of them are characterized on
the molecular level and available for routine diagnosis as native or recombinant
allergens. One exception, however, is natural rubber latex (NRL) from Hevea
brasiliensis still remaining an important occupational allergen source.
Characterization of 15 NRL allergens led to the development of assays for the
determination of allergen content of NRL materials and the implementation of
component-resolved diagnosis (CRD) for specific IgE antibody measurement.
Microarray or singleplex using recombinant or native allergens are reliable tools
for NRL allergy diagnosis. In addition, NRL allergy is an excellent model for
improving extract-based specific IgE measurement by amplification of NRL extract
preparation with stable recombinant major allergen rHev b 5. Despite the many
efforts to characterize the occupationally relevant wheat allergens for baker's
asthma, the most frequently occurring forms of occupational asthma, the results
are highly diverse. Wheat sensitization profiles of bakers showed great
interindividual variability and no wheat allergen could be classified as the
major allergen. For diagnosis of baker's asthma, a whole wheat extract is still
the best option for specific IgE determination. But single wheat allergens might
help to discriminate between wheat-induced food allergy, grass pollen allergy and
baker's asthma. For workplace-related allergens like coffee, wood, soybean,
seafood and moulds allergens are characterized and few of them are available, but
their relevance for occupational sensitization routes should be verified in the
further studies.
PMID- 29650230
TI - Production of spliced peptides by the proteasome.
AB - CD8+ cytolytic T lymphocytes are essential players of anti-tumor immune
responses. On tumors, they recognize peptides of about 8-to-10 amino acids that
generally result from the degradation of cellular proteins by the proteasome.
Until a decade ago, these peptides were thought to solely correspond to linear
fragments of proteins that were liberated after the hydrolysis of the peptide
bonds located at their extremities. However, several examples of peptides
containing two fragments originally distant in the protein sequence challenged
this concept and demonstrated that proteasome could also splice peptides together
by creating a new peptide bond between two distant fragments. Unexpectedly,
peptide splicing emerges as an essential way to increase the peptide repertoire
diversity as these spliced peptides were shown to represent up to 25% of the
peptides presented on a cell by MHC class I. Here, we review the different steps
that led to the discovery of peptide splicing by the proteasome as well as the
lightening offered by the recent progresses of mass spectrometry and
bioinformatics in the analysis of the spliced peptide repertoire.
PMID- 29650231
TI - Corrigendum to "37Cl/35Cl isotope ratio analysis in perchlorate by ion
chromatography/multi collector-ICPMS: Analytical performance and implication for
biodegradation studies" [Chemosphere 184 (2017) 192-196].
PMID- 29650232
TI - Antibiotic risk assessment needs to protect both environmental and human health.
PMID- 29650233
TI - Association between bisphenol a exposure and idiopathic central precocious
puberty (ICPP) among school-aged girls in Shanghai, China.
AB - BACKGROUND: Bisphenol A (BPA) is a well-known and widely used endocrine
disrupter, but data on its association with childhood reproductive development
are limited. OBJECTIVES: We investigated the possible relationship between
exposure to BPA and idiopathic central precocious puberty (ICPP) in school-aged
girls. METHODS: We conducted a 1:1 matched case-control study in Shanghai, China,
between July 2011 and September 2012. This study included 136 school-aged (6 to 9
years old) girls diagnosed with ICPP and 136 controls matched for age and body
mass index (BMI). We measured the urinary BPA concentrations of all the girls and
examined the association with odds of having ICPP. Laboratory examinations
including serum estradiol (E2) levels, basal and gonadotropin-releasing hormone
(GnRH)-stimulated luteinizing hormone (LH), and follicle-stimulating hormone
(FSH) levels, bone ages (BA), and uterine and ovarian sizes were conducted in the
ICPP girls. RESULTS: Median concentrations of urinary BPA in the ICPP and control
groups were 6.35 and 1.17 MUg/g creatinine (Cr), respectively (p < 0.001). After
adjustment for confounders, compared to those with the lowest concentrations of
BPA, the highest concentrations were associated with a 9.08-fold increased odds
of having ICPP [odds ratio (OR) = 9.08, (95% confidence interval (CI): 2.83
29.15)]. In the ICPP group, modest negative correlation was present between
urinary BPA concentrations (MUg/g Cr) and peak FSH levels [beta = -0.090 (95% CI:
-0.178, -0.003), p = 0.044]. CONCLUSIONS: Our findings suggest that BPA exposure
is associated with increased odds of having ICPP in school-aged girls, and the
potential mechanism may be attributable to the relatively low FSH levels.
PMID- 29650234
TI - Re: Refined Analysis of Prostate-specific Antigen Kinetics to Predict Prostate
Cancer Active Surveillance Outcomes.
PMID- 29650235
TI - Re: Adjuvant Sandwich Chemotherapy Plus Radiotherapy vs Adjuvant Chemotherapy
Alone for Locally Advanced Bladder Cancer After Radical Cystectomy: A Randomized
Phase 2 Trial.
PMID- 29650236
TI - Re: WATER: A Double-blind, Randomized, Controlled Trial of Aquablation vs
Transurethral Resection of the Prostate in Benign Prostatic Hyperplasia.
PMID- 29650237
TI - Relation Between Wrist Circumference and Left Ventricular Structure in Overweight
Children.
AB - The aim of this study was to assess the relation of wrist circumference with
changes in left ventricular (LV) structure in a population of overweight/obese
children and adolescents. One hundred and six children and adolescents were
consecutively enrolled. In all subjects body weight, height, wrist circumference,
waist circumference, body mass index-standard deviation score, fasting glucose,
insulin, lipid profile, and blood pressure were evaluated. All subjects underwent
echocardiographic assessment, and the following parameters were evaluated: LV
dimension at end diastole and LV dimension at end systole, LV posterior wall
thickness at end diastole and LV posterior wall thickness at end systole,
interventricular septal thickness at end diastole and interventricular septal
thickness at end systole, LV mass, and epicardial adipose tissue (EAT). LV
hypertrophy was defined as LVM Index >=95th percentile. Wrist circumference
correlated with all parameters of LV dimensions and LV mass (p <0.0001) and EAT
(p = 0.04). The strongest correlations were reported between wrist circumference
with LV dimension at end diastole and LV dimension at end systole (r = 0.73 and r
= 0.68 respectively, p <0.0001, for both). Results of the multivariate regression
analysis showed that wrist circumference was significantly associated with all
parameters of LV dimensions, LV mass, and EAT (p <=0.002). The logistic
regression showed that wrist circumference was significantly associated with LV
hypertrophy (odds ratio 1.39, p = 0.046). In conclusion, wrist circumference
could be a useful measure of cardiovascular risk in obese children and
adolescents, opening new perspectives in the prediction of cardiovascular
diseases.
PMID- 29650238
TI - Incidence, Timing, Causes and Predictors of Early and Late Re-Hospitalization in
Patients Who Underwent Percutaneous Mitral Valve Repair With the MitraClip
System.
AB - The pattern and reasons for re-hospitalization (RH) after MitraClip implantation
are not well characterized. A total of 322 consecutive MitraClip patients were
included, with data stratified by RH status. Multivariate analyses were conducted
to identify predictors of early (30-day) and late (30-day to 12-month) RH. Eighty
nine patients (27.6%) were readmitted to hospital during the study period and
early RH occurred in 27%. The median time from MitraClip to RH was 99 days. RH
was mostly related to cardiovascular causes (66.3%). Anemia and gastrointestinal
bleeding were the most frequent noncardiovascular causes. Independent predictors
of early RH were length of stay >=3 days during the index procedure (odds ratio
[OR] 4.13, 95% confidence interval [CI] 1.32 to 12.91), reduction of left
ventricular ejection fraction >=5% after MitraClip implantation (OR 4.88, 95% CI
1.36 to 18.91), and severe systolic pulmonary artery pressure >=60 mm Hg at
discharge (OR 3.72, 95% CI 1.23 to 11.26). Conversely, the independent predictors
of late RH were device failure (OR 4.02, 95% CI 1.22 to 13.25) and systolic
pulmonary artery pressure >=60 mm Hg at discharge (OR 2.34, 95% CI 1.01 to 5.44).
In patients with early RHs, survival was significantly worse at 12 months
compared with patients with late RH and no-RH (69.3% vs 82.6% vs 86%, p <0.001).
In conclusion, RH is not uncommon after MitraClip implantation and cardiovascular
causes represent its most frequent etiology. Clinical and echocardiographic
predictors of early and late RH can be identified at discharge. Early RH carries
a worse prognosis than late RH.
PMID- 29650239
TI - Lifestyle Therapy for the Management of Atrial Fibrillation.
AB - Atrial fibrillation (AF) is a common arrhythmia associated with increased risk of
morbidity and mortality. There is evidence that lifestyle interventions may serve
as complementary treatments to reduce AF burden. The objective of this review was
to summarize the efficacy of lifestyle interventions for the management of AF.
Studies which included patients with systolic heart failure (ejection fraction
<=40%), and those limited to an examination of vigorous physical activity were
excluded from our search. Studies were identified through a search of the
following databases: MEDLINE, EMBASE, CINAHIL, and PubMed, run from inception
through August 2016. All studies were graded for quality using the Oxford Centre
for Evidence-based Medicine recommendations. Meta-analyses of the studies were
not performed due to the heterogeneity of the studies. From a total of 1,811
publications, 10 articles were identified and included. Selected publications
included 1 study on yoga, 2 studies on acupuncture, 3 studies that examined
weight loss programs, and 4 studies that evaluated the impact of moderate
physical activity. Yoga was associated with less symptomatic AF episodes and
improved quality of life. Acupuncture was associated with reduced AF occurrence
in patients with persistent and paroxysmal AF. Weight loss was associated with a
significant reduction AF burden and symptoms. Moderate exercise resulted in
greater arrhythmia free survival and a mean reduction in AF burden. In
conclusion, evidence exists to suggest that yoga, weight loss, and moderate
exercise are associated with reductions in AF burden and symptoms. Evidence is
greatest for weight loss and moderate exercise.
PMID- 29650240
TI - Serum Bisphenol A is an independent risk factor of hyperuricemia: A 6-year
prospective study.
AB - OBJECTIVE: This study aims to evaluate whether serum Bisphenol A (BPA) is a risk
factor for hyperuricemia. METHODS: In this prospective study, a total of 482
participants without hyperuricemia were enrolled at baseline and followed up for
6 years. Clinical characteristics were recorded, and serum levels of uric acid
and BPA were measured. Participants were stratified into tertiles according to
low, median, and high baseline serum BPA levels. Regression models were used to
analyze associations of serum BPA with the change in uric acid and the risk of
developing hyperuricemia. RESULTS: At baseline, serum concentrations of BPA was
0.51 (0.24-2.37) ng/mL. After 6 years of follow-up, the change in serum uric acid
concentration from baseline to the 6-year mark was significantly higher in
subjects with higher baseline BPA concentration (0.03 +/- 0.19, 0.07 +/- 0.21,
and 0.11 +/- 0.25mg/dL for low, median, and high tertiles, respectively, P =
0.006). When adjusted for potential confounders, such as age, renal function, and
history of diabetes and hypertension, multivariable logistic analyses showed that
subjects in the median or high baseline BPA tertiles exhibited a twofold higher
risk of 6-year hyperuricemia incidence compared to subjects in the low baseline
BPA tertile [odds ratio (OR) = 2.28 (95% CI: 1.05-4.95) for the median tertile;
2.42 (1.07-5.48) for the high tertile, Pfor Trend = 0.043]. CONCLUSION: In
conclusion, serum BPA is an independent risk factor for hyperuricemia.
PMID- 29650241
TI - Input-to-state stability of time-varying nonlinear discrete-time systems via
indefinite difference Lyapunov functions.
AB - In this paper, we propose new sufficient criteria for input-to-state stability
(ISS) of time-varying nonlinear discrete-time systems via indefinite difference
Lyapunov functions. The proposed sufficient conditions for ISS of system are more
relaxed than for ISS with respect to Lyapunov functions with negative definite
difference. We prove system is ISS by two methods. The first way is to prove
system is ISS by indefinite difference ISS Lyapunov functions. The second method
is to prove system is ISS via introducing an auxiliary system and indefinite
difference robust Lyapunov functions. The comparison of the sufficient conditions
for ISS obtained via the two methods is discussed. The effectiveness of our
results is illustrated by three numerical examples.
PMID- 29650242
TI - Pain after ortho-plastic reconstruction of lower limb injuries: The importance of
standardizing analgesic management.
PMID- 29650243
TI - Testicular macrophages: Guardians of fertility.
AB - Macrophages are innate immune cells present in essentially every organ of the
body with dedicated tissue specific functions. We will present in this review the
unique properties and functions of macrophage populations residing in the testis,
an immune-privileged organ. Testicular macrophages (tMPhi) could be seen as
guardians of fertility due to their immunosuppressive functions protecting
spermatogenesis from auto immune-attack. They exhibit testis specific functions
with essential roles in normal testis homeostasis and fetal testicular
development. Recently, two distinct testicular macrophage populations have been
characterized based on different localization, morphology, gene expression
profiles, developmental origin and postnatal development. We will discuss the
importance of these two testicular macrophage populations for organ specific
functions such as testosterone production and spermatogenesis, as well as their
role in establishing immuno-privilege highlighting the contributions of
macrophages to male fertility.
PMID- 29650244
TI - Heart macrophages and dendritic cells in sickness and in health: A tale of a
complicated marriage.
AB - Heart disease is the major cause of death and it is broadly recognized that the
immune system plays a central role in healthy and injured heart. Here, we focus
on the contribution of various subsets of mononuclear phagocytes in the cardiac
system. Macrophages and dendritic cells reside in the healthy myocardium to
fulfill homeostatic functions and rapidly increase in numbers in diseases like
myocardial ischemia and myocarditis to contribute to disease or resolve it.
Recent experiments have revealed the extraordinary heterogeneity of cardiac
mononuclear phagocytes that differ in origin, lifespan, phenotype and function.
Although many studies described cardiac phagocytes in the mouse, subsets of
cardiac mononuclear phagocytes can also be broadly found in the human heart,
opening up the potential of selective targeting of these cells in a therapeutic
setting. Before this goal can be achieved we need better understanding not only
of the detrimental but also beneficial functions of these highly diverse cells in
the heart.
PMID- 29650245
TI - Integrated care in Switzerland: Results from the first nationwide survey.
AB - INTRODUCTION: Due to fragmentation of care delivery, health systems are under
pressure and integrated care is advocated for. Compared to the numerous existing
integrated care initiatives in Europe and elsewhere, Switzerland seems to lag
behind. METHODS: The objective of the survey was to produce a comprehensive
overview of integrated care initiatives in Switzerland. To be included,
initiatives needed to meet four criteria: present some type of formalization,
consider >2 different groups of healthcare professionals, integrate >2 healthcare
levels, be ongoing. We systematically contacted major health system organizations
at federal, cantonal and local level. Between 2015 and 2016, we identified 172
integrated care initiatives and sent them a questionnaire. We performed
descriptive analyses. RESULTS: Integrated care initiatives in Switzerland are
frequent and increasing. The implementation of initiatives over time, their
distribution between linguistic areas, the number of healthcare levels
integrated, and the number of professionals involved vary according to the type
of initiatives. DISCUSSION: Despite Switzerland's federalist structure and
organization of healthcare, and only recent incentives to develop integrated
care, initiatives are frequent and diverse. Stakeholders should support existing
initiatives and facilitate their development. They should also promote innovative
avenues, experiment alternative payment models for integrated care, foster people
centeredness and incentivize interprofessional models. This will require systems
thinking and contributions from all actors of the healthcare system.
PMID- 29650246
TI - Public Health Science Conference: a call for abstracts.
PMID- 29650247
TI - Department of Error.
PMID- 29650249
TI - The emerging Chinese COPD epidemic.
PMID- 29650248
TI - Prevalence and risk factors of chronic obstructive pulmonary disease in China
(the China Pulmonary Health [CPH] study): a national cross-sectional study.
AB - BACKGROUND: Although exposure to cigarette smoking and air pollution is common,
the current prevalence of chronic obstructive pulmonary disease (COPD) is unknown
in the Chinese adult population. We conducted the China Pulmonary Health (CPH)
study to assess the prevalence and risk factors of COPD in China. METHODS: The
CPH study is a cross-sectional study in a nationally representative sample of
adults aged 20 years or older from ten provinces, autonomous regions, and
municipalities in mainland China. All participants underwent a post
bronchodilator pulmonary function test. COPD was diagnosed according to 2017
Global Initiative for Chronic Obstructive Lung Disease (GOLD) criteria. FINDINGS:
Between June, 2012, and May, 2015, 57 779 individuals were invited to
participate, of whom 50 991 (21 446 men and 29 545 women) had reliable post
bronchodilator results and were included in the final analysis. The overall
prevalence of spirometry-defined COPD was 8.6% (95% CI 7.5-9.9), accounting for
99.9 (95% CI 76.3-135.7) million people with COPD in China. Prevalence was higher
in men (11.9%, 95% CI 10.2-13.8) than in women (5.4%, 4.6-6.2; p<0.0001 for sex
difference) and in people aged 40 years or older (13.7%, 12.1-15.5) than in those
aged 20-39 years (2.1%, 1.4-3.2; p<0.0001 for age difference). Only 12.0% (95% CI
8.1-17.4) of people with COPD reported a previous pulmonary function test. Risk
factors for COPD included smoking exposure of 20 pack-years or more (odds ratio
[OR] 1.95, 95% CI 1.53-2.47), exposure to annual mean particulate matter with a
diameter less than 2.5 MUm of 50-74 MUg/m3 (1.85, 1.23-2.77) or 75 MUg/m3 or
higher (2.00, 1.36-2.92), underweight (body-mass index <18.5 kg/m2; 1.43, 1.03
1.97), sometimes childhood chronic cough (1.48, 1.14-1.93) or frequent cough
(2.57, 2.01-3.29), and parental history of respiratory diseases (1.40, 1.23
1.60). A lower risk of COPD was associated with middle or high school education
(OR 0.76, 95% CI 0.64-0.90) and college or higher education (0.47, 0.33-0.66).
INTERPRETATION: Spirometry-defined COPD is highly prevalent in the Chinese adult
population. Cigarette smoking, ambient air pollution, underweight, childhood
chronic cough, parental history of respiratory diseases, and low education are
major risk factors for COPD. Prevention and early detection of COPD using
spirometry should be a public health priority in China to reduce COPD-related
morbidity and mortality. FUNDING: Ministry of Health and Ministry of Science and
Technology of China.
PMID- 29650250
TI - Thermo-setting glass ionomer cements promote variable biological responses of
human dental pulp stem cells.
AB - OBJECTIVE: To evaluate the in vitro cytotoxicity of Equia Forte (GC, Tokyo,
Japan) and Ionostar Molar (Voco, Cuxhaven, Germany) on human dental pulp stem
cells (hDPSCs). METHODS: hDPSCs isolated from third molars were exposed to
several dilutions of Equia Forte and Ionostar Molar eluates (1/1, 1/2 and 1/4).
These eluates were obtained by storing material samples in respective cell
culture medium for 24h (n=40). hDPSCs in basal growth culture medium were the
control. Cell viability and cell migration assays were performed using the MTT
and wound-healing assays, respectively. Also, induction of apoptosis and changes
in cell phenotype were evaluated by flow cytometry. Changes in cell morphology
were analysed by immunocytofluorescence staining. To evaluate cell attachment to
the different materials, hDPSCs were directly seeded onto the material surfaces
and analyzed by scanning electron microscopy (SEM). The chemical composition of
the materials was determined by energy dispersive X-ray (EDX) and eluates were
analyzed by inductively coupled plasma-mass spectrometry (ICP-MS). Statistical
analysis was performed with analysis of variance (ANOVA) and Student's t-test
(alpha<0.05). RESULTS: Undiluted Equia Forte extracts led to a similar cell
proliferation rates than the control group from 72h onwards. There were no
significance differences between Equia Forte and Ionostar Molar in terms of cell
apoptosis and phenotype. However, in presence of Equia extracts the migration
capacity of hDPSCs was higher than in presence of Ionostar Molar (p<0.05). Also,
SEM studies showed a higher degree of cell attachment when Equia Forte extracts
were used. Finally, EDX analysis pointed to different weight percentages of C, O
and Ca ions in glass ionomer cements, while other elements such as La, Al, Si, W,
Mo and F were also detected. SIGNIFICANCE: In summary, Equia Forte promoted
better biological responses in hDPSCs than Ionostar Molar.
PMID- 29650251
TI - Exploring the molecular mechanisms of Traditional Chinese Medicine components
using gene expression signatures and connectivity map.
AB - BACKGROUND AND OBJECTIVE: Traditional Chinese Medicine (TCM) has been practiced
over thousands of years in China and other Asian countries for treating various
symptoms and diseases. However, the underlying molecular mechanisms of TCM are
poorly understood, partly due to the "multi-component, multi-target" nature of
TCM. To uncover the molecular mechanisms of TCM, we perform comprehensive gene
expression analysis using connectivity map. METHODS: We interrogated gene
expression signatures obtained 102 TCM components using the next generation
Connectivity Map (CMap) resource. We performed systematic data mining and
analysis on the mechanism of action (MoA) of these TCM components based on the
CMap results. RESULTS: We clustered the 102 TCM components into four groups based
on their MoAs using next generation CMap resource. We performed gene set
enrichment analysis on these components to provide additional supports for
explaining these molecular mechanisms. We also provided literature evidence to
validate the MoAs identified through this bioinformatics analysis. Finally, we
developed the Traditional Chinese Medicine Drug Repurposing Hub (TCM Hub) - a
connectivity map resource to facilitate the elucidation of TCM MoA for drug
repurposing research. TCMHub is freely available in
http://tanlab.ucdenver.edu/TCMHub. CONCLUSIONS: Molecular mechanisms of TCM could
be uncovered by using gene expression signatures and connectivity map. Through
this analysis, we identified many of the TCM components possess diverse MoAs,
this may explain the applications of TCM in treating various symptoms and
diseases.
PMID- 29650252
TI - The interferon-stimulated gene TRIM22: A double-edged sword in HIV-1 infection.
AB - Infection of target cells by the human immunodeficiency virus type-1 (HIV-1) is
hampered by constitutively expressed host cell proteins preventing or curtailing
virus replication and therefore defined as "restriction factors". Among them,
members of the tripartite motif (TRIM) family have emerged as important players
endowed with both antiviral effects and modulatory capacity of the innate immune
response. TRIM5alpha and TRIM19 (i.e. promyelocytic leukemia, PML) are among the
best-characterized family members; however, in this review we will focus on the
potential role of another family member, i.e. TRIM22, a factor strongly induced
by interferon stimulation, in HIV infection in vivo and in vitro in the context
of its broader antiviral effects. We will also focus on the potential role of
TRIM22 in HIV-1-infected individuals speculating on its dual role in controlling
virus replication and more complex role in chronic infection. At the molecular
levels, we will review the evidence in favor of a relevant role of TRIM22 as
epigenetic inhibitor of HIV-1 transcription acting by preventing the binding of
the host cell transcription factor Sp1 to the viral promoter. These evidences
suggest that TRIM22 should be considered a potential new player in either the
establishment or maintenance of HIV-1 reservoirs of latently infected cells
unaffected by combination antiretroviral therapy.
PMID- 29650253
TI - Small Cell Breast Cancer with Lung Metastases.
PMID- 29650254
TI - NUT Midline Carcinoma in the Mediastinum in a Ten-Year-Old Boy.
PMID- 29650255
TI - Glucocorticoid-loaded liposomes induce a pro-resolution phenotype in human
primary macrophages to support chronic wound healing.
AB - Glucocorticoids are well established anti-inflammatory agents, however, their use
to treat chronic inflammatory diseases is limited due to a number of serious side
effects. For example, long-term local treatment of chronic wounds with
glucocorticoids is prohibited by dysregulation of keratinocyte and fibroblast
function, leading to skin thinning. Here, we developed and tested liposome
formulations for local delivery of dexamethasone to primary human macrophages, to
drive an anti-inflammatory/pro-resolution phenotype appropriate for tissue
repair. The liposomes were loaded with the pro-drug dexamethasone-phosphate and
surface-modified with either polyethylene glycol or phosphatidylserine. The
latter was used to mimic phosphatidylserine-harboring apoptotic cells, which are
substrates for efferocytosis, an essential pro-resolution function. Both
formulations induced a dexamethasone-like gene expression signature in
macrophages, decreased IL6 and TNFalpha release, increased secretion of
thrombospondin 1 and increased efferocytosis activity. Phosphatidylserine
modified liposomes exhibited a faster uptake, a higher potency and a more robust
phenotype induction than polyethylene glycol-modified liposomes. Fibroblast and
keratinocyte cell cultures as well as a 3D skin equivalent model showed that
liposomes applied locally to wounds are preferentially phagocytosed by
macrophages. These findings indicate that liposomes, in particular upon shell
modification with phosphatidylserine, promote dexamethasone delivery to
macrophages and induce a phenotype suitable to support chronic wound healing.
PMID- 29650256
TI - Impact of route and adequacy of nutritional intake on outcomes of allogeneic
haematopoietic cell transplantation for haematologic malignancies.
AB - BACKGROUND: Allogeneic haematopoietic cell transplantation (HCT) is often
associated with poor oral intake due to painful mucositis and gastrointestinal
sequalae that occur following a preparative regimen of intensive chemotherapy
and/or total body radiation. Although attractive to assume that optimal nutrition
improves HCT outcomes, there are limited data to support this. It is also unclear
whether artificial nutrition support should be provided as enteral tube feeding
or parenteral nutrition (PN). METHODS: We analysed day-100 non-relapse mortality
(NRM), incidence of acute graft-versus-host disease (GvHD), acute
gastrointestinal GvHD, 5-year survival and GvHD-free/relapse-free survival (GRFS)
according to both route and adequacy of nutritional intake prior to neutrophil
engraftment, together with other known prognostic factors, in a retrospective
cohort of 484 patients who underwent allogeneic HCT for haematologic malignancy
between 2000 and 2014. RESULTS: Multivariate analyses showed increased NRM with
inadequate nutrition (hazard ratio (HR) 4.1; 95% confidence interval (CI) 2.2
7.2) and adequate PN (HR 2.9; 95% CI 1.6-5.4) compared to adequate enteral
nutrition (EN) both P < .001. There were increased incidences of gastrointestinal
GvHD of any stage and all GvHD >= grade 2 in patients who received PN (odds ratio
(OR) 2.0; 95% CI 1.2-3.3; P = .006, and OR 1.8; 95% CI 1.1-3.0; P = .018,
respectively), compared to adequate EN. Patients who received adequate PN and
inadequate nutrition also had reduced probabilities of survival and GRFS at 5
years. CONCLUSION: Adequate EN during the early transplantation course is
associated with reduced NRM, improved survival and GRFS at 5 years. Furthermore,
adequate EN is associated with lower incidence of overall and gut acute GvHD than
PN, perhaps because of its ability to maintain mucosal integrity, modulate the
immune response to intensive chemo/radiotherapy and support the gastrointestinal
tract environment, including gut microflora.
PMID- 29650258
TI - [Chorioretinal anastomosis as a complication of idiopathic macular telangiectasia
type 2].
PMID- 29650257
TI - Neuropeptide Y mitigates ER stress-induced neuronal cell death by activating the
PI3K-XBP1 pathway.
AB - The unfolded protein response (UPR) is an evolutionarily conserved adaptive
reaction that increases cell survival under endoplasmic reticulum (ER) stress
conditions. ER stress-associated neuronal cell death pathways play roles in the
pathogenesis of neurodegenerative diseases, including Alzheimer's, Parkinson's,
and Huntington's disease. Neuropeptide Y (NPY) has an important role in
neuroprotection against neurodegenerative diseases. In this study, we
investigated whether NPY has a protective role in ER stress-induced neuronal cell
death in SK-N-SH human neuroblastoma cells. An ER stress-inducing chemical,
tunicamycin, increased the activities of caspase-3 and -4, whereas pretreatment
with NPY decreased caspase-3 and -4 activities during the ER stress response. In
addition, NPY suppressed the activation of three major ER stress sensors during
the tunicamycin-induced ER stress response. NPY-mediated activation of PI3K
increased nuclear translocation of XBP1s, which in turn induced expression of
Grp78/BiP. Taken together, our data indicated that NPY plays a protective role in
ER stress-induced neuronal cell death through activation of the PI3K-XBP1
pathway, and that NPY signaling can serve as therapeutic target for ER stress
mediated neurodegenerative diseases.
PMID- 29650259
TI - Intralenticular Ozurdex implant: What to do and how.
PMID- 29650260
TI - [Bilateral "Mickey Mouse" posterior synechiae].
PMID- 29650261
TI - Maribavir, brincidofovir and letermovir: Efficacy and safety of new antiviral
drugs for treating cytomegalovirus infections.
AB - Cytomegalovirus (CMV) infection is a common complication in immunocompromised
patients, especially after hematopoietic stem cell or solid organ
transplantation. Therapeutic antiviral options [(val)ganciclovir, foscarnet,
cidofovir] are still limited and can expose to severe toxicities. Moreover,
prolonged antiviral drug exposure and ongoing viral replication are key factors
in the development of antiviral drug resistance. After many years of few tangible
advances in terms of new antiviral drugs, we are now experiencing an exciting
period characterized by a series of phase III clinical trials incorporating three
novel agents: maribavir, brincidofovir, and letermovir. This article summarizes
the current state of the prevention and treatment of CMV infections as well as
data of investigational drugs in clinical development.
PMID- 29650262
TI - Triple flap technique for vulvar reconstruction.
AB - OBJECTIVE: Perineal defects are encountered ever more frequently, in the
treatment of vulvar cancers or abdominoperineal resection. The surgical treatment
of vulvar cancer leads to significant skin defect. The aim of the reconstruction
is not to provide volume but rather to resurface perineum. We propose a new
solution to cover the extensive skin defect remaining after excision. METHODS: We
report 3 patients who underwent large excision for vulvar cancer, with lymph node
dissection. For reconstruction, we performed 3 advancement flaps. Two V-Y flaps
cantered on the infra-gluteal folds and based on pudendal perforator arteries
were used to cover the postero-lateral parts of the defect. The third advancement
flap from the superior aspect of the defect was a Y-V Mons pubis flap. RESULTS:
The defects were successfully covered by the 3 flap technique. The first patient
suffered a non-union that slowly healed by secondary intention. For the other
cases, we used the same technique, but applied negative pressure wound therapy on
the sutures, with excellent results. CONCLUSION: The 3 flap technique is a simple
and reliable method and the donor site morbidity is minimal. It can be realised
without changing the position of the patient after tumour excision, and does not
require delicate perforator dissection. This surgical option can be easily
applied, allowing better management of these cases.
PMID- 29650263
TI - [Should surgeons keep performing drainage after breast reduction?]
AB - OBJECTIVE: Despite the absence of "evidence-based medicine", the use of closed
suction drainage in breast surgery is currently the standard practice. Its goal
is to minimize the amount of fluid at the operation site, the dead space that can
involve postoperative complications. The purpose of this study is to demonstrate
that with or without drainage the complication rate is unchanged. METHODS: We
conducted a retrospective and comparative study of two groups of breast reduction
with and without drainage. Every complication has been recorded and statistically
analyzed: seroma and hematoma, infections, wound breakdown, skin flap or nipple
areola complex necrosis, fat necrosis and reoperation. RESULTS: A total of 138
breast reductions were performed (37 drained patients and 32 non-drained). Data
collection of complications was done on average 10months after the operation (1
15). There was no statistical difference between the two groups regarding the
complication rate. Our results confirm the ones found in the literature.
CONCLUSION: Except for specific cases (e.g. gigantomasty), this study
demonstrates that after breast reduction, drainage is not appropriate. Drains do
not reduce postoperative complications and can increase hospitalization length of
stay (inducing higher costs). Furthermore, it is often source of pain, anxiety
and discomfort for patients.
PMID- 29650264
TI - Diagnostic accuracy of bioimpedance spectroscopy in patients with lymphedema: A
retrospective cohort analysis.
AB - BACKGROUND: Bioimpedance spectroscopy (BIS) is used by healthcare specialists to
diagnose lymphedema. BIS measures limb fluid content by assessing tissue
resistance to the flow of electric current. However, there is debate regarding
the validity of BIS in diagnosing early lymphedema. Indocyanine green (ICG)
lymphography has been established as the most accurate diagnostic modality to
date for lymphedema diagnosis. In this retrospective study, we test the
sensitivity, specificity, and diagnostic accuracy of BIS in diagnosing lymphedema
by referencing its results with ICG lymphography. METHODS: Patients presented to
the University of Iowa Lymphedema Center from 2015 to 2017 were evaluated with a
standardized protocol that included history and physical examination, a validated
lymphedema-specific quality-of-life assessment (LYMQOL), circumference
measurement-based index, BIS, and ICG lymphography. Diagnostic accuracy of BIS
was assessed using ICG lymphography as a reference test. RESULTS: Fifty-eight
patients had positive ICG lymphography results, which confirmed the diagnosis of
lymphedema. ICG lymphographic findings consistently correlated with clinical
examination, LYMQOL evaluation, and lymphedema indices. By contrast, BIS
demonstrated a false-negative rate of 36% - 21 out of 58 patients had normal BIS
readings, but a positive ICG lymphography result. The 21 false-negative results
occurred in patients with early-stage disease. Sensitivity and specificity for
BIS were 0.64 and 1, respectively. CONCLUSION: BIS carries an excessively high
rate of false-negative results to be dependably used as a diagnostic modality for
lymphedema. ICG lymphography highly correlates with other tracking modalities,
and it remains the most reliable tool for diagnosing lymphedema.
PMID- 29650265
TI - Anaemia in patients who underwent vascular surgery: a significant predictor of
amputation and death.
AB - BACKGROUND AND OBJECTIVE: In patients with peripheral artery disease requiring
surgery, anaemia has been found to independently predict short and medium term
higher morbidity and mortality. PATIENTS AND METHODS: We retrospectively studied
all patients undergoing surgery, consecutively during 2months in 12 vascular
surgery units. We analysed cardiovascular risk factors and preoperative
haemoglobin. Statistical analysis was done with Kaplan-Meier for survival and
logistic regression modelling to identify predictors of mortality. RESULTS: 518
patients were consecutively operated on in our vascular units, the mortality rate
was 21% the first year and 34% for cardiovascular events. Preoperative anaemia
was present in 63% of the ischemic patients and in 23% of the patients requiring
aneurysm repair, one year after surgery it increased to 68% and 50% respectively.
When preoperative anaemia was superior to 10mg/dl, one year survival increased
(96% vs. 90%), fewer cardiovascular events occurred and there were fewer
amputations (24% vs. 68%). CONCLUSIONS: On multivariable analysis: age, renal
failure, chronic lung disease, coronary artery disease, postoperative
complications and previous cardiovascular events were associated with an
increased risk mortality rate. Preoperative haemoglobin influenced proportionally
such that for every 1mg /dl increase, the probability of mortality decreases by
0.81. Preoperative anaemia, especially when haemoglobin is inferior to 10mg/dl,
is associated with an increased risk of death and amputation.
PMID- 29650266
TI - Increased sensorimotor network connectivity associated with clozapine eligibility
in people with schizophrenia.
AB - Schizophrenia is a heterogeneous disorder that exhibits variable responsiveness
to treatment between individuals. Here we conducted a resting-state functional
magnetic resonance imaging (rs-fMRI) study to determine whether resistance to
first-line antipsychotics is reflected in resting-state connectivity. rs-fMRI
data were collected from 15 people who had failed to respond to first-line
antipsychotics (clozapine-eligible) and 10 first-line treatment responders (FLR).
Image pre-processing and analysis were performed using FMRIB's software library
(FSL). Data was decomposed into spatial and temporal components using independent
components analysis. Connectivity within each independent component was compared
between groups using t-tests and the Bonferroni correction for multiple
comparisons. Gender was added as a covariate. Clozapine-eligible individuals
exhibited enhanced functional connectivity within the sensorimotor network
compared with FLR. Those eligible for clozapine showed additional connectivity
with the precuneus compared with FLR. No other comparisons reached statistical
significance and no effect of gender was observed. These data reveal differences
in functional connectivity between FLR and those eligible for clozapine and
suggest that greater connectivity between the SMN and precuneus may be indicative
of treatment resistance in people with schizophrenia.
PMID- 29650267
TI - Virtual Touch Tissue Quantification for Assessing Renal Pathology in Idiopathic
Nephrotic Syndrome.
AB - The aim of this study was to evaluate Virtual Touch tissue quantification in
assessing renal interstitial fibrosis in patients with idiopathic nephrotic
syndrome. Ninety patients with idiopathic nephrotic syndrome were assigned to
mild, moderate and severe groups depending on the degree of renal interstitial
fibrosis on histopathologic examination of renal biopsy specimens. Thirty healthy
patients were also selected as the control group. Virtual Touch tissue
quantification was performed to measure the shear wave velocity of the renal
parenchyma. There was no statistically significant difference in shear wave
velocity between the mild and control groups (p > 0.05); however, the moderate
and severe groups did significantly differ compared with the control (p <0.001).
The area under the receiver operating characteristic curve value for the shear
wave velocity of renal interstitial fibrosis in the moderate group versus the
control and mild groups together was 0.869 (95% confidence interval: 0.791-0.947)
and that in the severe group versus the control, mild and moderate groups
together was 0.954 (95% confidence interval: 0.917-0.998). The corresponding best
cutoff points were 2.41 and 2.77 m/s, with sensitivities of 91.7% and 86.8%,
specificities of 78.0% and 92.0%, negative predictive values 0.907 and 0.907,
positive predictive values 0.800 and 0.971 and Youden index values of 0.697 and
0.788, respectively. Virtual Touch tissue quantification may non-invasively and
quantitatively estimate the degree of renal interstitial fibrosis in patients
with idiopathic nephrotic syndrome as a baseline for monitoring progression and
treatment response.
PMID- 29650268
TI - Efficacy and toxicity profile of carfilzomib based regimens for treatment of
multiple myeloma: A systematic review.
AB - Standard induction therapy for multiple myeloma is three-drug combination based
on following classes of drugs: proteasome inhibitors, immunomodulators and
steroids. Despite its notable efficacy, bortezomib has side effects like
peripheral neuropathy (PNP) with reported incidence of grade >=3 PNP between 2%
23% Schlafer et al., 2017. Carfilzomib (CFZ) has high selectivity and minimal off
target adverse effects including lower rates of PNP. CFZ is already approved for
treatment of relapsed and refractory multiple myeloma (RRMM) as single agent as
well as in combination with lenalidomide and/or dexamethasone. Extensive
literature search identified a total of 1839 articles. Twenty-six articles (n =
5980) met the inclusion criteria, 15 in newly diagnosed multiple myeloma (NDMM)
and 11 in RRMM group. CFZ demonstrates comparable or even better efficacy to
bortezomib with much favorable AE profile. Deep, rapid and sustainable response
using KRd with safer toxicity profile supports extension of KRd therapy to
frontline therapy for all risk categories of MM. High incidence of grade >=3 HTN
underscores the importance of serial BP monitoring. In RRMM, CFZ has documented
efficacy with standard 20-27mg/m2 dose. Further large-scale trials are needed to
study benefit-to-risk profile of 20-56 and 20-70 mg/m2 dose of CFZ vs standard 20
27 mg/m2 dose in NDMM and RRMM.
PMID- 29650269
TI - Current perspectives on the crosstalk between lung cancer stem cells and cancer
associated fibroblasts.
AB - Lung cancer, in particular non-small cell lung carcinoma (NSCLC), is the second
most common cancer in both men and women and the leading cause of cancer-related
deaths worldwide. Its prognosis and diagnosis are determined by several driver
mutations and diverse risk factors (e.g. smoking). While immunotherapy has proven
effective in some patients, treatment of NSCLC using conventional chemotherapy is
largely ineffective. The latter is believed to be due to the existence of a
subpopulation of stem-like, highly tumorigenic and chemoresistant cells within
the tumor population known as cancer stem cells (CSC). To complicate the
situation, CSCs interact with the tumor microenvironment, which include cancer
associated fibroblasts (CAFs), immune cells, endothelial cells, growth factors,
cytokines and connective tissue components, which via a dynamic crosstalk,
composed of proteins and exosomes, activates the CSC compartment. In this review,
we analyze the crosstalk between CSCs and CAFs, the primary component of the
NSCLC microenvironment, at the molecular and extracellular level and contemplate
therapies to disrupt this communication.
PMID- 29650270
TI - Radioiodine refractory differentiated thyroid cancer.
AB - Differentiated thyroid cancer (DTC) is usually curable with surgery, radioactive
iodine (RAI), and thyroid-stimulating hormone (TSH) suppression. However, local
recurrence and/or distant metastases occur in approximately 15% of cases during
follow-up, and nearly two-thirds of these patients will become RAI-refractory (RR
DTC) with a poor prognosis. This review focuses on the most challenging and
rapidly evolving aspects of RR-DTC, and we discuss the considerable improvement
in more accurately defining RR-DTC, more effective therapeutic strategies, and
describe the diagnosis, pathogenesis, and future prospects of RR-DTC. Along with
the detection of serum thyroglobulin and anatomic imaging modalities, such as
ultrasound and computer tomography, radionuclide molecular imaging plays a vital
role in the evaluation of RR-DTC. In addition, continual progress has been made
in the management of RR-DTC, including watchful waiting under appropriate TSH
suppression, local treatment approaches, and systemic therapies (molecular
targeted therapy, redifferentiation therapy, gene therapy, and cancer
immunotherapy). These all hold promise to change the natural history of RR-DTC.
PMID- 29650271
TI - Effect of anticoagulants on admission rates and length of hospital stay for acute
venous thromboembolism: A systematic review of randomized control trials.
AB - BACKGROUND: There is a paucity of data available on hospitalization and length of
stay (LOS) for different anticoagulant therapies. We sought to compare and
summarize admission rates and LOS, and describe the frequency of reporting these
two outcomes in randomized control trials (RCTs) comparing different
anticoagulant therapies for venous thromboembolism (VTE). METHODS: A literature
search was conducted from inception to August 15, 2016 on RCTs of anticoagulant
therapy for patients with VTE. Study selection, data extraction and risk of bias
analysis were done by two reviewers independently. Meta-analyses were conducted
for admission rates and LOS. RESULTS: A total of 4064 articles were identified.
There were 74 articles of 70 studies included in the analysis. Hospitalization
rates and LOS were reported in 13 (18.6%) and 12 (17.1%) of the 70 included
studies, respectively. Low-molecular-weight heparin (LMWH)-treated patients were
33.0% less likely to be admitted to hospitals compared to unfractionated heparin
(UFH) (RR = 0.67, 95% CI [0.58, 0.78]). The mean difference in LOS between LMWH
and UFH was 2.54 days in favor of LMWH (95% CI [-4.94, -0.14]). Compared to
parenteral therapy, using rivaroxaban was associated with a lower admission rate
for a difference of 1.4-5.1% in VTE, 2.5% in DVT and 0.2% in PE. The LOS of
patients receiving rivaroxaban was significant shorter than the LOS in parenteral
therapy group for a difference of 1-5 days in VTE, 3 days in DVT and 1 day in PE.
CONCLUSION: Admission rates were lower and LOS was shorter using LMWH compared to
UFH and oral therapy compared to parenteral therapy for acute VTE treatment in
RCTs, based on limited eligible RCTs. These crucial clinically relevant outcomes
are underreported in the existing VTE clinical trials.
PMID- 29650272
TI - Treatment of spinal metastases in renal cell carcinoma: A critical review.
AB - Kidney cancer is the 9th most common cancer in men and the 14th most common in
women worldwide. Renal cell carcinoma (RCC) constitutes 90% of all malignancies
of the kidney. RCC, is known to be highly vascular and relatively radioresistant.
Bone metastases are one of the most common metastatic sites and occur in around
30% of RCCs. They significantly impact the quality of life of patients causing
pain and pathological fractures. Spinal metastases represent a particular case
with regard to symptoms and treatment. Indeed, neurological pain is often added
to the nociceptive pain caused by metastases. More importantly, neurological
impairment can be seen, caused by spinal cord or nerve root compression (MSCC).
Due to close contact with the spinal cord, the treatment of spinal bone
metastases is challenging and requires a multidisciplinary approach. Specific
treatment is currently focused on 4 main avenues which are surgery, radiotherapy,
interventional radiology and systemic treatment. In June 2017 we carried out an
extensive search on PubMed, Web of Science, and Cochrane Library to review the
various treatment options and to establish a treatment strategy. This article
presents the result of our critical review of the literature, given our expertise
in the field.
PMID- 29650273
TI - Dose-dense weekly chemotherapy in advanced ovarian cancer: An updated meta
analysis of randomized controlled trials.
AB - OBJECTIVE: The use of dose-dense weekly chemotherapy in the management of
advanced ovarian cancer (OC) remains controversial. The aim of this meta-analysis
was to evaluate the efficacy of dose-dense regimen to improve clinical outcomes
in OC patients with the inclusion of new trials. METHODS: For this updated meta
analysis, PubMed Medline and Scopus databases and meeting proceedings were
searched for eligible studies with the limitation of randomized controlled
trials, comparing dose-dense chemotherapy versus standard treatment. Trials were
grouped in two types of dose-dense chemotherapy: weekly dose-dense (both
paclitaxel and carboplatin weekly administration) and semi-weekly dose-dense
(weekly paclitaxel and three weekly carboplatin administration). Data were
extracted independently and were analyzed using RevMan statistical software
version 5.3 (http://www.cochrane.org). Primary end-point was progression-free
survival (PFS). RESULTS: Four randomized controlled trials comprising 3698
patients were identified as eligible. Dose-dense chemotherapy had not a
significant benefit on PFS (HR 0.92, 95% CI 0.81-1.04, p = 0.20). When the
analysis was restricted to both weekly and semi-weekly dose-dense data, a no
significant interaction between dose-dense and standard regimen was confirmed (HR
1.01, 95% CI 0.93-1.10 and HR 0.82, 95% CI 0.63-1.08, respectively). CONCLUSIONS:
In the absence of PFS superiority of dose-dense schedule, three weekly schedule
should remain the standard of care for advanced OC.
PMID- 29650274
TI - Oral cancer in Fanconi anemia: Review of 121 cases.
AB - Fanconi anemia (FA) is a rare autosomal recessive genetic disorder characterized
by aplastic anemia, progressive pancytopenia, congenital anomalies, and increased
risk of cancer development. After hematopoietic stem cell transplant (HSCT),
patients have an estimated 500-fold increase in the risk of developing head and
neck cancer compared to a non-affected, and the oral cavity is affected in one
third of cases. Thus, this study aimed to better understand the natural history
of oral cavity cancer in patients affected by FA. After conducting a keyword
search on MEDLINE, we found 121 cases of oral cavity cancer in patients who had
been affected by FA. In conclusion, HSCT may increase the risks of oral cancer
development, especially after 5 years after the transplant. In the normal
population, the tongue is the most affected area. FA patients should be informed
of the risks of oral malignant transformation and encouraged to be undergo
medical surveillance.
PMID- 29650275
TI - Metformin for non-small cell lung cancer patients: Opportunities and pitfalls.
AB - Despite exciting advances of the anticancer armamentarium in the recent years,
mortality of non-small cell lung cancer (NSCLC) remains high and novel treatments
are requisite. Therapy intensification is explored with promising, but expensive
and potentially toxic new compounds. Repositioning already existing drugs for
cancer treatment could save money and improve patient outcomes in specific
contexts. Observational data suggest that use of the standard antidiabetic agent
metformin decreases lung cancer incidence and mortality. Several basic researches
have shown various anticancer effects of metformin, acting both on the glycolytic
metabolism and on the tumoral immune microenvironment. Synergistic actions of
metformin with antitumoral agents in preclinical NSCLC models have then been
highlighted. Recent retrospective studies advocated improved outcomes in NSCLC
diabetic patients receiving metformin with chemoradiotherapy or systemic
compounds (including conventional platinum-based chemotherapy and EGFR tyrosine
kinase inhibitors). Several prospective randomized trials are therefore currently
assessing the addition of metformin to standard therapy in non-diabetic lung
cancer patients. This article reviews promises and possible limitations of
concurrent metformin used as an anticancer agent in NSCLC patients.
PMID- 29650276
TI - Classic biphasic pulmonary blastoma: A case report and review of the literature.
AB - Pulmonary blastomas represent about 0.5% of primary pulmonary malignancies. The
prognosis is poor. Standard treatment consists of surgical excision. There are no
published series on which to judge the efficacy of chemotherapy or radiation
therapy. We describe an unusual case of classic biphasic pulmonary blastoma
(CBPC), with long-term survival despite numerous and varied cancer-related events
and review the literature. Our 71-year-old Caucasian woman presented with history
of blood in sputum in 2009. Right lower lobectomy yielded a diagnosis of
sarcomatoid carcinoma (pneumoblastoma). Unusually, our patient is still alive 7
years after initial surgery, despite metastatic first relapse after 2 years.
Metastatic progression was confirmed histologically on three separate occasions
during the disease course. The patient received a combination of cisplatin (or
carboplatin) and etoposide on three separate occasions. Molecular biology studies
of CBPC are needed to identify effective treatments, and a patient registry
should be created.
PMID- 29650277
TI - Pharmacogenetics of androgen signaling in prostate cancer: Focus on castration
resistance and predictive biomarkers of response to treatment.
AB - Tumor heterogeneity strongly affects the molecular mechanisms driving resistance
to hormonal therapies in castration-resistant prostate cancer. Since the current
use of available treatments can be optimized on the basis of the molecular
profile of tumor, the present review focuses on genetic biomarkers in prostate
cancer and their application to a personalized treatment.
PMID- 29650278
TI - Circulating tumor cells count as a predictor of survival in lung cancer.
AB - The presence of circulating tumor cells (CTCs) in the peripheral blood of cancer
patients was first described in the second half of the 19th century, but research
interest in their potential clinical utility has intensified and greatly expanded
only in recent years. Herein, we summarize and critically discuss current
knowledge on CTC count as a predictor of survival in lung cancer, and comment on
the existing challenges and future perspectives in this field. The majority of
data published to date, including the results of almost all large cohorts, are
strongly supportive of the value of CTC enumeration as a predictor of survival,
mainly in advanced/metastatic non-small and small cell lung cancer (NSCLC and
SCLC, respectively). Nonetheless, additional research is warranted to establish
the prognostic relevance of CTC count in other clinical settings, mainly
encompassing earlier-stage disease as well as specific molecular subtypes of
NSCLC (e.g. EGFR mutation-positive or ALK-positive cases).
PMID- 29650279
TI - The best strategy for RAS wild-type metastatic colorectal cancer patients in
first-line treatment: A classic and Bayesian meta-analysis.
AB - BACKGROUND: At present, there is uncertainty on the best systemic treatment in
first-line setting for RAS wild-type (WT) metastatic colorectal cancer (mCRC)
patients. Indeed, several chemotherapy and biologics combinations showed an
improvement on survival. We performed a systematic review with a pair-wise and
bayesan meta-analysis to rank the best strategy for these patients. METHODS: A
systematic literature search through March 2017 was performed to evaluate the
association between several treatment combinations and overall survival (OS),
progression-free survival (PFS), overall response rate (ORR) and toxicity rate
(TR) in RAS WT mCRC patients. Data were extracted from studies and pooled using
the random-effect model for pair-wise meta-analyses and bayesan model for network
meta-analysis (NMA). RESULTS: Eight studies with a total of 2518 individuals were
included in the meta-analyses. Pooled analyses for subgroups stratified by type
of schedule and tumor location demonstrated that anti-EGFR + doublet had the best
OS when compared to doublet +/- bevacizumab (0.767; 95%CI, 0.695-0.846; P <
0.0001). This benefit is limited to LSCC when compared to a doublet-based
schedule and doublet + bevacizumab (HRs, 0.692; 95%CI, 0.596-0.804; P < 0.001;
0.706; 95%CI, 0.584-0.854; P < 0.001; respectively). No significant differences
are detected in PFS, whereas the cetuximab-based regimens showed the highest ORR
and TR. In NMA our ranking showed the best performance for FOLFOX + panitumumab.
CONCLUSIONS: Our study indicates that FOLFOX + panitumumab has the major
probability to provide an improvement of survival with a good safety profile in
patients with RAS WT mCRC with an added value from selection based on sidedness.
PMID- 29650280
TI - Evaluation of the quality of the reporting of phase II clinical trials in
oncology: A systematic review.
AB - OBJECTIVE: To describe the current state of knowledge concerning the quality of
reporting in phase II clinical trials in oncology and to describe the various
methods published allowing this quality evaluation. METHODS: databases including
MEDLINE and COCHRANE were searched. Reviews and meta-analyses analyzing the
quality of the reporting of phase II trials in oncology were included.
Descriptive analysis of the results was performed. RESULTS: Thirteen publications
were retained. Only 2 publications adopted a systematic approach of evaluation of
the quality of reporting by overall scores. The Key Methodological Score (KMS),
proposed by Grellety et al., gathering 3 items, seemed adapted for such an
evaluation. A score of 3/3 was found in 16.1% of the 156 phase II trials analysed
by this score. The other reviews used a qualitative analysis to evaluate the
reporting, via an analysis of a single criterion, generally the statistical plan
of the study. This item was considered as having been correctly reported in less
than 50% of the analysed articles. CONCLUSION: The quality of reporting in phase
II trials in oncology is a field that has been investigated very little (13
publications). When it is studied, the estimated level of quality is not
satisfactory, whatever the method employed. The use of an overall score of
evaluation is a path which should be pursued, in order to get reliable results.
It also seems necessary to propose strong recommendations, which would create a
consensus for the methodology and the reporting of these studies.
PMID- 29650281
TI - Recent developments and obstacles in the treatment of melanoma with BRAF and MEK
inhibitors.
AB - Metastatic melanoma is a least common form of cancer as it accounts only for 1%
of all cancer cases. But, it is most deadly in nature and is haunting mankind for
long emotionally as well as economically. The sites for the onset of the disease
are pigment-producing cells of the skin, mucosa, eye etc. It has the potential to
spread other sites like subcutaneous tissue, lymph nodes, lungs, liver, bone and
brain. The United States Food & Drug Administration has approved various drug
molecules from time to time. The molecules (Dabrafenib-BRAF inhibitor and
Trametinib-MEK inhibitor) have proved their credentials alone and in combination
as well. These molecules have demonstrated good results for various end points
like median progression free survival, overall survival, objective response etc.
The median progression free survival for patients using dabrafenib and trametinib
were 5.1 and 4.8 months, respectively (administered singly). It has increased to
11.4 months in the combination treatment "dabrafenib + trametinib", which is
approximately 104% and 138% greater than dabrafenib and trametinib treated groups
alone. Similarly, the overall survival rate and objective response rate for the
patients administered with "dabrafenib + trametinib" have been increased by 72%
64%, respectively. All these increments in these parameters were for a short
period of time as the molecules were unable to withstand the pressure of
resistance developed in the patients. So, the current review suggests the use of
BRAF and MEK inhibitors as intermittent therapy along with heat shock protein 90
(HSP90) molecules.
PMID- 29650282
TI - Meeting the needs of breast cancer: A nucleolin's perspective.
AB - A major challenge in the management of breast cancer disease has been the
development of metastases. Finding new molecular targets and the design of
targeted therapeutic approaches to improve the overall survival and quality of
life of these patients is, therefore, of great importance. Nucleolin, which is
overexpressed in cancer cells and tumor-associated blood vessels, have been
implicated in various processes supporting tumorigenesis and angiogenesis.
Additionally, its overexpression has been demonstrated in a variety of human
neoplasias as an unfavorable prognostic factor, associated with a high risk of
relapse and low overall survival. Hence, nucleolin has emerged as a relevant
target for therapeutic intervention in cancer malignancy, including breast
cancer. This review focus on the contribution of nucleolin for cancer disease and
on the development of therapeutic strategies targeting this protein. In this
respect, it also provides a critical analysis about the potential and pitfalls of
nanomedicine for cancer therapy.
PMID- 29650283
TI - [Interventions for smoking cessation in 2018].
AB - Smoking cessation treatments have been proved effective to stop smoking. For
pharmacological treatments, nicotine replacement therapies (NRT) as well as
bupropion allow to increase 6 month-abstinence rates by more than 80% in
comparison with placebo while varenicline prescription doubles success rates in
the same conditions. These results mean that for 10 smokers who quit with
placebo, 18 are expected to quit with NRT or bupropion and 28 are expected to
quit with varenicline. Varenicline is 50% more effective than nicotine patch and
70% more effective than nicotine gum. Nevertheless, a combination including NRT
patch and oral nicotine forms is as effective as varenicline, thus leading to
encourage the prescription of a combination NRT when NRT are chosen. For these
three pharmacological treatments, cardiovascular as well as neuropsychiatric
tolerance were not found statistically different from placebo in randomized
controlled trials. Yet, bupropion prescription leads to an increasing risk of
seizure (1/1000 to 1/1500). For behavioral treatment, motivational interviewing
as well as cognitive behavior therapies are been proven to be effective to stop
smoking but few smokers have access to this treatment. Smoking cessation mobile
application and smartphone application seem to be promising in terms of
effectiveness and might be useful to reach more smokers.
PMID- 29650284
TI - [Home non-invasive ventilation for chronic obstructive pulmonary disease].
AB - INTRODUCTION: The benefits of long-term non-invasive ventilation (NIV) in the
management of chronic obstructive pulmonary disease (COPD) patients remain
controversial. AIM: To analyze the characteristics of COPD patients under home
NIV and to evaluate its impact among this population. METHODS: We carried out a
retrospective study between January 2002 and April 2016 of COPD patients under
long-term NIV at "la Rabta" and the Military Hospital. RESULTS: There were 27
patients with an average age of 64 and a sex ratio (M/F) of 0.92. Active smoking
was reported in 96.3%. A persistent hypercapnia following an acute exacerbation
of COPD with failure to wean the NIV was the main indication of long-term NIV. We
noted a reduction in hospital admissions in the first year of 60% and in
intensive care of 83.3% (P<10-3). There was no non-significant decrease of PaCO2
(4.5mmHg). There was no modification in FEV 1 and in FVC (P>0.05). The survival
rate was 96.3% at 1 year, 83.3% at 2 years and a median survival of 24 months.
CONCLUSIONS: Our study suggests that home NIV contributes to the stabilization of
some COPD patients by reducing the hospitalizations rates for exacerbation. More
prospective studies are needed to better assess the impact of NIV on survival and
quality of life and to better define the COPD patients who require NIV.
PMID- 29650286
TI - Is a Picture Worth a Thousand Monitors? Utility of a Miniature TEE Probe in the
ICU.
PMID- 29650285
TI - Physical Activity, Sedentary Behavior, and Retirement: The Multi-Ethnic Study of
Atherosclerosis.
AB - INTRODUCTION: Physical activity and sedentary behavior are major risk factors for
chronic disease. These behaviors may change at retirement, with implications for
health in later life. The study objective was to describe longitudinal patterns
of moderate to vigorous and domain-specific physical activity and TV watching by
retirement status. METHODS: Participants in the Multi-Ethnic Study of
Atherosclerosis (n=6,814) were recruited from six U.S. communities and were aged
45-84 years at baseline. Retirement status and frequency and duration of domain
specific physical activity (recreational walking, transport walking, non-walking
leisure activity, caregiving, household, occupational/volunteer) and TV watching
were self-reported at four study exams (2000 to 2012). Fixed effect linear
regression models were used to describe longitudinal patterns in physical
activity and TV watching by retirement status overall and stratified by
socioeconomic position. Analyses were conducted in 2017. RESULTS: Of 4,091 Multi
Ethnic Study of Atherosclerosis participants not retired at baseline, 1,012 (25%)
retired during a median of 9 years follow-up. Retirement was associated with a
10% decrease (95% CI= -15%, -5%) in moderate to vigorous physical activity and
increases of 13% to 29% in recreational walking, household activity, and TV
watching. Among people of low socioeconomic position, the magnitude of
association was larger for moderate to vigorous physical activity. Among people
of high socioeconomic position, the magnitude of association was larger for non
walking leisure and household activity. CONCLUSIONS: The retirement transition
was associated with changes in physical activity and TV watching. To inform
intervention development, future research is needed on the determinants of
behavior change after retirement, particularly among individuals of low
socioeconomic position.
PMID- 29650287
TI - Structure-activity relationship study of a series of caspase inhibitors
containing gamma-amino acid moiety for treatment of cholestatic liver disease.
AB - A series of caspase inhibitors containing gamma-amino acid moiety have been
synthesized. A systemic study on their structure-activity relationship of anti
apoptotic cellular activity is presented. These efforts led to the discovery of
compound 20o as a potent caspase inhibitor, which demonstrated preclinical
ameliorating total bilirubin efficacy with a significantly improved
pharmacokinetic profile.
PMID- 29650288
TI - Duocarmycin SA, a potent antitumor antibiotic, sensitizes glioblastoma cells to
proton radiation.
AB - New treatment modalities for glioblastoma multiforme (GBM) are urgently needed.
Proton therapy is considered one of the most effective forms of radiation therapy
for GBM. DNA alkylating agents such as temozolomide (TMZ) are known to increase
the radiosensitivity of GBM to photon radiation. TMZ is a fairly impotent agent,
while duocarmycin SA (DSA) is an extremely potent cytotoxic agent capable of
inducing a sequence-selective alkylation of duplex DNA. Here, the effects of sub
nM concentrations of DSA on the radiosensitivity of a human GBM cell line (U-138)
to proton irradiation were examined. Radiation sensitivity was determined by
viability, apoptosis, necrosis and clonogenic assays. DSA concentrations as low
as 0.001 nM significantly sensitized U-138 cells to proton irradiation. DSA
demonstrates synergistic cytotoxicity against GBM cells treated with proton
radiation in vitro, which may represent a novel therapeutic alternative for the
treatment of GBM.
PMID- 29650289
TI - Optimization of dipeptidic inhibitors of cathepsin L for improved Toxoplasma
gondii selectivity and CNS permeability.
AB - The neurotropic protozoan Toxoplasma gondii is the second leading cause of death
due to foodborne illness in the US, and has been designated as one of five
neglected parasitic infections by the Center for Disease Control and Prevention.
Currently, no treatment options exist for the chronic dormant-phase Toxoplasma
infection in the central nervous system (CNS). T. gondii cathepsin L (TgCPL) has
recently been implicated as a novel viable target for the treatment of chronic
toxoplasmosis. In this study, we report the first body of SAR work aimed at
developing potent inhibitors of TgCPL with selectivity vs the human cathepsin L.
Starting from a known inhibitor of human cathepsin L, and guided by structure
based design, we were able to modulate the selectivity for Toxoplasma vs human
CPL by nearly 50-fold while modifying physiochemical properties to be more
favorable for metabolic stability and CNS penetrance. The overall potency of our
inhibitors towards TgCPL was improved from 2 MUM to as low as 110 nM and we
successfully demonstrated that an optimized analog 18b is capable of crossing the
BBB (0.5 brain/plasma). This work is an important first step toward development
of a CNS-penetrant probe to validate TgCPL as a feasible target for the treatment
of chronic toxoplasmosis.
PMID- 29650290
TI - P3-P4 ureas and reverse carbamates as potent HCV NS3 protease inhibitors:
Effective transposition of the P4 hydrogen bond donor.
AB - A series of tripeptidic acylsulfonamide inhibitors of HCV NS3 protease were
prepared that explored structure-activity relationships (SARs) at the P4
position, and their in vitro and in vivo properties were evaluated. Enhanced
potency was observed in a series of P4 ureas; however, the PK profiles of these
analogues were less than optimal. In an effort to overcome the PK shortcomings,
modifications to the P3-P4 junction were made. This included a strategy in which
one of the two urea N-H groups was either N-methylated or replaced with an oxygen
atom. The former approach provided a series of regioisomeric N-methylated ureas
while the latter gave rise to P4 reverse carbamates, both of which retained
potent NS3 inhibitory properties while relying upon an alternative H-bond donor
topology. Details of the SARs and PK profiles of these analogues are provided.
PMID- 29650291
TI - The synthesis and antistaphylococcal activity of N-sulfonaminoethyloxime
derivatives of dehydroabietic acid.
AB - A series of N-sulfonaminoethyloxime derivatives of dehydroabietic acid were
synthesized and investigated for their antibacterial activity against
Staphylococcus aureus Newman strain and multidrug-resistant strains (NRS-1, NRS
70, NRS-100, NRS-108 and NRS-271). Most of the target compounds having chloro,
bromo, trifluoromethyl phenyl moiety exhibited potent in vitro antistaphylococcal
activity. The meta-CF3 phenyl derivative T23 showed the highest activity with MIC
of 0.39-0.78 MUg/mL against S. aureus Newman, while several analogues showed
similar potent antibacterial activity with MIC values between 0.78 and 1.56
MUg/mL against five multidrug-resistant S. aureus. The stability of T35 in plasma
of SD rat and the cellular cytotoxicity were also evaluated.
PMID- 29650292
TI - Novel compounds that target lipoprotein lipase and mediate growth arrest in acute
lymphoblastic leukemia.
AB - Over the past decade, the therapeutic strategies employed to treat B-precursor
acute lymphoblastic leukemia (ALL) have been progressively successful in treating
the disease. Unfortunately, the treatment associated dyslipidemia, either acute
or chronic, is very prevalent and a cause for decreased quality of life in the
surviving patients. To overcome this hurdle, we tested a series of
cylopropanecarboxamides, a family demonstrated to target lipid metabolism, for
their anti-leukemic activity in ALL. Several of the compounds tested showed anti
proliferative activity, with one, compound 22, inhibiting both Philadelphia
chromosome negative REH and Philadelphia chromosome positive SupB15 ALL cell
division. The novel advantage of these compounds is the potential synergy with
standard chemotherapeutic agents, while concomitantly blunting the emergence of
dyslipidemia. Thus, the cylopropanecarboxamides represent a novel class of
compounds that can be potentially used in combination with the present standard
of-care to limit treatment associated dyslipidemia in ALL patients.
PMID- 29650293
TI - Quinone skeleton as a new class of irreversible inhibitors against Staphylococcus
aureus sortase A.
AB - Sortase A (SrtA) anchors surface proteins to the cell wall and aids biofilm
formation during infection, which functions as a key virulence factor of
important Gram-positive pathogens, such as Staphylococcus aureus. At present
researchers need a way in which to validate whether or not SrtA is a druggable
target alternative to the conventional antibiotic targets in the mechanism. In
this study, we performed a high-throughput screening and identified a new class
of potential inhibitors of S. aureus SrtA, which are derived from natural
products and contain the quinone skeleton. Compound 283 functions as an
irreversible inhibitor that covalently alkylates the active site Cys184 of SrtA.
NMR analysis confirms the direct interaction of the small-molecule inhibitor
towards SrtA protein. The anchoring of protein A (SpA) to the cell wall and the
biofilm formation are significantly attenuated when the S. aureus Newman strain
is cultured in the presence of inhibitor. Our study indicates that compound 283
could be a potential hit for the development of new anti-virulence agents against
S. aureus infections by covalently targeting SrtA.
PMID- 29650294
TI - Increased methylation at an unexplored glucocorticoid responsive element within
exon 1D of NR3C1 gene is related to anxious-depressive disorders and decreased
hippocampal connectivity.
AB - Among the major psychiatric disorders, anxious-depressive disorders stand out as
one of the more prevalent and more frequently associated with hypothalamic
pituitary-adrenal (HPA) axis abnormalities. Methylation at the exon 1F of the
glucocorticoid receptor gene NR3C1 has been associated with both early stress
exposure and risk for developing a psychiatric disorder; however, other NR3C1
promoter regions have been underexplored. Exon 1D emerges as a suggestive new
target in stress-related disorders epigenetically sensitive to early adversity.
After assessment of 48 monozygotic twin pairs (n=96 subjects) informative for
lifetime history of anxious-depressive disorders, they were classified as
concordant, discordant or healthy in function of whether both, one or neither
twin in each pair had a lifetime diagnosis of anxious-depressive disorders. DNA
for epigenetic analysis was extracted from peripheral blood. Exon 1F and exon 1D
CpG-specific methylation was analysed by means of pyrosequencing technology.
Functional magnetic resonance imaging was available for 54 subjects (n=27 twin
pairs). Exon 1D CpG-specific methylation within a glucocorticoid responsive
element (GRE) was correlated with familial burden of anxious-depressive disorders
(r=0.35, z=2.26, p=0.02). Right hippocampal connectivity was significantly
associated with CpG-specific GRE methylation (beta=-2.33, t=-2.85, p=0.01). Exon
1F was uniformly hypomethylated across all subgroups of the present sample. GRE
hypermethylation at exon 1D of the NR3C1 gene in monozygotic twins concordant for
anxious-depressive disorders suggests this region plays a role in increasing
vulnerability to psychosocial stress, partly mediated by altered hippocampal
connectivity.
PMID- 29650295
TI - Acquired von Willebrand syndrome and impaired platelet function during venovenous
extracorporeal membrane oxygenation: Rapid onset and fast recovery.
AB - BACKGROUND: Bleeding contributes to the high mortality of venovenous
extracorporeal membrane oxygenation (vvECMO). The development of acquired von
Willebrand syndrome (AVWS) has been identified as relevant pathology during ECMO.
This study was performed to determine the onset of AVWS after implantation and
the recovery of von Willebrand factor (VWF) parameters after explantation of ECMO
in a large cohort of patients. METHODS: VWF parameters of 59 patients treated
with vvECMO at a university ECMO center were obtained before ECMO implantation,
during therapy, and after explantation. In a subgroup of patients, light
transmission aggregometry of platelets and flow-cytometric quantification of
platelet granule secretion were performed. RESULTS: All patients developed severe
AVWS hours after implantation of vvECMO. After explantation, AVWS recovered
within 3 hours in 60%, within 6 hours in 86%, and in all patients within 1 day.
Aggregometry showed hypoaggregability of platelets after stimulation with ADP,
ristocetin, collagen, and epinephrine. Flow-cytometric platelet analyses revealed
severely reduced expression of CD62 and CD63. CONCLUSIONS: All patients during
vvECMO support rapidly develop AVWS and platelet dysfunction, resulting in severe
impairment of coagulation. After explantation, AVWS overwhelmingly recovers
within hours, resulting in a hypercoagulative state. These findings augment the
need for novel extracorporeal technologies with reduced shear stress, and shift
the emphasis for intense anti-coagulation during ECMO instead to a time-point
after explantation.
PMID- 29650296
TI - Prognostic usefulness of arm circumference and nutritional screening tools in
older patients with cardiovascular disease.
AB - BACKGROUND AND AIM: Arm circumference (AC) and nutritional screening tools have
been shown to have prognostic capability in patients with cardiovascular disease
(CVD). This study aimed to compare the prognostic predictive capabilities of AC
and nutritional screening tools in older patients with CVD. METHODS AND RESULTS:
The study population consisted of 949 admitted patients >=60 years old with CVD.
Patients underwent AC measurement and nutritional screening before hospital
discharge. We used the controlling nutritional status index (CONUT), the
geriatric nutritional risk index (GNRI), and the prognostic nutritional index
(PNI) as nutritional screening tools. The end point of the study was all-cause
mortality. The mean age of the study population was 72.3 +/- 7.2 years, and 68.2%
of the patients were male. A total of 130 deaths occurred over a median follow-up
period of 2.2 years (interquartile range, 1.1-3.8 years). After adjusting for
other prognostic factors, AC (hazard ratio [HR]: 0.59; p < 0.001), CONUT (HR:
0.82; p = 0.016), GNRI (HR: 0.77; p = 0.040), and PNI (HR: 0.80; p = 0.014) were
significant predictors of mortality. However, adding AC to the multivariate
adjusted model (0.739 vs. 0.714, respectively; p = 0.037), but not CONUT, GNRI,
or PNI (0.724, 0.717, and 0.723 vs. 0.714; p = 0.072, p = 0.306, and p = 0.127,
respectively), significantly increased the area under the curve on receiver
operating characteristic curve. CONCLUSIONS: AC, but not nutritional screening
tools, plays a complementary role to preexisting prognostic factors for
predicting prognosis in older patients with CVD.
PMID- 29650297
TI - N-terminal pro B-Type natriuretic peptide is inversely correlated with low
density lipoprotein cholesterol in the very elderly.
AB - BACKGROUND AND AIMS: Laboratory studies on human adipose tissue and
differentiated adipocytes indicate that natriuretic peptides (NPs) affect lipid
metabolism and plasma cholesterol. Few previous clinical studies in non-elderly
populations found associations between NPs in the physiological range and
cholesterol. AIM: evaluate the association between NT-proBNP and lipid profile in
very elderly hospitalized patients characterized by a wide range of NT-proBNP
levels. METHODS AND RESULTS: Cross-sectional study on 288 very elderly patients
hospitalized for medical conditions, in which increased NT-proBNP levels are very
common. NT-proBNP, total cholesterol (TC), HDL cholesterol (HDLc) and
triglycerides were collected just few days before discharge. Patients taking
lipid-lowering drugs and patients with an admission diagnosis of acute heart
failure were excluded. Calculated LDL-cholesterol (LDLc) was used for the
analyses. Mean age: 87.7 +/- 6.2 years; female prevalence (57.3%). Median NT
proBNP: 2949 (1005-7335) pg/ml; mean TC: 145.1 +/- 40.3 mg/dl; mean HDLc: 38.4 +/
18.6 mg/dl; median triglycerides: 100 (75-129) mg/dl; mean LDLc: 84.0 +/- 29.5
mg/dl. We found negative correlations between NT-proBNP and both TC and LDLc (Rho
= -0.157; p = 0.008 and Rho = -0.166; p = 0.005, respectively), while no
correlations emerged between NT-proBNP and HDLc (Rho = -0.065; p = 0.275) or
triglycerides (Rho = -0.009; p = 0.874). These associations were confirmed
considering NT-proBNP tertiles. The inverse association between NT-proBNP and
LDLc was maintained even after adjusting for confounding factors. CONCLUSION: Our
real-life clinical study supports the hypothesis that NPs play a role on
cholesterol metabolism, given the association found between LDLc and NT-proBNP
even in very elderly patients where NT-proBNP values are often in the
pathological range.
PMID- 29650298
TI - Lead extraction from Cathode Ray Tube (CRT) funnel glass: Reaction mechanisms in
thermal reduction with addition of carbon (C).
AB - This study quantitatively determined the extraction of lead from CRT funnel glass
and examined the mechanisms of thermally reducing lead in the products of
sintering Pb-glass with carbon in the pre-heated furnace. The experimentally
derived results indicate that a 90.3 wt% lead extraction efficiency can be
achieved with 20 wt% of C addition at 950 degrees C for 3 min under air. The
formation of viscous semi-liquid glass blocked the oxygen supply between the
interaction of C and Pb-glass, and was highly effective for the extraction of
metallic Pb. A maximum of 87.3% lead recover was obtained with a C to Na2CO3
ratio of 1/3 at 1200 degrees C. The decrease of C/Na2CO3 ratio enhanced the
metallic lead recovery by increasing the glass viscosity for effective
sedimentation of metallic lead in the bottom. However, with the further increase
of temperature and treatment time, re-vitrification of lead back to silicate
glass matrix was detected in both Pb-glass/C and Pb-glass/C/Na2CO3 systems. The
findings indicated that with proper controls, using C as an inexpensive reagent
can effectively reduce treatment time and energy, which is crucial to a waste-to
resource technology for economically recovering lead from the waste CRT glass.
PMID- 29650299
TI - Reporting of complications after laparoscopic cholecystectomy: a systematic
review.
AB - BACKGROUND: Consistent measurement and reporting of outcomes, including
adequately defined complications, is important for the evaluation of surgical
care and the appraisal of new surgical techniques. The range of complications
reported after LC has not been evaluated. This study aimed to identify the range
of complications currently reported for laparoscopic cholecystectomy (LC), and
the adequacy of their definitions. METHODS: MEDLINE, EMBASE, and the Cochrane
Central Register of Controlled Trials were searched for prospective studies
reporting clinical outcomes of LC, between 2013 and 2016. RESULTS: In total 233
studies were included, reporting 967 complications, of which 204 (21%) were
defined. One hundred and twenty-two studies (52%) did not provide definitions for
any of the complications reported. Conversion to open cholecystectomy was the
most commonly reported complication, reported in 135 (58%) studies, followed by
bile leak in 89 (38%) and bile duct injury in 75 (32%). Mortality was reported in
89 studies (38%). CONCLUSION: Considerable variation was identified between
studies in the choice of measures used to evaluate the complications of LC, and
in their definitions. A standardised set of core outcomes of LC should be
developed for use in clinical trials and in evaluating the performance of
surgical units.
PMID- 29650300
TI - [Surgical treatment of an auto-immune hemolytic anemia].
AB - INTRODUCTION: Auto-immune hemolytic anemia (AIHA) is a rare cause of anemia,
characterized by autoantibodies directed against self red blood cells. It can be
primary or secondary, in particular due to lymphoproliferative diseases. CASE
REPORT: We report the case of a 24-year-old woman who presented with a severe
macrocytic anemia associated with an ovarian teratoma. CONCLUSION: Ovarian
teratoma is a rare cause of secondary AIHA, with only few cases reported. Its
treatment differs from primary AIHA as steroids may be ineffective. Indeed,
complete response can only be achieved with surgical excision of the tumor.
PMID- 29650301
TI - [Pulmonary intravascular talcosis: A case report].
AB - INTRODUCTION: Pulmonary intravascular talcosis is a rare condition occurring in
intravenous drug users injecting oral medications. Talc results in a foreign-body
granulomatous reaction giving a radiological haematogenic miliary appearance
mimicking miliary tuberculosis. Drug users represent a population at risk for
both these conditions and their distinction may be challenging. CASE REPORT: We
reported the case of a man, 33 year-old, intravenous drug addict, detected by the
health services because he was the partner of a person who died of contagious and
multi-resistant tuberculosis. Chest X-ray and CT scan showed a typical miliary
appearance. Despite negative microbiology, clinical diagnosis of miliary
tuberculosis was retained. Due to the lack of radiological improvement despite
appropriate antibiotic treatment, re-evaluation and trans-bronchial biopsy were
undertaken. The presence of granulomas centered by birefringent foreign bodies in
polarized light led to a diagnosis of pulmonary intravascular talcosis.
CONCLUSION: In the presence of pulmonary miliary in an intravenous drug addict,
intravascular talcosis should be suspected.
PMID- 29650302
TI - RayStation: External beam treatment planning system.
AB - RaySearch Laboratories is a world leader in the field of advanced software and
creator of the RayStation treatment planning system for radiation therapy. The
aim with RayStation is to deliver an unmatched user experience and leading
functionality. Unique features described here include multiatlas based
autosegmentation for contouring, deformable registration with 2 different
algorithms, multicriteria optimization, Plan Explorer, fallback planning,
ultrafast computation speed, and 4-dimensional (4D) adaptive radiation therapy.
RayStation can be used to plan for electrons and photons on traditional linacs,
for protons on various delivery systems, and for Accuray's helical TomoTherapy
system. This paper describes some of these modalities, with reference to clinical
cases and including descriptions of the impact on workflow.
PMID- 29650303
TI - Generating region proposals for histopathological whole slide image retrieval.
AB - BACKGROUND AND OBJECTIVE: Content-based image retrieval is an effective method
for histopathological image analysis. However, given a database of huge whole
slide images (WSIs), acquiring appropriate region-of-interests (ROIs) for
training is significant and difficult. Moreover, histopathological images can
only be annotated by pathologists, resulting in the lack of labeling information.
Therefore, it is an important and challenging task to generate ROIs from WSI and
retrieve image with few labels. METHODS: This paper presents a novel unsupervised
region proposing method for histopathological WSI based on Selective Search.
Specifically, the WSI is over-segmented into regions which are hierarchically
merged until the WSI becomes a single region. Nucleus-oriented similarity
measures for region mergence and Nucleus-Cytoplasm color space for
histopathological image are specially defined to generate accurate region
proposals. Additionally, we propose a new semi-supervised hashing method for
image retrieval. The semantic features of images are extracted with Latent
Dirichlet Allocation and transformed into binary hashing codes with Supervised
Hashing. RESULTS: The methods are tested on a large-scale multi-class database of
breast histopathological WSIs. The results demonstrate that for one WSI, our
region proposing method can generate 7.3 thousand contoured regions which fit
well with 95.8% of the ROIs annotated by pathologists. The proposed hashing
method can retrieve a query image among 136 thousand images in 0.29 s and reach
precision of 91% with only 10% of images labeled. CONCLUSIONS: The unsupervised
region proposing method can generate regions as predictions of lesions in
histopathological WSI. The region proposals can also serve as the training
samples to train machine-learning models for image retrieval. The proposed
hashing method can achieve fast and precise image retrieval with small amount of
labels. Furthermore, the proposed methods can be potentially applied in online
computer-aided-diagnosis systems.
PMID- 29650304
TI - The design and validation of a hybrid digital-signal-processing plug-in for
traditional cochlear implant speech processors.
AB - BACKGROUND AND OBJECTIVE: Cochlear implants (CIs) are electronic devices
restoring partial hearing to deaf individuals with profound hearing loss. In this
paper, a new plug-in for traditional IIR filter-banks (FBs) is presented for
cochlear implants based on wavelet neural networks (WNNs). Having provided such a
plug-in for commercially available CIs, it is possible not only to use available
hardware in the market but also to optimize their performance compared with the
state-of-the-art. METHODS: An online database of Dutch diphone perception was
used in our study. The weights of the WNNs were tuned using particle swarm
optimization (PSO) on a training set (speech-shaped noise (SSN) of 2 dB SNR),
while its performance was assessed on a test set in terms of objective and
composite measures in the hold-out validation framework. The cost function was
defined based on the combination of mean square error (MSE), short-time objective
intelligibility (STOI) criteria on the training set. Variety of performance
indices were used including segmental signal- to -noise ratio (SNRseg), MSE,
STOI, log-likelihood ratio (LLR), weighted spectral slope (WSS), and composite
measures Csig,Cbak and Covl. Meanwhile, the following CI speech processing
techniques were used for comparison: traditional FBs, dual resonance nonlinear
(DRNL) and simple dual path nonlinear (SPDN) models. RESULTS: The average SNRseg,
MSE, and LLR values for the WNN in the entire data set were 2.496 +/- 2.794,
0.086 +/- 0.025 and 2.323 +/- 0.281, respectively. The proposed method
significantly improved MSE, SNR, SNRseg, LLR, Csig Cbak and Covl compared with
the other three methods (repeated-measures analysis of variance (ANOVA); P <
0.05). The average running time of the proposed algorithm (written in Matlab
R2013a) on the training and test sets for each consonant or vowel on an Intel
dual-core 2.10 GHz CPU with 2GB of RAM was 9.91 +/- 0.87 (s) and 0.19 +/- 0.01
(s), respectively. CONCLUSIONS: The proposed algorithm is accurate and precise
and is thus a promising new plug-in for traditional CIs. Although the tuned
algorithm is relatively fast, it is necessary to use efficient vectorized
implementations for real-time CI speech signal processing.
PMID- 29650305
TI - Encryption and watermark-treated medical image against hacking disease-An immune
convention in spatial and frequency domains.
AB - Digital Imaging and Communications in Medicine (DICOM) is one among the
significant formats used worldwide for the representation of medical images.
Undoubtedly, medical-image security plays a crucial role in telemedicine
applications. Merging encryption and watermarking in medical-image protection
paves the way for enhancing the authentication and safer transmission over open
channels. In this context, the present work on DICOM image encryption has
employed a fuzzy chaotic map for encryption and the Discrete Wavelet Transform
(DWT) for watermarking. The proposed approach overcomes the limitation of the
Arnold transform-one of the most utilised confusion mechanisms in image
ciphering. Various metrics have substantiated the effectiveness of the proposed
medical-image encryption algorithm.
PMID- 29650306
TI - Multichannel lung sound analysis for asthma detection.
AB - BACKGROUND AND OBJECTIVE: Lung sound signals convey valuable information of the
lung status. Auscultation is an effective technique to appreciate the condition
of the respiratory system using lung sound signals. The prior works on asthma
detection from lung sound signals rely on the presence of wheeze. In this paper,
we have classified normal and asthmatic subjects using advanced signal processing
of posterior lung sound signals, even in the absence of wheeze. METHODS: We
collected lung sounds of 60 subjects (30 normal and 30 asthma) using a novel 4
channel data acquisition system from four different positions over the posterior
chest, as suggested by the pulmonologist. A spectral subband based feature
extraction scheme is proposed that works with artificial neural network (ANN) and
support vector machine (SVM) classifiers for the multichannel signal. The power
spectral density (PSD) is estimated from extracted lung sound cycle using Welch's
method, which then decomposed into uniform subbands. A set of statistical
features is computed from each subband and applied to ANN and SVM classifiers to
classify normal and asthmatic subjects. RESULTS: In the first part of this study,
the performances of each individual channel and four channels together are
evaluated where the combined channel performance is found superior to that of
individual channels. Next, the performances of all possible combinations of the
channels are investigated and the best classification accuracies of 89.2( +/-
3.87)% and 93.3( +/- 3.10)% are achieved for 2-channel and 3-channel combinations
in ANN and SVM classifiers, respectively. CONCLUSIONS: The proposed multichannel
asthma detection method where the presence of wheeze in lung sound is not a
necessary requirement, outperforms commonly used lung sound classification
methods in this field and provides significant relative improvement. The channel
combination study gives insight into the contribution of respective lung sound
collection areas and their combinations in asthma detection.
PMID- 29650307
TI - Development of an information retrieval tool for biomedical patents.
AB - BACKGROUND AND OBJECTIVE: The volume of biomedical literature has been increasing
in the last years. Patent documents have also followed this trend, being
important sources of biomedical knowledge, technical details and curated data,
which are put together along the granting process. The field of Biomedical text
mining (BioTM) has been creating solutions for the problems posed by the
unstructured nature of natural language, which makes the search of information a
challenging task. Several BioTM techniques can be applied to patents. From those,
Information Retrieval (IR) includes processes where relevant data are obtained
from collections of documents. In this work, the main goal was to build a patent
pipeline addressing IR tasks over patent repositories to make these documents
amenable to BioTM tasks. METHODS: The pipeline was developed within @Note2, an
open-source computational framework for BioTM, adding a number of modules to the
core libraries, including patent metadata and full text retrieval, PDF to text
conversion and optical character recognition. Also, user interfaces were
developed for the main operations materialized in a new @Note2 plug-in. RESULTS:
The integration of these tools in @Note2 opens opportunities to run BioTM tools
over patent texts, including tasks from Information Extraction, such as Named
Entity Recognition or Relation Extraction. We demonstrated the pipeline's main
functions with a case study, using an available benchmark dataset from
BioCreative challenges. Also, we show the use of the plug-in with a user query
related to the production of vanillin. CONCLUSIONS: This work makes available all
the relevant content from patents to the scientific community, decreasing
drastically the time required for this task, and provides graphical interfaces to
ease the use of these tools.
PMID- 29650308
TI - The impact of social media-based support groups on smoking relapse prevention in
Saudi Arabia.
AB - BACKGROUND AND OBJECTIVE: Tobacco smoking remains a major preventable cause of
mortality and morbidity across the globe. People who attempt to quit smoking
often experience episodes of relapse before finally quitting. Understanding the
part that social networking sites and social media can play in smoking cessation
and prevention of relapse is important to aid the development of novel techniques
to curb the smoking epidemic. This study investigated the use of extra-treatment
provided outside of the formal healthcare setting, bolstered by online social
support in order to prevent smoking relapse in Saudi Arabia. METHODS: This cross
sectional study included 473 smokers taking part in smoking cessation
intervention programs run by the Riyadh branch of King Abdul-Aziz Medical City
and PURITY, a Saudi anti-smoking association. Only subjects who expressed an
interest in quitting smoking, and those attempting to quit, were considered for
inclusion. The sample was divided into three groups: subjects who subscribed to
support groups on Twitter (n = 150), and WhatsApp (n = 150), and a control group
of subjects who had not subscribed to any social media support groups (n = 173).
RESULTS: A significant difference was found between the mean average numbers of
people who quit smoking among the three groups, with social media support proving
to be more effective than other traditional methods. Our findings imply that
Twitter and WhatsApp users found it easier to quit smoking than those who did not
take part in these social media groups. CONCLUSION: Social media provides a good
platform to discuss smoking cessation treatment, and thus reduce smoking
relapses. Our findings support the suggestion that more social media support
groups should be developed to help people to effectively cease smoking after
abstinence. Individuals who struggle to quit smoking should be encouraged to join
support groups on their social media platform of choice to increase their
likelihood of quitting. Future studies should assess the effectiveness of social
media to help people quit smoking by including a greater diversity of social
media platforms, including Facebook, Snapchat, and Instagram.
PMID- 29650309
TI - Open-loop glucose control: Automatic IOB-based super-bolus feature for commercial
insulin pumps.
AB - BACKGROUND AND OBJECTIVE: Although there has been significant progress towards
closed-loop type 1 diabetes mellitus (T1DM) treatments, most diabetic patients
still treat this metabolic disorder in an open-loop manner, based on insulin pump
therapy (basal and bolus insulin infusion). This paper presents a method for
automatic insulin bolus shaping based on insulin-on-board (IOB) as an alternative
to conventional bolus dosing. METHODS: The methodology presented allows the pump
to generate the so-called super-bolus (SB) employing a two-compartment IOB
dynamic model. The extra amount of insulin to boost the bolus and the basal
cutoff time are computed using the duration of insulin action (DIA). In this way,
the pump automatically re-establishes basal insulin when IOB reaches its basal
level. Thus, detrimental transients caused by manual or a-priori computations are
avoided. RESULTS: The potential of this method is illustrated via in-silico
trials over a 30 patients cohort in single meal and single day scenarios. In the
first ones, improvements were found (standard treatment vs. automatic SB) both in
percentage time in euglycemia (75g meal: 81.9 +/- 15.59 vs. 89.51 +/- 11.95, rho
? 0; 100g meal: 75.12 +/- 18.23 vs. 85.46 +/- 14.96, rho ? 0) and time in
hypoglecymia (75g meal: 5.92 +/- 14.48 vs. 0.97 +/- 4.15, rho=0.008; 100g meal:
9.5 +/- 17.02 vs. 1.85 +/- 7.05, rho=0.014). In a single day scenario,
considering intra-patient variability, the time in hypoglycemia was reduced (9.57
+/- 14.48 vs. 4.21 +/- 6.18, rho=0.028) and improved the time in euglycemia
(79.46 +/- 17.46 vs. 86.29 +/- 11.73, rho=0.007). CONCLUSIONS: The automatic IOB
based SB has the potential of a better performance in comparison with the
standard treatment, particularly for high glycemic index meals with high
carbohydrate content. Both glucose excursion and time spent in hypoglycemia were
reduced.
PMID- 29650310
TI - Electronic health record with computerized decision support tools for the
purposes of a pediatric cardiovascular heart disease screening program in Crete.
AB - BACKGROUND AND OBJECTIVE: Early detection of cardiovascular (CV) disease or
associated risk factors during childhood is of paramount importance, allowing for
early treatment or lifestyle modifications, respectively. The objective of this
study was to describe the development of an electronic health record (EHR), with
integrated computerized decision support system (CDSS), specifically designed for
supporting the needs of a pilot pediatric CV disease screening program applied on
primary school students of a Mediterranean island. METHODS: Evidence-based
knowledge, national and international practice guidelines regarding sport
preparticipation CV screening of children and young athletes has been used for
the design of the designated EHR. A CDSS, capable for providing alerts for
further cardiology evaluation need, has been incorporated into the EHR, based on
normative anthropometric and electrocardiographic data as well as predefined
positive history responses. RESULTS: We developed a designated EHR with
integrated CDSS supporting pediatric CV disease screening, capable for
documenting CV-related personal and family history responses, physical evaluation
data (weight, height, blood pressure), allowing for entering electrocardiogam
(ECG) measurements and for uploading of multimedia files (including ECG images
and digital phonocardiogram audio files). The EHR incorporates clinical
calculators and referral alerts for the presence (and degree) of adiposity,
hypertension, ECG abnormalities and positive history responses indicative of high
CV disease risk. In a preliminary EHR validation, performed by entering data from
53 previously available paper-based health records, the EHR was proven to be
fully functional. CONCLUSIONS: The pediatric cardiology EHR with CDSS features
which we developed might serve as a model for EHR for primary health care
purposes, capable to document and early detect CV disease and associated risk
factors in pediatric populations.
PMID- 29650311
TI - An object-oriented computational model to study cardiopulmonary hemodynamic
interactions in humans.
AB - BACKGROUND AND OBJECTIVE: This work introduces an object-oriented computational
model to study cardiopulmonary interactions in humans. METHODS: Modeling was
performed in object-oriented programing language Matlab Simscape, where model
components are connected with each other through physical connections.
Constitutive and phenomenological equations of model elements are implemented
based on their non-linear pressure-volume or pressure-flow relationship. The
model includes more than 30 physiological compartments, which belong either to
the cardiovascular or respiratory system. The model considers non-linear
behaviors of veins, pulmonary capillaries, collapsible airways, alveoli, and the
chest wall. Model parameters were derisved based on literature values. Model
validation was performed by comparing simulation results with clinical and animal
data reported in literature. RESULTS: The model is able to provide quantitative
values of alveolar, pleural, interstitial, aortic and ventricular pressures, as
well as heart and lung volumes during spontaneous breathing and mechanical
ventilation. Results of baseline simulation demonstrate the consistency of the
assigned parameters. Simulation results during mechanical ventilation with PEEP
trials can be directly compared with animal and clinical data given in
literature. CONCLUSIONS: Object-oriented programming languages can be used to
model interconnected systems including model non-linearities. The model provides
a useful tool to investigate cardiopulmonary activity during spontaneous
breathing and mechanical ventilation.
PMID- 29650312
TI - Tree-based models for survival data with competing risks.
AB - OBJECTIVE: Tree-based models belong to common, assumption-free methods of data
analysis. Their application in survival data is narrowed to univariate models,
which partition the feature space with axis-parallel hyperplanes, meaning that
each internal node involves a single feature. In this paper, I extend the idea of
oblique survival tree induction for competing risks by modifying a piecewise
linear criterion function. Additionally, the use of tree-based ensembles to
analyze the competing events is proposed. METHOD AND MATERIALS: Two types of
competing risks trees are proposed: a single event tree designed for analysis of
the event of interest and a composite event tree, in which all the competing
events are taken into account. The induction process is similar, except that the
calculation of the criterion function is minimized for the individual tree nodes
generation. These two tree types were also used for building the ensembles with
aggregated cumulative incidence functions as an outcome. Nine real data sets, as
well as a simulated data set, were taken to assess performance of the models,
while detailed analysis was conducted on the basis of follicular cell lymphoma
data. RESULTS: The evaluation was focused on two measures: the prediction error
expressed by an integrated Brier score (IBS), and the ranked measure of
predictive ability calculated as a time-truncated concordance index (C-index).
The proposed techniques were compared with the existing approaches of the Fine
Gray subdistribution hazard model, Fine-Gray regression model with backward
elimination, and random survival forest for competing risks. The results for both
the IBS and the C-index indicated statistically significant differences between
these methods (p < .0001). CONCLUSIONS: The prediction error of the individual
trees was similar to the other methods, but the results of the C-index differ in
comparison to the Fine-Gray subdistribution hazard model and the Fine-Gray
regression with backward elimination. The ensembles prediction ability was
comparable to existing algorithms, but their IBS values were better than either
random survival forest or Fine-Gray regression with backward elimination.
PMID- 29650313
TI - A novel feature extraction technique for pulmonary sound analysis based on EMD.
AB - BACKGROUND AND OBJECTIVE: The stethoscope based auscultation technique is a
primary diagnostic tool for chest sound analysis. However, the performance of
this method is limited due to its dependency on physicians experience, knowledge
and also clarity of the signal. To overcome this problem we need an automated
computer-aided diagnostic system that will be competent in noisy environment. In
this paper, a novel feature extraction technique is introduced for discriminating
various pulmonary dysfunctions in an automated way based on pattern recognition
algorithms. METHOD: In this work, the disease correlated relevant characteristics
of lung sounds signals are identified in terms of statistical distribution
parameters: mean, variance, skewness, and kurtosis. These features are extracted
from selective morphological components of the mapped signal in the empirical
mode decomposition domain. The feature set is fed to the classifier model to
differentiate their corresponding classes. RESULTS: The significance of features
developed are validated by conducting several experiments using supervised and
unsupervised classifiers. Furthermore, the discriminating power of the proposed
features is compared with three types of baseline features. The experimental
result is evaluated by statistical analysis and also validated with physicians
inference. CONCLUSIONS: It is found that the proposed features extraction
technique is superior to the baseline methods in terms of classification
accuracy, sensitivity and specificity. The developed method gives better results
compared to baseline methods in any circumstance. The proposed method gives a
higher accuracy of 94.16, sensitivity of 100 and specificity of 93.75 for an
artificial neural network classifier.
PMID- 29650314
TI - A topo-graph model for indistinct target boundary definition from anatomical
images.
AB - BACKGROUND AND OBJECTIVE: It can be challenging to delineate the target object in
anatomical imaging when the object boundaries are difficult to discern due to the
low contrast or overlapping intensity distributions from adjacent tissues.
METHODS: We propose a topo-graph model to address this issue. The first step is
to extract a topographic representation that reflects multiple levels of
topographic information in an input image. We then define two types of node
connections - nesting branches (NBs) and geodesic edges (GEs). NBs connect nodes
corresponding to initial topographic regions and GEs link the nodes at a detailed
level. The weights for NBs are defined to measure the similarity of regional
appearance, and weights for GEs are defined with geodesic and local constraints.
NBs contribute to the separation of topographic regions and the GEs assist the
delineation of uncertain boundaries. Final segmentation is achieved by
calculating the relevance of the unlabeled nodes to the labels by the
optimization of a graph-based energy function. We test our model on 47 low
contrast CT studies of patients with non-small cell lung cancer (NSCLC), 10
contrast-enhanced CT liver cases and 50 breast and abdominal ultrasound images.
The validation criteria are the Dice's similarity coefficient and the Hausdorff
distance. RESULTS: Student's t-test show that our model outperformed the graph
models with pixel-only, pixel and regional, neighboring and radial connections (p
values <0.05). CONCLUSIONS: Our findings show that the topographic representation
and topo-graph model provides improved delineation and separation of objects from
adjacent tissues compared to the tested models.
PMID- 29650315
TI - Radiomics-based features for pattern recognition of lung cancer histopathology
and metastases.
AB - BACKGROUND AND OBJECTIVES: lung cancer is the leading cause of cancer-related
deaths in the world, and its poor prognosis varies markedly according to tumor
staging. Computed tomography (CT) is the imaging modality of choice for lung
cancer evaluation, being used for diagnosis and clinical staging. Besides tumor
stage, other features, like histopathological subtype, can also add prognostic
information. In this work, radiomics-based CT features were used to predict lung
cancer histopathology and metastases using machine learning models. METHODS:
local image datasets of confirmed primary malignant pulmonary tumors were
retrospectively evaluated for testing and validation. CT images acquired with
same protocol were semiautomatically segmented. Tumors were characterized by
clinical features and computer attributes of intensity, histogram, texture,
shape, and volume. Three machine learning classifiers used up to 100 selected
features to perform the analysis. RESULTS: radiomics-based features yielded areas
under the receiver operating characteristic curve of 0.89, 0.97, and 0.92 at
testing and 0.75, 0.71, and 0.81 at validation for lymph nodal metastasis,
distant metastasis, and histopathology pattern recognition, respectively.
CONCLUSIONS: the radiomics characterization approach presented great potential to
be used in a computational model to aid lung cancer histopathological subtype
diagnosis as a "virtual biopsy" and metastatic prediction for therapy decision
support without the necessity of a whole-body imaging scanning.
PMID- 29650316
TI - SeeSway - A free web-based system for analysing and exploring standing balance
data.
AB - BACKGROUND AND OBJECTIVES: Computerised posturography can be used to assess
standing balance, and can predict poor functional outcomes in many clinical
populations. A key limitation is the disparate signal filtering and analysis
techniques, with many methods requiring custom computer programs. This paper
discusses the creation of a freely available web-based software program, SeeSway
(www.rehabtools.org/seesway), which was designed to provide powerful tools for
pre-processing, analysing and visualising standing balance data in an easy to use
and platform independent website. METHODS: SeeSway links an interactive web
platform with file upload capability to software systems including LabVIEW,
Matlab, Python and R to perform the data filtering, analysis and visualisation of
standing balance data. Input data can consist of any signal that comprises an
anterior-posterior and medial-lateral coordinate trace such as center of pressure
or mass displacement. This allows it to be used with systems including criterion
reference commercial force platforms and three dimensional motion analysis,
smartphones, accelerometers and low-cost technology such as Nintendo Wii Balance
Board and Microsoft Kinect. Filtering options include Butterworth, weighted and
unweighted moving average, and discrete wavelet transforms. Analysis methods
include standard techniques such as path length, amplitude, and root mean square
in addition to less common but potentially promising methods such as sample
entropy, detrended fluctuation analysis and multiresolution wavelet analysis.
These data are visualised using scalograms, which chart the change in frequency
content over time, scatterplots and standard line charts. This provides the user
with a detailed understanding of their results, and how their different pre
processing and analysis method selections affect their findings. RESULTS: An
example of the data analysis techniques is provided in the paper, with graphical
representation of how advanced analysis methods can better discriminate between
someone with neurological impairment and a healthy control. CONCLUSIONS: The goal
of SeeSway is to provide a simple yet powerful educational and research tool to
explore how standing balance is affected in aging and clinical populations.
PMID- 29650317
TI - Monte-Carlo based assessment of MAGIC, MAGICAUG, PAGATUG and PAGATAUG polymer gel
dosimeters for ovaries and uterus organ dosimetry in brachytherapy, nuclear
medicine and Tele-therapy.
AB - BACKGROUND AND OBJECTIVES: Calculation of 3D dose distribution during
radiotherapy and nuclear medicine helps us for better treatment of sensitive
organs such as ovaries and uterus. In this research, we investigate two groups of
normoxic dosimeters based on meta-acrylic acid (MAGIC and MAGICAUG) and
polyacrylamide (PAGATUG and PAGATAUG) for brachytherapy, nuclear medicine and
Tele-therapy in their sensitive and critical role as organ dosimeters. METHODS:
These polymer gel dosimeters are compared with soft tissue while irradiated by
different energy photons in therapeutic applications. This comparison has been
simulated by Monte-Carlo based MCNPX code. ORNL phantom-Female has been used to
model the critical organs of kidneys, ovaries and uterus. Right kidney is
proposed to be the source of irradiation and another two organs are exposed to
this irradiation. RESULTS: Effective atomic numbers of soft tissue, MAGIC,
MAGICAUG, PAGATUG and PAGATAUG are 6.86, 7.07, 6.95, 7.28, and 7.07 respectively.
Results show the polymer gel dosimeters are comparable to soft tissue for using
in nuclear medicine and Tele-therapy. Differences between gel dosimeters and soft
tissue are defined as the dose responses. This difference is less than 4.1%,
22.6% and 71.9% for Tele-therapy, nuclear medicine and brachytherapy
respectively. The results approved that gel dosimeters are the best choice for
ovaries and uterus in nuclear medicine and Tele-therapy respectively.
CONCLUSIONS: Due to the slight difference between the effective atomic numbers of
these polymer gel dosimeters and soft tissue, these polymer gels are not suitable
for brachytherapy since the dependence of photon interaction to atomic number,
for low energy brachytherapy, had been so effective. Also this dependence to
atomic number, decrease for photoelectric and increase for Compton. Therefore
polymer gel dosimeters are not a good alternative to soft tissue replacement in
brachytherapy.
PMID- 29650318
TI - Tissue classification and segmentation of pressure injuries using convolutional
neural networks.
AB - BACKGROUND AND OBJECTIVES: This paper presents a new approach for automatic
tissue classification in pressure injuries. These wounds are localized skin
damages which need frequent diagnosis and treatment. Therefore, a reliable and
accurate systems for segmentation and tissue type identification are needed in
order to achieve better treatment results. METHODS: Our proposed system is based
on a Convolutional Neural Network (CNN) devoted to performing optimized
segmentation of the different tissue types present in pressure injuries
(granulation, slough, and necrotic tissues). A preprocessing step removes the
flash light and creates a set of 5x5 sub-images which are used as input for the
CNN network. The network output will classify every sub-image of the validation
set into one of the three classes studied. RESULTS: The metrics used to evaluate
our approach show an overall average classification accuracy of 92.01%, an
average total weighted Dice Similarity Coefficient of 91.38%, and an average
precision per class of 97.31% for granulation tissue, 96.59% for necrotic tissue,
and 77.90% for slough tissue. CONCLUSIONS: Our system has been proven to make
recognition of complicated structures in biomedical images feasible.
PMID- 29650319
TI - Psoriasis skin biopsy image segmentation using Deep Convolutional Neural Network.
AB - BACKGROUND AND OBJECTIVE: Development of machine assisted tools for automatic
analysis of psoriasis skin biopsy image plays an important role in clinical
assistance. Development of automatic approach for accurate segmentation of
psoriasis skin biopsy image is the initial prerequisite for developing such
system. However, the complex cellular structure, presence of imaging artifacts,
uneven staining variation make the task challenging. This paper presents a
pioneering attempt for automatic segmentation of psoriasis skin biopsy images.
METHODS: Several deep neural architectures are tried for segmenting psoriasis
skin biopsy images. Deep models are used for classifying the super-pixels
generated by Simple Linear Iterative Clustering (SLIC) and the segmentation
performance of these architectures is compared with the traditional hand-crafted
feature based classifiers built on popularly used classifiers like K-Nearest
Neighbor (KNN), Support Vector Machine (SVM) and Random Forest (RF). A U-shaped
Fully Convolutional Neural Network (FCN) is also used in an end to end learning
fashion where input is the original color image and the output is the
segmentation class map for the skin layers. RESULTS: An annotated real psoriasis
skin biopsy image data set of ninety (90) images is developed and used for this
research. The segmentation performance is evaluated with two metrics namely,
Jaccard's Coefficient (JC) and the Ratio of Correct Pixel Classification (RCPC)
accuracy. The experimental results show that the CNN based approaches outperform
the traditional hand-crafted feature based classification approaches.
CONCLUSIONS: The present research shows that practical system can be developed
for machine assisted analysis of psoriasis disease.
PMID- 29650320
TI - Assessment of auditory threshold using Multiple Magnitude-Squared Coherence and
amplitude modulated tones monaural stimulation around 40 Hz.
AB - BACKGROUND AND OBJECTIVE: The use of objective detection techniques applied to
the auditory steady-state responses (ASSRs) for the assessment of auditory
thresholds has been investigated over the years. The idea consists in setting up
the audiometric profile without subjective inference from patients and
evaluators. The challenge encountered is to reduce the detection time of auditory
thresholds reaching high correlation coefficients between the objective and the
conventional thresholds, as well as reducing difference between thresholds.
METHODS: This paper evaluated the use of the Multiple Magnitude-Squared Coherence
(MMSC) in Auditory Steady-State Responses (ASSRs) evoked by amplitude modulated
tones around 40 Hz, attaining objective audiograms, which were, later, compared
to conventional audiograms. It was proposed an analysis of the
electroencephalogram signals of ten subjects, monaurally stimulated, in the
intensities 15, 20, 25, 30, 40 and 50 dB SPL, for carrier frequencies of 0.5, 1,
2 and 4 kHz. After the detection protocol parameters variation, two detectors
were selected according to behavioral thresholds. RESULTS: The method of this
study resulted in a Maximum detector with correlation coefficient r = 0.9262,
mean difference between the objective and behavioral thresholds of 6.44 dB SPL,
average detection time per ear of 49.96 min and per stimulus of 2.08 min.
Meanwhile, the Fast detector presented coefficient r = 0.8401, mean difference of
6.81 dB SPL, average detection time of 28.20 min per ear and 1.18 per stimulus.
CONCLUSIONS: The results of this study indicate that the MMSC use in the auditory
responses detection might provide a reliable and efficient estimation of auditory
thresholds.
PMID- 29650321
TI - A novel pipeline for adrenal tumour segmentation.
AB - BACKGROUND AND OBJECTIVE: Adrenal tumours occur on adrenal glands surrounded by
organs and osteoid. These tumours can be categorized as either functional, non
functional, malign, or benign. Depending on their appearance in the abdomen,
adrenal tumours can arise from one adrenal gland (unilateral) or from both
adrenal glands (bilateral) and can connect with other organs, including the
liver, spleen, pancreas, etc. This connection phenomenon constitutes the most
important handicap against adrenal tumour segmentation. Size change, variety of
shape, diverse location, and low contrast (similar grey values between the
various tissues) are other disadvantages compounding segmentation difficulty. Few
studies have considered adrenal tumour segmentation, and no significant
improvement has been achieved for unilateral, bilateral, adherent, or noncohesive
tumour segmentation. There is also no recognised segmentation pipeline or method
for adrenal tumours including different shape, size, or location information.
METHODS: This study proposes an adrenal tumour segmentation (ATUS) pipeline
designed to eliminate the above disadvantages for adrenal tumour segmentation.
ATUS incorporates a number of image methods, including contrast limited adaptive
histogram equalization, split and merge based on quadtree decomposition, mean
shift segmentation, large grey level eliminator, and region growing. RESULTS:
Performance assessment of ATUS was realised on 32 arterial and portal phase
computed tomography images using six metrics: dice, jaccard, sensitivity,
specificity, accuracy, and structural similarity index. ATUS achieved remarkable
segmentation performance, and was not affected by the discussed handicaps, on
particularly adherence to other organs, with success rates of 83.06%, 71.44%,
86.44%, 99.66%, 99.43%, and 98.51% for the metrics, respectively, for images
including sufficient contrast uptake. CONCLUSIONS: The proposed ATUS system
realises detailed adrenal tumour segmentation, and avoids known disadvantages
preventing accurate segmentation.
PMID- 29650322
TI - Decision based on big data research for non-small cell lung cancer in medical
artificial system in developing country.
AB - Non-small cell lung cancer (NSCLC) is a high risk cancer and is usually scanned
by PET-CT for testing, predicting and then give the treatment methods. However,
in the actual hospital system, at least 640 images must be generated for each
patient through PET-CT scanning. Especially in developing countries, a huge
number of patients in NSCLC are attended by doctors. Artificial system can
predict and make decision rapidly. According to explore and research artificial
medical system, the selection of artificial observations also can result in low
work efficiency for doctors. In this study, data information of 2,789,675
patients in three hospitals in China are collected, compiled, and used as the
research basis; these data are obtained through image acquisition and diagnostic
parameter machine decision-making method on the basis of the machine diagnosis
and medical system design model of adjuvant therapy. By combining image and
diagnostic parameters, the machine decision diagnosis auxiliary algorithm is
established. Experimental result shows that the accuracy has reached 77% in
NSCLC.
PMID- 29650323
TI - Development and implementation of computational models provides solutions for
biomedical community.
PMID- 29650324
TI - Molecular basis of the effect of MMP-9 on the prostate bone metastasis: A review.
AB - INTRODUCTION AND OBJECTIVE: Prostate cancer (PCa) is the second most common
cancer in men especially after 50 years old. The metastasis of said cancer
involves a rise for morbidity, metastasizing 90% of the occasions on bone.
Metalloproteinases (MMPs) are involved in the process of bone formation and they
are postulated to be involved in the process of metastasizing, in particular MMP
9. This work is justified taking into account the scientific interest of the
subject and the quality of the literature sources used. PCa generates a high
morbidity and mortality in men, especially due to the process of metastasis,
resulting in effects to health and socioeconomic level. METHODS: This search was
performed selecting articles published from 2003 to 2017. Items were selected and
valued according to the Cochrane criteria (2011). FINDINGS AND CONCLUSIONS: The
selected articles (17) demonstrate the involvement of MMP-9 as a modulator of
bone metastatic lesions either of osteoblast, osteoclast or mixed origin as well
as the recognition of the major mechanisms or molecules involved in the
regulation of expression gene of MMP-9 and finally establishing the MMP-9 as a
therapeutic target for possible future drug development. Finally, this study
evidences MMP-9 as an essential factor for the activation of the chain of the
different MMPs and consequently in the genesis and development of bone metastasis
of PCa due to its influence on bone osteoblastic and osteoclastic activity.
PMID- 29650326
TI - The Plant Target of Rapamycin Kinase: A connecTOR between Sulfur and Growth.
AB - Sulfur is an essential macronutrient for plants that is incorporated into sulfur
containing amino acids or metabolites crucial for plant growth and stress
adaptation. A recent publication shows a connection between sulfur sensing,
growth processes, and the conserved eukaryotic target of rapamycin (TOR) kinase
signaling pathway.
PMID- 29650325
TI - Improving the genetic signature of prostate cancer, the somatic mutations.
AB - BACKGROUND: Somatic mutations have been related to the highest incidence of
metastatic disease and different treatment responses. The molecular cause of
prostate cancer (PC) is still unclear; however, its progression involves
alterations in oncogenes and tumor suppressor genes as well as somatic mutations
such as the ones in PIK3CA gene. A high percentage of PC is considered sporadic,
which means that the damage to the genes occurs by chance after birth (mainly
somatic mutations will drive the cancer event). However, little is known about
somatic mutations in PC development. MATERIALS AND METHODS: We evaluated prostate
biopsies in the main somatic mutations genes (PIK3CA, TP53, EGFR, KIT, KRAS,
PTEN, and BRAF) among individuals with PSA values>4ng/ml (n = 125), including
affected and unaffected PC subjects. RESULTS: Mutations in KIT gene are related
to aggressive PC: TNM stages II to III, Gleason score >= 7 and D'Amico risk (P =
0.037, 0.040, and 0.017). However, there are no statistical significant results
when more than 3 somatic mutations are presented in the same individual. In
relation to environmental factors (smoking, diet, alcohol intake, or workplace
exposure) there are no significant differences in the effect of environmental
exposure and the somatic mutation presence. The most prevalent mutations among
patients with PC are c.1621A>C (rs3822214) in KIT, c.38G>C (rs112445441) in KRAS
and c.733G>A (rs28934575) in TP53 genes. KRAS, KIT, and TP53 genes are the most
prevalent ones in patients with PC. CONCLUSIONS: Somatic alterations predisposing
to chromosomal rearrangements in PC remain largely undefined. We show that KIT,
KRAS, and TP53 genes have a higher presence among patients with PC and that
mutations in KIT gene are related to an aggressive PC. However, we did not find
any environmental effect in somatic mutations among PC individuals.
PMID- 29650327
TI - Natural selection, plasticity, and the rationale for largest-scale trends.
AB - Many have argued that there is no reason why natural selection should cause
directional increases in measures such as body size or complexity across
evolutionary history as a whole. In this paper I argue that this conclusion does
not hold for selection for adaptations to environmental variability, and that,
given the inevitability of environmental variability, trends in adaptations to
variability are an expected feature of evolution by natural selection. As a
concrete instance of this causal structure, I outline how this may be applied to
a trend in phenotypic plasticity.
PMID- 29650328
TI - [Cardiac manifestations in the acute phase of Kawasaki disease in a third level
children's hospital in Mexico City].
AB - OBJECTIVES: To describe the cardiac manifestations in the acute phase of patients
with Kawasaki disease treated in a third level Children's hospital in Mexico
City, Mexico. METHODS: A cross-sectional study was conducted in patients with a
diagnosis of Kawasaki disease treated in this hospital from August 1995 to
December 2016. Information included patient demographics, clinical features,
treatment used, electrocardiographic findings, extra-coronary echocardiographic
findings, and the development of coronary artery aneurysms in the acute phase of
the disease. RESULTS: The study included 508 cases of Kawasaki disease, with a
mean age at diagnosis of 37.64+/-35.56 months (range from 2 to 200 months).
Almost two-thirds (65.4%) of the patients were male, with a male/female ratio of
1.88:1. Complete Kawasaki disease was diagnosed in 79.2% of cases. Almost all
cases (92.4%) received intravenous immunoglobulin. Twenty-eight patients (5.5%)
developed arrhythmias, ST changes developed in 29 patients (5.6%), and 5 patients
presented with ischaemic changes. In the initial echocardiographic evaluation, 51
patients (9.9%) were diagnosed with myocarditis, 72 patients (14.0%) with
pericarditis and 77 cases (15.0%) developed pericardial effusion. Coronary artery
anomalies were detected in 169 cases (32.9%). 32 cases were diagnosed as giant
coronary aneurysms. Four patients died from cardiac complications in the acute
phase of the disease. CONCLUSIONS: There has been an increase in the diagnosis of
Kawasaki disease in Mexico. They presented with more cardiac complications than
reported in literature. An increased knowledge of Kawasaki disease is required in
Mexico in order to establish the cardiac outcomes of this group of patients.
PMID- 29650329
TI - Perceptions of hospital emergency color codes among hospital employees in Korea.
AB - INTRODUCTION: Hospital emergency codes frequently comprise of colors to prevent
confusion and enhance prompt response to emergency situations. The purpose of
this study was to identify perceptions of emergency color codes among hospital
employees in Korea. METHODS: A 12-color spectrum and emergency situations were
selected from the standardized emergency color codes used in the US and Canada.
Participants were selected via convenience sampling from four general hospitals
in Seoul and Gyeonggi-Do. Between October 25 and November 30, 2016, 295
questionnaires were distributed and 266 of them were analyzed. RESULTS: The
participants showed the highest consistency in the association between emergency
images related to colors and emergency code color images associated with
emergency situations in the following cases: "red for fire," "blue for adult
cardiopulmonary resuscitation (CPR)," "yellow for pediatric CPR," "orange for
external disaster," "pink for infant/child abduction," "white for deactivation,"
and "black for violent/combative person." Meanwhile, the most confusing colors
were purple, gray, and silver. Hospital employees tended to select colors that
matched the emergency codes used in their respective hospitals. CONCLUSIONS: The
four colors of "red," "blue," "pink," and "white," were strongly associated with
"fire," "cardiac arrest," "infant/child abduction," and "emergency deactivation,"
respectively. The use of four colors for hospital emergency code standardization
domestically in Korea is recommended.
PMID- 29650330
TI - Registers in Infant Phonation.
AB - The primary vocal registers of modal, falsetto, and fry have been studied in
adults but not per se in infancy. The vocal ligament is thought to play a
critical role in the modal-falsetto contrast but is still developing during
infancy (Tateya and Tateya, 2015).41 Cover tissues are also implicated in the
modal-fry contrast, but the low fundamental frequency (fo) cutoff of 70 Hz,
shared between genders, suggests a psychoacoustic basis for the contrast. Buder,
Chorna, Oller, and Robinson (2008)6 used the labels of "loft," "modal," and
"pulse" for distinct vibratory regimes that appear to be identifiable based on
spectrographic inspection of harmonic structure and auditory judgments in
infants, but this work did not supply acoustic measurements to verify which of
these nominally labeled regimes resembled adult registers. In this report, we
identify clear transitions between registers within infant vocalizations and
measure these registers and their transitions for fo and relative harmonic
amplitudes (H1-H2). By selectively sampling first-year vocalizations, this
manuscript quantifies acoustic patterns that correspond to vocal fold vibration
types not previously cataloged in infancy. Results support a developmental basis
for vocal registers, revealing that a well-developed ligament is not needed for
loft-modal quality shifts as seen in harmonic amplitude measures. Results also
reveal that a distinctively pulsatile register can occur in infants at a much
higher fo than expected on psychoacoustic grounds. Overall results are consistent
with cover tissues in infancy that are, for vibratory purposes, highly compliant
and readily detached.
PMID- 29650331
TI - Spasmodic Dysphonia in Multiple Sclerosis Treatment With Botulin Toxin A: A Pilot
Study.
AB - OBJECTIVES: This study aims to evaluate the effect of botulin toxin A in patients
with multiple sclerosis (MS) affected by spasmodic dysphonia (SD) and to show the
safety and effectiveness of this treatment in long-term observation. MATERIALS
AND METHODS: This is a pilot study on three relapsing-remitting MS patients with
SD and their response to botulin toxin A. RESULTS: None of the patients reported
dysphagia or other adverse events. Significant improvement was observed in terms
of both voice quality and laryngostroboscopy results. The treatment effect was
durable for 6-8 months. CONCLUSIONS: Botulin toxin A is a safe treatment that can
be successfully used to treat SD in patients with MS. Larger studies are
necessary to confirm our results.
PMID- 29650332
TI - Clinicopathological and prognostic significance of MUC13 and AGR2 expression in
intraductal papillary mucinous neoplasms of the pancreas.
AB - BACKGROUND: Intraductal papillary mucinous neoplasm (IPMN) of the pancreas is a
primary pancreatic ductal epithelial neoplasm with the potential to develop into
an invasive adenocarcinoma. This study aimed to investigate the clinicopathologic
and prognostic significance of four potential biomarkers for the preoperative
evaluation of patients with IPMN. MATERIALS AND METHODS: Clinicopathologic
materials from 104 patients with IPMN who underwent surgical resection at Jichi
Medical University Hospital were analyzed. IPMNs (110 lesions in total) were
histologically classified into low-grade IPMN (Group 1; n = 68), high-grade IPMN
(Group 2; n = 16), or IPMN with an associated invasive carcinoma (Group 3; n =
26). We evaluated the immunohistochemical expression of MUC13, AGR2, FUT8, and
FXYD3, which were previously reported to be overexpressed in pancreatic ductal
adenocarcinoma. RESULTS: The expression of MUC13 was more common in Group 3
compared with groups 1 and 2 (p < 0.001) and was associated with poor prognosis
(p = 0.004). The expression of MUC13 was not associated with age, sex, tumor
location, histological subtype, lymphatic or vascular invasion, or neural
invasion. In most cases of IPMN, the loss of expression of AGR2 appeared to show
an association with tumor recurrence and poorly differentiated histology of
invasive carcinoma; however, this association was not statistically significant.
The expressions of FUT8 and FXYD3were not associated with the clinicopathological
features of IPMNs. CONCLUSIONS: The results suggest that MUC13 overexpression and
loss of expression of AGR2 may predict the progression of IPMN and an unfavorable
prognosis in patients with IPMN.
PMID- 29650333
TI - EASL Recommendations on Treatment of Hepatitis C 2018.
PMID- 29650334
TI - Reply to: "Primed for the spotlight: Transplantation for alcohol-associated liver
disease".
PMID- 29650335
TI - A measure of alcohol consumption in late adolescence associated with liver
disease after 39 years of follow-up is insufficient to guide alcohol safe limits.
PMID- 29650336
TI - Acute physiological responses to low-intensity blood flow restriction cycling.
AB - OBJECTIVES: Blood flow restriction (BFR) during interval cycling may stimulate
aerobic and anaerobic adaptations. However, acute physiological responses to BFR
interval cycling have not been extensively investigated. DESIGN: Eighteen males
completed low-intensity (LI), low-intensity with BFR (LIBFR) and high-intensity
(HI) interval cycling sessions in randomised and counterbalanced order. These
included a standardised warm-up and three two-min intervals interspersed with two
min recovery. Interval intensity during HI, LI and LIBFR were 85%, 40% and 40% of
peak power output obtained during graded exercise tests. METHODS: During LIBFR,
80% arterial occlusion was applied to both legs during the interval efforts and
removed during recovery. Continuous measures of heart rate (HR), cardiac output
(CO) and oxygen consumption (VO2) were recorded. Blood pressure (BP) and rating
of perceived exertion (RPE) were measured following intervals. Blood lactate
concentration was measured pre- and post-exercise. RESULTS: BP, HR, CO, VO2,
lactate and RPE were greatest during HI. During the active intervals, BP, HR and
CO were greater during LIBFR than LI. VO2 during recovery periods were greater in
LIBFR than LI. Post-session lactate was greater during LIBFR than LI.
Importantly, mean arterial pressure during interval three was significantly
greater in LIBFR (124+/-2mmHg) than HI (114+/-3mmHg). CONCLUSIONS: LIBFR
increases cardiovascular and metabolic stress compared with LI and could provide
an alternative aerobic training method for individuals unable to perform high
intensity exercise. However, increases in mean arterial pressure during LIBFR
indicates high myocardial workload, and practitioners should therefore use
caution if prescribing LIBFR for vascular compromised individuals.
PMID- 29650337
TI - Knee flexion not hip extension strength is persistently reduced following
hamstring strain injury in Australian Football athletes: Implications for
Periodic Health Examinations.
AB - OBJECTIVES: Determine whether clinically feasible tests of isometric lower limb
strength and range of motion (ROM) in Australian Football (AF) athletes are
useful in Periodic Health Examinations to identify persistent deficits following
hamstring strain injury (HSI). DESIGN: Case-control. METHODS: Thirty-seven male,
semi-professional AF athletes (mean+/-SD; age, 20.2+/-2.9years; height, 1.7+/
0.5m; mass, 81+/-9.2kg) participated, ten athletes (37%) reported HSI within the
previous three seasons of competition. Comparisons of pre-season isometric knee
flexion and hip extension strength variables (peak force, torque and torque
normalised to body mass) and hip, knee and ankle ROM measures were made between
athletes with and without past-history of HSI using linear mixed-effect models. A
logistic regression evaluated whether any of the outcome measures could
differentiate athletes with a past-history of HSI. RESULTS: Knee flexion peak
force, torque and torque normalised to body mass were significantly reduced in
athletes with a past-history of HSI (coefficient, 95% CI) (-44.8N, -86.3 to
3.3), (-22.2Nm, -40.5 to -3.7) and (-0.2Nmkg-1, -0.4 to 0.0) respectively. Knee
flexion peak torque normalised to body mass (Nmkg-1) approached significance as a
test was able to differentiate athletes with history of HSI (p=0.068). There were
no differences between groups for any hip extension strength or lower limb ROM
outcome measures. CONCLUSIONS: Deficits in isometric peak knee flexion strength
persist for up to three seasons following HSI in AF athletes. Isometric knee
flexion strength testing may be a clinically feasible option for Periodic Health
Examinations and inform tertiary injury prevention strategies.
PMID- 29650338
TI - Comparability of children's sedentary time estimates derived from wrist worn
GENEActiv and hip worn ActiGraph accelerometer thresholds.
AB - OBJECTIVES: To examine the comparability of children's free-living sedentary time
(ST) derived from raw acceleration thresholds for wrist mounted GENEActiv
accelerometer data, with ST estimated using the waist mounted ActiGraph
100count.min-1 threshold. DESIGN: Secondary data analysis. METHOD: 108 10-11-year
old children (n=43 boys) from Liverpool, UK wore one ActiGraph GT3X+ and one
GENEActiv accelerometer on their right hip and left wrist, respectively for seven
days. Signal vector magnitude (SVM; mg) was calculated using the ENMO approach
for GENEActiv data. ST was estimated from hip-worn ActiGraph data, applying the
widely used 100count.min-1 threshold. ROC analysis using 10-fold hold-out cross
validation was conducted to establish a wrist-worn GENEActiv threshold comparable
to the hip ActiGraph 100count.min-1 threshold. GENEActiv data were also
classified using three empirical wrist thresholds and equivalence testing was
completed. RESULTS: Analysis indicated that a GENEActiv SVM value of 51mg
demonstrated fair to moderate agreement (Kappa: 0.32-0.41) with the 100count.min
1 threshold. However, the generated and empirical thresholds for GENEActiv
devices were not significantly equivalent to ActiGraph 100count.min-1. GENEActiv
data classified using the 35.6mg threshold intended for ActiGraph devices
generated significantly equivalent ST estimates as the ActiGraph 100count.min-1.
CONCLUSIONS: The newly generated and empirical GENEActiv wrist thresholds do not
provide equivalent estimates of ST to the ActiGraph 100count.min-1 approach. More
investigation is required to assess the validity of applying ActiGraph cutpoints
to GENEActiv data. Future studies are needed to examine the backward
compatibility of ST data and to produce a robust method of classifying SVM
derived ST.
PMID- 29650339
TI - A force profile analysis comparison between functional data analysis, statistical
parametric mapping and statistical non-parametric mapping in on-water single
sculling.
AB - OBJECTIVES: To examine whether the Functional Data Analysis (FDA), Statistical
Parametric Mapping (SPM) and Statistical non-Parametric Mapping (SnPM) hypothesis
testing techniques differ in their ability to draw inferences in the context of a
single, simple experimental design. DESIGN: The sample data used is cross
sectional (two-sample gender comparison) and evaluation of differences between
statistical techniques used a combination of descriptive and qualitative
assessments. METHODS: FDA, SPM and SnPM t-tests were applied to sample data of
twenty highly skilled male and female rowers, rowing at 32 strokes per minute in
a single scull boat. Statistical differences for gender were assessed by applying
two t-tests (one for each side of the boat). RESULTS: The t-statistic values were
identical for all three methods (with the FDA t-statistic presented as an
absolute measure). The critical t-statistics (tcrit) were very similar between
the techniques, with SPM tcrit providing a marginally higher tcrit than the FDA
and SnPM tcrit values (which were identical). All techniques were successful in
identifying consistent sections of the force waveform, where male and female
rowers were shown to differ significantly (p<0.05). CONCLUSIONS: This is the
first study to show that FDA, SPM and SnPM t-tests provide consistent results
when applied to sports biomechanics data. Though the results were similar,
selection of one technique over another by applied researchers and practitioners
should be based on the underlying parametric assumption of SPM, as well as
contextual factors related to the type of waveform data to be analysed and the
experimental research question of interest.
PMID- 29650341
TI - The relation of Dietary diversity score and food insecurity to metabolic syndrome
features and glucose level among pre-diabetes subjects.
AB - INTRODUCTION: Prediabetes is considered as an increased risk factor for
cardiovascular disease and overt diabetes and is the precursor stage of diabetes.
Dietary Diversity Score (DDS) is recognized as an essential factor of a high
quality diet. However, diets with more varieties of food items might increase
calorie intake and body weight. Therefore, this study was carried out to
determine the association of DDS with metabolic syndrome features in adults with
prediabetes. METHODS: Three hundred subjects were randomly selected from
participants who were undergone diabetes test screening program. Dietary intake
was assessed by using a validated semi-quantitative food frequency questionnaire.
DDS was calculated by scoring food intake as nine food groups. The 18-items USDA
household food securities and International Physical Activity (IPAQ) were also
measured. The metabolic syndrome was defined according to the Adult Treatment
Panel III. RESULTS: DDS mean for cases and controls were 4.43 and 4.9,
respectively (p<0.005). The prevalence of food insecurity was 67/3% in cases and
55/4% in controls group. The decrease in metabolic syndrome probability was
compatible with quartiles of DDS (the quartiles odds ratios: 0.6, 0.5, 0.4, 0.19,
P=0.05). A higher DDS was associated with lower level of fasting blood glucose,
HDL-cholesterol, TG and Waist circumference. CONCLUSION: Lower DDS was associated
with high probability of metabolic syndrome and with some features of it, like
high fasting blood glucose. Therefore, it seems that increase in dietary
diversity scores could prevent the pre diabetes development to overt diabetes.
PMID- 29650340
TI - Longitudinal evaluation of efficacy, safety and nutritional status during one
year treatment with the duodenal-jejunal bypass liner.
AB - BACKGROUND: The endoscopic duodenal-jejunal bypass liner (DJBL) represents a
novel temporary endoscopic approach for treatment of obesity-associated type 2
diabetes. Recent results from the German DJBL registry confirmed substantial
positive metabolic effects of the DJBL in type 2 diabetes. However, the last Food
and Drug Administration trial was stopped due to a high occurrence of hepatic
abscesses (3.5%). OBJECTIVES: Here, we analyzed time courses of development of co
morbidities, nutritive changes, and occurrence of adverse events during the 1
year treatment phase with the DJBL in the German DJBL registry. METHODS: Sixty
six patients from the registry were analyzed for efficacy, safety, and
nutritional status. Patient data sets were analyzed at implantation, 3 and 6
months after implantation, and at explantation visits. RESULTS: Weight, body mass
index, glycated hemoglobin, and low-density lipoprotein cholesterol primarily
declined during the first 3 months after implantation, whereas systolic and
diastolic blood pressure were predominantly reduced during the second half of the
treatment phase. Severe DJBL-associated side effects were mainly documented at
the explantation visit (intestinal obstruction [1.7%], dislocation [1.7%], and
liver abscess [1.7%]). Measurements of serum concentrations of ferritin, albumin,
vitamin B12, folic acid, 25-hydroxyvitamin D3 (25 OH-Vit-D3), and calcium
provided suggestive evidence of a possible decrease of nutritional absorption of
vitamins and trace elements by the DJBL. CONCLUSIONS: The DJBL demonstrates high
efficacy with substantial improvement of all parameters of the metabolic syndrome
and the potential for reduction of comedications in overweight patients with type
2 diabetes. These registry results are important to optimize recommendations for
adaptation of concomitant medication, surveillance of adverse events, nutritional
status and supplementation, and adaptation of the implantation period of the
DJBL.
PMID- 29650342
TI - Aortic valve chordae tendineae causing aortic insufficiency and mimicking an
aortic root dissection flap.
PMID- 29650343
TI - Safety, efficacy and Management of subcutaneous treprostinil infusions in the
treatment of severe pediatric pulmonary hypertension.
AB - BACKGROUND: Continuous intravenous epoprostenol was the first treatment approved
for pulmonary arterial hypertension (PAH) but administration through a central
venous line carries risks of thrombosis and sepsis, particularly in children. We
sought to evaluate the safety, efficacy and management of subcutaneous (SC)
treprostinil in children with PAH. METHODS: Fifty-six children (median age 65,
range 1-200 months) were treated with SC treprostinil. Clinical status,
echocardiography, NT-proBNP, and site pain and infection were evaluated. Right
heart catheterization was performed in 54 patients before starting SC
treprostinil infusion and was repeated at 6 months in 31 patients. RESULTS:
Treatment was well tolerated in 79% of patients. Site pain resistant to simple
analgesics occurred in 12 patients (21%), but could be managed in 9/12 children.
At 6 months, 3 patients had died, 4 had received a Potts shunt and 1 underwent
lung transplantation. Among the 48 treated patients, 40 (83%) showed significant
improvement in WHO functional class, 6 minute walk distance, NT-proBNP and
pulmonary vascular resistance (p < 0.01 for all parameters). At last follow-up
(median 37 months), ten patients had died, 2 underwent a lung transplantation and
8 underwent a Potts shunt. In 30 of the 36 remaining treated patients,
improvement of clinical status was sustained. No children developed sepsis and 12
had minor site infections. CONCLUSION: Subcutaneous treprostinil infusion is an
effective therapy without serious side effects in children with PAH. Site pain
can be managed with simple analgesics in most children.
PMID- 29650344
TI - Non-invasive testing for sarcopenia predicts future cardiovascular events in
patients with chronic kidney disease.
AB - BACKGROUND: Sarcopenia is frequently observed and associated with poor outcomes
in patients with chronic kidney disease (CKD). A simple screening test for
sarcopenia using age, grip strength, and calf circumference was recently
developed. However, the clinical utility of this sarcopenia score in patients
with CKD remains unclear. METHODS AND RESULTS: We calculated the sarcopenia score
of 265 patients with CKD and followed the patients for cardiovascular events. The
endpoint of this study was the composite of cardiovascular hospitalization and
total mortality. We divided all participants into high (n = 166) and low (n = 99)
sarcopenia score groups using a simple scoring system. Patients in the high
sarcopenia score group showed significantly higher plasma B-type natriuretic
peptide (BNP) levels than those in the low sarcopenia score group (median: 103.1,
interquartile range: 46.3-310.0 vs. 46.7, 18.0-91.8 pg/mL; p < 0.0001). The
Kaplan-Meier curve revealed that the risk of cardiovascular events was
significantly greater in the high sarcopenia score group (log-rank test: p <
0.0001), even after potential confounding factors were corrected using propensity
score matching. Multivariate Cox hazard analysis identified a high sarcopenia
score (hazard ratio: 3.04, 95% confidence interval: 1.45-6.38, p = 0.003) as an
independent predictor of the primary endpoints. Furthermore, the combination of a
high sarcopenia score and high BNP level identified patients with a significantly
higher probability of future events (p < 0.0001). CONCLUSIONS: This study
demonstrates that this simple screening score for sarcopenia could be a useful
tool for estimating the future adverse event risk in patients with CKD.
PMID- 29650345
TI - ?
PMID- 29650346
TI - Agenesis of the upper lateral incisors: Study of an orthodontic population and
clinical illustration.
AB - In his daily practice, the orthodontist is regularly asked to treat patients with
one or more missing teeth. Considering their functional and esthetic
specificities, and the relatively high frequency of agenesia, our interest was to
focus on the permanent lateral upper incisors. Our study, based on an orthodontic
population including 1000 patients, shows that about 7.8% of these patients
present agenesis of at least one permanent tooth (out of which 3.6% are agenesis
of the upper lateral incisor). Treatment options for these cases are multiple
with several decisional factors to consider: the solutions often lead to
compromises, and require a multidisciplinary coordination. Therefore, the purpose
of this article, was to describe the prevalence of these agenesia based on a
retrospective study, and to present two clinical cases: the first case is a
bilateral agenesis of the maxillary lateral incisors treated with space opening
in order to place two implant-supported restorations. The second case is an
agenesis of tooth 12 treated with space reopening and the placement of a
cantilever bridge. These clinical cases are presented to illustrate the
multidisciplinary approach involving orthodontics, prosthodontics, and
periodontology, in order to achieve the most esthetic and functional results
possible.
PMID- 29650347
TI - Risk modeling in prospective diabetes studies: Association and predictive value
of anthropometrics.
AB - AIMS: This study aimed to introduce and apply modern statistical techniques for
assessing association and predictive value of risk factors in first-degree
relatives (FDR) of patients with diabetes from repeatedly measured diabetes data.
METHODS: We used data from 1319 FDR's of patients with diabetes followed for 8
years. Association and predictive performance of weight (Wt), body mass index
(BMI), waist and hip circumferences (WC and HC) and their ratio (WHR), waist
height ratio (WHtR) and a body shape index (ABSI) in relation to future diabetes
were evaluated by using Cox regression and joint longitudinal-survival modeling.
RESULTS: According to Cox regression, in total sample, WC, HC, Wt, WHtR and BMI
had significant direct association with diabetes (all p < 0.01) with the best
predictive ability for WHtR (concordance probability estimate = 0.575). Joint
modeling suggested direct associations between diabetes and WC, WHR, Wt, WHtR and
BMI in total sample (all p < 0.05). According to LPML criterion, WHtR was the
best predictor in both total sample and females with LPML of -2666.27 and
2185.67, respectively. However, according to AUC criteria, BMI had the best
predictive performance with AUC-JM = 0.7629 and dAUC-JM = 0.5883 in total sample.
In females, both AUC criteria indicated that WC was the best predictor followed
by WHtR. CONCLUSION: WC, WHR, Wt, WHtR and BMI are among candidate anthropometric
measures to be monitored in diabetes prevention programs. Larger multi-ethnic and
multivariate research are warranted to assess interactions and identify the best
predictors in subgroups.
PMID- 29650348
TI - The effectiveness of a serious game to enhance empathy for care workers for
people with disabilities: A parallel randomized controlled trial.
AB - BACKGROUND: Empathic care is fundamental in healthcare settings and is associated
to several positive outcomes for care workers (i.e. burnout, compassion
satisfaction) and patients (i.e. therapeutic alliance, trust, wellbeing). Yet,
studies showed a decrease in empathy in care workers, which is argued to be a
product of personal distress. Thus, interventions should aim at enhancing empathy
in care workers working for vulnerable populations to ensure optimal client-carer
relationships. OBJECTIVES: The current study investigates the effectiveness of
the serious game "The world of EMPA" in enhancing empathy in care workers for
people with disabilities, and tests the effect of personal distress on empathy
change post intervention. METHODS: We conducted a superiority parallel randomized
controlled trial (RCT) and tested 224 participants in two conditions: the
experimental group (n = 111) played a serious game and the control group (n =
113) read a digital information package about disabilities. Participants were
assessed on empathy and personal distress prior to and after the intervention.
RESULTS: Main results showed that the serious game did not significantly enhance
empathy in care workers, whereas reading a digital information package yield a
significant decrease in empathy. Exploratory analysis showed that the serious
game decreased significantly personal distress in care-workers. CONCLUSIONS: This
study showed that while the serious game "The world of EMPA" did not enhance
empathy, it resulted in a decrease in personal distress in care workers for
people with disabilities. Further evidence should corroborate these findings to
unveil the mechanisms of this intervention and the long-term effects on personal
distress.
PMID- 29650349
TI - Perceived influence of intrinsic/extrinsic factors on participation in life
activities after spinal cord injury.
AB - BACKGROUND: Various types of limitations on community participation are
experienced by people with spinal cord injury (SCI). OBJECTIVES: To determine: 1)
the perceived influence of six intrinsic/extrinsic factors (i.e. physical
impairment, emotional condition, thinking skills, environment, lack of
assistance, discrimination) on participation in 26 life activities, 2) if this
influence varied based on extent of participation, and 3) if personal or
environmental characteristics influenced perceptions. METHODS: Secondary analysis
of a cohort (SCI Community Survey, n = 1508) using the SCI Person-Perceived
Participation in Daily Activities Questionnaire. Frequency tables, Fisher's exact
tests and correspondence analyses. RESULTS: Respectively, 79.6% and 38.5% of
respondents perceived that their physical impairment and the natural and/or built
environment were the main factors that limited participation across all
activities. Considering participation between three groups (no participation;
less than wanted; as much as wanted), significant differences (p < 0.001) of
perceptions were observed in 65% of the combinations (26 activities x 6 factors).
The hypothesis that respondents who did not participate would perceive the
highest proportion of limitations was confirmed in 41% of the combinations.
Perceived influence of the intrinsic/extrinsic factors on participation was not
significantly influenced by other personal or environmental characteristics.
CONCLUSION: A majority of people with SCI perceived that their participation is
limited by one or more of intrinsic/extrinsic factors. Perceptions regarding
which factors influence participation differ between activities and these
perceptions appear related to the extent of participation suggesting that those
who actively participate could be the most sensitive to limitations in certain
activities.
PMID- 29650351
TI - Overexpression of ST5, an activator of Ras, has no effect on beta-cell
proliferation in adult mice.
AB - OBJECTIVE: Both Type I and Type II diabetes mellitus result from insufficient
functional beta-cell mass. Efforts to increase beta-cell proliferation as a means
to restore beta-cell mass have been met with limited success. Suppression of
Tumorigenicity 5 (ST5) activates Ras/Erk signaling in the presence of Epidermal
Growth Factor (EGF). In the pancreatic islet, Ras/Erk signaling is required for
augmented beta-cell proliferation during pregnancy, suggesting that ST5 is an
appealing candidate to enhance adult beta-cell proliferation. We aimed to test
the hypothesis that overexpression of ST5 drives adult beta-cell proliferation.
METHODS: We utilized a doxycycline-inducible bitransgenic mouse model to activate
beta-cell-specific expression of human ST5 in adult mice at will. Islet
morphology, beta-cell proliferation, and beta-cell mass in control and ST5
overexpressing (ST5 OE) animals were analyzed by immunofluorescent staining,
under basal and two stimulated metabolic states: pregnancy and streptozotocin
(STZ)-induced beta-cell loss. RESULTS: Doxycycline treatment resulted in robust
ST5 overexpression in islets from 12-16 week-old ST5 OE animals compared to
controls, without affecting the islet morphology and identity of the beta-cells.
Under both basal and metabolically stimulated pregnancy states, beta-cell
proliferation and mass were comparable in ST5 OE and control animals.
Furthermore, there was no detectable difference in beta-cell proliferation
between ST5 OE and control animals in response to STZ-induced beta-cell loss.
CONCLUSIONS: We successfully derived an inducible bitransgenic mouse model to
overexpress ST5 specifically in beta-cells. However, our findings demonstrate
that ST5 overexpression by itself has no mitogenic effect on the adult beta-cell
under basal and metabolically challenged states.
PMID- 29650352
TI - Reflections on the "opioid crisis": Prevention is better than cure.
PMID- 29650350
TI - Loss of dorsomedial hypothalamic GLP-1 signaling reduces BAT thermogenesis and
increases adiposity.
AB - OBJECTIVE: Glucagon-like peptide-1 (GLP-1) neurons in the hindbrain densely
innervate the dorsomedial hypothalamus (DMH), a nucleus strongly implicated in
body weight regulation and the sympathetic control of brown adipose tissue (BAT)
thermogenesis. Therefore, DMH GLP-1 receptors (GLP-1R) are well placed to
regulate energy balance by controlling sympathetic outflow and BAT function.
METHODS: We investigate this possibility in adult male rats by using direct
administration of GLP-1 (0.5 ug) into the DMH, knocking down DMH GLP-1R mRNA with
viral-mediated RNA interference, and by examining the neurochemical phenotype of
GLP-1R expressing cells in the DMH using in situ hybridization. RESULTS: GLP-1
administered into the DMH increased BAT thermogenesis and hepatic triglyceride
(TG) mobilization. On the other hand, Glp1r knockdown (KD) in the DMH increased
body weight gain and adiposity, with a concomitant reduction in energy
expenditure (EE), BAT temperature, and uncoupling protein 1 (UCP1) expression.
Moreover, DMH Glp1r KD induced hepatic steatosis, increased plasma TG, and
elevated liver specific de-novo lipogenesis, effects that collectively
contributed to insulin resistance. Interestingly, DMH Glp1r KD increased
neuropeptide Y (NPY) mRNA expression in the DMH. GLP-1R mRNA in the DMH, however,
was found in GABAergic not NPY neurons, consistent with a GLP-1R-dependent
inhibition of NPY neurons that is mediated by local GABAergic neurons. Finally,
DMH Glp1r KD attenuated the anorexigenic effects of the GLP-1R agonist exendin-4,
highlighting an important role of DMH GLP-1R signaling in GLP-1-based therapies.
CONCLUSIONS: Collectively, our data show that DMH GLP-1R signaling plays a key
role for BAT thermogenesis and adiposity.
PMID- 29650353
TI - Reprint of: Environmental toxicology and omics: A question of sex.
AB - : Molecular initiating events and downstream transcriptional/proteomic responses
provide valuable information for adverse outcome pathways, which can be used
predict the effects of chemicals on physiological systems. There has been a
paucity of research that addresses sex-specific expression profiling in
toxicology and due to cost, time, and logistic considerations, sex as a variable
has not been widely considered. In response to this deficiency, federal agencies
in the United States, Canada, and Europe have highlighted the importance of
including sex as a variable in scientific investigations. Using case studies from
both aquatic and mammalian toxicology, we report that there can be less than ~20
25% consensus in how the transcriptome and proteome of each sex responds to
chemicals. Chemicals that have been shown to elicit sex-specific responses in the
transcriptome or proteome include pharmaceuticals, anti-fouling agents,
anticorrosive agents, and fungicides, among others. Sex-specific responses in the
transcriptome and proteome are not isolated to whole animals, as investigations
demonstrate that primary cell cultures isolated from each sex responds
differently to toxicants. This signifies that sex is important, even in cell
lines. Sex has significant implications for predictive toxicology, and both male
and female data are required to improve robustness of adverse outcome pathways.
BIOLOGICAL SIGNIFICANCE: Clinical toxicology recognizes that sex is an important
variable, as pharmacokinetics (ADME; absorption, distribution, metabolism, and
excretion) can differ between females and males. However, few studies in
toxicology have explored the implication of sex in relation to the transcriptome
and proteome of whole organisms. High-throughput molecular approaches are
becoming more frequently applied in toxicity screens (e.g. pre-clinical
experiments, fish embryos, cell lines, synthetic tissues) and such data are
expected to build upon reporter-based cell assays (e.g. receptor activation,
enzyme inhibition) used in toxicant screening programs (i.e. Tox21, ToxCast,
REACH). Thus, computational models can more accurately predict the diversity of
adverse effects that can occur from chemical exposure within the biological
system. Our studies and those synthesized from the literature suggest that the
transcriptome and proteome of females and males respond quite differentially to
chemicals. This has significant implications for predicting adverse effects in
one sex when using molecular data generated in the other sex. While molecular
initiating events are not expected to differ dramatically between females and
males (i.e. an estrogen binds estrogen receptors in both sexes), it is important
to acknowledge that the downstream transcriptomic and proteomic responses can
differ based upon the presence/absence of co-regulators and inherent sex-specific
variability in regulation of transcriptional and translational machinery.
Transcriptomic and proteomic studies also reveal that cell processes affected by
chemicals can differ due to sex, and this can undoubtedly lead to sex-specific
physiological responses.
PMID- 29650354
TI - Risk factors for postoperative delirium in patients undergoing free flap
reconstruction for oral cancer.
AB - The aim of this study was to investigate risk factors for postoperative delirium
in patients undergoing free flap reconstruction for defects after oral cancer
resection. This was a non-randomized, retrospective cohort study involving 102
patients who underwent oral cancer resection and free flap reconstruction. Data
were collected from the medical records. Postoperative delirium occurred in 34
patients (33.3%), of whom 27 were male and seven were female. High preoperative
total protein and albumin, diabetes mellitus, history of smoking, use of
hypnotics or antipsychotics, time until getting out of bed after surgery, and
postoperative insomnia were significantly related to delirium in the univariate
analysis (P<0.05). In a multiple logistic regression model, high preoperative
albumin (odds ratio 4.45), postoperative insomnia (odds ratio 10.72), and history
of smoking (odds ratio 2.91) were significant risk factors for delirium (P<0.05).
The analysis of laboratory data before and after surgery showed greater decreases
in albumin, total protein, and haemoglobin after surgery in patients with
postoperative delirium than in those without this condition. These results show
that the perioperative maintenance of nutritional status and early postoperative
management of the sleep cycle are important to prevent delirium after oral cancer
resection and free flap reconstruction.
PMID- 29650355
TI - A prospective study examining the effects of treatment timing in the management
of mandible fractures.
AB - The ideal timing for treatment of mandible fractures has not been well
established. The objective of this study was to analyse the effects of treatment
timing in the surgical management of mandible fractures. A prospective evaluation
of 215 continuous patients with a total of 359 mandible fractures was undertaken.
Nine outcome variables were analysed in relation to treatment delay by logistic
regression modelling: wound dehiscence, hardware exposure, local postoperative
infection, malocclusion, trismus, nerve damage, fracture non-union, return to
theatre, and radiographic outcome. Nineteen additional variables were included in
the analysis to adjust for potential confounding. Delay was measured in days and
ranged from 0 to 41days, with a mean delay of 4.6days. The incidence of wound
dehiscence, hardware exposure, local postoperative infection, trismus, nerve
damage, fracture non-union and return to theatre was 6%, 4%, 11%, 8.5%, 47%, 2%
and 8%, respectively. Objective malocclusion and poor radiographic outcomes were
evident in 13% and 4.5% of cases, respectively. No statistically significant
association was found between treatment delay and treatment outcomes. The
findings of this study suggest it may be safe to delay the definitive treatment
of mandible fractures. Treatment delay may allow for improved resource
distribution and prioritization of more time-dependent interventions.
PMID- 29650356
TI - Identification of 'Point A' as the prevalent source of error in cephalometric
analysis of lateral radiographs.
AB - Deviations in measuring dentofacial components in a lateral X-ray represent a
major hurdle in the subsequent treatment of dysgnathic patients. In a
retrospective study, we investigated the most prevalent source of error in the
following commonly used cephalometric measurements: the angles Sella-Nasion-Point
A (SNA), Sella-Nasion-Point B (SNB) and Point A-Nasion-Point B (ANB); the Wits
appraisal; the anteroposterior dysplasia indicator (APDI); and the overbite depth
indicator (ODI). Preoperative lateral radiographic images of patients with
dentofacial deformities were collected and the landmarks digitally traced by
three independent raters. Cephalometric analysis was automatically performed
based on 1116 tracings. Error analysis identified the x-coordinate of Point A as
the prevalent source of error in all investigated measurements, except SNB, in
which it is not incorporated. In SNB, the y-coordinate of Nasion predominated
error variance. SNB showed lowest inter-rater variation. In addition, our
observations confirmed previous studies showing that landmark identification
variance follows characteristic error envelopes in the highest number of tracings
analysed up to now. Variance orthogonal to defining planes was of relevance,
while variance parallel to planes was not. Taking these findings into account,
orthognathic surgeons as well as orthodontists would be able to perform
cephalometry more accurately and accomplish better therapeutic results.
PMID- 29650357
TI - Clinical outcomes of Clostridium difficile infection according to strain type. A
prospective study in medical wards.
AB - OBJECTIVES: To describe clinical characteristics and outcome of Clostridium
difficile infection (CDI) patients in Internal Medicine, to identify ribotypes
(RTs); to evaluate the association between RT and patient clinical
characteristics and report outcome. METHODS: One year prospective cohort study.
Clinical data, Barthel Index (BI) and outcomes were collected for all inpatients
suffering from CDI (n = 148) in hospital wards in Northern Italy. 84 fecal
samples were analysed for molecular typing. RESULTS: 12 RTs were identified,
predominantly RT018 (42.9%, n = 36/84) and RT356/607 (40.5%, n = 34/84). Patients
with dementia were more frequent among those infected by RT018 [55.6% (n = 20/36)
vs. 32.4% (n = 11/34), p = 0.05]. The median BI score of patients with RT018 was
lower than BI score of patients with RT356/607 [10 (IQR 0-32) vs. 15 (IQR 5-50),
p = 0.06]. RT018 infection was associated to higher levels of C-reactive protein
[7.2 mg/dl (IQR 4.1-14.7) vs. 4.0 mg/dl (IQR 2.2-6.8), p = 0.01] and white blood
cells >=15,000/dl [33.3% (n = 12/36) vs. 14.7% (n = 5/34) of patients, p = 0.07].
Higher mortality was noted among RT018 infected patients. We found a continuous
mortality increase according to the ATLAS score. CONCLUSIONS: Our results confirm
that RT018 and RT356/607 are the two major RTs causing CDI in older patients with
a high degree of disability in Northern Italy and RT018 is associated with more
serious outcomes.
PMID- 29650358
TI - Prognostic relevance of glomerular filtration rate estimation obtained through
different equations in hospitalized elderly patients.
AB - The estimated glomerular filtration rate (eGFR) is a predictor of important
outcomes and its reduction has been associated with the risk of all-cause
mortality in both general population and elderly patients. However while reduced
renal function is common in older people, the best method for estimating GFR
remains unclear, especially in an acute care setting. Most studies analyzing the
accuracy of eGFR in the elderly were carried out in different heterogeneous
settings. In this study, we compare the prognostic value of different formulas
estimating GFR in predicting the risk of in-hospital morbidity and mortality
within 3 months from discharge in elderly hospitalized patients. Data were
extracted from "Registro Politerapia Societa Italiana di Medicina Interna
(REPOSI)". Patients with available creatinine values at hospital admission were
selected and eGFR was calculated according to the different formulas: Cockcroft
Gault, Modification of Diet in Renal Disease equation, Chronic Kidney Disease
Epidemiology Collaboration, Berlin Initiative Study and Full Age Spectrum. 4621
patients were included in the analysis. Among these, 4.2% and 14.2% died during
hospitalization and within 3 months from discharge, respectively. eGFR > 60
ml/min/1.73 m2 at admission was associated with a very low risk of mortality
during the hospital stay and within 90 days from discharge, while an eGFR < 60
ml/min/1.73 m2 was associated with unfavorable outcomes, although with a poor
level of accuracy (AUC 0.60-0.66). No difference in predictive power between
different equations was found. Physicians should be aware of the prognostic role
of eGFR in a comprehensive assessment of elderly in-patients.
PMID- 29650359
TI - Asymmetric Tyrosination of Spindle Microtubules Facilitates Selfish Inheritance.
AB - Meiotic drive is an enigmatic process that results from biased segregation of
selfish genetic elements that enhance their own transmission and drive evolution.
During asymmetric female meiotic divisions, selfish elements segregate
preferentially towards the egg rather than polar bodies. Recent findings
demonstrate that asymmetric spindle tyrosination helps selfish elements to cheat.
PMID- 29650360
TI - Re: Radiology-led lung escalation pathway: a streamlined innovative service
expediting the diagnosis of lung cancer. A reply.
PMID- 29650361
TI - A CRITICal period for chemoradiotherapy in gastric cancer.
PMID- 29650362
TI - Tazemetostat, an EZH2 inhibitor, in relapsed or refractory B-cell non-Hodgkin
lymphoma and advanced solid tumours: a first-in-human, open-label, phase 1 study.
AB - BACKGROUND: Activating enhancer of zeste homolog 2 (EZH2) mutations or
aberrations of the switch/sucrose non-fermentable (SWI/SNF) complex (eg,
mutations or deletions of the subunits INI1 or SMARCA4) can lead to aberrant
histone methylation, oncogenic transformation, and a proliferative dependency on
EZH2 activity. In this first-in-human study, we aimed to investigate the safety,
clinical activity, pharmacokinetics, and pharmacodynamics of tazemetostat, a
first-in-class selective inhibitor of EZH2. METHODS: We did an open-label,
multicentre, dose-escalation, phase 1 study using a 3 + 3 design with planned
cohort expansion at the two highest doses below the maximally tolerated dose. The
study was done at two centres in France: Institut Gustave Roussy (Villejuif, Val
de Marne) and Institut Bergonie (Bordeaux, Gironde). Eligible patients had
relapsed or refractory B-cell non-Hodgkin lymphoma or an advanced solid tumour
and were older than 18 years, with Eastern Cooperative Oncology Group performance
status of 0 or 1, and adequate end-organ function. Tazemetostat was administered
orally from 100 mg twice daily to 1600 mg twice daily in 28-day cycles. The
primary endpoint was to establish the maximum tolerated dose or recommended phase
2 dose of tazemetostat, as determined by dose-limiting toxicities, laboratory
values, and other safety or pharmacokinetic measures in cycle one according to
local investigator assessment. Safety was assessed in patients who received at
least one dose of tazemetostat; antitumour activity was assessed in the intention
to-treat population. This study is registered with ClinicalTrials.gov, number
NCT01897571. The phase 1 part of the study is complete, and phase 2 is ongoing.
FINDINGS: Between June 13, 2013, and Sept 21, 2016, 64 patients (21 with B-cell
non-Hodgkin lymphoma, and 43 with advanced solid tumours) received doses of
tazemetostat. The most common treatment-related adverse events, regardless of
attribution, were asthenia (21 [33%] of 64 treatment-related events), anaemia
(nine [14%]), anorexia (four [6%]), muscle spasms (nine [14%]), nausea (13
[20%]), and vomiting (six [9%]), usually grade 1 or 2 in severity. A single dose
limiting toxicity of grade 4 thrombocytopenia was identified at the highest dose
of 1600 mg twice daily. No treatment-related deaths occurred; seven (11%)
patients had non-treatment-related deaths (one at 200 mg twice daily, four at 400
mg twice daily, and two at 1600 mg twice daily). The recommended phase 2 dose was
determined to be 800 mg twice daily. Durable objective responses, including
complete responses, were observed in eight (38%) of 21 patients with B-cell non
Hodgkin lymphoma and two (5%) of 43 patients with solid tumours. INTERPRETATION:
Tazemetostat showed a favourable safety profile and antitumour activity in
patients with refractory B-cell non-Hodgkin lymphoma and advanced solid tumours,
including epithelioid sarcoma. Further clinical investigation of tazemetostat
monotherapy is ongoing in phase 2 studies in adults and a phase 1 study for
children, which are currently enrolling patients who have B-cell non-Hodgkin
lymphoma and INI1-negative or SMARCA4-negative tumours. FUNDING: Epizyme and
Eisai.
PMID- 29650364
TI - Targeting EZH2 with tazemetostat.
PMID- 29650363
TI - Chemotherapy versus chemoradiotherapy after surgery and preoperative chemotherapy
for resectable gastric cancer (CRITICS): an international, open-label, randomised
phase 3 trial.
AB - BACKGROUND: Both perioperative chemotherapy and postoperative chemoradiotherapy
improve survival in patients with resectable gastric cancer from Europe and North
America. To our knowledge, these treatment strategies have not been investigated
in a head to head comparison. We aimed to compare perioperative chemotherapy with
preoperative chemotherapy and postoperative chemoradiotherapy in patients with
resectable gastric adenocarcinoma. METHODS: In this investigator-initiated, open
label, randomised phase 3 trial, we enrolled patients aged 18 years or older who
had stage IB- IVA resectable gastric or gastro-oesophageal adenocarcinoma (as
defined by the American Joint Committee on Cancer, sixth edition), with a WHO
performance status of 0 or 1, and adequate cardiac, bone marrow, liver, and
kidney function. Patients were enrolled from 56 hospitals in the Netherlands,
Sweden, and Denmark, and were randomly assigned (1:1) with a computerised
minimisation programme with a random element to either perioperative chemotherapy
(chemotherapy group) or preoperative chemotherapy with postoperative
chemoradiotherapy (chemoradiotherapy group). Randomisation was done before
patients were given any preoperative chemotherapy treatment and was stratified by
histological subtype, tumour localisation, and hospital. Patients and
investigators were not masked to treatment allocation. Surgery consisted of a
radical resection of the primary tumour and at least a D1+ lymph node dissection.
Postoperative treatment started within 4-12 weeks after surgery. Chemotherapy
consisted of three preoperative 21-day cycles and three postoperative cycles of
intravenous epirubicin (50 mg/m2 on day 1), cisplatin (60 mg/m2 on day 1) or
oxaliplatin (130 mg/m2 on day 1), and capecitabine (1000 mg/m2 orally as tablets
twice daily for 14 days in combination with epirubicin and cisplatin, or 625
mg/m2 orally as tablets twice daily for 21 days in combination with epirubicin
and oxaliplatin), received once every three weeks. Chemoradiotherapy consisted of
45 Gy in 25 fractions of 1.8 Gy, for 5 weeks, five daily fractions per week,
combined with capecitabine (575 mg/m2 orally twice daily on radiotherapy days)
and cisplatin (20 mg/m2 intravenously on day 1 of each 5 weeks of radiation
treatment). The primary endpoint was overall survival, analysed by intention-to
treat. The CRITICS trial is registered at ClinicalTrials.gov, number NCT00407186;
EudraCT, number 2006-004130-32; and CKTO, 2006-02. FINDINGS: Between Jan 11,
2007, and April 17, 2015, 788 patients were enrolled and randomly assigned to
chemotherapy (n=393) or chemoradiotherapy (n=395). After preoperative
chemotherapy, 372 (95%) of 393 patients in the chemotherapy group and 369 (93%)
of 395 patients in the chemoradiotherapy group proceeded to surgery, with a
potentially curative resection done in 310 (79%) of 393 patients in the
chemotherapy group and 326 (83%) of 395 in the chemoradiotherapy group.
Postoperatively, 233 (59%) of 393 patients started chemotherapy and 245 (62%) of
395 started chemoradiotherapy. At a median follow-up of 61.4 months (IQR 43.3
82.8), median overall survival was 43 months (95% CI 31-57) in the chemotherapy
group and 37 months (30-48) in the chemoradiotherapy group (hazard ratio from
stratified analysis 1.01 (95% CI 0.84-1.22; p=0.90). After preoperative
chemotherapy, in the total safety population of 781 patients (assessed together),
there were 368 (47%) grade 3 adverse events; 130 (17%) grade 4 adverse events,
and 13 (2%) deaths. Causes of death during preoperative treatment were diarrhoea
(n=2), dihydropyrimidine deficiency (n=1), sudden death (n=1), cardiovascular
events (n=8), and functional bowel obstruction (n=1). During postoperative
treatment, grade 3 and 4 adverse events occurred in 113 (48%) and 22 (9%) of 233
patients in the chemotherapy group, respectively, and in 101 (41%) and ten (4%)
of 245 patients in the chemoradiotherapy group, respectively. Non-febrile
neutropenia occurred more frequently during postoperative chemotherapy (79 [34%]
of 233) than during postoperative chemoradiotherapy (11 [4%] of 245). No deaths
were observed during postoperative treatment. INTERPRETATION: Postoperative
chemoradiotherapy did not improve overall survival compared with postoperative
chemotherapy in patients with resectable gastric cancer treated with adequate
preoperative chemotherapy and surgery. In view of the poor postoperative patient
compliance in both treatment groups, future studies should focus on optimising
preoperative treatment strategies. FUNDING: Dutch Cancer Society, Dutch
Colorectal Cancer Group, and Hoffmann-La Roche.
PMID- 29650365
TI - Expression of the human UDP-galactose transporter gene hUGT1 in tobacco plants'
enhanced plant hardness.
AB - We reported previously that tobacco plants transformed with the human UDP
galactose transporter 1 gene (hUGT1) had enhanced growth, displayed
characteristic traits, and had an increased proportion of galactose (hyper
galactosylation) in the cell wall matrix polysaccharides. Here, we report that
hUGT1-transgenic plants have an enhanced hardness. As determined by breaking and
bending tests, the leaves and stems of hUGT1-transgenic plants were harder than
those of control plants. Transmission electron microscopy revealed that the cell
walls of palisade cells in leaves, and those of cortex cells and xylem fibers in
stems of hUGT1-transgenic plants, were thicker than those of control plants. The
increased amounts of total cell wall materials extracted from the leaves and
stems of hUGT1-transgenic plants supported the increased cell wall thickness. In
addition, the cell walls of the hUGT1-transgenic plants showed an increased
lignin contents, which was supported by the up-regulation of lignin biosynthetic
genes. Thus, the heterologous expression of hUGT1 enhanced the accumulation of
cell wall materials, which was accompanied by the increased lignin content,
resulting in the increased hardness of the leaves and stems of hUGT1-trangenic
plants. The enhanced accumulation of cell wall materials might be related to the
hyper-galactosylation of cell wall matrix polysaccharides, most notably
arabinogalactan, because of the enhanced UDP-galactose transport from the cytosol
to the Golgi apparatus by hUGT1, as suggested in our previous report.
PMID- 29650366
TI - Mannose Receptor and the Mystery of Nonhealing Leishmania major Infection.
AB - Scientists have long puzzled over the ability of Leishmania major Seidman (LmSd)
to form nonhealing cutaneous lesions in the face of a strong Th1 response. A
recent study identified a population of dermal macrophages that are
preferentially infected by LmSd in a mannose receptor 1-, C-type 1 (MRC1/CD206)
dependent manner.
PMID- 29650367
TI - Reply to the letter to the editor YMATH_2018_15:"Two-point discrimination and the
low back pain: Not as unreliable as it seems, but what about standardised
procedures?" regarding our article MSKSP_168:"A systematic review and meta
analysis of the reliability and validity of sensorimotor measurement instruments
in people with chronic low back pain".
PMID- 29650368
TI - Protein Corona in Response to Flow: Effect on Protein Concentration and
Structure.
AB - Nanoparticles used in cellular applications encounter free serum proteins that
adsorb onto the surface of the nanoparticle, forming a protein corona. This
protein layer controls the interaction of nanoparticles with cells. For
nanomedicine applications, it is important to consider how intravenous injection
and the subsequent shear flow will affect the protein corona. Our goal was to
determine if shear flow changed the composition of the protein corona and if
these changes affected cellular binding. Colorimetric assays of protein
concentration and gel electrophoresis demonstrate that polystyrene nanoparticles
subjected to flow have a greater concentration of serum proteins adsorbed on the
surface, especially plasminogen. Plasminogen, in the absence of nanoparticles,
undergoes changes in structure in response to flow, characterized by fluorescence
and circular dichroism spectroscopy. The protein-nanoparticle complexes formed
from fetal bovine serum after flow had decreased cellular binding, as measured
with flow cytometry. In addition to the relevance for nanomedicine, these results
also highlight the technical challenges of protein corona studies. The
composition of the protein corona was highly dependent on the initial mixing
step: rocking, vortexing, or flow. Overall, these results reaffirm the importance
of the protein corona in nanoparticle-cell interactions and point toward the
challenges of predicting corona composition based on nanoparticle properties.
PMID- 29650369
TI - SPT and Imaging FCS Provide Complementary Information on the Dynamics of Plasma
Membrane Molecules.
AB - The dynamics of biomolecules in the plasma membrane is of fundamental importance
to understanding cellular processes. Cellular signaling often starts with
extracellular ligand binding to a membrane receptor, which then transduces an
intracellular signal. Ligand binding and receptor-complex activation often
involve a complex rearrangement of proteins in the membrane, which results in
changes in diffusion properties. Two widely used methods to characterize
biomolecular diffusion are single-particle tracking (SPT) and imaging total
internal reflection fluorescence correlation spectroscopy (ITIR-FCS). Here, we
compare the results of recovered diffusion coefficients and mean-square
displacements of the two methods by simulations of free, domain-confined, or
meshwork diffusion. We introduce, to our knowledge, a new method for the
determination of confinement radii from ITIR-FCS data. We further establish and
demonstrate simultaneous SPT/ITIR-FCS for direct comparison within living cells.
Finally, we compare the results obtained by SPT and ITIR-FCS for the receptor
tyrosine kinase MET. Our results show that SPT and ITIR-FCS yield complementary
information on diffusion properties of biomolecules in cell membranes.
PMID- 29650370
TI - Open-Boundary Molecular Dynamics of a DNA Molecule in a Hybrid Explicit/Implicit
Salt Solution.
AB - The composition and electrolyte concentration of the aqueous bathing environment
have important consequences for many biological processes and can profoundly
affect the behavior of biomolecules. Nevertheless, because of computational
limitations, many molecular simulations of biophysical systems can be performed
only at specific ionic conditions: either at nominally zero salt concentration,
i.e., including only counterions enforcing the system's electroneutrality, or at
excessive salt concentrations. Here, we introduce an efficient molecular dynamics
simulation approach for an atomistic DNA molecule at realistic physiological
ionic conditions. The simulations are performed by employing the open-boundary
molecular dynamics method that allows for simulation of open systems that can
exchange mass and linear momentum with the environment. In our open-boundary
molecular dynamics approach, the computational burden is drastically alleviated
by embedding the DNA molecule in a mixed explicit/implicit salt-bathing solution.
In the explicit domain, the water molecules and ions are both overtly present in
the system, whereas in the implicit water domain, only the ions are explicitly
present and the water is described as a continuous dielectric medium. Water
molecules are inserted and deleted into/from the system in the intermediate
buffer domain that acts as a water reservoir to the explicit domain, with both
water molecules and ions free to enter or leave the explicit domain. Our approach
is general and allows for efficient molecular simulations of biomolecules
solvated in bathing salt solutions at any ionic strength condition.
PMID- 29650371
TI - Turo (Qi Dance) Program for Parkinson's Disease Patients: Randomized, Assessor
Blind, Waiting-List Control, Partial Crossover Study.
AB - CONTEXT: Qigong, Tai-chi and dancing have all been proven effective for
Parkinson's disease (PD); however, no study has yet assessed the efficacy of
Turo, a hybrid qigong dancing program developed to relieve symptoms in PD
patients. OBJECTIVE: To determine whether Turo may provide benefit in addressing
the symptoms of PD patients. DESIGN: Randomized, assessor blind, waiting-list
control, partial crossover study. SETTING: Kyung Hee University Korean Medicine
Hospital, Seoul, Republic of Korea. PARTICIPANTS: A total of 32 PD patients (mean
age 65.7 +/- 6.8). INTERVENTION: Participants were assigned to the Turo group or
the waiting-list control group. The Turo group participated in an 8-week Turo
training program (60-minute sessions twice a week). The waiting-list control
group received no additional treatment during the same period; then underwent the
same 8-week Turo training. OUTCOME MEASURES: The primary outcome was a score on
the Unified Parkinson's Disease Rating Scale (UPDRS), and the secondary outcomes
included the perceived health status assessed using the Parkinson's disease
Quality of Life questionnaire (PDQL), balance function as assessed by the Berg
Balance Scale (BBS) and the results of the Beck Depression Inventory (BDI).
RESULTS: The Turo group showed statistically significant improvements in the
UPDRS (P < 0.01) and PDQL (P < 0.05) as compared to the control group. The
changes in BBS scores displayed a tendency toward improvement, but was not
statistically significant (P = 0.051). CONCLUSION: These findings suggest that
Turo PD training might improve the symptoms of PD patients.
PMID- 29650372
TI - Genomic signatures of parasite-driven natural selection in north European
Atlantic salmon (Salmo salar).
AB - Understanding the genomic basis of host-parasite adaptation is important for
predicting the long-term viability of species and developing successful
management practices. However, in wild populations, identifying specific
signatures of parasite-driven selection often presents a challenge, as it is
difficult to unravel the molecular signatures of selection driven by different,
but correlated, environmental factors. Furthermore, separating parasite-mediated
selection from similar signatures due to genetic drift and population history can
also be difficult. Populations of Atlantic salmon (Salmo salar L.) from northern
Europe have pronounced differences in their reactions to the parasitic flatworm
Gyrodactylus salaris Malmberg 1957 and are therefore a good model to search for
specific genomic regions underlying inter-population differences in pathogen
response. We used a dense Atlantic salmon SNP array, along with extensive
sampling of 43 salmon populations representing the two G. salaris response
extremes (extreme susceptibility vs resistant), to screen the salmon genome for
signatures of directional selection while attempting to separate the parasite
effect from other factors. After combining the results from two independent
genome scan analyses, 57 candidate genes potentially under positive selection
were identified, out of which 50 were functionally annotated. This candidate gene
set was shown to be functionally enriched for lymph node development, focal
adhesion genes and anti-viral response, which suggests that the regulation of
both innate and acquired immunity might be an important mechanism for salmon
response to G. salaris. Overall, our results offer insights into the apparently
complex genetic basis of pathogen susceptibility in salmon and highlight
methodological challenges for separating the effects of various environmental
factors.
PMID- 29650373
TI - Repair of post-laryngectomy pharyngocutaneous fistulas using a pectoralis major
flap.
AB - INTRODUCTION: The pectoralis major flap is a reconstructive option to consider in
the treatment of pharyngocutaneous fistula after a total laryngectomy. There are
not large studies assessing variables related to pharyngocutaneous fistula
recurrence after removal of the larynx. Our objectives were to review the results
obtained with this type of treatment when pharyngocutaneous fistula appears in
laryngectomized patients, and to evaluate variables related to the results.
METHODS: We retrospectively reviewed our results using either a myocutaneous or
fasciomuscular pectoralis major flap to repair pharyngocutaneous fistula in 50
patients. RESULTS: There were no cases of flap necrosis. Oral intake after
fistula repair with a pectoralis major flap was restored in 94% of cases. Fistula
recurrence occurred in 22 cases (44%), and it was associated with a lengthening
of the hospital stay. Performing the flap as an emergency procedure was
associated with a significantly higher risk of fistula recurrence. Hospital stay
was significantly shorter when a salivary tube was placed. CONCLUSIONS: The
pectoralis major flap is a useful approach to repair pharyngocutaneous fistula.
Placing salivary tubes during fistula repair significantly reduces hospital stay
and complication severity in case of pharyngocutaneous fistula recurrence.
PMID- 29650374
TI - Hoarseness and vocal tract discomfort and associated risk factors in air traffic
controllers.
AB - INTRODUCTION: An air traffic controller is a professional who performs air
traffic control functions in air traffic control units and is responsible for
controlling the various stages of a flight. OBJECTIVE: To compare hoarseness and
vocal tract discomfort and their risk factors among air traffic controllers in
the approach control of Sao Paulo. METHODS: In a cross-sectional survey, a voice
self-evaluation adapted from to self-evaluation prepared by the Brazilian
Ministry of Labor for teachers was administered to 76 air traffic controllers at
approach control of Sao Paulo, Brazil. RESULTS: The percentage of hoarseness and
vocal tract discomfort was 19.7% and 38.2%, respectively. In relation to air
pollution, the percentages of hoarseness and vocal tract discomfort were higher
among those who consider their working environment to be intolerable than among
those in a comfortable or disturbing environment. The percentage of hoarseness
was higher among those who seek medical advice due to vocal complaints and among
those who experience difficulty using their voice at work than among those who
experience mild or no difficulty. The percentage of vocal tract discomfort was
higher among those in a very tense and stressful environment than among those who
consider their work environment to be mild or moderately tense and stressful. The
percentage of vocal tract discomfort was higher among those who describe
themselves as very tense and stressed or tense and stressed than among those who
describe themselves as calm. Additionally, the percentage of vocal tract
discomfort was higher among those who care about their health. CONCLUSION: Among
air traffic controllers, the percentage of vocal tract discomfort was almost
twice that of hoarseness. Both symptoms are prevalent among air traffic
controllers who considered their workplace intolerable in terms of air pollution.
Vocal tract discomfort was related to a tense and stressful environment, and
hoarseness was related to difficulty using the voice at work.
PMID- 29650375
TI - Vascularized Distal Radius Bone Graft for Treatment of Ulnar Nonunion.
AB - Various methods have been reported to treat forearm nonunions with good results.
However, in the presence of infection, inadequate vascularity of surrounding
tissues, or failed prior grafts, vascularized bone grafts are a valid
alternative. We describe the surgical technique to obtain distal radius
vascularized bone graft pedicled on the radial artery (RA) and its clinical
application in 1 case of an ulnar nonunion. We studied the surgical technique in
12 freshly injected cadavers. In the distal forearm, the RA provides several
periosteal branches to supply the distal radius metaphysis. These vessels are
located between the distal insertion of the brachioradialis and the deep surface
of the radial half of the pronator quadratus. A 6-cm vascularized bone graft can
be harvested from the radius, and dissection of the RA enables a long pedicle
with a wide arc of rotation readily able to reach the proximal part of the ulna.
The present technique is a reproducible alternative that allows the treatment of
bone defects up to 6 cm, without the potential technical difficulties of a free
bone flap.
PMID- 29650376
TI - Trapezius Muscle Transfer for Restoration of Elbow Extension in a Traumatic
Brachial Plexus Injury.
AB - Voluntary elbow extension is essential for optimal upper limb positioning
required for daily living activities, particularly above-shoulder maneuvers. The
authors present a case of traumatic brachial plexus injury in which paralysis of
the musculature selectively supplied by the posterior cord was based on magnetic
resonance imaging and nerve conduction studies. An attempt at a radial nerve
graft at another center was not effective. Ipsilateral hand function improved
after multiple local tendon transfers were performed. Restoration of active elbow
extension was not possible using the posterior deltoid or the latissimus dorsi
because they were denervated by the primary trauma and so the trapezius muscle
was used as a donor muscle unit to restore voluntary elbow extension. The patient
resumed biking 6 weeks after the transfer procedure. At 2-year follow-up, full
active elbow extension was regained, elbow extension power scored 4 of 5, and the
patient reported that he could ride his bicycle for 70 miles.
PMID- 29650377
TI - Articular Surgery of the Ischemic Hand in Systemic Scleroderma: A Vascular Basis
for Arthrodesis and Arthroplasty.
AB - Hallmark deformities of systemic scleroderma are early onset and progressively
disabling flexion contractures of the proximal interphalangeal (PIP) joints often
in conjunction with extension or, less frequently, flexion contractures of the
metacarpophalangeal (MCP) joints. Although surgical correction is generally
recommended, a prevailing reluctance for operative treatment exists owing to the
inherent ischemia of the disease with its potentially compromised healing
capacity. Nonetheless, with recognition and preservation of the tenuous but well
defined and constant periarticular vascular networks of the PIP and MCP joints,
articular reconstruction with uncomplicated wound healing can prove consistently
successful for patients with scleroderma. This article describes the authors'
preferred methods of PIP arthrodesis vascularized by the dorsal cutaneous
arterial network and MCP silicone implant arthroplasty perfused by the dorsal
metacarpal arterial plexus.
PMID- 29650378
TI - Long-Term Clinical Outcome After Titanium Lunate Arthroplasty for Kienbock
Disease.
AB - PURPOSE: Titanium lunate arthroplasty (TLA) for Kienbock disease was introduced
in 1984 to address the silicone-wear particle problem common to silicone lunate
implants. We sought to study the outcome of TLA. METHODS: We identified 11
patients from our hospital database who had undergone TLA between 2001 and 2010.
We evaluated pain, range of motion (ROM), function, and radiological outcome at a
mean 11 years after surgery. We compared preoperative ROM and radiological
findings with final follow-up in the ipsilateral wrist and also made comparisons
with the contralateral wrists. RESULTS: No implants were removed, and no wrist
joints were fused. Pain on the visual analog scale averaged 0.5 at rest, 0.3 at
night, and 2.7 during heavy exertion. Seven patients had no pain at rest and 9
had no pain at night. Range of motion reached 70% of that of the contralateral
wrist, and strength reached 81%. The Disabilities of the Arm, Shoulder, and Hand
(DASH) score averaged 9.6, optional DASH 9.7, and Mayo wrist score 67.7.
Radiologically, only Stahl and arthrosis indexes differed significantly between
affected and unaffected wrists. Two patients had a dorsally dislocated implant,
meaning that around 20% of our cases probably meet the criteria for failure.
CONCLUSIONS: The longer-term results of TLA for stage III Kienbock disease are
promising. TYPE OF STUDY/LEVEL OF EVIDENCE: Therapeutic IV.
PMID- 29650379
TI - ?
AB - The reactive solute transport in a single fracture bounded by upper and lower
matrixes is a classical problem that captures the dominant factors affecting
transport behavior beyond pore scale. A parallel fracture-matrix system which
considers the interaction among multiple paralleled fractures is an extension to
a single fracture-matrix system. The existing analytical or semi-analytical
solution for solute transport in a parallel fracture-matrix simplifies the
problem to various degrees, such as neglecting the transverse dispersion in the
fracture and/or the longitudinal diffusion in the matrix. The difficulty of
solving the full two-dimensional (2-D) problem lies in the calculation of the
mass exchange between the fracture and matrix. In this study, we propose an
innovative Green's function approach to address the 2-D reactive solute transport
in a parallel fracture-matrix system. The flux at the interface is calculated
numerically. It is found that the transverse dispersion in the fracture can be
safely neglected due to the small scale of fracture aperture. However, neglecting
the longitudinal matrix diffusion would overestimate the concentration profile
near the solute entrance face and underestimate the concentration profile at the
far side. The error caused by neglecting the longitudinal matrix diffusion
decreases with increasing Peclet number. The longitudinal matrix diffusion does
not have obvious influence on the concentration profile in long-term. The
developed model is applied to a non-aqueous-phase-liquid (DNAPL) contamination
field case in New Haven Arkose of Connecticut in USA to estimate the
Trichloroethylene (TCE) behavior over 40 years. The ratio of TCE mass stored in
the matrix and the injected TCE mass increases above 90% in less than 10 years.
PMID- 29650380
TI - Assessment of Temporomandibular Joint Dysfunction in Patients with Stroke.
AB - GOAL: The aim of this study was to assess temporomandibular joint dysfunction in
patients with stroke. MATERIALS AND METHODS: Total of 100 participants, 50
healthy and 50 who had stroke, were recruited into this study. Digital caliper
and algometer were used to assess temporomandibular joint range of motion and
masticatory muscle pressure pain threshold. Labial commissure angle measurement
was used for the assessment of facial paralysis severity. Fonseca questionnaire
was used for temporomandibular joint dysfunction assessment and categorization.
In addition, dominant mastication shift was measured by the question that asks
the pre and poststroke dominant mastication side. FINDINGS: In intergroup
comparison, significant decrease was found in all temporomandibular range of
motion parameters in favor of stroke group (P < .05). Despite the fact that no
significant difference was found between groups for the pain threshold in
masticatory muscles except for middle part of the left temporalis muscle, values
were higher in healthy group (P > .05). As a result of intergroup examination of
labial commissure angle degree, Fonseca questionnaire score, it was found that
labial commissure angle and Fonseca questionnaire scores were higher in stroke
group (P < .05). Intragroup examination of patients with stroke showed that
dominant mastication side shift was seen in patients with stroke (P < .05).
CONCLUSION: It was concluded that, temporomandibular joint dysfunction prevalence
was higher in stroke group compared with healthy group and use of modalities
specific to temporomandibular joint dysfunction treatment would be beneficial.
PMID- 29650381
TI - Is the Population Detected by Screening in China Truly at High Risk of Stroke?
AB - AIM: The Chinese Stroke Screening and Prevention Project (CSSPP) considers
patients with 3 or more risk factors to be at high risk of stroke, and does not
quantitatively assess the risk for stroke. However, to detect high-risk groups
more efficiently, a health risk appraisal (HRA) model should be used to assess
individual risk of stroke. METHODS: The odds ratios for the 8 risk factors for
stroke were pooled and the data were used to develop an HRA model to predict
individuals' risks of developing stroke in the next 5 years. The Chinese
screening project and HRA screening strategies were then compared. RESULTS: We
assessed 4196 Chinese individuals who received checkups in 2015. The average 5
year risk of stroke was 5.810/00, with men being at higher risk of stroke than
women over that period. The average 5-year risk of stroke also increased with the
number of risk factors. 932 individuals (22.2%) were identified as being at high
risk of stroke according to CSSPP, whereas 318 individuals with fewer than 3 risk
factors were considered being at low risk despite having a 5-year risk of stroke
greater than 4.0% by our assessment. Notably, among patients with hypertension
and diabetes who were classified as being at low risk of stroke by the CSSPP, the
HRA recognized 15.9% and 14.3% as being at high risk of stroke, respectively.
CONCLUSION: All 8 major risk factors affect stroke risk differently, and the
efficiency of clustering these risk factors might be improved by considering the
relative risk of each factor with an HRA model.
PMID- 29650382
TI - Therapeutic Decisions in Atrial Fibrillation for Stroke Prevention: The Role of
Aversion to Ambiguity and Physicians' Risk Preferences.
AB - BACKGROUND: Knowledge-to-action gaps influence therapeutic decisions in atrial
fibrillation (AF). Physician-related factors are common, but the least studied.
We evaluated the prevalence and determinants of physician-related factors and
knowledge-to-action gaps among physicians involved in the management of AF
patients. DESIGN: In this cross-sectional study, participants from 6 South
American countries recruited during an educational program answered questions
regarding 16 case scenarios of patients with AF and completed experiments
assessing 3 outcome measures: therapeutic inertia, herding, and errors in risk
stratification knowledge translated into action (ERSKTA) based on commonly used
stratification tools (Congestive heart failure, Hypertension, Age >=75 years
(double), Diabetes mellitus, previous Stroke/transient ischemic
attack/thromboembolism (double), Vascular disease, Age 65-74 years, and female
gender (score of 0 for males and 1 for female) (CHA2DS2-VASc) and Congestive
heart failure, Hypertension, Age >=75 years, Diabetes mellitus, and previous
Stroke/transient ischemic attack (double) (CHADS2)). Logistic regression analysis
was conducted to determine factors associated with the outcomes. RESULTS:
Overall, 149 physicians were invited to participate, of which 88 (59.1%)
completed the online assessment tool. Cardiology was the most frequent specialty
(69.3%). Therapeutic inertia was present in 53 participants (60.2%), herding in
66 (75.0%), and ERSKTA in 46 (52.3%). Therapeutic inertia was inversely
associated with willingness to take financial risks (odds ratio [OR] .72, 95%
confidence interval [CI] .59-.89 per point in the financial risk propensity
score), herding was associated with aversion to ambiguity in the medical domain
(OR 5.35, 95% CI 1.40-20.46), and ERSKTA was associated with the willingness to
take risks (OR 1.70, 95% CI 1.15-2.50, per point in score). CONCLUSIONS: Among
physicians involved in stroke prevention in AF, individual risk preferences and
aversion to ambiguity lead to therapeutic inertia, herding, and errors in risk
stratification and subsequent use of oral anticoagulants. Educational
interventions, including formal training in risk management and decision-making
are needed.
PMID- 29650383
TI - Probing Ion Channel Structure and Function Using Light-Sensitive Amino Acids.
AB - Approaches to remotely control and monitor ion channel operation with light are
expanding rapidly in the biophysics and neuroscience fields. A recent development
directly introduces light sensitivity into proteins by utilizing photosensitive
unnatural amino acids (UAAs) incorporated using the genetic code expansion
technique. The introduction of UAAs results in unique molecular level control
and, when combined with the maximal spatiotemporal resolution and poor
invasiveness of light, enables direct manipulation and interrogation of ion
channel functionality. Here, we review the diverse applications of light
sensitive UAAs in two superfamilies of ion channels (voltage- and ligand-gated
ion channels; VGICs and LGICs) and summarize existing UAA tools, their mode of
action, potential, caveats, and technical considerations to their use in
illuminating ion channel structure and function.
PMID- 29650384
TI - The effect of combined drugs therapy on the course of clinical rabies infection
in a murine model.
AB - Rabies is a fatal disease of all mammals causing almost 60,000 human deaths every
year. To date, there is no effective treatment of clinical rabies once the
symptoms appear. Here, we describe the promising effect of combination therapy
composed of molecules that target replication of the rabies virus (RV) at
different stages of life cycle and molecules that inhibit some pathways of the
innate host immune response accompanied by a blood-brain barrier opener on the
outcome of RV infection. The study reports statistically significant extension of
survival of mice treated with the drug cocktail containing T-705, ribavirin,
interferon alpha/beta, caspase-1 inhibitor, TNF-alpha inhibitor, MAPKs inhibitor
and HRIG compared to the survival of mice in the virus control group (p =
0.0312). Furthermore, the study points to the significant impact of interferon
alpha/beta on the survival of RV-infected mice. We have shown a significant down
regulation of pro-inflammatory molecules (caspase-1 and TNF-a) in the CNS in RV
infected mice treated with a combination of drugs including interferon
alpha/beta.
PMID- 29650385
TI - Distribution of invasive Streptococcus pneumoniae serotypes before and 5 years
after the introduction of 10-valent pneumococcal conjugate vaccine in Brazil.
AB - BACKGROUND: In March 2010, the 10-valent pneumococcal conjugate vaccine (PCV10)
was introduced into the routine immunization program in Brazil. We describe the
pneumococcal serotypes that caused invasive pneumococcal diseases (IPD) before
and after the introduction of PCV10 using data from a national laboratory-based
surveillance system. METHOD: We compared the prevalence of vaccine types (VT) and
non-vaccine types (NVT) of Streptococcus pneumoniae in three periods, pre-PCV10
(January/2005-December/2009), early post-PCV10 (January/2010-December/2013), and
late post-PCV10 (January/2014-December/2015), by episode in meningitis and non
meningitis cases and by age group. Changes in serotype prevalence in the early
and late post-PCV10 periods were determined using pre-PCV10 period as a
reference. RESULTS: A total of 8971 IPD isolates from patients aged 2 months to
99 years were analyzed. In the late post-PCV10 period, the VT-IPD reduction in
the 2-month to 4-year age group was 83.4% for meningitis and 87.4% for non
meningitis cases; in the age groups 5-17 years, 18-64 years, and >=65 years, VT
declined by 56.1%, 54.1%, and 47.4%, respectively, in meningitis cases, and by
60.9%, 47.7%, and 53.4%, respectively, in non-meningitis cases. NVT-IPD increased
throughout the study period, driven mainly by serotypes 3, 6C, and 19A, which
remained the predominant types causing IPD in the late post-PCV10 period.
CONCLUSION: We observed direct and indirect PCV10 protection against IPD caused
by VT and a shift in the distribution of serotypes 5 years after the introduction
of PCV10. Continued IPD surveillance is needed to evaluate the sustainability of
the high prevalence of serotypes 3, 6C, and 19A, which were not included in
PCV10.
PMID- 29650386
TI - Human papillomavirus vaccination coverage among females and males, National
Health and Nutrition Examination Survey, United States, 2007-2016.
AB - BACKGROUND: Human papillomavirus (HPV) vaccination has been routinely recommended
at age 11-12 years in the United States for females since 2006 and males since
2011. Coverage can be estimated using self/parent-reported HPV vaccination
collected in the National Health and Nutrition Examination Survey (NHANES) for a
wider age range than other national surveys. We assessed vaccination coverage in
2015-2016, temporal trends by age, and the validity of self/parent-reported
vaccination status. METHODS: Participants aged 9-59 years completed an interview
collecting demographic and vaccination information. Weighted coverage was
estimated for two-year NHANES cycles by age group for 2007-2008 to 2015-2016 for
females (N = 14318) and 2011-2012 to 2015-2016 for males (N = 7847). Temporal
trends in coverage were assessed from 2007-2008 to 2011-2012 for females and from
2011-2012 to 2015-2016 for both sexes. Sensitivity and specificity of self/parent
reported vaccination were assessed using provider-verified vaccination records
from a pilot study in 14-29 year-olds. RESULTS: In 2015-2016, >=1 dose coverage
among females was highest in 14-19 (54.7%) and 20-24 (56.0%) year-olds and lower
in successively older age groups. Among males, >=1 dose coverage was highest in
14-19 year-olds (39.5%) and lower at older ages. Coverage was similar in 9-13
year-old females and males. Between 2007-2008 and 2011-2012, there were increases
among females younger than 30 years. Between 2011-2012 and 2015-2016, there were
increases among female age groups including 20-39 year-olds; male coverage
increased among ages 9-13, 14-19, and 20-24 years. Self/parent-reported receipt
of >=1 dose had a sensitivity and specificity of 87.0% and 83.3%. Performance was
lower for 3 doses. CONCLUSIONS: While overall HPV vaccination coverage remains
low, it is higher in females than males, except in 9-13 year-olds. There have
been increases in coverage among many age groups, but coverage has stalled in
younger females. Adequate validity was demonstrated for self/parent-reported
vaccination of >=1 dose, but not 3 doses, in a pilot study.
PMID- 29650387
TI - TPF induction chemotherapy followed by concurrent chemoradiotherapy for locally
advanced nasopharyngeal carcinoma: Long term results of a Tunisian series.
AB - PURPOSE: We represent in this study the long term results of docetaxel, cisplatin
and 5-fluorouracil induction chemotherapy followed by concurrent
chemoradiotherapy in Tunisian patients with locally advanced nasopharyngeal
carcinoma. The objective of our study is to analyse the efficacy as well as the
toxicity of this therapeutic protocol. PATIENTS AND METHODS: Between January 2004
and December 2008, 32 patients with locoregional advanced non metastatic disease
(T2b or above and/or N1 or above AJCC 2002) were treated in our institution by
three cycles of docetaxel, cisplatin and 5-fluorouracil induction chemotherapy
every 21 days followed by concurrent chemoradiotherapy. Conventional radiotherapy
was delivered using a cobalt 60 machine during 7 weeks with weekly cisplatin
(40mg/m2). RESULTS: Twenty-nine patients (90%) had presented an objective
clinical response in lymph nodes after neoadjuvant chemotherapy, with a complete
response in 28%. Acute toxicity of docetaxel, cisplatin and 5-fluorouracil
induction chemotherapy was dominated by vomiting (59%), asthenia (40.6%),
diarrhea (34.4%) and febrile neutropenia (15.6%). The complete response rate
after the end of treatment was around 80%. The 5 years overall survival and
disease-free survival were respectively 68.2% and 67.5%. CONCLUSION: Our results,
in this field of study, are encouraging with acceptable toxicity despite the lack
of intensity-modulated radiotherapy technique in our institution during the
period of study.
PMID- 29650388
TI - Evaluation at 3 years of concurrent bevacizumab and radiotherapy for breast
cancer: Results of a prospective study.
AB - PURPOSE: To determine the 3 years late toxicity among patients with non
metastatic breast cancer who received concurrent bevacizumab and locoregional
radiotherapy. MATERIAL AND METHODS: This is a single-arm, multicentre,
prospective study, of the toxicity of adjuvant concomitant association of
bevacizumab and radiotherapy in patients with breast cancer. Toxicity was
assessed by the Common Terminology Criteria for Adverse Events version 3.0 during
the radiotherapy and follow-up clinics at 12 and 36 months after its completion.
The study was designed to evaluate the toxicity at one year, 3 years and 5 years.
RESULTS: Sixty-four patients were included from October 2007 to August 2010. All
of them received concurrent adjuvant radiotherapy and bevacizumab (in 24 cases
after primary systemic treatment). All patients received non-fractionated
radiotherapy to breast or chest wall with or without irradiation of regional
lymph nodes. Early toxicity has been previously reported. Median follow-up was
46.4 months (range: 18-77 months). Median age was 53 years old (range: 23-68
years). The 3-years overall survival was 93% (range: 87-100%). Evaluation of the
toxicity at 3 years was available for 67% of the patients. There was a low rate
of toxicity: 14% grade 1 pain, 9% grade 1 fibrosis, 2% grade 1 telangiectasia, 2%
grade 1 paresis, 7% grade 1 lymphedema and 2% grade 3 lymphedema. No grade 4
toxicity was observed. No patient had a left ventricular ejection fraction below
50% at 3 years. CONCLUSIONS: Concurrent bevacizumab with locoregional
radiotherapy is associated with acceptable 3-years toxicity in patients with
breast cancer.
PMID- 29650389
TI - [Inflammatory and immune biomarkers of radiation response].
AB - In radiotherapy, the treatment is adapted to each individual to protect healthy
tissues but delivers most of time a standard dose according to the tumor
histology and site. The only biomarkers studied to individualize the treatment
are the HPV status with radiation dose de-escalation strategies, and tumor
hypoxia with dose escalation to hypoxic subvolumes using FMISO- or FAZA-PET
imaging. In the last decades, evidence has grown about the contribution of the
immune system to radiation tumor response. Many preclinical studies have
identified some of the mechanisms involved. In this context, we have realised a
systematic review to highlight potential inflammatory and immune biomarkers of
radiotherapy response. Some are inside the tumor microenvironment, as lymphocyte
infiltration or PD-L1 expression, others are circulating biomarkers, including
different types of hematological cells, cytokines and chemokines.
PMID- 29650391
TI - Convergent Evolution in Intracellular Elements: Plasmids as Model Endosymbionts.
AB - Endosymbionts are organisms that live inside the cells of other species. This
lifestyle is ubiquitous across the tree of life and is featured by unicellular
eukaryotes, prokaryotes, and by extrachromosomal genetic elements such as
plasmids. Given that all of these elements dwell in the cytoplasm of their host
cell, they should be subject to similar selection pressures. Here we show that
strikingly similar features have evolved in both bacterial endosymbionts and
plasmids. Since host and endosymbiont are often metabolically tightly
intertwined, they are difficult to disentangle experimentally. We propose that
using plasmids as tractable model systems can help to solve this problem, thus
allowing fundamental questions to be experimentally addressed about the ecology
and evolution of endosymbiotic interactions.
PMID- 29650390
TI - (18F)-FDG PET/CT parameters to predict survival and recurrence in patients with
locally advanced cervical cancer treated with chemoradiotherapy.
AB - PURPOSE: To identify predictive (18F)-fluorodeoxyglucose (FDG) positron emission
tomography (PET)/computed tomography (CT)-based parameters for locoregional
control, disease-free survival and overall survival, by testing different
thresholds of metabolic tumor volume and total lesion glycolysis in patients with
locally-advanced cervical cancer. PATIENTS AND METHODS: Thirty-seven patients
treated with standard chemoirradiation underwent a pretreatment (18F)-FDG-PET/CT.
Using different thresholds of maximum standardized uptake value, the following
PET parameters were computed: maximum standardized uptake value, mean
standardized uptake value, metabolic tumor volume and total lesion glycolysis for
primary tumor and lymph nodes and a new parameter combining the metabolic tumor
volume and the distance between lymph nodes and the primary tumor, namely
metabolic node distance. Correlation between PET and clinical parameters with
clinical outcome (overall survival, disease-free survival, and locoregional
control) was assessed using univariate and multivariate analyses (Cox model).
RESULTS: In univariate analyses, PET/CT parameters associated with overall
survival and disease-free survival were: metabolic tumor volume and total lesion
glycolysis of the primary tumor, total lesion glycolysis of lymph nodes and
metabolic node distance. The most predictive threshold segmentation for metabolic
tumor volume and total lesion glycolysis was 48% of maximum standardized uptake
value for the primary tumor and 30% for the lymph nodes. In multivariate Cox
analysis, the total lesion glycolysis of primary tumor 48% and metabolic node
distance were the two independent risk factors for overall survival (P<0.01),
disease-free survival (P<0.01) and locoregional control (P=0.046). CONCLUSION:
Total lesion glycolysis of primary tumor and distance between the invaded
positive lymph node and the primary tumor seem to have the highest predictive
value when compared to classical clinical prognostic parameters and may be useful
to identify high risk groups at time of diagnosis and to tailor the therapeutic
approach in locally-advanced cervical cancer.
PMID- 29650392
TI - Phage Aggregation-Dispersion by Ions: Striving beyond Antibacterial Therapy.
AB - Bacteriophages sense alkaline cations in their immediate extracellular
environment, which regulates virion-virion interactions. An ion-steerable
aggregation-dispersion (A/D) phenomenon among virions is a recently discovered
step in group behavior in the phage life cycle. When powered by the octanol-based
water-immiscible lipopolysaccharide (LPS) trap (oWILT) purification approach, A/D
promises breakthroughs for a plethora of biotechnological applications beyond
phage therapy.
PMID- 29650394
TI - Research Long-term Cognitive Impairment After Off-Pump Versus On-Pump Cardiac
Surgery: Involved Risk Factors.
PMID- 29650393
TI - [Neoadjuvant chemotherapy with concurrent chemoradiotherapy in the treatment of
nasopharyngeal cancer: Southern Tunisian experience].
AB - PURPOSE: A retrospective study to evaluate the efficacy and safety of the
addition of neoadjuvant chemotherapy to concurrent chemoradiotherapy in the
treatment of nasopharyngeal carcinoma. PATIENTS AND METHODS: Data from 62
patients treated for non-metastatic nasopharyngeal carcinoma were analyzed by
comparing two groups of patients: a first group of 32 patients treated with 3
cycles of neoadjuvant chemotherapy based on docetaxel, cisplatin and 5-fluoro
uracil every 21 days followed by concurrent chemoradiotherapy (weekly cisplatin
40mg/m2 with radiotherapy 70Gy, 2Gy per session, 5 sessions per week) and a
second group of 30 patients treated with the same concurrent chemoradiotherapy.
RESULTS: After a median follow-up of 53.5 months, neoadjuvant chemotherapy showed
a significant reduction in the rate of a distant metastatic relapses (3.3% vs.
10%, P=0.03). No significant difference in disease-free survival at 5 years
(65.6% vs. 68.8%, P=0.46) or overall survival at 5 years (68.8% vs. 73.3%,
P=0.46) was noted between the two groups. Induction chemotherapy was associated
with febrile neutropenia of 15.6%. During concurrent chemoradiotherapy,
hematological complications were greater in the first chemotherapy group (53% vs.
33%). CONCLUSION: Induction chemotherapy by docetaxel, cisplatin and 5-fluoro
uracil is a safe and effective option in the treatment of nasopharyngeal
carcinoma. A better definition of high risk of relapse group would optimize the
indications of this chemotherapy in the therapeutic arsenal.
PMID- 29650395
TI - Changing Diet and Physical Activity in Nurses: A Pilot Study and Process
Evaluation Highlighting Challenges in Workplace Health Promotion.
AB - OBJECTIVE: To use the Reach, Effectiveness, Adoption, Implementation, and
Maintenance framework to evaluate and understand key implementation and context
factors of a diet and physical activity (PA) workplace intervention for nurses.
METHODS: A 3-month pilot intervention was developed to promote diet and PA
behavior through self-monitoring, goal setting, and social support using
pedometers, a smartphone app, and a dedicated Facebook group. Measures included
diet quality, daily PA, adoption, and implementation (including qualitative
data). Maintenance was assessed at 6-month follow-up. RESULTS: Forty-seven nurses
participated in the study. At 3 months, fruit and vegetable intake significantly
increased (P = .04) whereas PA significantly decreased (P = .01). The
intervention was partially adopted as planned, with low reach and efficacy.
Participants reported that changing 2 behaviors at the same time was difficult,
with the majority feeling it was easier to change diet than to become more
physically active. CONCLUSIONS AND IMPLICATIONS: The ability to change diet and
PA behaviors at the same time was challenging in nurses. Future studies examining
whether similar occupational groups with high stress, fatigue, and lack of time
face the same challenges would contribute to understanding these results.
PMID- 29650396
TI - Association between acidosis and outcome in out-of-hospital cardiac arrest
patients.
PMID- 29650397
TI - Assessment of five different probes for lung ultrasound in critically ill
patients: A pilot study.
AB - INTRODUCTION: The present study was aimed at comparing the diagnosis concordance
of five echo probes of lung ultrasound (LUS) with CT scans in intensive care and
emergency patients with acute respiratory failure. MATERIALS: This prospective,
observational, pilot study involved 10 acute patients in whom a thoracic CT scan
was performed. An expert performed an LUS reference exam using five different
probes: three probes with a high-quality conventional echo machine (cardiac
phased-array probe, abdominal convex probe, linear probe) and two probes (cardiac
and linear) with a pocket ultrasound device (PUD). Then, a trained physician and
a resident performed 'blinded' analyses by viewing the video results on a
computer. The primary objective was to test concordance between the blinded echo
diagnosis and the CT scan. RESULTS: In the 100 LUS performed, the phased-array
probe of the conventional machine and linear array probe of the PUD have the best
concordance with the CT scan (Kappa coefficient=0.75 [CI 95%=0.54-0.96] and 0.62
[CI 95%=0.37-0.86], respectively) only for experts and trained physicians. The
agreement was always poor for residents. Convex (abdominal) and linear
transducers of conventional machines and the phased-array transducers (cardiac)
of PUD have poor or very poor agreement, regardless of the physician's
experience. CONCLUSION: Among the probes tested for LUS in acute patients, the
cardiac probe of conventional machines and the linear probes of PUDs provide good
diagnosis concordance with CT scans when performed by an expert and trained
physician, but not by residents.
PMID- 29650398
TI - The role of optical coherence tomography in the setting of acute myocardial
infarction.
AB - In recent years, intravascular imaging-guided percutaneous coronary intervention
(PCI) has been increasing in patients with acute myocardial infarction (AMI).
However, the role of optical coherence tomography (OCT) has not been established
in the setting of AMI despite OCT providing superior resolution (10MUm axial
resolution) and facilitating assessment of baseline lesion characteristics and
post-intervention evaluation of the acute result of stent implantation, including
visualization of procedural dissections, malapposition, tissue prolapse, and
thrombus. We provide an overview of the potential benefits of OCT-guidance in
various situations of AMI.
PMID- 29650399
TI - Superiority of novel automated assessment of aortic annulus by intraoperative
three-dimensional transesophageal echocardiography in patients with severe aortic
stenosis: Comparison with conventional cross-sectional assessment.
AB - BACKGROUND: Previous studies have demonstrated that three-dimensional (3D)
transesophageal echocardiography (TEE) is an alternative to multi-detector
computed tomography (MDCT) for aortic valve sizing in transcatheter aortic valve
replacement (TAVR). However, conventional cross-sectional analysis of aortic
annulus by 3D TEE has some limitations such as lengthy analytical time. A novel
software for automated valve measurement has been developed for 3D TEE. We
evaluated the accuracy and analytical time of aortic annular measurements using
this novel automated software in the clinical setting. METHODS: We
retrospectively studied 43 patients with symptomatic severe aortic stenosis (AS)
who underwent TAVR. All patients underwent intraoperative TEE and MDCT. We
measured aortic annular area by automated, semi-automated, and cross-sectional
methods using 3D TEE datasets. These measurements were compared to the
corresponding MDCT reference values. We also compared the analytical time of the
three methods. RESULTS: Automated and semi-automated analyses required
significantly shorter analytical time compared to cross-sectional analysis
(automated: 30.1+/-5.79s, semi-automated: 74.1+/-15.0s, manual: 81.8+/-18.5s,
p<0.05). Compared to MDCT measurement (393.7+/-81.0mm2), annular areas measured
by automated and cross-sectional methods were significantly smaller (automated:
380.6+/-77.1mm2, cross-sectional: 374.7+/-76.8mm2, p<0.05), while that obtained
by semi-automated method was not significantly different (387.7+/-75.8mm2).
Annular areas determined by semi-automated and cross-sectional analyses had
narrower limits of agreement (LOA) with MDCT measurements, compared to automated
analysis (automated: -68.6 to 94.7mm2, semi-automated: -48.3 to 60.2mm2, cross
sectional: -40.0 to 77.9mm2). Measurements by all three methods using 3D TEE
showed high correlation with MDCT measurement (automated: r=0.86, semi-automated:
r=0.94, cross-sectional: r=0.93). CONCLUSIONS: For aortic annular measurements
using 3D TEE in AS patients, semi-automated analysis using the novel automated
software reduced analytical time while maintaining similar accuracy compared to
the conventional cross-sectional analysis. This automated software may have
acceptable feasibility in the clinical setting.
PMID- 29650400
TI - Corrigendum to "Serum cystatin C levels are associated with coronary artery
calcification in women without chronic kidney disease" [J. Cardiol. 70 (2017) 559
564].
PMID- 29650401
TI - Opthalmological sequelae due to paintball injuries: Case studies.
AB - OBJECTIVE: Describe the ophthalmological sequelae of patients diagnosed with
blunt eye injury by paintball. MATERIAL AND METHODS: There were a total 14 cases
with a diagnosis of blunt trauma by paintball were treated at the ophthalmology
emergency department of the Barraquer Clinic in Bogota, Colombia. All patients
underwent a complete ophthalmological examination with their respective controls
according to their outcome. RESULTS: The frequency of eye trauma by paintball was
3.01%. Fourteen eyes were evaluated, age range from 9 to 49 years. Cases were
unilateral, 1 woman and 13 men, initial visual acuity less than 20/30 in 12 eyes.
Only 5 eyes progressed satisfactorily, and 3 were surgically intervened. The
cases that did not show any improvement in visual acuity were related to
posterior pole problems, with the most frequent being macular alterations,
choroidal rupture, choroidal detachment, retinal tear, and retinal folds. In
3eyes, clinical improvement of visual acuity was evidenced secondary to
haemorrhagic processes that resolved with medical treatment. One of them
underwent surgical treatment of the lens with an intraocular lens implant. In
2eyes, the visual recovery was very satisfactory and 100% in another. CONCLUSION:
Paintball has increased as a sport and recreational activity, where paint
projectiles are fired with compressed air weapons. It carries risks of suffering
various trauma that can lead to catastrophic episodes, in terms of visual health,
and repercussions on the quality of life of those affected.
PMID- 29650402
TI - Proprotein convertase subtilisin-kexin type 9 (PCSK9) inhibitor use in the
management of resistant hypercholesterolemia induced by mitotane treatment for
adrenocortical cancer.
AB - We report the case of a patient with probable heterozygous familial
hypercholesterolemia and mitotane-induced resistant hypercholesterolemia, despite
combination therapy with rosuvastatin and ezetimibe. The patient was managed with
the addition of evolocumab. Use of a proprotein convertase subtilisin-kexin type
9 inhibitor, should be considered in patients who develop mitotane-related
hypercholesterolemia that cannot be managed with conventional lipid-lowering
treatment.
PMID- 29650403
TI - Is age a risk factor for depression among the oldest old with cancer?
AB - INTRODUCTION: Age is negatively related to depression among young and middle age
patients with cancer. Nevertheless the relationship between age and depression
among older patients with cancer is unclear. The goal of the current study is to
assess the association of depression with increasing age among older patients
with cancer. MATERIALS AND METHODS: Participants were 243 oncology out-patients,
aged >=65, either receiving treatment for active disease or within 6 months of
completing treatment for active disease, with a Karnofsky score >=70.
Participants were grouped by age: "Younger-Old" - age 65-74 (N = 125); "Old" -
age 75-84 (N = 49); and "Oldest-Old" -age >= 85 years (N = 69). Background data
included: socio-demography; cancer type/staging/treatment; Charlson comorbidity
index (CCI); Eastern Cooperative Oncology Group (ECOG) performance. Psychological
data included: the 5-item Geriatric Depression Scale (GDS); "Distress
Thermometer" (single item); and Cancer Perceived Agents of Social Support (12
item). RESULTS: Depression levels were significantly higher among oldest-old
participants in comparison to the old and younger-old groups: mean GDS scores
were 0.93 +/- 1.13, 1.27 +/- 1.41 and 3.91 +/- 1.35 respectively. After
controlling for all potential confounders in a hierarchical logistic regression
model, age-group significantly predicted both depression and distress. Receiver
operating characteristic (ROC) analysis determined age 86 as the optimal cutoff
for both clinical depression and distress. DISCUSSION: Depression among older
patients with cancer rises with increasing age, being extremely common among the
oldest old. Age independently predicted depression, irrespective of medical
variables, social support, or functional status. Findings highlight the
importance of addressing the potentially unmet psychological needs of this
rapidly growing patient population.
PMID- 29650405
TI - The use of laryngeal mask airway for adenoidectomy.
PMID- 29650404
TI - Stereotactic ablative radiotherapy after concomitant chemoradiotherapy in non
small cell lung cancer: A TITE-CRM phase 1 trial.
AB - BACKGROUND AND PURPOSE: Platinum based chemoradiotherapy is the standard of care
for inoperable non-small cell lung cancer (NSCLC). With evidence that NSCLC can
have a dose dependent response with stereotactic ablative radiotherapy (SABR), we
hypothesize that a SABR boost on residual tumor treated with chemoradiotherapy
could increase treatment efficacy. The purpose of this study was to determine
feasibility of such an approach. MATERIAL AND METHODS: A prospective phase I
trial was performed including 26 patients. Time-to-event continual reassessment
method (TITE-CRM) was used for dose escalation which ranged from 3 * 7 to 3 * 12
Gy for the stereotactic boost, after 46 Gy (2 Gy per day) of chemoradiotherapy.
RESULTS: Median follow-up was of 37.1 months (1.7-60.7), and 3, 4, 3, 3, 9 and 4
patients were included at the dose levels 1, 2, 3, 4, 5 and 6, respectively.
During chemoradiotherapy, 9 patients experienced grade 3 toxicity. After
stereotactic radiotherapy, 1 patient experienced an esophageal fistula (with
local relapse) at the 3 * 11 Gy level, and 1 patient died from hemoptysis at the
3 * 12 Gy level. The 2-year rate of local control, locoregional free survival,
metastasis-free survival, and overall survival was 70.3%, 55.5%, 44.5% and 50.8%,
respectively. CONCLUSION: In the treatment of NSCLC with chemoradiotherapy
followed by a stereotactic boost, the safe recommended dose in our protocol was a
boost dose of 3 * 11 Gy.
PMID- 29650406
TI - Crisis of COPD in China: the future is now.
PMID- 29650407
TI - Chronic obstructive pulmonary disease in China: a nationwide prevalence study.
AB - BACKGROUND: Because of the rapid change in economic development and lifestyle in
China, and the ageing population, concerns have grown that chronic obstructive
pulmonary disease (COPD) could become epidemic. An up-to-date nationwide
estimation of COPD prevalence in China is needed. METHODS: We did a cross
sectional survey of a nationally representative sample of individuals from
mainland China aged 40 years or older. The primary outcome was COPD, defined
according to the 2017 Global Initiative for Chronic Obstructive Lung Disease
(GOLD) lung function criteria. FINDINGS: Between Dec 29, 2014, and Dec 31, 2015,
66 752 adults were recruited to the study population. The estimated standardised
prevalence of COPD was 13.6% (95% CI 12.0-15.2). The prevalence of COPD differed
significantly between men and women (19.0%, 95% CI 16.9-21.2 vs 8.1%, 6.8-9.3;
p<0.0001), mainly because of a significant difference in smoking status between
men and women (current smokers 58.2% vs 4.0%). The prevalence of COPD differed by
geographic region, with the highest prevalence in southwest China (20.2%, 95% CI
14.7-25.8) and the lowest in central China (10.2%, 8.2-12.2). Among adults with
COPD, 56.4% (95% CI 53.7-59.2) had mild disease (GOLD stage I), 36.3% (34.3-38.3)
had moderate disease (GOLD stage II), 6.5% (5.5-7.4) had severe disease (GOLD
stage III), and 0.9% (0.6-1.1) had very severe disease (GOLD stage IV).
INTERPRETATION: In a large, nationally representative sample of adults aged 40
years or older, the estimated overall prevalence of COPD in China in 2014-15 was
13.6%, indicating that this disease has become a major public-health problem.
Strategies aimed at prevention and treatment of COPD are needed urgently.
FUNDING: Chinese Central Government, the Ministry of Science and Technology of
The People's Republic of China, and the National Natural Science Foundation of
China.
PMID- 29650409
TI - Advances in ex-vivo donor lung organ care.
PMID- 29650410
TI - Assessment of intersegmental coordination of rats during walking at different
speeds - Application of continuous relative phase.
AB - The present study investigated the feasibility and reliability of continuous
relative phase (CRP) and deviation phase (DP) to assess intersegmental hind limb
coordination pattern and coordination variability in rats during walking. Twenty
six adult rats walked at 8 m/min, 12 m/min and 16 m/min while two-dimensional
kinematics were recorded. Segment angles and segment angular velocities of the
paw, shank and thigh on the left hind-limb were extracted from 15 strides and CRP
was calculated for the paw-shank and shank-thigh coupling. The effect of walking
speed on the time point average curve of the CRP (ACRP) and DP and on the mean
ACRP and mean DP was established by statistical parametric mapping (SPM) and a
one-way ANOVA for repeated measures. Absolute and relative reliability were
assessed by measurement error and intra-class correlation coefficient. The SPM
analysis revealed time dependent differences in the effect of speed. Thus, the
CRP of the paw-shank coupling decreased with increasing speed during most of the
gait cycle while the CRP of the shank-thigh coupling was decreased during the
swing phase. The session-to-session reliability was fair to good for the
coordination measure and poor for the variability measure.
PMID- 29650408
TI - Normothermic ex-vivo preservation with the portable Organ Care System Lung device
for bilateral lung transplantation (INSPIRE): a randomised, open-label, non
inferiority, phase 3 study.
AB - BACKGROUND: Severe primary graft dysfunction (PGD) of grade 3 (PGD3) is a common
serious complication following lung transplantation. We aimed to assess
physiological donor lung preservation using the Organ Care System (OCS) Lung
device compared with cold static storage. METHODS: In this non-inferiority,
randomised, controlled, open-label, phase 3 trial (INSPIRE) recipients were aged
18 years or older and were registered as standard criteria primary double lung
transplant candidates. Eligible donors were younger than 65 years old with a
ratio of partial pressure of oxygen in arterial blood to the fraction of inspired
oxygen of more than 300 mm Hg. Transplant recipients were randomly assigned (1:1)
with permuted blocks, stratified by centre, to receive standard criteria donor
lungs preserved in the OCS Lung device (OCS arm) or cold storage at 4 degrees C
(control arm). The composite primary effectiveness endpoint was absence of PGD3
within the first 72 h after transplant and 30-day survival in the per-protocol
population, with a stringent 4% non-inferiority margin. Superiority was tested
upon meeting non-inferiority. The primary safety endpoint was the mean number of
lung graft-related serious adverse events within 30 days of transplant. We did
analyses in the per-protocol and intention-to-treat populations. This trial is
registered with ClinicalTrials.gov, number NCT01630434. FINDINGS: Between Nov 17,
2011, and Nov 24, 2014, we randomly assigned 370 patients, and 320 (86%)
underwent transplantation (n=151 OCS and n=169 control); follow-up was completed
in Nov 24, 2016. The primary endpoint was met in 112 (79.4%) of 141 patients (95%
CI 71.8 to 85.8) in the OCS group compared with 116 (70.3%) of 165 patients (62.7
to 77.2) in the control group (non-inferiority point estimate -9.1%; 95% CI
infinity to -1.0; p=0.0038; and superiority test p=0.068). Patient survival at
day 30 post-transplant was 135 (95.7%) of 141 patients (95% CI 91.0-98.4) in the
OCS group and 165 patients (100%; 97.8-100.0) in the control group (p=0.0090) and
at 12 months was 126 (89.4%) of 141 patients (83.1-93.9) for the OCS group
compared with 146 (88.1%) of 165 patients (81.8-92.8) for the control group.
Incidence of PGD3 within 72 h was reported in 25 (17.7%) of 141 patients in the
OCS group (95% CI 11.8 to 25.1) and 49 (29.7%) of 165 patients in the control
group (22.8 to 37.3; superiority test p=0.015). The primary safety endpoint was
met (0.23 lung graft-related serious adverse events in the OCS group compared
with 0.28 events in the control group [point estimate -0.045%; 95% CI -infinity
to 0.047; non-inferiority test p=0.020]). In the intention-to-treat population,
causes of death at 30 days and in hospital were lung graft failure or lung
infection (n=2 for OCS vs n=7 for control), cardiac causes (n=4 vs n=1), vascular
or stroke (n=3 vs n=0), metabolic coma (n=0 vs n=2), and generalised sepsis (n=0
vs n=1). INTERPRETATION: The INSPIRE trial met its primary effectiveness and
safety endpoints. Although no short-term survival benefit was reported, further
research is needed to see whether the reduced incidence of PGD3 within 72 h of a
transplant might translate into earlier recovery and improved long-term outcomes
after lung transplantation. FUNDING: TransMedics Inc.
PMID- 29650411
TI - Interfacial mechanical behaviour of protein-mineral nanocomposites: A molecular
dynamics investigation.
AB - Biological composite materials, such as bone, tooth and nacre, are comprised of a
mixture of nano-sized hard components (e.g. mineral platelets) and soft
components (e.g. protein molecules). Their mechanical behaviour greatly depends
on the protein-mineral interfaces. This paper investigates the effects of mineral
surface nanostructures on the interfacial interaction and mechanical behaviour of
protein-mineral nanocomposites. Interfacial shear between osteopontin (OPN) and
hydroxyapatite (HA) mineral layers with surface nanostructures is investigated
using the atomistic molecular dynamics (MD) simulations. The results indicate
that the OPN residues can be attached to HA surfaces but the surface
nanostructures greatly affect the interfacial interaction and mechanical
behaviour. The HA layers with a higher number of nano-sized grooves (defects)
increase the surface roughness but reduce the pulling force and energy
dissipation.
PMID- 29650412
TI - Theoretical considerations for muscle-energy savings during distance running.
AB - We have recently demonstrated that the triceps surae muscles energy cost (ECTS)
represents a substantial portion of the total metabolic cost of running (Erun).
Therefore, it seems relevant to evaluate the factors which dictate ECTS, namely
the amount and velocity of shortening, since it is likely these factors will
dictate Erun. Erun and triceps surae morphological and AT mechanical properties
were obtained in 46 trained and elite male and female distance runners using
ultrasonography and dynamometry. ECTS (J.stride-1) at the speed of lactate
threshold (sLT) was estimated from AT force and crossbridge mechanics and
energetics. To estimate the relative impact of these factors on ECTS, mean values
for running speed, body mass, resting fascicle length (Lf), Achilles tendon
stiffness and moment arm and maximum isometric plantarflexion torque were
obtained. ECTS was calculated across a range (mean +/- 1 sd) of values for each
independent factor. Average sLT was 233 m.min-1. At this speed, ECTS was 255
J.stride-1. Estimated fascicle shortening velocity was 0.08 Vmax and the level of
muscle activation was 84.7% of maximum isometric torque. Compared to the ECTS
calculated from the lowest range of values obtained for each independent factor,
higher AT stiffness was associated with a 39% reduction in ECTS, 81% reduction in
fascicle shortening velocity and a 31% reduction in muscle activation. Longer AT
moment arms and elevated body masses were associated with an increase in ECTS of
18% and 23%, respectively. These results demonstrate that a low ECTS is achieved
primarily from a high AT stiffness and low body mass, which is exemplified in
elite distance runners.
PMID- 29650413
TI - Taurine protects against knee osteoarthritis development in experimental rat
models.
AB - BACKGROUND: Osteoarthritis (OA) is one of the complex diseases that affect a
large population of the world. The aim of the current study was to explore the
roles of taurine in OA rat models, and discover the related mechanisms. METHODS:
OA rat models were established by anterior cruciate ligament transection (ACLT)
plus medial meniscus resection (MMx) surgery on the right knees. Secondary
mechanical allodynia, weight-bearing alterations and knee joint width were
evaluated before surgery and every two weeks after surgery. At 14weeks,
histopathological analysis was conducted on the knee joint cartilage. Protein
amount of MMP-3 and CHOP was evaluated by western blot. RESULTS: Taurine
injection after surgery significantly relieved the symptoms of OA in rat models
in a dose-dependent and time-dependent manner, as shown by alleviation of
secondary mechanical allodynia, decrease in hind limb weight-bearing alterations,
and inhibited knee swelling. Moreover, histopathological analysis showed that
taurine inhibited matrix loss and cartilage degeneration in a dose-dependent
manner. Taurine administration strikingly suppressed the expression of matrix
metalloproteinase-3 (MMP-3) and CHOP. CONCLUSION: These results indicated that
taurine administration exhibited protective effects by inhibiting MMP-3 and CHOP
expression, and subsequently alleviated the OA symptoms in experimental rat
models.
PMID- 29650414
TI - Joint Laxity in Preschool Children Born Preterm.
AB - OBJECTIVE: To evaluate the prevalence of joint laxity in children born preterm
assessed in the first 2 years, the relationship between joint laxity and motor
performance at preschool age, and possible changes over time in a subgroup of
children followed longitudinally. STUDY DESIGN: The revised scale of Beighton
Score was used to evaluate joint laxity in a population of 132 preschool children
born preterm between 24 and 32 weeks of gestational age. All were assessed for
joint laxity between 12 and 24 months of age. Children also performed the
Movement Assessment Battery for Children-Second Edition between the age of 3
years and 6 months and 4 years; the age at onset of independent walking also was
recorded. RESULTS: The total Beighton Score ranged between 0 and 8. Twenty
percent of the cohort showed joint laxity. No differences related to sex or
gestational age were observed. Children born preterm with joint laxity achieved
later independent walking and achieved lower scores on Movement Assessment
Battery for Children-Second Edition than those without joint laxity. In 76
children born preterm, an assessment for joint laxity was repeated once between
25 and 36 months and again after >36 months. No statistically significant
difference was observed between the 3 assessments. CONCLUSIONS: The Beighton
Score can be used to assess generalized joint laxity in children born preterm. As
the presence of joint laxity influenced motor competences, the possibility to
early identify these infants in the first 2 years is of interest to benefit from
early intervention and potentially improve gross motor skills and coordination.
PMID- 29650415
TI - Pulmonary Vein Stenosis in Infants: A Systematic Review, Meta-Analysis, and Meta
Regression.
AB - OBJECTIVE: To quantify outcomes of infants (<1 year of age) diagnosed with
pulmonary vein stenosis (PVS). STUDY DESIGN: MEDLINE (PubMed), Scopus, and Web of
Science were searched through February 1, 2017, with no language restrictions.
Publications including infants diagnosed with primary PVS, defined as the absence
of preceding intervention(s), were considered. The study was performed according
to Meta-analysis of Observational Studies in Epidemiology guidelines, the
Systematic Reviews, and Meta-Analysis checklist, and registered prospectively.
The quality of selected reports was critically examined. Data extraction was
independently performed by multiple observers with outcomes agreed upon a priori.
Data were pooled using an inverse variance heterogeneity model with incidence of
mortality the primary outcome of interest. RESULTS: Forty-eight studies of 185
infants were included. Studies were highly diverse with regards to the
participants, interventions, and outcomes reported. The median (range) age at
diagnosis was 5.0 (0.1-11.6) months. Pooled mortality was 58.5% (95% CI 49.8%
67.0%, I2 = 21.4%). We observed greater mortality incidence among infants with 3
or 4 vein stenoses than in those with 1 or 2 vein stenoses (83.3% vs 36.1%; P <
.01). We observed greater mortality among infants with bilateral than unilateral
disease (78.7% vs 26.0%; P < .01). CONCLUSIONS: Studies of primary PVS during
infancy are highly variable in their methodological quality and estimates of
clinical outcomes; therefore, estimates of prognosis remain uncertain.
Multicenter, interdisciplinary collaborations, including alignment of key outcome
measurements, are needed to answer questions beyond the scope of available data.
PMID- 29650416
TI - Effect of Comorbidities in Stage II/III Colorectal Cancer Patients Treated With
Surgery and Neoadjuvant/Adjuvant Chemotherapy: A Single-Center, Observational
Study.
AB - BACKGROUND: Comorbidity has a detrimental effect on cancer survival, however, it
is difficult to disentangle its direct effect from its influence on treatment
choice. In this study we assessed the effect of comorbidity on survival in
patients who received standard treatment for resected stage II and III colorectal
cancer (CRC). PATIENTS AND METHODS: In total, 230 CRC patients, 68 rectal (29.6%)
and 162 colon cancer (70.4%) treated with surgical resection and
neoadjuvant/adjuvant chemotherapy from December 2002 to December 2009 at
Humanitas Cancer Center were retrospectively reviewed. The key independent
variable was the Charlson Comorbidity Index (CCI) score, measured as a continuous
variable. The differences between groups for categorical data were tested using
the chi2 test. Actuarial survival curves were generated using the Kaplan-Meier
method. RESULTS: Median follow-up was 113 (range, 8.2-145.0) months. Median age
was 63 (range, 37-78) years. In univariate analysis CCI score was significantly
associated with poorer disease-free survival (hazard ratio [HR], 1.65; 95%
confidence interval [CI], 1.52-1.80; P < .001), and overall survival (OS; HR,
1.55; 95% CI, 1.41-1.71; P < .001). Factors associated with poorer outcome also
included (stage III vs. stage II, P < .029) and age (age >70 vs. <=70 years, P <
.001). After adjusting for these factors, a significant negative prognostic role
of CCI score was still observed (adjusted HR for OS, 1.59; 95% CI, 1.43-1.76; P <
.001). CONCLUSION: Among CRC patients who underwent surgical resection and
chemotherapy, a higher CCI score was associated with poorer outcome and might
predict long-term survival.
PMID- 29650417
TI - beta-aminoisobutyric acid accelerates the proliferation and differentiation of
MC3T3-E1 cells via moderate activation of ROS signaling.
AB - BACKGROUD: Osteoporosis is one of the bone-metabolic diseases associated with
decreased bone renewal and bone mineral density. beta-aminoisobutyric acid
(BAIBA), a natural thymine catabolite, can reduce inflammation in skeletal muscle
and alleviate hepatic endoplasmic reticulum stress. However, the roles of BAIBA
in osteoblast proliferation and differentiation remain largely unknown. METHODS:
The cultured MC3T3-E1 cells received various treatments in this study, including
BAIBA alone, H2O2 alone, BAIBA plus N-acetyl-l-cysteine and BAIBA plus apocynin.
Cell proliferation was determined by CCK-8 assay and 3H-Thymidine incorporation.
Cell differentiation was evaluated by determining mRNA level of differentiation
makers and ALP, and ALP activity. Reactive oxygen species (ROS) were determined
by DHE staining while superoxide anion level and NAD(P)H oxidase activity were
determined by the lucigenin-derived chemiluminescence method. The content of
hydrogen peroxide (H2O2) was detected using a commercial kit. The level of NOX1,
NOX2 and NOX4 was determined by Western-blot or qRT-PCR. RESULTS: We show that
treatment of BAIBA stimulated the proliferation of MC3T3-E1 osteoprogenitor cells
and enhanced the gene expression of osteoblast differentiation markers.
Incubation of MC3T3-E1 cells with BAIBA evoked increases in NAD(P)H oxidase
derived reactive oxygen species (ROS). Scavenging of reactive oxygen species (N
acetyl-l-cysteine) or inhibition of NAD(P)H oxidase (apocynin) abolished the
BAIBA-elicited proliferation and differentiation of MC3T3-E1 cells. CONCLUSION:
Our results provide the first evidence that BAIBA stimulates proliferation and
differentiation of osteoprogenitor cells via activation of NAD(P)H oxidase/ROS
signaling.
PMID- 29650418
TI - Inflammatory bowel disease drastically affects the prognosis of patients treated
for peritoneal metastases with combined cytoreductive surgery and hyperthermic
intraperitoneal chemotherapy: A multicenter study.
AB - BACKGROUND: Complete cytoreductive surgery (CCRS) followed by hyperthermic
intraperitoneal chemotherapy (HIPEC) is a validated treatment in selected
patients with peritoneal metastases (PM) of intestinal origin. There is an
increased risk of Colorectal Cancer (CRC) and Small Bowel Adenocarcinoma (SBA) in
Inflammatory Bowel Disease (IBD). The feasibility and benefit of that surgical
approach in IBD patients is unknown. METHODS: IBD patients with operated PM
complicating CRC or SBA were extracted from a French national multicenter
prospective database of patients who underwent surgery for PM in HIPEC expert
centers from 1995 to 2016. IBD patients who underwent CCRS plus HIPEC were
compared with a cohort of 234 patients who had the same surgery for sporadic
colon cancer. RESULTS: 14 patients (male 57%, median age 40 years, 12 Crohn's
disease) with CRC (n = 7) and SBA (n = 7) were included. CCRS followed by HIPEC
(oxaliplatin 72.7%) was performed in 11 cases (median peritoneal cancer index 7;
range 1-30). The control group had the same characteristics except an older age
at HIPEC (56.52 vs 45.74; p = 0.003). Overall survival (HR = 4.47; 90% CI, 1.91
to 10.49), Relapse Free Survival (HR = 2.31; 90% CI, 1.17 to 4.56) and Peritoneal
Recurrence Free Survival (HR = 3.30; 90% CI, 1.59 to 6.85) were significantly
lower in IBD patients. Six of the 11 patients presented major surgical morbidity
with no impact on post-operative treatment. CONCLUSION: CCRS followed by HIPEC is
less effective in IBD patients with resectable PM complicating CRC or SBA. More
careful selection of those patients is needed.
PMID- 29650419
TI - Comparison of pathological complete response rates after neoadjuvant short-course
radiotherapy or chemoradiation followed by delayed surgery in locally advanced
rectal cancer.
AB - INTRODUCTION: Patients with locally advanced rectal cancer (LARC) who are unfit
for chemoradiation (CRT), are often offered short-course radiotherapy followed by
delayed surgery (SCRT-delay). This entails a lower radiation dose, no
chemotherapy and a shorter treatment period. This may lower their chances for
complete tumor response and, as such, organ-sparing approaches. The purpose of
this study was to compare the pathological complete response (pCR) rates between
neoadjuvant CRT and SCRT-delay in patients with LARC in a nationwide database
from the Netherlands. METHODS: In the population based Netherlands Cancer
Registry, clinical stage III rectal cancer patients, diagnosed between 2008 and
2014, who underwent CRT or SCTR-delay were selected. pCR (ypT0N0), near pCR (ypT0
1N0), and tumor and nodal downstaging were compared between the treatment groups
using multivariable logistic regression analysis. RESULTS: 386 patients underwent
SCRT-delay and 3659 patients underwent CRT. The pCR-rate in the SCRT-delay group
was significantly lower compared to the CRT-group (6.4% vs. 16.2%, p < 0.001).
After adjustment for clinical tumor stage, clinical nodal stage and time interval
to surgery, SCRT-delay patients were significantly less likely to reach pCR
(adjusted odds ratio 0.3, 95%CI 0.2-0.5). Also, near-pCR (ypT0-1N0) as well as
tumor and nodal downstaging was observed less often in the SCRT-delay group.
CONCLUSION: Compared to patients treated with neoadjuvant CRT, those receiving
SCRT and delayed surgery are less likely to develop pCR. Novel neoadjuvant
treatment strategies for patients not fit enough for CRT are needed to increase
their eligibility for organ-sparing treatments.
PMID- 29650421
TI - Financial outcomes of transoral robotic surgery: A narrative review.
AB - OBJECTIVE: To determine the current cost impact and financial outcomes of
transoral robotic surgery in Otolaryngology. DATA SOURCES: A narrative review of
the literature with a defined search strategy using Pubmed, MEDLINE, CINAHL, and
Web of Science. REVIEW METHODS: Using keywords ENT or otolaryngology, cost or
economic, transoral robotic surgery or TORs, searches were performed in Pubmed,
MEDLINE, CINAHL, and Web of Science and reviewed by the authors for inclusion and
analysis. RESULTS: Six total papers were deemed appropriate for analysis. All
addressed cost impact of transoral robotic surgery (TORs) as compared to open
surgical methods in treating oropharyngeal cancer and/or the identification of
the primary tumor within unknown primary squamous cell carcinoma. Results showed
TORs to be cost-effective. CONCLUSION: Transoral robotic surgery is currently
largely cost effective for both treatment and diagnostic procedures. However,
further studies are needed to qualify long-term data.
PMID- 29650420
TI - Denosumab treatment of inoperable or locally advanced giant cell tumor of bone -
Multicenter analysis outside clinical trial.
AB - BACKGROUND: Giant cell tumor of bone (GCTB) is an osteolytic, locally aggressive,
rarely metastazing bone tumor. This is a retrospective study evaluating a large
series of GCTB patients treated with denosumab in routine practice in 6 European
reference centers. METHODS: Patients with locally advanced, unresectable or
metastatic GCTB, treated with denosumab outside clinical trials were eligible.
Primary end-point was progression-free survival (PFS) for all patients; secondary
end-points were: type of surgery, relapse rate and event-free survival for
patients after preoperative denosumab + surgery. RESULTS: We identified 138
patients treated in the period 2011-2016. In 40/43 cases the diagnosis was
confirmed by H3F3A gene mutation. Median follow-up time was 23 months (range 6
48). Primary tumor was located in lower limb (38%) - mostly in femur and tibia,
in upper limb (34%), and in pelvis/axial skeleton/ribs (28%). 110 (80%) patients
had primary tumors, 28 (22%) recurrent tumors after previous surgical procedures
(+/- radiotherapy). 89/138 patients had locally advanced GCTB and underwent
neoadjuvant denosumab. The median denosumab treatment duration was 8 months
(median number of cycles 11), 98% had clinical benefit from therapy. 39 (44%) had
wide en-bloc resection - WE (+implantation of the prosthesis in 17 cases), the
other 50 (56%) cases had intralesional curettage - C. Progression after surgical
treatment was observed in 19 patients, 16 of them after C (32%); 13 patients
underwent denosumab re-challenge, and all responded. Two-year progression-free
survival (PFS; from denosumab start) rate was 81%; 2-year EventFS (from surgery)
was significantly better in WE group (93%) vs 55% in C group (p = 0.006).
Treatment was well tolerated with only 2 cases of grade 3 toxicity and one
osteonecrosis of the jaw. CONCLUSION: Our retrospective study confirms that
denosumab is extremely efficient in unresectable/metastatic disease as well as in
a neoadjuvant setting. Our data confirm excellent efficacy and short-term
tolerability of this drug. Our data suggest that neoadjuvant therapy with
denosumab is the option for treatment of initially locally advanced tumors to
facilitate complete surgical resection or avoid mutilating surgery. The risk of
recurrences after curettage of GCTB following denosumab raises questions about
the optimal management of such cases.
PMID- 29650422
TI - In whom does horizontal canal BPPV recur?
AB - PURPOSE: The objective of this study is to examine the rate of horizontal canal
BPPV recurrence of the same type and search for predisposing factors.
PMID- 29650423
TI - A boy presenting with chronic ear drainage and associated middle ear mass.
PMID- 29650425
TI - Measuring the effect of insecticide resistance: are we making progress?
PMID- 29650424
TI - Implications of insecticide resistance for malaria vector control with long
lasting insecticidal nets: a WHO-coordinated, prospective, international,
observational cohort study.
AB - BACKGROUND: Scale-up of insecticide-based interventions has averted more than 500
million malaria cases since 2000. Increasing insecticide resistance could herald
a rebound in disease and mortality. We aimed to investigate whether insecticide
resistance was associated with loss of effectiveness of long-lasting insecticidal
nets and increased malaria disease burden. METHODS: This WHO-coordinated,
prospective, observational cohort study was done at 279 clusters (villages or
groups of villages in which phenotypic resistance was measurable) in Benin,
Cameroon, India, Kenya, and Sudan. Pyrethroid long-lasting insecticidal nets were
the principal form of malaria vector control in all study areas; in Sudan this
approach was supplemented by indoor residual spraying. Cohorts of children from
randomly selected households in each cluster were recruited and followed up by
community health workers to measure incidence of clinical malaria and prevalence
of infection. Mosquitoes were assessed for susceptibility to pyrethroids using
the standard WHO bioassay test. Country-specific results were combined using meta
analysis. FINDINGS: Between June 2, 2012, and Nov 4, 2016, 40 000 children were
enrolled and assessed for clinical incidence during 1.4 million follow-up visits.
80 000 mosquitoes were assessed for insecticide resistance. Long-lasting
insecticidal net users had lower infection prevalence (adjusted odds ratio [OR]
0.63, 95% CI 0.51-0.78) and disease incidence (adjusted rate ratio [RR] 0.62,
0.41-0.94) than did non-users across a range of resistance levels. We found no
evidence of an association between insecticide resistance and infection
prevalence (adjusted OR 0.86, 0.70-1.06) or incidence (adjusted RR 0.89, 0.72
1.10). Users of nets, although significantly better protected than non-users,
were nevertheless subject to high malaria infection risk (ranging from an average
incidence in net users of 0.023, [95% CI 0.016-0.033] per person-year in India,
to 0.80 [0.65-0.97] per person year in Kenya; and an average infection prevalence
in net users of 0.8% [0.5-1.3] in India to an average infection prevalence of
50.8% [43.4-58.2] in Benin). INTERPRETATION: Irrespective of resistance,
populations in malaria endemic areas should continue to use long-lasting
insecticidal nets to reduce their risk of infection. As nets provide only partial
protection, the development of additional vector control tools should be
prioritised to reduce the unacceptably high malaria burden. FUNDING: Bill &
Melinda Gates Foundation, UK Medical Research Council, and UK Department for
International Development.
PMID- 29650426
TI - [Optimisation of measures to protect premature neonates of less than 35 weeks
from the cold in the first hour of life].
PMID- 29650427
TI - [Triorchidism in the differential diagnosis of scrotal masses].
PMID- 29650428
TI - [Extrauterine growth restriction among neonates with a birthweight less than
1,500grams].
AB - INTRODUCTION: Growth restriction in preterm infants has been related to a poor
neurodevelopment outcome. OBJECTIVES: To define the incidence of postnatal growth
restriction in premature babies <=1,500 grams and to detect related clinical or
biochemical markers. METHODS: Retrospective longitudinal observational study.
Multivariate linear regression models were used to determine variables that can
predict the change in weight z-score during admission. RESULTS: The study
included 130 patients with a mean birthweight of 1,161+/-251grams and a
gestational age of 29.9+/-2.5 weeks. At hospital discharge, 59.2% had a weight
below P10.During admission, the z-scores of weight and length decreased by
0.85+/-0.79 and -1.09+/-0.65, respectively.The largest decrease in z-score
occurred during NICU admission, with a weight gain rate of 6.6+/-8.8g/Kg/day,
after which growth acceleration took place (16.7+/-3.8g/Kg/day), but was
insufficient to catch-up.Higher levels of urea were negatively correlated with
the change in the z-score of weight (P<.001), and a weight =60
years) and frontally located gliomas. Old age, frontal location, and grade IV
were found to be predictive factors of TERTp mutation. TERTp mutation resulted in
poor prognosis in overall diffuse gliomas. TERTp mutation was not correlated with
overall survival (OS) or progression-free survival (PFS) in the diffuse gliomas.
However, TERTp mutations, in combination with MGMT methylation or IDH mutation,
showed that there were statistical significant survival differences between MGMT
unmethylated/TERTp-mutated and MGMT-unmethylated/TERTp-wildtype subgroups in
grade II gliomas. There was a statistical significant survival difference of OS
between IDH-wildtype/TERTp-mutated and IDH-mutated/TERTp-mutated subgroups in
grade III gliomas. No significant associations between survival and MGMT/TERTp or
IDH/TERTp status were found in grade IV gliomas. In conclusion, the combination
of TERTp with IDH or MGMT status may be a prognostic indicator depending on
grades.
PMID- 29650442
TI - Phenotypic and genotypic differences in colorectal carcinoma among Caucasians,
Asians, and Hispanics lack statistical significance.
AB - Colorectal carcinoma (CRC) has been shown to have both genetic and environmental
factors that can promote carcinoma development. Previous studies have found
ethnic differences in the distribution of molecular phenotypes of CRC. Very
little specific data exist regarding Hispanic CRC, and these data primarily focus
on epidemiology or location of carcinoma. Our retrospective study analyzed 562
Caucasian, Asian, and Hispanic CRC patients at the UCI Medical Center from 2004
to 2012. The results showed that there were no statistically significant
differences with respect to mean age, gender or site of carcinoma among the three
ethnic groups. There were no statistically significant differences among the
three ethnicities with respect to rates of MSI, mutated BRAF, and mutated KRAS.
The Caucasian group had a non-significant higher rate of MSI (15%) and BRAF
mutation (12%) than the Asian and Hispanic groups. Hispanics had a non
significant higher rate of KRAS mutation (59%) than Caucasians (38%) and Asians
(37%). The results of this study demonstrated a higher rate of MSI and BRAF
mutation in the Caucasian group and a higher rate of KRAS mutation in the
Hispanic group, however differences were not statistically significant.
PMID- 29650443
TI - Investigation of miR-136-5p key target genes and pathways in lung squamous cell
cancer based on TCGA database and bioinformatics analysis.
AB - BACKGROUND: Lung squamous cell cancer (LUSC) is a common but challenging
malignancy. It is important to illuminate the molecular mechanism of LUSC. Thus,
we aim to explore the molecular mechanism of miR-136-5p in relation to LUSC.
METHODS: We used the Cancer Genome Atlas (TCGA) database to investigate the
expression of miR-136-5p in relation to LUSC. Then, we identified the possible
miR-136-5p target genes through intersection of the predicted miR-136-5p target
genes and LUSC upregulated genes from TCGA. Bioinformatics analysis was performed
to determine the key miR-136-5p targets and pathways associated with LUSC.
Finally, the expression of hub genes, correlation between miR-136-5p and hub
genes, and expected significance of hub genes were evaluated via the TCGA and
Genotype-Tissue Expression (GTEx) project. RESULTS: MiR-136-5p was significantly
downregulated in LUSC patients. Glucuronidation, glucuronosyltransferase, and the
retinoic acid metabolic process were the most enriched metabolic interactions in
LUSC patients. Ascorbate and aldarate metabolism, pentose and glucuronate
interconversions, and retinol metabolism were identified as crucial pathways.
Seven hub genes (UGT1A1, UGT1A3, UGT1A6, UGT1A7, UGT1A10, SRD5A1, and ADH7) were
found to be upregulated, and UGT1A1, UGT1A3, UGT1A6, UGT1A7, and ADH7 were
negatively correlated with miR-136-5p. UGT1A7 and ADH7 were the most
significantly involved miR-136-5p target genes, and high expression of these
genes was correlated with better overall survival and disease-free survival of
LUSC patients. CONCLUSIONS: Downregulated miR-136-5p may target UGT1A7 and ADH7
and participate in ascorbate and aldarate metabolism, pentose and glucuronate
interconversions, and retinol metabolism. High expression of UGT1A7 and ADH7 may
indicate better prognosis of LUSC patients.
PMID- 29650444
TI - Reviewing state-mandated training requirements for naloxone-dispensing
pharmacists.
AB - BACKGROUND: Expanding access to naloxone is crucial for mitigating the public
health epidemic of opioid overdose deaths in America. Pharmacists now have
greater independent authority to dispense naloxone to the public due to a wave of
enhanced pharmacy naloxone access laws. It is unknown to what extent pharmacists
are required to receive specialized training to serve in this capacity.
OBJECTIVES: The goal of this study was to review naloxone training mandates from
states with enhanced pharmacy naloxone access laws. METHODS: Structured internet
searches were completed using publicly available legislative, regulatory, and
administrative records to identify the type of enhanced pharmacy naloxone access
law and the presence and characteristics of a pharmacist naloxone training
mandate in each state. RESULTS: As of November 22, 2017, all 50 states have
implemented an enhanced pharmacy naloxone access law. Only 19 states mandated
targeted naloxone education before pharmacists engaged in independent naloxone
dispensing/prescribing activities. CONCLUSIONS: A lack of standardized naloxone
training requirements for naloxone-dispensing pharmacists may affect the rate of
adoption of enhanced pharmacy naloxone dispensing practices at community
pharmacies and suboptimal education of patients at risk of opioid overdose.
Ensuring pharmacists' preparedness to serve as naloxone providers is necessary to
meaningfully prevent opioid overdose deaths in their communities.
PMID- 29650445
TI - High-intensity Interval Training Dosage for Heart Failure and Coronary Artery
Disease Cardiac Rehabilitation. A Systematic Review and Meta-analysis.
AB - INTRODUCTION AND OBJECTIVES: High-interval intensity training (HIT) has been
suggested to improve peak VO2 in cardiac rehabilitation programs. However, the
optimal HIT protocol is unknown. The objective of this study was to identify the
most effective doses of HIT to optimize peak VO2 in coronary artery disease (CAD)
and heart failure (HF) patients. METHODS: A search was conducted in 6 databases
(MEDLINE, Web of Science, LILACS, CINAHL, Academic Search Complete, and
SportDiscus). Studies using a HIT protocol in CAD or HF patients and measuring
peak VO2 were included. The PEDro Scale and Cochrane Collaboration tools were
used. RESULTS: Analyses reported significant improvements in peak VO2 after HIT
in both diseases (P = .000001), with a higher increase in HF patients (P = .03).
Nevertheless, in HF patients, there were no improvements when the intensity
recovery was <= 40% of peak VO2 (P = .19) and the frequency of training was <= 2
d/wk (P = .07). There were significant differences regarding duration in CAD
patients, with greater improvements in peak VO2 when the duration was < 12 weeks
(P = .05). In HF, programs lasting < 12 weeks did not significantly improve peak
VO2 (P = .1). CONCLUSIONS: The HIT is an effective method for improving peak VO2
in HF and CAD, with a significantly greater increase in HF patients. The recovery
intervals should be active and be between 40% and 60% of peak VO2 in HF patients.
Training frequency should be >= 2 d/wk for CAD patients and >= 3 d/wk for HF
patients.
PMID- 29650446
TI - Prognostic Impact and Predictors of Ejection Fraction Recovery in Patients With
Alcoholic Cardiomyopathy.
AB - INTRODUCTION AND OBJECTIVES: Recovery of left ventricular ejection fraction
(LVEF) has been described in alcoholic cardiomyopathy (ACM) after a period of
alcohol withdrawal. Nevertheless, the prognostic impact of LVEF recovery in ACM
and its determinants have not been studied. We sought to define the role of LVEF
improvement in the long-term outcome of ACM and to identify predictors of LVEF
recovery in these patients. METHODS: We evaluated 101 ACM patients during a
median follow-up period of 82 months [interquartile range 36-134]. RESULTS: At
latest follow-up, 42 patients (42%) showed substantial LVEF recovery defined as
an absolute increase in LVEF >= 10% to a final value of >= 40%. Patients who
recovered LVEF had better outcomes than patients who did not (heart transplant or
cardiovascular death 1% vs 30%; P <.001). A QRS with <120ms (OR, 6.68; 95%CI,
2.30-19.41), beta-blocker therapy (OR, 3.01; 95%CI, 1.09-8.28), and the absence
of diuretics (OR, 3.35; 95%CI, 1.08-10.42) predicted LVEF recovery in
multivariate analysis. Although alcohol cessation did not predict LVEF recovery,
none of the patients (n=6) who persisted with heavy alcohol consumption recovered
LVEF. The rate of patients who recovered LVEF did not differ between abstainers
and moderate drinkers (44% vs 45%; P=.9). CONCLUSIONS: The LVEF recovery is
associated with an excellent prognosis in ACM. Beta-blocker treatment, QRS <120ms
and absence of diuretics are independent predictors of LVEF recovery. LVEF
recovery is similar in moderate drinkers and abstainers.
PMID- 29650447
TI - The Clinical Decision: A Clue to Health Services Outcomes Everywhere.
PMID- 29650448
TI - Nanotechnology Applied to Preserve Extracelular Matrix as Teranostic Tool in
Acute Myocardial Infarction.
PMID- 29650449
TI - Cardiac Sympathetic Innervation and Appropriate Therapies in Patients With an
Implantable Cardioverter-Defibrillator in Primary Prevention.
PMID- 29650450
TI - Familial Brugada Syndrome Associated With a Complete Deletion of the SCN5A and
SCN10A Genes.
PMID- 29650451
TI - HIV incidence in western Kenya during scale-up of antiretroviral therapy and
voluntary medical male circumcision: a population-based cohort analysis.
AB - BACKGROUND: In Kenya, coverage of antiretroviral therapy (ART) among people with
HIV infection has increased from 7% in 2006, to 57% in 2016; and, in western
Kenya, coverage of voluntary medical male circumcision (VMMC) increased from 45%
in 2008, to 72% in 2014. We investigated trends in HIV prevalence and incidence
in a high burden area in western Kenya in 2011-16. METHODS: In 2011, 2012, and
2016, population-based surveys were done via a health and demographic
surveillance system and home-based counselling and testing in Gem, Siaya County,
Kenya, including 28 688, 17 021, and 16 772 individuals aged 15-64 years. Data on
demographic variables, self-reported HIV status, and risk factors were collected.
Rapid HIV testing was offered to survey participants. Participants were tracked
between surveys by use of health and demographic surveillance system
identification numbers. HIV prevalence was calculated as a proportion, and HIV
incidence was expressed as number of new infections per 1000 person-years of
follow-up. FINDINGS: HIV prevalence was stable in participants aged 15-64 years:
15% (4300/28 532) in 2011, 12% (2051/16 875) in 2012, and 15% (2312/15 626) in
2016. Crude prevalences in participants aged 15-34 years were 11% (1893/17 197)
in 2011, 10% (1015/10 118) in 2012, and 9% (848/9125) in 2016; adjusted for age
and sex these prevalences were 11%, 9%, and 8%. 12 606 (41%) of the 30 520 non
HIV-infected individuals enrolled were seen again in at least one more survey
round, and were included in the analysis of HIV incidence. HIV incidence was 11.1
(95% CI 9.1-13.1) per 1000 person-years from 2011 to 2012, and 5.7 (4.6-6.9) per
1000 person-years from 2012 to 2016. INTERPRETATION: With increasing coverage of
ART and VMMC, HIV incidence declined substantially in Siaya County between 2011
and 2016. VMMC, but not ART, was suggested to have a direct protective effect,
presumably because ART tended to be given to individuals with advanced HIV
infection. HIV incidence is still high and not close to the elimination target of
one per 1000 person-years. The effect of further scale-up of ART and VMMC needs
to be monitored. FUNDING: Data were collected under Cooperative Agreements with
the US Centers for Disease Control and Prevention, with funding from the
President's Emergency Fund for AIDS Relief.
PMID- 29650452
TI - HIV incidence and scale-up of prevention in western Kenya.
PMID- 29650453
TI - Feasibility of real-time intraoperative fluorescence imaging of dural sinus
thrombosis.
AB - Dural sinus thrombosis is a well-recognized and potentially serious complication
following lateral skull base surgery. Methods of diagnosis are limited to post
operative computerized tomography scans or magnetic resonance venography. There
are currently no reports of an intraoperative technique used to detect dural
sinus thrombosis. Here, we describe the case of a 62-year-old woman who underwent
translabyrinthine resection of a right vestibular schwannoma with no evidence of
sinus thrombosis on pre-operative scans. Following tumor resection, patency of
the sigmoid sinus was assessed intraoperatively using indocyanine green (ICG)
videography which revealed a lack of flow in the right sigmoid sinus.
Postoperative CT scan confirmed thrombosis of the right sigmoid sinus. We present
the first report of real-time intraoperative diagnosis of sigmoid sinus
thrombosis during removal of a vestibular schwannoma. ICG videography may be used
for intraoperative visualization of dural sinus integrity and patency during
prolonged or technically challenging microsurgical procedures. This technique may
enable periodic monitoring and early identification of filling defects which can
guide further intraoperative strategies and postoperative monitoring.
PMID- 29650454
TI - Adrenal metastasis as presentation of hepatocellular carcinoma.
PMID- 29650455
TI - Foreword: Aerodigestive Disorders: Part II.
PMID- 29650456
TI - [Androgens and cardiovascular risk: A series of case report in the French and
Canadian pharmacovigilance databases].
AB - INTRODUCTION: Age-related androgenic deficiency (DALA) is a pathology that is
increasingly cited in recent publications. The cardiovascular risk of
testosterone is debated: present for the FDA, absent for the European Medicines
Agency in 2015. Our objective was to analyze the association between androgens
and vascular pathologies in adverse reactions reported in pharmacovigilance
databases. MATERIAL AND METHOD: We conducted a retrospective case series study of
the French and Canadian pharmacovigilance databases for the period 2005-2015.
Cases were defined as the association of the occurrence of a cardiovascular event
(myocardial infarction or stroke) and the presence of testosterone in the
treatment of patients. RESULTS: Of the 10 years analyzed, 12 French cases and 6
Canadian cases (representing 13 MIs and 5 strokes) were recorded in men aged 55
years on average. All were doubtful: differential diagnoses were possible (2.4
confounding conditions on average per patient) and overall cardiovascular risk
was high for the majority of cases. CONCLUSION: Our study shows a very low report
of cardiovascular effects under testosterone, all doubtful. Pending further
studies, it seems reasonable to consider the cardiovascular risk of patients who
are candidates for hormone therapy for age-related androgen deficiency. LEVEL OF
EVIDENCE: 3.
PMID- 29650457
TI - [Non-palpable testicular tumors in adults: A management based on imaging? Issue
from the French Urologic Association Genital Cancer committee's edit].
AB - BACKGROUND: Help in management of non-palpable testicular tumors. French Urologic
Association Genital cancer committee's Edit. OBJECTIVES: To review their
characterization at imaging findings of non-palpable testicular tumors.
DOCUMENTARY SOURCES: Literature review (PubMed, Medline) of urological and
radiological studies dealing with testicular tumors using keywords: non
palpable/incidental testicular tumors; color Doppler ultrasound; US elastography;
magnetic resonance imaging; contrast enhanced sonography; partial surgery.
RESULTS: Color Doppler is the basic exam. The size, the presence of
microlithts/microlithiasis/macrocalcifications, the vascular architecture are
major semiological findings to suggest the benign or the malignant nature of the
lesion. Other techniques like multiparametric MRI, contrast-enhanced sonography,
sonographic elastography are still in evaluation. The frequency of benign tumors
such as Leydig cell tumors lead to preservation management, through improved
characterization, monitoring or tumorectomy. LIMITS: Non-randomized study - a
very few prospective studies. CONCLUSION: The era of total orchiectomy for any
uncertain testicular lesion is over. We try the challenge of characterization,
and define management's algorithms based on the suspected nature of the tumors.
PMID- 29650458
TI - Matching algorithms for assigning orthologs after genome duplication events.
AB - In this paper, we introduce and analyze two graph-based models for assigning
orthologs in the presence of whole-genome duplications, using similarity
information between pairs of genes. The common feature of our two models is that
genes of the first genome may be assigned two orthologs from the second genome,
which has undergone a whole-genome duplication. Additionally, our models
incorporate the new notion of duplication bonus, a parameter that reflects how
assigning two orthologs to a given gene should be rewarded or penalized. Our work
is mainly focused on developing exact and reasonably time-consuming algorithms
for these two models: we show that the first one is polynomial-time solvable,
while the second is NP-hard. For the latter, we thus design two fixed-parameter
algorithms, i.e. exact algorithms whose running times are exponential only with
respect to a small and well-chosen input parameter. Finally, for both models, we
evaluate our algorithms on pairs of plant genomes. Our experiments show that the
NP-hard model yields a better cluster quality at the cost of lower coverage, due
to the fact that our instances cannot be completely solved by our algorithms.
However, our results are altogether encouraging and show that our methods yield
biologically significant predictions of orthologs when the duplication bonus
value is properly chosen.
PMID- 29650459
TI - Examining the Feasibility of Healthy Minimum Stocking Standards for Small Food
Stores.
AB - OBJECTIVE: In response to recent national efforts to increase the availability of
healthy food in small stores, we sought to understand the extent to which small
food stores could implement the newly published Healthy Small Store Minimum
Stocking Recommendations and reflect on the new US Department of Agriculture Food
and Nutrition Service's final rule for stocking of staple foods for Supplemental
Nutrition Assistance Program-approved retailers. DESIGN: We collected qualitative
and quantitative data from 57 small stores in four states (Arizona, Delaware,
Minnesota, and North Carolina) that accepted Supplemental Nutrition Assistance
Program but not Special Supplemental Nutrition Assistance Program for Women,
Infants, and Children benefits. Data from semistructured, in-depth interviews
with managers/owners were transcribed, coded, and analyzed. We collected
quantitative store inventory data onsite and later performed descriptive
analyses. RESULTS: Store interviews revealed a reluctant willingness to stock
healthy food and meet new recommendations. No stores met recommended fruit and
vegetable stocking, although 79% carried at least one qualifying fruit and 74%
carried at least one qualifying vegetable. Few stores met requirements for other
food categories (ie, whole grains and low-fat dairy) with the exception of lean
proteins, where stores carrying nuts or nut butter were more likely to meet the
protein recommendation. Water and 100% juice were widely available and 68% met
basic healthy beverage criteria. CONCLUSIONS: In contrast to the inventory
observed, most owners believed store stock met basic recommendations. Further,
findings indicate that small stores are capable of stocking healthy products;
however, technical and infrastructure support, as well as incentives, would
facilitate shifts from staple to healthier staple foods. Retailers may need
support to understand healthier product criteria and to drive consumer demand for
new products.
PMID- 29650460
TI - How does an animal behave like a plant? Physiological and molecular adaptations
of zooxanthellae and their hosts to symbiosis.
AB - Cnidarians (corals and sea anemones) harbouring photosynthetic microalgae derive
several benefits from their association. To allow this association, numerous
symbiotic-dependent adaptations in both partners, resulting from evolutionary
pressures, have been selected. The dinoflagellate symbionts (zooxanthellae) are
located inside a vesicle in the cnidarian host cell and are therefore exposed to
a very different environment compared to the free-living state of these
microalgae in terms of ion concentration and carbon content and speciation. In
addition, this intracellular localization imposes that they rely completely upon
the host for their nutrient supply (nitrogen, CO2). Symbiotic-dependent
adaptations imposed to the animal host by phototrophic symbiosis are more
relevant to photosynthetic organisms than to metazoans: indeed, the cnidarian
host often harbours diurnal changes of morphology to adapt itself to the amount
of light and possesses carbon-concentrating mechanisms, antioxidative defences
and UV sunscreens similar to that present in phototrophs. These adaptations and
the contrasting fragility of the association are discussed from both ecological
and evolutionary points of view.
PMID- 29650461
TI - Towards designing a synthetic antituberculosis vaccine: The Rv3587c peptide
inhibits mycobacterial entry to host cells.
AB - Mycobacterium tuberculosis is considered one of the most successful pathogens in
the history of mankind, having caused 1.7 million deaths in 2016. The amount of
resistant and extensively resistant strains has increased; BCG has been the only
vaccine to be produced in more than 100 years though it is still unable to
prevent the disease's most disseminated form in adults; pulmonary tuberculosis.
The search is thus still on-going for candidate antigens for an antituberculosis
vaccine. This paper reports the use of a logical and rational methodology for
finding such antigens, this time as peptides derived from the Rv3587c membrane
protein. Bioinformatics tools were used for predicting mycobacterial surface
location and Rv3587c protein structure whilst circular dichroism was used for
determining its peptides' secondary structure. Receptor-ligand assays identified
4 high activity binding peptides (HABPs) binding specifically to A549 alveolar
epithelial cells and U937 monocyte-derived macrophages, covering the region
between amino acids 116 and 193. Their capability for inhibiting Mtb H37Rv
invasion was evaluated. The recognition of antibodies from individuals suffering
active and latent tuberculosis and from healthy individuals was observed in HABPs
capable of avoiding mycobacterial entry to host cells. The results showed that 8
HABPs inhibited such invasion, two of them being common for both cell lines:
39265 (155VLAAYVYSLDNKRLWSNLDT173) and 39266 (174APSNETLVKTFSPGEQVTTY192).
Peptide 39265 was the least recognised by antibodies from the individuals' sera
evaluated in each group. According to the model proposed by FIDIC regarding
synthetic vaccine development, peptide 39265 has become a candidate antigen for
an antituberculosis vaccine.
PMID- 29650462
TI - Thioamide substitution to probe the hydroxyproline recognition of VHL ligands.
AB - Thioamide substitution influences hydrogen bond and n -> pi* interactions
involved in the conformational stability of protein secondary structures and
oligopeptides. Hydroxyproline is the key recognition element of small molecules
targeting the von Hippel-Lindau (VHL) E3 ligase, which are of interest as probes
of hypoxia signaling and ligands for PROTAC conjugation. We hypothesized that VHL
ligands could be a privileged model system to evaluate the contribution of these
interactions to protein:ligand complex formation. Herein we report the synthesis
of VHL ligands bearing thioamide substitutions at the central hydroxyproline
moiety, and characterize their binding by fluorescence polarization, isothermal
titration calorimetry, X-ray crystallography and molecular modeling. In spite of
a conserved binding mode, the substitution pattern had a pronounced impact on the
ligand affinities. Together the results underscore the role of hydrogen bond and
n -> pi* interactions in fine tuning hydroxyproline recognition by VHL.
PMID- 29650463
TI - A new class of pyrazolo[5,1-c][1,2,4]triazines as gamma-aminobutyric type A
(GABAA) receptor subtype ligand: synthesis and pharmacological evaluation.
AB - A comparison between compounds with pyrazolo[1,5-a]pyrimidine structure (series 4
6) and pyrazolo[5,1-c][1,2,4]triazine core (series 9) as ligands at GABAA
receptor subtype, was evaluated. Moreover, for pyrazolotriazine derivatives
having binding recognition, the interaction on recombinant rat alpha(1-3,5) GABAA
receptor subtypes, was performed. Among these latter, emerge compounds 9c, 9k,
9l, 9m and 9n as alpha1-selective and 9h as alpha2-selective ligands.
PMID- 29650464
TI - Clioquinol, an alternative antimicrobial agent against common pathogenic microbe.
AB - Skin and soft tissue infections (SSTIs) are very common in dermatology and the
use of antimicrobial formulations are important in treating these diseases. With
the increasing of drug-resistant strains, researchers need to find ways to
enhance the effectiveness and/or reduce the drug resistance. Clioquinol was one
of antiseptics that can inactivate microbes. It was lack of data of antimicrobial
activity; meanwhile it was infrequently used in infection. In order to research
the antimicrobial spectrum and activity of topical 3% clioquinol cream among
common pathogenic microorganisms compared with other common topical
pharmaceuticals, we used modified agar diffusion assay to judge drug
susceptibility and compared with broth microdilution assay. Thirty strains of
pathogenic fungi belonging to 14 species and 5 strains of pathogenic bacterium
belonging to 4 species from clinic or standard strains were enrolled into the
experiment. The inhibition zone around 3% clioquinol cream for all experiment
isolates was observed. It could inhibit the growth of most fungal species with
different strength, but the antibacterial activity was weak. For Candida
tropicalis, Candida guilliermondii, Aspergillus terreus, Fusarium solani and
Trichoderma harzianum, the inhibition zone was biggest among all the tested
drugs. The antifungal activity for Dermatophytes and Candida albicans was
moderate. Two assays had a degree of consistency. Based on results above, we
identified the antifungal spectrum of 3% clioquinol cream was broad. The
antimicrobial strength of 3% clioquinol cream depended on the species but it can
act on most of the species.
PMID- 29650465
TI - Response to letter to editor regarding: EB_2017_222_R1 frequency and predictors
of psychological distress after a diagnosis of epilepsy: A community-based study:
Methodological issues.
PMID- 29650466
TI - Prodrome in epilepsy.
AB - BACKGROUND: Prodromal symptoms (PS) of epileptic seizures are clinically well
recognized but relatively little researched. The purpose of this review was to
examine the evidence in the literature for the existence of prodrome and the
reported frequency and nature of prodromal characteristics. METHODS: We performed
a PubMed review of the clinical characteristics, frequency, and duration of PS in
papers published between 2007 and 2017. We also reviewed findings from
prospective studies into the predictive performance of prodrome. In a second
analysis, we reviewed studies reporting a single symptom/sign of prodrome.
RESULTS: In 8 studies reporting on the prevalence of prodrome, we found a mean
frequency of 21.9%. The most frequent symptoms were "funny feeling" (10.4%),
confusion (9.0%), anxiety (8.6%), and irritability (7.7%), but other features
were also reported. The duration of prodrome was typically between 10min and
3days, with most prodromes lasting for between 30min and 24h. In studies that
reported a single prodromal symptom/sign, headache was the most frequent: 8% with
a range of between 1.2 and 30%. CONCLUSIONS: Prodromes are characterized by a
broad spectrum of preictal symptoms that may be experienced for a duration of
between 10min and several days, which usually persist until seizure onset.
Opinion is divided on their precise nature and value as predictors of seizures. A
greater understanding of prodromes might offer insights into the preictal period
and hold promise for new seizure management therapies.
PMID- 29650468
TI - Exploring Bacteroidetes: Metabolic key points and immunological tricks of our gut
commensals.
AB - Bacteroidetes are the largest phylum of Gram-negative bacteria inhabiting our
gastrointestinal tract and are considered the leading players of the healthy
state and sophisticated homeostasis safeguarded by gut microbiota. Furthermore,
specific roles have been attributed to some Bacteroidetes genera in the
development of immune dysregulation, systemic disease such as metabolic syndrome
and also neurological disorders. Glycoproteins secretion, short fatty acids
imbalance, toxins production and molecular mimicry are only a part of the
functions exerted by these commensals interacting with the host. The aim of this
review is to summarize the current knowledge on the recognized role of
Bacteroidetes in physiological functions and pathological networks in order to
define the needs for future research and clarify the potential role for targeted
microbial therapies.
PMID- 29650467
TI - Chemically Modified Cpf1-CRISPR RNAs Mediate Efficient Genome Editing in
Mammalian Cells.
AB - CRISPR-based gene editing is a powerful technology for engineering mammalian
genomes. It holds the potential as a therapeutic, although much-needed in vivo
delivery systems have yet to be established. Here, using the Cpf1-crRNA (CRISPR
RNA) crystal structure as a guide, we synthesized a series of systematically
truncated and chemically modified crRNAs, and identify positions that are
amenable to modification while retaining gene-editing activity. Modified crRNAs
were designed with the same modifications that provide protection against
nucleases and enable wide distribution in vivo. We show crRNAs with chemically
modified terminal nucleotides are exonuclease resistant while retaining gene
editing activity. Chemically modified or DNA-substituted nucleotides at select
positions and up to 70% of the crRNA DNA specificity region are also well
tolerated. In addition, gene-editing activity is maintained with phosphorothioate
backbone substitutions in the crRNA DNA specificity region. Finally, we
demonstrate that 42-mer synthetic crRNAs from the similar CRISPR-Cas9 system are
taken up by cells, an attractive property for in vivo delivery. Our study is the
first to show that chemically modified crRNAs of the CRISPR-Cpf1 system can
functionally replace and mediate comparable gene editing to the natural crRNA,
which holds the potential for enhancing both viral- and non-viral-mediated in
vivo gene editing.
PMID- 29650470
TI - Can the measurement of intravesical prostatic protrusion predict the response to
medical treatment in patients with benign prostatic hyperplasia? Lights and
shadows.
PMID- 29650469
TI - Expanding the traditional role of optometry: Current practice patterns and
attitudes to enhanced glaucoma services in Ireland.
AB - PURPOSE: To investigate current diagnostic equipment availability and usage for
glaucoma case-finding within community optometric practice, and to explore
optometrists' attitudes towards an enhanced scope of clinical practice. METHODS:
An anonymous survey was developed, validated, and distributed to all optometrists
in Ireland. RESULTS: 199 optometrists (27% of registrants) responded to the
survey. 87% had access to the traditional triad of tests necessary to conduct
adequate glaucoma case finding. Standard automated perimetry was the most
commonly absent (13%) of the three essential screening tests. 64% of respondents
indicated that monocular direct ophthalmoscopy was their first choice technique
for fundus examination. 47% of respondents had access to contact applanation
tonometry, though just 14% used it as first choice during routine eye
examinations. Among the 73 participants with access to both contact and non
contact tonometry (NCT), 80.8%, used NCT preferentially. The significant majority
(98%) indicated an interest in enhanced glaucoma services with 57% agreeing that
postgraduate training was an essential prerequisite to any increase in scope of
practice. CONCLUSION: Irish optometrists are well equipped with the traditional
tests used in glaucoma detection. However, implementation of enhanced referral
schemes or glaucoma monitoring or management services would require equipment
upgrades and associated training in at least half of the surveyed practices.
There is strong interest in furthering optometric professional development and
expanding the traditional role boundaries of optometrists, incorporating further
education as an essential prerequisite to an enhanced scope of practice.
PMID- 29650471
TI - Validation of the Spanish version of the Bladder Pain/Interstitial Cystitis
Symptom Score (BPIC-SS) questionnaire. A useful tool for the diagnosis of bladder
pain syndrome.
AB - OBJECTIVES: Validation of the Spanish version of the Bladder Pain/Interstitial
Cystitis-Symptom Score (BPIC-SS) questionnaire to evaluate its utility for the
diagnosis of Bladder Pain Syndrome (BPS) patients in the Female and Urodynamics
Urology Functional Units in Spain. MATERIAL AND METHODS: The Spanish adaptation
of the BPIC-SS questionnaire was evaluated in 243 BPS patients. EQ-5D-5L, Patient
Perception of Bladder Condition (PPBC) and global impression questionnaire (CGI
S) were collected. Consistency, test-retest reliability in patients without
clinical changes at 15 days, criterion validity and sensitivity to change were
assessed in BPS patients with clinical changes at 6 months. The cut-off point for
discriminating BPS patients from other similar pathologies (Hyperactive Bladder
or other urinary pathologies) was analysed using ROC curve. RESULTS: Mean (SD)
BPIC-SS score (0-38) was 16.2 (12.0) points. Cronbach's alpha was 0.92 and
intraclass coefficient correlation (ICC) was 0.82, ranging from 0.5-0.9 per item.
Convergent validity determined a Spearman correlation of 0.63 with PPBC and -0.40
with EQ-5D-5L Visual Analogue Scale (VAS) and the effect size obtained in
patients who improved their clinical status was 1.9. A score greater than or
equal to 12 points in the BPIC-SS has been established as the best cut-off point
for the diagnosis of BPS (87.5% sensitivity and 91.9% specificity). CONCLUSIONS:
The Spanish version of the BPIC-SS is a valid and reliable instrument for the
diagnosis and follow-up of patients with BPS in Spain.
PMID- 29650472
TI - Could exercise improve mental health and cognitive skills for surgeons and other
healthcare professionals?
AB - Workplace-related illness is common in the UK, and in healthcare more than five
million working days over 10years have been lost as a result. Occupational stress
is well known and can affect clinicians at any stage, yet many healthcare
professionals continue to work with this or other psychological problems
(including anxiety, chronic fatigue, and burnout) as they do not wish to let
their colleagues down. Mental health issues might be dismissed, particularly in
surgery, because there is a misconception that surgeons can cope better with
stress than those working in other specialties, and are better protected from
clinical burnout. The benefit of exercise on physical health is clear, but its
role in the maintenance of good mental health and well-being should not be
underestimated. As society adopts an increasingly sedentary lifestyle, exercise
for many has a lower priority than other activities. In this article we give an
overview of the mental health issues that might affect doctors and surgeons, and
explore how exercise can benefit our well-being and clinical performance.
PMID- 29650473
TI - Tetanus after a penetrating injury to the right globe: a case report.
AB - A 24-year-old man attended the emergency department with a penetrating injury to
the right globe after a road traffic accident. He later contracted tetanus for
which he was given human tetanus immunoglobulin. Although it is rare, tetanus is
life-threatening and a thorough immunisation history should be obtained before
treatment of tetanus-prone injuries.
PMID- 29650474
TI - Current trends in the medical management of osteoradionecrosis using triple
therapy.
AB - Recent guidelines from the National Institute for Health and Care Excellence
(NICE) have suggested that the medical management of osteoradionecrosis (ORN) of
the jaws should be used in clinical trials only, and some drugs and therapeutics
committees have withdrawn funds for such prescriptions. With increased scrutiny
on the use of these agents, the aims of this study were to ascertain current
trends in the presentation and management of ORN, with particular focus on which
agents are being used.
PMID- 29650475
TI - Use of patient-reported outcome measures in oral and maxillofacial trauma
surgery: a review.
AB - In the UK, about one person/100/year sustains a facial injury, so trauma surgery
accounts for a considerable part of the caseload in oral and maxillofacial
surgery (OMFS). Patient-reported outcome measures (PROM) allow for patient
centred assessment of postoperative outcomes, but to our knowledge, most research
in OMFS trauma does not currently include them. To investigate their use, we
searched Medline to find relevant studies that reported outcomes from inception
in January 1879 to August 2016. Those not in the English language and those that
did not report operations were excluded. We retrieved 416 articles, of which 21
met the inclusion criteria (five randomised controlled trials and 16 cohort
studies) yielding 16 outcome measures. Most of these had been devised by authors
(eight studies), four studies reported use of the Geriatric Oral Health
Assessment Index, and three the Nasal Obstruction Symptom Evaluation. Most were
used in studies on mandibular surgery (n=13), followed by those on nasal and
facial surgery (n=3 each). There is a great heterogeneity in the use of these
assessments in OMF trauma. In view of their increasing importance compared with
simpler objective measures that may not be relevant to the patients' own
perception, more research is needed to establish which of them can be used to
measure the QoL of patients treated for OMF trauma.
PMID- 29650476
TI - Re: re: Retroseptal transconjunctival approach for fractures of the
zygomaticomaxillary complex: a retrospective study.
PMID- 29650477
TI - Determination of 24 personal care products in fish bile using hybrid solvent
precipitation and dispersive solid phase extraction cleanup with ultrahigh
performance liquid chromatography-tandem mass spectrometry and gas chromatography
mass spectrometry.
AB - Personal care products (PCPs) are ubiquitous in aquatic environments owing to the
continuous discharge of domestic wastewater from highly urbanized regions. These
PCPs can be adsorbed by fish and thereafter usually enter the bile of the fish
through biliary excretion. In this study, a sensitive method based on a
combination of hybrid solvent precipitation and dispersive solid phase extraction
(d-SPE) purification was developed to simultaneously extract and detect 24 PCPs,
namely, 16 biocides, 4 synthetic musks, and 4 benzotriazoles, from fish bile.
Hybrid precipitation on solid phase extraction (SPE) tubes was applied to remove
phospholipids and proteins, and a d-SPE procedure was used for further
purification. The extraction solvents for the hybrid precipitation/SPE tubes and
d-SPE materials were optimized. The method performance for bile samples both with
and without enzyme hydrolysis using beta-glucuronidase/aryl-sulfatase were
validated. The 24 PCPs in fish bile were spiked with standard concentrations of
10 ng/mL, 20 ng/mL, 100 ng/mL, and 200 ng/mL to evaluate recoveries, which ranged
from 70 to 120% for 16, 16, 22, and 21 analytes with hydrolysis, respectively,
and 70-120% for 14, 15, 23, and 23 analytes without hydrolysis, respectively. The
quantification limits for target PCPs were in the range 0.26-7.38 ng/mL
[excluding musk xylene (MX) and musk ketone (MK)] and 0.20-9.48 ng/mL (excluding
MX and MK) for bile samples with and without enzyme hydrolysis, respectively.
After enzyme hydrolysis, 12 PCPs were detected in bile from fish collected from
the Yangtze River, with a maximum detected concentration of 460 ng/mL, for
triclosan (TCS). The hydrolysis reaction indicated that high percentages of
glucuronide and sulfate metabolites for some PCPs, i.e. four parabens and TCS,
existed in the bile.
PMID- 29650478
TI - A strategy to improve the identification reliability of the chemical constituents
by high-resolution mass spectrometry-based isomer structure prediction combined
with a quantitative structure retention relationship analysis: Phthalide
compounds in Chuanxiong as a test case.
AB - High-resolution mass spectrometry (HRMS) provides a powerful tool for the rapid
analysis and identification of compounds in herbs. However, the diversity and
large differences in the content of the chemical constituents in herbal
medicines, especially isomerisms, are a great challenge for mass spectrometry
based structural identification. In the current study, a new strategy for the
structural characterization of potential new phthalide compounds was proposed by
isomer structure predictions combined with a quantitative structure-retention
relationship (QSRR) analysis using phthalide compounds in Chuanxiong as an
example. This strategy consists of three steps. First, the structures of
phthalide compounds were reasonably predicted on the basis of the structure
features and MS/MS fragmentation patterns: (1) the collected raw HRMS data were
preliminarily screened by an in-house database; (2) the MS/MS fragmentation
patterns of the analogous compounds were summarized; (3) the reported phthalide
compounds were identified, and the structures of the isomers were reasonably
predicted. Second, the QSRR model was established and verified using
representative phthalide compound standards. Finally, the retention times of the
predicted isomers were calculated by the QSRR model, and the structures of these
peaks were rationally characterized by matching retention times of the detected
chromatographic peaks and the predicted isomers. A multiple linear regression
QSRR model in which 6 physicochemical variables were screened was built using 23
phthalide standards. The retention times of the phthalide isomers in Chuanxiong
were well predicted by the QSRR model combined with reasonable structure
predictions (R2=0.955). A total of 81 peaks were detected from Chuanxiong and
assigned to reasonable structures, and 26 potential new phthalide compounds were
structurally characterized. This strategy can improve the identification
efficiency and reliability of homologues in complex materials.
PMID- 29650479
TI - Core-shell indium (III) sulfide@metal-organic framework nanocomposite as an
adsorbent for the dispersive solid-phase extraction of nitro-polycyclic aromatic
hydrocarbons.
AB - A core-shell discoid shaped indium (III) sulfide@metal-organic framework (MIL
125(Ti)) nanocomposite was synthesized by a solvothermal method and explored as
an adsorbent material for dispersive solid-phase extraction (d-SPE). The as
synthesized sorbent was characterized by scanning electron microscopy, energy
dispersive spectroscopy, transmission electron microscopy, powder X-ray
diffraction, N2 adsorption-desorption analysis, and Fourier transform infrared
spectroscopy. The extraction performance was evaluated by the d-SPE of 16 nitro
polycyclic aromatic hydrocarbons (NPAHs) from water samples. The analysis was
carried out by gas chromatography (GC) coupled with triple quadruple mass
spectrometer in negative chemical ionization (NCI) mode. The selected ion
monitoring (SIM) was used in the quantification of the target NPAHs. Extraction
factors affecting the d-SPE, including the ionic strength, extraction
temperature, and extraction time were optimized by the response surface
methodology. The developed d-SPE method showed good linear correlations from 10
to 1000 ng L-1 (r > 0.99), low detection limits (2.9-83.0 ng L-1), satisfactory
repeatability (relative standard deviation of <10%, n = 6), and acceptable
recoveries (71.3%-112.2%) for water samples. The developed method was used for
the food and environmental sample analysis. The results demonstrated that the
method could be used for sample preparation of trace NPAHs in real samples.
PMID- 29650480
TI - One-pot polymerization of monolith coated stir bar for high efficient sorptive
extraction of perfluoroalkyl acids from environmental water samples followed by
high performance liquid chromatography-electrospray tandem mass spectrometry
detection.
AB - In this work, poly(1-vinylimidazole-ethyleneglycol dimethacrylate) (poly(VI
EDMA)) monolith coated stir bars were synthesized by one-pot polymerization, and
they exhibited higher extraction efficiency and faster extraction dynamics for
selected PFAAs than commercial ethylene glycol modified silicone (EG-silicone)
and polydimethylsiloxane (PDMS) coated stir bars. Taking eleven PFAAs as target
analytes, including C4-C12 perfluoroalkyl carboxylates (PFCAs) and C6, C8
perfluoroalkane sulfonates (PFSAs), a method combining monolith-based stir bar
sorptive extraction (SBSE) with high performance liquid chromatography (HPLC) -
electrospray tandem mass spectrometry (ESI-MS/MS) was proposed for the
determination of multiplex PFAAs in environmental water samples. Under the
optimized conditions, low limits of detection (0.06-0.40 ng/L) and wide linear
range (0.6-400 ng/L) were obtained for target PFAAs with. The developed method
was then applied for the analysis of target PFAAs in environmental water samples,
and recoveries of 80.1-117% and 80.3-122% were obtained for target PFAAs in
spiked Yangtze River and East Lake water samples respectively.
PMID- 29650481
TI - Quantitative and comparative liquid chromatography-electrospray ionization-mass
spectrometry analyses of hydrogen sulfide and thiol metabolites derivaitized with
2-iodoacetanilide isotopologues.
AB - Hydrogen sulfide (H2S), previously known as a toxic gas, is now recognized as a
gasotransmitter along with nitric oxide and carbon monoxide. However, only few
methods are available for quantitative determination of H2S in biological
samples. 2-Iodoacetanilide (2-IAN), a thiol-reacting agent, has been used to tag
the reduced cysteine residues of proteins for quantitative proteomics and for
detection of cysteine oxidation modification. In this article, we proposed a new
method for quantitative analyses of H2S and thiol metabolites using the procedure
of pre-column 2-IAN derivatization coupled with liquid chromatography
electrospray ionization-mass spectrometry (LC-ESI-MS). 13C6-Labeled and label
free 2-IAN efficiently react with H2S and thiol compounds at pH 9.5 and 65
degrees C. The derivatives exhibit excellent stability at alkaline conditions,
high resolution on reverse phase liquid chromatography and great sensitivity for
ESI-MS detection. The measurement of H2S, l-cysteine, glutathione, and DL
homocysteine derivatives was validated using 13C6-labeled standard in LC-ESI-MS
analyses and exhibited 10 nM-1 MUM linear ranges for DL-homocysteine and
glutathione and 1 nM-1 MUM linear ranges for l-cysteine and H2S. In addition, the
sequence of derivatization and extraction of metabolites is important in the
quantification of thiol metabolites suggesting the presence of matrix effects.
Most importantly, labeling with 2-IAN and 13C6-2-IAN isotopologues could achieve
quantitative and matched sample comparative analyses with minimal bias using our
extraction and labeling procedures before LC-MS analysis.
PMID- 29650482
TI - Optimization of a multiple headspace sorptive extraction method coupled to gas
chromatography-mass spectrometry for the determination of volatile compounds in
macroalgae.
AB - A novel extraction technique is proposed in which the Multiple Headspace
Extraction (MHE) approach is used in conjunction with Headspace Sorptive
Extraction (HSSE) and Gas Chromatography-Mass Spectrometry (GC-MS) detection. The
extraction method was developed to determine volatile compounds in macroalgae.
Optimization of the extraction parameters was carried out using design of
experiments to identify factors that affect the extraction: extraction time,
temperature, twister length and amount of sample. The results of the optimization
led to an extraction of 2 g of sample using a 20 mm Twister(r) at 66 degrees C
for 180 min. The progression constants (beta) were calculated for 43 volatile
compounds, 29 of which could be quantified using the method. Linearity was
attained with a determination coefficient higher than 0.99 for all studied
compounds. Inter-day and inter-twister precisions ranged from 0.22% to 19.01% and
from 0.69% to 14.76% respectively, and values below 10% were obtained for the
majority of compounds. LOD and LOQ values ranged from the values obtained for
diethyl succinate (0.012 MUg/L and 0.088 MUg/L, respectively) and those obtained
for dimethyl sulfide (5.544 MUg/L and 40.286 MUg/L, respectively). However, for
the majority of compounds values obtained were below 1 MUg/L (LOD) and 5 MUg/L
(LOQ). Compounds such as ethyl acetate, hexanal, heptadecane, 2-hexenal, 6-methyl
5-hepten-2-one, dimethyl sulfide, benzyl alcohol, beta ionone, or beta
cyclocitral, among others were correctly determined in three species of
macroalgae: Ulva sp., Gracillaria sp. and Enteromorpha sp.
PMID- 29650483
TI - Solid, Cystic, and Tubular: Novice Ultrasound Skills Training Using a Versatile,
Affordable Practice Model.
AB - OBJECTIVE: In spite of the recognized benefits of ultrasound, many physicians
have little experience with using ultrasound to perform procedures. Many medical
schools and residency programs lack a formal ultrasound training curriculum. We
describe an affordable ultrasound training curriculum and versatile, inexpensive
practice model. DESIGN: Participants underwent a didactic session to teach the
theory required to perform ultrasound-guided procedures. Motor skills were taught
using a practice model incorporating analogs of common anatomic and pathologic
structures into an opacified gelatin substrate. SETTING: The Marcia and Eugene
Applebaum Simulation Learning Institute, Beaumont Hospital, Royal Oak, MI; a
private nonprofit tertiary care hospital associated with the OUWB School of
Medicine, Rochester, MI. PARTICIPANTS: The model was tested in a cohort of 50
medical students and general surgery residents. RESULTS: The gelatin model can be
constructed for $1.03 per learner. The solid, cystic, and vascular structural
analogs were readily identifiable on ultrasound and easily differentiated based
on their echotextures. Eighty-four percent of participants successfully aspirated
the cystic structure, 88% successfully biopsied a portion of the solid structure,
and 76% successfully cannulated the tubular structure. Overall, 82% of
participants achieved a passing score for the exercise based on a validated
Objective Structured Assessment of Technical Skill instrument. There were no
significant differences between the medical students and residents. CONCLUSION:
This model can be used to teach basic ultrasound skills such as aspiration,
biopsy, and vessel cannulation, providing a foundation for the use of ultrasound
in a broad range of clinical procedures, as well as providing practice
opportunities for medical students and residents to gain increased ultrasound
competency and confidence.
PMID- 29650484
TI - Identification of New Tools to Predict Surgical Performance of Novices using a
Plastic Surgery Simulator.
AB - OBJECTIVE: To identify new tools capable of predicting surgical performance of
novices on an augmentation mammoplasty simulator. The pace of technical skills
acquisition varies between residents and may necessitate more time than that
allotted by residency training before reaching competence. Identifying applicants
with superior innate technical abilities might shorten learning curves and the
time to reach competence. The objective of this study is to identify new tools
that could predict surgical performance of novices on a mammoplasty simulator.
METHOD: We recruited 14 medical students and recorded their performance in 2
skill-games: Mikado and Perplexus Epic, and in 2 video games: Star War Racer
(Sony Playstation 3) and Super Monkey Ball 2 (Nintendo Wii). Then, each
participant performed an augmentation mammoplasty procedure on a Mammoplasty Part
task Trainer, which allows the simulation of the essential steps of the
procedure. RESULTS: The average age of participants was 25.4 years. Correlation
studies showed significant association between Perplexus Epic, Star Wars Racer,
Super Monkey Ball scores and the modified OSATS score with rs = 0.8491 (p <
0.001), rs = -0.6941 (p = 0.005), and rs = 0.7309 (p < 0.003), but not with the
Mikado score rs = -0.0255 (p = 0.9). Linear regressions were strongest for
Perplexus Epic and Super Monkey Ball scores with coefficients of determination of
0.59 and 0.55, respectively. A combined score (Perplexus/Super-Monkey-Ball) was
computed and showed a significant correlation with the modified OSATS score
having an rs = 0.8107 (p < 0.001) and R2 = 0.75, respectively. CONCLUSIONS: This
study identified a combination of skill games that correlated to better
performance of novices on a surgical simulator. With refinement, such tools could
serve to help screen plastic surgery applicants and identify those with higher
surgical performance predictors.
PMID- 29650485
TI - Providing Transthoracic Echocardiography Training for Intensive Care Unit
Trainees: An Educational Improvement Initiative.
AB - OBJECTIVE: Transthoracic echocardiography (TTE) is important in the management of
critically ill patients, yet it has not been incorporated into many residency
programs' curricula. Our objective is to determine if trainees undergoing a 60
minute training session on TTE have improved knowledge, ultrasound skills, and
increases the utilization of TTE during their rotation in the intensive care unit
(ICU). We will also compare the results of participants with prior TTE exposure
to TTE-naive trainees. Our hypothesis is that after the training, participants'
will have improved knowledge and ultrasound skills compared to before training.
Our secondary hypotheses are that TTE-naive trainees will have greater
improvements in knowledge scores compared to those who have had prior TTE
experience and trainees will increase their use of TTE in the ICU. DESIGN: Single
center, prospective trial. SETTING: Brigham and Women's Hospital (academic
hospital). PARTICIPANTS: Residents and fellows rotating through the ICU, at any
level of postgraduate training. RESULTS: Forty-two trainees participated in the
study. Statistically significant improvement after training was observed for all
multiple choice questions (MCQ) and practical assessments (p < 0.001). When
assessing the differences in score improvement between TTE-experienced versus TTE
naive users, mean score improvements were notably higher for TTE-naive
participants (MCQ: 28.2 +/- 11.6; echo clinical: 48.6 +/- 23.4) compared to TTE
experienced users (MCQ: 18.6 +/- 13.5, p = 0.01; echo clinical: 38.3 +/- 30.2, p
= 0.04). CONCLUSIONS: A short didactic presentation on TTE use may be useful in
teaching ICU trainees basic TTE skills and encouraging the use of bedside TTE in
the ICU.
PMID- 29650486
TI - A Vascular Anastomosis Simulation Can Provide a Safe and Effective Environment
for Resident Skills Development.
AB - OBJECTIVE: Vascular anastomoses are complex surgical procedures, performed in
time-sensitive circumstances, making intraoperative teaching more challenging. We
sought to evaluate whether a vascular anastomosis simulation was effective in
developing resident skills. DESIGN, SETTING, PARTICIPANTS: General surgery
residents participated in a vascular anastomosis simulation for 1 to 2hours
during their transplant rotation. An attending transplant surgeon at the
University of Colorado guided the resident through end-to-end and end-to-side
anastomoses using bovine carotid artery (Artegraft). The residents completed a
presimulation and postsimulation survey which quantitated their confidence. They
also completed the MiSSES scale, which assessed the validity of the simulation.
RESULTS: Twenty residents participated in the simulation and completed the
surveys. The residents reported increased understanding in how to set up an end
to-end anastomosis and an end-to-side anastomosis (p = 0.001 and p = 0.009,
respectively). They reported increased ability to suture, forehand and backhand
with a Castro-Viejo needle driver (both p < 0.001). The residents reported
increased ability to manipulate the needle (p = 0.006), and increased ability to
manipulate tissue without causing trauma (p = 0.021). They reported increased
confidence in tying a surgical knot with 6-0 Prolene and in operating while
wearing loupes (p = 0.002, and p < 0.001, respectively). Overall, the residents
reported increased confidence when asked to perform part of a vascular
anastomosis in the operating room (p < 0.001). Seventeen residents completed the
MiSSES scale with median scores of "somewhat agree" to "strongly agree" on all
domains of the scale. CONCLUSIONS: The use of a simple, inexpensive vascular
anastomosis simulation is an effective and safe environment to improve residents'
surgical skills and the residents felt that the simulation was valid.
PMID- 29650487
TI - Speaking up about hand hygiene failures: A vignette survey study among healthcare
professionals.
AB - BACKGROUND: Speaking up by healthcare professionals (HCPs) is an important
resource to reduce risks to patient safety. Due to complex tradeoffs, HCPs are
often reluctant to voice their concerns. A survey investigated HCPs' likelihood
to speak up. METHODS: A cross-sectional survey study among HCPs in 5 Swiss
hospitals addressed speaking-up behaviors, safety climate, and likelihood to
speak up about poor hand hygiene practice described in a vignette. Likelihood to
speak up was analyzed using a multilevel regression model. RESULTS: Of surveyed
HCPs (n = 1217), 56% reported that they would speak up to a colleague with poor
hand hygiene practice. Nurses as compared to doctors rated the situation as more
realistic (5.25 vs 4.32, P < .001), felt more discomfort with speaking up (4.00
vs 3.34, P < .001), and reported a slightly lower likelihood of speaking up (4.41
vs 4.77, P < .001). Clinical function (hierarchy) was strongly associated with
speaking-up behavior (P < .001). Higher risk of harm to the patient (P < .001)
and higher frequencies of past speaking-up behaviors (P = .006) were positively
associated with the likelihood to speak up. Higher frequencies of past
withholding voice (P = .013) and higher levels of resignation (P = .008) were
both associated with a lower likelihood to speak up. CONCLUSIONS: Infection
control interventions should empower HCPs to speak up about non-adherence with
prevention practices by addressing authority gradients and risk perceptions and
by focusing on resignation.
PMID- 29650488
TI - Comments on Multidrug-resistant acinetobacter: Risk factors and outcomes in
veterans with spinal cord injuries and disorders.
PMID- 29650489
TI - Guiding hand hygiene interventions among future healthcare workers: implications
of knowledge, attitudes, and social influences.
AB - BACKGROUND: Medical students in their clinical years play an important role in
healthcare delivery, yet poor levels of hand hygiene (HH) compliance in this
population raise the risk for propagating nosocomial infections. To date, there
has been a lack of dedicated interventions showing sustainable improvements in HH
in this population. METHODS: A multicenter, cross-sectional study was conducted
among 450 medical students in their clinical years (third to fifth years). A self
administered, pre-validated questionnaire based on the World Health
Organization's "Knowledge" and "Perception" questionnaires was used to explore HH
knowledge, attitudes, practices, and desired interventions. RESULTS: Self
reported HH compliance was found to be low (56.8%), and moderate HH knowledge
(61.8%) was observed among all study respondents. Public university students
expressed greater knowledge than students in private and semi-private
universities. Superior HH practices were associated with better individual HH
attitudes, positive perceived HH attitudes in other healthcare workers (HCWs),
and higher HH knowledge scores. The highest-rated interventions for improving HH
compliance included role-modeling by HCWs, display of "clear HH instructions,"
and "ensuring availability of hand sanitizers." CONCLUSION: Our results call for
a multifaceted approach to improve HH compliance among medical students, by
ensuring adequate HH supplies/hand sanitizers, providing HH training in
curricula, and effecting a cultural change mediated by professional modeling and
open communication.
PMID- 29650490
TI - A Klinefelter patient with an additional mitochondrial mutation: Implications for
genotype-driven treatment and mitochondrial mutational load in different tissues
and family members.
PMID- 29650492
TI - Robotically-driven orthoses exert proximal-to-distal differential recovery on the
lower limbs in children with hemiplegia, early after acquired brain injury.
AB - Robotically-driven orthoses (RDO) are promising for treating gait impairment in
children with hemiplegia after acquired brain injury (ABI). Despite this,
existing literature on the employment of RDO in ABI is scanty, and cohorts' age
spans throughout the adult age, with no specific focus on the developmental age.
We aim to compare a treatment solely based on conventional physiotherapy (CP)
with a program combining RDO training with CP, and to examine the effect of time
following ABI on recovery. A prospective two-cohorts study was conducted in a
rehabilitation hospital. Post-acute and chronic children with hemiplegia due to
ABI underwent either: (i) 20 sessions of RDO plus 20 sessions of CP (n = 29), or
(ii) 40 of CP (n = 12). Gross Motor Function Measures (GMFM), Functional
Assessment Questionnaire (FAQ), 6 Minutes Walk Test and gait analysis (GA)
parameters were recorded before and after training. Over all the patients in RDO
+ CP group, all GMFM domains and FAQ improved after RDO training (p < 0.05). The
unaffected limb showed significantly decreased stance, increased step length and
reduced anteroposterior center of pressure oscillation; the affected side
increased the stride length. ROM hip and knee flex-extension increased
bilaterally (p < 0.05 for all). RDO training during the acute/subacute post
injury phase increased motor functional abilities, cadence and velocity of gait
(p < 0.05). We conclude that RDO imposes a proximal-to-distal differential effect
on the lower limbs, with the hip joint being the most stimulated. RDO training
fostered recovery, increasing the quality of gait on the unaffected side.
Planning RDO early in the rehabilitation course of pediatric ABI is advantageous.
RDO + CP may extend rehabilitation efficacy to the proximal segment of leg and to
gait velocity.
PMID- 29650493
TI - Exposure to Coxiella burnetii and risk of non-Hodgkin lymphoma: a retrospective
population-based analysis in the Netherlands.
AB - BACKGROUND: An association between Coxiella burnetii and non-Hodgkin lymphoma has
been suggested. After a large Q fever epidemic in the Netherlands (2007-10), we
postulated that the incidence of non-Hodgkin lymphoma would be increased during
and after the epidemic in areas with a high endemicity of Q fever compared with
those with low endemicity. METHODS: We did a retrospective population-based
analysis and calculated relative risks (RRs) of non-Hodgkin lymphoma during 1
year periods before, during, and after the Q fever epidemic, for areas with
intermediate and high endemicity of Q fever compared with low endemic areas. We
also calculated the RR of non-Hodgkin lymphoma in people with chronic Q fever
compared with the general population. FINDINGS: Between Jan 1, 2002, and Dec 31,
2013, 48 760 cases of non-Hodgkin lymphoma were diagnosed. The incidence of non
Hodgkin lymphoma ranged from 21.4 per 100 000 per year in 2002 to 26.7 per 100
000 per year in 2010. A significant association with non-Hodgkin lymphoma was
noted in 2009 for areas with a high endemicity of Q fever compared with low
endemic areas (RR 1.16, 95% CI 1.02-1.33; p=0.029); no further associations were
noted in any other year or for areas with intermediate Q fever endemicity. Among
439 individuals with chronic Q fever, five developed non-Hodgkin lymphoma,
yielding a crude absolute risk of 301.0 cases per 100 000 per year (RR 4.99, 95%
CI 2.07-11.98; p=0.0003) compared with the general population in the Netherlands.
INTERPRETATION: These findings do not support the hypothesis that Q fever has a
relevant causal role in the development of non-Hodgkin lymphoma. Several
limitations, inherent to the design of this study, might lead to both
underestimation and overestimation of the studied association. FUNDING:
Foundation Q-support and Institut Merieux.
PMID- 29650491
TI - Fecal Microbiota Composition Drives Immune Activation in HIV-infected
Individuals.
AB - The inflammatory properties of the enteric microbiota of Human Immunodeficiency
Virus (HIV)-infected individuals are of considerable interest because of strong
evidence that bacterial translocation contributes to chronic immune activation
and disease progression. Altered enteric microbiota composition occurs with HIV
infection but whether altered microbiota composition or increased intestinal
permeability alone drives peripheral immune activation is controversial. To
comprehensively assess the inflammatory properties of HIV-associated enteric
microbiota and relate these to systemic immune activation, we developed methods
to purify whole fecal bacterial communities (FBCs) from stool for use in in vitro
immune stimulation assays with human cells. We show that the enteric microbiota
of untreated HIV-infected subjects induce significantly higher levels of
activated monocytes and T cells compared to seronegative subjects. FBCs from anti
retroviral therapy (ART)-treated HIV-infected individuals induced intermediate T
cell activation, indicating an only partial correction of adaptive immune cell
activation capacity of the microbiome with ART. In vitro activation levels
correlated with activation levels and viral load in blood and were particularly
high in individuals harboring specific gram-positive opportunistic pathogens.
Blockade experiments implicated Tumor Necrosis Factor (TNF)-alpha and Toll-Like
Receptor-2 (TLR2), which recognizes peptidoglycan, as strong mediators of T cell
activation; This may contradict a previous focus on lipopolysaccharide as a
primary mediator of chronic immune activation. These data support that increased
inflammatory properties of the enteric microbiota and not increased permeability
alone drives chronic inflammation in HIV.
PMID- 29650494
TI - Does Q fever contribute to pathogenesis of non-Hodgkin lymphoma?
PMID- 29650495
TI - A Fine doctor.
AB - I met Jonathan Fine for the first time in 2011. He was to travel back to Boston
through Mumbai after a stint in Chattisgarh, where he had volunteered with Jan
Swasthya Sahayog, the well-known rural hospital near Bilaspur. A friend suggested
that since he was a doctor who had done pioneering work by setting up the
renowned organisation Physicians for Human Rights, we should arrange a talk by
him for medical students. A lecture was thus organised at my alma mater, the GS
Medical College and KEM Hospital, where Jonathan spoke on "Why should doctors
engage with human rights?" In his characteristic blunt style, rather than talk
about his past, he exhorted the audience to visit Chattisgarh and see the severe
inequities he had witnessed.
PMID- 29650496
TI - Reporting ethical processes in the Nursing Journal of India.
AB - Nursing research is a developing field to which individuals within the profession
can contribute substantially based on their skills and practical experience of
nursing care. Both reporting of informed consent and ethical approval are key
aspects of published papers which indicate the researchers' knowledge of and
sensitivity to ethical aspects of research.
PMID- 29650497
TI - Quality of medical education: Is our health in safe hands?
AB - The medical profession, once considered a "noble profession" has been under the
scanner for deterioration in services. This decline is generally attributed to
commercialisation of services, waning human values, and a lack of empathy and
communication skills. At a time when discussions are focused on devising
approaches to test medical students for attributes such as empathy, communication
skills and concern for the less privileged, developing nations like India are
suffering from the "problem of too many". On the one hand, a skewed doctor
patient ratio in India (less than 1 doctor per 1000 people that is lower than
that prescribed by the World Health Organisation) has left medical practitioners
so overburdened, they have little time to empathise with their patients. Students
inadvertently follow their teachers and the vicious cycle continues. On the other
hand, there has been a mushrooming of commercially-run medical institutions to
overcome this shortage of doctors. Medical education has become unaffordable to
many and, very obviously, merit has taken a back seat.
PMID- 29650498
TI - Five years post Nirbhaya: Critical insights into the status of response to sexual
assault.
AB - It is five years since the fatal gang rape of Jyothi Singh (Nirbhaya), a
physiotherapy student, on December 16, 2012, in New Delhi, the capital of India.
The legal and policy reforms triggered by the Nirbhaya case will remain a
watershed moment in the history of efforts towards seeking justice for survivors
of gender-based violence in India. The Criminal Law (Amendment) Act, 2013 and the
"Guidelines and protocols: Medico-legal care for survivors/victims of sexual
violence" issued by the Ministry of Health and Family Welfare in March 2014 are
two landmark reforms. March 2018 marks four years since the issuance of these
Guidelines and five years since the Criminal Law (Amendment) Act, 2013. Any
reasonable tribute to Nirbhaya would constitute fair implementation of legal
reforms, efforts to strengthen multi-sectoral response and sincere attempts to
reduce crimes against women, gender and sexual minorities, and children. This
paper reviews the issue, through a close study of recent cases of rape, police
responses, court judgements, studies, news reporting and field-based
observations. It brings forth the gaps in implementation that persist, and
constitute a major obstacle in making these progressive policies and reforms
effective. Given the fact that the reforms are intersectoral in nature,
implementation has been particularly challenging. Lack of efficient
implementation of such policies and reforms amounts to denying survivors their
right to justice.
PMID- 29650499
TI - Evaluation of research in India - are we doing it right?
AB - The evaluation of performance in scientific research at any level - whether at
the individual, institutional, research council or country level - is not easy.
Traditionally, research evaluation at the individual and institutional levels has
depended largely on peer opinion, but with the rapid growth of science over the
last century and the availability of databases and scientometric techniques,
quantitative indicators have gained importance. Both peer review and metrics are
subject to flaws, more so in India because of the way they are used. Government
agencies, funding bodies and academic and research institutions in India suffer
from the impact factor and h-index syndrome. The uninformed use of indicators
such as average and cumulative impact factors and the arbitrary criteria
stipulated by agencies such as the University Grants Commission, Indian Council
of Medical Research and the Medical Council of India for selection and promotion
of faculty have made it difficult to distinguish good science from the bad and
the indifferent. The exaggerated importance given by these agencies to the number
of publications, irrespective of what they report, has led to an ethical crisis
in scholarly communication and the reward system in science. These agencies seem
to be unconcerned about the proliferation of predatory journals and conferences.
After giving examples of the bizarre use of indicators and arbitrary recruitment
and evaluation practices in India, we summarise the merits of peer review and
quantitative indicators and the evaluation practices followed elsewhere.
PMID- 29650500
TI - Zika reveals India's risk communication challenges and needs.
AB - India's approach to disseminating information about the first three cases of the
Zika virus was criticised nationally and internationally after the issue came to
light in May 2017 through a World Health Organization news release. We analyse
the incident from a risk communication perspective. This commentary recaps the
events and synthesises key arguments put forth by the news media and public
health stakeholders. We use Peter Sandman's risk = hazard + outrage framework -
also adopted by India's risk communication planners - to analyse India's risk
communication response and contextualise it against the mandate of the National
Risk Communication Plan and Integrated Disease Surveillance Programme. We
conclude with recommendations for India's risk communication policymakers,
including the need to develop capacity for risk communication research and
scholarship in the country.
PMID- 29650501
TI - Social responsibility and global health: lessons from the Rio Olympics Zika
controversy.
AB - The outbreak of Zika virus infection in the Americas and its possible association
with microcephaly raised several concerns among global health authorities
regarding the organisation of the Olympic and Paralympic Games scheduled for
August and September 2016, in the city of Rio de Janeiro, Brazil. It generated an
international controversy over the continuation of the Games with debates on the
ethical principle of social responsibility. Based on the principles of social
responsibility and health in the Universal Declaration of Bioethics and Human
Rights, the present comment ponders on the application of such principles in the
context of mega-events and global health.
PMID- 29650502
TI - Prevalence of Multiple Chronic Conditions Among Older Adults in Florida and the
United States: Comparative Analysis of the OneFlorida Data Trust and National
Inpatient Sample.
AB - BACKGROUND: Older patients with multiple chronic conditions are often faced with
increased health care needs and subsequent higher medical costs, posing
significant financial burden to patients, their caregivers, and the health care
system. The increasing adoption of electronic health record systems and the
proliferation of clinical data offer new opportunities for prevalence studies and
for population health assessment. The last few years have witnessed an increasing
number of clinical research networks focused on building large collections of
clinical data from electronic health records and claims to make it easier and
less costly to conduct clinical research. OBJECTIVE: The aim of this study was to
compare the prevalence of common chronic conditions and multiple chronic
conditions in older adults between Florida and the United States using data from
the OneFlorida Clinical Research Consortium and the Healthcare Cost and
Utilization Project (HCUP) National Inpatient Sample (NIS). METHODS: We first
analyzed the basic demographic characteristics of the older adults in 3 datasets
the 2013 OneFlorida data, the 2013 HCUP NIS data, and the combined 2012 to 2016
OneFlorida data. Then we analyzed the prevalence of each of the 25 chronic
conditions in each of the 3 datasets. We stratified the analysis of older adults
with hypertension, the most prevalent condition. Additionally, we examined trends
(ie, overall trends and then by age, race, and gender) in the prevalence of
discharge records representing multiple chronic conditions over time for the
OneFlorida (2012-2016) and HCUP NIS cohorts (2003-2013). RESULTS: The rankings of
the top 10 prevalent conditions are the same across the OneFlorida and HCUP NIS
datasets. The most prevalent multiple chronic conditions of 2 conditions among
the 3 datasets were-hyperlipidemia and hypertension; hypertension and ischemic
heart disease; diabetes and hypertension; chronic kidney disease and
hypertension; anemia and hypertension; and hyperlipidemia and ischemic heart
disease. We observed increasing trends in multiple chronic conditions in both
data sources. CONCLUSIONS: The results showed that chronic conditions and
multiple chronic conditions are prevalent in older adults across Florida and the
United States. Even though slight differences were observed, the similar
estimates of prevalence of chronic conditions and multiple chronic conditions
across OneFlorida and HCUP NIS suggested that clinical research data networks
such as OneFlorida, built from heterogeneous data sources, can provide rich data
resources for conducting large-scale secondary data analyses.
PMID- 29650503
TI - Instructional Video and Medical Student Surgical Knot-Tying Proficiency:
Randomized Controlled Trial.
AB - BACKGROUND: Many senior medical students lack simple surgical and procedural
skills such as knot tying. OBJECTIVE: The aim of this study was to determine
whether viewing a Web-based expert knot-tying training video, in addition to the
standard third-year medical student curriculum, will result in more proficient
surgical knot tying. METHODS: At the start of their obstetrics and gynecology
clerkship, 45 students were videotaped tying surgical knots for 2 minutes using a
board model. Two blinded female pelvic medicine and reconstructive surgery
physicians evaluated proficiency with a standard checklist (score range 0-16) and
anchored scoring scale (range 0-20); higher numbers represent better skill.
Students were then randomized to either (1) expert video (n=26) or (2) nonvideo
(n=24) groups. The video group was provided unlimited access to an expert knot
tying instructional video. At the completion of the clerkship, students were
again videotaped and evaluated. RESULTS: At initial evaluation, preclerkship
cumulative scores (range 0-36) on the standard checklist and anchored scale were
not significantly different between the nonvideo and video groups (mean 20.3, SD
7.1 vs mean 20.2, SD 9.2, P=.90, respectively). Postclerkship scores improved in
both the nonvideo and video groups (mean 28.4, SD 5.4, P<.001 and mean 28.7, SD
6.5, P=.004, respectively). Increased knot board practice was significantly
correlated with higher postclerkship scores on the knot-tying task, but only in
the video group (r=.47, P<.05). CONCLUSIONS: The addition of a Web-based expert
instructional video to a standard curriculum, coupled with knot board practice,
appears to have a positive impact on medical student knot-tying proficiency.
PMID- 29650504
TI - Interactive Two-Way mHealth Interventions for Improving Medication Adherence: An
Evaluation Using The Behaviour Change Wheel Framework.
AB - BACKGROUND: Medication adherence is an important but highly complex set of
behaviors, which for life-threatening and infectious diseases such as HIV carry
critical consequences for individual and public health. There is growing evidence
that mobile phone text messaging interventions (mHealth) connecting providers
with patients positively impact medication adherence, particularly two-way
engagement platforms that require bidirectional communication versus one-way in
which responses are not mandatory. However, mechanisms of action have not been
well defined. The Behavior Change Wheel is a comprehensive framework for behavior
change that includes an all-encompassing model of behavior known as Capability
Opportunity Motivation-Behavior and is complemented by a taxonomy of behavior
change techniques. Evaluating mHealth interventions for medication adherence
using these tools could provide useful insights that may contribute to optimizing
their integration into the healthcare system and successful scaling-up.
OBJECTIVE: This study aimed to help address the current knowledge gap regarding
how two-way mHealth interventions for medication adherence may work by applying
the Behavior Change Wheel to characterize WelTel: an interactive digital health
outreach platform with robust evidence for improving adherence to antiretroviral
therapy. METHODS: To characterize how WelTel may promote medication adherence, we
applied the Behavior Change Wheel to systematically (1) generate a behavioral
diagnosis through mapping known antiretroviral therapy adherence barriers onto
the Capability Opportunity Motivation-Behavior model of behavior, (2) specify the
behavior change techniques that WelTel delivers, (3) link identified behavior
change techniques to corresponding intervention functions of the Behavior Change
Wheel, and (4) connect these behavior change techniques and intervention
functions to respective Capability Opportunity Motivation-Behavior influences on
behavior to determine potential mechanisms of action. RESULTS: Our evaluation of
WelTel using the Behavior Change Wheel suggests that most of its impact is
delivered primarily through its personalized communication component, in which 8
different behavior change techniques were identified and linked with 5
intervention functions (environmental restructuring, enablement, education,
persuasion, and training). Its mechanisms of action in promoting antiretroviral
therapy adherence may involve addressing all Capability Opportunity Motivation
Behavior influences on behavior (physical and psychological capability, physical
and social opportunity, reflective and automatic motivation). CONCLUSIONS:
Systematically unpacking the potential active ingredients of effective
interventions facilitates the creation and implementation of more parsimonious,
tailored, and targeted approaches. Evaluating WelTel using the Behavior Change
Wheel has provided valuable insights into how and why such interactive two-way
mHealth interventions may produce greater impact than one-way in addressing both
nonintentional and intentional forms of nonadherence. The application of the
Behavior Change Wheel for evidence synthesis across mHealth interventions
targeting various conditions would contribute to strengthening the knowledge base
regarding how they may work to impact medication adherence behavior.
PMID- 29650505
TI - Universal Versus Conditional Third Day Follow-Up Visit for Children With
Nonsevere Unclassified Fever at the Community Level in Ethiopia: Protocol for a
Cluster Randomized Noninferiority Trial.
AB - BACKGROUND: Under the World Health Organization's integrated community case
management strategy, febrile children seen by community health workers (on day 1)
without a diagnosable illness and without danger signs are advised to return on
day 3, regardless of symptom resolution. This advice might be unnecessary and
place additional time and cost burdens on caregivers and community health
workers. However, the safety of not following up with respect to children with
unclassified fever is unknown. OBJECTIVE: The objective of this study is to
establish the safety of conditional follow-up of nonsevere unclassified fever,
that is, nonsevere illness with fever, no malaria, pneumonia, diarrhea, or danger
signs, compared with universal follow-up on day 3, through a 2-arm cluster
randomized controlled noninferiority trial. METHODS: The study is being conducted
in 3 districts in southwest Ethiopia. A total of 25 health facilities are
randomized to one of the 2 intervention arms; all 144 health posts and 284
community health workers are included. All enrolled children are followed-up
after 1 week (on day 8) for re-assessment. If still sick on day 8, additional
follow-up takes place after 2 weeks (day 15) and 1 month (day 29). To demonstrate
that there is no significant increase in the percentage of children deteriorating
clinically, the sample size needed for a noninferiority margin of 4%, a power of
80%, an alpha of 5%, and a design effect of 3 is 4284 children with unclassified
fever. Main outcome is treatment failure on day 8, defined as death,
hospitalization, one or more danger signs, or persistent fever. RESULTS: The
project was funded in 2015 and enrollment was completed 2016. Data analysis is
currently under way, and the first results are expected to be submitted for
publication in 2018. CONCLUSIONS: This study addresses the question as to whether
there is any benefit in recommending universal follow-up among children seen for
nonsevere unclassified fever, or whether parents can be counseled to return in
the event of persistent fever, using a cluster randomized controlled trial design
embedded in a national program. Outcomes will be relevant for policy makers and
are important for the evaluation of current and future World Health Organization
guidelines for the management of children with fever. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02926625; https://clinicaltrials.gov/ct2/show/NCT02926625
(Archived by WebCite at http://www.webcitation.org/6xrQWn50t).
PMID- 29650506
TI - Evaluating the Validity of Current Mainstream Wearable Devices in Fitness
Tracking Under Various Physical Activities: Comparative Study.
AB - BACKGROUND: Wearable devices have attracted much attention from the market in
recent years for their fitness monitoring and other health-related metrics;
however, the accuracy of fitness tracking results still plays a major role in
health promotion. OBJECTIVE: The aim of this study was to evaluate the accuracy
of a host of latest wearable devices in measuring fitness-related indicators
under various seminatural activities. METHODS: A total of 44 healthy subjects
were recruited, and each subject was asked to simultaneously wear 6 devices
(Apple Watch 2, Samsung Gear S3, Jawbone Up3, Fitbit Surge, Huawei Talk Band B3,
and Xiaomi Mi Band 2) and 2 smartphone apps (Dongdong and Ledongli) to measure
five major health indicators (heart rate, number of steps, distance, energy
consumption, and sleep duration) under various activity states (resting, walking,
running, cycling, and sleeping), which were then compared with the gold standard
(manual measurements of the heart rate, number of steps, distance, and sleep, and
energy consumption through oxygen consumption) and calculated to determine their
respective mean absolute percentage errors (MAPEs). RESULTS: Wearable devices had
a rather high measurement accuracy with respect to heart rate, number of steps,
distance, and sleep duration, with a MAPE of approximately 0.10, whereas poor
measurement accuracy was observed for energy consumption (calories), indicated by
a MAPE of up to 0.44. The measurements varied for the same indicator measured by
different fitness trackers. The variation in measurement of the number of steps
was the highest (Apple Watch 2: 0.42; Dongdong: 0.01), whereas it was the lowest
for heart rate (Samsung Gear S3: 0.34; Xiaomi Mi Band 2: 0.12). Measurements
differed insignificantly for the same indicator measured under different states
of activity; the MAPE of distance and energy measurements were in the range of
0.08 to 0.17 and 0.41 to 0.48, respectively. Overall, the Samsung Gear S3
performed the best for the measurement of heart rate under the resting state
(MAPE of 0.04), whereas Dongdong performed the best for the measurement of the
number of steps under the walking state (MAPE of 0.01). Fitbit Surge performed
the best for distance measurement under the cycling state (MAPE of 0.04), and
Huawei Talk Band B3 performed the best for energy consumption measurement under
the walking state (MAPE of 0.17). CONCLUSIONS: At present, mainstream devices are
able to reliably measure heart rate, number of steps, distance, and sleep
duration, which can be used as effective health evaluation indicators, but the
measurement accuracy of energy consumption is still inadequate. Fitness trackers
of different brands vary with regard to measurement of indicators and are all
affected by the activity state, which indicates that manufacturers of fitness
trackers need to improve their algorithms for different activity states.
PMID- 29650507
TI - Recruitment of Participants and Delivery of Online Mental Health Resources for
Depressed Individuals Using Tumblr: Pilot Randomized Control Trial.
AB - BACKGROUND: Adolescents and young adults frequently post depression symptom
references on social media; previous studies show positive associations between
depression posts and self-reported depression symptoms. Depression is common
among young people and this population often experiences many barriers to mental
health care. Thus, social media may be a new resource to identify, recruit, and
intervene with young people at risk for depression. OBJECTIVE: The purpose of
this pilot study was to test a social media intervention on Tumblr. We used
social media to identify and recruit participants and to deliver the intervention
of online depression resources. METHODS: This randomized pilot intervention
identified Tumblr users age 15-23 who posted about depression using the search
term "#depress". Eligible participants were recruited via Tumblr messages;
consented participants completed depression surveys and were then randomized to
an intervention of online mental health resources delivered via a Tumblr message,
while control participants did not receive resources. Postintervention online
surveys assessed resource access and usefulness and control groups were asked
whether they would have liked to receive resources. Analyses included t tests.
RESULTS: A total of 25 participants met eligibility criteria. The mean age of the
participants was 17.5 (SD 1.9) and 65% were female with average score on the
Patient Health Questionnaire-9 of 17.5 (SD 5.9). Among the 11 intervention
participants, 36% (4/11) reported accessing intervention resources and 64% (7/11)
felt the intervention was acceptable. Among the 14 control participants, only 29%
(4/14) of reported that receiving resources online would be acceptable (P=.02).
Participants suggested anonymity and ease of use as important characteristics in
an online depression resource. CONCLUSIONS: The intervention was appropriately
targeted to young people at risk for depression, and recruitment via Tumblr was
feasible. Most participants in the intervention group felt the social media
approach was acceptable, and about a third utilized the online resources.
Participants who had not experienced the intervention were less likely to find it
acceptable. Future studies should explore this approach in larger samples. Social
media may be an appropriate platform for online depression interventions for
young people.
PMID- 29650508
TI - Why a change of diagnosis shouldn't matter . . . but it does.
PMID- 29650509
TI - Cardiovascular disease risk factor clustering in children and adolescents: a
prospective cohort study.
AB - OBJECTIVE: The early identification of predictors related to cardiovascular
disease risk factor clustering (CVD-RFC) can help prevent chronic disease. We
aimed to identify the risk factors for CVD-RFC in adolescents. METHODS: A
prospective longitudinal cohort study design was used to obtain data included in
these analyses from school-aged children who participated in the Korean Child
Adolescent Study 2008-2014. A total of 1309 children aged 6-15 years were
enrolled. We compared the participants based on the presence or absence of CVD
RFC and examined the cumulative incidence of CVD-RFC. RESULTS: Of the total 1309
children, 410 (31.32%) had CVD-RFC in adolescence. A higher average household
income >=3 million Korean Republic won (KRW)/month (3-5 million KRW/month: HR
0.75 (95% CI 0.58 to 0.97); >=5 million KRW/month: HR 0.58 (95% CI 0.44 to 0.77))
was associated with a lower CVD-RFC incidence, while the presence of parental CVD
history (HR 1.28 (95% CI 1.04 to 1.57)), overweight or obesity (HR 3.83 (95% CI
3.05 to 4.80)) and shorter sleep duration of 8-9 hour/day (HR 1.80 (95% CI 1.05
to 3.07)) and <8 hour/day (HR 1.93 (95% CI 1.11 to 3.34)) had higher CVD-RFC
incidences. CONCLUSIONS: Obesity in childhood, short sleep duration and parental
factors such as low socioeconomic status and parental history of CVD are
significant risk factors for the development of CVD-RFC in adolescents. Efforts
to create awareness regarding sufficient sleep duration in children via
intervention programmes targeting cardiometabolic health in children and special
attention to lifestyle modifications and socioeconomic components of the family
should be considered.
PMID- 29650510
TI - Assessment and support of children and adolescents with gender dysphoria.
PMID- 29650511
TI - Latest waiting time figures for emergency departments in England are worse on
record.
PMID- 29650512
TI - Updating NHS technologies: a WhatsApp-like system would improve communication.
PMID- 29650513
TI - Lyme disease: summary of NICE guidance.
PMID- 29650516
TI - Seven days in medicine: 4-10 April 2018.
PMID- 29650517
TI - Should we try to manage non-financial interests?
PMID- 29650519
TI - Whales, toxins, and a way of life.
PMID- 29650518
TI - Secretory IgM Exacerbates Tumor Progression by Inducing Accumulations of MDSCs in
Mice.
AB - Chronic lymphocytic leukemia (CLL) cells can secrete immunoglobulin M. However,
it is not clear whether secretory IgM (sIgM) plays a role in disease progression.
We crossed the EMU-TCL1 mouse model of CLL, in which the expression of human TCL1
oncogene was driven by the V(H) promoter-Ig(H)-EMU enhancer, with MD4 mice whose
B cells produced B-cell receptor (membrane-bound IgM) and sIgM with specificity
for hen egg lysozyme (HEL). CLL cells that developed in these MD4/EMU-TCL1 mice
reactivated a parental Ig gene allele and secreted IgM, and did not recognize
HEL. The MD4/EMU-TCL1 mice had reduced survival, increased myeloid-derived
suppressor cells (MDSC), and decreased numbers of T cells. We tested whether sIgM
could contribute to the accumulation of MDSCs by crossing MUS-/- mice, which
could not produce sIgM, with EMU-TCL1 mice. The MUS-/-/EMU-TCL1 mice survived
longer than EMU-TCL1 mice and developed decreased numbers of MDSCs which were
less able to suppress proliferation of T cells. We targeted the synthesis of sIgM
by deleting the function of XBP-1s and showed that targeting XBP-1s genetically
or pharmacologically could lead to decreased sIgM, accompanied by decreased
numbers and reduced functions of MDSCs in MD4/EMU-TCL1 mice. Additionally, MDSCs
from MUS-/- mice grafted with Lewis lung carcinoma were inefficient suppressors
of T cells, resulting in slower tumor growth. These results demonstrate that sIgM
produced by B cells can upregulate the functions of MDSCs in tumor-bearing mice
to aggravate cancer progression. In a mouse model of CLL, production of secretory
IgM led to more MDSCs, fewer T cells, and shorter survival times for the mice.
Thus, secretory IgM may aggravate the progression of this cancer. Cancer Immunol
Res; 6(6); 696-710. (c)2018 AACR.
PMID- 29650520
TI - Alarming underutilisation of rehabilitation in athletes with anterior cruciate
ligament reconstruction: four ways to change the game.
PMID- 29650521
TI - Infographic. The effect of high-speed running on hamstring strain injury risk.
PMID- 29650522
TI - Medical schools should be prioritising nutrition and lifestyle education.
PMID- 29650523
TI - The enigma of rotator cuff tears and the case for uncertainty.
PMID- 29650524
TI - ERF deletion rescues RAS deficiency in mouse embryonic stem cells.
AB - MEK inhibition in combination with a glycogen synthase kinase-3beta (GSK3beta)
inhibitor, referred as the 2i condition, favors pluripotency in embryonic stem
cells (ESCs). However, the mechanisms by which the 2i condition limits ESC
differentiation and whether RAS proteins are involved in this phenomenon remain
poorly understood. Here we show that RAS nullyzygosity reduces the growth of
mouse ESCs (mESCs) and prohibits their differentiation. Upon RAS deficiency or
MEK inhibition, ERF (E twenty-six 2 [Ets2]-repressive factor), a transcriptional
repressor from the ETS domain family, translocates to the nucleus, where it binds
to the enhancers of pluripotency factors and key RAS targets. Remarkably,
deletion of Erf rescues the proliferative defects of RAS-devoid mESCs and
restores their capacity to differentiate. Furthermore, we show that Erf loss
enables the development of RAS nullyzygous teratomas. In summary, this work
reveals an essential role for RAS proteins in pluripotency and identifies ERF as
a key mediator of the response to RAS/MEK/ERK inhibition in mESCs.
PMID- 29650525
TI - Flushing Disorders Associated with Gastrointestinal Symptoms: Part 1,
Neuroendocrine Tumors, Mast Cell Disorders and Hyperbasophila.
AB - Flushing is the subjective sensation of warmth accompanied by visible cutaneous
erythema occurring throughout the body with a predilection for the face, neck,
pinnae, and upper trunk where the skin is thinnest and cutaneous vessels are
superficially located and in greatest numbers. Flushing can be present in either
a wet or dry form depending upon whether neural-mediated mechanisms are involved.
Activation of the sympathetic nervous system results in wet flushing, accompanied
by diaphoresis, due to concomitant stimulation of eccrine sweat glands. Wet
flushing is caused by certain medications, panic disorder and paroxysmal extreme
pain disorder (PEPD). Vasodilator mediated flushing due to the formation and
release of a variety of biogenic amines, neuropeptides and phospholipid mediators
such as histamine, serotonin and prostaglandins, respectively, typically presents
as dry flushing where sweating is characteristically absent. Flushing occurring
with neuroendocrine tumors accompanied by gastrointestinal symptoms is generally
of the dry flushing variant, which may be an important clinical clue to the
differential diagnosis. A number of primary diseases of the gastrointestinal
tract cause flushing, and conversely extra-intestinal conditions are associated
with flushing and gastrointestinal symptoms. Gastrointestinal findings vary and
include one or more of the following non-specific symptoms such as abdominal
pain, nausea, vomiting, diarrhea or constipation. The purpose of this review is
to provide a focused comprehensive discussion on the presentation,
pathophysiology, diagnostic evaluation and management of those diseases that
arise from the gastrointestinal tract or other site that may cause
gastrointestinal symptoms secondarily accompanied by flushing. This review is
divided into two parts given the scope of conditions that cause flushing and
affect the gastrointestinal tract: Part 1 covers neuroendocrine tumors
(carcinoid, pheochromocytomas, vasoactive intestinal polypeptide, medullary
carcinoma of the thyroid), polyneuropathy, organomegaly, endocrinopathy,
monoclonal protein, skin changes (POEMS), and conditions involving mast cells and
basophils; while Part 2 covers dumping syndrome, mesenteric traction syndrome,
rosacea, hyperthyroidism and thyroid storm, anaphylaxis, panic disorders,
paroxysmal extreme pain disorder, and food, alcohol and medications.
PMID- 29650527
TI - Brief guided parent-delivered CBT is both efficacious andcost-effective for
childhood anxiety disorders.
PMID- 29650526
TI - Flushing Disorders Associated with Gastrointestinal Symptoms: Part 2, Systemic
Miscellaneous Conditions.
AB - Flushing disorders with involvement of the gastrointestinal tract represent a
heterogeneous group of conditions. In part 1 of this review series,
neuroendocrine tumors (NET), mast cell activation disorders (MCAD), and
hyperbasophilia were discussed. In this section we discuss the remaining flushing
disorders which primarily or secondarily involve the gastrointestinal tract. This
includes dumping syndrome, mesenteric traction syndrome, rosacea, hyperthyroidism
and thyroid storm, anaphylaxis, panic disorders, paroxysmal extreme pain
disorder, and food, alcohol and medications. With the exception of paroxysmal
pain disorders, panic disorders and some medications, these disorders presents
with dry flushing. A detailed and comprehensive family, social, medical and
surgical history, as well as recognizing the presence of other systemic symptoms
are important in distinguishing the different disease that cause flushing with
gastrointestinal symptoms.
PMID- 29650529
TI - Put science first and formatting later.
PMID- 29650528
TI - Practical guide to the meta-analysis of rare events.
AB - OBJECTIVE: Meta-analysing studies with low event rates is challenging as some of
the standard methods for meta-analysis are not well suited to handle rare
outcomes. This is more evident when some studies have zero events in one or both
treatment groups. In this article, we discuss why rare events require special
attention in meta-analysis, we present an overview of some approaches suitable
for meta-analysing rare events and we provide practical recommendations for their
use. METHODS: We go through several models suggested in the literature for
performing a rare events meta-analysis, highlighting their respective advantages
and limitations. We illustrate these models using a published example from mental
health. We provide the software code needed to perform all analyses in the
appendix. RESULTS: Different methods may give different results, and using a
suboptimal approach may lead to erroneous conclusions. When data are very sparse,
the choice between the available methods may have a large impact on the results.
Methods that use the so-called continuity correction (eg, adding 0.5 to the
number of events and non-events in studies with zero events in one treatment
group) may lead to biased estimates. CONCLUSIONS: Researchers should define the
primary analysis a priori, in order to avoid selective reporting. A sensitivity
analysis using a range of methods should be used to assess the robustness of
results. Suboptimal methods such as using a continuity correction should be
avoided.
PMID- 29650530
TI - Synthetic gene drive: between continuity and novelty: Crucial differences between
gene drive and genetically modified organisms require an adapted risk assessment
for their use.
PMID- 29650532
TI - Tumor Cells Metastasize from Lymph Nodes.
AB - Two mouse studies have found that tumor cells can metastasize via the lymph
nodes. One study demonstrated that cancer cells from lymph-node metastases
accounted for most cells in lung metastases. The other study found that tumor
cells can spread from the lymph nodes to the lungs by entering the bloodstream.
PMID- 29650531
TI - beta-catenin-activated hepatocellular carcinomas are addicted to fatty acids.
AB - OBJECTIVES: CTNNB1-mutated hepatocellular carcinomas (HCCs) constitute a major
part of human HCC and are largely inaccessible to target therapy. Yet, little is
known about the metabolic reprogramming induced by beta-catenin oncogenic
activation in the liver. We aimed to decipher such reprogramming and assess
whether it may represent a new avenue for targeted therapy of CTNNB1-mutated HCC.
DESIGN: We used mice with hepatocyte-specific oncogenic activation of beta
catenin to evaluate metabolic reprogramming using metabolic fluxes on tumourous
explants and primary hepatocytes. We assess the role of Pparalpha in knock-out
mice and analysed the consequences of fatty acid oxidation (FAO) using etomoxir.
We explored the expression of the FAO pathway in an annotated human HCC dataset.
RESULTS: beta-catenin-activated HCC were not glycolytic but intensively oxidised
fatty acids. We found that Pparalpha is a beta-catenin target involved in FAO
metabolic reprograming. Deletion of Pparalpha was sufficient to block the
initiation and progression of beta-catenin-dependent HCC development. FAO was
also enriched in human CTNNB1-mutated HCC, under the control of the transcription
factor PPARalpha. CONCLUSIONS: FAO induced by beta-catenin oncogenic activation
in the liver is the driving force of the beta-catenin-induced HCC. Inhibiting FAO
by genetic and pharmacological approaches blocks HCC development, showing that
inhibition of FAO is a suitable therapeutic approach for CTNNB1-mutated HCC.
PMID- 29650533
TI - Early-Career Scientists Advocate for Funding on Capitol Hill.
AB - Congress recently approved a $3 billion NIH budget increase for fiscal year 2018,
the third year of a significant increase after a period of flat or declining
funding, but early-career scientists deciding whether to pursue a career in
research need stable, long-term funding over many years. Some of these
investigators traveled to Capitol Hill last month and met with members of
Congress and their staffs to advocate for sustainable, reliable government
research funding.
PMID- 29650535
TI - WNKs on the Fly.
PMID- 29650534
TI - Sequential ALK Inhibitors Can Select for Lorlatinib-Resistant Compound ALK
Mutations in ALK-Positive Lung Cancer.
AB - The cornerstone of treatment for advanced ALK-positive lung cancer is sequential
therapy with increasingly potent and selective ALK inhibitors. The third
generation ALK inhibitor lorlatinib has demonstrated clinical activity in
patients who failed previous ALK inhibitors. To define the spectrum of ALK
mutations that confer lorlatinib resistance, we performed accelerated mutagenesis
screening of Ba/F3 cells expressing EML4-ALK. Under comparable conditions, N
ethyl-N-nitrosourea (ENU) mutagenesis generated numerous crizotinib-resistant but
no lorlatinib-resistant clones harboring single ALK mutations. In similar screens
with EML4-ALK containing single ALK resistance mutations, numerous lorlatinib
resistant clones emerged harboring compound ALK mutations. To determine the
clinical relevance of these mutations, we analyzed repeat biopsies from
lorlatinib-resistant patients. Seven of 20 samples (35%) harbored compound ALK
mutations, including two identified in the ENU screen. Whole-exome sequencing in
three cases confirmed the stepwise accumulation of ALK mutations during
sequential treatment. These results suggest that sequential ALK inhibitors can
foster the emergence of compound ALK mutations, identification of which is
critical to informing drug design and developing effective therapeutic
strategies.Significance: Treatment with sequential first-, second-, and third
generation ALK inhibitors can select for compound ALK mutations that confer high
level resistance to ALK-targeted therapies. A more efficacious long-term strategy
may be up-front treatment with a third-generation ALK inhibitor to prevent the
emergence of on-target resistance. Cancer Discov; 8(6); 714-29. (c)2018 AACR.This
article is highlighted in the In This Issue feature, p. 663.
PMID- 29650536
TI - Repairing the GBM Step by Step.
PMID- 29650537
TI - The Quest for Better Biomarkers of Bone Turnover in CKD.
PMID- 29650538
TI - Kir Channel Blockages by Proflavine Derivatives via Multiple Modes of
Interaction.
AB - Many compounds inhibit tetrameric and pseudo-tetrameric cation channels by
associating with the central cavity located in the middle of the membrane plane.
They traverse the ion conduction pathway from the intracellular side and through
access to the cavity. Previously, we reported that the bacteriostatic agent,
proflavine, preferentially blocked a subset of inward rectifier K+ (Kir)
channels. However, the development of the inhibition of Kir1.1 by the compound
was obviously different from that operating in Kir3.2 as a pore blocker. To gain
mechanistic insights into the compound-channel interaction, we analyzed its
chemical specificity, subunit selectivity, and voltage dependency using 13
different combinations of Kir-channel family members and 11 proflavine
derivatives. The Kir-channel family members were classified into three groups: 1)
Kir2.2, Kir3.x, Kir4.2, and Kir6.2Delta36, which exhibited Kir3.2-type inhibition
(slow onset and recovery, irreversible, and voltage-dependent blockage); 2)
Kir1.1 and Kir4.1/Kir5.1 (prompt onset and recovery, reversible, and voltage
independent blockage); and 3) Kir2.1, Kir2.3, Kir4.1, and Kir7.1 (no response).
The degree of current inhibition depended on the combination of compounds and
channels. Chimera between proflavine-sensitive Kir1.1 and -insensitive Kir4.1
revealed that the extracellular portion of Kir1.1 is crucial for the recognition
of the proflavine derivative acrinol. In conclusion, preferential blockage of Kir
channel family members by proflavine derivatives is based on multiple modes of
action. This raises the possibility of designing subunit-specific inhibitors.
PMID- 29650539
TI - A call for more science in forensic science.
AB - Forensic science is critical to the administration of justice. The discipline of
forensic science is remarkably complex and includes methodologies ranging from
DNA analysis to chemical composition to pattern recognition. Many forensic
practices developed under the auspices of law enforcement and were vetted
primarily by the legal system rather than being subjected to scientific scrutiny
and empirical testing. Beginning in the 1990s, exonerations based on DNA-related
methods revealed problems with some forensic disciplines, leading to calls for
major reforms. This process generated a National Academy of Science report in
2009 that was highly critical of many forensic practices and eventually led to
the establishment of the National Commission for Forensic Science (NCFS) in 2013.
The NCFS was a deliberative body that catalyzed communication between nonforensic
scientists, forensic scientists, and other stakeholders in the legal community.
In 2017, despite continuing problems with forensic science, the Department of
Justice terminated the NCFS. Just when forensic science needs the most support,
it is getting the least. We urge the larger scientific community to come to the
aid of our forensic colleagues by advocating for urgently needed research,
testing, and financial support.
PMID- 29650541
TI - FDA places "unique" restrictions on contraceptive implant Essure.
PMID- 29650540
TI - Next-Generation Sequencing of the Complete Mitochondrial Genome of the Endangered
Species Black Lion Tamarin Leontopithecus chrysopygus (Primates) and Mitogenomic
Phylogeny Focusing on the Callitrichidae Family.
AB - We describe the complete mitochondrial genome sequence of the Black Lion Tamarin,
an endangered primate species endemic to the Atlantic Rainforest of Brazil. We
assembled the Leontopithecus chrysopygus mitogenome, through analysis of 523M
base pairs (bp) of short reads produced by next-generation sequencing (NGS) on
the Illumina Platform, and investigated the presence of nuclear mitochondrial
pseudogenes and heteroplasmic sites. Additionally, we conducted phylogenetic
analyses using all complete mitogenomes available for primates until June 2017.
The single circular mitogenome of BLT showed organization and arrangement that
are typical for other vertebrate species, with a total of 16618 bp, containing 13
protein-coding genes, 22 transfer RNA genes, 2 ribosomal RNA genes, and 1 non
coding region (D-loop region). Our full phylogenetic tree is based on the most
comprehensive mitogenomic dataset for Callitrichidae species to date, adding new
data for the Leontopithecus genus, and discussing previous studies performed on
primates. Moreover, the mitochondrial genome reported here consists of a robust
mitogenome with 3000X coverage, which certainly will be useful for further
phylogenetic and evolutionary analyses of Callitrichidae and higher taxa.
PMID- 29650542
TI - An incidental finding on a knee radiograph.
PMID- 29650543
TI - RBM20 Mutations Induce an Arrhythmogenic Dilated Cardiomyopathy Related to
Disturbed Calcium Handling.
AB - BACKGROUND: Mutations in RBM20 (RNA-binding motif protein 20) cause a clinically
aggressive form of dilated cardiomyopathy, with an increased risk of malignant
ventricular arrhythmias. RBM20 is a splicing factor that targets multiple pivotal
cardiac genes, such as Titin (TTN) and CAMK2D (calcium/calmodulin-dependent
kinase II delta). Aberrant TTN splicing is thought to be the main determinant of
RBM20-induced dilated cardiomyopathy, but is not likely to explain the increased
risk of arrhythmias. Here, we investigated the extent to which RBM20 mutation
carriers have an increased risk of arrhythmias and explore the underlying
molecular mechanism. METHODS: We compared clinical characteristics of RBM20 and
TTN mutation carriers and used our previously generated Rbm20 knockout (KO) mice
to investigate downstream effects of Rbm20-dependent splicing. Cellular
electrophysiology and Ca2+ measurements were performed on isolated cardiomyocytes
from Rbm20 KO mice to determine the intracellular consequences of reduced Rbm20
levels. RESULTS: Sustained ventricular arrhythmias were more frequent in human
RBM20 mutation carriers than in TTN mutation carriers (44% versus 5%,
respectively, P=0.006). Splicing events that affected Ca2+- and ion-handling
genes were enriched in Rbm20 KO mice, most notably in the genes CamkIIdelta and
RyR2. Aberrant splicing of CamkIIdelta in Rbm20 KO mice resulted in a remarkable
shift of CamkIIdelta toward the delta-A isoform that is known to activate the L
type Ca2+ current ( ICa,L). In line with this, we found an increased ICa,L,
intracellular Ca2+ overload and increased sarcoplasmic reticulum Ca2+ content in
Rbm20 KO myocytes. In addition, not only complete loss of Rbm20, but also
heterozygous loss of Rbm20 increased spontaneous sarcoplasmic reticulum Ca2+
releases, which could be attenuated by treatment with the ICa,L antagonist
verapamil. CONCLUSIONS: We show that loss of Rbm20 disturbs Ca2+ handling and
leads to more proarrhythmic Ca2+ releases from the sarcoplasmic reticulum.
Patients that carry a pathogenic RBM20 mutation have more ventricular arrhythmias
despite a similar left ventricular function, in comparison with patients with a
TTN mutation. Our experimental data suggest that RBM20 mutation carriers may
benefit from treatment with an ICa,L blocker to reduce their arrhythmia burden.
PMID- 29650544
TI - Evaluation and Management of Right-Sided Heart Failure: A Scientific Statement
From the American Heart Association.
AB - BACKGROUND AND PURPOSE: The diverse causes of right-sided heart failure (RHF)
include, among others, primary cardiomyopathies with right ventricular (RV)
involvement, RV ischemia and infarction, volume loading caused by cardiac lesions
associated with congenital heart disease and valvular pathologies, and pressure
loading resulting from pulmonic stenosis or pulmonary hypertension from a variety
of causes, including left-sided heart disease. Progressive RV dysfunction in
these disease states is associated with increased morbidity and mortality. The
purpose of this scientific statement is to provide guidance on the assessment and
management of RHF. METHODS: The writing group used systematic literature reviews,
published translational and clinical studies, clinical practice guidelines, and
expert opinion/statements to summarize existing evidence and to identify areas of
inadequacy requiring future research. The panel reviewed the most relevant adult
medical literature excluding routine laboratory tests using MEDLINE, EMBASE, and
Web of Science through September 2017. The document is organized and classified
according to the American Heart Association to provide specific suggestions,
considerations, or reference to contemporary clinical practice recommendations.
RESULTS: Chronic RHF is associated with decreased exercise tolerance, poor
functional capacity, decreased cardiac output and progressive end-organ damage
(caused by a combination of end-organ venous congestion and underperfusion), and
cachexia resulting from poor absorption of nutrients, as well as a systemic
proinflammatory state. It is the principal cause of death in patients with
pulmonary arterial hypertension. Similarly, acute RHF is associated with
hemodynamic instability and is the primary cause of death in patients presenting
with massive pulmonary embolism, RV myocardial infarction, and postcardiotomy
shock associated with cardiac surgery. Functional assessment of the right side of
the heart can be hindered by its complex geometry. Multiple hemodynamic and
biochemical markers are associated with worsening RHF and can serve to guide
clinical assessment and therapeutic decision making. Pharmacological and
mechanical interventions targeting isolated acute and chronic RHF have not been
well investigated. Specific therapies promoting stabilization and recovery of RV
function are lacking. CONCLUSIONS: RHF is a complex syndrome including diverse
causes, pathways, and pathological processes. In this scientific statement, we
review the causes and epidemiology of RV dysfunction and the pathophysiology of
acute and chronic RHF and provide guidance for the management of the associated
conditions leading to and caused by RHF.
PMID- 29650546
TI - Aortic Stenosis: Then and Now.
PMID- 29650545
TI - Regulation of Blood Pressure by Targeting CaV1.2-Galectin-1 Protein Interaction.
AB - BACKGROUND: L-type CaV1.2 channels play crucial roles in the regulation of blood
pressure. Galectin-1 (Gal-1) has been reported to bind to the I-II loop of CaV1.2
channels to reduce their current density. However, the mechanistic understanding
for the downregulation of CaV1.2 channels by Gal-1 and whether Gal-1 plays a
direct role in blood pressure regulation remain unclear. METHODS: In vitro
experiments involving coimmunoprecipitation, Western blot, patch-clamp
recordings, immunohistochemistry, and pressure myography were used to evaluate
the molecular mechanisms by which Gal-1 downregulates CaV1.2 channel in
transfected, human embryonic kidney 293 cells, smooth muscle cells, arteries from
Lgasl1-/- mice, rat, and human patients. In vivo experiments involving the
delivery of Tat-e9c peptide and AAV5-Gal-1 into rats were performed to
investigate the effect of targeting CaV1.2-Gal-1 interaction on blood pressure
monitored by tail-cuff or telemetry methods. RESULTS: Our study reveals that Gal
1 is a key regulator for proteasomal degradation of CaV1.2 channels. Gal-1
competed allosterically with the CaVbeta subunit for binding to the I-II loop of
the CaV1.2 channel. This competitive disruption of CaVbeta binding led to CaV1.2
degradation by exposing the channels to polyubiquitination. It is notable that we
demonstrated that the inverse relationship of reduced Gal-1 and increased CaV1.2
protein levels in arteries was associated with hypertension in hypertensive rats
and patients, and Gal-1 deficiency induces higher blood pressure in mice because
of the upregulated CaV1.2 protein level in arteries. To directly regulate blood
pressure by targeting the CaV1.2-Gal-1 interaction, we administered Tat-e9c, a
peptide that competed for binding of Gal-1 by a miniosmotic pump, and this
specific disruption of CaV1.2-Gal-1 coupling increased smooth muscle CaV1.2
currents, induced larger arterial contraction, and caused hypertension in rats.
In contrasting experiments, overexpression of Gal-1 in smooth muscle by a single
bolus of AAV5-Gal-1 significantly reduced blood pressure in spontaneously
hypertensive rats. CONCLUSIONS: We have defined molecularly that Gal-1 promotes
CaV1.2 degradation by replacing CaVbeta and thereby exposing specific lysines for
polyubiquitination and by masking I-II loop endoplasmic reticulum export signals.
This mechanistic understanding provided the basis for targeting CaV1.2-Gal-1
interaction to demonstrate clearly the modulatory role that Gal-1 plays in
regulating blood pressure, and offering a potential approach for therapeutic
management of hypertension.
PMID- 29650547
TI - In vivo growth of 60 non-screening detected lung cancers: a computed tomography
study.
AB - Current pulmonary nodule management guidelines are based on nodule volume
doubling time, which assumes exponential growth behaviour. However, this is a
theory that has never been validated in vivo in the routine-care target
population. This study evaluates growth patterns of untreated solid and subsolid
lung cancers of various histologies in a non-screening setting.Growth behaviour
of pathology-proven lung cancers from two academic centres that were imaged at
least three times before diagnosis (n=60) was analysed using dedicated software.
Random-intercept random-slope mixed-models analysis was applied to test which
growth pattern most accurately described lung cancer growth. Individual growth
curves were plotted per pathology subgroup and nodule type.We confirmed that
growth in both subsolid and solid lung cancers is best explained by an
exponential model. However, subsolid lesions generally progress slower than solid
ones. Baseline lesion volume was not related to growth, indicating that smaller
lesions do not grow slower compared to larger ones.By showing that lung cancer
conforms to exponential growth we provide the first experimental basis in the
routine-care setting for the assumption made in volume doubling time analysis.
PMID- 29650548
TI - To track or not to track: wheeze phenotypes in preschool children.
PMID- 29650549
TI - The disease model: implications for clinical practice.
PMID- 29650550
TI - Chronic breathlessness: re-thinking the symptom.
PMID- 29650552
TI - Hackathons as a means of accelerating scientific discoveries and knowledge
transfer.
AB - Scientific research plays a key role in the advancement of human knowledge and
pursuit of solutions to important societal challenges. Typically, research occurs
within specific institutions where data are generated and subsequently analyzed.
Although collaborative science bringing together multiple institutions is now
common, in such collaborations the analytical processing of the data is often
performed by individual researchers within the team, with only limited internal
oversight and critical analysis of the workflow prior to publication. Here, we
show how hackathons can be a means of enhancing collaborative science by enabling
peer review before results of analyses are published by cross-validating the
design of studies or underlying data sets and by driving reproducibility of
scientific analyses. Traditionally, in data analysis processes, data generators
and bioinformaticians are divided and do not collaborate on analyzing the data.
Hackathons are a good strategy to build bridges over the traditional divide and
are potentially a great agile extension to the more structured collaborations
between multiple investigators and institutions.
PMID- 29650551
TI - HNRNPA1 promotes recognition of splice site decoys by U2AF2 in vivo.
AB - Alternative pre-mRNA splicing plays a major role in expanding the transcript
output of human genes. This process is regulated, in part, by the interplay of
trans-acting RNA binding proteins (RBPs) with myriad cis-regulatory elements
scattered throughout pre-mRNAs. These molecular recognition events are critical
for defining the protein-coding sequences (exons) within pre-mRNAs and directing
spliceosome assembly on noncoding regions (introns). One of the earliest events
in this process is recognition of the 3' splice site (3'ss) by U2 small nuclear
RNA auxiliary factor 2 (U2AF2). Splicing regulators, such as the heterogeneous
nuclear ribonucleoprotein A1 (HNRNPA1), influence spliceosome assembly both in
vitro and in vivo, but their mechanisms of action remain poorly described on a
global scale. HNRNPA1 also promotes proofreading of 3'ss sequences though a
direct interaction with the U2AF heterodimer. To determine how HNRNPA1 regulates
U2AF-RNA interactions in vivo, we analyzed U2AF2 RNA binding specificity using
individual-nucleotide resolution crosslinking immunoprecipitation (iCLIP) in
control and HNRNPA1 overexpression cells. We observed changes in the distribution
of U2AF2 crosslinking sites relative to the 3'ss of alternative cassette exons
but not constitutive exons upon HNRNPA1 overexpression. A subset of these events
shows a concomitant increase of U2AF2 crosslinking at distal intronic regions,
suggesting a shift of U2AF2 to "decoy" binding sites. Of the many noncanonical
U2AF2 binding sites, Alu-derived RNA sequences represented one of the most
abundant classes of HNRNPA1-dependent decoys. We propose that one way HNRNPA1
regulates exon definition is to modulate the interaction of U2AF2 with decoy or
bona fide 3'ss.
PMID- 29650554
TI - Pulmonary alveolar proteinosis and Mycobacterium abscessus lung infection related
to ruxolitinib after allogeneic stem cell transplantation.
PMID- 29650555
TI - Noninfectious lung complications after allogeneic haematopoietic stem cell
transplantation.
AB - Epidemiological data on late-onset noninfectious pulmonary complications
(LONIPCs) following allogeneic haematopoietic stem cell transplantation (HSCT)
are derived exclusively from retrospective studies and are conflicting. We aimed
to evaluate prospectively the incidence, risk factors and outcomes for
LONIPCs.All consecutive patients scheduled to receive allogeneic HSCT between
2006 and 2008 at a university teaching hospital in France were screened for
inclusion in the study. Eligible patients were those surviving at day 100. Among
243 screened patients, 198 patients were included in the analysis. The median
(interquartile range) follow-up was 72.3 (15.2-88.5) months. 55 LONIPCs were
diagnosed in 43 patients. Bronchiolitis obliterans syndrome (n=22) and
interstitial lung disease (n=12) were the most common LONIPCs. At 36 months after
inclusion, the estimated cumulative incidence of LONIPCs was 19.8% (95% CI 14.2
25.3%). The estimated median survival after the diagnosis of LONIPCs was 78.5
months (95% CI 20.0-not reached). Based on a multivariate Cox model, a history of
chest irradiation anytime prior to HSCT, a history of pneumonia within 100 days
post-HSCT and a low mean forced expiratory flow at 25-75% of forced vital
capacity at day 100 were associated with the development of LONIPCs.Our data
provide clues to identify patients at high risk of developing LONIPCs. These
patients should be targeted for close monitoring to provide earlier LONIPC
treatment or prophylactic treatment.
PMID- 29650553
TI - Enduring epigenetic landmarks define the cancer microenvironment.
AB - The growth and progression of solid tumors involves dynamic cross-talk between
cancer epithelium and the surrounding microenvironment. To date, molecular
profiling has largely been restricted to the epithelial component of tumors;
therefore, features underpinning the persistent protumorigenic phenotype of the
tumor microenvironment are unknown. Using whole-genome bisulfite sequencing, we
show for the first time that cancer-associated fibroblasts (CAFs) from localized
prostate cancer display remarkably distinct and enduring genome-wide changes in
DNA methylation, significantly at enhancers and promoters, compared to
nonmalignant prostate fibroblasts (NPFs). Differentially methylated regions
associated with changes in gene expression have cancer-related functions and
accurately distinguish CAFs from NPFs. Remarkably, a subset of changes is shared
with prostate cancer epithelial cells, revealing the new concept of tumor
specific epigenome modifications in the tumor and its microenvironment. The
distinct methylome of CAFs provides a novel epigenetic hallmark of the cancer
microenvironment and promises new biomarkers to improve interpretation of
diagnostic samples.
PMID- 29650556
TI - Early experience with delamanid-containing regimens in the treatment of
complicated multidrug-resistant tuberculosis in Hong Kong.
PMID- 29650557
TI - Sputum proteomics and airway cell transcripts of current and ex-smokers with
severe asthma in U-BIOPRED: an exploratory analysis.
AB - Severe asthma patients with a significant smoking history have airflow
obstruction with reported neutrophilia. We hypothesise that multi-omic analysis
will enable the definition of smoking and ex-smoking severe asthma molecular
phenotypes.The U-BIOPRED cohort of severe asthma patients, containing current
smokers (CSA), ex-smokers (ESA), nonsmokers and healthy nonsmokers was examined.
Blood and sputum cell counts, fractional exhaled nitric oxide and spirometry were
obtained. Exploratory proteomic analysis of sputum supernatants and
transcriptomic analysis of bronchial brushings, biopsies and sputum cells was
performed.Colony-stimulating factor (CSF)2 protein levels were increased in CSA
sputum supernatants, with azurocidin 1, neutrophil elastase and CXCL8 upregulated
in ESA. Phagocytosis and innate immune pathways were associated with neutrophilic
inflammation in ESA. Gene set variation analysis of bronchial epithelial cell
transcriptome from CSA showed enrichment of xenobiotic metabolism, oxidative
stress and endoplasmic reticulum stress compared to other groups. CXCL5 and
matrix metallopeptidase 12 genes were upregulated in ESA and the epithelial
protective genes, mucin 2 and cystatin SN, were downregulated.Despite little
difference in clinical characteristics, CSA were distinguishable from ESA
subjects at the sputum proteomic level, with CSA patients having increased CSF2
expression and ESA patients showing sustained loss of epithelial barrier
processes.
PMID- 29650559
TI - Care in Chronic Obstructive Lung Disease (CAROL): a randomised trial in general
practice.
AB - Disease management of chronic obstructive pulmonary disease (COPD) is complex and
shortcomings in general practice care for COPD are common. A care bundle is a
disease management aid used as a reminder and for steering specific elements of
care. Our objectives were to test whether a COPD care bundle delivered to general
practitioners (GPs) and practice assistants increases the implementation of key
elements of COPD care.The study was a cluster-randomised clinical trial, with 1:1
randomisation of GPs and a 1-year follow-up. The intervention introduced a COPD
care bundle and aimed at enhancing collaboration between GPs and practice
assistants. The control group continued usual care. The primary outcome measure
was the composite score from nine key elements of COPD care measured at the
patient level.We enrolled 35 GPs and 216 patients with a median age of 69 years,
59% female, 69% Global Initiative for Chronic Obstructive Lung Disease group A or
B. After 1 year, the between-group difference in change of the primary outcome
measure was +2.2 (95% CI +1.5- +2.9) in favour of the intervention group. The
intervention was associated with significantly higher implementation rates in
seven out of nine key elements of care.Disease management using a COPD care
bundle increased the implementation of key elements of COPD care in general
practice.
PMID- 29650560
TI - Countrywide implementation of whole genome sequencing: an opportunity to improve
tuberculosis management, surveillance and contact tracing in low incidence
countries.
PMID- 29650558
TI - Low-dose computed tomography for the diagnosis of pneumonia in elderly patients:
a prospective, interventional cohort study.
AB - The diagnosis of pneumonia is challenging. Our objective was to assess whether
low-dose computed tomography (LDCT) modified the probability of diagnosing
pneumonia in elderly patients.We prospectively included patients aged over 65
years with a suspicion of pneumonia treated with antimicrobial therapy (AT). All
patients had a chest radiograph and LDCT within 72 h of inclusion. The treating
clinician assessed the probability of pneumonia before and after the LDCT scan
using a Likert scale. An adjudication committee retrospectively rated the
probability of pneumonia and was considered as the reference for diagnosis. The
main outcome was the difference in the clinician's pneumonia probability
estimates before and after LDCT and the proportion of modified diagnoses which
matched the reference diagnosis (the net reclassification improvement (NRI)).A
total of 200 patients with a median age of 84 years were included. After LDCT,
the estimated probability of pneumonia changed in 90 patients (45%), of which 60
(30%) were downgraded and 30 (15%) were upgraded. The NRI was 8% (NRI event (-6%)
+ NRI non-event (14%)).LDCT modified the estimated probability of pneumonia in a
substantial proportion of patients. It mostly helped to exclude a diagnosis of
pneumonia and hence to reduce unnecessary AT.
PMID- 29650561
TI - Meta-analysis of airway epithelium gene expression in asthma.
AB - Differential gene expression in the airway epithelium of patients with asthma
versus controls has been reported in several studies. However, there is no
consensus on which genes are reproducibly affected in asthma. We sought to
identify a consensus list of differentially expressed genes (DEGs) using a meta
analysis approach.We identified eight studies with data that met defined
inclusion criteria. These studies comprised 355 cases and 193 controls and
involved sampling either bronchial or nasal epithelium. We conducted study-level
analyses, followed by a meta-analysis. Likewise, we applied a meta-analysis
framework to the results of study-level pathway enrichment.We identified 1273
DEGs, 431 of which had not been identified in previous studies. 450 DEGs
exhibited large effect sizes and were robust to study population differences in
age, sex, race/ethnicity, medication use, smoking status and exacerbations. The
magnitude of differential expression of these 450 genes was highly similar in
bronchial and nasal airway epithelia. Meta-analysis of pathway enrichment
revealed a number of consistently dysregulated biological pathways, including
putative transcriptional and post-transcriptional regulators.In total, we
identified a set of genes that is consistently dysregulated in asthma, that links
to known and novel biological pathways, and that will inform asthma subtype
identification.
PMID- 29650562
TI - The outcome of severe varicella pneumonia with respiratory failure admitted to
the intensive care unit for mechanical ventilation.
PMID- 29650564
TI - What is the minimal clinically important difference for helium-3 magnetic
resonance imaging ventilation defects?
PMID- 29650563
TI - Blood eosinophil count and risk of pneumonia hospitalisations in individuals with
COPD.
AB - Blood eosinophil count in chronic obstructive pulmonary disease (COPD) is
associated with higher exacerbation rate and favourable response to
corticosteroids; however, frequent exacerbations and use of inhaled
corticosteroids could elevate pneumonia risk. We tested the hypothesis that high
blood eosinophil counts are associated with high risk of pneumonia in individuals
with severe COPD from the general population.We included 7180 individuals with
COPD from the Copenhagen General Population Study, including 643 with forced
expiratory volume in 1 s (FEV1) <50% predicted between 2003 and 2011. All primary
discharge diagnoses of pneumonia during follow-up were recorded.Among individuals
with COPD and FEV1 <50% pred, the multivariable adjusted incidence rate ratio was
2.17 (95% CI 1.31-3.58) for pneumonia comparing individuals with blood eosinophil
counts >=0.34*109 cells.L-1versus <0.34*109 cells.L-1 In individuals with
clinical COPD, defined by recent exacerbation, >=10 pack-years of smoking and
FEV1 <70% pred, the corresponding risk was 4.52 (2.11-9.72). Risk of pneumonia
did not differ by blood eosinophil count in individuals with COPD and FEV1 >=50%
pred.In individuals with COPD and FEV1 <50% pred, blood eosinophil count
>=0.34*109 cells.L-1 was associated with high risk of hospitalisation due to
pneumonia.
PMID- 29650565
TI - Complete Coding Sequences of Dengue Virus Type 2 Strains from Febrile Patients
Seen in Malindi District Hospital, Kenya, during the 2017 Dengue Fever Outbreak.
AB - We report here 10 complete polyprotein-coding sequences of dengue virus type 2
strains isolated from febrile patients who presented at Malindi District
Hospital, Kenya, during a recent dengue fever outbreak. Phylogenetically, all the
strains belonged to clonal serotype 2 of the Cosmopolitan genotype.
PMID- 29650566
TI - Genome Sequences of Ilzat and Eleri, Two Phages Isolated Using Microbacterium
foliorum NRRL B-24224.
AB - Bacteriophages Ilzat and Eleri are newly isolated Siphoviridae infecting
Microbacterium foliorum NRRL B-24224. The phage genomes are similar in length,
G+C content, and architecture and share 62.9% nucleotide sequence identity.
PMID- 29650567
TI - Genome Sequence of a Multidrug-Resistant Candida haemulonii Isolate from a
Patient with Chronic Leg Ulcers in Israel.
AB - Candida haemulonii is an emerging multidrug-resistant yeast that can cause
invasive candidiasis. Here, we report the first genome sequence of C. haemulonii
(isolate B11899) generated using PacBio sequencing technology. The estimated
genome size was 13.3 Mb, with a GC content of 45.19%.
PMID- 29650568
TI - Genome Sequence of a Heterotrophic Nitrifier and Aerobic Denitrifier, Paracoccus
denitrificans Strain ISTOD1, Isolated from Wastewater.
AB - We report here the draft genome sequence of Paracoccus denitrificans strain
ISTOD1 of 4.9 Mb, isolated from wastewater. It has been identified as a
heterotrophic nitrifying and aerobic denitrifying bacterium. Genomic analysis
revealed genes related to nitrogen and phosphorus removal, showing that the
strain holds potential for bioremediation and biorefinery uses.
PMID- 29650569
TI - Whole-Genome Sequences of Cronobacter sakazakii Isolates Obtained from Foods of
Plant Origin and Dried-Food Manufacturing Environments.
AB - Here, we present draft genome sequences of 29 Cronobacter sakazakii isolates
obtained from foods of plant origin and dried-food manufacturing facilities.
Assemblies and annotations resulted in genome sizes ranging from 4.3 to 4.5 Mb
and 3,977 to 4,256 gene-coding sequences with G+C contents of ~57.0%.
PMID- 29650570
TI - Draft Genome Sequence of Aeromonas lusitana sp. nov. Strain DSM 24905T, Isolated
from a Hot Spring in Vila-Real, Portugal.
AB - Aeromonas lusitana sp. nov. is an isolate derived from a study aimed at
characterizing Aeromonas spp. from water sources used for recreation and
agricultural purposes and assessing the implications these organisms have for
human and animal health. We present here the 4.52-Mbp draft genome sequence of
this novel species.
PMID- 29650571
TI - Draft Genome Sequence of Aeromonas cavernicola sp. nov. DSM 24474T, Isolated from
a Cavern Brook in the Moravia Region of the Czech Republic.
AB - Species of the Aeromonas genus can be found in numerous environmental milieus,
including various water sources, and some species cause disease in animals. We
present here the draft genome sequence for Aeromonas cavernicola DSM 24474T, a
novel species isolated from a freshwater brook within a cavern in the Czech
Republic.
PMID- 29650572
TI - Draft Whole-Genome Sequence of the Fluorene-Degrading Sphingobium sp. Strain
LB126, Isolated from Polycyclic Aromatic Hydrocarbon-Contaminated Soil.
AB - We report here the draft whole-genome sequence of a fluorene-degrading bacterium,
Sphingobium sp. strain LB126. The genes involved in the upper biodegradation
pathway of fluorene are located on a plasmid, and the lower pathway that
generates tricarboxylic acid cycle intermediates is initiated by the meta
cleavage of protocatechuic acid that is chromosomally encoded.
PMID- 29650573
TI - Draft Genome Sequence of Pseudomonas oceani DSM 100277T, a Deep-Sea Bacterium.
AB - Pseudomonas oceani DSM 100277T was isolated from deep seawater in the Okinawa
Trough at 1390 m. P. oceani belongs to the Pseudomonas pertucinogena group. Here,
we report the draft genome sequence of P. oceani, which has an estimated size of
4.1 Mb and exhibits 3,790 coding sequences, with a G+C content of 59.94 mol%.
PMID- 29650574
TI - Draft Genome Sequence of the Intimin-Positive Enteropathogenic Escherichia
albertii Strain MBT-EA1, Isolated from Lettuce.
AB - The genome of the intimin (eae)-harboring Escherichia albertii strain MBT-EA1,
isolated from lettuce in Germany, was sequenced. Sequence analysis showed the
assembled draft genome size to be 4,560,948 bp, containing a predicted total of
4,414 protein-encoding genes, 11 rRNAs, and 82 tRNAs. Furthermore, three plasmid
sequences were found.
PMID- 29650575
TI - Genome Sequences of Five Mycobacterium bovis Strains Isolated from Farmed Animals
and Wildlife in Canada.
AB - Mycobacterium bovis is the causative agent of bovine tuberculosis, an infectious
disease that affects both animals and humans and thus presents a risk to public
health and the livestock industry. Here, we report the genome sequences of five
Mycobacterium bovis strains that represent major genotype clusters observed in
farmed animals and wildlife in Canada.
PMID- 29650576
TI - Draft Genome Sequences of Four Strains of Recently Established Novel Veillonella
Species Isolated from Human Oral Cavities.
AB - Veillonella species are known to contribute to the formation of early oral
biofilms and tend to be prevalent in people with poor oral hygiene status. Here,
we report the draft genome sequences of 4 oral Veillonella strains that were
established recently as novel species.
PMID- 29650577
TI - Draft Genome Sequences of New Genomospecies "Candidatus Pectobacterium maceratum"
Strains, Which Cause Soft Rot in Plants.
AB - Investigation of collections of phytopathogenic bacteria has revealed some
strains distinct from known Pectobacterium spp. We report here the draft genome
sequences of five such strains, isolated during the period of 1947 to 2012. Based
on comparative genomics, we propose a new candidate genomospecies of the genus
Pectobacterium, "Candidatus Pectobacterium maceratum."
PMID- 29650578
TI - Draft Genome Sequences of Three Ochrobactrum spp. Isolated from Different Avian
Hosts in Pakistan.
AB - Here, we present the draft genome sequences of three Ochrobactrum sp. strains
with multidrug-resistant properties, isolated in 2015 from a pigeon and two
chickens in Pakistan.
PMID- 29650579
TI - Draft Genome Sequence of Raoultella ornithinolytica Strain HH3.
AB - Raoultella ornithinolytica is a Gram-negative, nonmotile, encapsulated, and
aerobic bacillus and an emerging hospital-related bacterial pathogen of humans.
Here, we report a 5,977,517-bp draft genome sequence for Raoultella
ornithinolytica strain HH3, isolated from a pretreatment sample collected at a
Canadian wastewater treatment facility.
PMID- 29650580
TI - High-Quality Whole-Genome Sequences for 59 Historical Shigella Strains Generated
with PacBio Sequencing.
AB - Shigella spp. are enteric pathogens that cause shigellosis. We report here the
high-quality whole-genome sequences of 59 historical Shigella strains that
represent the four species and a variety of serotypes.
PMID- 29650581
TI - Draft Genome Sequence of the Mercury-Resistant Strain Acinetobacter baumannii
I43.
AB - Here, we report the draft genome sequence of the Acinetobacter baumannii strain
I43, which is highly resistant to mercury. The Illumina-based sequence analysis
revealed a genome of approximately 4,520,353 bp composed of 4,091 coding
sequences.
PMID- 29650582
TI - Draft Genome Sequences of 12 Clinical and Environmental Methicillin-Resistant
Staphylococcus pseudintermedius Strains Isolated from a Veterinary Teaching
Hospital in Washington State.
AB - Methicillin-resistant Staphylococcus pseudintermedius (MRSP) is a globally
emergent multidrug-resistant pathogen of dogs associated with nosocomial
transmission in dogs and with potential zoonotic impacts. Here, we report the
draft whole-genome sequences of 12 hospital-associated MRSP strains and their
resistance genotypes and phenotypes.
PMID- 29650583
TI - Draft Genome Sequences of Four Clinical Legionella pneumophila Isolates from
Ontario, Canada.
AB - Legionella pneumophila outbreak investigations require the development of
reliable typing methods to better understand the genetic relationships of the
isolates involved. Here, we report the draft genome sequences of four clinical
Legionella pneumophila isolates obtained between 2000 and 2012 in Ontario,
Canada.
PMID- 29650584
TI - Draft Genome Sequence of Strain B 225, an Iron-Depositing Isolate of the Genus
Novosphingobium.
AB - Here, we report the draft genome sequence of Novosphingobium sp. strain B 225, an
iron-depositing bacterium isolated from a phenazone-amended naturally grown
biofilm. This biofilm was grown in the Unteres Odertal National Park, Germany.
Illumina NextSeq sequencing was used to determine the genome of the strain.
PMID- 29650585
TI - Draft Genomic Sequences of Chromobacterium sp. nov. Strains MWU13-2610 and MWU14
2602, Isolated from Wild Cranberry Bogs in Massachusetts.
AB - Chromobacterium sp. nov. strains MWU13-2610 and MWU14-2602 were isolated from
cranberry bogs in the Cape Cod National Seashore. These nonpigmented bacteria
represent two new presumptive species of the rapidly growing genus
Chromobacterium Gene homologs are present for multiple antibiotic resistance,
virulence functions, and prophages.
PMID- 29650586
TI - First Insight into the Genome Sequence of Clostridium vincentii DSM 10228,
Isolated from Sediment of the McMurdo Ice Shelf, Antarctica.
AB - Clostridium vincentii is an obligate anaerobic, saccharophilic, psychrophilic,
Gram-positive, motile, and rod-shaped bacterium. It was isolated from a pond
sediment of the McMurdo Ice Shelf, Antarctica. C. vincentii produces acetate and
formate as main fermentation products. The draft genome consists of one
chromosome (3.506 Mb) with 3,379 predicted protein-encoding genes.
PMID- 29650587
TI - Draft Genome Sequence of Actinobacterial Strain Kineosporia sp. R_H_3, a
Neutrophilic Iron-Depositing Bacterium.
AB - The draft genome sequence of a neutrophilic iron-depositing actinobacterial
strain, Kineosporia sp. R_H_3, is reported here. Detailed analysis of the genome
can elucidate the role of specific cytochromes for Fe oxidation and how this
organism might receive energy from Fe oxidation. To date, this is the second
publicly available genome sequence of a Kineosporia strain.
PMID- 29650588
TI - Draft Genome Sequence of Janthinobacterium sp. Strain ROICE36, a Putative
Secondary Metabolite-Synthesizing Bacterium Isolated from Antarctic Snow.
AB - The draft genome assembly of Janthinobacterium sp. strain ROICE36 has 207
contigs, with a total genome size of 5,977,006 bp and a G+C content of 62%.
Preliminary genome analysis identified 5,363 protein-coding genes and a total of
7 secondary metabolic gene clusters (encoding bacteriocins, nonribosomal peptide
synthetase [NRPS], terpene, hserlactone, and other ketide synthases).
PMID- 29650589
TI - Direct activation of chordoblasts by retinoic acid is required for segmented
centra mineralization during zebrafish spine development.
AB - Zebrafish mutants with increased retinoic acid (RA) signaling due to the loss of
the RA-inactivating enzyme Cyp26b1 develop a hyper-mineralized spine with
gradually fusing vertebral body precursors (centra). However, the underlying
cellular mechanisms remain incompletely understood. Here, we show that cells of
the notochord epithelium named chordoblasts are sensitive to RA signaling.
Chordoblasts are uniformly distributed along the anteroposterior axis and
initially generate the continuous collagenous notochord sheath. However,
subsequently and iteratively, subsets of these cells undergo further RA-dependent
differentiation steps, acquire a stellate-like shape, downregulate expression of
the collagen gene col2a1a, switch on cyp26b1 expression and trigger metameric
sheath mineralization. This mineralization fails to appear upon chordoblast
specific cell ablation or RA signal transduction blockade. Together, our data
reveal that, despite their different developmental origins, the activities and
regulation of chordoblasts are very similar to those of osteoblasts, including
their RA-induced transition from osteoid-producing cells to osteoid-mineralizing
ones. Furthermore, our data point to a requirement for locally controlled RA
activity within the chordoblast layer in order to generate the segmented
vertebral column.
PMID- 29650592
TI - Using Q-methodology to guide the implementation of new healthcare policies.
AB - There are many challenges in the development, implementation and evaluation of
healthcare policy. One challenge is understanding how different stakeholders view
a particular policy and what impact these views may have during implementation. Q
methodology is one approach that can be used to help policy makers and
researchers actively engage with those who are important in policy
implementation, and anticipate their responses. Q-methodology combines
qualitative and quantitative research methods to systematically explore and
describe the range of viewpoints about a topic. Participants are required to rank
a set of predefined statements relating to the topic, according to their own
viewpoint. Factor analytic techniques then identify people who are like-minded in
the way they view the topic and enable areas of consensus and divergence in
viewpoint to be clearly defined. This mapping of viewpoints allows those working
in policy implementation to anticipate likely barriers and levers in implementing
new policies.
PMID- 29650590
TI - Coordination of meristem and boundary functions by transcription factors in the
SHOOT MERISTEMLESS regulatory network.
AB - The Arabidopsis homeodomain transcription factor SHOOT MERISTEMLESS (STM) is
crucial for shoot apical meristem (SAM) function, yet the components and
structure of the STM gene regulatory network (GRN) are largely unknown. Here, we
show that transcriptional regulators are overrepresented among STM-regulated
genes and, using these as GRN components in Bayesian network analysis, we infer
STM GRN associations and reveal regulatory relationships between STM and factors
involved in multiple aspects of SAM function. These include hormone regulation,
TCP-mediated control of cell differentiation, AIL/PLT-mediated regulation of
pluripotency and phyllotaxis, and specification of meristem-organ boundary zones
via CUC1. We demonstrate a direct positive transcriptional feedback loop between
STM and CUC1, despite their distinct expression patterns in the meristem and
organ boundary, respectively. Our further finding that STM activates expression
of the CUC1-targeting microRNA miR164c combined with mathematical modelling
provides a potential solution for this apparent contradiction, demonstrating that
these proposed regulatory interactions coupled with STM mobility could be
sufficient to provide a mechanism for CUC1 localisation at the meristem-organ
boundary. Our findings highlight the central role for the STM GRN in coordinating
SAM functions.
PMID- 29650591
TI - Ldb1- and Rnf12-dependent regulation of Lhx2 controls the relative balance
between neurogenesis and gliogenesis in the retina.
AB - Precise control of the relative ratio of retinal neurons and glia generated
during development is essential for visual function. We show that Lhx2, which
encodes a LIM-homeodomain transcription factor essential for specification and
differentiation of retinal Muller glia, also plays a crucial role in the
development of retinal neurons. Overexpression of Lhx2 with its transcriptional
co-activator Ldb1 triggers cell cycle exit and inhibits both Notch signaling and
retinal gliogenesis. Lhx2/Ldb1 overexpression also induces the formation of wide
field amacrine cells (wfACs). In contrast, Rnf12, which encodes a negative
regulator of LDB1, is necessary for the initiation of retinal gliogenesis. We
also show that Lhx2-dependent neurogenesis and wfAC formation requires Ascl1 and
Neurog2, and that Lhx2 is necessary for their expression, although overexpression
of Lhx2/Ldb1 does not elevate expression of these proneural bHLH factors.
Finally, we demonstrate that the relative level of the LHX2-LDB1 complex in the
retina decreases in tandem with the onset of gliogenesis. These findings show
that control of Lhx2 function by Ldb1 and Rnf12 underpins the coordinated
differentiation of neurons and Muller glia in postnatal retina.
PMID- 29650593
TI - Human Neural Stem Cell Extracellular Vesicles Improve Recovery in a Porcine Model
of Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: Recent work from our group suggests that human neural
stem cell-derived extracellular vesicle (NSC EV) treatment improves both tissue
and sensorimotor function in a preclinical thromboembolic mouse model of stroke.
In this study, NSC EVs were evaluated in a pig ischemic stroke model, where
clinically relevant end points were used to assess recovery in a more
translational large animal model. METHODS: Ischemic stroke was induced by
permanent middle cerebral artery occlusion (MCAO), and either NSC EV or PBS
treatment was administered intravenously at 2, 14, and 24 hours post-MCAO. NSC EV
effects on tissue level recovery were evaluated via magnetic resonance imaging at
1 and 84 days post-MCAO. Effects on functional recovery were also assessed
through longitudinal behavior and gait analysis testing. RESULTS: NSC EV
treatment was neuroprotective and led to significant improvements at the tissue
and functional levels in stroked pigs. NSC EV treatment eliminated intracranial
hemorrhage in ischemic lesions in NSC EV pigs (0 of 7) versus control pigs (7 of
8). NSC EV-treated pigs exhibited a significant decrease in cerebral lesion
volume and decreased brain swelling relative to control pigs 1-day post-MCAO. NSC
EVs significantly reduced edema in treated pigs relative to control pigs, as
assessed by improved diffusivity through apparent diffusion coefficient maps. NSC
EVs preserved white matter integrity with increased corpus callosum fractional
anisotropy values 84 days post-MCAO. Behavior and mobility improvements
paralleled structural changes as NSC EV-treated pigs exhibited improved outcomes,
including increased exploratory behavior and faster restoration of spatiotemporal
gait parameters. CONCLUSIONS: This study demonstrated for the first time that in
a large animal model novel NSC EVs significantly improved neural tissue
preservation and functional levels post-MCAO, suggesting NSC EVs may be a
paradigm changing stroke therapeutic.
PMID- 29650595
TI - ?
PMID- 29650594
TI - Stop invoking evidence-based data!
PMID- 29650597
TI - Purdue's influence continues.
PMID- 29650596
TI - Career in family medicine.
PMID- 29650598
TI - Author's honoraria from opioid seller.
PMID- 29650599
TI - Taking unnecessary aim at MAID.
PMID- 29650600
TI - Cannabis view.
PMID- 29650601
TI - Uncharted territory: Knowledge translation of competency-based continuing
professional development in family medicine.
PMID- 29650604
TI - Narrative-based medicine and the general practice consultation: Narrative-based
medicine 2.
AB - OBJECTIVE: To raise awareness of narrative-based medicine (NBM) as a valuable
approach to the consultation, which, if practised more widely by GPs, would
convey considerable benefits to both patient and doctor. SOURCES OF INFORMATION:
Principally, this article draws on the perspectives of 2 of NBM's key proponents,
John Launer and Rita Charon, and which are complemented by the perspectives of
several other authors. MAIN MESSAGE: This article examines NBM in relation to
patient-centred care and the particular skills that are required to practise NBM.
Narrative-based medicine brings together skills from other fields of practice
skills that are not beyond the capabilities of GPs-conferring benefits on patient
and doctor. Narrative-based medicine's skills enhance the patient-centred method
and an evidence-based approach. CONCLUSION: The literature speaks very loudly
about the importance of narrative and of understanding the illness experience.
What makes NBM stand out is the fact that it is a collaborative and mutually
beneficial process, having the power to create a new narrative-a narrative that
heals and transforms the patient and the doctor.
PMID- 29650603
TI - Top studies relevant to primary care practice.
AB - OBJECTIVE: To summarize 10 high-quality studies from 2017 that have strong
relevance to primary care practice. QUALITY OF EVIDENCE: Study selection involved
routine literature surveillance by a group of primary care health professionals.
This included screening abstracts of important journals and Evidence Alerts, as
well as searching the American College of Physicians Journal Club. MAIN MESSAGE:
Topics of the 2017 articles include whether treating subclinical hypothyroidism
improves outcomes or symptoms; whether evolocumab reduces cardiovascular disease
as well as low-density lipoprotein levels; whether lifestyle interventions reduce
medication use in patients with diabetes; whether vitamin D prevents
cardiovascular disease, cancer, or upper respiratory tract infections; whether
canagliflozin reduces clinical events in patients with diabetes; how
corticosteroid injections affect knee osteoarthritis; whether drained abscesses
benefit from antibiotic treatment; whether patients with diabetes benefit from
bariatric surgery; whether exenatide reduces clinical events in patients with
diabetes; and whether tympanostomy tubes affect outcomes in recurrent acute
otitis media or chronic otitis media. We provide brief summaries, context where
needed, and final recommendations for 10 studies with potential effects on
primary care. We also briefly review 5 "runner-up" studies. CONCLUSION: Research
from 2017 produced several high-quality studies in diabetes management. These
have demonstrated benefit for alternative therapies and offered evidence not
previously available. This year's selection of studies also provided information
on a variety of conditions and therapies that are, or might become, more common
in primary care settings.
PMID- 29650605
TI - Facial rash in a 48-year-old woman: Case report of suspected leprosy in the
emergency department.
PMID- 29650606
TI - Support of caregivers of persons with dementia.
PMID- 29650607
TI - Sulfonylurea treatment in type 2 diabetes.
PMID- 29650608
TI - ?
PMID- 29650602
TI - Primary care of adults with intellectual and developmental disabilities: 2018
Canadian consensus guidelines.
AB - OBJECTIVE: To update the 2011 Canadian guidelines for primary care of adults with
intellectual and developmental disabilities (IDD). METHODS: Family physicians and
other health professionals experienced in the care of people with IDD reviewed
and synthesized recent empirical, ecosystem, expert, and experiential knowledge.
A system was developed to grade the strength of recommendations. RECOMMENDATIONS:
Adults with IDD are a heterogeneous group of patients and have health conditions
and factors affecting their health that can vary in kind, manifestation,
severity, or complexity from those of others in the community. They require
approaches to care and interventions that are adapted to their needs. These
guidelines provide advice regarding standards of care. References to clinical
tools and other practical resources are incorporated. The approaches to care that
are outlined here can be applied to other groups of patients that have
impairments in cognitive, communicative, or other adaptive functioning.
CONCLUSION: As primary care providers, family physicians play a vital role in
promoting the health and well-being of adults with IDD. These guidelines can aid
their decision making with patients and caregivers.
PMID- 29650609
TI - Condom use to aid cervical visualization during speculum examination.
PMID- 29650610
TI - Spring tonic.
PMID- 29650611
TI - Teaching residents about medical assistance in dying.
PMID- 29650613
TI - ?
PMID- 29650612
TI - The cost of medication.
PMID- 29650614
TI - ?
PMID- 29650615
TI - Digital engagement.
PMID- 29650616
TI - ?
PMID- 29650618
TI - ?
PMID- 29650619
TI - Nursing role in well-child care: Systematic review of the literature.
AB - OBJECTIVE: To describe and compare well-child care (WCC) in Australia, the
Netherlands, and the United Kingdom (UK), focusing on the role of nurses and
their interactions with other primary care providers in order to derive relevant
lessons for Canada's interprofessional primary care teams. DATA SOURCES: Ovid
MEDLINE, EMBASE, and CINAHL were searched broadly using the search terms well
child care, nursing role, and delivery of care and other synonymous terms. In
addition, Google Scholar was used to search for gray literature, and reference
mining revealed a few other relevant articles. STUDY SELECTION: The original
search identified 929 articles. The inclusion criteria were the following:
relevant to WCC delivery; focuses on Canada, the Netherlands, the UK, Australia,
or an international comparison; describes care of healthy term infants; describes
care provided in the community; and describes the role of the nurse in WCC
delivery. An abstract review followed by full-text review condensed the search to
25 selected articles. SYNTHESIS: Selected articles varied in method and scope;
thus, a narrative synthesis was generated using thematic analysis. In Australia,
the Netherlands, and the UK, many WCC tasks are performed by trained public
health nurses in a separate but parallel system to family medicine, with
interaction between nurses and FPs varying greatly among countries. In general,
nurses' roles in WCC remained in the preventive care and screening domains,
including monitoring development, providing health education, and supporting
parents. The 3 overarching themes that were identified were around professional
development and education, integration of care and interprofessional
collaboration, and the nurses' role in an evolving health system. CONCLUSION:
International examples, given Canada's primary care reforms, suggest it is time
to examine greater role sharing in WCC between nurses and FPs in
interdisciplinary primary care teams.
PMID- 29650620
TI - Relationship between income and mortality in a Canadian family practice cohort.
AB - OBJECTIVE: To examine the relationship between household income and mortality in
a primary care cohort while using personal information obtained from clinical
records and administrative data linkages to adjust for confounders. DESIGN:
Survey and analysis of data from several administrative databases. SETTING:
Toronto, Ont. PARTICIPANTS: Patients of an urban academic family practice who
were aged between 45 and 74 and who had made at least 3 visits to the clinic
between 1996 and 1997. MAIN OUTCOME MEASURES: Patients' self-reported household
income. Patients' personal information obtained from clinical records and
linkages to administrative data to adjust for confounders; personal information
was used to adjust for health factors as confounders of the relationship between
income and mortality risk. RESULTS: Of the 1064 patients who received surveys,
519 (49%) responded. There was no significant difference in the mortality rate
between nonresponders and responders. Mortality rates were lower than those in
the general population throughout follow-up. Within the patient cohort, mortality
rates were elevated for smokers, those who had not consumed alcohol in the year
before the survey, and those who had been diagnosed with diabetes, hypertension,
or cancer before the survey. After all adjustments were made, mortality rates
were lower among patients in the higher-income categories than among those with
lower household incomes. CONCLUSION: Universal health care does not eliminate
income-related differentials in mortality. Differences in health-related
behaviour factors are not sufficient to explain the socioeconomic mortality
differences within an area. These data suggest that it is not solely personal
choice related to health behaviour but that other explanations must be invoked to
account for the relationship between lower household income and increased
mortality rates.
PMID- 29650617
TI - ?
PMID- 29650622
TI - ?
PMID- 29650621
TI - Barriers to and enablers of advance care planning with patients in primary care:
Survey of health care providers.
AB - OBJECTIVE: To identify barriers to and enablers of advance care planning (ACP)
perceived by physicians and other health professionals in primary care. DESIGN:
Cross-sectional, self-administered survey. SETTING: Ontario, Alberta, and British
Columbia. PARTICIPANTS: Family physicians (n = 117) and other health
professionals (n = 64) in primary care. MAIN OUTCOME MEASURES: Perceived barriers
relating to the clinician, characteristics of patients, and system factors, rated
on a 7-point scale from 0 (not at all) to 6 (an extreme amount), and enablers
reported using an open-ended question. RESULTS: Between November 2014 and June
2015, questionnaires were returned by 72.2% (117 of 162) of family physicians and
68.8% (64 of 93) of the other health professionals. Physicians rated insufficient
time, inability to electronically transfer the advance care plan across care
settings, decreased interaction with patients near the end of life owing to
transfer of care, and patients' difficulty understanding limitations and
complications of treatment options as the highest barriers. Other health
professionals additionally identified their own lack of knowledge and difficulty
accessing the physician as barriers. Themes identified as enablers included
greater public engagement, clinician attitudes, creating capacity for clinicians,
integrating ACP into practice, and system and policy supports. CONCLUSION: In
primary care, there are barriers to engaging patients in ACP at the patient,
provider, and system levels that could potentially be addressed through the
informed development of multifaceted interventions.
PMID- 29650623
TI - Meet the First Authors.
PMID- 29650624
TI - Does a Newly Characterized Cell From the Bone Marrow Repair the Heart After Acute
Myocardial Infarction?
PMID- 29650625
TI - It's the Metabolism That Makes Macrophages Detectable in the Magnetic Resonance
Scanner: Immune Cell Detection by Hyperpolarized 13C Magnetic Resonance Imaging.
PMID- 29650626
TI - Infarct Size Reduction by Targeting Ischemic Injury: Back to Square One.
PMID- 29650627
TI - Rajat Gupta: A Scientist in Doctor's Clothing.
PMID- 29650628
TI - Liberation From the P Value's Tyranny.
PMID- 29650629
TI - Critical Evaluation of Data Requires Rigorous but Broadly Based Statistical
Inference.
PMID- 29650630
TI - Is Optimism Associated With Healthier Cardiovascular-Related Behavior? Meta
Analyses of 3 Health Behaviors.
AB - Optimistic people have reduced risk for cardiovascular disease and cardiovascular
related mortality compared with their less optimistic peers. One explanation for
this is that optimistic people may be more likely to engage in healthy behavior
like exercising frequently, eating fruits and vegetables, and avoiding cigarette
smoking. However, researchers have not formally determined the extent or
direction of optimism's association with health behaviors. Moreover, it is
unclear whether optimism temporally precedes health behaviors or whether the
relationship is because of shared common causes. We conducted random effects meta
analyses examining optimism's association with 3 health behaviors relevant for
the prevention of cardiovascular disease. PubMed and PsycINFO databases were
searched for studies published through November 2017 reporting on optimism's
relationship with physical activity, diet, and cigarette smoking. We identified
34 effect sizes for physical activity (n=90 845), 15 effect sizes for diet (n=47
931), and 15 effect sizes for cigarette smoking (n=15 052). Findings suggested
that more optimistic individuals tended to engage in healthier behaviors compared
with less optimistic individuals, but effect sizes were modest (ractivity=0.07,
P<0.0001; rdiet=0.12, P<0.0001; and rsmoking=0.07, P=0.001). Most evidence was
cross-sectional (>=53% of effect sizes) and did not consider sociodemographic
characteristics (<53% of effect sizes) or psychological distress (<27% of effect
sizes) as potential confounders. Optimism is associated with healthier behaviors
that protect against cardiovascular disease, although most evidence was
relatively low quality. Additional longitudinal and experimental research is
required to determine whether optimism causally contributes to healthy behaviors
and whether optimism could be an effective target for preventing cardiovascular
disease.
PMID- 29650633
TI - Letter by Scarabin-Carre and Scarabin Regarding Article, "Associations of
Endogenous Estradiol and Testosterone Levels With Plaque Composition and Risk of
Stroke in Subjects With Carotid Atherosclerosis".
PMID- 29650634
TI - Response by Glisic et al to Letter Regarding Article, "Associations of Endogenous
Estradiol and Testosterone Levels With Plaque Composition and Risk of Stroke in
Subjects With Carotid Atherosclerosis".
PMID- 29650635
TI - Letter by De Meyer Regarding Article, "Short Leukocyte Telomere Length Precedes
Clinical Expression of Atherosclerosis: The Blood-and-Muscle Model".
PMID- 29650631
TI - The Vasculature in Prediabetes.
AB - The frequency of prediabetes is increasing as the prevalence of obesity rises
worldwide. In prediabetes, hyperglycemia, insulin resistance, and inflammation
and metabolic derangements associated with concomitant obesity cause endothelial
vasodilator and fibrinolytic dysfunction, leading to increased risk of
cardiovascular and renal disease. Importantly, the microvasculature affects
insulin sensitivity by affecting the delivery of insulin and glucose to skeletal
muscle; thus, endothelial dysfunction and extracellular matrix remodeling promote
the progression from prediabetes to diabetes mellitus. Weight loss is the
mainstay of treatment in prediabetes, but therapies that improved endothelial
function and vasodilation may not only prevent cardiovascular disease but also
slow progression to diabetes mellitus.
PMID- 29650636
TI - Response by Benetos et al to Letter Regarding Article, "Short Leukocyte Telomere
Length Precedes Clinical Expression of Atherosclerosis: The Blood-and-Muscle
Model".
PMID- 29650637
TI - Cerebral fat embolism: the value of susceptibility-weighted imaging.
PMID- 29650632
TI - Mechanisms of Cardiac Repair and Regeneration.
AB - Cardiovascular regenerative therapies are pursued on both basic and translational
levels. Although efficacy and value of cell therapy for myocardial regeneration
can be debated, there is a consensus that profound deficits in mechanistic
understanding limit advances, optimization, and implementation. In collaboration
with the TACTICS (Transnational Alliance for Regenerative Therapies in
Cardiovascular Syndromes), this review overviews several pivotal aspects of
biological processes impinging on cardiac maintenance, repair, and regeneration.
The goal of summarizing current mechanistic understanding is to prompt innovative
directions for fundamental studies delineating cellular reparative and
regenerative processes. Empowering myocardial regenerative interventions, whether
dependent on endogenous processes or exogenously delivered repair agents,
ultimately depends on mastering mechanisms and novel strategies that take
advantage of rather than being limited by inherent myocardial biology.
PMID- 29650638
TI - Actinomyces cavernous sinus infection: a case and systematic literature review.
AB - A 63-year-old man presented with a 2-month history of progressive right-sided
exophthalmos, painful ophthalmoplegia and fevers. As more features developed, he
was diagnosed with giant cell arteritis, then Tolosa-Hunt syndrome, and
transiently responded to corticosteroids. A bland cerebrospinal fluid and highly
metabolically active brain (18F)-fluoro-D-glucose-positron emission tomography
suggested lymphoma. Biopsy of the mass showed sulphur granules with Gram-positive
filamentous bacteria with Actinomyces-like colonies. Actinomyces cavernous sinus
infections are rare and indolent. They often mimic non-infective causes including
other inflammatory and infiltrative conditions, vascular and neoplastic causes,
particularly lymphoma. Clinicians should consider infective cavernous sinus
syndromes in people with a fluctuating painful ophthalmoplegia that responds
poorly to corticosteroids. The term Tolosa-Hunt syndrome is problematic and
should be retired or used only with reservation.
PMID- 29650639
TI - Non-convulsive status epilepticus: mimics and chameleons.
AB - Non-convulsive status epilepticus (NCSE) is an enigmatic condition with protean
manifestations. It often goes unrecognised, leading to delays in its diagnosis
and treatment. The principal reason for such delay is the failure to consider and
request an electroencephalogram (EEG), although occasional presentations have no
scalp or surface electroencephalographic correlate. In certain settings with
limited EEG availability, particularly out-of-hours, clinicians should consider
treating without an EEG. Patients need a careful risk-benefit analysis to assess
the risks of neuronal damage and harm versus the risks of adverse effects from
various intensities of therapeutic intervention. Specialists in EEG, intensive
care or epilepsy are invaluable in the management of patients with possible NCSE.
PMID- 29650640
TI - [18F]Florbetaben PET-CT confirms AL amyloidosis in a patient with Waldenstrom's
Macroglobulinemia.
PMID- 29650641
TI - Anti-PD1 associated fulminant myocarditis after a single pembrolizumab dose: the
role of occult pre-existing autoimmunity.
PMID- 29650643
TI - Correction: Mortality in idiopathic inflammatory myopathy: results from a Swedish
nationwide population-based cohort study.
PMID- 29650642
TI - Setd2 regulates quiescence and differentiation of adult hematopoietic stem cells
by restricting RNA polymerase II elongation.
AB - SET domain containing 2 (Setd2), encoding a histone methyltransferase, is
associated with many hematopoietic diseases when mutated. By generating a novel
exon 6 conditional knockout mouse model, we describe an essential role of Setd2
in maintaining the adult hematopoietic stem cells. Loss of Setd2 results in
leukopenia, anemia, and increased platelets accompanied by hypocellularity,
erythroid dysplasia, and mild fibrosis in bone marrow. Setd2 knockout mice show
significantly decreased hematopoietic stem and progenitor cells except for
erythroid progenitors. Setd2 knockout hematopoietic stem cells fail to establish
long-term bone marrow reconstitution after transplantation because of the loss of
quiescence, increased apoptosis, and reduced multiple-lineage terminal
differentiation potential. Bioinformatic analysis revealed that the hematopoietic
stem cells exit from quiescence and commit to differentiation, which lead to
hematopoietic stem cell exhaustion. Mechanistically, we attribute an important
Setd2 function in murine adult hematopoietic stem cells to the inhibition of the
Nsd1/2/3 transcriptional complex, which recruits super elongation complex and
controls RNA polymerase II elongation on a subset of target genes, including Myc
Our results reveal a critical role of Setd2 in regulating quiescence and
differentiation of hematopoietic stem cells through restricting the NSDs/SEC
mediated RNA polymerase II elongation.
PMID- 29650644
TI - Correction: Repeated administration of dapirolizumab pegol in a randomised phase
I study is well tolerated and accompanied by improvements in several composite
measures of systemic lupus erythematosus disease activity and changes in whole
blood transcriptomic profiles.
PMID- 29650646
TI - Erratum for the Report "Predicting reaction performance in C-N cross-coupling
using machine learning" by D. T. Ahneman, J. G. Estrada, S. Lin, S. D. Dreher, A.
G. Doyle.
PMID- 29650645
TI - MitoCPR-A surveillance pathway that protects mitochondria in response to protein
import stress.
AB - Mitochondrial functions are essential for cell viability and rely on protein
import into the organelle. Various disease and stress conditions can lead to
mitochondrial import defects. We found that inhibition of mitochondrial import in
budding yeast activated a surveillance mechanism, mitoCPR, that improved
mitochondrial import and protected mitochondria during import stress. mitoCPR
induced expression of Cis1, which associated with the mitochondrial translocase
to reduce the accumulation of mitochondrial precursor proteins at the
mitochondrial translocase. Clearance of precursor proteins depended on the Cis1
interacting AAA+ adenosine triphosphatase Msp1 and the proteasome, suggesting
that Cis1 facilitates degradation of unimported proteins. mitoCPR was required
for maintaining mitochondrial functions when protein import was compromised,
demonstrating the importance of mitoCPR in protecting the mitochondrial
compartment.
PMID- 29650648
TI - News at a glance.
PMID- 29650647
TI - Obfuscating with transparency.
PMID- 29650649
TI - Latin America's lost histories revealed.
PMID- 29650650
TI - Alpha Centauri's siren call has frustrated planet hunters.
PMID- 29650651
TI - Chemists seek antiaddiction drugs to battle hijacked brain.
PMID- 29650652
TI - Ancient sites savaged in Yemen, Iraq.
PMID- 29650653
TI - Study questions animal efficacy data behind trials.
PMID- 29650655
TI - Free agents.
PMID- 29650654
TI - Human mutation rate a legacy from our past.
PMID- 29650656
TI - How cleaner air changes the climate.
PMID- 29650657
TI - Improved memory devices for synthetic cells.
PMID- 29650658
TI - Redemption for self-reactive antibodies.
PMID- 29650659
TI - Crowdsourced genealogies and genomes.
PMID- 29650660
TI - A recipe for nanoporous graphene.
PMID- 29650661
TI - Stephen Hawking (1942-2018).
PMID- 29650662
TI - John Sulston (1942-2018).
PMID- 29650663
TI - Bystander risk, social value, and ethics of human research.
PMID- 29650664
TI - Editor's note.
PMID- 29650665
TI - Social media for social change in science.
PMID- 29650666
TI - Journal editors should not divide scientists.
PMID- 29650667
TI - SciComm speaks.
PMID- 29650668
TI - Efforts large and small speed science reform.
PMID- 29650669
TI - Measurement of the fine-structure constant as a test of the Standard Model.
AB - Measurements of the fine-structure constant alpha require methods from across
subfields and are thus powerful tests of the consistency of theory and experiment
in physics. Using the recoil frequency of cesium-133 atoms in a matter-wave
interferometer, we recorded the most accurate measurement of the fine-structure
constant to date: alpha = 1/137.035999046(27) at 2.0 * 10-10 accuracy. Using
multiphoton interactions (Bragg diffraction and Bloch oscillations), we
demonstrate the largest phase (12 million radians) of any Ramsey-Borde
interferometer and control systematic effects at a level of 0.12 part per
billion. Comparison with Penning trap measurements of the electron gyromagnetic
anomaly ge - 2 via the Standard Model of particle physics is now limited by the
uncertainty in ge - 2; a 2.5sigma tension rejects dark photons as the reason for
the unexplained part of the muon's magnetic moment at a 99% confidence level.
Implications for dark-sector candidates and electron substructure may be a sign
of physics beyond the Standard Model that warrants further investigation.
PMID- 29650670
TI - A blueprint for demonstrating quantum supremacy with superconducting qubits.
AB - A key step toward demonstrating a quantum system that can address difficult
problems in physics and chemistry will be performing a computation beyond the
capabilities of any classical computer, thus achieving so-called quantum
supremacy. In this study, we used nine superconducting qubits to demonstrate a
promising path toward quantum supremacy. By individually tuning the qubit
parameters, we were able to generate thousands of distinct Hamiltonian evolutions
and probe the output probabilities. The measured probabilities obey a universal
distribution, consistent with uniformly sampling the full Hilbert space. As the
number of qubits increases, the system continues to explore the exponentially
growing number of states. Extending these results to a system of 50 qubits has
the potential to address scientific questions that are beyond the capabilities of
any classical computer.
PMID- 29650671
TI - Bottom-up synthesis of multifunctional nanoporous graphene.
AB - Nanosize pores can turn semimetallic graphene into a semiconductor and, from
being impermeable, into the most efficient molecular-sieve membrane. However,
scaling the pores down to the nanometer, while fulfilling the tight structural
constraints imposed by applications, represents an enormous challenge for present
top-down strategies. Here we report a bottom-up method to synthesize nanoporous
graphene comprising an ordered array of pores separated by ribbons, which can be
tuned down to the 1-nanometer range. The size, density, morphology, and chemical
composition of the pores are defined with atomic precision by the design of the
molecular precursors. Our electronic characterization further reveals a highly
anisotropic electronic structure, where orthogonal one-dimensional electronic
bands with an energy gap of ~1 electron volt coexist with confined pore states,
making the nanoporous graphene a highly versatile semiconductor for simultaneous
sieving and electrical sensing of molecular species.
PMID- 29650673
TI - Microbial oxidation of lithospheric organic carbon in rapidly eroding tropical
mountain soils.
AB - Lithospheric organic carbon ("petrogenic"; OCpetro) is oxidized during exhumation
and subsequent erosion of mountain ranges. This process is a considerable source
of carbon dioxide (CO2) to the atmosphere over geologic time scales, but the
mechanisms that govern oxidation rates in mountain landscapes are poorly
constrained. We demonstrate that, on average, 67 +/- 11% of the OCpetro initially
present in bedrock exhumed from the tropical, rapidly eroding Central Range of
Taiwan is oxidized in soils, leading to CO2 emissions of 6.1 to 18.6 metric tons
of carbon per square kilometer per year. The molecular and isotopic evolution of
bulk OC and lipid biomarkers during soil formation reveals that OCpetro
remineralization is microbially mediated. Rapid oxidation in mountain soils
drives CO2 emission fluxes that increase with erosion rate, thereby counteracting
CO2 drawdown by silicate weathering and biospheric OC burial.
PMID- 29650672
TI - Tropism for tuft cells determines immune promotion of norovirus pathogenesis.
AB - Complex interactions between host immunity and the microbiome regulate norovirus
infection. However, the mechanism of host immune promotion of enteric virus
infection remains obscure. The cellular tropism of noroviruses is also unknown.
Recently, we identified CD300lf as a murine norovirus (MNoV) receptor. In this
study, we have shown that tuft cells, a rare type of intestinal epithelial cell,
express CD300lf and are the target cell for MNoV in the mouse intestine. We found
that type 2 cytokines, which induce tuft cell proliferation, promote MNoV
infection in vivo. These cytokines can replace the effect of commensal microbiota
in promoting virus infection. Our work thus provides insight into how the immune
system and microbes can coordinately promote enteric viral infection.
PMID- 29650675
TI - My path to contentment.
PMID- 29650676
TI - Sodium bicarbonate loading limits tubular cast formation independent of
glomerular injury and proteinuria in Dahl salt-sensitive rats.
AB - Sodium bicarbonate (NaHCO3) slows the decline in kidney function in patients with
chronic kidney disease (CKD), yet the mechanisms mediating this effect remain
unclear. The Dahl salt-sensitive (SS) rat develops hypertension and progressive
renal injury when fed a high salt diet; however, the effect of alkali loading on
kidney injury has never been investigated in this model. We hypothesized that
NaHCO3 protects from the development of renal injury in Dahl salt-sensitive rats
via luminal alkalization which limits the formation of tubular casts, which are a
prominent pathological feature in this model. To examine this hypothesis, we
determined blood pressure and renal injury responses in Dahl SS rats drinking
vehicle (0.1 M NaCl) or NaHCO3 (0.1 M) solutions as well as in Dahl SS rats
lacking the voltage-gated proton channel (Hv1). We found that oral NaHCO3 reduced
tubular NH4+ production, tubular cast formation, and interstitial fibrosis in
rats fed a high salt diet for 2 weeks. This effect was independent of changes in
blood pressure, glomerular injury, or proteinuria and did not associate with
changes in renal inflammatory status. We found that null mutation of Hv1 also
limited cast formation in Dahl SS rats independent of proteinuria or glomerular
injury. As Hv1 is localized to the luminal membrane of TAL, our data suggest that
alkalization of the luminal fluid within this segment limits cast formation in
this model. Reduced cast formation, secondary to luminal alkalization within TAL
segments may mediate some of the protective effects of alkali loading observed in
CKD patients.
PMID- 29650674
TI - Germinal center antibody mutation trajectories are determined by rapid
self/foreign discrimination.
AB - Antibodies have the specificity to differentiate foreign antigens that mimic self
antigens, but it remains unclear how such specificity is acquired. In a mouse
model, we generated B cells displaying an antibody that cross-reacts with two
related protein antigens expressed on self versus foreign cells. B cell anergy
was imposed by self antigen but reversed upon challenge with high-density foreign
antigen, leading to germinal center recruitment and antibody gene hypermutation.
Single-cell analysis detected rapid selection for mutations that decrease self
affinity and slower selection for epistatic mutations that specifically increase
foreign affinity. Crystal structures revealed that these mutations exploited
subtle topological differences to achieve 5000-fold preferential binding to
foreign over self epitopes. Resolution of antigenic mimicry drove the optimal
affinity maturation trajectory, highlighting the value of retaining self-reactive
clones as substrates for protective antibody responses.
PMID- 29650677
TI - Eye-opening Etiologies.
PMID- 29650679
TI - Real-time observation of flexible domain movements in CRISPR-Cas9.
AB - The CRISPR-associated protein Cas9 is widely used for genome editing because it
cleaves target DNA through the assistance of a single-guide RNA (sgRNA).
Structural studies have revealed the multi-domain architecture of Cas9 and
suggested sequential domain movements of Cas9 upon binding to the sgRNA and the
target DNA These studies also hinted at the flexibility between domains; however,
it remains unclear whether these flexible movements occur in solution. Here, we
directly observed dynamic fluctuations of multiple Cas9 domains, using single
molecule FRET We found that the flexible domain movements allow Cas9 to adopt
transient conformations beyond those captured in the crystal structures.
Importantly, the HNH nuclease domain only accessed the DNA cleavage position
during such flexible movements, suggesting the importance of this flexibility in
the DNA cleavage process. Our FRET data also revealed the conformational
flexibility of apo-Cas9, which may play a role in the assembly with the sgRNA
Collectively, our results highlight the potential role of domain fluctuations in
driving Cas9-catalyzed DNA cleavage.
PMID- 29650678
TI - Structure-function analysis of Sua5 protein reveals novel functional motifs
required for the biosynthesis of the universal t6A tRNA modification.
AB - N6-threonyl-carbamoyl adenosine (t6A) is a universal tRNA modification found at
position 37, next to the anticodon, in almost all tRNAs decoding ANN codons
(where N = A, U, G, or C). t6A stabilizes the codon-anticodon interaction and
hence promotes translation fidelity. The first step of the biosynthesis of t6A,
the production of threonyl-carbamoyl adenylate (TC-AMP), is catalyzed by the
Sua5/TsaC family of enzymes. While TsaC is a single domain protein, Sua5 enzymes
are composed of the TsaC-like domain, a linker and an extra domain called SUA5 of
unknown function. In the present study, we report structure-function analysis of
Pyrococcus abyssi Sua5 (Pa-Sua5). Crystallographic data revealed binding sites
for bicarbonate substrate and pyrophosphate product. The linker of Pa-Sua5 forms
a loop structure that folds into the active site gorge and closes it. Using
structure-guided mutational analysis, we established that the conserved sequence
motifs in the linker and the domain-domain interface are essential for the
function of Pa-Sua5. We propose that the linker participates actively in the
biosynthesis of TC-AMP by binding to ATP/PPi and by stabilizing the N-carboxy-l
threonine intermediate. Hence, TsaC orthologs which lack such a linker and SUA5
domain use a different mechanism for TC-AMP synthesis.
PMID- 29650680
TI - Cell type-specific regulation of ciliary transition zone assembly in vertebrates.
AB - Ciliopathies are life-threatening human diseases caused by defective cilia. They
can often be traced back to mutations of genes encoding transition zone (TZ)
proteins demonstrating that the understanding of TZ organisation is of paramount
importance. The TZ consists of multimeric protein modules that are subject to a
stringent assembly hierarchy. Previous reports place Rpgrip1l at the top of the
TZ assembly hierarchy in Caenorhabditis elegans By performing quantitative
immunofluorescence studies in RPGRIP1L-/- mouse embryos and human embryonic
cells, we recognise a different situation in vertebrates in which Rpgrip1l
deficiency affects TZ assembly in a cell type-specific manner. In cell types in
which the loss of Rpgrip1l alone does not affect all modules, additional
truncation or removal of vertebrate-specific Rpgrip1 results in an impairment of
all modules. Consequently, Rpgrip1l and Rpgrip1 synergistically ensure the TZ
composition in several vertebrate cell types, revealing a higher complexity of TZ
assembly in vertebrates than in invertebrates.
PMID- 29650681
TI - dNTP metabolism links mechanical cues and YAP/TAZ to cell growth and oncogene
induced senescence.
AB - YAP/TAZ, downstream transducers of the Hippo pathway, are powerful regulators of
cancer growth. How these factors control proliferation remains poorly defined.
Here, we found that YAP/TAZ directly regulate expression of key enzymes involved
in deoxynucleotide biosynthesis and maintain dNTP precursor pools in human cancer
cells. Regulation of deoxynucleotide metabolism is required for YAP-induced cell
growth and underlies the resistance of YAP-addicted cells to chemotherapeutics
targeting dNTP synthesis. During RAS-induced senescence, YAP/TAZ bypass RAS
mediated inhibition of nucleotide metabolism and control senescence. Endogenous
YAP/TAZ targets and signatures are inhibited by RAS/MEK1 during senescence, and
depletion of YAP/TAZ is sufficient to cause senescence-associated phenotypes,
suggesting a role for YAP/TAZ in suppression of senescence. Finally, mechanical
cues, such as ECM stiffness and cell geometry, regulate senescence in a YAP
dependent manner. This study indicates that YAP/TAZ couples cell proliferation
with a metabolism suited for DNA replication and facilitates escape from oncogene
induced senescence. We speculate that this activity might be relevant during the
initial phases of tumour progression or during experimental stem cell
reprogramming induced by YAP.
PMID- 29650682
TI - Phosphoproteome dynamics during mitotic exit in budding yeast.
AB - The cell division cycle culminates in mitosis when two daughter cells are born.
As cyclin-dependent kinase (Cdk) activity reaches its peak, the anaphase
promoting complex/cyclosome (APC/C) is activated to trigger sister chromatid
separation and mitotic spindle elongation, followed by spindle disassembly and
cytokinesis. Degradation of mitotic cyclins and activation of Cdk-counteracting
phosphatases are thought to cause protein dephosphorylation to control these
sequential events. Here, we use budding yeast to analyze phosphorylation dynamics
of 3,456 phosphosites on 1,101 proteins with high temporal resolution as cells
progress synchronously through mitosis. This reveals that successive inactivation
of S and M phase Cdks and of the mitotic kinase Polo contributes to order these
dephosphorylation events. Unexpectedly, we detect as many new phosphorylation
events as there are dephosphorylation events. These correlate with late mitotic
kinase activation and identify numerous candidate targets of these kinases. These
findings revise our view of mitotic exit and portray it as a dynamic process in
which a range of mitotic kinases contribute to order both protein
dephosphorylation and phosphorylation.
PMID- 29650683
TI - FDA Approval Summary: Mylotarg for Treatment of Patients with Relapsed or
Refractory CD33-Positive Acute Myeloid Leukemia.
AB - : On September 2, 2017, the U.S. Food and Drug Administration approved gemtuzumab
ozogamicin (GO; Mylotarg; Pfizer, New York City, NY) for treatment of relapsed or
refractory (R/R) CD33-positive acute myeloid leukemia (AML) in patients 2 years
of age and older. GO is a CD33-directed antibody drug conjugate linked to the
cytotoxic antibiotic calicheamicin. It originally received accelerated approval
for treatment of older patients with relapsed CD33-positive AML in 2000, but it
was withdrawn from the market in 2010 when the confirmatory trial failed to
demonstrate clinical benefit among safety concerns, such as a higher rate of
induction fatalities on the GO combination arm compared with chemotherapy alone.
In addition, GO was associated with hepatic veno-occlusive disease (VOD), which
has substantial morbidity and mortality. Pharmacokinetic analyses suggested a
lower maximum concentration of GO would result in less VOD without affecting
target saturation or efficacy. A meta-analysis across dose schedules of GO in
patients with R/R AML showed that a lower-dose "fractionated" schedule of 3 mg/m2
days 1, 4, and 7 was associated with less early mortality, hemorrhage, and VOD,
without an apparent decrease in complete remission (CR) rate. MyloFrance 1 was a
single-arm study evaluating response rates in patients with relapsed CD33
positive AML treated with the lower-dose fractionated GO regimen. The CR rate was
26% (95% confidence interval 16%-40%). Common adverse reactions were fever,
infections, nausea, vomiting, constipation, bleeding, increased liver enzymes,
and mucositis. There were no cases of VOD. These results supported the approval
of GO as monotherapy for R/R CD33-positive AML using the lower-dose fractionated
regimen. IMPLICATIONS FOR PRACTICE: Gemtuzumab ozogamicin (GO) 3 mg/m2 days 1, 4,
and 7 is an active regimen for induction of remission when used to treat patients
with relapsed or refractory CD33-positive acute myeloid leukemia without curative
intent. The risks of hepatic veno-occlusive disease and early mortality with this
regimen appear to be lower than reported previously for GO 9 mg/m2 days 1 and 15.
The data were not sufficient to enable conclusions about the safety of GO in
children younger than 2 years of age.
PMID- 29650684
TI - Epidermal Growth Factor Receptor Tyrosine Kinase Inhibitors for Central Nervous
System Metastases from Non-Small Cell Lung Cancer.
AB - : Central nervous system (CNS) metastases are a common complication in patients
with epidermal growth factor receptor (EGFR)-mutated non-small cell lung cancer
(NSCLC), resulting in a poor prognosis and limited treatment options. Treatment
of CNS metastases requires a multidisciplinary approach, and the optimal
treatment options and sequence of therapies are yet to be established. Many
systemic therapies have poor efficacy in the CNS due to the challenges of
crossing the blood-brain barrier (BBB), creating a major unmet need for the
development of agents with good BBB-penetrating biopharmaceutical properties.
Although the CNS penetration of first- and second-generation EGFR tyrosine kinase
inhibitors (TKIs) is generally low, EGFR-TKI treatment has been shown to delay
time to CNS progression in patients with CNS metastases from EGFR-mutated
disease. However, a major challenge with EGFR-TKI treatment for patients with
NSCLC is the development of acquired resistance, which occurs in most patients
treated with a first-line EGFR-TKI. Novel EGFR-TKIs, such as osimertinib, have
been specifically designed to address the challenges of acquired resistance and
poor BBB permeability and have demonstrated efficacy in the CNS. A rational,
iterative drug development process to design agents that could penetrate the BBB
could prevent morbidity and mortality associated with CNS disease progression. To
ensure a consistent approach to evaluating CNS efficacy, special consideration
also needs to be given to clinical trial endpoints. IMPLICATIONS FOR PRACTICE:
Historically, treatment options for patients who develop central nervous system
(CNS) metastases have been limited and associated with poor outcomes. The
development of epidermal growth factor receptor (EGFR) tyrosine kinase inhibitors
(TKIs) has improved outcomes for patients with EGFR-mutated disease, and emerging
data have demonstrated the ability of these drugs to cross the blood-brain
barrier and elicit significant intracranial responses. Recent studies have
indicated a role for next-generation EGFR-TKIs, such as osimertinib, in the
treatment of CNS metastases. In the context of an evolving treatment paradigm,
treatment should be individualized to the patient and requires a
multidisciplinary approach.
PMID- 29650685
TI - Osimertinib: A Novel Dermatologic Adverse Event Profile in Patients with Lung
Cancer.
AB - : Dermatologic adverse events (dAEs) are common with the use of epidermal growth
factor receptor-tyrosine kinase inhibitor (EGFR-TKI) therapy. First- and second
generation agents (erlotinib, gefitinib, and afatinib) are frequently associated
with acneiform rash, pruritus, xerosis, and paronychia; the incidence and
characterization of these dAEs have been well described. However, there is
evidence that the dAE profile is different with third-generation EGFR-TKIs.
Herein, we describe the dAEs associated with third-generation EGFR-TKIs and our
clinical experience with osimertinib, a third-generation EGFR-TKI approved by the
U.S. Food and Drug Administration for the treatment of metastatic, EGFR T790M
mutation-positive non-small cell lung cancer in patients whose disease has
progressed on or after EGFR-TKI therapy. Case summaries of patients from two of
our institutions who received osimertinib and were referred to a dermatologist
for dAEs are also presented. Overall, the evidence suggests that osimertinib is
associated with less severe and less frequent dAEs than first- and second
generation EGFR-TKIs and that therefore a different approach is warranted.
Finally, we outline dAE management approaches for osimertinib in the context of
those typically employed with first- and second-generation EGFR-TKIs.
IMPLICATIONS FOR PRACTICE: Appropriate prevention and management of dermatologic
adverse events (dAEs) associated with the use of epidermal growth factor receptor
tyrosine kinase inhibitors (EGFR-TKIs) may help patients to continue therapy and
lessen any negative impact on their quality of life. EGFR-TKIs are frequently
associated with acneiform rash, pruritus, xerosis, and paronychia; however, dAEs
associated with third-generation EGFR-TKIs are lower in frequency and severity.
Before therapy, health care providers should discuss the potential osimertinib
associated dAEs and encourage patients to report their dAEs. Patients should also
be educated on prophylactic measures to minimize the severity of dAEs and the
importance of adherence to the treatment regimen.
PMID- 29650687
TI - The Eighth Edition of TNM Staging of Lung Cancer: Reference Chart and Diagrams.
AB - Lung cancer is the leading cause of cancer-related mortality in the U.S. TNM
staging of lung cancer is implemented to define the extent of disease and
consequently assign prognosis and guide treatment. The newest edition of TNM
staging of lung cancer has been released recently. In this article, we present
the TNM staging of lung cancer in a concise, yet comprehensive, visual format.
PMID- 29650688
TI - Outcomes of Elderly Patients with Advanced Soft Tissue Sarcoma Treated with First
Line Chemotherapy: A Pooled Analysis of 12 EORTC Soft Tissue and Bone Sarcoma
Group Trials.
AB - BACKGROUND: Almost half of patients diagnosed with soft tissue sarcoma (STS) are
older than 65 years; however, the outcomes of elderly patients with metastatic
disease are not well described. PATIENTS AND METHODS: An elderly cohort of
patients aged >=65 years was extracted from the European Organization for
Research and Treatment of Cancer (EORTC) Soft Tissue and Bone Sarcoma Group
database of patients treated with first-line chemotherapy for advanced STS within
12 EORTC clinical trials. Endpoints were overall survival (OS), progression-free
survival (PFS), and response rate (RR). RESULTS: Of 2,810 participants in EORTC
trials, there were 348 elderly patients (12.4%, median 68 years; interquartile
range [IQR], 67-70; maximum 84 years) and 2,462 patients aged <65 years (median
49 years; IQR, 39-57). Most elderly patients had a performance status of 0 (n =
134; 39%) or 1 (n = 177; 51%). Leiomyosarcoma (n = 130; 37%) was the most common
histological subtype. Lung metastases were present in 181 patients (52%) and
liver metastases in 63 patients (18%). Overall, 126 patients (36%) received
doxorubicin, 114 patients (33%) doxorubicin + ifosfamide, 43 patients (12%)
epirubicin, 39 patients (11%) trabectedin, and 26 patients (7%) ifosfamide.
Overall RR was 14.9% (n = 52), median PFS was 3.5 months (95% confidence interval
[CI], 2.7-4.3), and median OS was 10.8 months (95% CI, 9.43-11.83). In patients
aged <65 years, overall RR was 20.3% (n = 501), median OS was 12.3 months (95%
CI, 11.9-12.9), and median PFS was 4.3 months (95% CI, 3.9-4.6). CONCLUSION:
Elderly patients with metastatic STS treated with first-line chemotherapy were
largely underrepresented in these EORTC STS trials. Their outcomes were only
slightly worse than those of younger patients. Novel trials with broader
eligibility criteria are needed for elderly patients. These trials should
incorporate geriatric assessments and measurements of age-adjusted health-related
quality of life. IMPLICATIONS FOR PRACTICE: This analysis demonstrates that
elderly patients with advanced soft tissue sarcoma are underrepresented in
clinical trials of first-line chemotherapy by the European Organization for
Research and Treatment of Cancer Soft Tissue and Bone Sarcoma Group. Furthermore,
the elderly participants were generally of excellent performance status, which is
not representative of an unselected elderly population. These data provide
rationale for development of novel trials for elderly patients that are not only
for "elite" patients but include comprehensive geriatric assessments for risk
stratification. Because chemotherapy for advanced soft tissue sarcomas is largely
given with palliative intent, incorporation of health-related quality of life
measures with traditional endpoints will provide a more holistic approach to
future clinical trials.
PMID- 29650686
TI - Use of Direct Oral Anticoagulants in Patients with Cancer: Practical
Considerations for the Management of Patients with Nausea or Vomiting.
AB - : Direct oral anticoagulants (DOACs) have proven efficacy and safety and are
approved for use in the prevention and treatment of thromboembolic events in
patients with venous thromboembolism (VTE) and those with atrial fibrillation
(AF). There is no clear guidance on the use of DOACs in the significant
proportion of these patients who have or will develop concomitant cancer. The
occurrence of nausea and vomiting in these patients, despite implementation of
guideline-recommended antiemetic strategies, is a particular concern because it
may affect oral drug intake and consequently outcomes with anticoagulation
therapy.Here, we review recent data on the incidence and management of cancer
associated nausea and vomiting and the current evidence and guidance relating to
the use of DOACs in patients with cancer. On the basis of this evidence, an
international working group of experts in the fields of cancer-associated
thrombosis/hemostasis, hematology, and oncology discussed key issues related to
the use of DOACs in patients with VTE or AF and cancer who are at risk of nausea
and vomiting and developed some consensus recommendations. We present these
consensus recommendations, which outline strategies for the use and management of
anticoagulants, including DOACs, in patients with VTE or AF and cancer for whom
oral drug intake may pose challenges. Guidance is provided on managing patients
with gastrointestinal obstruction or nausea and vomiting that is caused by cancer
treatments or other cancer-related factors.The recommendations outlined in this
review provide a useful reference for health care professionals and will help to
improve the management of anticoagulation in patients with VTE or AF and cancer.
IMPLICATIONS FOR PRACTICE: Direct oral anticoagulants (DOACs) offer several
advantages over traditional anticoagulants, including ease of administration and
the lack of need for routine monitoring. However, the management of patients with
an indication for anticoagulation and concomitant cancer, who are at high risk of
thromboembolic events, presents several challenges for administering oral
therapies, particularly with regard to the risk of nausea and vomiting. In the
absence of robust data from randomized trials and specific guidelines, consensus
recommendations were developed for healthcare professionals regarding the use of
DOACs in patients with cancer, with a focus on the management of patients who are
at risk of nausea and vomiting.
PMID- 29650689
TI - Role of MRI T2-DRIVE in the assessment of pituitary stalk abnormalities without
gadolinium in pituitary diseases.
AB - OBJECTIVE: To investigate the role of T2-DRIVE MRI sequence in the accurate
measurement of pituitary stalk (PS) size and the identification of PS
abnormalities in patients with hypothalamic-pituitary disorders without the use
of gadolinium. DESIGN: This was a retrospective study conducted on 242 patients
who underwent MRI due to pituitary dysfunction between 2006 and 2015. Among 135
eligible patients, 102 showed eutopic posterior pituitary (PP) gland and 33
showed 'ectopic' PP (EPP). METHODS: Two readers independently measured the size
of PS in patients with eutopic PP at the proximal, midpoint and distal levels on
pre- and post-contrast T1-weighted as well as T2-DRIVE images; PS visibility was
assessed on pre-contrast T1 and T2-DRIVE sequences in those with EPP. The length,
height, width and volume of the anterior pituitary (AP), PP height and length and
PP area were analyzed. RESULTS: Significant agreement between the two readers was
obtained for T2-DRIVE PS measurements in patients with 'eutopic' PP; a
significant difference was demonstrated between the intraclass correlation
coefficient calculated on the T2-DRIVE and the T1-pre- and post-contrast
sequences. The percentage of PS identified by T2-DRIVE in EPP patients was 72.7%
compared to 30.3% of T1 pre-contrast sequences. A significant association was
found between the visibility of PS on T2-DRIVE and the height of AP. CONCLUSION:
T2-DRIVE sequence is extremely precise and reliable for the evaluation of PS size
and the recognition of PS abnormalities; the use of gadolinium-based contrast
media does not add significant information and may thus be avoided.
PMID- 29650691
TI - Predictive score for the development or progression of Graves' orbitopathy in
patients with newly diagnosed Graves' hyperthyroidism.
AB - OBJECTIVE: To construct a predictive score for the development or progression of
Graves' orbitopathy (GO) in Graves' hyperthyroidism (GH). DESIGN: Prospective
observational study in patients with newly diagnosed GH, treated with antithyroid
drugs (ATD) for 18 months at ten participating centers from EUGOGO in 8 European
countries. METHODS: 348 patients were included with untreated GH but without
obvious GO. Mixed effects logistic regression was used to determine the best
predictors. A predictive score (called PREDIGO) was constructed. RESULTS: GO
occurred in 15% (mild in 13% and moderate to severe in 2%), predominantly at 6-12
months after start of ATD. Independent baseline determinants for the development
of GO were clinical activity score (assigned 5 points if score > 0), TSH-binding
inhibitory immunoglobulins (2 points if TBII 2-10 U/L, 5 points if TBII > 10
U/L), duration of hyperthyroid symptoms (1 point if 1-4 months, 3 points if >4
months) and smoking (2 points if current smoker). Based on the odds ratio of each
of these four determinants, a quantitative predictive score (called PREDIGO) was
constructed ranging from 0 to 15 with higher scores denoting higher risk;
positive and negative predictive values were 0.28 (95% CI 0.20-0.37) and 0.91
(95% CI 0.87-0.94) respectively. CONCLUSIONS: In patients without GO at
diagnosis, 15% will develop GO (13% mild, 2% moderate to severe) during
subsequent treatment with ATD for 18 months. A predictive score called PREDIGO
composed of four baseline determinants was better in predicting those patients
who will not develop obvious GO than who will.
PMID- 29650690
TI - The genetic characteristics of congenital hypothyroidism in China by
comprehensive screening of 21 candidate genes.
AB - OBJECTIVE: Congenital hypothyroidism (CH), the most common neonatal metabolic
disorder, is characterized by impaired neurodevelopment. Although several
candidate genes have been associated with CH, comprehensive screening of
causative genes has been limited. DESIGN AND METHODS: One hundred ten patients
with primary CH were recruited in this study. All exons and exon-intron
boundaries of 21 candidate genes for CH were analyzed by next-generation
sequencing. And the inheritance pattern of causative genes was analyzed by the
study of family pedigrees. RESULTS: Our results showed that 57 patients (51.82%)
carried biallelic mutations (containing compound heterozygous mutations and
homozygous mutations) in six genes (DUOX2, DUOXA2, DUOXA1, TG, TPO and TSHR)
involved in thyroid hormone synthesis. Autosomal recessive inheritance of CH
caused by mutations in DUOX2, DUOXA2, TG and TPO was confirmed by analysis of 22
family pedigrees. Notably, eight mutations in four genes (FOXE1, NKX2-1, PAX8 and
HHEX) that lead to thyroid dysgenesis were identified in eight probands. These
mutations were heterozygous in all cases and hypothyroidism was not observed in
parents of these probands. CONCLUSIONS: Most cases of congenital hypothyroidism
in China were caused by thyroid dyshormonogenesis rather than thyroid dysgenesis.
This study identified previously reported causative genes for 57/110 Chinese
patients and revealed DUOX2 was the most frequently mutated gene in these
patients. Our study expanded the mutation spectrum of CH in Chinese patients,
which was significantly different from Western countries.
PMID- 29650692
TI - MicroRNA-Mediated Therapy Modulating Blood-Brain Barrier Disruption Improves
Vascular Cognitive Impairment.
AB - OBJECTIVE: There are currently no effective treatments for the prevention of
dementia associated with vascular cognitive impairment. MicroRNAs regulate gene
expression at the post-transcriptional level and play key roles in vascular
disorders. TNFalpha (tumor necrosis factor-alpha) regulates blood-brain barrier
breakdown through modification of cerebral tight junctions. Here, we sought key
TNFalpha-responsive microRNAs that might influence blood-brain barrier breakdown
via cerebral tight junction disruption in vascular cognitive impairment. APPROACH
AND RESULTS: Using a mouse model of vascular cognitive impairment, chronic
cerebral hypoperfusion within the white matter was induced with bilateral common
carotid artery stenosis (BCAS) surgery. TNFalpha gene expression was increased in
white matter post-BCAS surgery, and TNFalpha stimulation decreased claudin-5, ZO
1 (tight-junction protein 1), and occludin gene expression in murine brain
endothelial cells. In silico analysis predicted 8 candidate microRNAs as
regulators of claudin-5, ZO-1, and occludin gene expression. Of these, only miR
501-3p was upregulated by TNFalpha in vitro and was upregulated in the white
matter after BCAS surgery. Further, miR-501-3p directly bound to the 3'
untranslated region of human ZO-1 and downregulated transendothelial electric
resistance. In vivo administration of a locked nucleic acid -modified antisense
oligonucleotide versus miR-501-3p suppressed BCAS-induced reduction of ZO-1 gene
expression and blood-brain barrier disruption within the white matter and
significantly ameliorated working memory deficits after BCAS surgery.
CONCLUSIONS: We here provide the first evidence that the TNFalpha-miR-501-3p-ZO-1
axis plays an important role in the pathogenesis of cerebral hypoperfusion
induced working memory deficits and white matter lesions, as a result of blood
brain barrier breakdown via tight junction disruption. Therapeutic manipulation
of miR-501-3p holds promise for limiting vascular cognitive impairment
progression.
PMID- 29650693
TI - High-Mobility Group Box 1 From Hypoxic Trophoblasts Promotes Endothelial
Microparticle Production and Thrombophilia in Preeclampsia.
AB - OBJECTIVE: Thrombophilia is a major complication in preeclampsia, a disease
associated with placental hypoxia and trophoblast inflammation. Preeclampsia
women are known to have increased circulating microparticles that are
procoagulant, but the underlying mechanisms remain unclear. In this study, we
sought to understand the mechanism connecting placental hypoxia, circulating
microparticles, and thrombophilia. APPROACH AND RESULTS: We analyzed protein
markers on plasma microparticles from preeclampsia women and found that the
increased circulating microparticles were mostly from endothelial cells. In
proteomic studies, we identified HMGB1 (high-mobility group box 1), a
proinflammatory protein, as a key factor from hypoxic trophoblasts in stimulating
microparticle production in human umbilical vein endothelial cells.
Immunodepletion or inhibition of HMGB1 in the conditioned medium from hypoxic
human trophoblasts abolished the endothelial microparticle-stimulating activity.
Conversely, recombinant HMGB1 stimulated microparticle production in cultured
human umbilical vein endothelial cells. The microparticles from recombinant HMGB1
stimulated human umbilical vein endothelial cells promoted blood coagulation and
neutrophil activation in vitro. Injection of recombinant HMGB1 in pregnant mice
increased plasma endothelial microparticles and promoted blood coagulation. In
preeclampsia women, elevated placental HMGB1 expression was detected and high
levels of plasma HMGB1 correlated with increased plasma endothelial
microparticles. CONCLUSIONS: Our results indicate that placental hypoxia-induced
HMGB1 expression and release from trophoblasts are important mechanism underlying
increased circulating endothelial microparticles and thrombophilia in
preeclampsia.
PMID- 29650694
TI - Lymphatic Vasculature Requires Estrogen Receptor-alpha Signaling to Protect From
Lymphedema.
AB - OBJECTIVE: Estrogens exert beneficial effect on the blood vascular system.
However, their role on the lymphatic system has been poorly investigated. We
studied the protective effect of the 17beta estradiol-the most potent endogenous
estrogen-in lymphedema-a lymphatic dysfunction, which results in a massive fluid
and fat accumulation in the limb. APPROACH AND RESULTS: Screening of DNA motifs
able to mobilize ERs (estrogen receptors) and quantitative real-time polymerase
chain reaction analysis revealed that estradiol promotes transcriptional
activation of lymphangiogenesis-related gene expression including VEGF (vascular
endothelial growth factor)-D, VEGFR (VEGF receptor)-3, lyve-1, and HASs
(hyaluronan synthases). Using an original model of secondary lymphedema, we
observed a protective effect of estradiol on lymphedema by reducing dermal
backflow-a representative feature of the pathology. Blocking ERalpha by tamoxifen
the selective estrogen modulator-led to a remodeling of the lymphatic network
associated with a strong lymphatic leakage. Moreover, the protection of
lymphedema by estradiol treatment was abrogated by the endothelial deletion of
the receptor ERalpha in Tie2-Cre; ERalphalox/lox mice, which exhibit dilated
lymphatic vessels. This remodeling correlated with a decrease in lymphangiogenic
gene expression. In vitro, blocking ERalpha by tamoxifen in lymphatic endothelial
cells decreased cell-cell junctions, inhibited migration and sprouting, and
resulted in an inhibition of Erk but not of Akt phosphorylation. CONCLUSIONS:
Estradiol protection from developing lymphedema is mediated by an activation of
its receptor ERalpha and is antagonized by tamoxifen. These findings reveal a new
facet of the estrogen influence in the management of the lymphatic system and
provide more evidence that secondary lymphedema is worsened by hormone therapy.
PMID- 29650695
TI - Tbx6 controls left-right asymmetry through regulation of Gdf1.
AB - The Tbx6 transcription factor plays multiple roles during gastrulation, somite
formation and body axis determination. One of the notable features of the Tbx6
homozygous mutant phenotype is randomization of left/right axis determination.
Cilia of the node are morphologically abnormal, leading to the hypothesis that
disrupted nodal flow is the cause of the laterality defect. However, Tbx6 is
expressed around but not in the node, leading to uncertainty as to the mechanism
of this effect. In this study, we have examined the molecular characteristics of
the node and the genetic cascade determining left/right axis determination. We
found evidence that a leftward nodal flow is generated in Tbx6 homozygous mutants
despite the cilia defect, establishing the initial asymmetric gene expression in
Dand5 around the node, but that the transduction of the signal from the node to
the left lateral plate mesoderm is disrupted due to lack of expression of the
Nodal coligand Gdf1 around the node. Gdf1 was shown to be a downstream target of
Tbx6 and a Gdf1 transgene partially rescues the laterality defect.
PMID- 29650696
TI - NPY Induces Stress Resilience via Downregulation of Ih in Principal Neurons of
Rat Basolateral Amygdala.
AB - Neuropeptide Y (NPY) expression is tightly linked with the development of stress
resilience in rodents and humans. Local NPY injections targeting the basolateral
amygdala (BLA) produce long-term behavioral stress resilience in male rats via an
unknown mechanism. Previously, we showed that activation of NPY Y1 receptors
hyperpolarizes BLA principal neurons (PNs) through inhibition of the
hyperpolarization-activated, depolarizing H-current, Ih The present studies
tested whether NPY treatment induces stress resilience by modulating Ih NPY (10
pmol) was delivered daily for 5 d bilaterally into the BLA to induce resilience;
thereafter, the electrophysiological properties of PNs and the expression of Ih
in the BLA were characterized. As reported previously, increases in social
interaction (SI) times persisted weeks after completion of NPY administration. In
vitro intracellular recordings showed that repeated intra-BLA NPY injections
resulted in hyperpolarization of BLA PNs at 2 weeks (2W) and 4 weeks (4W) after
NPY treatment. At 2W, spontaneous IPSC frequencies were increased, whereas at 4W,
resting Ih was markedly reduced and accompanied by decreased levels of HCN1 mRNA
and protein expression in BLA. Knock-down of HCN1 channels in the BLA with
targeted delivery of lentivirus containing HCN1-shRNA increased SI beginning 2W
after injection and induced stress resilience. NPY treatment induced sequential,
complementary changes in the inputs to BLA PNs and their postsynaptic properties
that reduce excitability, a mechanism that contributes to less anxious behavior.
Furthermore, HCN1 knock-down mimicked the increases in SI and stress resilience
observed with NPY, indicating the importance of Ih in stress-related
behavior.SIGNIFICANCE STATEMENT Resilience improves mental health outcomes in
response to adverse situations. Neuropeptide Y (NPY) is associated with decreased
stress responses and the expression of resilience in rodents and humans. Single
or repeated injections of NPY into the basolateral amygdala (BLA) buffer negative
behavioral effects of stress and induce resilience in rats, respectively. Here,
we demonstrate that repeated administration of NPY into the BLA unfolds several
cellular mechanisms that decrease the activity of pyramidal output neurons. One
key mechanism is a reduction in levels of the excitatory ion channel HCN1.
Moreover, shRNA knock-down of HCN1 expression in BLA recapitulates some of the
actions of NPY and causes potent resilience to stress, indicating that this
channel may be a possible target for therapy.
PMID- 29650697
TI - Cell-Type-Specific Contributions of Medial Prefrontal Neurons to Flexible
Behaviors.
AB - Behavioral flexibility and impulse control are necessary for successful execution
of adaptive behavior. They are impaired in patients with damage to the prefrontal
cortex (PFC) and in some clinically important conditions, such as obsessive
compulsive disorder. Although the medial prefrontal cortex (mPFC) has been
investigated as a critical structure for behavioral flexibility and impulse
control, the contribution of the underlying pyramidal neuron cell types in the
mPFC remained to be understood. Here we show that interneuron-mediated local
inactivation of pyramidal neurons in the mPFC of male and female mice induces
both premature responses and choice bias, and establish that these impulsive and
compulsive responses are modulated independently. Cell-type-specific
photoinhibition of pyramidal deep layer corticostriatal or corticothalamic
neurons reduces behavioral flexibility without inducing premature responses.
Together, our data confirm the role of corticostriatal neurons in behavioral
flexibility and demonstrate that flexible behaviors are also modulated by direct
projections from deep layer corticothalamic neurons in the mPFC to midline
thalamic nuclei.SIGNIFICANCE STATEMENT Behavioral flexibility and impulse control
are indispensable for animals to adapt to changes in the environment and often
affected in patients with PFC damage and obsessive-compulsive disorder. We used a
probabilistic reversal task to dissect the underlying neural circuitry in the
mPFC. Through characterization of the three major pyramidal cell types in the
mPFC with optogenetic silencing, we demonstrated that corticostriatal and
corticothalamic but not corticocortical pyramidal neurons are temporally
recruited for behavioral flexibility. Together, our findings confirm the role of
corticostriatal projections in cognitive flexibility and identify corticothalamic
neurons as equally important for behavioral flexibility.
PMID- 29650698
TI - Credit Assignment in a Motor Decision Making Task Is Influenced by Agency and Not
Sensory Prediction Errors.
AB - Failures to obtain reward can occur from errors in action selection or action
execution. Recently, we observed marked differences in choice behavior when the
failure to obtain a reward was attributed to errors in action execution compared
with errors in action selection (McDougle et al., 2016). Specifically,
participants appeared to solve this credit assignment problem by discounting
outcomes in which the absence of reward was attributed to errors in action
execution. Building on recent evidence indicating relatively direct communication
between the cerebellum and basal ganglia, we hypothesized that cerebellar
dependent sensory prediction errors (SPEs), a signal indicating execution
failure, could attenuate value updating within a basal ganglia-dependent
reinforcement learning system. Here we compared the SPE hypothesis to an
alternative, "top-down" hypothesis in which changes in choice behavior reflect
participants' sense of agency. In two experiments with male and female human
participants, we manipulated the strength of SPEs, along with the participants'
sense of agency in the second experiment. The results showed that, whereas the
strength of SPE had no effect on choice behavior, participants were much more
likely to discount the absence of rewards under conditions in which they believed
the reward outcome depended on their ability to produce accurate movements. These
results provide strong evidence that SPEs do not directly influence reinforcement
learning. Instead, a participant's sense of agency appears to play a significant
role in modulating choice behavior when unexpected outcomes can arise from errors
in action execution.SIGNIFICANCE STATEMENT When learning from the outcome of
actions, the brain faces a credit assignment problem: Failures of reward can be
attributed to poor choice selection or poor action execution. Here, we test a
specific hypothesis that execution errors are implicitly signaled by cerebellar
based sensory prediction errors. We evaluate this hypothesis and compare it with
a more "top-down" hypothesis in which the modulation of choice behavior from
execution errors reflects participants' sense of agency. We find that sensory
prediction errors have no significant effect on reinforcement learning. Instead,
instructions influencing participants' belief of causal outcomes appear to be the
main factor influencing their choice behavior.
PMID- 29650699
TI - Update of an occupational asthma-specific job exposure matrix to assess exposure
to 30 specific agents.
AB - OBJECTIVES: We aimed to update an asthmagen job exposure matrix (JEM) developed
in the late 1990s. Main reasons were: the number of suspected and recognised
asthmagens has since tripled; understanding of the aetiological role of irritants
in asthma and methodological insights in application of JEMs have emerged in the
period. METHODS: For each agent of the new occupational asthma-specific JEM
(OAsJEM), a working group of three experts out of eight evaluated exposure for
each International Standard Classification of Occupations, 1988 (ISCO-88) job
code into three categories: 'high' (high probability of exposure and moderate-to
high intensity), 'medium' (low-to-moderate probability or low intensity) and
'unexposed'. Within a working group, experts evaluated exposures independently
from each other. If expert assessments were inconsistent the final decision was
taken by consensus. Specificity was favoured over sensitivity, that is, jobs were
classified with high exposure only if the probability of exposure was high and
the intensity moderate-to-high. In the final review, all experts checked assigned
exposures and proposed/improved recommendations for expert re-evaluation after
default application of the JEM. RESULTS: The OAsJEM covers exposures to 30
sensitisers/irritants, including 12 newly recognised, classified into seven broad
groups. Initial agreement between the three experts was mostly fair to moderate
(kappa values 0.2-0.5). Out of 506 ISCO-88 codes, the majority was classified as
unexposed (from 82.6% (organic solvents) to 99.8% (persulfates)) and a minority
as 'high-exposed' (0.2% (persulfates) to 2.6% (organic solvents)). CONCLUSIONS:
The OAsJEM developed to improve occupational exposure assessment may improve
evaluations of associations with asthma in epidemiological studies and contribute
to assessment of the burden of work-related asthma.
PMID- 29650700
TI - Building one molecule from a reservoir of two atoms.
AB - Chemical reactions typically proceed via stochastic encounters between reactants.
Going beyond this paradigm, we combined exactly two atoms in a single, controlled
reaction. The experimental apparatus traps two individual laser-cooled atoms [one
sodium (Na) and one cesium (Cs)] in separate optical tweezers and then merges
them into one optical dipole trap. Subsequently, photoassociation forms an
excited-state NaCs molecule. The discovery of previously unseen resonances near
the molecular dissociation threshold and measurement of collision rates are
enabled by the tightly trapped ultracold sample of atoms. As laser-cooling and
trapping capabilities are extended to more elements, the technique will enable
the study of more diverse, and eventually more complex, molecules in an isolated
environment, as well as synthesis of designer molecules for qubits.
PMID- 29650701
TI - Elastic strain engineering for ultralow mechanical dissipation.
AB - Extreme stresses can be produced in nanoscale structures; this feature has been
used to realize enhanced materials properties, such as the high mobility of
silicon in modern transistors. We show how nanoscale stress can be used to
realize exceptionally low mechanical dissipation when combined with "soft
clamping"-a form of phononic engineering. Specifically, using a nonuniform
phononic crystal pattern, we colocalize the strain and flexural motion of a free
standing silicon nitride nanobeam. Ringdown measurements at room temperature
reveal string-like vibrational modes with quality (Q) factors as high as 800
million and Q * frequency exceeding 1015 hertz. These results illustrate a
promising route for engineering ultracoherent nanomechanical devices.
PMID- 29650702
TI - RNA buffers the phase separation behavior of prion-like RNA binding proteins.
AB - Prion-like RNA binding proteins (RBPs) such as TDP43 and FUS are largely soluble
in the nucleus but form solid pathological aggregates when mislocalized to the
cytoplasm. What keeps these proteins soluble in the nucleus and promotes
aggregation in the cytoplasm is still unknown. We report here that RNA critically
regulates the phase behavior of prion-like RBPs. Low RNA/protein ratios promote
phase separation into liquid droplets, whereas high ratios prevent droplet
formation in vitro. Reduction of nuclear RNA levels or genetic ablation of RNA
binding causes excessive phase separation and the formation of cytotoxic solid
like assemblies in cells. We propose that the nucleus is a buffered system in
which high RNA concentrations keep RBPs soluble. Changes in RNA levels or RNA
binding abilities of RBPs cause aberrant phase transitions.
PMID- 29650703
TI - mRNA structure determines specificity of a polyQ-driven phase separation.
AB - RNA promotes liquid-liquid phase separation (LLPS) to build membraneless
compartments in cells. How distinct molecular compositions are established and
maintained in these liquid compartments is unknown. Here, we report that
secondary structure allows messenger RNAs (mRNAs) to self-associate and
determines whether an mRNA is recruited to or excluded from liquid compartments.
The polyQ-protein Whi3 induces conformational changes in RNA structure and
generates distinct molecular fluctuations depending on the RNA sequence. These
data support a model in which structure-based, RNA-RNA interactions promote
assembly of distinct droplets and protein-driven, conformational dynamics of the
RNA maintain this identity. Thus, the shape of RNA can promote the formation and
coexistence of the diverse array of RNA-rich liquid compartments found in a
single cell.
PMID- 29650704
TI - High-resolution cryo-EM analysis of the yeast ATP synthase in a lipid membrane.
AB - Mitochondrial adenosine triphosphate (ATP) synthase comprises a membrane embedded
Fo motor that rotates to drive ATP synthesis in the F1 subunit. We used single
particle cryo-electron microscopy (cryo-EM) to obtain structures of the full
complex in a lipid bilayer in the absence or presence of the inhibitor oligomycin
at 3.6- and 3.8-angstrom resolution, respectively. To limit conformational
heterogeneity, we locked the rotor in a single conformation by fusing the F6
subunit of the stator with the delta subunit of the rotor. Assembly of the enzyme
with the F6-delta fusion caused a twisting of the rotor and a 9 degrees rotation
of the Fo c10-ring in the direction of ATP synthesis, relative to the structure
of isolated Fo Our cryo-EM structures show how F1 and Fo are coupled, give
insight into the proton translocation pathway, and show how oligomycin blocks ATP
synthesis.
PMID- 29650705
TI - Outcomes of Peripheral Vascular Interventions in Select Patients With Lower
Extremity Acute Limb Ischemia.
AB - BACKGROUND: Contemporary data on patients presenting with acute limb ischemia
(ALI), who are selected for treatment with endovascular peripheral vascular
interventions (PVI), are limited. Our study examined outcomes following
endovascular PVI in patients with ALI by comparing with patients treated for
chronic critical limb ischemia using a regional quality improvement registry.
METHODS AND RESULTS: Of the 11 035 patients in the Vascular Study Group of New
England PVI database (2010-2014), we identified 365 patients treated for lower
extremity ALI who were 5:1 frequency matched (by procedure year and arterial
segments treated) to 1808 patients treated for critical limb ischemia. ALI
patients treated with PVI had high burden of atherosclerotic risk factors and
were more likely to have had prior ipsilateral revascularizations. ALI patients
were less likely to be treated with self-expanding stents and more likely to
undergo thrombolysis than patients with critical limb ischemia. In multivariable
analysis, ALI was associated with higher technical failure (odds ratio 1.7, 95%
confidence interval, 1.1%-2.5%), increased rate of distal embolization (odds
ratio 2.7, 95% confidence interval, 1.5%-4.9%), longer length of stay (means
ratio 1.6, 95% confidence interval, 1.4%-1.8%), and higher in-hospital mortality
(odds ratio 2.8, 95% confidence interval, 1.3%-5.9%). ALI was not associated with
risk of major amputation or mortality at 1 year. CONCLUSIONS: In a multicenter
cohort of patients treated with PVI, we found that ALI patients selected for
treatment with endovascular techniques experienced greater short-term adverse
events but similar long-term outcomes as their critical limb ischemia
counterparts. Further studies are needed to refine the selection of ALI patients
who are best served by PVI.
PMID- 29650706
TI - Pro-Inflammatory Biomarkers in Stable Versus Acutely Decompensated Heart Failure
With Preserved Ejection Fraction.
AB - BACKGROUND: Underlying inflammation has been increasingly recognized in heart
failure with a preserved ejection fraction (HFpEF). In this study we tested the
hypothesis that pro-inflammatory biomarkers are elevated in patients with acutely
decompensated HFpEF (AD-HFpEF) compared with patients with stable HFpEF (S
HFpEF). METHODS AND RESULTS: Using a post hoc analysis the serum biomarkers tumor
necrosis factor-alpha, high-sensitivity C-reactive protein interleukin 6 and
pentraxin 3 (PTX3) and clinical, demographic, echocardiographic-Doppler and
clinical outcomes data were analyzed in HFpEF patients enrolled in NHLBI Heart
Failure Research Network clinical trials which enrolled patients with either AD
HFpEF or S-HFpEF. Compared to S-HFpEF, AD-HFpEF patients had higher levels of
PTX3 (3.08 ng/mL versus 1.27 ng/mL, P<0.0001), interleukin-6 (4.14 pg/mL versus
1.71 pg/mL, P<0.0001), tumor necrosis factor-alpha (11.54 pg/mL versus 8.62
pg/mL, P=0.0015), and high-sensitivity C-reactive protein (11.90 mg/dL versus
3.42 mg/dL, P<0.0001). Moreover, high-sensitivity C-reactive protein, interleukin
6 and PTX3 levels were significantly higher in AD-HFpEF compared with S-HFpEF
patients admitted for decompensated HF within the previous year. PTX3 was
positively correlated with left atrial volume index (r=0.41, P=0.0017) and left
ventricular mass (r=0.26, P=0.0415), while tumor necrosis factor-alpha was
inversely correlated with E/A ratio (r=-0.31, P=0.0395). CONCLUSIONS: Levels of
pro-inflammatory biomarkers are strikingly higher in AD-HFpEF compared with S
HFpEF patients. PTX3 and tumor necrosis factor-alpha are correlated with
echocardiographic-Doppler evidence of diastolic dysfunction. Taken together these
data support the concept that a heightened pro-inflammatory state has a
pathophysiologic role in the development of AD-HFpEF.
PMID- 29650707
TI - Errors in Electronic Health Record-Based Data Query of Statin Prescriptions in
Patients With Coronary Artery Disease in a Large, Academic, Multispecialty Clinic
Practice.
AB - BACKGROUND: With the recent implementation of the Medicare Quality Payment
Program, providers face increasing accountability for delivering high-quality
care. Such pay-for-performance programs aim to leverage systematic data captured
by electronic health record (EHR) systems to measure performance; however, the
fidelity of EHR query for assessing performance has not been validated compared
with manual chart review. We sought to determine whether our institution's
methodology of EHR query could accurately identify cases in which providers
failed to prescribe statins for eligible patients with coronary artery disease.
METHODS AND RESULTS: A total of 9459 patients with coronary artery disease were
seen at least twice at the Emory Clinic between July 2014 and June 2015, of whom
1338 (14.1%, 95% confidence interval 13.5-14.9%) had no statin prescription or
exemption per EHR query. A total of 120 patient cases were randomly selected and
reviewed by 2 physicians for further adjudication. Of the 120 cases initially
classified as statin prescription failures, only 21 (17.5%; 95% confidence
interval, 11.7-25.3%) represented true failure following physician review.
CONCLUSIONS: Sole reliance on EHR data query to measure quality metrics may lead
to significant errors in assessing provider performance. Institutions should be
cognizant of these potential sources of error, provide support to medical
providers, and form collaborative data management teams to promote and improve
meaningful use of EHRs. We propose actionable steps to improve the accuracy of
EHR data query that require hypothesis testing and prospective validation in
future studies.
PMID- 29650708
TI - Outcomes in Asymptomatic Severe Aortic Stenosis With Preserved Ejection Fraction
Undergoing Rest and Treadmill Stress Echocardiography.
AB - BACKGROUND: In asymptomatic patients with severe aortic stenosis and preserved
left ventricular ejection fraction, we sought to assess the incremental
prognostic value of resting valvuloarterial impedence (Zva) and left ventricular
global longitudinal strain (LV-GLS) to treadmill stress echocardiography. METHODS
AND RESULTS: We studied 504 such patients (66+/-12 years, 78% men, 32% with
coronary artery disease who underwent treadmill stress echocardiography between
2001 and 2012. Clinical and exercise variables (% of age-sex predicted metabolic
equivalents [%AGP-METs]) were recorded. Resting Zva ([systolic arterial
pressure+mean aortic valve gradient]/[LV-stroke volume index]) and LV-GLS
(measured offline using Velocity Vector Imaging, Siemens) were obtained from the
baseline resting echocardiogram. Death was the primary outcome. There were no
major adverse cardiac events during treadmill stress echocardiography. Indexed
aortic valve area, Zva, and LV-GLS were 0.46+/-0.1 cm2/m2, 4.5+/-0.9 mm Hg/mL per
m2 and -16+/-4%, respectively; only 50% achieved >100% AGP-METs. Sixty-four
percent underwent aortic valve replacement. Death occurred in 164 (33%) patients
over 8.9+/-3.6 years (2 within 30 days of aortic valve replacement). On
multivariable Cox survival analysis, higher Society of Thoracic Surgeons score
(hazard ratio or HR 1.06), lower % AGP-METS (HR 1.16), higher Zva (HR 1.25) and
lower LV-GLS (HR 1.12) were associated with higher longer-term mortality, while
aortic valve replacement (HR 0.45) was associated with improved survival (all
P<0.01). Sequential addition of ZVa and LV-GLS to clinical model (Society of
Thoracic Surgeons score and %AGP-METs) increased the c-statistic from 0.65 to
0.69 and 0.75, respectively, both P<0.001); findings were similar in the subgroup
of patients who underwent aortic valve replacement. CONCLUSIONS: In asymptomatic
patients with severe aortic stenosis undergoing treadmill stress
echocardiography, LV-GLS and ZVa offer incremental prognostic value.
PMID- 29650709
TI - Machine Learning Methods Improve Prognostication, Identify Clinically Distinct
Phenotypes, and Detect Heterogeneity in Response to Therapy in a Large Cohort of
Heart Failure Patients.
AB - BACKGROUND: Whereas heart failure (HF) is a complex clinical syndrome,
conventional approaches to its management have treated it as a singular disease,
leading to inadequate patient care and inefficient clinical trials. We
hypothesized that applying advanced analytics to a large cohort of HF patients
would improve prognostication of outcomes, identify distinct patient phenotypes,
and detect heterogeneity in treatment response. METHODS AND RESULTS: The Swedish
Heart Failure Registry is a nationwide registry collecting detailed demographic,
clinical, laboratory, and medication data and linked to databases with outcome
information. We applied random forest modeling to identify predictors of 1-year
survival. Cluster analysis was performed and validated using serial
bootstrapping. Association between clusters and survival was assessed with Cox
proportional hazards modeling and interaction testing was performed to assess for
heterogeneity in response to HF pharmacotherapy across propensity-matched
clusters. Our study included 44 886 HF patients enrolled in the Swedish Heart
Failure Registry between 2000 and 2012. Random forest modeling demonstrated
excellent calibration and discrimination for survival (C-statistic=0.83) whereas
left ventricular ejection fraction did not (C-statistic=0.52): there were no
meaningful differences per strata of left ventricular ejection fraction (1-year
survival: 80%, 81%, 83%, and 84%). Cluster analysis using the 8 highest
predictive variables identified 4 clinically relevant subgroups of HF with marked
differences in 1-year survival. There were significant interactions between
propensity-matched clusters (across age, sex, and left ventricular ejection
fraction and the following medications: diuretics, angiotensin-converting enzyme
inhibitors, beta-blockers, and nitrates, P<0.001, all). CONCLUSIONS: Machine
learning algorithms accurately predicted outcomes in a large data set of HF
patients. Cluster analysis identified 4 distinct phenotypes that differed
significantly in outcomes and in response to therapeutics. Use of these novel
analytic approaches has the potential to enhance effectiveness of current
therapies and transform future HF clinical trials.
PMID- 29650710
TI - Plasma Concentrations and Dietary Intakes of Choline and Betaine in Association
With Atrial Fibrillation Risk: Results From 3 Prospective Cohorts With Different
Health Profiles.
AB - BACKGROUND: Although choline metabolism has been associated with atherosclerotic
heart disease, less research attention has been paid to the associations of
choline and its oxidative metabolite betaine with cardiac arrhythmias. METHODS
AND RESULTS: We evaluated associations of plasma concentrations and dietary
intakes of choline and betaine with long-term atrial fibrillation (AF) risk in a
community-based cohort, HUSK ([the Hordaland Health Study] n=6949), and validated
the findings in 2 patient cohorts: the Western Norway Coronary Angiography Cohort
(n=4164) and the NORVIT (Norwegian B-Vitamin) Trial (n=3733). Information on AF
was obtained from the CVDNOR (Cardiovascular Disease in Norway) project. In HUSK,
WECAC (Western Norway Coronary Angiography Cohort), and NORVIT, 552, 411, and 663
AF cases were identified during a median follow-up time of 10.9, 7.3, and, 8.7
years, respectively. Plasma concentrations of choline and betaine were
significantly positively associated with later AF risk after multivariable
adjustments in HUSK. Such associations were independently replicated in the 2
external prospective patient cohorts. The pooled hazard ratio was 1.13 (95%
confidence interval 1.08-1.19, P<0.001) and 1.16 (95% confidence interval 1.10
1.22, P<0.001) per SD increment for log-transformed choline and betaine,
respectively. Moreover, dietary intake of choline was marginally associated with
AF risk (pooled hazard ratio 1.29, 95% confidence interval 1.01-1.66, fifth
versus first quintile), whereas no significant association was observed between
dietary betaine and AF risk. CONCLUSIONS: Our findings indicate that plasma
concentrations as well as dietary intake of choline, but not betaine, are
associated with subsequent risk of AF, suggesting a potential role of choline
metabolism in the pathogenesis of AF. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov.Unique identifier: NCT00671346.
PMID- 29650711
TI - American Heart Association's Life Simple 7 and Risk of Atrial Fibrillation in a
Population Without Known Cardiovascular Disease: The ARIC (Atherosclerosis Risk
in Communities) Study.
AB - BACKGROUND: The American Heart Association has defined metrics of ideal
cardiovascular health known as Life's Simple 7 (LS7) to prevent cardiovascular
disease. We examined the association between LS7 and incident atrial fibrillation
(AF) in a biracial cohort of middle- and older-aged adults without known
cardiovascular disease. METHODS AND RESULTS: This analysis included 13 182 ARIC
(Atherosclerosis Risk in Communities) study participants (mean baseline age=54+/
5.7 years; 56% women; 25% black) free of AF and cardiovascular disease. An
overall LS7 score was calculated as the sum of the LS7 component scores and
classified as inadequate (0-4), average (5-9), or optimal (10-14) cardiovascular
health. The primary outcome was incident AF, identified primarily by ECG and
hospital discharge coding of AF through December 31, 2014. A total of 2266 (17%)
incident AF cases were detected over a median follow-up of 25.1 years. Compared
with the inadequate category (n=1057), participants in the average (n=8629) and
optimal (n=3496) categories each had a lower risk of developing AF in a
multivariable Cox proportional hazards model (hazard ratio 0.59, 95% confidence
interval 0.51, 0.67 for average; and hazard ratio 0.38, 95% confidence interval
0.32, 0.44 for optimal). In a similar model, a 1-point-higher LS7 score was
associated with a 12% lower risk of incident AF (hazard ratio 0.88, 95%
confidence interval 0.86, 0.89). CONCLUSIONS: A higher LS7 score is strongly
associated with a lower risk of AF in individuals without baseline cardiovascular
disease. Determining whether interventions that improve the population's
cardiovascular health also reduce AF incidence is needed.
PMID- 29650712
TI - Outcome Prediction in Acute Stroke Patients by Continuous Glucose Monitoring.
AB - BACKGROUND: The purpose of this study was to examine the relationships between
glucose parameters obtained by continuous glucose monitoring and clinical
outcomes in acute stroke patients. METHODS AND RESULTS: Consecutive patients with
acute ischemic stroke or intracerebral hemorrhage within 24 hours after onset
were included. A continuous glucose monitoring device (iPro2) was attached for
the initial 72 hours after emergent admission. Eight glucose parameters were
obtained from continuous glucose monitoring: maximum, minimum, mean, and SD of
blood glucose levels, as well as area under the curve more than 8 mmol/L of blood
glucose, distribution time more than 8 mmol/L of blood glucose, coefficient of
variation (%CV), and presence of time less than 4 mmol/L over 72 hours. The
primary outcome measure was death or dependency at 3 months (modified Rankin
Scale score >=3). One hundred patients with acute ischemic stroke (n=58) or
intracerebral hemorrhage (n=42) were included. Blood glucose levels varied
between 5.2+/-1.4 and 11.4+/-3.2 mmol/L over 72 hours, with area under the curve
more than 8 mmol/L of blood glucose of 0.7+/-1.4 min*mmol/L, distribution time
more than 8 mmol/L of blood glucose of 31.7+/-32.7%, coefficient of variation of
15.5+/-5.4%, and presence of hypoglycemia in 20% of overall patients. Mean
glucose level (adjusted odds ratio, 1.60, 95% confidence interval, 1.12-2.28/1
mmol/L), area under the curve more than 8 mmol/L of blood glucose (2.13, 1.12
4.02/1 min*mmol/L), and distribution time more than 8 mmol/L of blood glucose
(1.25, 1.05-1.50/10%) were related to death or dependency for overall patients,
as well as for acute ischemic stroke patients (2.05, 1.15-3.65; 2.38, 1.04-5.44;
1.85, 1.10-3.10, respectively). CONCLUSIONS: High mean glucose levels,
distribution time more than 8 mmol/L of blood glucose, and areas under the curve
more than 8 mmol/L of blood glucose during the initial 72 hours of acute stroke
were associated with death or dependency at 3 months.
PMID- 29650713
TI - Is Achieving the American Heart Association's Life Simple 7 Goals Sufficient to
Reduce the Burden of Atrial Fibrillation? No Simple Answers.
PMID- 29650715
TI - Watchdog bans "misleading" ads for online GP consultation service Push Doctor.
PMID- 29650714
TI - Awareness of Racial Disparities in Kidney Transplantation among Health Care
Providers in Dialysis Facilities.
AB - BACKGROUND AND OBJECTIVES: Despite the important role that health care providers
at dialysis facilities have in reducing racial disparities in access to kidney
transplantation in the United States, little is known about provider awareness of
these disparities. We aimed to evaluate health care providers' awareness of
racial disparities in kidney transplant waitlisting and identify factors
associated with awareness. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We
conducted a cross-sectional analysis of a survey of providers from low
waitlisting dialysis facilities (n=655) across all 18 ESRD networks administered
in 2016 in the United States merged with 2014 US Renal Data System and 2014 US
Census data. Awareness of national racial disparity in waitlisting was defined as
responding "yes" to the question: "Nationally, do you think that African
Americans currently have lower waitlisting rates than white patients on average?"
The secondary outcome was providers' perceptions of racial difference in
waitlisting at their own facilities. RESULTS: Among 655 providers surveyed, 19%
were aware of the national racial disparity in waitlisting: 50% (57 of 113) of
medical directors, 11% (35 of 327) of nurse managers, and 16% (35 of 215) of
other providers. In analyses adjusted for provider and facility characteristics,
nurse managers (versus medical directors; odds ratio, 7.33; 95% confidence
interval, 3.35 to 16.0) and white providers (versus black providers; odds ratio,
2.64; 95% confidence interval, 1.39 to 5.02) were more likely to be unaware of a
national racial disparity in waitlisting. Facilities in the South (versus the
Northeast; odds ratio, 3.05; 95% confidence interval, 1.04 to 8.94) and
facilities with a low percentage of blacks (versus a high percentage of blacks;
odds ratio, 1.86; 95% confidence interval, 1.02 to 3.39) were more likely to be
unaware. One quarter of facilities had >5% racial difference in waitlisting
within their own facilities, but only 5% were aware of the disparity.
CONCLUSIONS: Among a limited sample of dialysis facilities with low waitlisting,
provider awareness of racial disparities in kidney transplant waitlisting was
low, particularly among staff who may have more routine contact with patients.
PMID- 29650716
TI - Cardiovascular Genetic Risk Testing for Targeting Statin Therapy in the Primary
Prevention of Atherosclerotic Cardiovascular Disease: A Cost-Effectiveness
Analysis.
AB - BACKGROUND: It is unclear whether testing for novel risk factors, such as a
cardiovascular genetic risk score (cGRS), improves clinical decision making or
health outcomes when used for targeting statin initiation in the primary
prevention of atherosclerotic cardiovascular disease (ASCVD). Our objective was
to estimate the cost-effectiveness of cGRS testing to inform clinical decision
making about statin initiation in individuals with low-to-intermediate (2.5%
7.5%) 10-year predicted risk of ASCVD. METHODS AND RESULTS: We evaluated the cost
effectiveness of testing for a 27-single-nucleotide polymorphism cGRS comparing 4
test/treat strategies: treat all, treat none, test/treat if cGRS is high, and
test/treat if cGRS is intermediate or high. We tested a set of clinical scenarios
of men and women, aged 45 to 65 years, with 10-year ASCVD risks between 2.5% and
7.5%. Our primary outcome measure was cost per quality-adjusted life-year gained.
Under base case assumptions for statin disutility and cost, the preferred
strategy is to treat all patients with ASCVD risk >2.5% without cGRS testing. For
certain clinical scenarios, such as a 57-year-old man with a 10-year ASCVD risk
of 7.5%, cGRS testing can be cost-effective under a limited set of assumptions;
for example, when statins cost $15 per month and statin disutility is 0.013 (ie,
willing to trade 3 months of life in perfect health to avoid 20 years of statin
therapy), the preferred strategy (using a willingness-to-pay threshold of $50 000
per quality-adjusted life-year gained) is to test and treat if cGRS is
intermediate or high. Overall, the results were not sensitive to assumptions
about statin efficacy and harms. CONCLUSIONS: Testing for a 27-single-nucleotide
polymorphism cGRS is generally not a cost-effective approach for targeting statin
therapy in the primary prevention of ASCVD for low- to intermediate-risk
patients.
PMID- 29650717
TI - National Trends of Hospital Performance in Acute Myocardial Infarction Care:
Department of Veterans Affairs, 2011-2014.
PMID- 29650718
TI - Statins and the Classic Decision Analysis: Treat, Test, or Neither?
PMID- 29650719
TI - Science in Social Media: Debating the Effects of Readmission Penalties.
PMID- 29650720
TI - Academic Cardiology and Social Media: Navigating the Wisdom and Madness of the
Crowd.
PMID- 29650721
TI - LECT2 promotes inflammation and insulin resistance in adipocytes via P38
pathways.
AB - Leukocyte cell-derived chemotaxin 2 (LECT2) is a recently identified novel
hepatokine that causes insulin resistance in skeletal muscle by activating c-Jun
N-terminal kinase (JNK), thereby driving atherosclerotic inflammation. However,
the role of LECT2 in inflammation and insulin resistance in adipocytes has not
been investigated. In this study, we report that LECT2 treatment of
differentiated 3T3-L1 cells stimulates P38 phosphorylation in a dose-dependent
manner. LECT2 also enhanced inflammation markers such as IkappaB phosphorylation,
nuclear factor kappa beta (NF-kappaB) phosphorylation and IL-6 expression.
Moreover, LECT2 treatment impaired insulin signaling in differentiated 3T3-L1
cells, as evidenced by the decreased levels of insulin receptor substrate (IRS-1)
and Akt phosphorylation and reduced insulin-stimulated glucose uptake.
Furthermore, LECT2 augmented lipid accumulation during 3T3-L1 cell
differentiation by activating SREBP1c-mediated signaling. All these effects were
significantly abrogated by siRNA-mediated silencing of P38, CD209 expression or a
JNK inhibitor. Our findings suggest that LECT2 stimulates inflammation and
insulin resistance in adipocytes via activation of a CD209/P38-dependent pathway.
Thus, these results suggest effective therapeutic targets for treating
inflammation-mediated insulin resistance.
PMID- 29650722
TI - Challenge of communicating uncertainty in systematic reviews when applying GRADE
ratings.
PMID- 29650723
TI - Rivaroxaban plus aspirin, compared with aspirin alone, reduced cardiovascular
events in patients with stable peripheral or carotid artery disease, but
increased the risk of major bleeding.
PMID- 29650724
TI - When authors lie, readers cry and editors sigh.
PMID- 29650725
TI - The effect of publication bias magnitude and direction on the certainty in
evidence.
AB - Publication bias occurs when studies with statistically significant results have
increased likelihood of being published. Publication bias is commonly associated
with inflated treatment effect which lowers the certainty of decision makers
about the evidence. In this guide we propose that systematic reviewers and
decision makers consider the direction and magnitude of publication bias, as
opposed to just the binary determination of the presence of this bias, before
lowering their certainty in the evidence. Direction of bias may not always
exaggerate the treatment effect. The presence of bias with a trivial magnitude
may not affect the decision at hand. Various statistical approaches are available
to determine the direction and magnitude of publication bias.
PMID- 29650726
TI - Reduction of healthcare costs through a transitions-of-care program.
AB - PURPOSE: Results of an evaluation of the impact of a pharmacy-based transitional
care program on healthcare costs in a population of high-risk patients are
reported. METHODS: A nonrandomized, observational cohort study was conducted to
compare cost outcomes in a group of patients discharged from a single hospital
who were referred to an ambulatory care pharmacy-based transitions-of-care (TOC)
program and a control group of patients discharged from neighboring hospitals who
received usual care; all patients were members of the same managed Medicaid plan.
The intervention and control groups were matched by number of hospitalizations
during the 180 days preceding the index admission and by index admission length
of stay. In the intervention group, all matched patients referred for TOC
services (including those who did not qualify for services, could not be
contacted, or declined services) were included in an intent-to-treat analysis.
Thirty- and 180-day inpatient, outpatient, prescription, emergency room, and
total costs were analyzed by ordinary least-squares and generalized linear model
regressions, with selected costs further analyzed using two-part regression
models. RESULTS: Among 830 patients referred to the TOC program, total healthcare
costs at 180 days after discharge were an average of $2,139 lower than costs in
the control group, yielding estimated savings of nearly $1.8 million for the
managed care plan. CONCLUSION: Compared with usual postdischarge care, use of TOC
services was associated with a significant reduction in 180-day total healthcare
costs.
PMID- 29650727
TI - A pharmacy-led United States Pharmacopeia (USP) chapter 800 compliance
collaborative at an academic medical center.
AB - PURPOSE: One academic medical center's efforts to move toward compliance with
requirements of United States Pharmacopeia (USP) chapter 800 through a
multidepartmental collaborative initiative are described. SUMMARY: Requirements
of USP general chapter 800 (enforceable as of December 2019) address the handling
of hazardous drugs (HDs) throughout the entire operational and clinical cycle,
from receiving to compounding, administration, and waste disposal. Due to the
variety of pharmacy operational areas in which HDs are encountered at University
of North Carolina Medical Center (UNCMC), multiple pharmacy managers oversee the
safe handling of HDs. To determine baseline compliance with USP chapter 800
requirements, a common assessment tool was developed to ensure a standardized
approach to compliance assessment in all areas. An interdepartmental workgroup
was created to ensure institutionwide support for a collaborative compliance
initiative, a uniform understanding of compliance risks, and robust action
planning. UNCMC has taken a number of steps toward USP chapter compliance in
areas such as engineering controls, environmental quality and controls, use of
personal protective equipment, hazard communication programs, personnel training,
spill control, and medical surveillance. CONCLUSION: Achieving USP chapter 800
compliance presented several operational, clinical, and financial challenges for
the medical center, requiring months of preparation and diligence by the hospital
leadership. The pharmacy department-led compliance collaborative allowed
departments to proactively align while implementing practice and quality
standards to foster safety for patients, workers, and the environment.
PMID- 29650728
TI - Metabolic strugGLS after FLT3 inhibition in AML.
PMID- 29650729
TI - Venetoclax after idelalisib: relevant progress for CLL.
PMID- 29650730
TI - Can genetics resolve what Notch does in HSCs?
PMID- 29650731
TI - Lymphoma exosomes reprogram the bone marrow.
PMID- 29650732
TI - Chemokines: a novel chronic GVHD target.
PMID- 29650733
TI - ALK+ small cell variant of anaplastic large cell lymphoma with leukemic
presentation.
PMID- 29650734
TI - Monomorphic epitheliotropic intestinal T-cell lymphoma involving the central
nervous system.
PMID- 29650735
TI - A greater understanding.
PMID- 29650736
TI - ?
PMID- 29650737
TI - Approaches to primary care of adults with intellectual and developmental
disabilities: Importance of frameworks for guidelines.
PMID- 29650738
TI - Consumer inclusion: Experience of patients with intellectual and developmental
disabilities informs primary care.
PMID- 29650739
TI - ?
PMID- 29650740
TI - Managing complexity in care of patients with intellectual and developmental
disabilities: Natural fit for the family physician as an expert generalist.
AB - OBJECTIVE: To delineate the factors inherent in caring for patients with
intellectual and developmental disabilities (IDD) that lead to complexity and to
provide perspectives and techniques mapped to the phases of the clinical
encounter. SOURCES OF INFORMATION: The authors of the physical health section of
the 2018 Canadian consensus guidelines on the primary care of adults with IDD
consisted of family physicians, all of whom practise comprehensive family
medicine with additional clinical experience in care of adults with IDD. These
authors reviewed evidence on which their recommendations are based and these
recommendations have undergone a rigorous peer review to ensure that they deserve
special attention because they highlight what is different from what a family
physician would consider to constitute "normal care" for the general population.
MAIN MESSAGE: Additional factors across the phases of clinical encounters with
patients with IDD include the need for the following: an initial assessment that
identifies genetic or neurologic conditions to guide anticipatory care and
isolates unique barriers to health promotion and chronic disease management;
adaptations to history taking, particularly for patients who are unable to
describe symptoms owing to cognitive and communication deficits; overcoming
challenges to performing physical examinations and certain investigations;
addressing uncertainty in the formulation of hypotheses to establish an
appropriate diagnosis; and involvement of resources of the developmental services
sector to provide a management plan as well as an adapted empathetic approach in
order to integrate the patient's illness experience. CONCLUSION: Although each
patient with IDD is unique, and care of patients with IDD requires knowledge of
certain conditions, these considerations are readily identifiable, and family
physicians as expert generalists are well equipped to provide excellent care to
patients with IDD.
PMID- 29650741
TI - HELP for behaviours that challenge in adults with intellectual and developmental
disabilities.
AB - OBJECTIVE: To provide primary care physicians with an understanding of the causes
of behaviours that challenge (BTC) in adults with intellectual and developmental
disabilities (IDD), as presented in the 2018 Canadian consensus guidelines for
primary care of adults with IDD; to offer a systematic approach to the assessment
and treatment of such behaviours; and to link to tools to support these
assessments. SOURCES OF INFORMATION: This review elaborates upon guidelines 26 to
29 in the mental health section of the 2018 Canadian consensus guidelines.
Several of the authors participated in the development of these guidelines, which
were based on literature searches and interdisciplinary input. MAIN MESSAGE: Most
adults with IDD are followed by primary care providers but they comprise a small
proportion of primary care practices. Unique ways of communicating needs,
diagnostic queries, and BTC are common in this population. This complexity can
lead to missed diagnoses and inappropriate antipsychotic medication use with
attendant risks. This article presents a systematic approach, HELP, to the
assessment and treatment of factors of Health, Environment, Lived experience, and
Psychiatric conditions that can lead to BTC and includes tools to support these
assessments. CONCLUSION: A structured approach to the assessment and treatment of
BTC in adults with IDD helps family physicians provide guideline-directed,
individualized care to this population. This includes a systematic evaluation
using the HELP framework that takes place over multiple visits. A team of health
professionals might be needed for optimal care, but these resources are not
routinely available across Canada.
PMID- 29650742
TI - Supporting adults with intellectual and developmental disabilities to participate
in health care decision making.
AB - OBJECTIVE: To discuss what is new in the revised guideline 3 of the "Primary care
of adults with intellectual and developmental disabilities [IDD]. 2018 Canadian
consensus guidelines" on decision-making capacity, and how to implement the
recommendations. QUALITY OF EVIDENCE: Integrative review based on a literature
search, the framework of the United Nations Convention on the Rights of Persons
with Disabilities, and the experience of the authors. MAIN MESSAGE: Person
centred health care of adults with IDD should include all possible contributions
from the patient in decision making. At present, legal criteria do not address
the relational aspects of decision making that are important for adults with IDD.
The revised guideline 3 incorporates recent thinking regarding supported and
shared decision making. It envisages decision making as a collaborative exercise
in which the patient, trusted caregivers, and the family physician all are
involved in deciding on medically appropriate interventions that promote the
patient's goals or values. CONCLUSION: Family physicians and caregivers both play
an important role in supporting adults with IDD so that they can participate in
health care decision making. Communication, mediation, and advocacy skills, plus
the use of tools adapted for adults with IDD, can facilitate the family
physician's role.
PMID- 29650743
TI - Improving transition to adulthood for adolescents with intellectual and
developmental disabilities: Proactive developmental and systems perspective.
AB - OBJECTIVE: To demonstrate how family physicians can contribute to a piece of the
journey of improving quality-of-life outcomes for people with intellectual and
developmental disabilities (IDD) when they undergo the transition from
adolescence to adulthood. SOURCES OF INFORMATION: The "Primary care of adults
with intellectual and developmental disabilities. 2018 Canadian consensus
guidelines" literature review and interdisciplinary input. MAIN MESSAGE: Family
physicians should be proactive in anticipating and supporting the transition of
people with IDD from adolescence to adulthood. Interventions should be guided by
a developmental perspective regarding the person with IDD and a life-cycle
approach to supporting families. Family physicians also have a role in helping
people with IDD and their families to navigate successfully through changing
community-based support systems in their province, especially health care and
social services systems. Therefore, family physicians should be aware of current
services available in their regions. CONCLUSION: Community and team-based family
physicians can optimize the quality of life of people with IDD and their families
by adopting a proactive developmental and systems approach to preparing youth
with IDD for adulthood. In doing so, they exemplify the 4 principles of family
medicine.
PMID- 29650744
TI - Health checks for adults with intellectual and developmental disabilities in a
family practice.
AB - OBJECTIVE: To provide tips and tools for primary care practitioners carrying out
health checks for adult patients with intellectual and developmental disabilities
(IDD) and for implementing a systematic program of health checks in a group or
team practice. SOURCES OF INFORMATION: The "Primary Care of Adults with
Intellectual and Developmental Disabilities. 2018 Canadian Consensus Guidelines"
literature review and interdisciplinary input. Experience in implementing health
checks in family practices was obtained through the primary care project of H
CARDD (Health Care Access Research and Developmental Disabilities). MAIN MESSAGE:
Annual comprehensive health assessments ("health checks") are a recommendation of
the 2018 Canadian consensus guidelines for primary care of adults with IDD
because of evidence of benefit in this population. Although health checks might
require more time to complete for people with IDD than is usual for encounters in
primary care, family physicians are in an ideal position to provide this service
because of the attributes of family medicine, which include both an orientation
to proactive care and the ability to provide continuity of care. Tips and tools
are provided for carrying out health checks for adult patients with IDD and for
implementing a systematic program of health checks in a group or team practice.
CONCLUSION: Health checks can help enhance a family physician's approach to
providing care for adults with IDD.
PMID- 29650745
TI - Circles of care for people with intellectual and developmental disabilities:
Communication, collaboration, and coordination.
AB - OBJECTIVE: To review health information exchange (HIE) processes that affect the
health of people with intellectual and developmental disabilities (IDD) and to
suggest practical tips and strategies for communicating, collaborating, and
coordinating in the primary care setting. SOURCES OF INFORMATION: The "Primary
care of adults with intellectual and developmental disabilities. 2018 Canadian
consensus guidelines" literature review and interdisciplinary input. MAIN
MESSAGE: Disparities exist between the provision of health care for the general
population and that for people with IDD. These disparities are due in part to
gaps in HIE. Health information exchange involves documenting, collecting, and
disseminating a patient's health information. In exploring ways to improve HIE
for people with IDD, the communication skills of the family physician are
considered in the context of the triad that includes the patient, his or her
caregivers, and the family physician. The framework of the Patient's Medical Home
is used in exploring these processes, and various strategies are offered for
communicating, collaborating, and coordinating health care that can be
implemented by family physicians in order to narrow the gaps in care that exist
for people with IDD. CONCLUSION: Improvements in HIE by communicating,
collaborating, and coordinating health care better will improve health outcomes
for people with IDD.
PMID- 29650746
TI - Comprehensive preventive care assessments for adults with intellectual and
developmental disabilities: Part 1: How do we know if it is happening?
AB - OBJECTIVE: To determine how best to measure the provision of comprehensive
preventive care assessment of adults with intellectual and developmental
disabilities (IDD). DESIGN: Cross-sectional study. SETTING: Ontario.
PARTICIPANTS: Adults with IDD between 40 and 64 years of age in 2013 and 2014.
MAIN OUTCOME MEASURES: Health examination was defined using the Ontario Health
Insurance Plan billing data fee code A003 (with diagnostic code 917 or 319) or
fee code K131, and the Primary Care Quality Composite Score (PCQS), a measure
combining 7 different screening maneuvers (lipid, glucose, breast cancer,
cervical cancer, colorectal cancer, eye, and hemoglobin A1c screening), was
identified using administrative health data. RESULTS: A total of 28 825 adults
with IDD were identified in 2013 and 2014. Overall, 12.1% of adults with IDD
received a health examination; 51.2% received a high (>= 0.6) PCQS. Male patients
were more likely to have received all of their eligible screening maneuvers if
they had had a health examination compared with female patients (odds ratio of
5.73 vs 3.99, respectively). CONCLUSION: Less than 60% of adults with IDD appear
to be receiving comprehensive preventive care. Future studies assessing the
quality of preventive care received by adults with IDD should combine health
examination billing codes and the PCQS.
PMID- 29650747
TI - Patient-centred primary care of adults with severe and profound intellectual and
developmental disabilities: Patient-caregiver-physician relationship.
AB - OBJECTIVE: To explore the process of the development of the patient-physician
relationship in adult patients with severe or profound intellectual and
developmental disabilities (IDD), from the perspective of the patients'
caregivers. DESIGN: Constructivist grounded theory. SETTING: St John's, NL.
PARTICIPANTS: Thirteen primary caregivers (5 males, 8 females) of 1 or more
adults with severe or profound IDD. METHODS: Data were collected via in-depth,
semistructured interviews conducted in person or by telephone. Interviews were
audiorecorded and transcribed verbatim. Field notes were documented immediately
by the interviewer and discussed with the research team. Memos in the form of
reflective notes served as additional sources of data. MAIN FINDINGS: From the
perspective of the caregivers, the core process in the development of the patient
physician relationship was protection. This process began as a result of the
caregiver's recognition of the patient's vulnerability and moved through a number
of stages before resulting in the development of a dynamic triangular interaction
between the patient, caregiver, and family physician. First, the caregiver
provides extreme nurturing to the patient, which results in the development of a
strong bond between them. The patient and caregiver approached the family
physician together as one unit, and then decided together on whether or not to
open the patient-caregiver bond to the physician. The resultant dynamic
triangular interaction formed the starting point from which 1 of 4 different
relationship-development trajectories began. Which trajectory was taken and,
therefore, the character of the relationships that developed was determined by
how the caregiver and patient experienced their interaction with the family
physician. CONCLUSION: Findings highlight the process of protection and the
centrality of the patient-caregiver bond within the development of a triadic
relationship involving the patient with IDD, the caregiver, and the family
physician. How a physician approaches this bond can influence the trajectory of
the resulting relationship.
PMID- 29650749
TI - Hello from the other side: Parental reflections on the patient-parent-family
physician triadic relationship.
PMID- 29650748
TI - Exploring the prenatal experience of women with intellectual and developmental
disabilities: In a southeastern Ontario family health team.
AB - OBJECTIVE: To identify psychosocial challenges facing pregnant women with
intellectual and developmental disabilities (IDD) using retrospective, routinely
collected electronic medical record data. DESIGN: A retrospective qualitative
study using narratives and supporting documents found in the electronic medical
record of an academic family health team (FHT). SETTING: Academic FHT in
southeastern Ontario. PARTICIPANTS: A sample of 10 women with a diagnosis of IDD,
rostered to physicians at the academic FHT, who delivered a child between January
2010 and June 2015 (14 pregnancies). Exclusion criteria included women who
received prenatal care from a midwife and women for whom no delivery or antenatal
records were available. METHODS: Thematic analysis of data collected from a
retrospective chart review. MAIN FINDINGS: Many women with IDD had yes marked on
their antenatal records for poor social supports, family violence, and parenting
concerns. Women with IDD had pregnancies that were characterized by complex
social environments, financial instability, discord between their perceptions and
their physicians' perceptions, and stressful encounters with Child and Family
Services. CONCLUSION: Findings in this study support previous research that
pregnant women with IDD are a vulnerable population, at higher risk of adverse
health outcomes. There is a need for specific care guidelines for health care
providers, as well as additional resources and social supports.
PMID- 29650750
TI - BRAF and MEK Inhibitors Increase PD-1-Positive Melanoma Cells Leading to a
Potential Lymphocyte-Independent Synergism with Anti-PD-1 Antibody.
AB - Purpose: BRAF and MEK inhibitors (BRAF/MEKi) favor melanoma-infiltrating
lymphocytes, providing the rationale for current combinatorial trials with anti
PD-1 antibody. A portion of melanoma cells may express PD-1, and anti-PD-1
antibody could have a direct antitumor effect. Here, we explore whether BRAF/MEKi
modulate rates of PD-1+ melanoma cells, supporting an additional-lymphocyte
independent-basis for their therapeutic combination with anti-PD-1
antibody.Experimental Design: With data mining and flow cytometry, we assessed PD
1, PD-L1/2 expression on melanoma cell lines (CCLE, N = 61; validation cell
lines, N = 7) and melanoma tumors (TCGA, N = 214). We explored in vitro how
BRAF/MEKi affect rates of PD-1+, PD-L1/2+ melanoma cells, and characterized the
proliferative and putative stemness features of PD-1+ melanoma cells. We tested
the functional lymphocyte-independent effect of anti-PD-1 antibody alone and in
combination with BRAF/MEKi in vitro and in an in vivo immunodeficient murine
model.Results: PD-1 is consistently expressed on a small subset of melanoma
cells, but PD-1+ cells increase to relevant rates during BRAF/MEKi treatment
[7.3% (5.6-14.2) vs. 1.5% (0.7-3.2), P = 0.0156; N = 7], together with PD-L2+
melanoma cells [8.5% (0.0-63.0) vs. 1.5% (0.2-43.3), P = 0.0312; N = 7]. PD-1+
cells proliferate less than PD-1- cells (avg. 65% less; t = 7 days) and are
preferentially endowed with stemness features. In vivo, the direct anti-melanoma
activity of PD-1 blockage as monotherapy was negligible, but its association with
BRAF/MEKi significantly delayed the development of drug resistance and tumor
relapse.Conclusions: BRAF/MEKi increase the rates of PD-1+ melanoma cells that
may sustain tumor relapse, providing a lymphocyte-independent rationale to
explore combinatory strategies with anti-PD-1 antibody. Clin Cancer Res; 24(14);
3377-85. (c)2018 AACR.
PMID- 29650751
TI - FDA Approval Summary: Niraparib for the Maintenance Treatment of Patients with
Recurrent Ovarian Cancer in Response to Platinum-Based Chemotherapy.
AB - The FDA approved niraparib, a poly(ADP-ribose) polymerase (PARP) inhibitor, on
March 27, 2017, for maintenance treatment of patients with recurrent epithelial
ovarian, fallopian tube, or primary peritoneal cancer who are in response to
platinum-based chemotherapy. Approval was based on data from the NOVA trial
comparing niraparib with placebo in two independent cohorts, based on germline
BRCA mutation status (gBRCAm vs. non-gBRCAm). Progression-free survival (PFS) in
each cohort was the primary endpoint. In the gBRCAm cohort, estimated median PFS
on niraparib was 21 months versus 5.5 months on placebo [HR, 0.26; 95% confidence
interval (CI), 0.17-0.41; P < 0.0001]. In the non-gBRCAm cohort, estimated median
PFS for niraparib and placebo was 9.3 and 3.9 months, respectively (HR, 0.45; 95%
CI, 0.34-0.61; P < 0.0001). Common adverse reactions (>20% and higher incidence
in the niraparib arm) with niraparib included thrombocytopenia, anemia,
neutropenia, nausea, constipation, vomiting, mucositis, fatigue, decreased
appetite, headache, insomnia, nasopharyngitis, dyspnea, rash, and hypertension.
There were five cases of myelodysplastic syndrome and acute myeloid leukemia
(1.4%) in patients treated with niraparib compared with two cases (1.1%) on
placebo. Niraparib is the first PARP inhibitor approved as maintenance therapy
for patients with ovarian, fallopian tube, or primary peritoneal cancer, with
improvement in PFS, regardless of gBRCAm status. Clin Cancer Res; 24(17); 4066
71. (c)2018 AACRSee related commentary by Konstantinopoulos and Matulonis, p.
4062.
PMID- 29650753
TI - Polarized object detection in crabs: a two-channel system.
AB - Many animal species take advantage of polarization vision for vital tasks such as
orientation, communication and contrast enhancement. Previous studies have
suggested that decapod crustaceans use a two-channel polarization system for
contrast enhancement. Here, we characterize the polarization contrast sensitivity
in a grapsid crab. We estimated the polarization contrast sensitivity of the
animals by quantifying both their escape response and changes in heart rate when
presented with polarized motion stimuli. The motion stimulus consisted of an
expanding disk with an 82 deg polarization difference between the object and the
background. More than 90% of animals responded by freezing or trying to avoid the
polarized stimulus. In addition, we co-rotated the electric vector (e-vector)
orientation of the light from the object and background by increments of 30 deg
and found that the animals' escape response varied periodically with a 90 deg
period. Maximum escape responses were obtained for object and background e
vectors near the vertical and horizontal orientations. Changes in cardiac
response showed parallel results but also a minimum response when e-vectors of
object and background were shifted by 45 deg with respect to the maxima. These
results are consistent with an orthogonal receptor arrangement for the detection
of polarized light, in which two channels are aligned with the vertical and
horizontal orientations. It has been hypothesized that animals with object-based
polarization vision rely on a two-channel detection system analogous to that of
color processing in dichromats. Our results, obtained by systematically varying
the e-vectors of object and background, provide strong empirical support for this
theoretical model of polarized object detection.
PMID- 29650752
TI - Lipid transfer proteins in the assembly of apoB-containing lipoproteins.
AB - A better understanding of intracellular lipoprotein assembly may help identify
proteins with important roles in lipid disorders. apoB-containing lipoproteins (B
lps) are macromolecular lipid and protein micelles that act as specialized
transport vehicles for hydrophobic lipids. They are assembled predominantly in
enterocytes and hepatocytes to transport dietary and endogenous fat,
respectively, to different tissues. Assembly occurs in the endoplasmic reticulum
(ER) and is dependent on lipid resynthesis in the ER and on a chaperone, namely,
microsomal triglyceride transfer protein (MTTP). Precursors for lipid synthesis
are obtained from extracellular sources and from cytoplasmic lipid droplets. MTTP
is the major and essential lipid transfer protein that transfers phospholipids
and triacylglycerols to nascent apoB for the assembly of lipoproteins. Assembly
is aided by cell death-inducing DFF45-like effector B and by phospholipid
transfer protein, which may facilitate additional deposition of triacylglycerols
and phospholipids, respectively, to apoB. Here, we summarize the current
understanding of the different steps in the assembly of B-lps and discuss the
role of lipid transfer proteins in these steps to help identify new clinical
targets for lipid-associated disorders, such as heart disease.
PMID- 29650754
TI - Reduced non-bicarbonate skeletal muscle buffering capacity in mice with the mini
muscle phenotype.
AB - Muscle pH decreases during exercise, which may impair function. Endurance
training typically reduces muscle buffering capacity as a result of changes in
fiber-type composition, but existing comparisons of species that vary in activity
level are ambiguous. We hypothesized that high-runner (HR) lines of mice from an
experiment that breeds mice for voluntary wheel running would have altered muscle
buffering capacity as compared with their non-selected control counterparts. We
also expected that 6 days of wheel access, as used in the selection protocol,
would reduce buffering capacity, especially for HR mice. Finally, we expected a
subset of HR mice with the 'mini-muscle' phenotype to have relatively low
buffering capacity as a result of fewer type IIb fibers. We tested non
bicarbonate buffering capacity of thigh muscles. Only HR mice expressing the mini
muscle phenotype had significantly reduced buffering capacity, females had lower
buffering capacity than males, and wheel access had no significant effect.
PMID- 29650755
TI - Thermosensory perception regulates speed of movement in response to temperature
changes in Drosophila melanogaster.
AB - Temperature influences the physiology and behavior of all organisms. For
ectotherms, which lack central temperature regulation, temperature adaptation
requires sheltering from or moving to a heat source. As temperature constrains
the rate of metabolic reactions, it can directly affect ectotherm physiology and
thus behavioral performance. This direct effect is particularly relevant for
insects, as their small bodies readily equilibrate with ambient temperature. In
fact, models of enzyme kinetics applied to insect behavior predict performance at
different temperatures suggesting that thermal physiology governs behavior.
However, insects also possess thermosensory neurons critical for locating
preferred temperatures, showing cognitive control. This suggests that temperature
related behavior can emerge directly from a physiological effect, indirectly as a
consequence of thermosensory processing, or through a combination of both. To
separate the roles of thermal physiology and cognitive control, we developed an
arena that allows fast temperature changes in time and space, and in which
animals' movements are automatically quantified. We exposed wild-type Drosophila
melanogaster and thermosensory receptor mutants to a dynamic temperature
environment and tracked their movements. The locomotor speed of wild-type flies
closely matched models of enzyme kinetics, but the behavior of thermosensory
mutants did not. Mutations in thermosensory receptor gene dTrpA1 (Transient
Receptor Potential A1) expressed in the brain resulted in a complete lack of
response to temperature changes, while mutations in peripheral thermosensory
receptor gene Gr28b(D) resulted in a diminished response. We conclude that flies
react to temperature through cognitive control, informed by interactions between
various thermosensory neurons, the behavioral output of which resembles models of
enzyme kinetics.
PMID- 29650756
TI - IGF-1 induces SOCS-2 but not SOCS-1 and SOCS-3 transcription in juvenile Nile
tilapia (Oreochromis niloticus).
AB - Insulin-like growth factor-1 (IGF-1) plays a crucial role in regulating growth in
vertebrates whereas suppressors of cytokine signaling (SOCS) act as feedback
inhibitors of the GH/IGF-1 axis. Although SOCS-2 binds the IGF-1 receptor and
inhibits IGF-1-induced STAT3 activation, presently there is no clear evidence as
to whether IGF-1 could induce SOCS gene expression. The current study aimed to
determine whether IGF-1 could induce the transcription of SOCS in juvenile Nile
tilapia (Oreochromis niloticus). We show that there is a common positive
relationship between the mRNA expression of IGF-I and SOCS-2 under different
nutritional statuses and stimulants, but not the mRNA expression of SOCS-1 and
SOCS-3 Furthermore, rhIGF-1 treatment and transcriptional activity assay
confirmed the hypothesis that IGF-1 could induce SOCS-2 expression, whereas it
had no effect or even decreased the expression of SOCS-1 and SOCS-3 Overall, we
obtained evidence that the transcription of SOCS-2, but not SOCS-1 or SOCS-3,
could be induced by IGF signaling, suggesting that SOCS-2 serves as a feedback
suppressor of the IGF-1 axis in juvenile Nile tilapia.
PMID- 29650757
TI - Conformational flexibility within the nascent polypeptide-associated complex
enables its interactions with structurally diverse client proteins.
AB - As newly synthesized polypeptides emerge from the ribosome, it is crucial that
they fold correctly. To prevent premature aggregation, nascent chains interact
with chaperones that facilitate folding or prevent misfolding until protein
synthesis is complete. Nascent polypeptide-associated complex (NAC) is a ribosome
associated chaperone that is important for protein homeostasis. However, how NAC
binds its substrates remains unclear. Using native electrospray ionization MS
(ESI-MS), limited proteolysis, NMR, and cross-linking, we analyzed the
conformational properties of NAC from Caenorhabditis elegans and studied its
ability to bind proteins in different conformational states. Our results revealed
that NAC adopts an array of compact and expanded conformations and binds weakly
to client proteins that are unfolded, folded, or intrinsically disordered,
suggestive of broad substrate compatibility. Of note, we found that this weak
binding retards aggregation of the intrinsically disordered protein alpha
synuclein both in vitro and in vivo These findings provide critical insights into
the structure and function of NAC. Specifically, they reveal the ability of NAC
to exploit its conformational plasticity to bind a repertoire of substrates with
unrelated sequences and structures, independently of actively translating
ribosomes.
PMID- 29650759
TI - The NHS at 70: Loved, valued, and affordable.
PMID- 29650758
TI - The fructose-2,6-bisphosphatase TIGAR suppresses NF-kappaB signaling by directly
inhibiting the linear ubiquitin assembly complex LUBAC.
AB - The systems integration of whole-body metabolism and immune signaling are central
homeostatic mechanisms necessary for maintenance of normal physiology, and
dysregulation of these processes leads to a variety of chronic disorders.
However, the intracellular mechanisms responsible for cell-autonomous cross-talk
between the inflammatory signaling pathways and metabolic flux have remained
enigmatic. In this study, we discovered that the fructose-2,6-bisphosphatase
TIGAR (Tp53-induced glycolysis and apoptosis regulator) critically regulates NF
kappaB activation. We found that TIGAR potently inhibits NF-kappaB-dependent gene
expression by suppressing the upstream activation of IKKbeta phosphorylation and
kinase activation. This inhibition occurred through a direct binding competition
between NEMO and TIGAR for association with the linear ubiquitination assembly
complex (LUBAC). This competition prevented linear ubiquitination of NEMO, which
is required for activation of IKKbeta and other downstream targets. Furthermore,
a TIGAR phosphatase activity-deficient mutant was equally effective as WT TIGAR
in inhibiting NEMO linear ubiquitination, IKKbeta phosphorylation/activation, and
NF-kappaB signaling, indicating that TIGAR's effect on NF-kappaB signaling is due
to its interaction with LUBAC. Physiologically, TIGAR knockout mice displayed
enhanced adipose tissue NF-kappaB signaling, whereas adipocyte-specific
overexpression of TIGAR suppressed adipose tissue NF-kappaB signaling. Together,
these results demonstrate that TIGAR has a nonenzymatic molecular function that
modulates the NF-kappaB signaling pathway by directly inhibiting the E3 ligase
activity of LUBAC.
PMID- 29650760
TI - So not mothers: responsibility for surrogate orphans.
AB - The law ordinarily recognises the woman who gives birth as the mother of a child,
but in certain jurisdictions, it will recognise the commissioning couple as the
legal parents of a child born to a commercial surrogate. Some commissioning
parents have, however, effectively abandoned the children they commission, and in
such cases, commercial surrogates may find themselves facing unexpected maternal
responsibility for children they had fully intended to give up. Any assumption
that commercial surrogates ought to assume maternal responsibility for abandoned
children runs contrary to the moral suppositions that typically govern contract
surrogacy, in particular, assumptions that gestational carriers are not 'mothers'
in any morally significant sense. In general, commercial gestational surrogates
are almost entirely conceptualised as 'vessels'. In a moral sense, it is deeply
inconsistent to expect commercial surrogates to assume maternal responsibility
simply because commissioning parents abandon children for one reason or another.
We identify several instances of child abandonment and discuss their implications
with regard to the moral conceptualisation of commercial gestational surrogates.
We conclude that if gestational surrogates are to remain conceptualised as mere
vessels, they should not be expected to assume responsibility for children
abandoned by commissioning parents, not even the limited responsibility of giving
them up for adoption or surrendering them to the state.
PMID- 29650761
TI - Once-daily triple therapy inhaler for COPD.
AB - ?Trelegy Ellipta (GSK) is a dry powder inhaler containing fluticasone furoate
(inhaled corticosteroid [ICS]), vilanterol trifenatate (long-acting beta2 agonist
[LABA]) and umeclidinium bromide (long-acting muscarinic antagonist [LAMA]).1 It
is licensed for once-daily use as maintenance treatment for adults with moderate
to severe chronic obstructive pulmonary disease (COPD) who are not adequately
treated by a combination of an ICS and a LABA. Here, we consider the evidence for
this combination product and discuss how it fits with current management
strategies.
PMID- 29650762
TI - High-dose antihistamines for chronic spontaneous urticaria in adults.
AB - Chronic spontaneous urticaria (CSU) is a common skin disease characterised by
intermittent weals (hives), angioedema or both lasting for at least 6 weeks.1-3
Second-generation antihistamines are widely used to manage symptoms but are not
completely effective in many patients at licensed doses.4 Some guidelines
recommend off-label use of high-dose antihistamines as the next therapeutic
step.2,3 Here, we review the evidence supporting this recommendation.
PMID- 29650765
TI - Prospective Cardiovascular Genetics Evaluation in Spontaneous Coronary Artery
Dissection.
AB - BACKGROUND: Previous studies describing genetics evaluation in spontaneous
coronary artery dissection (SCAD) have been retrospective in nature or presented
as single case reports. As part of a dedicated clinical program, we evaluated
patients in cardiovascular genetics clinic to determine the role of genetically
triggered vascular disease and genetic testing in SCAD. METHODS AND RESULTS:
Patient data were entered prospectively into the Massachusetts General Hospital
SCAD registry database from July 2013 to September 2017. Clinically indicated
genetic testing was conducted based on patient imaging, family history, physical
examination, and patient preference. Of the 107 patients enrolled in the
registry, 73 underwent cardiovascular genetics evaluation at our center (average
age, 45.3+/-9.4 years; 85.3% female), and genetic testing was performed for 44
patients. A family history of aneurysm or dissection was not a prevalent feature
in the study population, and only 1 patient had a family history of SCAD. Six
patients (8.2%) had identifiable genetically triggered vascular disease: 3 with
vascular Ehlers-Danlos syndrome (COL3A1), 1 with Nail-patella syndrome (LMX1B), 1
with autosomal dominant polycystic kidney disease (PKD1), and 1 with Loeys-Dietz
syndrome (SMAD3). None of these 6 had radiographic evidence of fibromuscular
dysplasia. CONCLUSIONS: In this series, 8.2% of the SCAD patients evaluated had a
molecularly identifiable disorder associated with vascular disease. The most
common diagnosis was vascular Ehlers-Danlos syndrome. Patients with positive gene
testing were significantly younger at the time of their first SCAD event. A low
threshold for genetic testing should be considered in patients with SCAD.
PMID- 29650766
TI - Association of Genetic Instrumental Variables for Lung Function on Coronary
Artery Disease Risk: A 2-Sample Mendelian Randomization Study.
AB - BACKGROUND: Lung function, assessed by forced expiratory volume in 1 second
(FEV1) and forced vital capacity (FVC), is inversely associated with coronary
artery disease (CAD), but these associations could be because of confounding or
reversed causality. We conducted a 2-sample Mendelian randomization study, using
publicly available data from relevant genome-wide association studies, to examine
the role of FEV1 or FVC on CAD. METHODS: We used the most recent genome-wide
association studies on lung function to extract genetic instruments related to
FEV1 and FVC (n=92 749). Data on the association between genetic instruments and
CAD were obtained from Coronary Artery Disease Genome wide Replication and Meta
analysis plus The Coronary Artery Disease Genetics 1000 Genomes-based genome-wide
association studies (60 801 CAD cases and 123 504 controls). We used inverse
variance weighting with a multiplicative random effect to estimate the genetic
instrumented association of FEV1 and FVC on CAD. Sensitivity analyses included
weighted median and MR-Egger methods. RESULTS: Each SD greater FEV1 was
associated with a lower risk of CAD (odds ratio, 0.78 per SD; 95% confidence
interval, 0.62-0.98) with a similar magnitude for FVC on CAD risk (odds ratio,
0.82 per SD; 95% confidence interval, 0.64-1.06). Estimates for FEV1 were similar
when using MR-Egger method (odds ratio, 0.80 per SD; 95% confidence interval,
0.33-1.94) although the magnitude was smaller for weighted median method (odds
ratio, 0.93 per SD; 95% confidence interval, 0.75-1.17). Estimates for FVC in the
sensitivity analyses were attenuated (median) or changed direction (MR-Egger).
CONCLUSIONS: Our study suggested an inverse relation between FEV1 and CAD, but
for FVC, evidence is less clear.
PMID- 29650767
TI - Letter by Ma et al Regarding Article, "Novel Mutation in FLNC (Filamin C) Causes
Familial Restrictive Cardiomyopathy".
PMID- 29650764
TI - Genetic Variants Influencing Plasma Renin Activity in Hypertensive Patients From
the PEAR Study (Pharmacogenomic Evaluation of Antihypertensive Responses).
AB - BACKGROUND: Plasma renin is an important regulator of blood pressure (BP). Plasma
renin activity (PRA) has been shown to correlate with variability in BP response
to antihypertensive agents. We conducted a genome-wide association study to
identify single-nucleotide polymorphisms (SNPs) associated with baseline PRA
using data from the PEAR study (Pharmacogenomic Evaluation of Antihypertensive
Responses). METHODS: Multiple linear regression analysis was performed in 461
whites and 297 blacks using an additive model, adjusting for age, sex, and
ancestry-specific principal components. Top SNPs were prioritized by testing the
expected direction of association for BP response to atenolol and
hydrochlorothiazide. Top regions from the BP response prioritization were tested
for functional evidence through differences in gene expression by genotype using
RNA sequencing data. Regions with functional evidence were assessed for
replication with baseline PRA in an independent study (PEAR-2). RESULTS: Our top
SNP rs3784921 was in the SNN-TXNDC11 gene region. The G allele of rs3784921 was
associated with higher baseline PRA (beta=0.47; P=2.09*10-6) and smaller systolic
BP reduction in response to hydrochlorothiazide (beta=2.97; 1-sided P=0.006). In
addition, TXNDC11 expression differed by rs3784921 genotype (P=0.007), and
rs1802409, a proxy SNP for rs3784921 (r2=0.98-1.00), replicated in PEAR-2
(beta=0.15; 1-sided P=0.038). Additional SNPs associated with baseline PRA that
passed BP response prioritization were in/near the genes CHD9, XIRP2, and GHR.
CONCLUSIONS: We identified multiple regions associated with baseline PRA that
were prioritized through BP response signals to 2 mechanistically different
antihypertensive drugs. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov. Unique identifier: NCT00246519.
PMID- 29650768
TI - Lung Function and Coronary Artery Disease Risk.
PMID- 29650769
TI - Is Plasma Renin Activity Genetically Determined and How Much Does It Matter for
Treating Hypertension?
PMID- 29650770
TI - Response by Ma et al to Letter Regarding Article, "Novel Mutation in FLNC
(Filamin C) Causes Familial Restrictive Cardiomyopathy".
PMID- 29650771
TI - Genetics of Spontaneous Coronary Artery Dissection Gains New Momentum.
PMID- 29650772
TI - Branched-Chain Amino Acids: The Metabolic Link Between Type 2 Diabetes Mellitus
and Cardiovascular Disease?
PMID- 29650773
TI - Cannabinoid Type 1 Receptors Are Upregulated During Acute Activation of Brown
Adipose Tissue.
AB - Activating brown adipose tissue (BAT) could provide a potential approach for the
treatment of obesity and metabolic disease in humans. Obesity is associated with
upregulation of the endocannabinoid system, and blocking the cannabinoid type 1
receptor (CB1R) has been shown to cause weight loss and to decrease
cardiometabolic risk factors. These effects may be mediated partly via increased
BAT metabolism, since there is evidence that CB1R antagonism activates BAT in
rodents. To investigate the significance of CB1R in BAT function, we quantified
the density of CB1R in human and rodent BAT using the positron emission
tomography radioligand [18F]FMPEP-d2 and measured BAT activation in parallel with
the glucose analog [18F]fluorodeoxyglucose. Activation by cold exposure markedly
increased CB1R density and glucose uptake in the BAT of lean men. Similarly,
beta3-receptor agonism increased CB1R density in the BAT of rats. In contrast,
overweight men with reduced BAT activity exhibited decreased CB1R in BAT,
reflecting impaired endocannabinoid regulation. Image-guided biopsies confirmed
CB1R mRNA expression in human BAT. Furthermore, CB1R blockade increased glucose
uptake and lipolysis of brown adipocytes. Our results highlight that CB1Rs are
significant for human BAT activity, and the CB1Rs provide a novel therapeutic
target for BAT activation in humans.
PMID- 29650774
TI - Genetic Variants in CPA6 and PRPF31 Are Associated With Variation in Response to
Metformin in Individuals With Type 2 Diabetes.
AB - Metformin is the first-line treatment for type 2 diabetes (T2D). Although widely
prescribed, the glucose-lowering mechanism for metformin is incompletely
understood. Here, we used a genome-wide association approach in a diverse group
of individuals with T2D from the Action to Control Cardiovascular Risk in
Diabetes (ACCORD) clinical trial to identify common and rare variants associated
with HbA1c response to metformin treatment and followed up these findings in four
replication cohorts. Common variants in PRPF31 and CPA6 were associated with
worse and better metformin response, respectively (P < 5 * 10-6), and meta
analysis in independent cohorts displayed similar associations with metformin
response (P = 1.2 * 10-8 and P = 0.005, respectively). Previous studies have
shown that PRPF31(+/-) knockout mice have increased total body fat (P = 1.78 * 10
6) and increased fasted circulating glucose (P = 5.73 * 10-6). Furthermore, rare
variants in STAT3 associated with worse metformin response (q <0.1). STAT3 is a
ubiquitously expressed pleiotropic transcriptional activator that participates in
the regulation of metabolism and feeding behavior. Here, we provide novel
evidence for associations of common and rare variants in PRPF31, CPA6, and STAT3
with metformin response that may provide insight into mechanisms important for
metformin efficacy in T2D.
PMID- 29650775
TI - Mechanotransduction via the LINC complex regulates DNA replication in myonuclei.
AB - Nuclear mechanotransduction has been implicated in the control of chromatin
organization; however, its impact on functional contractile myofibers is unclear.
We found that deleting components of the linker of nucleoskeleton and
cytoskeleton (LINC) complex in Drosophila melanogaster larval muscles abolishes
the controlled and synchronized DNA endoreplication, typical of nuclei across
myofibers, resulting in increased and variable DNA content in myonuclei of
individual myofibers. Moreover, perturbation of LINC-independent mechanical input
after knockdown of beta-Integrin in larval muscles similarly led to increased DNA
content in myonuclei. Genome-wide RNA-polymerase II occupancy analysis in
myofibers of the LINC mutant klar indicated an altered binding profile, including
a significant decrease in the chromatin regulator barrier-to-autointegration
factor (BAF) and the contractile regulator Troponin C. Importantly, muscle
specific knockdown of BAF led to increased DNA content in myonuclei, phenocopying
the LINC mutant phenotype. We propose that mechanical stimuli transmitted via the
LINC complex act via BAF to regulate synchronized cell-cycle progression of
myonuclei across single myofibers.
PMID- 29650778
TI - REPLY.
PMID- 29650779
TI - Increasing the Accuracy of Optic Nerve Measurement Using 3D Volumetry.
PMID- 29650777
TI - MLL leukemia induction by t(9;11) chromosomal translocation in human
hematopoietic stem cells using genome editing.
AB - Genome editing provides a potential approach to model de novo leukemogenesis in
primary human hematopoietic stem and progenitor cells (HSPCs) through induction
of chromosomal translocations by targeted DNA double-strand breaks. However, very
low efficiency of translocations and lack of markers for translocated cells serve
as barriers to their characterization and model development. Here, we used
transcription activator-like effector nucleases to generate t(9;11) chromosomal
translocations encoding MLL-AF9 and reciprocal AF9-MLL fusion products in CD34+
human cord blood cells. Selected cytokine combinations enabled monoclonal
outgrowth and immortalization of initially rare translocated cells, which were
distinguished by elevated MLL target gene expression, high surface CD9
expression, and increased colony-forming ability. Subsequent transplantation into
immune-compromised mice induced myeloid leukemias within 48 weeks, whose
pathologic and molecular features extensively overlap with de novo patient MLL
rearranged leukemias. No secondary pathogenic mutations were revealed by targeted
exome sequencing and whole genome RNA-sequencing analyses, suggesting the genetic
sufficiency of t(9;11) translocation for leukemia development from human HSPCs.
Thus, genome editing enables modeling of human acute MLL-rearranged leukemia in
vivo, reflecting the genetic simplicity of this disease, and provides an
experimental platform for biological and disease-modeling applications.
PMID- 29650776
TI - Lymphatic exosomes promote dendritic cell migration along guidance cues.
AB - Lymphatic endothelial cells (LECs) release extracellular chemokines to guide the
migration of dendritic cells. In this study, we report that LECs also release
basolateral exosome-rich endothelial vesicles (EEVs) that are secreted in greater
numbers in the presence of inflammatory cytokines and accumulate in the
perivascular stroma of small lymphatic vessels in human chronic inflammatory
diseases. Proteomic analyses of EEV fractions identified >1,700 cargo proteins
and revealed a dominant motility-promoting protein signature. In vitro and ex
vivo EEV fractions augmented cellular protrusion formation in a
CX3CL1/fractalkine-dependent fashion and enhanced the directional migratory
response of human dendritic cells along guidance cues. We conclude that
perilymphatic LEC exosomes enhance exploratory behavior and thus promote
directional migration of CX3CR1-expressing cells in complex tissue environments.
PMID- 29650780
TI - Differentiating Atypical Hemangiomas and Metastatic Vertebral Lesions: The Role
of T1-Weighted Dynamic Contrast-Enhanced MRI.
AB - BACKGROUND AND PURPOSE: Vertebral hemangiomas are benign vascular lesions that
are almost always incidentally found in the spine. Their classic typical
hyperintense appearance on T1- and T2-weighted MR images is diagnostic.
Unfortunately, not all hemangiomas have the typical appearance, and they can
mimic metastases on routine MR imaging. These are generally referred to as
atypical hemangiomas and can result in misdiagnosis and ultimately additional
imaging, biopsy, and unnecessary costs. Our objective was to assess the utility
of dynamic contrast-enhanced MR imaging perfusion in distinguishing vertebral
atypical hemangiomas and malignant vertebral metastases. We hypothesized that
permeability and vascular density will be increased in metastases compared with
atypical hemangiomas. MATERIALS AND METHODS: Consecutive patients from 2011 to
2015 with confirmed diagnoses of atypical hemangiomas and spinal metastases from
breast and lung carcinomas with available dynamic contrast-enhanced MR imaging
were analyzed. Time-intensity curves were qualitatively compared among the
groups. Perfusion parameters, plasma volume, and permeability constant were
quantified using an extended Tofts 2-compartment pharmacokinetic model.
Statistical significance was tested using the Mann-Whitney U test. RESULTS:
Qualitative inspection of dynamic contrast-enhanced MR imaging time-intensity
curves demonstrated differences in signal intensity and morphology between
metastases and atypical hemangiomas. Quantitative analysis of plasma volume and
permeability constant perfusion parameters showed significantly higher values in
metastatic lesions compared with atypical hemangiomas (P < .001). CONCLUSIONS:
Our data demonstrate that plasma volume and permeability constant perfusion
parameters and qualitative inspection of contrast-enhancement curves can be used
to differentiate atypical hemangiomas from vertebral metastatic lesions. This
work highlights the benefits of adding perfusion maps to conventional sequences
to improve diagnostic accuracy.
PMID- 29650781
TI - Anatomic and Angiographic Analyses of Ophthalmic Artery Collaterals in Moyamoya
Disease.
AB - BACKGROUND AND PURPOSE: Moyamoya disease is a progressive neurovascular pathology
defined by steno-occlusive disease of the distal internal carotid artery and
associated with the development of compensatory vascular collaterals. The
etiology and exact anatomy of vascular collaterals have not been extensively
studied. The aim of this study was to describe the anatomy of collaterals
developed between the ophthalmic artery and the anterior cerebral artery in a
Moyamoya population. MATERIALS AND METHODS: All patients treated for Moyamoya
disease from 2004 to 2016 in 4 neurosurgical centers with available cerebral
digital subtraction angiography were included. Sixty-three cases were evaluated,
and only 38 met the inclusion criteria. Two patients had a unilateral cervical
internal carotid occlusion that limited analysis of ophthalmic artery collaterals
to one hemisphere. This study is consequently based on the analysis of 74
cerebral hemispheres. RESULTS: Thirty-eight patients fulfilled the inclusion
criteria. The most frequently encountered anastomosis between the ophthalmic
artery and cerebral artery was a branch of the anterior ethmoidal artery (31.1%,
23 hemispheres). In case of proximal stenosis of the anterior cerebral artery, a
collateral from the posterior ethmoidal artery could be visualized (16
hemispheres, 21.6%). One case (1.4%) of anastomosis between the lacrimal artery
and the middle meningeal artery that permitted the vascularization of a middle
cerebral artery territory was also noted. CONCLUSIONS: Collaterals from the
ophthalmic artery are frequent in Moyamoya disease. Their development depends on
the perfusion needs of the anterior cerebral artery territories. Three other
systems of compensation could be present (callosal circle, leptomeningeal
anastomosis, and duro-pial anastomoses).
PMID- 29650782
TI - Treatment of Distal Anterior Cerebral Artery Aneurysms with Flow-Diverter Stents:
A Single-Center Experience.
AB - BACKGROUND AND PURPOSE: Flow diversion for aneurysms beyond the circle of Willis
is still debated. Our aim was to evaluate the safety and efficacy of flow
diversion treatment of distal anterior cerebral artery aneurysms. MATERIALS AND
METHODS: Consecutive patients with distal anterior cerebral artery aneurysms
treated from January 2014 to October 2017 were evaluated retrospectively with
prospectively maintained data. Treatment was performed only for unruptured or
recanalized aneurysms after coiling. Technical feasibility, procedural
complications, aneurysm occlusion (O'Kelly-Marotta grading scale), and clinical
outcome were evaluated. RESULTS: Fifteen patients were included in the study,
with 17 distal anterior cerebral artery saccular aneurysms treated with flow
diverter stents. Mean aneurysm size was 4.25 +/- 3.9 mm; range, 2-9 mm. Flow
diversion was used as retreatment among 6 previously coiled aneurysms (5 ruptured
and coiled in the acute phase, and 1 unruptured and recanalized). Stent
deployment was technically successful in all cases. During the perioperative
period, 1 patient experienced a transient minor stroke (6%), whereas 2 patients
reported acute in-stent thrombosis with disabling ischemic complications (13%).
Fourteen patients and 16 aneurysms were available during a mean radiologic follow
up of 12 months (range, 3-24 months). Overall, 12 (75%) aneurysms were completely
occluded (O'Kelly-Marotta grading scale score D), 1 aneurysm (6%) showed near
complete occlusion (O'Kelly-Marotta grading scale score C), and 3 aneurysms (19%)
were incompletely occluded (O'Kelly-Marotta grading scale, score B). All 6
aneurysms previously coiled were completely occluded after flow diversion,
whereas 70% of aneurysms treated with flow diverters alone showed complete/near
complete occlusion (O'Kelly-Marotta grading scale C-D). There were no cases of
aneurysm rupture, in-stent occlusion, or retreatment during long-term follow-up.
CONCLUSIONS: Treatment of distal anterior cerebral artery aneurysms with flow
diverter stents is feasible and effective, with high rates of aneurysm occlusion.
Flow diversion plus coiling, in the retreatment of lesions previously coiled,
allowed higher rates of occlusion compared with flow diverters alone. However,
the risk of ischemic complications is not negligible, and flow-diversion
treatment should be evaluated only for aneurysms not amenable to simple coil
embolization.
PMID- 29650783
TI - Percutaneous CT-Guided Biopsies of the Cervical Spine: Technique, Histopathologic
and Microbiologic Yield, and Safety at a Single Academic Institution.
AB - BACKGROUND AND PURPOSE: Cervical spine biopsies can be challenging due to the
anatomy and the adjacent critical structures. Percutaneous image-guided biopsies
can obviate the need for an open biopsy, however there have been few studies
looking at the approaches, safety, and efficacy of percutaneous cervical spine
biopsies. This retrospective study evaluated technical considerations,
histopathologic and microbiologic yield, and safety in CT-guided cervical bone
biopsies. MATERIALS AND METHODS: A retrospective review of cervical bone and/or
bone/disc biopsies performed from January 2010 to January 2017 was included in
this study. Clinical diagnosis and indication, patient demographics, biopsy
location, biopsy needle type, technical approach, lesion size, dose-length
product, conscious sedation details, complications, and diagnostic
histopathologic and/or microbiologic yield were recorded for each case and
summarized. RESULTS: A total of 73 patients underwent CT-guided cervical bone
biopsies. Fifty-three percent (39/73) were for clinical/imaging concern for
infection and 47% (34/73) were for primary tumors or metastatic disease. Thirty
four percent (25/73) were of the inferior cervical spine (ie, C6 and C7). A
sufficient sample was obtained for histopathologic and microbiologic analyses in
96% (70/73) of the biopsies. Forty-six percent (18/39) of those samples taken for
infection had positive cultures. Two intraprocedural complications occurred in
which the patients became hypotensive during the procedure without long-term
complications. CONCLUSIONS: Percutaneous CT-guided biopsy of the cervical spine
is an effective and safe procedure with high diagnostic yield and can obviate
open procedures for histopathologic and microbiologic analyses of patients with
clinical and imaging findings concerning for infection or primary and metastatic
osseous lesions.
PMID- 29650784
TI - Under Pressure: Comparison of Aspiration Techniques for Endovascular Mechanical
Thrombectomy.
AB - BACKGROUND AND PURPOSE: Blood flow should be interrupted during mechanical
thrombectomy to prevent embolization of clot fragments. The purpose of our study
was to provide a handy overview of the most common aspiration devices and to
quantify their flow characteristics. MATERIALS AND METHODS: We assessed
volumetric flow rates generated by a 60-mL VacLok vacuum pressure syringe, a Pump
MAX aspiration pump, and a Dominant Flex suction pump connected to the following:
1) an 8F long sheath, 2) an 8F balloon-guide catheter, 3) an ACE 64 distal
aspiration catheter, and 4) an AXS Catalyst 6 Distal Access Catheter. We used a
water/glycerol solution, which was kept at a constant temperature of 20 degrees C
(viscosity, 3.7 mPa . s). RESULTS: Aspiration with the syringe and the Dominant
Flex suction pump achieved the highest flows, whereas aspiration with the Pump
MAX was significantly lower (P < .001). Resistors in the aspiration system
(tubing, connectors, and so forth) restricted flows, especially when the
resistance of the catheter was small (due to its large diameter) and the
connected resistors became the predominant resistance (P < .001). The syringe
achieved an average vacuum pressure of -90 kPa, and the resulting flow was
constant during almost the entire procedure of filling the syringe. CONCLUSIONS:
Sixty-milliliter VacLok vacuum pressure syringes and the Dominant Flex suction
pump achieved high and constant flows likely sufficient to reverse blood flow
during thrombectomy with an 8F sheath or balloon-guide catheter in the ICA and
modern distal aspiration catheters in the MCA. The Pump MAX aspiration pump is
dedicated for use with distal aspiration catheters and is unlikely to reverse
blood flow in the ICA and MCA without balloon protection.
PMID- 29650785
TI - Surpass Streamline Flow-Diverter Embolization Device for Treatment of Iatrogenic
and Traumatic Internal Carotid Artery Injuries.
AB - Iatrogenic and traumatic cerebral internal carotid artery injuries are uncommon
but potentially lethal complications. Direct surgical repair of ICA injuries may
be difficult in an acute setting. However, endovascular treatment with a flow
diverter embolization device is a feasible alternative technique that we
experienced. In this clinical report, we describe demographic data, radiographic
images, lesion characteristics, endovascular procedure notes, postprocedural
hospital course, and follow-up digital subtraction angiography of 5 patients. At
least 6-month follow-up was available in all patients without occurrence of
rebleeding and other complications.
PMID- 29650786
TI - Association of Quantified Location-Specific Blood Volumes with Delayed Cerebral
Ischemia after Aneurysmal Subarachnoid Hemorrhage.
AB - BACKGROUND AND PURPOSE: Delayed cerebral ischemia is a severe complication of
aneurysmal SAH and is associated with a high case morbidity and fatality. The
total blood volume and the presence of intraventricular blood on CT after
aneurysmal SAH are associated with delayed cerebral ischemia. Whether quantified
location-specific (cisternal, intraventricular, parenchymal, and subdural) blood
volumes are associated with delayed cerebral ischemia has been infrequently
researched. This study aimed to associate quantified location-specific blood
volumes with delayed cerebral ischemia. MATERIALS AND METHODS: Clinical and
radiologic data were collected retrospectively from consecutive patients with
aneurysmal SAH with available CT scans within 24 hours after ictus admitted to 2
academic centers between January 2009 and December 2011. Total blood volume was
quantified using an automatic hemorrhage-segmentation algorithm. Segmented blood
was manually classified as cisternal, intraventricular, intraparenchymal, or
subdural. Adjusted ORs with 95% confidence intervals for delayed cerebral
ischemia per milliliter of location-specific blood were calculated using
multivariable logistic regression analysis. RESULTS: We included 282 patients.
Per milliliter increase in blood volume, the adjusted OR for delayed cerebral
ischemia was 1.02 (95% CI, 1.01-1.04) for cisternal, 1.02 (95% CI, 1.00-1.04) for
intraventricular, 0.99 (95% CI, 0.97-1.02) for intraparenchymal, and 0.96 (95%
CI, 0.86-1.07) for subdural blood. CONCLUSIONS: Our findings suggest that in
patients with aneurysmal subarachnoid hemorrhage, the cisternal blood volume has
a stronger relation with delayed cerebral ischemia than the blood volumes at
other locations in the brain.
PMID- 29650787
TI - Management of Small Unruptured Intracranial Aneurysms: A Survey of
Neuroradiologists.
AB - BACKGROUND AND PURPOSE: The long-term history and management of unruptured
intracranial aneurysms is not well understood. Our aim was to determine current
practice patterns in the management of unruptured intracranial aneurysms,
especially regarding imaging surveillance for conservatively managed aneurysms of
this type. MATERIALS AND METHODS: An on-line survey was designed to examine
physician practice and preference regarding the management of small unruptured
intracranial aneurysms (<=7 mm in diameter). The survey was circulated to members
of the American Society of Neuroradiology. Participation was voluntary, and all
responses were anonymous. RESULTS: A total of 227 individual survey responses
were obtained and included in the analysis with 54.6% (124/227) from diagnostic
neuroradiologists (practicing >50% neuroradiology) and one-third (29%) from
neurointerventional radiologists. One hundred seventy-three of 227 responded that
routine, periodic imaging surveillance would be appropriate for conservatively
managed unruptured intracranial aneurysms, and 84% of respondents recommended
surveillance frequency of at least once a year. Fifty-nine percent favored
indefinite, life-long follow-up for small unruptured intracranial aneurysms, and
a similar number of respondents favored noncontrast MR angiography for aneurysm
follow-up. Significant heterogeneity was found in size measurements used to
assess aneurysms and criteria used to define growth on surveillance imaging.
CONCLUSIONS: The natural history of intracranial aneurysms is not well
understood. A large proportion of incidentally detected, unruptured aneurysms are
small (<7 mm). The survey results show significant heterogeneity in practice even
among neuroradiologists and underlies the need to standardize imaging practice.
Further studies are needed to assess the optimal frequency and duration of
surveillance imaging for unruptured intracranial aneurysms. The criteria used to
measure aneurysms and define growth on imaging also need to be standardized.
PMID- 29650788
TI - Serum Exosomal Long Noncoding RNAs ENSG00000258332.1 and LINC00635 for the
Diagnosis and Prognosis of Hepatocellular Carcinoma.
AB - Background: Increasing studies suggest that long noncoding RNAs (lncRNAs) are
involved in carcinogenesis of human cancers and might be used as diagnostic
biomarkers for cancers.Methods: A total of 301 participants were recruited in the
first part of the study, including a hepatocellular carcinoma (HCC) group (n =
60), liver cirrhosis (LC) group (n = 85), chronic hepatitis B (CHB) group (n =
96), and healthy subjects (n = 60). In the second part, we collected 55 HCC
patients, 60 CHB patients, and 60 healthy subjects as an independent cohort to
validate the ability of the experiential lncRNAs for identifying HCC from CHB. A
commercial kit was used to isolate serum exosomes and total RNA. The relative
levels of lnRNAs and GAPDH mRNA were measured with TaqMan PCR.Results: The
results showed that the levels of ENSG00000258332.1 and LINC00635 in the HCC
group were significantly higher than those in the other groups (all P < 0.05). A
high ENSG00000258332.1 level in HCC was associated with portal vein tumor emboli,
lymph node metastasis, TNM stage, and overall survival (OS; all P < 0.05), and a
high LINC00635 level was related to lymph node metastasis, TNM stage, and OS (all
P < 0.05). ENSG00000258332.1 discriminated HCC from CHB, gaining an area under
the ROC curve (AUC) of 0.719 (cutoff value of 1.345); LINC00635 gained an AUC of
0.750 (cutoff value of 1.690). Furthermore, the AUC for the combination of the 2
lncRNAs and serum AFP (cutoff value of 20 MUg/L) was 0.894. The abilities of the
2 lncRNAs for identifying HCC from CHB were validated by an independent
cohort.Conclusions: The results suggested that the combination of serum exosomal
ENSG00000258332.1, LINC00635, and AFP may be a valuable assay in diagnosis and
prognosis of HCC.Impact: Our data will shed light on exosomal lncRNAs as
biomarkers for HCC. Cancer Epidemiol Biomarkers Prev; 27(6); 710-6. (c)2018 AACR.
PMID- 29650789
TI - Reparameterization of PAM50 Expression Identifies Novel Breast Tumor Dimensions
and Leads to Discovery of a Genome-Wide Significant Breast Cancer Locus at 12q15.
AB - Background: Breast tumor subtyping has failed to provide impact in susceptibility
genetics. The PAM50 assay categorizes breast tumors into: Luminal A, Luminal B,
HER2-enriched and Basal-like. However, tumors are often more complex than simple
categorization can describe. The identification of heritable tumor
characteristics has potential to decrease heterogeneity and increase power for
gene finding.Methods: We used 911 sporadic breast tumors with PAM50 expression
data to derive tumor dimensions using principal components (PC). Dimensions in
238 tumors from high-risk pedigrees were compared with the sporadic tumors. Proof
of-concept gene mapping, informed by tumor dimension, was performed using Shared
Genomic Segment (SGS) analysis.Results: Five dimensions (PC1-5) explained the
majority of the PAM50 expression variance: three captured intrinsic subtype, two
were novel (PC3, PC5). All five replicated in 745 TCGA tumors. Both novel
dimensions were significantly enriched in the high-risk pedigrees (intrinsic
subtypes were not). SGS gene-mapping in a pedigree identified a 0.5 Mb genome
wide significant region at 12q15 This region segregated through 32 meioses to 8
breast cancer cases with extreme PC3 tumors (P = 2.6 * 10-8).Conclusions: PC
analysis of PAM50 gene expression revealed multiple independent, quantitative
measures of tumor diversity. These tumor dimensions show evidence for
heritability and potential as powerful traits for gene mapping.Impact: Our study
suggests a new approach to describe tumor expression diversity, provides new
avenues for germline studies, and proposes a new breast cancer locus. Similar
reparameterization of expression patterns may inform other studies attempting to
model the effects of tumor heterogeneity. Cancer Epidemiol Biomarkers Prev;
27(6); 644-52. (c)2018 AACR.
PMID- 29650790
TI - Effect of Endocannabinoid Oleamide on Rat and Human Liver Cytochrome P450 Enzymes
in In Vitro and In Vivo Models.
AB - The endocannabinoid system is important for many physiologic and pathologic
processes, but its role in the regulation of liver cytochromes P450 (P450s)
remains unknown. We studied the influence of the endocannabinoid oleamide on rat
and human liver P450s. Oleamide was administered intraperitoneally to rats at
doses of 0.1, 1, and 10 mg/kg per day for 7 days. The content and activity of key
P450s were evaluated in rat liver microsomes. Moreover, interactions with nuclear
receptors regulating P450 genes and serum levels of their ligands (prolactin,
corticosterone, and free triiodothyronine) were tested in in vitro P450
inhibition assays. Decreased protein levels and metabolic activities of CYP1A2,
CYP2B, and CYP2C11, along with a drop in metabolic activity of CYP2D2, were
observed in animals treated with oleamide (10 mg/kg per day). The activities of
CYP2C6, CYP2A, and CYP3A and the levels of hormones were not altered. In vitro,
oleamide exhibited a weak inhibition of rat CYP1A2, CYP2D2, and CYP2C6. The
activities of rat CYP2A, CYP2B, CYP2C11, and CYP3A and human CYP1A2, CYP2B6,
CYP2C9, and CYP3A4 were not altered. Oleamide did not interact with human
pregnane X, constitutive androstane, or aryl hydrocarbon receptors in reporter
gene experiments and did not regulate their target P450 genes in primary human
hepatocytes. Our results indicate that oleamide caused the downregulation of some
rat liver P450s, and hormones are not mediators of this effect. In vitro oleamide
inhibits mainly rat CYP2C6 and is neither an agonist nor antagonist of major
human nuclear receptors involved in the regulation of xenobiotic metabolism.
PMID- 29650791
TI - Impairments in Adipose Tissue Microcirculation in Type 2 Diabetes Mellitus
Assessed by Real-Time Contrast-Enhanced Ultrasound.
AB - BACKGROUND: In obesity and type 2 diabetes mellitus (T2D), adipose tissue
expansion (because of larger adipocytes) results in reduced microvascular density
which is thought to lead to adipocyte hypoxia, inflammation, and reduced nutrient
delivery to the adipocyte. Adipose tissue microvascular responses in humans with
T2D have not been extensively characterized. Furthermore, it has not been
determined whether impaired microvascular responses in human adipose tissue are
most closely associated with adiposity, inflammation, or altered metabolism.
METHODS AND RESULTS: Overnight-fasted healthy controls (n=24, 9 females/15 males)
and people with T2D (n=21, 8 females/13 males) underwent a body composition scan
(dual-energy X-ray absorptiometry), an oral glucose challenge (50 g glucose) and
blood analysis of clinical chemistries and inflammatory markers. Abdominal
subcutaneous adipose tissue microvascular responses were measured by contrast
enhanced ultrasound at baseline and 1-hour post-oral glucose challenge. Adipose
tissue microvascular blood volume was significantly elevated in healthy subjects
1-hour post-oral glucose challenge; however, this effect was absent in T2D.
Adipose tissue microvascular blood flow was lower in people with T2D at baseline
and was significantly blunted post-oral glucose challenge compared with controls.
Adipose tissue microvascular blood flow was negatively associated with truncal
fat (%), glucoregulatory function, fasting triglyceride and nonesterified fatty
acid levels, and positively associated with insulin sensitivity. Truncal fat (%),
systolic blood pressure, and insulin sensitivity were the only correlates with
microvascular blood volume. Systemic inflammation was not associated with adipose
tissue microvascular responses. CONCLUSIONS: Impaired microvascular function in
adipose tissue during T2D is not conditionally linked to systemic inflammation
but is associated with other characteristics of the metabolic syndrome (obesity,
insulin resistance, hyperglycemia, and dyslipidemia).
PMID- 29650792
TI - Three-Dimensional Transesophageal Echocardiography Reconstruction in Removal of a
7-cm Left Atrial Thrombus Attached to a Displaced Amplatzer Device.
PMID- 29650793
TI - Cause or Effect? Microvascular Dysfunction in Insulin-Resistant States.
PMID- 29650795
TI - How much of the missing heritability of ALS is hidden in known ALS genes?
PMID- 29650796
TI - Heartbeat: Reporting guidelines for high quality clinical cardiology research.
PMID- 29650794
TI - Comprehensive analysis of the mutation spectrum in 301 German ALS families.
AB - OBJECTIVES: Recent advances in amyotrophic lateral sclerosis (ALS) genetics have
revealed that mutations in any of more than 25 genes can cause ALS, mostly as an
autosomal-dominant Mendelian trait. Detailed knowledge about the genetic
architecture of ALS in a specific population will be important for genetic
counselling but also for genotype-specific therapeutic interventions. METHODS:
Here we combined fragment length analysis, repeat-primed PCR, Southern blotting,
Sanger sequencing and whole exome sequencing to obtain a comprehensive profile of
genetic variants in ALS disease genes in 301 German pedigrees with familial ALS.
We report C9orf72 mutations as well as variants in consensus splice sites and non
synonymous variants in protein-coding regions of ALS genes. We furthermore
estimate their pathogenicity by taking into account type and frequency of the
respective variant as well as segregation within the families. RESULTS: 49% of
our German ALS families carried a likely pathogenic variant in at least one of
the earlier identified ALS genes. In 45% of the ALS families, likely pathogenic
variants were detected in C9orf72, SOD1, FUS, TARDBP or TBK1, whereas the
relative contribution of the other ALS genes in this familial ALS cohort was 4%.
We identified several previously unreported rare variants and demonstrated the
absence of likely pathogenic variants in some of the recently described ALS
disease genes. CONCLUSIONS: We here present a comprehensive genetic
characterisation of German familial ALS. The present findings are of importance
for genetic counselling in clinical practice, for molecular research and for the
design of diagnostic gene panels or genotype-specific therapeutic interventions
in Europe.
PMID- 29650797
TI - Response: Complex issue of lipoprotein functions in rheumatoid arthritis.
PMID- 29650798
TI - Role of nitric oxide in vasovagal syncope. A puzzle solved but there could be
another piece.
PMID- 29650799
TI - Pervasive mutations of JAK-STAT pathway genes in classical Hodgkin lymphoma.
AB - Dissecting the pathogenesis of classical Hodgkin lymphoma (cHL), a common cancer
in young adults, remains challenging because of the rarity of tumor cells in
involved tissues (usually <5%). Here, we analyzed the coding genome of cHL by
microdissecting tumor and normal cells from 34 patient biopsies for a total of
~50 000 singly isolated lymphoma cells. We uncovered several recurrently mutated
genes, namely, STAT6 (32% of cases), GNA13 (24%), XPO1 (18%), and ITPKB (16%),
and document the functional role of mutant STAT6 in sustaining tumor cell
viability. Mutations of STAT6 genetically and functionally cooperated with
disruption of SOCS1, a JAK-STAT pathway inhibitor, to promote cHL growth.
Overall, 87% of cases showed dysregulation of the JAK-STAT pathway by genetic
alterations in multiple genes (also including STAT3, STAT5B, JAK1, JAK2, and
PTPN1), attesting to the pivotal role of this pathway in cHL pathogenesis and
highlighting its potential as a new therapeutic target in this disease.
PMID- 29650801
TI - The role of JAK2 inhibitors in MPNs 7 years after approval.
AB - Myeloproliferative neoplasms (MPNs) include essential thrombocythemia,
polycythemia vera (PV), and primary myelofibrosis (MF). Phenotype-driver
mutations of JAK2, CALR, and MPL genes are present in MPNs and can be variably
combined with additional mutations. Driver mutations entail a constitutive
activation of the JAK2/STAT pathway, the key signaling cascade in MPNs. Among
JAK2 inhibitors (JAKis), ruxolitinib (RUX) has been approved for the treatment of
intermediate and high-risk MF and for PV inadequately controlled by or intolerant
of hydroxyurea. Other JAKis, such as fedratinib and pacritinib, proved to be
useful in MF. The primary end points in MF trials were spleen volume response
(SVR) and symptom response, whereas in PV trials they were hematocrit control
with or without spleen response. In advanced MF, RUX achieved a long lasting SVR
of >35% in ~60% of patients, establishing a new benchmark for MF treatment. RUX
efficacy in early MF is also remarkable and toxicity is mild. In PV, RUX achieved
hematocrit control in ~60% of cases and SVR in 40%. Symptom relief was evident in
both conditions. In the long-term, however, many MF patients lose their SVR.
Indeed, the definition of RUX failure and the design of new trials in this
setting are unmet needs. Decrease of hemoglobin/platelet levels and increased
infection rates are the most common side effects of RUX, and nonmelanoma skin
tumors need to be monitored while on treatment. In conclusion, the introduction
of JAKis raises the bar of treatment goals in MF and PV.
PMID- 29650800
TI - MGUS, lymphoplasmacytic malignancies, and Gaucher disease: the significance of
the clinical association.
PMID- 29650802
TI - Variations and delays in COPD care warrant new targets, says college.
PMID- 29650803
TI - Cost-effectiveness of Continuous Glucose Monitoring for Adults With Type 1
Diabetes Compared With Self-Monitoring of Blood Glucose: The DIAMOND Randomized
Trial.
AB - OBJECTIVE: This study evaluated the societal cost-effectiveness of continuous
glucose monitoring (CGM) in patients with type 1 diabetes (T1D) using multiple
insulin injections. RESEARCH DESIGN AND METHODS: In the Multiple Daily Injections
and Continuous Glucose Monitoring in Diabetes (DIAMOND) trial, 158 patients with
T1D and HbA1c >=7.5% were randomized in a 2:1 ratio to CGM or control.
Participants were surveyed at baseline and 6 months. Within-trial and lifetime
cost-effectiveness analyses were conducted. A modified Sheffield T1D policy model
was used to simulate T1D complications. The main outcome was cost per quality
adjusted life-year (QALY) gained. RESULTS: Within the 6-month trial, the CGM
group had similar QALYs to the control group (0.462 +/- 0.05 vs. 0.455 +/- 0.06
years, P = 0.61). The total 6-month costs were $11,032 (CGM) vs. $7,236
(control). The CGM group experienced reductions in HbA1c (0.60 +/- 0.74%
difference in difference [DiD]), P < 0.01), the daily rate of nonsevere
hypoglycemia events (0.07 DiD, P = 0.013), and daily test strip use (0.55 +/- 1.5
DiD, P = 0.04) compared with the control group. In the lifetime analysis, CGM was
projected to reduce the risk of T1D complications and increase QALYs by 0.54. The
incremental cost-effectiveness ratio (ICER) was $98,108 per QALY for the overall
population. By extending sensor use from 7 to 10 days in a real-world scenario,
the ICER was reduced to $33,459 per QALY. CONCLUSIONS: For adults with T1D using
multiple insulin injections and still experiencing suboptimal glycemic control,
CGM is cost-effective at the willingness-to-pay threshold of $100,000 per QALY,
with improved glucose control and reductions in nonsevere hypoglycemia.
PMID- 29650804
TI - Exploring Variation in Glycemic Control Across and Within Eight High-Income
Countries: A Cross-sectional Analysis of 64,666 Children and Adolescents With
Type 1 Diabetes.
AB - OBJECTIVE: International studies on childhood type 1 diabetes (T1D) have focused
on whole-country mean HbA1c levels, thereby concealing potential variations
within countries. We aimed to explore the variations in HbA1c across and within
eight high-income countries to best inform international benchmarking and policy
recommendations. RESEARCH DESIGN AND METHODS: Data were collected between 2013
and 2014 from 64,666 children with T1D who were <18 years of age across 528
centers in Germany, Austria, England, Wales, U.S., Sweden, Denmark, and Norway.
We used fixed- and random-effects models adjusted for age, sex, diabetes
duration, and minority status to describe differences between center means and to
calculate the proportion of total variation in HbA1c levels that is attributable
to between-center differences (intraclass correlation [ICC]). We also explored
the association between within-center variation and children's glycemic control.
RESULTS: Sweden had the lowest mean HbA1c (59 mmol/mol [7.6%]) and together with
Norway and Denmark showed the lowest between-center variations (ICC <=4%).
Germany and Austria had the next lowest mean HbA1c (61-62 mmol/mol [7.7-7.8%])
but showed the largest center variations (ICC ~15%). Centers in England, Wales,
and the U.S. showed low-to-moderate variation around high mean values. In pooled
analysis, differences between counties remained significant after adjustment for
children characteristics and center effects (P value <0.001). Across all
countries, children attending centers with more variable glycemic results had
higher HbA1c levels (5.6 mmol/mol [0.5%] per 5 mmol/mol [0.5%] increase in center
SD of HbA1c values of all children attending a specific center). CONCLUSIONS: At
similar average levels of HbA1c, countries display different levels of center
variation. The distribution of glycemic achievement within countries should be
considered in developing informed policies that drive quality improvement.
PMID- 29650806
TI - Timing and Location of Emergency Department Revisits.
AB - BACKGROUND: Emergency department (ED) revisits are used as a measure of care
quality. Many EDs measure only revisits to the same facility, underestimating
true rates. We sought to determine the frequency, location, and predictors of ED
revisits to the same or a different ED. METHODS: We studied ED discharges for
children <18 years old in Maryland and New York in the statewide ED and inpatient
databases. Revisits were defined as ED visits within 7 days of an index visit.
Our primary outcome was the proportion of revisits that were different-hospital
revisits (DHRs). We measured the underestimation of total revisits when only same
hospital revisits were measured. We determined the risk of DHR by quartile of
annual ED pediatric volume, adjusting for case mix, insurance, state, and urban
location. RESULTS: Revisits across 261 EDs occurred after 5.9% of 4.3 million
discharges. A per-ED median 21.9% of revisits were DHRs (interquartile range
14.2%-34.6%). Measuring only same-hospital revisits underestimated total revisits
by 17.4%. The proportions of revisits that were DHRs by increasing volume
quartile were 28.1%, 25.5%, 22.6%, and 14.5%. The adjusted risk of DHR was lower
for increasing quartiles of pediatric volume (adjusted odds ratio for highest
versus lowest quartile 0.27; 95% confidence interval, 0.19-0.36). CONCLUSIONS:
Measuring ED revisits only at the index ED significantly underestimates total
revisits. Lower pediatric volume is associated with higher DHRs as a proportion
of revisits. When using revisits as a measure of emergency care quality, effort
should be made to assess revisits to different EDs.
PMID- 29650807
TI - Perceptions of Health Care Transition Care Coordination in Patients With Chronic
Illness.
AB - OBJECTIVES: Expert consensus jointly authored in 2011 by the American Academy of
Pediatrics, American Academy of Family Physicians, and American College of
Physicians supports the use of health care transition (HCT) care coordination
(CC). Although gaps in care are addressed in these practice-based implementation
recommendations, such recommendations have never undergone rigorous assessment.
We assessed the effectiveness of implementation on quality of chronic illness
care and CC during HCT for adolescents and young adults. METHODS: Adolescents and
young adults with special health care needs were enrolled in a randomized HCT CC
intervention. Intervention participants received HCT CC as outlined in the 2011
clinical report. Perceptions of chronic illness care quality and CC were assessed
at 0, 6, and 12 months. RESULTS: Intervention participants had a Patient
Assessment of Chronic Illness Care score at 12 months of 3.6 vs 3.3 compared with
participants in the control group (P = .01). Intervention participants had higher
average scores for patient activation (3.7 vs 3.4; P = .01), problem solving (3.8
vs 3.4; P = .02), and coordination/follow-up (3.0 vs 2.5; P < .01). The Client
Perceptions of Coordination Questionnaire revealed that intervention participants
had 2.5 times increased odds to endorse mostly or always receiving the services
they thought they needed and had 2.4 times increased odds to have talked to their
provider about future care (P < .01). CONCLUSIONS: Implementing recommended HCT
CC practices improved patient or patient caregiver perception of quality of
chronic illness care and CC especially among the most complex patients.
PMID- 29650805
TI - Co-targeting BET and MEK as salvage therapy for MAPK and checkpoint inhibitor
resistant melanoma.
AB - Despite novel therapies for melanoma, drug resistance remains a significant
hurdle to achieving optimal responses. NRAS-mutant melanoma is an archetype of
therapeutic challenges in the field, which we used to test drug combinations to
avert drug resistance. We show that BET proteins are overexpressed in NRAS-mutant
melanoma and that high levels of the BET family member BRD4 are associated with
poor patient survival. Combining BET and MEK inhibitors synergistically curbed
the growth of NRAS-mutant melanoma and prolonged the survival of mice bearing
tumors refractory to MAPK inhibitors and immunotherapy. Transcriptomic and
proteomic analysis revealed that combining BET and MEK inhibitors mitigates a
MAPK and checkpoint inhibitor resistance transcriptional signature, downregulates
the transcription factor TCF19, and induces apoptosis. Our studies demonstrate
that co-targeting MEK and BET can offset therapy resistance, offering a salvage
strategy for melanomas with no other therapeutic options, and possibly other
treatment-resistant tumor types.
PMID- 29650808
TI - Correction: Adverse pregnancy outcomes in women exposed to gabapentin and
pregabalin: data from a population-based study.
PMID- 29650809
TI - [Molecular Targeted Therapies for Hereditary Cancer Syndrome].
AB - Development of molecular targeted drugs has achieved remarkable improvement of
systemic cancer therapy. Recently, the several molecular targeted drugs have
become available which associated with the status of responsible genes for
hereditary cancer syndrome. These drugs would allow to establish specific
strategy for hereditary cancer syndrome or sporadic cancers with similar
biological phenotype with hereditary cancer. Genetic tests for the diagnosis of
hereditary cancer syndrome will have the meaning of biomarker for predicting the
efficacy of these molecular targeted drugs. This review summarized the molecular
targeted drugs including immune checkpoint inhibitors with potential effects for
hereditary cancer syndrome, such as anti-PD-1 antibody for Lynch syndrome, PARP
inhibitor for hereditary breast and ovarian cancer syndrome, multi-kinase
inhibitor for multiple endocrine neoplasia type 2.
PMID- 29650810
TI - [Artificial Intelligence in Drug Discovery].
AB - According to the increase of data generated from analytical instruments,
application of artificial intelligence(AI)technology in medical field is
indispensable. In particular, practical application of AI technology is strongly
required in "genomic medicine" and "genomic drug discovery" that conduct medical
practice and novel drug development based on individual genomic information. In
our laboratory, we have been developing a database to integrate genome data and
clinical information obtained by clinical genome analysis and a computational
support system for clinical interpretation of variants using AI. In addition,
with the aim of creating new therapeutic targets in genomic drug discovery, we
have been also working on the development of a binding affinity prediction system
for mutated proteins and drugs by molecular dynamics simulation using
supercomputer "Kei". We also have tackled for problems in a drug virtual
screening. Our developed AI technology has successfully generated virtual
compound library, and deep learning method has enabled us to predict interaction
between compound and target protein.
PMID- 29650811
TI - [Human Genome Data and Drug Development].
AB - Human genome data has been of growing importance in drug development, which we
call "genome-based drug development", and medical big data including genome and
clinical data is becoming indispensable resource. In this paper, we reviewed(
1)drug development utilizing genome data,(2)current situation of development of
platform for genomic medicine collecting genome and clinical data, and(3)new
movement of genomic data sharing.
PMID- 29650812
TI - [Clinical Implementation of Precision Medicine].
AB - In the end of last year, the US Food and Drug Administration has announced the
authorization of MSK-IMPACT(TM), clinical test based on multiplex cancer panel
profiling for genetic mutations and other alterations in patients' tumors, while
in Japan, NCC Oncopanel, domestic multiplex cancer panel, is scheduled to be
clinically implemented as advanced medical care B in this coming spring, chasing
the state of the art technology for cancer treatment. In this article, we outline
a recently published roadmap for precision medicine in Japan, together with our
years of experience on cancer diagnosis and treatment based on multiplex cancer
panel at Okayama University Hospital and Okadai Biobank.
PMID- 29650813
TI - [The Cutting Edge of Sarcoma Genomics].
AB - Sarcoma is well-known rare cancer with few therapeutic options. Recent
comprehensive genomic analyses of adult soft tissue sarcoma revealed few somatic
mutations and massive copy number variations(CNVs)by the specific chromosomes.
Those features are quite different from the genomics of carcinoma such as lung
and colon cancers in which driver and passenger mutations play a central role in
the pathogenesis. Furthermore, it has been demonstrated that substantial
population of sarcoma patients has pathological germline variants of cancer
predisposition genes. These findings imply that, in addition to somatic
mutations, inherited germline variants may play a role in the disease state of
sarcoma via dosage effects. On this basis, we also discuss on the prospect and
limitation of the precision medicine of sarcoma.
PMID- 29650814
TI - [Melanoma and Non-Melanoma Skin Cancers].
PMID- 29650815
TI - [I. New Treatment of Metastatic Melanoma].
PMID- 29650816
TI - [II. Diagnosis and Treatment for Nail Apparatus(Subungual)Melanoma].
PMID- 29650817
TI - [III. Diagnosis and Management of Keratoacanthoma].
PMID- 29650818
TI - [Combinatorial Use of Rapid-Onset Opioid and Short-Acting Opioid Is Effective
against Breakthrough Cancer Pain].
AB - Breakthrough cancer pain is divided into "predictable breakthrough pain" and
"unpredictable breakthrough pain". Uncontrolled breakthrough pain in cancer
negatively affects the quality of life of the patients. The short-acting
opioid(SAO) requires considerable time to produce analgesia, and is not adequate
as a rescue drug. The rapid-onset opioid(ROO)immediately produces analgesia, but
its appropriate usage is difficult. For instance, the frequency and interval of
ROO usage is limited, making the optimization of dosage cumbersome. Therefore,
ROO has not yet gained popularity. Here, we report that a combinatorial use of
ROO and SAO is effective against breakthrough cancer pain, with SAO and ROO being
suitable for "predictable breakthrough pain", and "unpredictable breakthrough
pain", respectively. The effectiveness and safety of this combination were
assessed for many patients with breakthrough cancer pain.
PMID- 29650819
TI - [A Case Report of Neuroendocrine Carcinoma in the Gall Bladder Showing Recurrence
Shortly after Surgery].
AB - A55 -year-old woman with a 10*8mm protuberant gallbladder lesion visited our
hospital. To determine possible malignancy, she underwent laparoscopic excision
biopsy of the gallbladder, and pathological findings revealed an endocrine
carcinoma in the gallbladder. Surgery involved extrahepatic bile duct resection,
gallbladder bed resection, and hepatic portal lymph node resection. Recurrence in
the peritoneal lymph node was observed in the early postoperative period.
Chemotherapy was initiated with cisplatin and irinotecan, and continues 18 months
post-operatively. Neuroendocrine carcinoma of the gallbladder is rare and is
known to have a poor prognosis, with few confirmed treatments reported for this
disease due to its rarity. Therefore, we report this case along with a review of
the literature.
PMID- 29650820
TI - [Rectal Mucinous Cancer with Submucosal Tumor-Like Morphology Preoperatively
Diagnosed Using EUS-FNAB - A Case Report].
AB - A man in his 70s presented with a chiefcomplaint ofbleeding during bowel
movements. Subsequent colonoscopy revealed a submucosal tumor-like elevated
lesion ofapproximately 4 cm situated in the sigmoid section ofthe rectum. EUS
FNAB was performed, and the lesion was identified as mucinous cancer. Based on a
diagnosis of rectal cancer(cT4a, cN0, cM0, cStage II), a low anterior resection
was performed. Histopathological analysis of the resected specimen revealed a
well-differentiated mucinous cancer. The tumor had ruptured the proper muscular
layer and was developing in a submucosal tumor-like manner, protruding from the
wall within a fibrous capsule. In Japan, only 15 cases of submucosal tumor-like
colorectal mucinous cancer have been reported to date. It is rare for such cases
to be preoperatively diagnosed as mucinous cancer using EUS-FNAB and then to
undergo radical resection.
PMID- 29650821
TI - [Continuation of Axitinib for Advanced Renal Cell Carcinoma by The Application of
Homecare Urology - A Case Report and Literature Review].
AB - A 56-year-old man with advanced RCC and a past medical history of type 2 diabetes
underwent a radical left nephrectomy following a histological diagnosis of
papillary RCC, G2, INF b, pT3, V1 in 1999. In 2008, sorafenib was started to
treat multiple pulmonary metastases of RCC. In 2011, sorafenib was switched to
sunitinib when radiologic progression was observed. In 2014, sunitinib was
switched to axitinib when further radiologic progression was observed. In 2015,
the patient was referred to Yazawa clinic for homecare urology when hospital
visits became difficult due to cancer pain and bilateral lower-extremity muscle
weakness. Cancer pain was controlled using acetaminophen and a fentanyl patch.
During the administration of axitinib, a CTCAE grade 1 vocal disorder was
detected. We reduced the axitinib dose from 10 mg to 6 mg, and valsartan and an
antiflatulent were administered due to CTCAE grade 2 hypertension and diarrhea,
respectively. Axitinib administration continued until the patient died. He had
survived more than 11 years following the detection of lung metastasis. In this
patient, a good balance between cancer treatment and palliative care was achieved
through the application of homecare urology. In a super-aged society such as
Japan, urologists with an awareness of Zaitaku Medicine, a Japanese style of
homecare that provides continuing appropriate medical treatment and welfare
support to patients with access barriers to hospital treatment to enable them to
live out the remainder of their lives with dignity, may play a key role in the
development of Zaitaku Medicine.
PMID- 29650822
TI - [A Case of Poorly Differentiated Carcinoma of Unknown Primary Site with Risk of
Choriocarcinoma Syndrome Effectively Treated with Reduced Bleomycin,Cisplatin
,Etoposide Combination Regimen(BEP Regimen)].
AB - A 64-year-old man visited his physician complaining of bilateral gynecomastia and
left shoulder pain. Chest X-ray showed multiple bilateral masses in the lung, and
he was referred to our hospital. Radiographical findings, elevation of serum
total hCG, and histological findings of the cervical lymph node revealed multiple
pulmonary, nodal, and brain metastases of poorly differentiated carcinoma of an
unknown primary site with choriocarcinoma components. He was administered a
regimen of reduced bleomycin, cisplatin, etoposide combination(reduced BEP
regimen)to reduce the risk of acute respiratory failure with intra-alveolar
hemorrhage related to post-chemotherapy early tumor necrosis. After chemotherapy,
the tumor marker hCG levels were almost restored to normal levels, and
radiography showed he had achieved a clinical partial response.
PMID- 29650823
TI - [Safety and Efficacy of TAS-102 with Bevacizumab for the Treatment of
Unresectable Metastatic Colorectal Cancer - A Case Report].
AB - Chemotherapywith TAS-102 with bevacizumab(Bmab)is a new treatment for metastatic
colorectal cancer. A 67-year-old male patient with synchronous multiple liver
metastases was treated with TAS-102 with Bmab as a fifth-line chemotherapy. It
was demonstrated that liver metastases decreased in size by1 3%bycomputed
tomography(CT)after 3 months of TAS-102 with Bmab therapy. The Grade 3 or worst
adverse event that was experienced was neutropenia. The patient was able to
continue treatment with TAS-102 with Bmab for 6 months. TAS-102 with Bmab
treatment was safetyand efficacious as a late-line chemotherapytreatment for
metastatic colorectal cancer.
PMID- 29650824
TI - [A Case Report of Appendiceal Cancer Complicated Appendicitis Treated with Single
Incision Laparoscopic Ileocecal Resection].
AB - We report a case of appendicitis with an abscess that was treated with single
incision laparoscopic ileocecal resection with D2 lymphadenectomy because of
intraoperative suspicion of appendiceal cancer. A 73-year-old woman was admitted
to the hospital because of right lower abdominal pain. She was diagnosed with
appendicitis with an abscess. Although single-incision laparoscopic appendectomy
was planned, appendiceal cancer was suspected from intraoperative findings.
Therefore, we performed single-incision laparoscopic ileocecal resection with D2
lymphadenectomy. The pathological result was moderately differentiated
adenocarcinoma in the appendix. Because of the high risk of fStage II appendiceal
cancer, adjuvant chemotherapy was administered. Neither recurrence nor metastasis
have been detected 7 months after surgery.
PMID- 29650825
TI - [Successful Breast Conserving Surgery for Simultaneous and Ipsilateral Multiple
Breast Carcinomas of Young Patient - A Case Report].
AB - We report a case of a simultaneous and ipsilateral multiple breast carcinoma.The
patient was 35-year-old woman.She was noticed a breast lump on her right breast,
and visited our hospital.Mammography showed a tumor accompanied by spiculation on
her right breast.Ultrasonography revealed 2 tumors with irregular margins, 23mm
in diameter and 12mm in diameter, and were observed on C area of her right
breast.The continuity of the 2 tumors was not clear.Core needle biopsies for each
breast tumors led to a diagnosis.The pathological diagnosis was invasive ductal
carcinoma.We checked up whole body. There was no metastatic lesion.We performed
breast conserving surgery and sentinel node biopsy.The pathological diagnosis was
invasive ductal carcinoma, positive for estrogen receptor and progesterone
receptor, negative for HER2/neu.The Ki-67 positive cell index was 30%.The
surgical margin was negative.We diagnosed T2N0M0=Stage II A.She was started the
endocrine therapy by LH-RH agonist and tamoxifen.Four years after surgery, she
was well without metastases.
PMID- 29650826
TI - [A Case in Which S-1 plus CDDP and S-1 Therapy Responded to Liver Metastasis
Recurrence after Gastric Cancer Operation].
AB - A 55-year-old man underwent distal gastrectomy and D2 lymph node dissection for
type 2 gastric cancer of the antrum. One year later, CEA elevation was
discovered, and contrast-enhanced abdominal computed tomography(CT)revealed a 40
mm mass in the liver(S8), which was judged to be a metastatic recurrence of the
gastric cancer.S -1 plus CDDP was administered in 5 courses, followed by regular
treatment with S-1 alone.Two years after the recurrence was diagnosed, the
patient's CEA level was found to be normal, and CT revealed almost total
scarring.After 2 more years, there was still no sign of recurrence, so, with the
patient's consent, we discontinued the chemotherapy.Eight years after the
gastrectomy, a 10mm nodular shadow was observed in the left lower lung lobe, and
resection was performed.Despite the earlier diagnosis of gastric adenocarcinoma,
this mass was considered a primary lung adenocarcinoma, and the patient died of
small-cell lung cancer 11 years and 8 months after the gastrectomy.It is notable
that the liver metastasis in this case responded to the S-1 plus CDDP and S-1
therapies, and this response is considered in light of the literature.
PMID- 29650827
TI - [A Case Report of Complete Resection of the Recurrence Small Intestinal Carcinoma
with Abdominal Wall Repair Using Femoral Fascia].
AB - The patients had Hartmann's operation for rectal cancer when she was 61 years
old. After that, she underwent the operation for small bowel cancer when she was
68 years old. After 5 months, the only metastatic lesion was detected near the
Scolon stoma. For the first therapy, She received chemotherapy(mFOLFOX6 plus
bevacizumab)and had a reducing effect. She was introduced to our hospital for the
purpose of resection of the recurrent lesion. CT scan detected a nodule near the
Scolon stoma with FDG uptake for PET-CT scan. The lesion was resected with S
colon stoma. The abdominal wall defect was so large, we performed abdominal wall
plasty with a femoral fascia patch. Seven months after surgery, there is no
recurrence. Small bowel tumor is a rare case. This disease frequency about 0.1
0.3% and the treatment strategy is not well established. We reported that
complete resection of the recurrence small intestinal carcinoma with abdominal
wall repair using femoral fascia after the chemotherapy.
PMID- 29650828
TI - [A Case of Locally Advanced Intrahepatic Cholangiocarcinoma Successfully Treated
by Conversion Surgery after Hepatic Arterial Infusion Chemotherapy and Radiation
Therapy].
AB - The patient was a 63-year-old man. Computed tomography(CT)showed a 99mm in
diameter low-density mass in hepatic segments 4 and 8 as the main locus. This
tumor was diagnosed as intrahepatic cholangiocarcinoma and was suspected to
invade to left and right Gleason's sheath, and radical cure was judged
impossible. After hepatic arterial chemotherapy and radiotherapy were performed,
tumor shrinkage was confirmed, and tumor markers also became negative. So he was
referred to our hospital for surgical indication. CT revealed that the tumor did
not invade to the left Gleason's sheath. After percutaneous transhepatic portal
embolization, hepatic right trisectionectomy was performed. He was administered
gemcitabine as an adjuvant chemotherapy for 1 year. One year 5 months after
surgery, the patient is alive without relapse. Preoperative hepatic arterial
chemotherapy and radiotherapy could be an effective treatment for unresectable
locally advanced intrahepatic cholangiocarcinoma.
PMID- 29650829
TI - [A Case of Stage IV Gastric Cancer Which Showed Pathological Complete Response
after Neo-Adjuvant Chemotherapy].
AB - We report a case of Stage IV gastric cancer showing pathological complete
response(pCR)after neo-adjuvant chemotherapy( NAC)using S-1 and
oxaliplatin(SOX).A woman 73-year-old was diagnosed as harming type 3 Stage IV
gastric cancer with para-aortic lymph node(PAN)metastasis.She underwent 4 courses
of NAC with SOX regimen.After the treatment, both the primary tumor and the
metastatic PAN decreased in size remarkably.She underwent distal gastrectomy with
D2 plus PAN dissection with curative intent.Pathological diagnosis revealed
complete disappearance of cancer cells in both the primary lesion of the stomach
and all dissected lymph nodes, confirming pCR.She is alive without recurrence 4
months after surgery.
PMID- 29650830
TI - [Curative Resection for Unresectable Locally Advanced Colorectal Cancer Following
Intensive Chemotherapy plus Molecular Targeted Agent - Report of Three Cases].
AB - Intensive chemotherapy plus molecular targeted agent improve overall survival for
patients with unresectable colorectal cancer.We performed laparoscopic surgery
following intensive chemotherapy of mFOLFOX6 or FOLFIRI plus molecular targeted
agent for 3 patients with unresectable locally advanced colorectal cancer with
abscess formation.A 60-year-old man was diagnosed as having unresectable rectal
cancer with abscess formation and underwent curative resection after partial
response following chemotherapy.A 42-year-old woman was diagnosed as having
unresectable sigmoid colon cancer with abscess formation and underwent curative
resection after partial response following chemotherapy.A 56-year-old woman was
diagnosed as having unresectable sigmoid colon cancer with abscess formation and
underwent curative resection after partial response following chemotherapy.They
are alive after surgery for 69, 74 and 72 months, respectively.Intensive
chemotherapy plus molecular targeted agent for unresectalbe locally advanced
colorectal cancer with abscess formation will be one of useful strategies for
minimum invasive surgery and effective local control.
PMID- 29650831
TI - [Four Cases of Resected cN2 Stage III A Non-Small-Cell Lung Cancer after
Induction Chemotherapy].
AB - Four patients with non-small-cell lung cancer(NSCLC), diagnosed with cN2 stage
III A disease, by using CT and FDG-PET/ CT imaging, received 2 or 3 courses of
platinum-based combination chemotherapy.The patients achieved partial response
after chemotherapy and underwent surgery.Complete tumor resection was performed
via upper lobectomy for 3 patients, but in 1 patient, interlobar metastatic lymph
nodes remained after middle and lower bilobectomy.Two courses of postoperative
chemotherapy were administered to 3 patients, but 1 patient could not receive
postoperative chemotherapy due to complications.One patient, in whom lymph node
metastasis completely disappeared after induction chemotherapy, is still alive
and without disease recurrence for 7 years.Another patient, with the presence of
only one intralobar metastatic lymph node after chemotherapy, died of brain and
meningeal metastases, 3 years after surgery.Two other patients, with multiple pN2
lymph nodes after chemotherapy, died of early intrathoracic local relapse,
indicating that prognosis is influenced by response to chemotherapy, especially
in patients with poor N-downstaging.Improvements in response to induction therapy
by using intensive chemotherapeutic regimens, concurrent radiotherapy, and strict
patient selection, limited to N-downstaged cases, are needed for successful
surgery outcomes in patients with cN2 stage III A NSCLC who have received
induction therapy.
PMID- 29650832
TI - [A Case of Long-Term Survival in Gastric Cancer with Multiple Liver Metastases
Successfully Treated by Conversion Surgery after S-1 plus Cisplatin Combination
Therapy].
AB - A 49-year-old man was diagnosed with advanced gastric cancer, with a chief
complaint of epigastric discomfort. Computed tomography revealed multiple liver
metastases. S-1 plus cisplatin therapy was administered as first-line
chemotherapy, and after 4courses, the liver metastases markedly reduced. Total
gastrectomy with D2 lymphadenectomy and a needle biopsy of segment 2 of the liver
were performed. Histopathological examination revealed no viable cancer cells in
the resected stomach, lymph nodes, or liver tissue. The primary tumor was defined
as Grade 3 by histopathological examination. Adjuvant chemotherapy with S-1 was
administered for 1 year. The patient is alive without recurrence more than 6
years after surgery.
PMID- 29650833
TI - [A Case of Local Recurrence of Descending Colon Cancer with Ileus Obstruction and
Lung, Liver and Brain Metastasis].
AB - We report a 50-year-old man with local recurrence of descending colon cancer with
ileus obstruction and brain metastasis, 2 years 6 months after initial resection
due to perforation of descending colon cancer(Hartmann procedure, D2 lymph node
resection, Stage II, tub2). He complained of left upper abdominal pain and
abdominal fullness. He also complained of paresis of the right upper extremity
and of experiencing convulsions 1 month before admission. He was diagnosed with
local recurrence of descending colon cancer, based on findings of contrast
radiography and the presence of colonic fiber. We subsequently performed
transanal decompression as a bridge to surgery and performed partial resection of
the local recurrence in the anastomosis at the descending colon and ileum
involved with the cancer 2 weeks after decompression. In addition, multiple lung
and liver metastases, and solitary brain metastasis(2.5 cm in size located in the
left side of the parietal region) were detected by cerebral plain computed
tomography. However, he refused both chemotherapy after surgery, as well as
further surgery and/or radiation therapy for the brain metastasis. He desired to
return to his home as soon as possible. In order to improve his quality of
life(QOL), in-home treatment involving the best supportive care(BSC)conservative
therapiesincluding, anticonvulsant and anti-intracranial hypertension drugs-were
administered to prevent brain metastasis symptoms, such as paresis of the right
upper extremities and convulsions. He was discharged from our hospital 14 days
after surgery. Regrettably, he died due to bronchial asthma 75 days after
palliative surgery in his home.
PMID- 29650834
TI - [Asynchronous Bilateral Neuroendocrine Breast Carcinoma - A Case Report].
AB - We report a case of asynchronous bilateral neuroendocrine breast carcinoma. The
patient was a 49-year-old woman presenting with a bloody nipple discharge from
the right breast. We suspected intraductal papilloma and performed a
microdochectomy. A pathological analysis of the resected specimen confirmed the
diagnosis as neuroendocrine carcinoma. The tumor was positive for estrogen
receptor, progesterone receptor, chromogranin A, and synaptophysin, but negative
for the HER2/neu marker. The Ki-67 labeling-index was 40%. As the tumor margin
was positive, breast-conserving surgery plus level II axillary lymph node
dissection was performed. After surgery, radiotherapy(total dose of 50 Gy)was
administered for treating residual breast involvement. Adjuvant hormonal therapy
was performed for 5 years. Ten years after surgery, ultrasonography revealed a
12mm irregular hypoechoic mass in the left breast. The mass was diagnosed as a
solid tubular carcinoma based on core needle biopsy findings. Subsequently, we
performed breast-conserving surgery. The pathological diagnosis was a
neuroendocrine carcinoma, and the tumor was positive for estrogen receptor,
progesterone receptor, chromogranin A, synaptophysin, and CD56, but negative for
the HER2/neu marker. The Ki-67 labeling-index was 50%. We report our experiences
with a rare case of asynchronous bilateral neuroendocrine breast carcinoma. In
this case, ultrasonography was a useful modality for detecting both the lesions.
PMID- 29650835
TI - [Diagnosis of a Non-Invasive Ductal Carcinoma, Assisted by Long-Term Follow-Up of
Spontaneous Nipple Discharge - A Case Report].
AB - We report a case of a non-invasive ductal carcinoma revealed on long-term follow
up of spontaneous nipple discharge. The patient, a 36-year-old woman, had noticed
spontaneous nipple discharge from both breasts over a 3-year period. Mammography
and ultrasonography did not reveal any lesions in the breasts. The nipple
discharge from her left breast stopped 36 months after initial clinical
assessment. However, the nipple discharges from her right breast transformed into
a bloody discharge from a single duct. Ultrasonography showed a tumor, 6mm in
diameter, in the upper-outer quadrant of her right breast. A core needle biopsy
for breast tumor led to a pathological diagnosis of non-invasive ductal
carcinoma. We conducted a whole-body clinical examination but no metastatic
lesions were detected. Subsequently, we performed breastconserving surgery and
sentinel lymph node biopsy. The pathological diagnosis was non-invasive ductal
carcinoma in situ. The tumor was positive for estrogen and progesterone
receptors, but negative for HER2/neu. The Ki-67 labeling index was 5%. The
surgical margin was negative. We diagnosed the tumor as TisN0M0=Stage 0.
Endocrine therapy comprising tamoxifen (20mg/day)was initiated. Four years after
surgery, she was well without any metastases.
PMID- 29650836
TI - [A Case of Advanced Esophagogastric Junction Cancer Responding to S-1 Neoadjuvant
Chemotherapy].
AB - A 56-year-old man was diagnosed with advanced adenocarcinoma of the
esophagogastric junction. He received 1 course of neoadjuvant chemotherapy with S
1. After neoadjuvant chemotherapy, the primary tumor showed a remarkable decrease
in size. Subtotalesophagectomy, D2 lymph node dissection, and reconstruction with
a gastric tube through the posterior mediastinal route were performed.
Pathological examination showed that most of the cancer cells had been destroyed,
with a part where adenocarcinoma mucosa was seen. We successfully treated a case
of advanced adenocarcinoma of the esophagogastric junction, with neoadjuvant S-1
chemotherapy and surgicalresection.
PMID- 29650837
TI - [Laparoscopic Distal Gastrectomy for Elderly Patients with Advanced Gastric
Cancer and Gastric Outlet Obstruction - A Case Report].
AB - A 90-year-old female patient was admitted to our hospital with a chief complaint
of vomiting.Gastroscopy revealed type 3 gastric cancer and gastric outlet
obstruction(GOO).Abdominal computed tomography revealed thickening of the antral
wall and suggested the presence of 3 perigastric lymph node metastases, but there
was no ascitic fluid or distant metastasis.The clinical diagnosis was
T4a(SE)N2H0CYXP0M0, Stage III B, according to the Japanese Classification of
Gastric Carcinoma.Her general conditions including kidney and cardiac function
were good, we considered that she was able to tolerate radical distal
gastrectomy.We planned laparoscopic distal gastrectomy(LDG)and D2 lymphadenectomy
after getting sufficient informed consent.The patient experienced an uneventful
post-operative recovery, and was discharged in good health 11 days after surgery.
PMID- 29650838
TI - [Two Cases of Hepatic Encephalopathy after Chemotherapy for Metastatic Colorectal
Cancer].
AB - We reported 2 cases of hepatic encephalopathy after chemotherapy for advanced
colorectal cancer. Case 1: A 49-year-old male was diagnosed advanced sigmoid
colon cancer with peritoneal dissemination, multiple liver metastasis and
multiple osseous metastasis. After resection of primary lesion, we administered
mFOLFOX6 plus bevacizumab combination therapy. He was in comatose(Japan coma
scale 200)3 days after 2 courses of administration of this regimen. Case 2: A 57
year-old female was diagnosed advanced rectal cancer with multiple huge liver
metastasis and multiple osseous metastasis. We administered mFOLFOX6 plus
panitumumab combination therapy. She was in comatose(Japan coma scale 100)3 days
after 10 courses of administration of this regimen. In both cases, radiographic
imaging showed no abnormal sign and blood examination revealed a high level of
serum ammonia. We diagnosed their disturbance of consciousness as a symptom of
hepatic encephalopathy. Branched-chain amino acid infusion rapidly improved
disturbance of consciousness. We must consider the symptom, hepatic
encephalopathy in patients receiving chemotherapy for advanced colorectal cancer.
PMID- 29650839
TI - [A Case of Splenic Metastasis from Serous Surface Papillary Carcinoma of the
Peritoneum Treated by Laparoscopic Splenectomy].
AB - A 50-year-old woman with abdominal fullness, lower abdominal pain, elevated serum
CA125, and ascites, underwent neoadjuvant chemotherapy with 6 courses of
PTX/CBDCA followed by total hysterectomy, bilateral salpingo-oophorectomy,
omentectomy, and intrapelvic peritoneal stripping based on a diagnosis of serous
surface papillary carcinoma(SSPC) of the peritoneum. Complete response(CR)was
shown after adjuvant chemotherapy with 3 courses of the same regimen. After 6
months, serum CA125 level re-increased and abdominal CT showed small low density
areas in the patient's spleen and the perisplenic fat tissue. After the
additional chemotherapy with 3 courses of PTX/CBDCA were performed based on a
diagnosis of metastatic lesion from SSPC, anaphylactic shock occurred as the
severe adverse event. The patient was given 9 more courses of the chemotherapy
changed the regimen to PTX/CDDP. The splenic metastatic lesion had grown rapidly
in the followed CT and then, laparoscopic splenectomy with peritoneal resection
was performed based on a diagnosis of splenic metastasis from SSPC. The
pathological examinations showed the tumor to be a splenic metastasis and
peritoneal dissemination from SSPC. No recurrent lesion has been detected in the
9 months since removal of the splenic metastasis without adjuvant chemotherapy
and the patient has survived for 4 years and 6 months since initial treatment.
PMID- 29650840
TI - [A Case of Effective Palliative Care with CART for Refractory Ascites Associated
with Cancerous Peritonitis of Gastric Cancer].
AB - Refractory ascites associated with cancerous peritonitis causes abdominal tension
and reduced oral intake. Frequent ascites drainage can cause rapid worsening ofa
patient's general condition. Cell-free and concentrated ascites reinfusion
therapy (CART)for refractory ascites was first covered in 1981, and the general
conditions ofpatients and their symptoms could be improved after undergoing CART.
Herein, we report a case of effective palliative care with CART for refractory
ascites associated with cancerous peritonitis. A 66-year-old man was admitted to
our hospital because ofabdominal distension. Computed tomography revealed the
presence ofascites and gastric wall thickness; upper gastrointestinal endoscopy
revealed an ulcerated lesion with raised margins on the body ofthe stomach.
Biopsy ofthis lesion confirmed the diagnosis ofadenocarcinoma, and he was
diagnosed with gastric cancer(M, Type 3, cT4a[SE], cN0, cH0, cP1, cM1, cStage
IV). He underwent palliative care for ascites, followed by FLTAX regimen
chemotherapy(5-fluorouracil[5-FU]and Leucovorin[LV]combined with weekly
paclitaxel[PTX]). He received CART for 8 courses without complications, and his
symptoms improved after receiving CART. He survived for about 18 months, and
could ingest a normal diet for a long time. CART may be favorable in palliative
care for massive ascites associated with cancerous peritonitis.
PMID- 29650841
TI - [A Case of Advanced Esophageal Cancer Successfully Treated with Multidisciplinary
Therapy].
AB - We report a case of advanced esophageal cancer that was successfully treated
using chemotherapy, operation, and chemoradiotherapy. A 66-year-old man with
advanced esophageal cancer(Mt, O-Is, T4[N0.7-stomach], N2, M0, Stage III)was
administered chemotherapy(docetaxel[DOC], cisplatin[CDDP], and 5-fluorouracil[5
FU]: DCF). As the esophageal tumor achieved complete clinical response after 2
courses of chemotherapy, lymph node dissection and proximal gastrectomy were
performed for the residual tumor. Abdominal CT 3 months after surgery revealed
lymph node swelling. He was diagnosed with lymph node metastasis and was
administered chemoradiotherapy. After chemoradiotherapy, liver metastasis was
revealed, and he underwent immune checkpoint inhibitor immunotherapy. Despite the
administration of immune checkpoint inhibitors, the liver metastasis developed,
so he was treated with S-1 chemotherapy. S-1 chemotherapy resulted in a favorable
response, and almost all metastatic lesions decreased. The patient is alive 12
months after S-1 chemotherapy without any signs of tumor regrowth.
PMID- 29650842
TI - [Evaluated Cases of Anastomotic Recurrence in Colorectal Cancer].
AB - Anastomotic recurrence in 6 colorectal cancer cases during the postoperative
surveillance between 2008 and 2015 was evaluated retrospectively. Five cases had
undergone DST anastomosis for sigmoidectomy and proctectomy. They had a
pathological tendency to have T3 tumor and deeper, positive lymph node metastases
and positive lymphatic and vascular invasion. There were 2 cases with the
anastomotic recurrence diagnosis 6 to 8 months after the primary tumor resection
while 4 resected cases had recurrent tumor depth of T3, though 3 cases were
diagnosed 1 year after the primary tumor resection. Anastomotic recurrence should
be considered a few months after primary tumor resection.
PMID- 29650844
TI - [Super-Elderly Recurrent Rectal Cancer Effectively Treated with Chemotherapy for
Three Years - Report of a Case].
AB - The safety and feasibility of the chemotherapy for super-elderly patients over 85
years old have not been clarified yet. We report an extremely aged patient with
recurrent rectal cancer that was successfully treated with chemotherapy. A 85
year-old woman underwent Hartmann procedure for rectal cancer. Nine months after
surgery, CT scan revealed liver metastases in S5 and S7. We administered
capecitabine plus bevacizumab chemotherapy. Liver metastases were disappeared
after 6 courses. Although grade 2 hypertension was appeared, no other adverse
event occurred. However, due to lung metastases, we attempted irinotecan plus
bevacizumab as second line treatment. After 10 courses, general fatigue was
gradually developed, so we changed the frequency of chemotherapy from biweekly to
triweekly administration. The patient's performance status score has been kept 0,
and she has been under treatment as an outpatient for 3 years. The chemotherapy
for extremely aged patients with recurrent colorectal cancer was suggested to be
safe and feasible under the adequate dose reduction and intervaladjustment.
PMID- 29650843
TI - [A Case of Gastric Carcinoma with Peritoneal Dissemination Treated with Curative
Resection Following Trastuzumab plus Capecitabine plus Cisplatin Combined
Therapy].
AB - A 67-year-old man was referred to our hospital with a diagnosis of type 3 gastric
cancer in lower third of the stomach. Computed tomography(CT)scan showed no
distant metastasis, but peritoneal dissemination from gastric cancer. A
laparoscopic exploration diagnosed pStage IV gastric cancer with peritoneal
dissemination. Trastuzumab, capecitabine and cisplatin therapy was administered
for initially unresectable gastric cancer. After 6courses of chemotherapy,
primary lesion and lymph node metastasis shrank, and the peritoneal dissemination
did not worsen by CT scan. The second laparoscopic exploration showed no apparent
dissemination or metastatic cancer cells. Total gastrectomy with D2 lymph node
dissection, partial colectomy and cholecystectomy were performed with curative
intent. The pathological diagnosis was ypT3N1P0CY0, Stage II B, and the
histological response of primary tumor after chemotherapy was categorized as
Grade 1a. The patients is alive during 24 months after surgery with no evidence
of recurrence.
PMID- 29650845
TI - [A Case Report of SOX Treatment for Primary Duodenal Carcinoma with Liver
Metastasis].
AB - We report a case of effective S-1 plus oxaliplatin (SOX) treatment for duodenal
cancer with liver metastases. The patient was a 70-year-old female diagnosed with
duodenal carcinoma that was unresectable because of liver metastasis(cT4N1M1,
cStage IV in UICC 7th). She received SOX treatment(100mg/m / 2 of oxaliplatin on
day 1 combined with 40 mg/day of S-1 twice daily on days 1-14, was repeated every
3 weeks). After 4 courses, a partial response was confirmed by computed
tomography and no severe adverse events were observed. However, during the 5th
courses, several new liver metastases were observed, so we changed to weekly
paclitaxel treatment. This case suggests that SOX treatment may be an effective
chemotherapy for advanced primary duodenal carcinoma.
PMID- 29650846
TI - [Two-Stage Radical Resection of a Case of Colon Cancer with Abdominal Wall
Invasion and Cholangiocarcinoma].
AB - A woman in her 50s was admitted to our hospital with fever and lower abdominal
swelling. Abdominal CT/MRI examinations revealed irregular thickening of the
transverse colon wall, which was attached to a subcutaneous abscess. An abdominal
wall mass, a patent urachus, and a tumor in the 5th segment of the liver were
also noted. Colonoscopy revealed type 2 advanced transverse colon cancer. The
solitary, sessile tumor was observed at the apex of the bladder under cystoscopy,
suggesting the formation of the urachal carcinoma. Transcutaneous liver biopsy
obtained from the liver tumor indicated adenocarcinoma, which was morphologically
different from the existing transverse colon cancer. Right hemicolectomy with
resection of the umbilicus, abdominal wall, urachus, and part of the bladder wall
was performed. Diagnosis of the transverse colon cancer invading the abdominal
wall and bladder was confirmed by histopathological examination. Hepatectomy was
performed in the next surgery, and the tumor was histopathologically diagnosed as
an intrahepatic cholangiocarcinoma. Both the transverse colon cancer and the
intrahepatic cholangiocarcinoma were radically resected. Radical surgical
diagnostic resection may be valuable in cases of multicentric cancers of unknown
primary origin, if radical resection of each individual tumor is required.
PMID- 29650847
TI - [A Case of Adult Undifferentiated Sarcoma of the Liver with Intraatrial
Metastasis].
AB - A 24-year-old woman was admitted to the hospital for abdominal pain. Abdominal
contrast-enhanced computed tomography( CT)revealed a cystic mass measuring 11*8
cm in the left lobe of the liver with extravasation. Vascular embolization was
performed, but extravasation remained on CT images. She was then transferred to
our hospital. We performed an emergency extended left hepatectomy.
Histopathological examination revealed solid proliferation of spindle-shaped
cells. Immunohistochemical staining showed that the tumor cells were positive for
vimentin and negative for AE1/AE3. Thus, a diagnosis of undifferentiated sarcoma
was confirmed. Multiple recurrent tumors were recognized on CT images of the lung
and right atrium taken 1 year and 10 months post-surgery. Partial resection of
the tumor was performed for the right atrial mass, the left lingular segment, the
left inferior lobe, and the right middle lobe. Pathological diagnosis confirmed
metastasis of undifferentiated sarcoma from the liver. Chemotherapy consisting of
vincristine, actinomycin D, and cyclophosphamide(VAC) was not effective, and the
patient died 31 months after the primary surgery. Undifferentiated sarcoma of the
liver is a rare malignant mesenchymal tumor, whose occurrence is extremely rare
in adults. Although surgical treatment is the first choice, outcomes remain poor.
Multimodality treatment should be used to improve the outcome.
PMID- 29650848
TI - [A Successful Treatment of Locally Advanced Breast Cancer with Using Mohs' Paste
and Chemotherapy - A Case Report].
AB - Foul smell and large amounts ofexudate, bleeding are the most common and serious
symptoms with locally advanced breast cancer(LABC). Mohs' paste is made ofa
mixture ofzinc chloride and used for treatment ofmalignant skin tumors. Recently
some reports show that Mohs' paste is useful for treatment of malignant tumor
including unresectable breast cancer and skin metastasis ofcancer. Mohs' paste is
useful for reducing symptoms such as foul smell and exudate, Bleeding. We report
a successful case of treatment for LABC with using Mohs' paste and chemotherapy
and surgery.
PMID- 29650849
TI - [A Case of Resected Reactive Lymphoid Hyperplasia of Liver Suspected of
Hepatocellular Carcinoma].
AB - A 64-year-old woman who had chronic type C viral hepatitis was referred with a
liver tumor detected by magnetic resonance imaging(MRI). She had a history of
rheumatoid arthritis which was treated by methotrexate. Ethoxybenzyl-MRI(EOBMRI)
showed a low signal in the T1-weighted imaging, a high signal in the T2-weighted
imaging and a low signal in the hepatobiliary phase. The tumor was 7 millimeters
in diameter at S4, and exhibited enhancement in the arterial phase and wash out
in the portal phase by contrast enhanced CT. Imaging findings suggested
hepatocellular carcinoma, and we performed partial hepatectomy of S4.
Histopathological examination confirmed reactive lymphoid hyperplasia(RLH)of
liver. RLH of liver is a rare benign lesion and it is necessary to consider RLH
as a differential diagnosis of the liver tumor.
PMID- 29650850
TI - [Analysis of Hepatectomy for Liver Metastasis from Gastric Cancer].
AB - INTRODUCTION: The indication of hepatectomy for liver metastasis from gastric
cancer has not been definitely established. PATIENTS AND METHODS: Among 12 cases
that were performed hepatectomy for liver metastasis from gastric cancer in our
institute from 2008 to 2016, we analysed prognosis and the benefit of surgical
resection. RESULTS: The overall 5-year survival rates of all cases were 42.3%,
and median survival time was 2 years. The overall survival rates of synchronous
metastasis was 59.3%and of metachronous metastasis was 0%. Recurrence rate of all
cases within 1 year was 75%. There was no long-term survivor for metachronous
metastasis because of its early recurrence though it was solitary liver tumor.
CONCLUSION: We should carefully indicate surgical resection for liver metastasis
from gastric cancer.
PMID- 29650851
TI - [A Case of Isolated Lateral Pelvic Lymph Node Recurrence after TME for Early
Lower Rectal Cancer Treated with Laparoscopic Lateral Lymph Node Dissection].
AB - There are no established treatment protocol for isolated lateral lymph node
recurrence in patients with T1 lower rectal cancer, because such case is very
rare. In our institution, this is the only recorded case of lateral node
recurrence post-resection. It has been documented in several studies that salvage
surgery for colorectal cancer improves prognosis. However, there were only 3
reported cases found for lateral lymph node metastasis from colorectal cancer
that underwent excision. In this study, we report a rare case of lateral lymph
node recurrence after trans-anal resection for T1 lower rectal cancer wherein we
performed laparoscopic right lateral pelvic lymph node dissection. The procedure
was done safely without any complications. No recurrence noted on follow-up.
Hence, we can perform resection of isolated lateral lymph node recurrence in
selected patients to achieve good prognosis.
PMID- 29650852
TI - [Experience of Nine Cases of Endoscopic Gastroduodenal Stenting for Malignant
Gastroduodenal Obstruction].
AB - BACKGROUND: We reported our results of endoscopic gastroduodenal stenting for
malignant gastroduodenal obstruction. METHODS: This retrospective study
investigated cases of malignant gastric and duodenal obstruction treated with
gastroduodenal stenting between April 2014 and December 2016. RESULTS: The mean
operative time was 34 minutes. The mean time to the first intake of solid food
was 2.7 days, and the median time was 3 days. Complications were restenosis,
vomiting, anemia, anorexia, and gastric pain. In 8 patients, the GOOSS score was
improved. In 5 patients, the CONUT score was improved. In 6 patients, the albumin
level was improved. The mean overall survival time was 130 days, and the median
time was 112 days. CONCLUSION: Our study suggested that gastroduodenal stenting
for malignant gastroduodenal obstruction was minimally invasive and improved
quality of life(QOL)in a short time.
PMID- 29650853
TI - [A Case of Combined Treatment Approach of Endoscopic Submucosal Dissection and
Transanal Minimally Invasive Surgery for Radiation Induced Rectal Cancer].
AB - It is hard to determine treatment strategy for radiation induced carcinoma,
because radiation cause fibrosis to adjacent organ.The patient was in the 70's,
who underwent 70 Gy radiation therapy for prostate cancer 5 years ago.He visited
hospital because of fecal occult blood.Endoscopic examination revealed laterally
spreading tumor(LST)in rectal front wall, and he referred to our hospital in
purpose of endoscopic submucosal dissection(ESD).We performed ESD for LST,
following transanal minimally invasive surgery to suture mucosal defect.He
discharged out hospital 9 days after operation without any adverse event except
anal pain.Suturing of mucosal defect after ESD might be potent to prevent
postoperative complications in radiation induced rectal cancer.
PMID- 29650854
TI - [A Case of Hyperammonemia Induced by Chemotherapy with 5-Fluorouracil for
Metastatic Colon Cancer].
AB - Systemic chemotherapy based on 5-fluorouracil(5-FU)is a standard treatment for
unresectable or recurrent colon cancers. Here, we report a case of hyperammonemia
induced by chemotherapy using 5-FU for metastatic colon cancer. An 84-yearold
male patient with past histories of liver cirrhosis related to hepatitis C virus
and renal dysfunction underwent an operation for the rectosigmoid colon cancer 8
years ago. Three years after that operation, a local recurrence of the colon
cancer was diagnosed, and chemotherapy using sLV5FU2 was initiated. The
recurrence lesion reduced markedly by this chemotherapy, which was ceased 2 years
ago. Two years after the cessation the recurrent tumor had been enlarged, and the
chemotherapy using the same drugs at the same dose was performed. On the
treatment day 3, he was emergently transported to our hospital due to the
disturbance of consciousness. Since laboratory tests showed the high
concentration of plasma ammonia and the progressed renal dysfunction with no
other definite cause of obnubilation, he was diagnosed as the hyperammonemia
induced by 5-FU. He was treated by administrating the branched-chain amino acids
solutions combined with fluid therapy, which quickly recovered him from the
encephalopathy. He is followed up without any chemotherapy because of his high
age. When a patient treated with the chemotherapy using 5-FU is sent with the
disturbance of consciousness, we should take hyperammonemia into consideration,
especially when he or she has the hepatic or renal dysfunction.
PMID- 29650855
TI - [A Case of Double Cancer of Intrahepatic Cholangiocarcinoma and Gastric Carcinoma
with Difficult Diagnosis of the Primary Tumor with Peritoneal Metastasis].
AB - Double cancer of intrahepatic cholangiocarcinoma and gastric cancer is rare. A 62
year-old man underwent gastrectomy for gastric cancer. The pathological findings
were tub1>tub2, m, ly0, v0, n0, Stage I A. Two years and a month later, a liver
tumor(diameter of 3 cm)and a pelvic mass(diameter of 2.5 cm)were observed.
Metastasis from gastric cancer was suspected and chemotherapy(SOX)was
administered. However, after 5 courses, CT revealed worseningof the liver tumor
(diameter of 12 cm)and pelvic mass(diameter of 3 cm). Intrahepatic
cholangiocarcinoma and its peritoneal metastasis were also suspected. There was a
limit to treatment with chemotherapy, and it was difficult to judge whether to
target gastric cancer or intrahepatic cholangiocarcinoma for chemotherapy. In
addition, the lesions were localized in the right lobe of the liver and the
pelvis. Therefore, we decided to perform resection. As a second-stage operation,
pelvic mass extraction and portal vein embolization were performed first. The
pathological result of the pelvic mass assessment was mucinous carcinoma.
Subsequently, expansive right hepatectomy was performed. The pathological
findings were also suggestive of mucinous carcinoma, which was finally diagnosed
as intrahepatic cholangiocarcinoma and peritoneal dissemination. Six months after
the surgery, several recurrent nodules were observed in the pelvis and GEM plus
CDDP was initiated. Currently, 1 year after surgery, there are no restrictions in
the activities of daily life of the patient and he is treated on an outpatient
basis.
PMID- 29650856
TI - [A Case of Performed Right Colectomy with Pancreato-Duodenectomy for Transverse
Colon Cancer Invased to Duodenum].
AB - There is a clear consensus regarding the combined resection of organs with cancer
invasion, patients with colon cancer. However, there are very few reports to our
knowledge regarding the use of pancreato-duodenectomy(PD)for colon cancer
patients with cancer invasion in the duodenum. We here report a colon cancer
patient in whom we performed PD and right hemicolectomy, who showed favorable
results with no recurrence. The patient was a 69-year-old woman. Her chief
complaint was hypogastric pain. Her previous doctor performed colonoscopy and a
colonoscopic biopsy, and detected a type 2 lesion, throughout the entire
circumference of the transverse colon near the liver, and she was diagnosed with
adenocarcinoma. From further imaging analyses, she was diagnosed as having
transverse colon cancer with invasion into the superior mesenteric vein(SMV),
duodenum, and pancreatic head, and No. 223 lymph node metastasis. The patient's
cancer was concluded to be unresectable, and she underwent chemotherapy, namely
mFOLFOX6 with cetuxiumab(Cmab). One course of mFOLFOX with Cmab, the patient
decided to consult our hospital for a second opinion. We concluded that her
cancer was resectable, so we performed PD, right hemicolectomy, and resection and
reconstruction of a part of the SMV. The operation time was 5 hours 17 minutes,
and total blood loss was 190 mL. The histopathological diagnosis was tub2,
T4b(duodenum and, tissue surrounding the SMV), int, INF b, ly1, v2, PN1b,
EX(+)/ND(PN+, v+), PM0(25 cm), DM0(14.3 cm), N1(1/ 20), H0, P0, M0, pStage III a.
She was discharged 15 days after surgery with no complications, and thereafter
received ajduvant chemotherapy(capecitabine with oxaliplatin)as an outpatient.
After 3 courses, capecitabine with oxaliplatin was changed to capecitabine
because she developed a nervous system disorder, and she was further treated for
approximately about 6 months. She is doing well at the time of writing, with no
recurrences for 2 years. We suggest that PD should be performed on colon cancer
patients in which the colon cancer has invaded other organs and has been
evaluated as being unresectable.
PMID- 29650857
TI - [Two Cases of Locally Recurrent Rectal Cancer Undergoing Preoperative
Chemoradiationtherapy].
AB - Case 1 is a 68-year-old woman with locally recurrent rectal cancer(LRRC)developed
5 years after resection of primary rectal cancer. The tumor seized right lateral
side in pelvic. We performed tumor excision after preoperative chemoradiation
comprised external beam radiation with oral S-1(tegafur/gimeracil/oteracil). He
has been relapse-free for 3 years 3months after surgery. Case 2 is a 74-year-old
man with LRRC developed 2 years after resection of primary rectal cancer. The
tumor was located dorsal to anastomosis site in pelvic. We performed
abdominoperineal resection for LRRC after preoperative chemoradiation with oral S
1. He has been relapse-free for 2 years. It was suggested that preoperative
radiotherapy combined with oral FU for local recurrence after rectal cancer may
contribute to distant and local control.
PMID- 29650860
TI - [End-of-Life Decision Making Support in Palliative Homecare].
AB - Advance care planning is important in end-of-life decision making in home-based
palliative care for both cancer patients and non-cancer patients.
PMID- 29650859
TI - [A Retrospective Study of Preoperative Concurrent Chemoradiotherapy for Locally
Advanced Resectable Rectal Cancer].
AB - PURPOSE: To analyze chemoradiotherapy results for locally advanced rectal cancers
at a single institution. METHODS: The study cohort comprised 12 patients with
clinically diagnosed T3/4, NX, M0 adenocarcinomas of the rectum who received
preoperative chemoradiotherapy. RESULT: Pathological complete response(pCR)were
observed in 2/12(16.7%)patients and pathological downstaging in
5/12(41.7%)patients, with generally mild adverse events. CONCLUSION: Preoperative
che- moradiotherapy for clinical T3/4, NX rectal cancers significantly reduces
local recurrences and improves prognoses.
PMID- 29650858
TI - [A Case of Unresectable Advanced Gastric Cancer Treated by Seven Courses of
Chemotherapy with Docetaxel, Cisplatin, and S-1Followed by Conversion Surgery
Achieved Five Year Relapse Free Survival].
AB - The patient is a 51-year-old man.Upper gastrointestinal endoscopy revealed
gigantic type 3 gastric cancer.Enhanced abdominal CT demonstrated a gigantic mass
of 15*7 cm in the stomach, and a large number of peritoneal dissemination with
moderate amount of ascites.The patient was diagnosed with cT4aN3bM1(peritoneal
dissemination), Stage IV gastric cancer(JPN ver8)and was treated by chemotherapy
with docetaxel(40mg/m / 2 day 1)plus cisplatin(60mg/m2 day 1)plus S-1(80mg/m2 day
1-14).After 7 courses of chemotherapy, peritoneal dissemination was
disappeared.The patient received total gastrectomy and D2 lymphadenectomy as a
conversion surgery.The pathological findings revealed a T0N0M0, stage 0; the
tumor was determined to be Grade 3 owing to the chemotherapeutic effect.Without
postoperative adjuvant therapy, the patient is alive without recurrence at the 5
years follow-up after operation.
PMID- 29650861
TI - [The Influence of the Timing of Ceasing or Withholding Chemotherapy in Home-Based
End-of-Life Care in Patients with Incurable Solid Cancer - Release of an Interim
Report of the Questionnaire Survey to Home Care Doctors in Japan].
AB - BACKGROUND: The study analyzes a questionnaire on the potential discriminative
characteristics of patients with incurable solidcancer, who either receivedor
didnot receive palliative chemotherapy while receiving home-basedend -of-life
care. From the standpoint of regional palliative care, we sought to investigate
the influence of the timing of when chemotherapy was ceasedor withheldin home
basedend -of-life care in patients with incurable solidcancer. We plannedthe
project to obtain scientific evidence about the timing of ceasing or withholding
chemotherapy. PATIENTS AND METHODS: The study includes all patients with
solidcancer treatedwith or without palliative chemotherapy andwho diedat home in
2016 in Japan. We delivereda postcardof invitation to participate in the
questionnaire to more than 2,000 home care doctors in Japan. The questionnaires
were registeredas online surveys from May to November 2017. The questionnaire
data were analyzed using nonparametric methods. RESULTS: We obtained information
from 576 patients at 170 medical facilities from May to August 2017, but the
study is currently ongoing; hence, we have released an interim report of the
questionnaire results. Among the patients, 40%receivedchemotherapy and 60%didnot
since the time of the first incurable solidcancer diagnosis. CONCLUSION: The
majority 60% of patients not receiving chemotherapy was a setback to our project.
However, as the questionnaire survey continues, we wouldlike to analyze these
data after collecting more results.
PMID- 29650862
TI - [Caregivers Working in a Nursing Home Facility Utilizing a Simulation Program As
an Effective Preventative Measure for Pressure Ulcers].
AB - The purpose of this study was to clarify if a simulation program utilized by
caregivers working in a nursing home is an effective preventative measure for
pressure ulcers. The study sample consists of 35caregivers working in a nursing
home in Osaka, Japan. The results show that knowledge and practice of preventive
measures were significantly higher after using the simulation program for
preventive care of pressure ulcers. Nurses and care workers need to cooperate
with each other to improve quality of care.
PMID- 29650863
TI - [Problems of Home-Visiting Speech-Language-Hearing Therapists in Dysphagia
Management].
AB - There are fewer reports by speech-language-hearing therapists than those by
physical therapists or occupational therapists for visiting rehabilitation.
Therefore, we examined the present situation with emphasis on professional roles
of speech-language- hearing therapists working in visiting rehabilitation,
patient tendency, and dysphagia rehabilitation. A questionnaire survey and
interview survey were conducted on 6 speech-language-hearing therapists working
in visiting rehabilitation. In the questionnaire, personal attributes, subject
area, details of dysphagia rehabilitation, professional duties, and tendency of
patient in charge were collected. In the interview survey, we asked about trends
and request status, evaluation and training protocol for patients with dysphagia,
activities related to pneumonia prevention, and future directions in the field.
Results show that many linguistichearing experts worked with dysphagia patients,
indicating that the needs for respiratory rehabilitation and dysphagia
rehabilitation are high. In this survey, the environment surrounding visiting
speech-language-hearing therapists and patients with dysphagia was clarified.
PMID- 29650864
TI - [A Case of Home Care for Heart Failure].
AB - A female in her 80s with a history of cerebral infarction and atrial fibrillation
had repeated hospitalizations because of heart failure caused by ischemic
myocardiopathy. She lived alone and received home care and support for self-care
with involvement of multiple providers, enabling early detection of disease
exacerbation and subsequent intervention. A new ischemic event was managed with
percutaneous coronary intervention. With deterioration of renal function, her
response to diuretics decreased, and dialysis was initiated. Home therapy was
continued in cooperation with a dialysis center. Finally, the patient was
admitted to a convalescent-type hospital equipped with dialyzers for ongoing
dialysis. This case highlighted both the potential and limits of home therapy in
management of heart failure. Active treatment and support for decision-making
were also considered important.
PMID- 29650865
TI - [Association of the Types of Dementia and the Incidence of Hypertension, Diabetes
Mellitus, or Bone Fracture].
AB - Patients with dementia tend to have other chronic diseases, such as hypertension
or diabetes mellitus. As dementia progresses, patients tend to decline to a frail
state, resulting in bone fracture. In this study, we examined the relationship of
the types of dementia and the incidence of other diseases and bone fracture.
PMID- 29650866
TI - [Identifying the Regional Features in the Visiting Nursing Station Trade Area
Using GIS].
AB - In order to clarify the characteristics of the area where the visiting nursing
station is located, we conducted a study to identify the regional characteristics
of the visiting nursing station in Ishikawa Prefecture, using geographical
information system(GIS). We mapped the elderly population, aging rate, and
location data for the visiting nursing station using QGIS, and created trade
areas(Voronoi diagrams). The aging rate for each trade area is 22.4%(min 8.8% to
max 44.6%), about 5 times. The difference in area is about 850 times as large as
the central value of 9.2 km2(min 0.5 km2 to max 423.2 km2). In addition, there
was a tendency for stations with a large trade area to have a higher aging rate
than that of smaller stations. Understanding the characteristics of the area
surrounding visiting nursing stations using GIS facilitates the clarification of
potential needs and opportunities for providing visiting nursing services that
are suitable for each area.
PMID- 29650867
TI - [A Study on the Number of Offices for Home-Visit/Outpatient Day Long-Term Care in
Noto Area, Based on Estimated Population - Analysis Using the Geographic
Information System].
AB - There has been a noticeable population decline in the Noto area. The Ministry of
Land, Infrastructure, Transport and Tourism has determined the population size
necessary for the establishment of daily living-related service businesses and,
if the population falls below this, there is a possibility of businesses
withdrawing from the area. In this research, we examine the number of home visit
and daycare businesses established in the Noto area in 2025, using the geographic
information system (GIS). The number of sites of businesses established was
calculated using data published by the National Institute of Population and
Social Security Research, and the Ministry of Land, Infrastructure, Transport and
Tourism. Next, I depicted a buffer with a radius of 15 km from the establishment
and confirmed the blank area of the service. Under the condition that the
placement of sites is 80%, almost all the municipalities have exceeded the number
of home visit care facilities and the number of daycare facilities. In the buffer
analysis, blank areas were found in the north. To maintain these services,
efforts by groups other than profit-oriented organizations are necessary,
especially in the north of Noto. Route analysis by GIS and the consideration of
population distribution and location of business establishment will be needed.
PMID- 29650868
TI - [Present Status and Problems of Management and Guidance for Visiting Pharmacy
Service to In-home Patients by Hospital Pharmacists].
AB - We conducted a survey of the background of 41 patients who received management
and guidance from an in-home visiting pharmacy service and of the contents of
support by the pharmacist, using patients' medical records from May 2016 to March
2017. Support comprised delivery of medicine to alleviate a burden to caregiver,
suggesting medication, adjusting remaining medicines, and providing support
during hospitalization. Out of 285 visits, there were 32 visits for which a
medical fee could not be claimed. The main reasons for this were delivery of
medicine on the day of visiting medical care, management of prescribed medicine
at home, and delivery of temporal medicines. We used SWOT analysis to examine the
problems and to consider improvements. The results showed that the different
method for calculating medical fees is disadvantage for the hospital pharmacy,
compared with the health insurance pharmacy. On the other hand, an advantage for
the hospital pharmacist is that he or she can refer to the patient's medical
records and support them during hospitalization.
PMID- 29650869
TI - [Introduction of PBPM and Its Effects for a Home Care Support Clinic].
AB - Medical teams have been promoted in home care. It is possible for pharmacists who
are part of a multidisciplinary team to maintain safety and improve the quality
of medical care. Protocol-based pharmacotherapy management(PBPM)is recommended
for cooperation between the pharmacist and the doctor in the management of
pharmacotherapy. In order to introduce PBPM, it is necessary for the pharmacist
and the doctor to cooperate and to extract the problems in community medicine. In
this study, the clinic pharmacist examined the problem of unnecessary inquiries
and proposed PBPM. He suggested that to smoothly introduce PBPM, a protocol
creation committee should be set up and an explanation of PBPM should be provided
to the Community Pharmacist Association. As a pilot study, we created 5 protocols
at Doctor GON Kamakura Clinic with the cooperation of 8 pharmacies. As a result,
it became possible to reduce unnecessary inquiries by 46%. Careful coordination
is necessary in order to introduce PBPM at clinics and community pharmacies.
Moreover, a clinic pharmacist is able to facilitate the introduction of PBPM in
the role of coordinator.
PMID- 29650870
TI - [Efforts of Deprescribing Drugs and Its Achievements in Institutionalized
Individuals].
AB - Recently, the combined use of multiple drugs for coexisting multiple diseases in
elderly patients has become a problem. In facilities for elderly persons,
pharmacists contribute to the intervention and optimization of prescriptions.
However, the procedures have been conducted smoothly in only a few facilities. We
established five procedures for prescription intervention by pharmacists and
implemented these interventions in 80 institutionalized individuals. The total
results over 2 years(January 1, 2015 to December 31, 2016)revealed 118 cases of
prescription proposals from pharmacists and a reduction of 2,411,937 yen in
medical expense. The purpose of prescription proposals from pharmacists is to
reduce burden on patients by optimizing prescriptions, but not to reduce the
number or dose of drug. In doing so, it was important to hear patients' opinions
on medical care. Patient-centered prescription proposals from pharmacists are
considered to have improved the quality of medical care and may enable the
promotion of prescription intervention.
PMID- 29650871
TI - [A Discussion of Involvement of Pharmacists in Home Medical Care in Foreign
Countries and International Comparison of Medical Care System].
AB - In an aging society, the sustainability of the public medical system is the most
important factor. I surveyed leading cases in Japan, as well as corresponding
cases overseas. I also conducted interviews in Britain, North America, and
Europe, and these indicated the current trends and issues. The UK has a universal
insurance system and, facing a declining birthrate and an aging population, they
have adopted a policy of immigration, which has various problems. However, the GP
system is highly regarded by the OECD. Denmark has also introduced a similar
medical system and they are implementing social security policies based on ICT.
Sweden managed to cover an extreme shortage of pharmacists by adopting several
policies. The U. S., under the pressures of their current health insurance
system, is now shifting to preventive and early intervention by cultivating
public consciousness in this area. In comparison, there is no country like Japan,
which has a serious problem of an increasingly aging population, and where
pharmacists play important roles in home care. However, leading overseas cases
are worth learning from.
PMID- 29650872
TI - [Examination of Patients That Died within 48 Hours after Urgent Hospitalization].
AB - We offer inpatient support for end-stage cancer patients, not only in palliative
care units, but also in general medical and long-term care units. We also provide
outpatient palliative care and offer emergent backup for the regional home care
clinics. From January 2014 to December 2016, 1,832 patients were hospitalized,
and among them, 983 patients visited our hospital on an emergency basis. Among
the urgent hospitalized patients, 378 patients returned home after adequate
palliative care. But 605 patients had to stay admitted in the hospital until
their time of death. Ninety-one patients died within 48 hours after urgent
hospitalization. Of these 91 patients, 70% came to our hospital because of
increasing dyspnea and decreased level of consciousness additionally, 36 of 91
patients had received treatment by home care clinic doctors, and 50% of them had
hoped for at-home death. The patients' preference of treatment facility tends to
vary depending on their general condition. Hence, we have to offer appropriate
facilities for palliative care. Building good relationships between hospitals and
regional home care clinics and creating a system for patients to choose the
appropriate time and place for treatment with a smooth transition from their home
to the hospital during their end-of-life period is important in this process.
PMID- 29650873
TI - [Examination of Home Care Patients Who Died within One Week after Hospitalization
in the Palliative Care Unit].
AB - Our palliative care unit(PCU)supports the home care patient through
emergencyacceptance for 24 hours, and 26.6%of inpatients died within 1 week after
hospitalization in 2015. We examined the background of patients who became
hospitalized in the imminent condition of life. Symptoms of dyspnea and
generalized weakness were causes of hospitalization even with experienced home
medical intervention, and there was a tendencyof the rate of death within 1 week
after hospitalization to be high. Qualityof palliative care for short-term death
cases after PCU hospitalization is a major concern.
PMID- 29650874
TI - [Findings from Actual Situation of Cooperation between Discharge Nurses and Home
Visiting Nurses from Shifting to Home Care through Terminal Phase in Terminal
Cancer Patients].
AB - We conducted questionnaire surveys focusing on the"actual situation of
cooperation between discharge nurses and homevisiting nurses etc. from transition
to home-care through the terminal phase in terminal cancer patients."As a
result,discharge nurses were employed at acute-stage hospitals to practice
discharge adjustment. Medical staff in home care and home care workers
continuously supported the patients and their families in managing difficulties
such as changes in pathological conditions and care-related anxiety after the
patient was discharged. Amidst these efforts,over 80% of home-visiting nurses
thought that there was some disagreement about the understanding of pathological
conditions between the hospital medical staffs and the patients/their families
while shifting to home care(immediately after shifting to home care). It was also
clarified that the informed consent for and instructions regarding discharge
according to individual patients and families were insufficient.
PMID- 29650875
TI - [Medical Home-Care Support Activities by Clinics in Japan: Developments Since
2008].
AB - The purpose of this study is to investigate the comparative changes over time of
the home care supporting clinics(HCSCs) in each of the 4 Secondary Medical Areas
within Mie Prefecture. There were 129 HCSCs activity reports in 2008, and 170 in
2014. The number of patients and the number of deceased patients have increased
in Mie Prefecture. These findings suggest that improvements in the home-care
staff recruitment system should be further expanded throughout Mie Prefecture
and, in particular, the quality improved in the Higashi-Kishu area.
PMID- 29650876
TI - [Home Medical Care Promotion for Multidisciplinary Cooperation].
AB - It may be said that the effective functioning of an integrated community care
system depends entirely on home medical care. "Cooperation"is necessary in
pushing home medical care forward and it is vital to advance as a system. In
Iwaki-city, the municipal government and the medical association have been
sponsoring many types of multidisciplinary cooperation workshops for home medical
care promotion. There was administrative participation, and cooperation became
the main workshop focus. We hold workshops 2 times a year. The Iwaki City Medical
Association holds lectures on home medical care in the town. Doctors who work in
medical offices and hospitals attend the lectures, with topics such as family
medicine, understanding dementia, advice for the acceptance of medical
examination, cancer screening in the local community hall, and gaining a
favorable reception. In our hospital, I hold many types of workshops on home
medical care in southern Iwaki-city and on facilitating cooperation. These
contribute to collaboration, as they facilitate face-to-face interaction in an
important area. I also report the actions taken in our hospital.
PMID- 29650877
TI - [Activity Report and Future Prospects of the Medical and Nursing Care Cooperation
Desk in Koshigaya City].
AB - The "medical and nursing care cooperation desk" was established within the
Koshigaya Medical Association in April 2016 in and began operating in Koshigaya
City. There were 243 consultation cases during the 17 months from April 1, 2016
to August 31, 2017. Consultation with medical institutions and visiting nursing
stations accounted for 42%of cases and nursing-related personnel accounted for
40%. Regarding consultation contents, transition to home medical care accounted
for 50%of cases. We publish a monthly information magazine, "Cooperation Between
Medical Care and Nursing Care,"with information on the contents of consultation,
and multidisciplinary information sharing is being planned. Moreover, we hold
training sessions and raise awareness in order to establish positive
collaboration between medical care and nursing care. The role of the cooperation
desk is not only related to the discharge of hospitalized patients, but is also
widely involved in structuring community- based integrated care systems.
PMID- 29650878
TI - [Balance in Disaster Preparedness between Public, Self-, and Mutual Help for Home
Cared Individuals].
AB - At present, when it is predicted that large-scale disasters may occur, repletion
and reinforcement of disaster preparedness are required. For disaster
preparedness, a balance between public, self-, and mutual help is important.
However, designated hospitals and their support systems were placed importance in
medical care during disasters, and preparedness in regard to home care has not
been discussed sufficiently. The purpose of this study is to clarify preparations
that home-visit nursing stations may implement, states of support for self-help
of cared persons and their families and mutual help such as of communities, in
home-visit nursing stations in the areas where large-scale damages by Tokyo
Inland Earthquake and Nankai Trough Earthquake are assumed. We found that
although the preparation of home-visit nursing stations has been progressive, the
supports for self-help of cared persons and their families and for mutual help
such as that involving communities have been insufficient. The findings indicated
that a balance in preparedness has not been achieved thus far. From this study,
we could obtain useful documents to enrich and reinforce future preparations.
PMID- 29650879
TI - [A Study on Designs and Achievements of Trainings for Enhancing the Self-Help
Ability of Home-Cared Persons in Preparing for Disasters - Review of Disaster
Drills with Cared Persons and Their Families].
AB - After the Great East Japan Earthquake, we re-recognized the importance of self
help efforts of home-cared persons and their families and the necessity of
advanced measures. In 2013, we designed a disaster drill to enhance the self-help
ability of home-cared persons and their families in a stepwise fashion. In 2016,
for the purpose of reviewing the achievements of the designed drill and its
contents, the disaster drill was conducted on 21 users of our home-visit nursing
station, followed by a questionnaire survey regarding the training contents,
date/time, documents used, change in awareness, disaster-preventive actions, etc.
The training date and time was evaluated to be"good"by all the subjects, with the
required time and the documents used also considered to be"appropriate"(95.2%).
The participants answered"greatly improved"or"rather improved"with regard
to"change in awareness"(85.7%). Disaster-preventive actions included"inspection
and purchasing of emergency supplies"(38.1%),"reconfirmation of the
manual"(19.0%), etc. The free description included"feeling of security due to the
training,""enhanced disaster-preventive awareness,"etc. The above findings
indicated that the contents designed were generally supported and received
recognition, while post training, participants' disaster-preventive awareness and
the self-help ability of the home-cared persons and their families were enhanced,
as analyzed by their free descriptions.
PMID- 29650880
TI - [Team Collaboration in Home Medical Care to Support Patients at the End-of-Life -
Review of Service Personnel Meeting on Discharge Day].
AB - Due to the rising number of patients at the terminal stage or with high
dependence on medical care, the cooperation of 2 teams, the hospital discharge
support team and the home support team, has become very important. The recent
spread of the Internet has enabled both patients and their families who have
chosen home care to obtain a wide range of information about home services, as
well as diseases, and form a picture of what will happen. However, there are
actually many cases in which patients and families find that things are not as
they imagined, and they are uneasy and unsure of what to do. Here, we report a
case in which the mismatch between the patient's and family's expectations
created an unsatisfactory care situation.
PMID- 29650881
TI - [Combination Therapy of Oxycodone and Tapentadol Was Effective for Intractable
Pain Caused by Breast Cancer Bone Metastasis - A Case Report].
AB - A 52-year-old woman had been prescribed oral medication of acetaminophen, non
steroidal anti-inflammatory drugs (NSAIDs), and oxycodone for intractable pain
associated with thoracic metastasis of breast cancer. However, the pain control
was poor. Although pregabalin and gabapentin were used as supplementary
analgesics, they could not be continued because of their side effects. Based on
the noradrenalin reincorporation-inhibiting action of tapentadol, a combination
therapy of tapentadol and oxycodone was introduced and satisfactory pain control
was achieved. No side effects from the combined opioids were observed. This
finding indicates the potential of administrating a combination therapy of m
opioid receptor agonists(such as morphine, oxycodone, and fentanyl)with
tapentadol, which has a noradrenaline reincorporation-inhibiting action, as an
effective remedy for alleviating intractable pain complicated with neuropathic
pain.
PMID- 29650882
TI - [A Study of Sustainable End-of-Life Care in a Depopulating Society].
AB - In facing a society characterized by a decreasing population, I think that
sustainability of end-of-life medical care needs to prevent death in isolation,
offer optimal allocation of medical care providers, and ensure the centralization
of urban functions. How do leading practitioners who actually practice advanced
medical care view my hypotheses ?My survey showed that my hypotheses turned out
to be unsupported, but on the other hand, it also indicated the concerns and
resolve of leading medical practitioners and their views on venturing into
unknown domains.
PMID- 29650883
TI - [Cancer Disease Trajectory and the Contribution of Artistic Outreach Activity to
Palliative Care].
AB - The purpose of this article is to show some unintentional aspects of palliative
supports offered by the community. The activities of the Opera Ehime, an amateur
opera group, play an important role in palliative and grief care. This community
is also committed to providing supportive care for home hospice cancer patients
through an outreach music program that offers patients connection with others and
reduces isolation. Assessment by the coordinator includes determiningthe
patients' preferences and the relevance of music throughout their lives. Then,
the coordinator predicts the cancer trajectory and invites patients to
participate in the home hospice concert at any stage of their illness. These
activities are an effective form of supportingcancer care for patients to promote
wellness and improve physical and emotional well-being, as well as quality of
life.
PMID- 29650884
TI - [Role of Pharmacists in the Care of Pediatric Patients Needing Home Visiting
Intervention for Pharmaceutical Management and Their Life Depending on Medical
Care].
AB - Medical advances have made it possible to save lives of children with severe
refractory diseases. As a result, the number of children who need continuous
medical care at home has increased. However, currently, few medical personnel of
both medical institutions and pharmacies are aware of home visiting intervention
for pharmaceutical management. Home visiting intervention should be utilized more
for severely impaired children who are highly dependent on medical care to
effectively reduce the nursing care burden of the family and to secure
pharmaceutical supply path.
PMID- 29650885
TI - [Fentanyl Citrate Sublingual Tablets Were Effective in Relieving Symptoms of
Akathisia - A Case Report].
AB - Akathisia is a condition wherein sitting calmly and quietly is impossible, with a
representative complaint of restless legs. It is generally assumed to be caused
by anti-dopamine activity. In severe cases, it has been known to result in
suicide attempt. We reported a case of drug-induced akathisia with difficulty in
oral intake, in which fentanyl citrate sublingual tablets were found to be
effective in relieving symptoms. The patient was a female aged 50's who had a
gastric cancer with peritoneal dissemination causing pain and vomiting.
Palliative care was requested for management of symptoms. Metoclopramide and
haloperidol were administered for vomiting. However, because of the complaints of
restless legs, the case was diagnosed as drug-induced akathisia. Fentanyl citrate
sublingual tablets were then administered for pain management, resulting in
temporary improvement of akathisia symptoms.
PMID- 29650886
TI - [Usefulness of Suvorexant for Complicated Delirium in Cancer Patients Who
Experience Sleep Disturbance during Hospitalization].
AB - We investigated the usefulness of suvorexant for complicated delirium in patients
with cancer who experience sleep disturbance during hospitalization. Nine
patients with malignant tumors complicated with symptoms of delirium and insomnia
were included in this study; their palliative care was managed by the palliative
care team of our hospital for a period of one year from April 2016 to March 2017.
A retrospective follow-up study was then conducted. The Japanese version of DRS
R98 was used to evaluate the severity of the patient's delirium. The total
severity score of DRS-R98 significantly decreased after the administration of
suvorexant when compared to the score before its administration(6.66+/-1.73 vs
10+/-3.20, p=0.0031). In addition, suvorexant did not exhibit any harmful
effects. Our results indicate that suvorexant was useful in alleviating delirium
symptoms in cancer patients who experience sleep disturbance.
PMID- 29650887
TI - [Assessing Patient Factors Influencing the Discontinuation of Opioids after Intra
Arterial Chemotherapy for Oral Cancer - A Retrospective Study].
AB - Superselective intra-arterial chemoradiation therapy for oral cancer induces the
complication of mucositis. Although the associated pain is controlled using
opioids, major questions from patients in clinical practice are as follows:(1)the
mean number of days from the completion of superselective intra-arterial
chemoradiation therapy to the discontinuation of opioid administration,
and(2)patient factors enabling the discontinuation of opioids. The purpose of
this study was to clarify these points. A retrospective follow-up study was
conducted from April 2016 to March 2017 on patients who underwent superselective
intra-arterial chemoradiation therapy at our department of oral surgery. The
patients were divided into 2 groups:one who discontinued opioids, and the other
who did not. Clinical backgrounds and data were compared between the 2 groups.
The mean number of days from the completion of superselective intra-arterial
chemoradiation therapy to the discontinuation of opioid administration was 51+/
34.4 days. The absence of diabetes and deliria during treatment were determined
as factors contributing to the discontinuation of opioids.
PMID- 29650888
TI - [Actual Situation and Subjects in Calculations of Cancer-Patients
Instruction/Management fee 2 at Hospital A - Focusing on Home-Cared Patient's
Discomfort and Thoughts].
AB - Since 2010, Cancer-Patient Instruction and Management fees has been included in
remuneration for medical services.At Hospital A, calculation of the Cancer
Patient Instruction and Management fees 1 and 2 was started in 2016. Since then,
2 years have passed.Interview contents of the Cancer-Patient Instruction and
Management fee 2, which nurses have calculated, were reviewed and home care
patient's discomfort during and thoughts about anti-cancer drug treatments were
clarified. The contents of interviews were coded and analyzed.It was found that
outpatients receiving anti-cancer drug treatment had faced the deaths of their
fellow patients with the same disease, discovered the meaning of life and sense
of self in struggle with the disease that is uncertain about the future, and
continued the treatments to sustain life while taking their families into
consideration.
PMID- 29650889
TI - [Relationship between Nutritional Biochemical Parameters and Body Weight Loss in
Patients with Esophageal Cancer Up to One Year after Surgery].
AB - SUBJECTS AND METHODS: The subjects comprised 21 patients with esophageal cancer
who underwent surgery, without adjuvant chemotherapy. Caloric intake, body weight
loss, and biochemical parameters(serum albumin[Alb], hemoglobin[Hb],
transthyretin[TTR], and total cholesterol[T-Cho])were measured up to 1 year after
surgery, and relationships between all parameters were investigated. RESULTS: The
caloric intake dropped to about 87% of base-line intake at 1 month after surgery
and recovered to 100% at 1 year after the operation. Body weight also dropped to
about 89% of baseline at 6 months after surgery and then was relatively stable.
Caloric intake was significantly positively correlated with TTR(r=0.82, p=0.02),
and it also tended to be positively correlated with T-Cho(r=0.70, p=0.14). Body
weight loss was significantly negatively correlated with Alb(r=-0.82, p=0.01)and
Hb(r=-0.87, p=0.01). CONCLUSION: Alb and Hb were recovered in the early
postoperative period when body weight was still decreased. However, TTR appeared
to be a good parameter of caloric intake in the short-term, postoperatively.
PMID- 29650890
TI - [Patient Factors Enabling the Decannulation of the Gastrostomy Tube after
Superselective Intra-Arterial Chemoradiation Therapy for Locally Advanced Oral
Cancer - A Retrospective Study].
AB - Superselective intra-arterial chemoradiation therapy for locally advanced oral
cancer induces complications such as mucositis, which impedes oral intake. Thus,
at our hospital, a gastrostomy is performed in almost all patients during the
treatment period to ensure the presence of an alternative administration route
for nutrition and drugs. The purpose of this study was to calculate the mean
number of days from completion of superselective intra-arterial chemoradiation
therapy to the decannulation of gastrostomy, and extract patient factors for the
decannulation. A retrospective follow-up study was conducted from April 2016 to
March 2017 on patients who underwent superselective intra-arterial chemoradiation
therapy at our department of oral surgery. The patients were divided into 2
groups:one who was decannulated and the other who did not. Clinical backgrounds
and data were compared between the 2 groups. In the group with the decannulation,
the mean period from treatment completion to the decannulation was 132+/-51.6
days. Heavy alcohol consumption, absence of haphalgesia before treatment, and
possible securement of the opening with the breadth of 3 fingers, were determined
as factors contributing to the decannulation of gastrostomy tube.
PMID- 29650891
TI - [Fourteen Cases of Implanted Central Venous Access Port-Related Bloodstream
Infection].
AB - We conducted a clinical study involving cases of central venous(CV)port-related
infection in Tokyo Rosai Hospital. Fourteen patients with suspected CV port
related infection at Tokyo Rosai Hospital between April 2015 and January 2017
were observed. Identical bacterial types were detected from 2 sets of blood
cultures and cultures from the catheter tip, and a definitive diagnosis was made.
Data on patient background, causative bacteria, quick sequentialorgan failure
assessment (qSOFA)score, CV port placement period, presence or absence of local
inflammatory findings, and prognosis were analysed. The causative bacteria were
coagulase-negative Staphylococcus(CNS)in 7 cases(50%), Staphylococcus aureus in
3(21%), and Candida in 4(29%). Most CNS-infected cases(71%)exhibited a qSOFA
score of 1 or less at the examination time, which indicated that even if
bacteremia occurred in CNS cases, organopathy might not occur easily. Local
inflammatory findings were found in only 3 CNS cases. Cases without local
inflammatory findings showed methicillin-resistant Staphylococcus
aureus(MRSA)(18%)or Candida(36%)at high proportions, indicating that treatments
might be difficult.
PMID- 29650892
TI - [Continuous Subcutaneous Injection Therapy in End-of-Life Symptoms of Cancer and
Non-Cancer Patients].
AB - The significance of continuous subcutaneous injection(CSI)therapy was assessed in
end-of-life symptoms of cancer and non-cancer home hospice patients. In a
retrospective analysis of 106 advanced cancer patients who underwent opioid CSI
therapy, the most frequent symptom was pain(65%), followed by dyspnea(46%),
seizure(8%), ileus(8%), and other uncontrolled end-of-life symptoms(23%). The
median oral morphine equivalent daily opioid dose was 90 mg(2.5-1,920 mg)and the
median duration of CSI administration was 3 days(1-350 days). Eighty-six percent
of the patients underwent adjunct therapy to opioids, such as midazolam,
octreotide, and haloperidol, in the management of cancer pain and non-pain cancer
symptoms. In 5 non-cancer patients with systemic vascular disease, various
symptoms required opioid CSI in the endof- life days. CSI with adjunct therapy to
opioids can be effective in the treatment of end-of-life symptoms in both cancer
and non-cancer patients.
PMID- 29650893
TI - [Towards Development of Innovative Cancer Therapies - Trans-OMICS Approach].
AB - Comprehensive genomic and transcriptome analyses using next-generation
sequencing(NGS)analysis has lead a discovery of a variety of novel driver gene
mutations and new therapeutic targets for cancer patients, and has remarkably
improved outcome of the patients through the development novel molecular
targeting drugs. Even so, in so-called intractable or refractory cancers, those
"druggable"alterations common to the diseases are rarely found due to the high
diversity of the tumor. Furthermore, most of molecular target therapy is known to
acquire the resistance to the drug by means of multiple factors such as up
regulation of the partially inhibited pathway, mutation of the target, activation
of alternative pathways, histological translocation, and oncogene de-addiction.
Understanding of intra-tumoral heterogeneity and tumor-stromal crosstalk in tumor
microenvironment with consequence of biological network re-construction are also
of key importance to overcome the resistance. These suggest the limitation of
mono-layer OMIC approach focusing on genome and/or cancer cell alone to identify
truly effective therapeutic target and biomarker. Under these
circumstances,"Trans-OMICS" concept has emerged as a novel approach to clarify a
global biochemical network across multiple omics layers(eg genome, transcriptome,
proteome, and metabolome)directly correct with a variable phenotype by use of
both multi-omic measurements and computational data integration. This approach
has great potential for drug discovery and clinical implementation of omics-based
cancer medicine. We introduce here the outline of technologies and analysis for
Trans-OMICS approach, and review for the recent studies in oncology research with
showing our recent attempt.
PMID- 29650894
TI - [Chemoradiotherapy, the Standard Treatment at Present].
AB - Chemotherapy concurrent with radiotherapy has been widely used in clinical
practice because the additional benefit on radiotherapy alone had shown. Head and
neck cancer: CDDP concurrent with radiotherapy has been a standard treatment for
15years both in definitive setting and postoperative setting. Esophageal cancer:
5-FU/CDDP is the best partner for radiotherapy and appropriate radiation dose has
been discussed. Anal cancer: Radical concurrent chemoradiotherapy with 5-FU and
mitomycin C(MMC)remains the standard of care for squamous cell carcinoma of the
anus. Recent radiotherapy techniques can realize decreasing radiation doses to
the organs at risk and ensuring a shorter overall treatment time without the need
for treatment breaks. In medical oncology field, some molecular targeting agents
containing regimens had been standard treatments instead of conventional
therapies from 2000. However, there are a few regimens which can change the
standard in combination with radiotherapy at this point. We hope new regimens
with radiotherapy will emerge and replace the conventional standard in near
future.
PMID- 29650895
TI - [Chemoradiotherapy Using Molecular Targeted Therapy].
AB - Instead of the combination treatment with cytotoxic chemotherapy and radiation
therapy, molecular targeted drugs is testing in many clinical trials. Because
unexpected adverse events were reported in the previous trials using molecular
targeted drugs with radiotherapy, attention should be paid to this combination.
In EGFR mutated lung cancer, gefitinib with radiotherapy is testing.
PMID- 29650896
TI - [The Combination of Molecular Targeted Agents with Radiotherapy in Head and Neck
Cancer].
AB - Concurrent cisplatin based chemoradiotherapy(CRT)is the standard of care for
patients with locoregionally advanced squamous cell carcinoma of the head and
neck(LA-SCCHN). Based on the Bonner trial showing the superiority of cetuximab
plus radiotherapy to radiotherapy alone, cetuximab based bioradiotherapy(BRT)is
considered as an alternative for patients with LA-SCCHN. However, the non
inferiority of BRT compared to CRT has not yet been demonstrated. Furthermore,
severe mucositis and dermatitis induced by BRT cannot be neglected. Therefore,
the patient's general status and comorbidity should be considered before BRT.
Supportive care and patient education are also necessary to safely complete the
treatment. Several phase III trials are ongoing to evaluate BRT and CRT for human
papillomavirus(HPV)positive oropharyngeal carcinoma. Novel biomarkers are needed
for identifying patients most likely to benefit from BRT.
PMID- 29650897
TI - [Radiotherapy and New Cancer Drugs - New Side Effects ?]
AB - Chemotherapeutic agents(cytotoxic anticancer drugs)have played a central role as
cancer drug therapy for a long time. Since the 2000s, molecular targeted drugs
have been developed as therapeutic methods that match the standards specifically
for cancer cells, and in solid cancers epidermal growth factor receptor(EGFR)and
vascular endothelial growth factor(VEGF) have been approved mainly for drugs
targeted. The combination therapy of molecular targeted drugs and radiotherapy
has been developed to solve the problem of many adverse events of chemo
radiotherapy. However, cetuximab-combined radiotherapy, which is a typical
combination therapy, is not a treatment with few adverse events, centered on
acute dermatitis and mucositis, it is important to properly select cases.
Similarly, it is necessary to pay attention to specific gastrointestinal
obstruction in combination treatment of angiogenesis inhibitor and radiotherapy.
In recent years, immune checkpoint inhibitors also have been focused on many
cancers and attract attention. To achieve further efficacy, combination therapy
with radiotherapy are testing.
PMID- 29650898
TI - [Musculoskeletal Tumor Adjuvant Chemotherapy for Malignant Bone and Soft Tissue
Tumors].
PMID- 29650899
TI - [I. Adjuvant Chemotherapy for Sarcoma of Bone].
PMID- 29650900
TI - [II. Perioperative Chemotherapy for Adult Soft Tissue Sarcomas].
PMID- 29650901
TI - [III. Adjuvant Chemotherapy for Pediatric Sarcoma].
PMID- 29650902
TI - [The Significance of Pharmacist Intervention Focused on Improvement of Adverse
Events and Cancer Pain].
AB - It was reported that focusing on palliative care for patients with cancer
improved their anxiety and depression and prolonged their survival. Similarly,
the pharmacist intervention for cancer patients suggested the improvement in
anxiety and depression; but, such improvement has not yet been demonstrated by
focusing on pharmacist attitudes and behaviors of which the patients were the
primary beneficiaries. Therefore, we evaluated the significance of pharmacist
intervention focusing on improvement of adverse events and cancer pain. We
randomized patients to pharmacist intervention group or no intervention group and
evaluated whether the pharmacist intervention improved patients' anxiety and
depression. Patients receiving new chemotherapy in the outpatient chemotherapy
room were invited to enroll in this study between July 2015 and February 2017.
The patients were assessed for their quality of life using the Structured
Clinical Interview for ACD and for anxiety and depression using the Structured
Clinical Interview for HAD at baseline and again at the 4th chemotherapy. The
difference between the baseline and 4th chemotherapy scores was calculated and
compared between the pharmacist intervention and no intervention groups. HAD
depression subscale and HAD scale scores decreased in the pharmacist intervention
group compared to the no intervention group,(-1 versus 0.5, p=0.024)and(-3 versus
0.5, p=0.011)respectively. We demonstrated that the pharmacist intervention
focused on improvement of adverse event and cancer pain decreased cancer
patients' anxiety and depression.
PMID- 29650903
TI - [Diffuse Malignant Peritoneal Mesothelioma with Secondary Liver Invasion
Diagnosed Using Laparoscopy - A Case Report].
AB - A 69-year-old man with right upper quadrant abdominal pain and fever was referred
to our hospital. He had a history of asbestosis exposure. Computed
tomography(CT)revealed a mass at the right subhepatic space, and an antibiotic
was administered after a diagnosis of an abdominal abscess. However, the patient
did not respond to the treatment, and finally, exploratory laparoscopy was
performed. A sheet of combined white nodules surrounding the right lobe of the
liver was found, and the mass was continuous with the covering particles. Biopsy
of the mass and immunohistochemical examination was performed. The resulting
diagnosis was diffuse epithelial malignant peritoneal mesothelioma(MPM).
Postoperative systematic chemotherapy of pemetrexed and cisplatin was
administered. Laparoscopy was useful to evaluate the distribution of the MPM,
which led to adequate therapeutic determination.
PMID- 29650904
TI - [A Case of Resected Esophageal Endocrine Cell Carcinoma That Responded to
Combination Therapy Comprising Irinotecan and Cisplatin].
AB - We report a case of resected esophagealcancer that responded wellto first-line
combination therapy comprising irinotecan and cisplatin. The patient was a 71
year-old woman being treated for liver cirrhosis. She was admitted to our
hospital in April 2015 because of dysphasia. Endoscopic examination revealed a
tumor in the mid-thoracic esophagus, which was diagnosed as an endocrine cell
carcinoma following pathological examination. Contrast-enhanced computed
tomography and positron emission tomography did not show lymph node or distant
metastases. She was treated with irinotecan and cisplatin combination therapy.
After 6 courses of treatment, the tumor size had remarkably reduced.
Subsequently, we performed subtotal esophagectomy and gastric tube reconstruction
through the retroposterior mediastinalroute and the histologicaleffect was
reported as a partial response. No viable tumor cells were observed in the
extracted lymph nodes. However, bone metastasis and lymph node swelling occurred
after 4 months. She received other therapeutic regimens, such as etoposide and
carboplatin combination therapy. However, the tumor gradually progressed, and she
died 18 months after the first treatment. Irinotecan and cisplatin combination
therapy is a possible option for the management of esophageal endocrine cell
carcinoma as a first-line treatment.
PMID- 29650905
TI - [A Case of HER2-Positive Breast Cancer with Liver Metastases Showing Three Years
of Complete Response to Combination Therapy with Trastuzumabplus Pertuzumab].
AB - A 48-year-old woman with severe interstitial pneumonitis was diagnosed with right
breast cancer(invasive ductal carcinoma, T1aN1M0, ER+, PgR-, HER2 3+)and
underwent modified radical mastectomy.The patient was administered tamoxifen as
adjuvant therapy.However, 1 year after the mastectomy, multiple liver metastases
were found and the patient received 2 anti-HER2 agents, trastuzumab and
pertuzumab.A complete response(CR)was observed with the disappearance of the
liver metastases in 7 months.CR was maintained for 2 years after the initiation
of treatment, and then, we started trastuzumab monotherapy, which has resulted in
long-term disease control.
PMID- 29650906
TI - [A Case of Stage IV Gastric Cancer Curative Resection by Conversion Therapy].
AB - We experienced a case of curative resection as a multidisciplinary treatment for
unresectable gastric cancer that attributed to peritoneal disseminations and
direct invasion to other organs.Two courses of triplet chemotherapy(DCS
therapy)were performed under enteral stent placement and nasoenteral nutrition
for direct infiltration into the transverse colon with entire circumference
stenosis.Distal gastrectomy and right hemicolectomy were performed as conversion
therapy, and R0 resection was achieved.After the operation, S-1 as adjuvant
chemotherapy was performed and there has been no relapse survival for 13 months
since the operation.From this case, it seems that conversion therapy plays an
important role in prognosis extension as a treatment strategy for Stage IV
gastric cancer.
PMID- 29650907
TI - [Cases of Oncologic Emergency Associated with Colorectal Cancer].
AB - The clinical condition of oncologic emergency associated with colorectal cancer
includes hemorrhage, perforation and obstruction. Obstructive colorectal cancer
is an oncologic emergency commonly observed in our daily clinical practice.
Colonic stent placement for obstructive colorectal cancer is relatively easy and
safe and may be considered as an effective treatment method that enables
favorable intestinal decompression preoperatively and one-stage resection.
Colonic stent use can be a bridge to surgery, enabling shorter duration of
hospitalization, and reduced postoperative complications, and colostomy rates, as
compared to emergency surgery. From January 2009 to December 2016, this study was
designed to evaluate the clinical outcomes of 68 patients who underwent surgery
for obstructive colorectal cancer. The patients were divided into 2 groups: 32
cases receiving colonic stent placement(the S group), 36 cases receiving ileus
tube and emergency surgery(the NS group). There was no significant difference in
terms of morbidity or survival rate between the 2 groups. For the S group, 31 out
of 32 could one-stage resection(94%). The colostomy rate in the S group was
significantly lower than that in the NS group(3% vs 33%). In the S group, number
of dissected lymph nodes was significantly larger and the duration of
postoperative stay was shorter than that in the NS Group.
PMID- 29650908
TI - [Advanced Gastric Cancer with Peritoneal Dissemination Treated with Conversion
Surgery after S-1 and CDDP Chemotherapy - A Case Report].
AB - A 27-year-old woman was diagnosed with gastric cancer complicated peritoneal
dissemination and direct invasion to pancreas via staging laparoscopy. After
systemic chemotherapy using regimen of S-1/CDDP for 2courses, the tumor did not
increase in size and peritoneal dissemination did not progress. The patient
subsequently underwent distal gastrectomy as a curative surgery. The histological
diagnosis was ypT4bN1M0, ypStage III B. The patient was treated with DOC/CDDP for
6 courses after surgery as adjuvant therapy. At present 6 years after surgery,
the patient is alive without tumor recurrence.
PMID- 29650909
TI - [Endoscopic Topical Therapy Using Mesh for Refractory Suture Failure after Rectal
Cancer Surgery].
AB - We reported a case that could be cured with endoscopic topical therapyusing mesh
for refractorysuture failure after rectal cancer surgery. The patient was a 73
year-old man. He was diagnosed as lower rectal cancer, and underwent laparoscopic
super law anterior rectum resection. On the 13th postoperative day, abdominal
pain appeared, suspected ileal necrosis, emergencylaparoscopic examination
laparotomywas performed. Upper gastrointestinal perforation was suspected from
pus and food on the whole intraperitoneal cavity, and we moved laparotomy. But
any perforations were not found, we resected ileum and inserted a drain tube to
Douglas fossa. After second surgerydischarge of the juice from the drain was
confirmed, diagnosis was made of suture failure of the anastomosis of the rectal
cancer. He rejected artificial stomy, we chose conservative therapy. On 114th day
after second surgerywe put a mesh for inguinal hernia in the puncture under the
endoscope. On the next dayafter the treatment discharge of the juice from the
drain was stopped. And finallyhe was discharged. This endoscopic treatment is
considered to be useful for refractorysuture failure.
PMID- 29650910
TI - [The Actual State of Treatment of Gastrointestinal Cancer with Abdominal Aortic
Aneurysm in Our Department over the Past Five Years].
AB - With the aging of the population of Japan and Westernization of the dietary life,
the number of cases in which cardiovascular diseases are merged in non-cardiac
surgery is increasing year by year.Many of the abdominal aortic aneurysms are
asymptomatic and it is not uncommon to be discovered accidentally in preoperative
examination of non-cardiac surgery.When gastrointestinal surgery involves
malignant diseases of the gastrointestinal tract and abdominal aortic aneurysm,
the two life prognosis-related diseases are merged, depending on the severity and
urgency of the disease for each case, its treatment to determine the priority
order.Abdominal aortic aneurysm occurred at the time of malignant disease surgery
in 14 cases of gastrointestinal cancer patients who underwent surgery at the
department during the 5 years from 2012 to 2016.T he actual condition of
treatment for these cases was investigated.
PMID- 29650911
TI - [A Case of Advanced Gastric Cancer with Multiple Liver Metastases Treated with
Curative Conversion Therapy after S-1 plus CDDP].
AB - A57 -year-old man was diagnosed with advanced gastric
cancer(adenocarcinoma[tub2/por1])with multiple(S3, S4, S5, S6, S8)liver and para
aortic lymph node metastases. The tumor was classified as cT4a, N3, M1, HEP,
cStage IV, and the patient received chemotherapy with S-1 plus CDDP(SP). After 10
courses of SP, a CT scan revealed that the primary tumor and the metastases
disappeared. The patient presented with cCR and underwent distal gastrectomy, D2
lymph node dissection, partial hepatic resection, and cholecystectomy. The
histological diagnosis was classified as ypT0N0M0,(ypStage 0), pCR, and
pathological Grade 3.
PMID- 29650912
TI - [Two Cases of Neuroendocrine Carcinoma of the Non-Ampullary Duodenum].
AB - Here we report 2 cases of neuroendocrine carcinoma(NEC)of the non-ampullary
duodenum which is extremely rare neoplasm. Case 1: A 76-year-old man had a type 2
duodenal carcinoma with a 3 cm-sized lymph node metastasis. Duodenal resection
with subpyloric lymph nodes dissection and Roux-en-Y reconstruction were
performed. The histopathological diagnosis was NEC of the duodenal bulb after
surgery(pT3pN1M0, stage III A, UICC 7th edition). The patient died of chronic
heart failure 10 years and 3 months after the surgery. Case 2: A 45-year-old
woman had a type 2 duodenal NEC of the second part of the duodenum. A subtotal
stomach-preserving pancreatoduodenectomy was performed with lymph node
dissection.(pT4pN0M0, stage II B, UICC 7th edition). The patient died of
recurrence 7 months after the surgery.
PMID- 29650913
TI - [A Case of Laparoscopic Hartmann's Operation for Perforation of Rectal Cancer in
Superior Elderly People].
AB - We report a case where home discharge was possible after laparoscopic Hartmann's
operation for superior elderly perforation of rectal cancer. The patient was 91
year-old, a woman. She was delivered to the emergency room complaining of
weakness. We diagnosed rectal perforation and started emergency laparoscopic
surgery. Rectal cancer perforation was observed during surgery and laparoscopic
Hartmann's operation plus D2 lymph node dissection was performed. The operation
time was 3 hours 21 minutes, the blood loss was 10 g. She resumed her meal intake
from the postoperative day(POD)5 and became ready for discharge on POD 20
postoperatively. She moved to a comprehensive care ward and she was discharged to
her house on POD 89. On POD 120, she visited the hospital complaining of anorexia
and anal bleeding, and was diagnosed as local recurrence in the pelvis, multiple
liver metastases, and cancerous peritonitis. She was admitted to palliative care
unit on POD 132 and died on POD 141. It was suggested that laparoscopic surgery
will be minimally invasive even at superior elderly patients and that they will
be able to discharge from their homes.
PMID- 29650914
TI - [Surgical Procedure of Buccal Mucosal Carcinoma - Reconstruction of Mouth Angle].
AB - Surgical resection of the buccal mucosal carcinoma often induces soft tissue
defect. The treatment plan should be considered to preserve oro-facial function
and morpho-esthetics. This retrospective study reports the surgical
reconstruction procedures in buccal mucosal carcinoma patients. We evaluated 4
cases(2 men, 2 women, mean age: 81.8 year-old)treated in Department of Oral and
Maxillofacial Surgery, Shimane University Hospital between June 2007 and January
2017. The average size of primary tumor was 4.9 cm2. And the average size of
facial skin defect in the mouth angle was 3.1 cm2. The facial local skin flaps
and/or other pedicled flap were used for the reconstruction of the mouth angle.
Severe contraction of the scar was manifested in 2 cases. Though reconstruction
using the local pedicled flaps for full thickness skin defect in the mouth angle
would be feasible, special attention is considered regarding the postoperative
contraction of the scar.
PMID- 29650915
TI - [A Case of Primary Duodenal Cancer with Duodenocolic Fistula Treated with
Pancreatoduodenectomy and Right Hemicolectomy].
AB - A patient was 59-year-old female. She presented our hospital with weight loss,
anorexia and lower abdominal bloating. Abdominal computed tomography(CT),
gastrointestinal endoscopy, colonoscopy and duodenal fistulagram showed duodenal
cancer or colon cancer with duodenocolic fistula and ovary metastasis. She
underwent subtotal stomach preserving pancreatoduodenectomy and right
hemicolectomy. In these pathological findings, tumor was diagnosed as a duodenal
cancer with duodenocolic fistula. She was surviving 12 months after the last
surgery. In cases of cancer with duodenocolic fistula, pancreatoduodenectomy with
right hemicolectomy would be necessary for nutrition improvement and cancer
treatment.
PMID- 29650916
TI - [A Case of Sigmoid Colon Cancer with Idiopathic Thrombocytopenic Purpura].
AB - An 80-year-old man reported at our hospital with the chiefcomplaint
ofconstipation. He was diagnosed with sigmoid colon cancer(T4aN0M0, Stage II). He
also had steroid-resistant idiopathic thrombocytopenic purpura(ITP)since 2001.
After a high dose g-globulin therapy, he underwent sigmoidectomy and splenectomy
simultaneously because ofthe steroidresistant ITP. While some reports suggest
that ITP is dominant when it occurs with blood cancer, others suggest that it can
be immunologically dominant even when it occurs with solid tumors. ITP has a
significant effect on solid tumors.
PMID- 29650917
TI - [A Case of Primary Spinddle Cell Carcinoma of the Breast].
AB - A 76-year-old female underwent breast-conserving surgery of the right breast and
sentinel lymph node biopsy for primary breast cancer. Three years later,
mammography and ultrasonography showed a small nodule in the right breast. There
was nothing abnormal in the left breast. Three months later, she complained of a
huge and rapid growing mass in the left breast. Malignant cells were obtained on
fine needle aspiration biopsy in the right breast tumor. But it was not possible
to diagnose whether the left breast tumor was benign or malignant on fine needle
aspiration biopsy and needle biopsy. Bilateral mastectomy and sentinel lymph node
biopsy of the right side were performed. Pathological diagnosis were squamous
cell carcinoma of the right breast and spindle cell carcinoma of the left breast.
Although the patient was treated with adjuvant chemotherapy, she had an early
relapse with pleural, lung and bone metastases. The patient died approximately 8
months after operation. Spindle cell carcinoma presents many problems about
therapy and prognosis. Further accumulation analysis is necessary.
PMID- 29650918
TI - [Hepatocellular Carcinoma with Bile Duct Thrombosis - A Case Report].
AB - Hepatocellular carcinoma(HCC)is not commonly observed with bile duct
thrombosis.Here, we report a case of HCC with bile thrombi that extended to the
liver hilum.The patient was a 61-year-old man who visited us due to untreated
type B hepatitis.He underwent screening with a CT scan that revealed LDA on the
right posterior lobe of his liver with infiltration of the bile duct.Generally,
bile resection and reconstruction should be considered for curative resection for
bile thrombi; however, we attempted to conserve the common bile duct to preserve
the options of percutaneous therapy in case of tumor recurrence.We performed
right lobectomy of the liver.The bile duct thrombus was extracted without bile
duct resection or reconstruction.The patient is alive 6 months after the surgery
without any development.
PMID- 29650919
TI - [A Case of Treated with Laparoscopic Surgery for Intussusception Up to the
Splenic Flexion Region Due to Cecum Cancer].
AB - A 93-year-old woman was admitted to the hospital because of
respiratorydiscomfort. A chest CT scan indicated aspiration pneumonitis and,
simultaneously, intussusception was observed in the splenic flexure region.
Abdominal enhance CT scan revealed a tumor in the advanced region of
intussusception. Laparoscopy-assisted ileocecal resection was performed. Since
the intussusception was difficult to reduce laparoscopically, the ileocecum was
mobilized and the intussusception was reduced manually. In the resected specimen,
a type 1 tumor was observed in the cecum and histopathologic ally diagnosed as
cercal cancer. We report a case with intussusception due to colorectal cancer
treated bylaparoscopic surgery.
PMID- 29650920
TI - [A Case of Chemotherapy with FOLFOXIRI plus Cetuximab for Liver Metastasis of
Sigmoid ColonCan cer].
AB - We report a case of chemotherapy with FOLFOXIRI plus cetuximab for liver
metastasis of sigmoid colon cancer. The patient was a 40's man who was diagnosed
with sigmoid colon cancer with liver metastasis. Colonoscopy revealed a type 2
tumor with stenosis in the sigmoid colon. He underwent sigmoidectomy under
laparotomy, and after the operation, received 7 courses of chemotherapy with
FOLFOXIRI plus cetuximab. The liver tumor was sufficiently reduced, and
laparotomy and liver right lobectomy were performed. Histopathology revealed a
modified, Grade 2 tumor regression. He has been followed for 1 year 4months after
the operation.
PMID- 29650921
TI - [A Case of Laparoscopic Whole Layer Cholecystectomy for Elevated Lesion Suspected
Biliary Cancer].
AB - A case of attempted laparoscopic cholecystectomy for elevated lesion which was
clearly early biliary cancer. Laparoscopic cholecystectomy has become popular as
a minimally invasive surgical method, and is the primary choice for benign
diseases. However for cases of suspected biliary cancer, open cholecystectomy,
rather than laparoscopic, is recommended according to medical guidelines. The
reason for this is that in cases of damage to the gallbladder, bile spillage to
the abdominal cavity may occur, leading to port site recurrence and peritoneal
recurrence. In addition, for invasion depth exceeding ss, or in cases of RAS
cancer, the cancer may become exposed on the resected surface and remain.
Hypothetically though, if the gallbladder is resected by total layer resection,
RAS cancer can be removed. At this time, we performed a laparoscopic whole layer
cholecystectomy for elevated lesion. We would like to report this case along with
some bibliographic considerations.
PMID- 29650922
TI - [Gallbladder Carcinoma That Occurred 50 Years after Cholecystojejunostomy].
AB - Creation of a cholecystojejunostomy has been recommended as one option in the
palliation of biliary obstruction due to pancreatic carcinoma.However, it was a
technique used for biliary drainage for acute cholecystitis a long time ago.We
describe a patient who underwent a cholecystojejunostomy for acute cholecystitis
50 years prior to presentation, and then revealed a gallbladder carcinoma.
PMID- 29650923
TI - [A Case of Gastric GIST with Pathological Complete Response Achieved by Long-Term
Chemotherapy with Imatinib Mesylate].
AB - A 78-year-old woman was diagnosed with a gastrointestinal stromal tumor(GIST)of
the stomach, gradually increasing from 5 years prior. The tumor was suspected to
invade the pancreatic body tail and spleen, as observed with computed tomography.
Because the patient refused to undergo resection, we administered imatinib
mesylate for 6 years. Since early rectal cancer was revealed, the patient was
referred for resection and underwent laparoscopic low anterior resection and
partial gastrectomy. Histopathologically, the tumor was replaced by tissues with
myxomatous changes, and no viable tumor cells were detected. This was a rare case
of GIST, resected after long-term chemotherapy by imatinib mesylate, and
pathological complete response was achieved.
PMID- 29650924
TI - [Endoscopy-Assisted Partial Duodenal Resection for Duodenal Adenoma in a Patient
with Familial Adenomatous Polyposis].
AB - We here report a case of endoscopy-assisted partial duodenal resection for
duodenal adenoma in a patient with familial adenomatous polyposis(FAP). A male
underwent total proctocolectomy with ileal pouch anal anastomosis in 1997. Since
2004, duodenal adenomas occurred and the atypical grade of adenoma was gradually
aggravated. Therefore, he underwent endoscopy-assisted partial duodenal resection
in 2013. The pathological finding of the specimen showed well-differentiated
tubular adenocarcinoma(pM, ly0, v0). No recurrence has been observed at 4 years
after the operation. Endoscopy-assisted partial duodenal resection was minimum
invasive surgery and considered to be useful for the patients with duodenal
adenoma.
PMID- 29650925
TI - [A Case of Colon Cancer with Brain, Liver and Lung Metastasis Successfully
Treated with Bevacizumab plus Xelox Therapy].
AB - The patient was a 57-year-old woman. Preclinical examination of malignant
lymphoma revealed 0-I sp type of early rectal cancer in the upper rectum, 20 cm
from the anal margin. Endoscopic mucosal resection was performed and positive
deep margins were pathologically diagnosed. Additional intestinal resection with
lymph node dissection was deemed necessary, but ABVD therapy was initiated
because the clinical stage of the malignant lymphoma was Stage III b or higher.
Two months after detecting elevated CEA, S8 liver metastasis was pointed out, and
examination of weakness of the right upper limb revealed nodular, multifocal
brain metastasis. After chemotherapy for malignant lymphoma, bevacizumab(BV)plus
Xelox therapy was initiated. After administering 4 courses, partial loss of
multiple brain metastases and reduction of the liver metastatic lesion were
confirmed; therefore, partial resection of the liver via laparoscopy was
performed. After surgery, BV plus Xelox therapy was resumed, but since the lower
lobular lung metastasis was confirmed after 8 courses, partial resection of the
left lower lobe with thoracoscopy was performed. After lung resection, BV plus
FOLFIRI therapy was administered, and 12 months after the onset of treatment for
brain metastasis, recurrence was not detected.
PMID- 29650926
TI - [A Resected Case of Adenosquamous Carcinoma of Pancreas That Relapsed in Remnant
Pancreas].
AB - A 70's man underwent subtotal stomach preserving pancreatoduodenectomy(SSPPD)for
pancreatic head cancer. The pathological diagnosis was adenosquamous
carcinoma(ASC)of the pancreas. Two months after surgery, a recurrent tumor in the
remnant pancreas was confirmed with a CT scan and suspected to be ASC by
endoscopic ultrasound-guided fine needle aspiration(EUS-FNA). As the recurrent
lesion was limited in the pancreas, total remnant pancreatectomy(TP)was performed
4 months after SSPPD. The final pathological diagnosis was ASC. Two months after
TP, liver and para-aortic lymph node metastases were revealed. The patient has
been alive for 14 months after SSPPD with chemotherapy. Because of its rarity, it
is difficult to implement treatment plans for recurrent ASC in the remnant
pancreas.
PMID- 29650927
TI - [A Case of Long-Term Survival of Metastatic and Recurrent Duodenal
Gastrointestinal Stromal Tumor Treated with Multimodality Managements].
AB - We hereby report a case of long-term survival of metastatic and recurrent
duodenal gastrointestinal stromal tumor(GIST) treated with multimodality
managements. A 59-year-old man was diagnosed with duodenal GIST and underwent
surgical resection of a primary lesion of the duodenum. Since the pathological
findings on mitotic rate indicated its high risk of recurrence, the systemic
treatment by imatinib mesylate was given shortly after the surgery. Six months
later, metastatic lesions being considered to be imatinib-resistant were observed
in the remnant liver. Since there were no other drugs available for GISTs in
clinic at that time, surgery of central bisegmentectomy with partial resection of
the liver was performed to eliminate all metastatic lesions. However, recurrences
had been repeatedly diagnosed afterward. In response to them, four more surgery
for recurrent liver or peritoneal tumors, two transcatheter arterial
chemoembolizations(TACE)and one radiofrequency ablation(RFA)were performed on the
basis of its resectability. Sunitinib malate had been given since it was approved
for imatinib-resistant GISTs in clinic. Eventually, as long as 99 months had
passed since we observed the first evidence of the resistance to imatinib
mesylate when he died from the GIST.
PMID- 29650928
TI - [Conversion Surgery after Etoposide/Cisplatin(EP)Therapy for Huge Pancreatic
Neuroendocrine Carcinoma - A Case Report].
AB - Neuroendocrine carcinoma(NEC)is known as rapid tumor growth, high grade
malignancy and poor prognosis. We report a case of huge pancreatic NEC
successfully performed conversion surgery after EP therapy. A 70-year-old female,
was presented to our hospital with appetite loss. CT scan revealed huge tumor, 15
cm in diameter, locating at the pancreas with possible involvement to liver,
stomach, common hepatic artery, left gastric artery and gastroduodenal artery.
Peritoneal dissemination and para-aortic lymph node metastasis were also
suspected. EUS-FNA showed neuroendocrine carcinoma with almost 100%positive
staining rate of Ki-67. We immediately started etoposide/cisplatin(EP)therapy.
After 6 courses of EP, the tumor shrank remarkably and peritoneal disseminations
were disappeared. Common hepatic artery and gastroduodenal artery became free
from the tumor. However, after 7 courses of EP, CT and PET-CT revealed tumor re
growth. Also renal impairment could not afford to continue EP therapy. Therefore
we decided to perform conversion surgery. In the guideline in Japan, there is no
content specialized for surgical treatment for NEC. Moreover, second-line of
chemotherapy for NEC has not been established. In the future, accumulation of NEC
cases will contribute to develop effective multidisciplinary treatment.
PMID- 29650929
TI - [A Case of HER2 Positive Occult Breast Cancer Presenting as Swollen Axillary
LymphNodes].
AB - Occult breast cancer, which develops as a metastatic lesion with no primary tumor
detected in the breast, is a rare breast cancer. A 68-year-old female patient
particularly complained of the presence of a right axillary mass. The mass in the
right axilla was palpable, but no tumor was found in both the breasts on
palpation, ultrasound examination, or MRI. Partial breast resection and axillary
lymph node dissection were performed following a diagnosis of invasive ductal
carcinoma by core needle biopsy. There was no mammary gland tissue present around
the tumor due to the pathology of the disease, and the tumor was diagnosed as
occult breast cancer. As the cancer was ER negative and HER2 positive, treatment
with a combination of FEC, docetaxel, and trastuzumab was initiated.
Radiotherapy, which irradiated the right supraclavicular fossa and the right
mammary gland, was administered. No disease recurrence and mammary tumor has been
reported in the patient till date. Treatment of occult breast cancer generally
includes local therapy such as radiation and surgery. However, in the present
case, we did not operate upon the breast; instead we treated the right breast and
the right supraclavicular fossa with radiation therapy. As the tumor was HER2
positive, we reasoned that local control of disease would be likely if treatment
with chemotherapy and trastuzumab was performed effectively.
PMID- 29650930
TI - [A Case of Early Gastric Cancer with Multiple Lymph Node Metastases Revealed by
Additional Surgical Resection after ESD].
AB - A 74-year-old man was referred to our hospital for further investigation of a
cystic lesion in the pancreatic body, which had been detected by ultrasonography
at a local hospital. He was diagnosed as intraductal papillary mucinous
neoplasm(IPMN) and further preoperative examinations were conducted. Upper
gastrointestinal endoscopy demonstrated a type 0-II c tumor of the greater
curvature in the upper third of the stomach. Endoscopic ultrasonography showed no
sign of submucosal invasion. Endoscopic submucosal dissection(ESD)was carried out
and pathological examination of a specimen revealed well differentiated
adenocarcinoma with submucosal invasion, which fulfilled the indication for
additional gastrectomy with lymph node dissection. Laparoscopy-assisted proxymal
gastrectomy with D1 plus lymph node dissection and distal pancreatectomy with
splenectomy was performed. Pathological examination demonstrated intraductal
papillary mucious adenoma(IPMA)in the pancreatic body and no residual gastric
cancer in a specimen, however 7lymph node metastases from gastric cancer was
confirmed(pN3a), including 3 metastatic lymph nodes incidentally-detected
adjacent to the pancreatic parenchyma. We report a rare case of early gastric
cancer with N3 lymph node metastases, with a brief literature review.
PMID- 29650931
TI - [A Case of Locally Advanced Pancreatic Cancer Successfully Resected after S-1
Therapy in an Elderly Patient].
AB - We report a case of locally advanced pancreatic body cancer, accomplishing R0
resection following preoperative chemotherapy. An 80-year-old female patient was
admitted to our hospital because of high CA19-9 levels.Based on computed
tomography images, she was diagnosed with locally advanced cancer of the
pancreatic body.We started S-1 chemotherapy (100mg/day, 2 weeks administration 1
week rest)because the tumor was suspected to have invaded the celiac trunk and
the common hepatic artery.The tumor decreased in size, and the encasement of the
celiac trunk and the common hepatic artery were released, following 6 months of
chemotherapy.Subsequently, distal pancreatectomy with D2 lymph node dissection
was performed.The patient was healthy and showed no signs of recurrence 5 years
and 9 months after surgery.
PMID- 29650932
TI - [A Case of Sigmoid Colon Cancer with Locally Advanced Infiltration Curatively
Resected after CapeOX Therapy].
AB - A 68-year-old man presented with malaise and abdominal swelling.Lower
gastrointestinal endoscopy revealed a type 2 circumferential sigmoid colon
cancer.Computed tomography suggested the cancer infiltrating bladder and
abdominal wall with abscess.Because of locally advanced infiltration, the patient
was treated with capecitabine plus oxaliplatin(CapeOX) plus bevacizumab therapy
after loop-colostomy.After 2 courses of chemotherapy, a CT revealed tumor
reduction and increased abscess, which was punctured drainage.After 4 courses of
chemotherapy, a CT revealed abscess reduction, we tried to
operation.Sigmoidectomy with combined resection of abdominal wall and bladder
total hysterectomy and fascia lata grafting were performed.The pathological
diagnosis was tub1, T4b, ly2, v2, PN0, N0, M0, Stage II, pR0, Grade I a.We
reported a case of curative resection of locally advanced sigmoid colon cancer
treated with combined resection of bladder and abdominal wall after CapeOX
therapy.
PMID- 29650933
TI - [A Case of Laparoscopic Rectal Amputation Performed for Anal Gland Mucinous
Carcinoma with Pagetoid Spread].
AB - A 69-year-old man was admitted for the growing anal tumor and referred to our
hospital. The tumor was about 40mm in size, and by biopsy, he was diagnosed the
adenocarcinoma. Based on this diagnosis, abdominoperinealresection and edge
resection were performed. Histopathologicalfindings showed mucinous carcinoma
originating from analgl and with pagetoid spread. Postoperative chemotherapy was
not performed, but 1 year 6 months after the surgery, inguinall ymph node
recurrence was found, and lymph node dissection was performed. One year after the
operation, recurrence was not found.
PMID- 29650934
TI - [Giant Retroperitoneal Liposarcoma Treated by Surgical Resection and Chemotherapy
- A Case Report].
AB - As the treatment for the liposarcoma, there is no effective chemotherapy and a
surgical remedy is required. We present the case of a 64-year-old man who
complained about difficulty in swallowing and discomfort of throat. Computed
tomography revealed a large enhancing left sided retroperitoneal mass invading
the retroperitoneal space and it was displaced to the right. Preoperative
diagnosis was retroperitonealmal ignant tumor. Tumor excision were performed and
around 4.0 kg tumor was removed though its size was too big and resected it
separately. Tumors increased 5 months later and became the second enucleation.
After the second operation, we used eribulin as postoperative adjuvant
chemotherapy. However, we needed extraction 3 times by the surgery because it
recurred as peritonealdissemination. We continue surgicaltreatment and
chemotherapy together as there are a part increasing relatively slowly and a high
grade part increasing rapidly.
PMID- 29650935
TI - [Clinicopathological Examination of Differentiated Gastric Cancer].
AB - OBJECTIVE: The common type carcinoma of gastric cancer is divided into 3 groups,
papillary adenocarcinoma(pap), well differentiated tubular
adenocarcinoma(tub1)and moderately tubular adenocarcinoma(tub2). In this study,
we tried to individualize treatments of them by evaluating their
clinicopathological features. METHODS: Examined resected specimens were collected
from 2000 to 2016. We compared among pap, tub1, and tub2 as the
clincopathological features retrospectively. RESULTS: Histological diagnosis was
confirmed to 55 cases with pap, 639 cases with tub1 and 718 cases with tub2.
Comparing the ratio of lymph node metastasis(LNM)according to the depth of
invasion, tub2 and pap show higher incidence of LNM than tub1 in T1b, T2, and
T4(a+b). Tub2 and pap patients have larger number of LNM and worse 5 year
survival rates than tub1 patients. CONCLUSION: We demonstrated that tub2 and pap
may have higher malignancy and show earlier LNM than tub1. When we consider of
endoscopic treatment, individualizataing tub1, tub2 and pap is important.
PMID- 29650936
TI - [Review of the Efficacy of Endoscopic Stenting for Malignant Colorectal
Obstruction at Tokyo Metropolitan Ohtsuka Hospital].
AB - BACKGROUND: The use of self-expandable metallic stent(SEMS)was first authorized
by insurance and became available nationwide in Japan in 2012. Insertion of SEMS
for colorectal obstruction due to colorectal cancer is useful as a bridge to
surgery(BTS)approach and releases stenosis as palliative care. AIM: To assess the
outcomes of SEMS placement for colorectal obstruction. PATIENTS AND METHODS: A
total of 14 patients were treated with SEMS between April 2014 and March 2017. We
reviewed their medical records to assess the usefulness of SEMS placement and the
clinical course. RESULTS: SEMS insertion was effective in 93% of the 14 patients.
In 10 patients with BTS, the median interval between SEMS insertion and operation
was 16 days, and no severe complications were noted in them. In 4 patients with
palliative care, all patients were released from colorectal stenosis. CONCLUSION:
SEMS placement played a satisfactory role in improvement of patient QOL by paying
scrupulous attention to a colonic stent retained.
PMID- 29650937
TI - [An Elderly Case of Recurrent Neuroendocrine Carcinoma of the Stomach Treated
with Ramucirumab].
AB - An 84-years-old man underwent total gastrectomy with D1 plus lymph node
dissection in December 2015, and diagnosed as Stage III B neuroendocrine
carcinoma of the stomach. An abdominal computed tomography revealed swollen
paraaortic lymph nodes and left adrenal grand in May 2016. Since his serum level
of CA19-9 was elevated, he was thus diagnosed as having recurrence, and was
started chemotherapy with ramucirumab(RAM). After introduction of the
chemotherapy, his serum level of CA19-9 was decreased gradually and metastatic
foci were also decreased in size. Although the patient required relatively longer
administration interval according to the severity of general fatigue, he
continued the chemotherapy without severe adverse effects until he rejected
further treatment in January 2017, and satisfactory therapeutic result was
acquired. While the prognosis of gastric neuroendocrine carcinoma is reported to
be very poor, no definitive therapeutic guideline is available at present.
Especially in elderly patients, we should pay considerable attention to the
selection of chemotherapeutic agents because of their own adverse effects. In the
present case, RAM could be administered safely, and it seemed that RAM might
become a useful therapeutic option for gastric neuroendocrine carcinoma even in
elderly patients.
PMID- 29650938
TI - [A Distal Bile Duct Carcinoma Patient Who Underwent Surgical Resection for Liver
Metastasis].
AB - A 70-year-old man with distal bile duct carcinoma underwent a subtotal stomach
preserving pancreaticoduodenectomy without adjuvant chemotherapy. One and a half
years after the surgery, elevated levels of serum SPan-1(38.1 U/mL)were observed
and CT scans demonstrated a solitary metastasis, 25mm in size, in segment 8 of
the liver. The patient received 2 courses of gemcitabine-cisplatin combination
chemotherapy. No new lesions were detected after chemotherapy and the patient
underwent a partial liver resection of segment 8. The pathological examination
revealed a metachronous distant metastasis originating from the bile duct
carcinoma. Subsequently, the patient received S-1 adjuvant chemotherapy for 6
months. Following completion of all therapies, the patient survived without tumor
recurrence for 3 years and 10 months after the initial operation. Thus, surgical
interventions might be effective in improving prognosis among selected patients
with postoperative liver metastasis of bile duct carcinoma.
PMID- 29650939
TI - [A Case of Successful Treatment with Gemcitabine plus Nab-Paclitaxel Therapy for
Nonresected Pancreatic Body Cancer(Stage IVb)].
AB - A 61-year-old woman was introduced for consultation with a chief complaint of
frequent vomiting. CT revealed a pancreatic body cancer approximately 40mm in
size; an invading stenosis from the horizontal part of the duodenum to the
jejunum, superior mesenteric artery, and portal vein, splenic vein obstruction,
lymphadenopathy, and some ascitic fluid. We diagnosed a passage disorder due to
the invasive stenosis from the horizontal part of the duodenum of the pancreatic
body cancer to the jejunum, and subsequently performed a duodenum and jejunum
bypass operation. We controlled cancer pain with opioid analgesia, and S-1
monotherapy was chosen as the primary chemotherapy. A tendency to increase and
the cancer pain of the tumor was aggravated when 5 courses took effect, so
gemcitabine plus nab-paclitaxel(GEM plus nab-PTX)therapy was chosen as the second
line chemotherapy because of adverse Grade 3 events due to difficulties with S-1
internal use. We tapered off the opioid analgesia dosage because the cancer pain
was relieved after 1 course. The imaging top indicated stable disease at the end
of 5 courses, but the pain was relieved so opioid pain killers were unnecessary.
Foreign continuation is under treatment with 10-course GEM plus nab-PTX therapy
after initial diagnosis. Currently, the patient has undergone 5 courses of S-1
for approximately 18 months, and has achieved stable disease. The only adverse
events were nausea, fatigue, Grade 1 malaise, and Grade 2 alopecia, as detected
with imaging.
PMID- 29650940
TI - [A Case of Intraductal Pancreatic Mallignant Tumor with Difficulty in
Differentiating between IPMN and ITPN].
AB - The patient was a male in his early 60s. Diabetes had aggravated 6 months
earlier, and the patient was referred to our hospital for close examination. On
contrast CT, enhanced mass shadows filling the lumen of the main pancreatic duct,
which was dilated throughout the pancreas, were observed, and the mass was
diagnosed as an adenocarcinoma on EUS-FNA. Based on these findings, main-duct
IPMN was suspected and total pancreatectomy was performed. On macroscopic
observation of the resected specimen, outgrowth of a solid tumor was observed in
the main pancreatic duct, whereas only low-level mucus retention was noted in the
pancreatic duct. Histopathological examination revealed a papillary/tubular tumor
growth, suggesting interstitial infiltration throughout the pancreas. On
immunostaining, the tumor was partially positive for MUC5AC, based on which the
patient was diagnosed with an intraductal pancreatic mallignant tumor, with
difficulty in differentiating between IPMC and ITPC. Clinicopathologically, many
aspects regarding ITPN remain unclear. Further accumulation of such cases and
investigation of the tumor pathology are necessary.
PMID- 29650941
TI - [A Case of an Intraductal Papillary Mucinous Neoplasm Which Rapidly Worsened and
Penetrated into the Stomach and the Transverse Colon].
AB - We report a case of a 71-year-old woman.She visited our hospital with a complaint
of high fever and abdominal distention. She has been pointed out intraductal
papillary mucinous neoplasm(IPMN)4 years ago.Abdominal CT showed cystic legion,
80mm in diameter, on the pancreas.The lesion was unclear at the boundary between
the main pancreatic duct and in contact with the stomach, transverse colon.Upper
endoscopic and colonoscopic examination revealed the exhaustion image from the
intestional tract but not pointed out the malignant findings.We performed total
pancreatectomy, total gastrectomy and partial transverse colectomy.Pathological
examination revealed the intraductal papillary mucinous carcinoma but the tumor
did not invaded the stomach and colon.It is known that some cases of IPMN form
fistulae to adjacent organs.We report a case of IPMN penetrating into the stomach
and colon.
PMID- 29650942
TI - [A Case of Successful Management of Postoperative Chylous Ascites by Combination
Therapy with Octreotide and Etilefrine].
AB - We have report a case of an 81-year-old woman who underwent distal pancreatectomy
for pancreatic body cancer. Chy- lous ascites with high triglyceride(TG)level,
201mg/dL, occurred on postoperative day 2. Continuous drainage and conservative
management, such as low fat diet, fasting, total parental nutrition, and
octreotide monotherapy, could not resolve the problem. Successful treatment was
achieved using subcutaneous octreotide injection and intravenous etilefrine
infusion without any adverse side-effect. These medications were able to cause
smooth muscle contraction in the thoracic duct, and also to reduce chyle flow.
The amount of drainage decreased and the TG level was restored to 66mg/dL. The
drainage tube was removed on postoperative day 22, 5 days after the start of both
octreotide and etilefrine. This combination therapy with octreotide and
etilefrine would be one of effective and safety treatment for management of
postoperative intractable chylous ascites.
PMID- 29650943
TI - [A Useful Case of Photodynamic Diagnosis of Inferior Vena Cava Invasion by
Pancreatic Head Cancer Using 5-Aminolevulinic Acid(5-ALA)].
AB - Curative resection is necessary to survival in pancreatic cancer, however after
surgery, pathologic examination sometimes diagnoses the remains of cancer at the
resected stump. Therefore, it is necessary to evaluate the stump by
intraoperative rapid pathological examination. 5-aminolevulinic acid(5-ALA)is an
endogenous natural amino acid and precursor of the heme pathway. 5-ALA is
metabolized and accumulated as protoporphyrin IX(Pp IX)that is photosensitive
substance. 5-ALA mediated photodynamic diagnosis(PDD)(ALA-PDD)has high diagnostic
ability. Andwe previously reportedusefulness of ALA-PDD for lymph node metastasis
and peritoneal dissemination in gastric cancer and colorectal cancer patients. A
case was 73-year-oldman who hadpancreatic headcancer. Since pancreatic cancer
invasion to the inferior vena cava(IVC)was suspected during the operation,
fluorescence observation was undergone. Pp IX fluorescence signal observedin the
tissue around IVC by fluorescence observation. And, the tissue diagnosed
adenocarcinoma by pathological findings. Therefore, it was judged that curative
resection was difficult and the operation was completed. In conclusion, it was
suggested that ALAPDD may be one of the methods of intraoperatively diagnosing
the residual lesion of pancreatic cancer.
PMID- 29650944
TI - Glucose-Related Protein 78 Expression and Its Effects on Cisplatin-Resistance in
Cervical Cancer.
AB - BACKGROUND GRP78, the 78-kDa glucose-regulated protein, occupies a significant
position in endoplasmic reticulum stress. Emerging evidences have shown that
GRP78 induces chemoresistance in several tumors; however, the role of GRP78 in
cervical cancer (CVC) still needs to be elucidated clearly. MATERIAL AND METHODS
In the present study, we determined the expression levels of GRP78 in CVC tissues
collected from patients through immuocytochemistry, western blot and real-time
PCR. To evaluate the exact role of GRP78 in CVC cells in the presence of
cisplatin, we generated GRP78 knock-down cervical cancer cells through small
interfering RNA. After successful transfection, the apoptosis rate was assessed
with flow cytometry. The expression levels of caspase-3, CHOP and Bcl-2 in GRP78
knock-down cells were determined by western blot. RESULTS The GRP78 levels in CVC
tissues were increased significantly. Three types of CVC cells HeLa, SiHa, and
C33A were treated with different concentrations of cisplatin and cultured for 12
hours, 24 hours, and 48 hours respectively. And SiHa cells exhibited the highest
resistance to cisplatin at all time. Specifically, after 25 MUM cisplatin
treatment, more than 80% of C33A cells underwent apoptosis, whereas the apoptotic
rate of SiHa cells was only 30-40%. Data suggested that GRP78 silencing increased
chemo-sensitivity and improved the effects of cisplatin-induced apoptosis in SiHa
cells. Moreover, inhibition of GRP78 could upregulate caspase-3 and CHOP
expression and downregulate Bcl-2 expression. CONCLUSIONS GRP78 may represent a
key bio-marker of CVC and silencing GRP78 may strengthen the resistance against
cisplatin. GRP78 may be a potential molecular target for CVC therapies in future.
PMID- 29650945
TI - Impact of Regulatory T Cells on Innate Immune Cells in a Pre-Sensitized Heart
Transplant Model.
AB - BACKGROUND Although our previous studies revealed the role of Tregs (regulatory T
cells) and MDSCs (myeloid-derived suppressor cells) in a pre-sensitized cardiac
transplant model, interplay between Tregs and NK cells, neutrophils, and
macrophages remain undefined. MATERIAL AND METHODS Mice heart transplantation
with skin pre-sensitization was performed, in which prolonged-cold ischemia time
(PCI) was used for donor treatment. Syngeneic heterotopic heart transplant
recipients with PCI were treated with PC61 (monoclonal anti-CD25 antibodies),
adoptive cell transfer with Tregs, and rapamycin. RESULTS We unveiled that both
rapamycin treatment and adoptive transfer of Tregs could lead to a remarkable
decrease of frequency of splenic Gr1+ cells (P=0.058 and P=0.016, respectively).
Although administration of PC61 did not affect frequency of splenic Gr1+ cells,
it dramatically increased frequency of splenic F4/80+ macrophages (P=0.052).
Intriguingly, use of both exogenous PC61 and rapamycin induced a dramatic
augmentation of frequency of Gr-1+ neutrophils in the grafts (PC61: P=0.00029;
rapamycin: P=0.0096). Noticeably, all different regimens including PC61,
rapamycin, and adoptive transfer of Tregs, consistently resulted in a remarked
augmentation of frequency of F4/80+ macrophages within grafts (PC61, P=0.0013;
rapamycin, P=0.015; Tregs transfer, P=0.013). Although rapamycin and adoptive
transfer of Tregs did not affect frequency of NK1.1+ cells, administration of
PC61 dramatically increased frequency of NK1.1+ cells within grafts (P=0.033).
CONCLUSIONS Tregs depletion or Tregs induced by rapamycin or exogenous cell
transfer could affect frequencies of both splenic and intragraft neutrophils,
macrophages, and NK cells, but not splenic NK cells. Our data might shed light on
understanding sensitized transplant biology.
PMID- 29650946
TI - A Rare Case of Relapsed Pediatric Acute Promyelocytic Leukemia with Skin
Involvement by Myeloid Sarcoma.
AB - BACKGROUND Acute promyelocytic leukemia (APL) is a very rare leukemia in
children. Extramedullary involvement by APL has been reported in between 3-5% of
cases, mainly associated with cases of relapse. A rare case of relapse of APL in
a 9-year-old child is presented with skin involvement with myeloid sarcoma. CASE
REPORT A 9-year-old male child was admitted to the Oncology Service of the
hospital complaining of fever, progressive fatigue, oral petechiae with severe
bleeding in the oral cavity. Bone marrow examination showed some promyelocytes.
Flow cytometry showed 86% immature myeloid cells with the t(15;17) translocation,
and molecular analysis showed expression of the PML/RARa fusion protein, which
confirmed the diagnosis of APL. The patient completed a course of daunorubicin,
cytarabine, and AII trans-retinoic acid (ATRA) with complete remission. After six
months, the patient was re-admitted to hospital with a violaceous lesion on the
scalp, with relapse of APL. Histological and immunohistochemistry of the lesion
involving the skin of the scalp showed a myeloid sarcoma invading the dermis.
CONCLUSIONS Myeloid sarcoma, also called granulocytic sarcoma, is an
extramedullary tumor of immature myeloid cells, which very rarely presents in
children with APL. The mechanisms that lead to myeloid sarcoma in children with
APL and the possible association with ATRA therapy remain to be investigated.
PMID- 29650947
TI - Hsa-let-7c controls the committed differentiation of IGF-1-treated mesenchymal
stem cells derived from dental pulps by targeting IGF-1R via the MAPK pathways.
AB - The putative tumor suppressor microRNA let-7c is extensively associated with the
biological properties of cancer cells. However, the potential involvement of let
7c in the differentiation of mesenchymal stem cells has not been fully explored.
In this study, we investigated the influence of hsa-let-7c (let-7c) on the
proliferation and differentiation of human dental pulp-derived mesenchymal stem
cells (DPMSCs) treated with insulin-like growth factor 1 (IGF-1) via flow
cytometry, CCK-8 assays, alizarin red staining, real-time RT-PCR, and western
blotting. In general, the proliferative capabilities and cell viability of DPMSCs
were not significantly affected by the overexpression or deletion of let-7c.
However, overexpression of let-7c significantly inhibited the expression of IGF-1
receptor (IGF-1R) and downregulated the osteo/odontogenic differentiation of
DPMSCs, as indicated by decreased levels of several osteo/odontogenic markers
(osteocalcin, osterix, runt-related transcription factor 2, dentin
sialophosphoprotein, dentin sialoprotein, alkaline phosphatase, type 1 collagen,
and dentin matrix protein 1) in IGF-1-treated DPMSCs. Inversely, deletion of let
7c resulted in increased IGF-1R levels and enhanced osteo/odontogenic
differentiation. Furthermore, the ERK, JNK, and P38 MAPK pathways were
significantly inhibited following the overexpression of let-7c in DPMSCs.
Deletion of let-7c promoted the activation of the JNK and P38 MAPK pathways. Our
cumulative findings indicate that Let-7c can inhibit the osteo/odontogenic
differentiation of IGF-1-treated DPMSCs by targeting IGF-1R via the JNK/P38 MAPK
signaling pathways.
PMID- 29650948
TI - Pharmaceutical concentration using organic solvent forward osmosis for solvent
recovery.
AB - The organic solvent forward osmosis (OSFO) process can simultaneously concentrate
the active pharmaceutical ingredients (APIs) and recover the organic solvents.
Here we demonstrate and evaluate an OSFO process for solvent recovery. In this
demonstration, OSFO was conducted in different solvents with different draw
solutes. The OSFO process shows rejections >98% when recovering organic solvents
from different feed solutions, even when the feed concentration is as high as 20
wt%. More importantly, all systems exhibit relatively low ratios of reverse
solute flux to solvent flux, indicating that the adverse effects of using
hazardous draw solutions could be minimized. Nevertheless, the use of non
hazardous draw solutes such as citric acid is highly recommended to remove any
potential risk, and it has been demonstrated. Herein, the OSFO process is a
promising technology for solvent recovery as it possesses a reasonable solvent
flux, low reverse solute flux and requires no external pressure.
PMID- 29650950
TI - Interleukin-1 receptor antagonist-mediated neuroprotection by umbilical cord
derived mesenchymal stromal cells following transplantation into a rodent stroke
model.
AB - The human umbilical cord is a promising source of mesenchymal stromal cells
(MSCs). Intravenous administration of human umbilical cord-derived MSCs (IV
hUMSCs) showed a favorable effect in a rodent stroke model by a paracrine
mechanism. However, its underlying therapeutic mechanisms must be determined for
clinical application. We investigated the therapeutic effects and mechanisms of
our good manufacturing practice (GMP)-manufactured hUMSCs using various cell
doses and delivery time points in a rodent model of stroke. IV-hUMSCs at a dose
of 1 * 106 cells at 24 h after stroke improved functional deficits and reduced
neuronal damage by attenuation of post-ischemic inflammation. Transcriptome and
immunohistochemical analyses showed that interleukin-1 receptor antagonist (IL
1ra) was highly upregulated in ED-1-positive inflammatory cells in rats treated
with IV-hUMSCs. Treatment with conditioned medium of hUMSCs increased the
expression of IL-1ra in a macrophage cell line via activation of cAMP-response
element-binding protein (CREB). These results strongly suggest that the
attenuation of neuroinflammation mediated by endogenous IL-1ra is an important
therapeutic mechanism of IV-hUMSCs for the treatment of stroke.
PMID- 29650949
TI - Treating cat allergy with monoclonal IgG antibodies that bind allergen and
prevent IgE engagement.
AB - Acute allergic symptoms are caused by allergen-induced crosslinking of allergen
specific immunoglobulin E (IgE) bound to Fc-epsilon receptors on effector cells.
Desensitization with allergen-specific immunotherapy (SIT) has been used for over
a century, but the dominant protective mechanism remains unclear. One consistent
observation is increased allergen-specific IgG, thought to competitively block
allergen binding to IgE. Here we show that the blocking potency of the IgG
response to Cat-SIT is heterogeneous. Next, using two potent, pre-selected
allergen-blocking monoclonal IgG antibodies against the immunodominant cat
allergen Fel d 1, we demonstrate that increasing the IgG/IgE ratio reduces the
allergic response in mice and in cat-allergic patients: a single dose of blocking
IgG reduces clinical symptoms in response to nasal provocation (ANCOVA, p =
0.0003), with a magnitude observed at day 8 similar to that reported with years
of conventional SIT. This study suggests that simply augmenting the blocking
IgG/IgE ratio may reverse allergy.
PMID- 29650951
TI - Immiscible hydrous Fe-Ca-P melt and the origin of iron oxide-apatite ore
deposits.
AB - The origin of iron oxide-apatite deposits is controversial. Silicate liquid
immiscibility and separation of an iron-rich melt has been invoked, but Fe-Ca-P
rich and Si-poor melts similar in composition to the ore have never been observed
in natural or synthetic magmatic systems. Here we report experiments on
intermediate magmas that develop liquid immiscibility at 100 MPa, 1000-1040
degrees C, and oxygen fugacity conditions (fO2) of ?FMQ = 0.5-3.3 (FMQ = fayalite
magnetite-quartz equilibrium). Some of the immiscible melts are highly enriched
in iron and phosphorous +/- calcium, and strongly depleted in silicon (<5 wt.%
SiO2). These Si-poor melts are in equilibrium with a rhyolitic conjugate and are
produced under oxidized conditions (~FMQ + 3.3), high water activity (aH2O >=
0.7), and in fluorine-bearing systems (1 wt.%). Our results show that increasing
aH2O and fO2 enlarges the two-liquid field thus allowing the Fe-Ca-P melt to
separate easily from host silicic magma and produce iron oxide-apatite ores.
PMID- 29650952
TI - Progress and challenges towards targeted delivery of cancer therapeutics.
AB - Targeted delivery approaches for cancer therapeutics have shown a steep rise over
the past few decades. However, compared to the plethora of successful pre
clinical studies, only 15 passively targeted nanocarriers (NCs) have been
approved for clinical use and none of the actively targeted NCs have advanced
past clinical trials. Herein, we review the principles behind targeted delivery
approaches to determine potential reasons for their limited clinical translation
and success. We propose criteria and considerations that must be taken into
account for the development of novel actively targeted NCs. We also highlight the
possible directions for the development of successful tumor targeting strategies.
PMID- 29650954
TI - Publisher Correction: Reversible chromism of spiropyran in the cavity of a
flexible coordination cage.
AB - The original version of this Article contained an error in Fig. 4c, in which the
right-most chemical structure included an 'N+' rather than an 'N'. This has been
corrected in both the PDF and HTML versions of the Article.
PMID- 29650953
TI - HSP27 is a partner of JAK2-STAT5 and a potential therapeutic target in
myelofibrosis.
AB - Heat shock protein 27 (HSP27/HSPB1) is a stress-inducible chaperone that
facilitates cancer development by its proliferative and anti-apoptotic functions.
The OGX-427 antisense oligonucleotide against HSP27 has been reported to be
beneficial against idiopathic pulmonary fibrosis. Here we show that OGX-427 is
effective in two murine models of thrombopoietin- and JAKV617F-induced
myelofibrosis. OGX-427 limits disease progression and is associated with a
reduction in spleen weight, in megakaryocyte expansion and, for the JAKV617F
model, in fibrosis. HSP27 regulates the proliferation of JAK2V617F-positive cells
and interacts directly with JAK2/STAT5. We also show that its expression is
increased in both CD34+ circulating progenitors and in the serum of patients with
JAK2-dependent myeloproliferative neoplasms with fibrosis. Our data suggest that
HSP27 plays a key role in the pathophysiology of myelofibrosis and represents a
new potential therapeutic target for patients with myeloproliferative neoplasms.
PMID- 29650955
TI - Autonomous robotic searching and assembly of two-dimensional crystals to build
van der Waals superlattices.
AB - Van der Waals heterostructures are comprised of stacked atomically thin two
dimensional crystals and serve as novel materials providing unprecedented
properties. However, the random natures in positions and shapes of exfoliated two
dimensional crystals have required the repetitive manual tasks of optical
microscopy-based searching and mechanical transferring, thereby severely limiting
the complexity of heterostructures. To solve the problem, here we develop a
robotic system that searches exfoliated two-dimensional crystals and assembles
them into superlattices inside the glovebox. The system can autonomously detect
400 monolayer graphene flakes per hour with a small error rate (<7%) and stack
four cycles of the designated two-dimensional crystals per hour with few minutes
of human intervention for each stack cycle. The system enabled fabrication of the
superlattice consisting of 29 alternating layers of the graphene and the
hexagonal boron nitride. This capacity provides a scalable approach for
prototyping a variety of van der Waals superlattices.
PMID- 29650956
TI - High-sensitivity ion detection at low voltages with current-driven organic
electrochemical transistors.
AB - Ions dissolved in aqueous media play a fundamental role in plants, animals, and
humans. Therefore, the in situ quantification of the ion concentration in aqueous
media is gathering relevant interest in several fields including biomedical
diagnostics, environmental monitoring, healthcare products, water and food test
and control, agriculture industry and security. The fundamental limitation of the
state-of-art transistor-based approaches is the intrinsic trade-off between
sensitivity, ion concentration range and operating voltage. Here we show a
current-driven configuration based on organic electrochemical transistors that
overcomes this fundamental limit. The measured ion sensitivity exceeds by one
order of magnitude the Nernst limit at an operating voltage of few hundred
millivolts. The ion sensitivity normalized to the supply voltage is larger than
1200 mV V-1 dec-1, which is the largest value ever reported for ion-sensitive
transistors. The proposed approach is general and can be extended to any
transistor technology, thus opening opportunities for high-performance
bioelectronics.
PMID- 29650957
TI - Two-dimensional materials in functional three-dimensional architectures with
applications in photodetection and imaging.
AB - Efficient and highly functional three-dimensional systems that are ubiquitous in
biology suggest that similar design architectures could be useful in electronic
and optoelectronic technologies, extending their levels of functionality beyond
those achievable with traditional, planar two-dimensional platforms. Complex
three-dimensional structures inspired by origami, kirigami have promise as routes
for two-dimensional to three-dimensional transformation, but current examples
lack the necessary combination of functional materials, mechanics designs, system
level architectures, and integration capabilities for practical devices with
unique operational features. Here, we show that two-dimensional
semiconductor/semi-metal materials can play critical roles in this context,
through demonstrations of complex, mechanically assembled three-dimensional
systems for light-imaging capabilities that can encompass measurements of the
direction, intensity and angular divergence properties of incident light.
Specifically, the mechanics of graphene and MoS2, together with strategically
configured supporting polymer films, can yield arrays of photodetectors in
distinct, engineered three-dimensional geometries, including octagonal prisms,
octagonal prismoids, and hemispherical domes.
PMID- 29650958
TI - Phosphatase activity tunes two-component system sensor detection threshold.
AB - Two-component systems (TCSs) are the largest family of multi-step signal
transduction pathways in biology, and a major source of sensors for
biotechnology. However, the input concentrations to which biosensors respond are
often mismatched with application requirements. Here, we utilize a mathematical
model to show that TCS detection thresholds increase with the phosphatase
activity of the sensor histidine kinase. We experimentally validate this result
in engineered Bacillus subtilis nitrate and E. coli aspartate TCS sensors by
tuning their detection threshold up to two orders of magnitude. We go on to apply
our TCS tuning method to recently described tetrathionate and thiosulfate sensors
by mutating a widely conserved residue previously shown to impact phosphatase
activity. Finally, we apply TCS tuning to engineer B. subtilis to sense and
report a wide range of fertilizer concentrations in soil. This work will enable
the engineering of tailor-made biosensors for diverse synthetic biology
applications.
PMID- 29650959
TI - In vivo guiding nitrogen-doped carbon nanozyme for tumor catalytic therapy.
AB - Nanomaterials with intrinsic enzyme-like activities (nanozymes), have been widely
used as artificial enzymes in biomedicine. However, how to control their in vivo
performance in a target cell is still challenging. Here we report a strategy to
coordinate nanozymes to target tumor cells and selectively perform their activity
to destruct tumors. We develop a nanozyme using nitrogen-doped porous carbon
nanospheres which possess four enzyme-like activities (oxidase, peroxidase,
catalase and superoxide dismutase) responsible for reactive oxygen species
regulation. We then introduce ferritin to guide nitrogen-doped porous carbon
nanospheres into lysosomes and boost reactive oxygen species generation in a
tumor-specific manner, resulting in significant tumor regression in human tumor
xenograft mice models. Together, our study provides evidence that nitrogen-doped
porous carbon nanospheres are powerful nanozymes capable of regulating
intracellular reactive oxygen species, and ferritinylation is a promising
strategy to render nanozymes to target tumor cells for in vivo tumor catalytic
therapy.
PMID- 29650960
TI - Two-dimensional electronic transport and surface electron accumulation in MoS2.
AB - Because the surface-to-volume ratio of quasi-two-dimensional materials is
extremely high, understanding their surface characteristics is crucial for
practically controlling their intrinsic properties and fabricating p-type and n
type layered semiconductors. Van der Waals crystals are expected to have an inert
surface because of the absence of dangling bonds. However, here we show that the
surface of high-quality synthesized molybdenum disulfide (MoS2) is a major n
doping source. The surface electron concentration of MoS2 is nearly four orders
of magnitude higher than that of its inner bulk. Substantial thickness-dependent
conductivity in MoS2 nanoflakes was observed. The transfer length method
suggested the current transport in MoS2 following a two-dimensional behavior
rather than the conventional three-dimensional mode. Scanning tunneling
microscopy and angle-resolved photoemission spectroscopy measurements confirmed
the presence of surface electron accumulation in this layered material. Notably,
the in situ-cleaved surface exhibited a nearly intrinsic state without electron
accumulation.
PMID- 29650962
TI - Vascular endothelial growth factor mediates the therapeutic efficacy of
mesenchymal stem cell-derived extracellular vesicles against neonatal hyperoxic
lung injury.
AB - We previously reported the role of vascular endothelial growth factor (VEGF)
secreted by mesenchymal stem cells (MSCs) in protecting against neonatal
hyperoxic lung injuries. Recently, the paracrine protective effect of MSCs was
reported to be primarily mediated by extracellular vesicle (EV) secretion.
However, the therapeutic efficacy of MSC-derived EVs and the role of the VEGF
contained within EVs in neonatal hyperoxic lung injury have not been elucidated.
The aim of the study was to determine whether MSC-derived EVs attenuate neonatal
hyperoxic lung injury and, if so, whether this protection is mediated via the
transfer of VEGF. We compared the therapeutic efficacy of MSCs, MSC-derived EVs
with or without VEGF knockdown, and fibroblast-derived EVs in vitro with a rat
lung epithelial cell line challenged with H2O2 and in vivo with newborn Sprague
Dawley rats exposed to hyperoxia (90%) for 14 days. MSCs (1 * 105 cells) or EVs
(20 ug) were administered intratracheally on postnatal day 5. The MSCs and MSC
derived EVs, but not the EVs derived from VEGF-knockdown MSCs or fibroblasts,
attenuated the in vitro H2O2-induced L2 cell death and the in vivo hyperoxic lung
injuries, such as impaired alveolarization and angiogenesis, increased cell
death, and activated macrophages and proinflammatory cytokines. PKH67-stained EVs
were internalized into vascular pericytes (22.7%), macrophages (21.3%), type 2
epithelial cells (19.5%), and fibroblasts (4.4%) but not into vascular
endothelial cells. MSC-derived EVs are as effective as parental MSCs for
attenuating neonatal hyperoxic lung injuries, and this protection was mediated
primarily by the transfer of VEGF.
PMID- 29650963
TI - Epigenetic control of IL-23 expression in keratinocytes is important for chronic
skin inflammation.
AB - The chronic skin inflammation psoriasis is crucially dependent on the IL-23/IL-17
cytokine axis. Although IL-23 is expressed by psoriatic keratinocytes and immune
cells, only the immune cell-derived IL-23 is believed to be disease relevant.
Here we use a genetic mouse model to show that keratinocyte-produced IL-23 is
sufficient to cause a chronic skin inflammation with an IL-17 profile.
Furthermore, we reveal a cell-autonomous nuclear function for the actin
polymerizing molecule N-WASP, which controls IL-23 expression in keratinocytes by
regulating the degradation of the histone methyltransferases G9a and GLP, and
H3K9 dimethylation of the IL-23 promoter. This mechanism mediates the induction
of IL-23 by TNF, a known inducer of IL-23 in psoriasis. Finally, in keratinocytes
of psoriatic lesions a decrease in H3K9 dimethylation correlates with increased
IL-23 expression, suggesting relevance for disease. Taken together, our data
describe a molecular pathway where epigenetic regulation of keratinocytes can
contribute to chronic skin inflammation.
PMID- 29650961
TI - Identification of rare sequence variation underlying heritable pulmonary arterial
hypertension.
AB - Pulmonary arterial hypertension (PAH) is a rare disorder with a poor prognosis.
Deleterious variation within components of the transforming growth factor-beta
pathway, particularly the bone morphogenetic protein type 2 receptor (BMPR2),
underlies most heritable forms of PAH. To identify the missing heritability we
perform whole-genome sequencing in 1038 PAH index cases and 6385 PAH-negative
control subjects. Case-control analyses reveal significant overrepresentation of
rare variants in ATP13A3, AQP1 and SOX17, and provide independent validation of a
critical role for GDF2 in PAH. We demonstrate familial segregation of mutations
in SOX17 and AQP1 with PAH. Mutations in GDF2, encoding a BMPR2 ligand, lead to
reduced secretion from transfected cells. In addition, we identify pathogenic
mutations in the majority of previously reported PAH genes, and provide evidence
for further putative genes. Taken together these findings contribute new insights
into the molecular basis of PAH and indicate unexplored pathways for therapeutic
intervention.
PMID- 29650964
TI - TSPAN15 interacts with BTRC to promote oesophageal squamous cell carcinoma
metastasis via activating NF-kappaB signaling.
AB - Beta-transducin repeat containing E3 ubiquitin protein ligase (BTRC) is crucial
for the degradation of IkappaBalpha. Our previous transcriptome sequencing
analysis revealed that tetraspanin 15 (TSPAN15) was significantly upregulated in
clinical oesophageal squamous cell carcinoma (OSCC) tissues. Here, we show that
high TSPAN15 expression in OSCC tissues is significantly associated with lymph
node and distant metastasis, advanced clinical stage, and poor prognosis.
Elevated TSPAN15 expression is, in part, caused by the reduction of miR-339-5p.
Functional studies demonstrate that TSPAN15 promotes metastatic capabilities of
OSCC cells. We further show that TSPAN15 specifically interacts with BTRC to
promote the ubiquitination and proteasomal degradation of p-IkappaBalpha, and
thereby triggers NF-kappaB nuclear translocation and subsequent activation of
transcription of several metastasis-related genes, including ICAM1, VCAM1, uPA,
MMP9, TNFalpha, and CCL2. Collectively, our findings indicate that TSPAN15 may
serve as a new biomarker and/or provide a novel therapeutic target to OSCC
patients.
PMID- 29650965
TI - A novel autophagy enhancer as a therapeutic agent against metabolic syndrome and
diabetes.
AB - Autophagy is a critical regulator of cellular homeostasis, dysregulation of which
is associated with diverse diseases. Here we show therapeutic effects of a novel
autophagy enhancer identified by high-throughput screening of a chemical library
against metabolic syndrome. An autophagy enhancer increases LC3-I to LC3-II
conversion without mTOR inhibition. MSL, an autophagy enhancer, activates
calcineurin, and induces dephosphorylation/nuclear translocation of transcription
factor EB (TFEB), a master regulator of lysosomal biogenesis and autophagy gene
expression. MSL accelerates intracellular lipid clearance, which is reversed by
lalistat 2 or Tfeb knockout. Its administration improves the metabolic profile of
ob/ob mice and ameliorates inflammasome activation. A chemically modified MSL
with increased microsomal stability improves the glucose profile not only of
ob/ob mice but also of mice with diet-induced obesity. Our data indicate that our
novel autophagy enhancer could be a new drug candidate for diabetes or metabolic
syndrome with lipid overload.
PMID- 29650966
TI - Understanding the adsorption process in ZIF-8 using high pressure crystallography
and computational modelling.
AB - Some porous crystalline solids change their structure upon guest inclusion.
Unlocking the potential of these solids for a wide variety of applications
requires full characterisation of the response to adsorption and the underlying
framework-guest interactions. Here, we introduce an approach to understanding gas
uptake in porous metal-organic frameworks (MOFs) by loading liquefied gases at
GPa pressures inside the Zn-based framework ZIF-8. An integrated experimental and
computational study using high-pressure crystallography, grand canonical Monte
Carlo (GCMC) and periodic DFT simulations has revealed six symmetry-independent
adsorption sites within the framework and a transition to a high-pressure phase.
The cryogenic high-pressure loading method offers a different approach to
obtaining atomistic detail on guest molecules. The GCMC simulations provide
information on interaction energies of the adsorption sites allowing to classify
the sites by energy. DFT calculations reveal the energy barrier of the transition
to the high-pressure phase. This combination of techniques provides a holistic
approach to understanding both structural and energetic changes upon adsorption
in MOFs.
PMID- 29650968
TI - Electrical and optical properties of epitaxial binary and ternary GeTe-Sb2Te3
alloys.
AB - Phase change materials such as pseudobinary GeTe-Sb2Te3 (GST) alloys are an
essential part of existing and emerging technologies. Here, we investigate the
electrical and optical properties of epitaxial phase change materials: alpha
GeTe, Ge2Sb2Te5 (GST225), and Sb2Te3. Temperature-dependent Hall measurements
reveal a reduction of the hole concentration with increasing temperature in
Sb2Te3 that is attributed to lattice expansion, resulting in a non-linear
increase of the resistivity that is also observed in GST225. Fourier transform
infrared spectroscopy at room temperature demonstrates the presence of electronic
states within the energy gap for alpha-GeTe and GST225. We conclude that these
electronic states are due to vacancy clusters inside these two materials. The
obtained results shed new light on the fundamental properties of phase change
materials such as the high dielectric constant and persistent photoconductivity
and have the potential to be included in device simulations.
PMID- 29650967
TI - Rice auxin influx carrier OsAUX1 facilitates root hair elongation in response to
low external phosphate.
AB - Root traits such as root angle and hair length influence resource acquisition
particularly for immobile nutrients like phosphorus (P). Here, we attempted to
modify root angle in rice by disrupting the OsAUX1 auxin influx transporter gene
in an effort to improve rice P acquisition efficiency. We show by X-ray microCT
imaging that root angle is altered in the osaux1 mutant, causing preferential
foraging in the top soil where P normally accumulates, yet surprisingly, P
acquisition efficiency does not improve. Through closer investigation, we reveal
that OsAUX1 also promotes root hair elongation in response to P limitation.
Reporter studies reveal that auxin response increases in the root hair zone in
low P environments. We demonstrate that OsAUX1 functions to mobilize auxin from
the root apex to the differentiation zone where this signal promotes hair
elongation when roots encounter low external P. We conclude that auxin and OsAUX1
play key roles in promoting root foraging for P in rice.
PMID- 29650969
TI - AKAPs-PKA disruptors increase AQP2 activity independently of vasopressin in a
model of nephrogenic diabetes insipidus.
AB - Congenital nephrogenic diabetes insipidus (NDI) is characterized by the inability
of the kidney to concentrate urine. Congenital NDI is mainly caused by loss-of
function mutations in the vasopressin type 2 receptor (V2R), leading to impaired
aquaporin-2 (AQP2) water channel activity. So far, treatment options of
congenital NDI either by rescuing mutant V2R with chemical chaperones or by
elevating cyclic adenosine monophosphate (cAMP) levels have failed to yield
effective therapies. Here we show that inhibition of A-kinase anchoring proteins
(AKAPs) binding to PKA increases PKA activity and activates AQP2 channels in
cortical collecting duct cells. In vivo, the low molecular weight compound 3,3'
diamino-4,4'-dihydroxydiphenylmethane (FMP-API-1) and its derivatives increase
AQP2 activity to the same extent as vasopressin, and increase urine osmolality in
the context of V2R inhibition. We therefore suggest that FMP-API-1 may constitute
a promising lead compound for the treatment of congenital NDI caused by V2R
mutations.
PMID- 29650970
TI - Crosstalk between gut microbiota and Sirtuin-3 in colonic inflammation and
tumorigenesis.
AB - Colorectal cancer (CRC) is a disease involving a variety of genetic and
environmental factors. Sirtuin-3 (Sirt3) is expressed at a low level in cancer
tissues of CRC, but it is unclear how Sirt3 modulates colonic tumorigenesis. In
this study, we found that gut microbiota play a central role in the resistance to
CRC tumor formation in wild-type (WT) mice through APC (Adenomatous Polyposis
Coli)-mutant mouse microbiota transfer via Wnt signaling. We also found that
Sirt3-deficient mice were hypersusceptible to colonic inflammation and tumor
development through altered intestinal integrity and p38 signaling, respectively.
Furthermore, susceptibility to colorectal tumorigenesis was aggravated by initial
commensal microbiota deletion via Wnt signaling. Mice with Sirt3-deficient
microbiota transfer followed by chemically induced colon tumorigenesis had low
Sirt3 expression compared to WT control microbiome transfer, mainly due to a
decrease in Escherichia/Shigella, as well as an increase in Lactobacillus reuteri
and Lactobacillus taiwanensis. Collectively, our data revealed that Sirt3 is an
anti-inflammatory and tumor-suppressing gene that interacts with the gut
microbiota during colon tumorigenesis.
PMID- 29650971
TI - Hydrogen sulfide inhibits epithelial-mesenchymal transition in peritoneal
mesothelial cells.
AB - Peritoneal fibrosis (PS) determines the long-term outcome of peritoneal dialysis
(PD). We previous confirmed that hydrogen sulfide (H2S) inhibited PS, but its
cellular mechanism was not fully elucidated. Epithelial-mesenchymal transition
(EMT) of mesothelial cells (MCs) is an important cellular event of PS, we
therefore investigated whether EMT can be affected by H2S in MCs. Rats were
treated with 4.25% -glucose PD fluids plus lipopolysaccharide for 28 days to
produce PS, and NaHS (56 MUg/kg.d) was given simultaneously. NaHS (56 MUg/kg.d)
reduced the deposition of collagen in the submesothelial zone compared with the
PS group. In primarily cultured rat MCs, 4.25% -glucose PD fluid induced EMT in
MCs featured as loss of ZO-1 and Cytokeratin, and increase of alpha-SMA,
plasminogen activator inhibitor 1, fibronectin and TGF-beta1 proteins. PD fluid
also increased IL-6 and monocyte chemotactic protein-1 mRNA expressions as well
as the phosphorylation of Smad2/3 and Smad3. NaHS (50-300 MUmol/L) reversed the
above alterations with the optimal dose at 100 MUmol/L. Thus, exogenous H2S
improves PS by inhibiting EMT in MCs. The anti-EMT effect of H2S is associated
with the inhibition of inflammation and TGF-beta1-Smad signal pathway.
PMID- 29650972
TI - Intentional communication between wild bonnet macaques and humans.
AB - Comparative studies of nonhuman communication systems could provide insights into
the origins and evolution of a distinct dimension of human language:
intentionality. Recent studies have provided evidence for intentional
communication in different species but generally in captive settings. We report
here a novel behaviour of food requesting from humans displayed by wild bonnet
macaques Macaca radiata, an Old World cercopithecine primate, in the Bandipur
National Park of southern India. Using both natural observations and field
experiments, we examined four different behavioural components-coo-calls, hand
extension gesture, orientation, and monitoring behaviour-of food requesting for
their conformity with the established criteria of intentional communication. Our
results suggest that food requesting by bonnet macaques is potentially an
intentionally produced behavioural strategy as all the food requesting behaviours
except coo-calls qualify the criteria for intentionality. We comment on plausible
hypotheses for the origin and spread of this novel behavioural strategy in the
study macaque population and speculate that the cognitive precursors for language
production may be manifest in the usage of combination of signals of different
modalities in communication, which could have emerged in simians earlier than in
the anthropoid apes.
PMID- 29650973
TI - Author Correction: Striking diflubenzuron resistance in Culex pipiens, the prime
vector of West Nile Virus.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29650974
TI - Publisher Correction: Predictors of chronic kidney disease in type 1 diabetes: a
longitudinal study from the AMD Annals initiative.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29650975
TI - Author Correction: Remodeling of the Actin/Spectrin Membrane-associated Periodic
Skeleton, Growth Cone Collapse and F-Actin Decrease during Axonal Degeneration.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29650976
TI - Wnt signal activation induces midbrain specification through direct binding of
the beta-catenin/TCF4 complex to the EN1 promoter in human pluripotent stem
cells.
AB - The canonical Wnt signal pathway plays a pivotal role in anteroposterior
patterning and midbrain specification during early neurogenesis. Activating Wnt
signal has been a strategy for differentiating human pluripotent stem cells
(PSCs) into midbrain dopaminergic (DA) neurons; however, the underlying molecular
mechanism(s) of how the Wnt signal drives posterior fate remained unclear. In
this study, we found that activating the canonical Wnt signal significantly
upregulated the expression of EN1, a midbrain-specific marker, in a fibroblast
growth factor signal-dependent manner in human PSC-derived neural precursor cells
(NPCs). The EN1 promoter region contains a putative TCF4-binding site that
directly interacts with the beta-catenin/TCF complex upon Wnt signal activation.
Once differentiated, NPCs treated with a Wnt signal agonist gave rise to
functional midbrain neurons including glutamatergic, GABAergic, and DA neurons.
Our results provide a potential molecular mechanism that underlies midbrain
specification of human PSC-derived NPCs by Wnt activation, as well as a
differentiation paradigm for generating human midbrain neurons that may serve as
a cellular platform for studying the ontogenesis of midbrain neurons and
neurological diseases relevant to the midbrain.
PMID- 29650977
TI - Deterministic realization of collective measurements via photonic quantum walks.
AB - Collective measurements on identically prepared quantum systems can extract more
information than local measurements, thereby enhancing information-processing
efficiency. Although this nonclassical phenomenon has been known for two decades,
it has remained a challenging task to demonstrate the advantage of collective
measurements in experiments. Here, we introduce a general recipe for performing
deterministic collective measurements on two identically prepared qubits based on
quantum walks. Using photonic quantum walks, we realize experimentally an
optimized collective measurement with fidelity 0.9946 without post selection. As
an application, we achieve the highest tomographic efficiency in qubit state
tomography to date. Our work offers an effective recipe for beating the precision
limit of local measurements in quantum state tomography and metrology. In
addition, our study opens an avenue for harvesting the power of collective
measurements in quantum information-processing and for exploring the intriguing
physics behind this power.
PMID- 29650978
TI - Percutaneous Closure of Left Atrial Appendage significantly affects Lipidome
Metabolism.
AB - Patients with non-valvular atrial fibrillation (AF) and a high risk for oral
anticoagulation can be treated by percutaneous implantation of left atrial
appendage occlusion devices (LAAC) to reduce the risk of cardio-embolic stroke.
This study evaluates whether LAAC may influence lipid metabolism, which has never
been investigated before. Patients with successful LAAC were included
consecutively. Venous peripheral blood samples of patients were collected
immediately before (T0, baseline) and 6 months after (T1, mid-term) LAAC. A
targeted metabolomics approach based on electrospray ionization liquid
chromatography-mass spectrometry (ESI-LC-MS/MS) and MS/MS measurements was
performed. A total of 34 lipids revealed a significant change from baseline to
mid-term follow-up after successful LAAC. Subgroup analysis revealed confounding
influence by gender, age, diabetes mellitus type II, body mass index, left
ventricular ejection fraction, creatinine and NT-proBNP. After multivariable
adjustment within logistic regression models, these 34 lipids were still
significantly altered after LAAC. Successful percutaneous LAAC may affect lipid
metabolism and thereby may potentially affect pro-atherogenic and cardio-toxic
effects.
PMID- 29650979
TI - Comparative analysis of naive, primed and ground state pluripotency in mouse
embryonic stem cells originating from the same genetic background.
AB - Mouse embryonic stem cells (mESCs) exist in a naive, primed and ground state of
pluripotency. While comparative analyses of these pluripotency states have been
reported, the mESCs utilized originated from various genetic backgrounds and were
derived in different laboratories. mESC derivation in conventional LIF + serum
culture conditions is strain dependent, with different genetic backgrounds
potentially affecting subsequent stem cell characteristics. In the present study,
we performed a comprehensive characterization of naive, primed and ground state
mESCs originating from the same genetic background within our laboratory, by
comparing their transcriptional profiles. We showed unique transcriptional
profiles for naive, primed and ground state mESCs. While naive and ground state
mESCs have more similar but not identical profiles, primed state mESCs show a
very distinct profile. We further demonstrate that the differentiation propensity
of mESCs to specific germ layers is highly dependent on their respective state of
pluripotency.
PMID- 29650980
TI - Protein docking refinement by convex underestimation in the low-dimensional
subspace of encounter complexes.
AB - We propose a novel stochastic global optimization algorithm with applications to
the refinement stage of protein docking prediction methods. Our approach can
process conformations sampled from multiple clusters, each roughly corresponding
to a different binding energy funnel. These clusters are obtained using a density
based clustering method. In each cluster, we identify a smooth "permissive"
subspace which avoids high-energy barriers and then underestimate the binding
energy function using general convex polynomials in this subspace. We use the
underestimator to bias sampling towards its global minimum. Sampling and subspace
underestimation are repeated several times and the conformations sampled at the
last iteration form a refined ensemble. We report computational results on a
comprehensive benchmark of 224 protein complexes, establishing that our refined
ensemble significantly improves the quality of the conformations of the original
set given to the algorithm. We also devise a method to enhance the ensemble from
which near-native models are selected.
PMID- 29650981
TI - Optical characterization of surface adlayers and their compositional demixing at
the nanoscale.
AB - Under ambient conditions, the behavior of a solid surface is often dominated by a
molecularly thin adsorbed layer (adlayer) of small molecules. Here we develop an
optical approach to unveil the nanoscale structure and composition of small
molecule adlayers on glass surfaces through spectrally resolved super-resolution
microscopy. By recording the images and emission spectra of millions of
individual solvatochromic molecules that turn fluorescent in the adlayer phase,
we obtain ~30 nm spatial resolution and achieve concurrent measurement of local
polarity. This allows us to establish that the adlayer dimensionality gradually
increases through a sequence of 0D (nanodroplets), 1D (nano-lines), and 2D
(films) for liquids of increasing polarity. Moreover, we find that in adlayers, a
solution of two miscible liquids spontaneously demixes into nanodroplets of
different compositions that correlate strongly with droplet size and location. We
thus reveal unexpectedly rich structural and compositional behaviors of surface
adlayers at the nanoscale.
PMID- 29650982
TI - A Hyphenated Preconcentrator-Infrared-Hollow-Waveguide Sensor System for N2O
Sensing.
AB - Following the Kyoto protocol, all signatory countries must provide an annual
inventory of greenhouse-gas emission including N2O. This fact associated with the
wide variety of sources for N2O emissions requires appropriate sensor
technologies facilitating in-situ monitoring, compact dimensions, ease of
operation, and sufficient sensitivity for addressing such emission scenarios. In
this contribution, we therefore describe an innovative portable mid-infrared
chemical sensor system for quantifying gaseous N2O via coupling a substrate
integrated hollow waveguide (iHWG) simultaneously serving as highly miniaturized
mid-infrared photon conduit and gas cell to a custom-made preconcentrator. N2O
was collected onto a solid sorbent material packed into the preconcentrator unit,
and then released via thermal desorption into the iHWG-MIR sensor utilizing a
compact Fourier transform infrared (FTIR) spectrometer for molecularly selective
spectroscopic detection with a limit of detection (LOD) at 5 ppbv. Highlighting
the device flexibility in terms of sampling time, flow-rate, and iHWG design
facilitates tailoring the developed preconcentrator-iHWG device towards a wide
variety of application scenarios ranging from soil and aquatic emission
monitoring and drone- or unmanned aerial vehicle (UAV)-mounted monitoring systems
to clinical/medical analysis scenarios.
PMID- 29650983
TI - Myotube elasticity of an amyotrophic lateral sclerosis mouse model.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease that
affects the motor system leading to generalized paralysis and death of patients.
The understanding of early pathogenic mechanisms will help to define early
diagnostics criteria that will eventually provide basis for efficient
therapeutics. Early symptoms of ALS usually include muscle weakness or stiffness.
Therefore, mechanical response of differentiated myotubes from primary cultures
of mice, expressing the ALS-causing SOD1 G93A mutation, was examined by atomic
force microscopy. Simultaneous acquisition of topography and cell elasticity of
ALS myotubes was performed by force mapping method, compared with healthy
myotubes and supplemented with immunofluorescence and qRT-PCR studies. Wild type
myotubes reveal a significant difference in elasticity between a narrow and a
wide population, consistent with maturation occurring with higher actin
expression relative to myosin together with larger myotube width. However, this
is not true for SOD1 G93A expressing myotubes, where a significant shift of thin
population towards higher elastic modulus values was observed. We provide
evidence that SOD1 mutant induces structural changes that occurs very early in
muscle development and well before symptomatic stage of the disease. These
findings could significantly contribute to the understanding of the role of
skeletal muscle in ALS pathogenesis.
PMID- 29650984
TI - Hidden complexity in the ontogeny of sexual size dimorphism in male-larger
beetles.
AB - Sexual size dimorphism (SSD) is widespread among animals, but its developmental
mechanisms are not fully undestood. We investigated the proximate causes of SSD
in three male-larger and one monomorphic scarab beetles using detailed monitoring
of growth in individual instars. Apart from the finding that SSD in all three
male-larger species started to develop already in the first larval instar, we
generally found a high variability in SSD formation among the species as well as
among instars. Overall, sexual differences in developmental time, average growth
rate, as well as in the shape of the growth trajectory seem to be the mechanisms
responsible for SSD ontogeny in scarab beetles. In the third instar, when the
larvae attain most of their mass, the males had a similar or even lower
instantaneous growth rate than females and SSD largely developed as a consequence
of a longer period of rapid growth in males even in cases when the sexes did not
differ in the total duration of this instar. Our results demonstrate that a
detailed approach, examining not only the average growth rate and developmental
time, but also the shape of the growth trajectory, is necessary to elucidate the
complex development of SSD.
PMID- 29650985
TI - Publisher Correction: Controlled dynamic screening of excitonic complexes in 2D
semiconductors.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29650986
TI - Author Correction: Diphenyleneiodonium chloride (DPIC) displays broad-spectrum
bactericidal activity.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29650987
TI - Characterization and non-parametric modeling of the developing serum proteome
during infancy and early childhood.
AB - Children develop rapidly during the first years of life, and understanding the
sources and associated levels of variation in the serum proteome is important
when using serum proteins as markers for childhood diseases. The aim of this
study was to establish a reference model for the evolution of a healthy serum
proteome during early childhood. Label-free quantitative proteomics analyses were
performed for 103 longitudinal serum samples collected from 15 children at birth
and between the ages of 3-36 months. A flexible Gaussian process-based
probabilistic modelling framework was developed to evaluate the effects of
different variables, including age, living environment and individual variation,
on the longitudinal expression profiles of 266 reliably identified and quantified
serum proteins. Age was the most dominant factor influencing approximately half
of the studied proteins, and the most prominent age-associated changes were
observed already during the first year of life. High inter-individual variability
was also observed for multiple proteins. These data provide important details on
the maturing serum proteome during early life, and evaluate how patterns detected
in cord blood are conserved in the first years of life. Additionally, our novel
modelling approach provides a statistical framework to detect associations
between covariates and non-linear time series data.
PMID- 29650988
TI - The C-terminal HRET sequence of Kv1.3 regulates gating rather than targeting of
Kv1.3 to the plasma membrane.
AB - Kv1.3 channels are expressed in several cell types including immune cells, such
as T lymphocytes. The targeting of Kv1.3 to the plasma membrane is essential for
T cell clonal expansion and assumed to be guided by the C-terminus of the
channel. Using two point mutants of Kv1.3 with remarkably different features
compared to the wild-type Kv1.3 (A413V and H399K having fast inactivation
kinetics and tetraethylammonium-insensitivity, respectively) we showed that both
Kv1.3 channel variants target to the membrane when the C-terminus was truncated
right after the conserved HRET sequence and produce currents identical to those
with a full-length C-terminus. The truncation before the HRET sequence (NOHRET
channels) resulted in reduced membrane-targeting but non-functional phenotypes.
NOHRET channels did not display gating currents, and coexpression with wild-type
Kv1.3 did not rescue the NOHRET-A413V phenotype, no heteromeric current was
observed. Interestingly, mutants of wild-type Kv1.3 lacking HRET(E) (deletion) or
substituted with five alanines for the HRET(E) motif expressed current
indistinguishable from the wild-type. These results demonstrate that the C
terminal region of Kv1.3 immediately proximal to the S6 helix is required for the
activation gating and conduction, whereas the presence of the distal region of
the C-terminus is not exclusively required for trafficking of Kv1.3 to the plasma
membrane.
PMID- 29650989
TI - Quantization of geometric phase with integer and fractional topological
characterization in a quantum Ising chain with long-range interaction.
AB - An attempt is made to study and understand the behavior of quantization of
geometric phase of a quantum Ising chain with long range interaction. We show the
existence of integer and fractional topological characterization for this model
Hamiltonian with different quantization condition and also the different
quantized value of geometric phase. The quantum critical lines behave differently
from the perspective of topological characterization. The results of duality and
its relation to the topological quantization is presented here. The symmetry
study for this model Hamiltonian is also presented. Our results indicate that the
Zak phase is not the proper physical parameter to describe the topological
characterization of system with long range interaction. We also present quite a
few exact solutions with physical explanation. Finally we present the relation
between duality, symmetry and topological characterization. Our work provides a
new perspective on topological quantization.
PMID- 29650990
TI - Disclosing the temperature of columnar jointing in lavas.
AB - Columnar joints form by cracking during cooling-induced contraction of lava,
allowing hydrothermal fluid circulation. A lack of direct observations of their
formation has led to ambiguity about the temperature window of jointing and its
impact on fluid flow. Here we develop a novel thermo-mechanical experiment to
disclose the temperature of columnar jointing in lavas. Using basalts from
Eyjafjallajokull volcano (Iceland) we show that contraction during cooling
induces stress build-up below the solidus temperature (980 degrees C), resulting
in localised macroscopic failure between 890 and 840 degrees C. This temperature
window for incipient columnar jointing is supported by modelling informed by
mechanical testing and thermal expansivity measurements. We demonstrate that
columnar jointing takes place well within the solid state of volcanic rocks, and
is followed by a nonlinear increase in system permeability of <9 orders of
magnitude during cooling. Columnar jointing may promote advective cooling in
magmatic-hydrothermal environments and fluid loss during geothermal drilling and
thermal stimulation.
PMID- 29650991
TI - A new way of producing pediocin in Pediococcus acidilactici through intracellular
stimulation by internalized inulin nanoparticles.
AB - One of the most challenging aspects of probiotics as a replacement for
antibiotics is to enhance their antimicrobial activity against pathogens. Given
that prebiotics stimulate the growth and/or activity of probiotics, we developed
phthalyl inulin nanoparticles (PINs) as prebiotics and observed their effects on
the cellular and antimicrobial activities of Pediococcus acidilactici (PA).
First, we assessed the internalization of PINs into PA. The internalization of
PINs was largely regulated by glucose transporters in PA, and the process was
energy-dependent. Once internalized, PINs induced PA to produce substantial
amounts of antimicrobial peptide (pediocin), which is effective against both Gram
positive (Salmonella Gallinarum) and Gram-negative (Listeria monocytogenes)
pathogens. When treated with small-sized PINs, PA witnessed a nine-fold increase
in antimicrobial activity. The rise in pediocin activity in PA treated with PINs
was accompanied by enhanced expression of stress response genes (groEL, groES,
dnaK) and pediocin biosynthesis genes (pedA, pedD). Although the mechanism is not
clear, it appears that the internalization of PINs by PA causes mild stress to
activate the PA defense system, leading to increased production of pediocin.
Overall, we identified a prebiotic in nanoparticle form for intracellular
stimulation of probiotics, demonstrating a new avenue for the biological
production of antimicrobial peptides.
PMID- 29650993
TI - Author Correction: Slow Wave Applications of Electromagnetically Induced
Transparency in Microstrip Resonator.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29650992
TI - Stabilised frequency of extreme positive Indian Ocean Dipole under 1.5 degrees C
warming.
AB - Extreme positive Indian Ocean Dipole (pIOD) affects weather, agriculture,
ecosystems, and public health worldwide, particularly when exacerbated by an
extreme El Nino. The Paris Agreement aims to limit warming below 2 degrees C and
ideally below 1.5 degrees C in global mean temperature (GMT), but how extreme
pIOD will respond to this target is unclear. Here we show that the frequency
increases linearly as the warming proceeds, and doubles at 1.5 degrees C warming
from the pre-industrial level (statistically significant above the 90% confidence
level), underscored by a strong intermodel agreement with 11 out of 13 models
producing an increase. However, in sharp contrast to a continuous increase in
extreme El Nino frequency long after GMT stabilisation, the extreme pIOD
frequency peaks as the GMT stabilises. The contrasting response corresponds to a
50% reduction in frequency of an extreme El Nino preceded by an extreme pIOD from
that projected under a business-as-usual scenario.
PMID- 29650995
TI - Author Correction: Understanding the effect of wet etching on damage resistance
of surface scratches.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29650994
TI - Tuning Ising superconductivity with layer and spin-orbit coupling in two
dimensional transition-metal dichalcogenides.
AB - Systems simultaneously exhibiting superconductivity and spin-orbit coupling are
predicted to provide a route toward topological superconductivity and
unconventional electron pairing, driving significant contemporary interest in
these materials. Monolayer transition-metal dichalcogenide (TMD) superconductors
in particular lack inversion symmetry, yielding an antisymmetric form of spin
orbit coupling that admits both spin-singlet and spin-triplet components of the
superconducting wavefunction. Here, we present an experimental and theoretical
study of two intrinsic TMD superconductors with large spin-orbit coupling in the
atomic layer limit, metallic 2H-TaS2 and 2H-NbSe2. We investigate the
superconducting properties as the material is reduced to monolayer thickness and
show that high-field measurements point to the largest upper critical field thus
reported for an intrinsic TMD superconductor. In few-layer samples, we find the
enhancement of the upper critical field is sustained by the dominance of spin
orbit coupling over weak interlayer coupling, providing additional candidate
systems for supporting unconventional superconducting states in two dimensions.
PMID- 29650997
TI - Author Correction: Microplastics in Spanish Table Salt.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29650996
TI - Embryonic Exposure to Valproic Acid Impairs Social Predispositions of Newly
Hatched Chicks.
AB - Biological predispositions to attend to visual cues, such as those associated
with face-like stimuli or with biological motion, guide social behavior from the
first moments of life and have been documented in human neonates, infant monkeys
and domestic chicks. Impairments of social predispositions have been recently
reported in neonates at high familial risk of Autism Spectrum Disorder (ASD).
Using embryonic exposure to valproic acid (VPA), an anticonvulsant associated to
increased risk of developing ASD, we modeled ASD behavioral deficits in domestic
chicks. We then assessed their spontaneous social predispositions by comparing
approach responses to a stimulus containing a face configuration, a stuffed hen,
vs. a scrambled version of it. We found that this social predisposition was
abolished in VPA-treated chicks, whereas experience-dependent mechanisms
associated with filial imprinting were not affected. Our results suggest a
specific effect of VPA on the development of biologically-predisposed social
orienting mechanisms, opening new perspectives to investigate the neurobiological
mechanisms involved in early ASD symptoms.
PMID- 29650998
TI - A mega-analysis of expression quantitative trait loci (eQTL) provides insight
into the regulatory architecture of gene expression variation in liver.
AB - Genome-wide association studies (GWAS) have identified numerous genetic variants
in the human genome associated with diseases and traits. Nevertheless, for most
loci the causative variant is still unknown. Expression quantitative trait loci
(eQTL) in disease relevant tissues is an excellent approach to correlate genetic
association with gene expression. While liver is the primary site of gene
transcription for two pathways relevant to age-related macular degeneration
(AMD), namely the complement system and cholesterol metabolism, we explored the
contribution of AMD associated variants to modulate liver gene expression. We
extracted publicly available data and computed the largest eQTL data set for
liver tissue to date. Genotypes and expression data from all studies underwent
rigorous quality control. Subsequently, Matrix eQTL was used to identify
significant local eQTL. In total, liver samples from 588 individuals revealed
202,489 significant eQTL variants affecting 1,959 genes (Q-Value < 0.001). In
addition, a further 101 independent eQTL signals were identified in 93 of the
1,959 eQTL genes. Importantly, our results independently reinforce the notion
that high density lipoprotein metabolism plays a role in AMD pathogenesis. Taken
together, our study generated a first comprehensive map reflecting the genetic
regulatory landscape of gene expression in liver.
PMID- 29650999
TI - Beyond dose: Pulsed antibiotic treatment schedules can maintain individual
benefit while reducing resistance.
AB - The emergence of treatment-resistant microbes is a key challenge for disease
treatment and a leading threat to human health and wellbeing. New drugs are
always in development, but microbes regularly and rapidly acquire resistance. We
must consider if altering how we administer drugs at the individual level could
slow development of resistance. Here we use mathematical models to show that
exposing microbes to drug pulses could greatly reduce resistance without
increasing individual pathogen load. Our results stem from two key factors: the
presence of antibiotics creates a selection pressure for antibiotic resistant
microbes, and large populations of bacteria are more likely to harbor drug
resistance than small populations. Drug pulsing targets these factors
simultaneously. Short duration pulses minimize the time during which there is
selection for resistance, and high drug concentrations minimize pathogen
abundance. Our work provides a theoretical basis for the design of in vitro and
in vivo experiments to test how drug pulsing might reduce the impact of drug
resistant infections.
PMID- 29651000
TI - Author Correction: Localization and function of neurosecretory protein GM, a
novel small secretory protein, in the chicken hypothalamus.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651001
TI - Effects of green tea on miRNA and microbiome of oral epithelium.
AB - Consumption of green tea (GT) extracts or purified catechins has shown the
ability to prevent oral and other cancers and inhibit cancer progression in
rodent models, but the evidence for this in humans is mixed. Working with humans,
we sought to understand the source of variable responses to GT by examining its
effects on oral epithelium. Lingual epithelial RNA and lingual and gingival
microbiota were measured before and after 4 weeks of exposure in tobacco smokers,
whom are at high risk of oral cancer. GT consumption had on average inconsistent
effects on miRNA expression in the oral epithelium. Only analysis that examined
paired miRNAs, showing changed and coordinated expression with GT exposure,
provided evidence for a GT effect on miRNAs, identifying miRNAs co-expressed with
two hubs, miR-181a-5p and 301a-3p. An examination of the microbiome on cancer
prone lingual mucosa, in contrast, showed clear shifts in the relative abundance
of Streptococcus and Staphylococcus, and other genera after GT exposure. These
data support the idea that tea consumption can consistently change oral bacteria
in humans, which may affect carcinogenesis, but argue that GT effects on oral
epithelial miRNA expression in humans vary between individuals.
PMID- 29651002
TI - Metformin Decreases the Incidence of Pancreatic Ductal Adenocarcinoma Promoted by
Diet-induced Obesity in the Conditional KrasG12D Mouse Model.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a particularly deadly disease. Chronic
conditions, including obesity and type-2 diabetes are risk factors, thus making
PDAC amenable to preventive strategies. We aimed to characterize the chemo
preventive effects of metformin, a widely used anti-diabetic drug, on PDAC
development using the KrasG12D mouse model subjected to a diet high in fats and
calories (HFCD). LSL-KrasG12D/+;p48-Cre (KC) mice were given control diet (CD),
HFCD, or HFCD with 5 mg/ml metformin in drinking water for 3 or 9 months. After 3
months, metformin prevented HFCD-induced weight gain, hepatic steatosis,
depletion of intact acini, formation of advanced PanIN lesions, and stimulation
of ERK and mTORC1 in pancreas. In addition to reversing hepatic and pancreatic
histopathology, metformin normalized HFCD-induced hyperinsulinemia and
hyperleptinemia among the 9-month cohort. Importantly, the HFCD-increased PDAC
incidence was completely abrogated by metformin (p < 0.01). The obesogenic diet
also induced a marked increase in the expression of TAZ in pancreas, an effect
abrogated by metformin. In conclusion, administration of metformin improved the
metabolic profile and eliminated the promoting effects of diet-induced obesity on
PDAC formation in KC mice. Given the established safety profile of metformin, our
findings have a strong translational potential for novel chemo-preventive
strategies for PDAC.
PMID- 29651004
TI - Author Correction: Disturbance Regimes Drive The Diversity of Regional Floristic
Pools Across Guianan Rainforest Landscapes.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651003
TI - piRNA-like small RNAs are responsible for the maternal-specific knockdown in the
ascidian Ciona intestinalis Type A.
AB - The mRNAs stored in eggs are crucial for embryogenesis. To address functions of
maternal mRNAs, we recently reported the novel method MASK (maternal mRNA
specific knockdown), which we used to specifically knockdown maternal transcripts
in the ascidian Ciona intestinalis Type A. In MASK, the cis element of a maternal
gene is fused with eGFP or Kaede reporter gene, and the cassette is introduced
into Ciona genome by transposon-mediated transgenesis. In eggs of the transgenic
lines, the maternal expression of the gene whose cis element is used for driving
the reporter gene is suppressed. The zygotic expression of the gene is not
suppressed, suggesting that the MASK method can distinguish between maternal and
zygotic functions of a gene. Here we investigated the cis and trans factors
responsible for MASK results. In the ovaries in which knockdown of a maternal
gene occurs, a number of antisense small RNAs are expressed that are
complementary to the sequence of the knocked-down genes. We suspect that these
antisense small RNAs are the factor responsible for MASK results. The antisense
small RNAs have several features that are seen in PIWI-interacting RNAs (piRNAs),
suggesting that MASK is likely to use a piRNA-mediated mechanism to knock down
maternal mRNAs.
PMID- 29651005
TI - Differential effect of morphine on gastrointestinal transit, colonic contractions
and nerve-evoked relaxations in Toll-Like Receptor deficient mice.
AB - Toll-like receptors (TLRs) are expressed in enteric neurons, glia,
gastrointestinal (GI) smooth muscle and mucosa, yet their functional roles in the
GI tract are not fully understood. TLRs have been linked to many of the
undesirable central effects of chronic opioid administration including
hyperalgesia and dependence via activation of central microglia. Opioid-induced
bowel dysfunction (OIBD) remains a primary reason for the reduction or withdrawal
of opioid analgesics. Morphine-induced inhibition of colonic motility was
assessed in vivo by GI transit studies and in vitro using isolated colons from
wildtype (WT) and TLR deficient mice. Morphine slowed movement of ingested
content in WT but this retardation effect was attenuated in TLR4 -/- and TLR2/4
/- . In isolated colons, morphine reduced amplitude and frequency colonic
migrating motor contractions in both WT and TLR2/4 -/- . Electrical field
stimulation elicited distal colon relaxation that was potentiated by morphine in
WT but not in TLR2/4 -/- . Inhibitory junction potentials were of similar
amplitude and kinetics in WT and TLR2/4 -/- distal colon and not altered by
morphine. Enteric nerve density and proportion of nitrergic nerves were similar
in WT and TLR2/4 -/- distal colon. These data suggest an involvement of TLRs in
opioid pharmacodynamics and thus a potential interventional target for OIBD.
PMID- 29651006
TI - Cyclin-dependent kinase 5 mediates pleiotrophin-induced endothelial cell
migration.
AB - Pleiotrophin (PTN) stimulates endothelial cell migration through binding to
receptor protein tyrosine phosphatase beta/zeta (RPTPbeta/zeta) and alphanubeta3
integrin. Screening for proteins that interact with RPTPbeta/zeta and potentially
regulate PTN signaling, through mass spectrometry analysis, identified cyclin
dependent kinase 5 (CDK5) activator p35 among the proteins displaying high
sequence coverage. Interaction of p35 with the serine/threonine kinase CDK5 leads
to CDK5 activation, known to be implicated in cell migration. Protein
immunoprecipitation and proximity ligation assays verified p35-RPTPbeta/zeta
interaction and revealed the molecular association of CDK5 and RPTPbeta/zeta. In
endothelial cells, PTN activates CDK5 in an RPTPbeta/zeta- and phosphoinositide 3
kinase (PI3K)-dependent manner. On the other hand, c-Src, alphanubeta3 and ERK1/2
do not mediate the PTN-induced CDK5 activation. Pharmacological and genetic
inhibition of CDK5 abolished PTN-induced endothelial cell migration, suggesting
that CDK5 mediates PTN stimulatory effect. A new pyrrolo[2,3-alpha]carbazole
derivative previously identified as a CDK1 inhibitor, was found to suppress CDK5
activity and eliminate PTN stimulatory effect on cell migration, warranting its
further evaluation as a new CDK5 inhibitor. Collectively, our data reveal that
CDK5 is activated by PTN, in an RPTPbeta/zeta-dependent manner, regulates PTN
induced cell migration and is an attractive target for the inhibition of PTN pro
angiogenic properties.
PMID- 29651007
TI - Small-conductance Ca2+-activated K+ channels: insights into their roles in
cardiovascular disease.
AB - Life-threatening malignant arrhythmias in pathophysiological conditions can
increase the mortality and morbidity of patients with cardiovascular diseases.
Cardiac electrical activity depends on the coordinated propagation of excitatory
stimuli and the generation of action potentials in cardiomyocytes. Action
potential formation results from the opening and closing of ion channels. Recent
studies have indicated that small-conductance calcium-activated potassium (SK)
channels play a critical role in cardiac repolarization in pathophysiological but
not normal physiological conditions. The aim of this review is to describe the
role of SK channels in healthy and diseased hearts, to suggest cardiovascular
pathophysiologic targets for intervention, and to discuss studies of agents that
target SK channels for the treatment of cardiovascular diseases.
PMID- 29651008
TI - Hybrid cluster precursors of the LaZrO insulator for transistors: lowering the
processing temperature.
AB - Solution processing of ternary and multinary amorphous metal oxide insulators at
processing temperatures below 250 degrees C remains challenging. Here, we report
that the synthesis of a hybrid cluster structure, where the metal oxide core is
coordinated by ligands and the different metal elements are incorporated into one
core, is an effective strategy for the low-temperature processing of the ternary
LaZrO insulator. Solvothermal treatment at 160-180 degrees C facilitated the
development of a cluster structure. From the cluster precursor, high-performance
insulating LaZrO films were obtained at 200 degrees C under the irradiation of
ultraviolet light. The analysis data indicate that the solvothermal treatment led
to structural unification of the metal oxide network and facilitated
stabilization of the residual organic ingredients in UV annealing, which both
contributed to the improved insulating properties of LaZrO. Together with a
solution-processed channel, we have been able to fabricate LaZrO-based
transistors at 200 degrees C. Though the channel material has not been
optimized, the transistor have showed a low gate leakage current around 10 pA at
an operating voltage of 15 V, an on/off ratio of near 106, a field-effect
saturation mobility of 0.37 cm2 V-1 s-1, a subthreshold swing factor of 0.61 V
decade-1.
PMID- 29651009
TI - Diversity and bioactive potential of culturable fungal endophytes of Dysosma
versipellis; a rare medicinal plant endemic to China.
AB - The plant Dysosma versipellis is known for its antimicrobial and anticancer
properties but is a rare and vulnerable perennial herb that is endemic to China.
In this study, 224 isolates were isolated from various tissues of D. versipellis,
and were classified into 53 different morphotypes according to culture
characteristics and were identified by sequence analyses of the internal
transcribed spacer (ITS) region of the rRNA gene. Although nine strains were not
assignable at the phylum level, 44 belonged to at least 29 genera of 15 orders of
Ascomycota (93%), Basidiomycota (6%), and Zygomycota (1%). Subsequent assays
revealed antimicrobial activities of 19% of endophytic extracts against at least
one pathogenic bacterium or fungus. Antimicrobial activity was also determined
using the agar diffusion method and was most prominent in extracts from four
isolates. Moreover, high performance liquid chromatography (HPLC) and ultra
performance liquid chromatography-quadrupole-time of flight mass spectrometry
analyses (UPLC-QTOF MS) showed the presence of podophyllotoxin in two Fusarium
strains, with the highest yield of 277 MUg/g in Fusarium sp. (WB5121). Taken
together, the present data suggest that various endophytic fungi of D.
versipellis could be exploited as sources of novel natural antimicrobial or
anticancer agents.
PMID- 29651010
TI - Dietary supplementation with flaxseed meal and oat hulls modulates intestinal
histomorphometric characteristics, digesta- and mucosa-associated microbiota in
pigs.
AB - The establishment of a healthy gastrointestinal milieu may not only offer an
opportunity to reduce swine production costs but could also open the way for a
lifetime of human health improvement. This study investigates the effects of
feeding soluble fibre from flaxseed meal-containing diet (FM) and insoluble fibre
from oat hulls-containing diet (OH) on histomorphological characteristics,
digesta- and mucosa-associated microbiota and their associations with metabolites
in pig intestines. In comparison with the control (CON) and OH diets, the
consumption of FM increased (P < 0.001) the jejunal villi height (VH) and the
ratio of VH to crypt depths. The PERMANOVA analyses showed distinct (P < 0.05)
microbial communities in ileal digesta and mucosa, and caecal mucosa in CON and
FM-diets fed pigs compared to the OH diet-fed pigs. The predicted functional
metagenomes indicated that amino acids and butanoate metabolism, lysine
degradation, bile acids biosynthesis, and apoptosis were selectively enhanced at
more than 2.2 log-folds in intestinal microbiota of pigs fed the FM diet. Taken
together, flaxseed meal and oat hulls supplementation in growing pigs' diets
altered the gastrointestinal development, as well as the composition and function
of microbial communities, depending on the intestinal segment and physicochemical
property of the dietary fibre source.
PMID- 29651011
TI - Super-resolution for asymmetric resolution of FIB-SEM 3D imaging using AI with
deep learning.
AB - Scanning electron microscopy equipped with a focused ion beam (FIB-SEM) is a
promising three-dimensional (3D) imaging technique for nano- and meso-scale
morphologies. In FIB-SEM, the specimen surface is stripped by an ion beam and
imaged by an SEM installed orthogonally to the FIB. The lateral resolution is
governed by the SEM, while the depth resolution, i.e., the FIB milling direction,
is determined by the thickness of the stripped thin layer. In most cases, the
lateral resolution is superior to the depth resolution; hence, asymmetric
resolution is generated in the 3D image. Here, we propose a new approach based on
an image-processing or deep-learning-based method for super-resolution of 3D
images with such asymmetric resolution, so as to restore the depth resolution to
achieve symmetric resolution. The deep-learning-based method learns from high
resolution sub-images obtained via SEM and recovers low-resolution sub-images
parallel to the FIB milling direction. The 3D morphologies of polymeric nano
composites are used as test images, which are subjected to the deep-learning
based method as well as conventional methods. We find that the former yields
superior restoration, particularly as the asymmetric resolution is increased. Our
super-resolution approach for images having asymmetric resolution enables
observation time reduction.
PMID- 29651012
TI - MEMS based highly sensitive dual FET gas sensor using graphene decorated Pd-Ag
alloy nanoparticles for H2 detection.
AB - A low power, dual-gate field-effect transistor (FET) hydrogen gas sensor with
graphene decorated Pd-Ag for hydrogen sensing applications was developed. The FET
hydrogen sensor was integrated with a graphene-Pd-Ag-gate FET (GPA-FET) as
hydrogen sensor coupled with Pt-gate FET as a reference sensor on a single sensor
platform. The sensing gate electrode was modified with graphene by an e-spray
technique followed by Pd-Ag DC/MF sputtering. Morphological and structural
properties were studied by FESEM and Raman spectroscopy. FEM simulations were
performed to confirm the uniform temperature control at the sensing gate
electrode. The GPA-FET showed a high sensing response to hydrogen gas at the
temperature of 25~254.5 degrees C. The as-proposed FET H2 sensor showed the fast
response time and recovery time of 16 s, 14 s, respectively at the operating
temperature of 245 degrees C. The variation in drain current was positively
related with increased working temperature and hydrogen concentration. The
proposed dual-gate FET gas sensor in this study has potential applications in
various fields, such as electronic noses and automobiles, owing to its low-power
consumption, easy integration, good thermal stability and enhanced hydrogen
sensing properties.
PMID- 29651013
TI - Information about peer choices shapes human risky decision-making.
AB - Humans frequently make choices that involve risk for health and well-being. At
the same time, information about others' choices is omnipresent due to new forms
of social media and information technology. However, while past research has
shown that peers can exert a strong influence on such risky choices,
understanding how information about risky decisions of others affects one's own
risky decisions is still lacking. We therefore developed a behavioral task to
measure how information about peer choices affects risky decision-making and call
it the social Balloon Analogue Risk Task (sBART). We tested this novel paradigm
in a sample of 52 college young adults. Here we show that risky decisions were
influenced in the direction of the perceived choices of others - riskier choices
of others led to riskier behavior whereas safer choices of others led to less
risky behavior. These findings indicate that information about peer choices is
sufficient to shape one's own risky behavior.
PMID- 29651015
TI - Author Correction: REM sleep respiratory behaviours match mental content in
narcoleptic lucid dreamers.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651014
TI - Altered connection properties of important network hubs may be neural risk
factors for individuals with primary insomnia.
AB - Primary insomnia (PIs) is highly prevalent and can lead to adverse socioeconomic
impacts, but the underlying mechanism of its complex brain network impairment
remains largely unknown. Functional studies are too few and diverse in
methodology, which makes it difficult to glean general conclusions. To answer
this question, we first used graph theory-based network analyse, together with
seed-based functional connectivity approach, to characterize the topology
architecture of whole-brain functional networks associated with PIs. Forty-eight
subjects with PIs and 48 age/sex/education-matched good sleepers were recruited.
We found PIs is associated with altered connection properties of intra-networks
within the executive control network, default mode network and salience network,
and inter-network between auditory language comprehension center and executive
control network. These complex networks were correlated with negative emotions
and insomnia severity in the PIs group. Altered connection properties of these
network hubs appeared to be neural risk factors for neuropsychological changes of
PIs, and might be used as potential neuroimaging markers to distinguish the PIs
from the good sleepers. These findings highlight the role of functional
connectivity in the pathophysiology of PIs, and may underlie the neural
mechanisms of etiology of PIs.
PMID- 29651016
TI - Design principles for enhancing phase sensitivity and suppressing phase
fluctuations simultaneously in biochemical oscillatory systems.
AB - Biological systems need to function accurately in the presence of strong noise
and at the same time respond sensitively to subtle external cues. Here we study
design principles in biochemical oscillatory circuits to achieve these two
seemingly incompatible goals. We show that energy dissipation can enhance phase
sensitivity linearly by driving the phase-amplitude coupling and increase timing
accuracy by suppressing phase diffusion. Two general design principles in the key
underlying reaction loop formed by two antiparallel pathways are found to
optimize oscillation performance with a given energy budget: balancing the
forward-to-backward flux ratio between the two pathways to reduce phase diffusion
and maximizing the net flux of the phase-advancing pathway relative to that of
the phase-retreating pathway to enhance phase sensitivity. Experimental evidences
consistent with these design principles are found in the circadian clock of
cyanobacteria. Future experiments to test the predicted dependence of phase
sensitivity on energy dissipation are proposed.
PMID- 29651017
TI - Effects of different doses of granulocyte colony-stimulating factor mobilization
therapy on ischemic cardiomyopathy.
AB - G-CSF mobilization might be beneficial to ICM, but the relationship between
effect/safety and the dosage of G-CSF remains unclear. In this study, 24 pigs
were used to build ICM models and were randomized into four groups. Four weeks
later, different dosages of G-CSF were given daily by subcutaneous injection for
5 days. Another 4 weeks later, all the animals were sacrificed.
Electrocardiography, coronary arteriography, left ventriculography, transthoracic
echocardiography, cardiac MRI, and SPECT, histopathologic analysis, and
immunohistochemistry techniques were used to evaluate left ventricular function
and myocardial infarct size. Four weeks after G-CSF treatment, pigs in middle
dose G-CSF group exhibited obvious improvements of left ventricular remodeling
and function. Moderate G-CSF mobilization ameliorated the regional contractility
of ICM, preserved myocardial viability, and reduced myocardial infarct size. More
neovascularization and fewer apoptotic myocardial cells were observed in the
ischemic region of the heart in middle-dose group. Expression of vWF, VEGF and
MCP-1 were up-regulated, and Akt1 was activated in high- and middle-dose groups.
Moreover, CRP, TNF-alpha and S-100 were elevated after high-dose G-CSF
mobilization. Middle-dose G-CSF mobilization therapy is an effective and safe
treatment for ICM, and probably acts via a mechanism involving promoting
neovascularization, inhibiting cardiac fibrosis and anti-apoptosis.
PMID- 29651018
TI - Affective and cognitive rather than somatic symptoms of depression predict 3-year
mortality in patients on chronic hemodialysis.
AB - Depression is more common in many medical conditions than among the general
population and is associated with an increased risk of mortality. We aimed to
determine whether somatic symptoms of depression were more predictive of
mortality than affective and cognitive symptoms in hemodialysis patients. We
conducted a prospective cohort study in which the survival outcomes of 151
subjects were followed for more than 3 years. Depression was assessed with the
Taiwanese Depression Questionnaire (TDQ). Subjects with TDQ scores 19-54
(correlated with clinically significant depressive symptoms) and those with
scores 15-18 had higher 3-year mortality rates than the two groups with lower
scores (40.0%, 46.7%, 16.0% and 19.6%, p = 0.021, ANOVA). Affective and cognitive
symptoms, including sadness, tenseness, indecisiveness and low self-confidence,
and one somatic item (bodily discomfort) were associated with mortality.
Affective and cognitive symptoms affected quality of life more than somatic
symptoms. The somatic subscale was associated with female gender, low income and
education, dialysis vintage, and low serum creatinine and albumin levels, whereas
the affective and cognitive subscale was associated with less education and a low
serum albumin level. In conclusion, affective and cognitive symptoms of
depression may better predict long-term mortality in patients undergoing chronic
hemodialysis than somatic symptoms.
PMID- 29651019
TI - Author Correction: Comparative genomic and transcriptomic analyses of Family-1
UDP glycosyltransferase in three Brassica species and Arabidopsis indicates
stress-responsive regulation.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651021
TI - Sustained caloric restriction in health.
PMID- 29651022
TI - Disposable all-printed electronic biosensor for instantaneous detection and
classification of pathogens.
AB - A novel disposable all-printed electronic biosensor is proposed for a fast
detection and classification of bacteria. This biosensor is applied to classify
three types of popular pathogens: Salmonella typhimurium, and the Escherichia
coli strains JM109 and DH5-alpha. The proposed sensor consists of inter-digital
silver electrodes fabricated through an inkjet material printer and silver
nanowires uniformly decorated on the electrodes through the electrohydrodynamic
technique on a polyamide based polyethylene terephthalate substrate. The best
sensitivity of the proposed sensor is achieved at 200 um teeth spaces of the
inter-digital electrodes along the density of the silver nanowires at 30 *
103/mm2. The biosensor operates on +/-2.5 V and gives the impedance value against
each bacteria type in 8 min after sample injection. The sample data are measured
through an impedance analyzer and analyzed through pattern recognition methods
such as linear discriminate analysis, maximum likelihood, and back propagation
artificial neural network to classify each type of bacteria. A perfect
classification and cross-validation is achieved by using the unique fingerprints
extracted from the proposed biosensor through all the applied classifiers. The
overall experimental results demonstrate that the proposed disposable all-printed
biosensor is applicable for the rapid detection and classification of pathogens.
PMID- 29651020
TI - GFI1 facilitates efficient DNA repair by regulating PRMT1 dependent methylation
of MRE11 and 53BP1.
AB - GFI1 is a transcriptional regulator expressed in lymphoid cells, and an
"oncorequisite" factor required for development and maintenance of T-lymphoid
leukemia. GFI1 deletion causes hypersensitivity to ionizing radiation, for which
the molecular mechanism remains unknown. Here, we demonstrate that GFI1 is
required in T cells for the regulation of key DNA damage signaling and repair
proteins. Specifically, GFI1 interacts with the arginine methyltransferase PRMT1
and its substrates MRE11 and 53BP1. We demonstrate that GFI1 enables PRMT1 to
bind and methylate MRE11 and 53BP1, which is necessary for their function in the
DNA damage response. Thus, our results provide evidence that GFI1 can adopt non
transcriptional roles, mediating the post-translational modification of proteins
involved in DNA repair. These findings have direct implications for treatment
responses in tumors overexpressing GFI1 and suggest that GFI1's activity may be a
therapeutic target in these malignancies.
PMID- 29651023
TI - Generation of autochthonous mouse models of clear cell renal cell carcinoma:
mouse models of renal cell carcinoma.
AB - Renal cell carcinoma (RCC) is one of the 10 most common cancers worldwide, and to
date, a strong systemic therapy has not been developed to treat RCC, even with
the remarkable modern advances in molecular medicine mostly due to our incomplete
understanding of its tumorigenesis. There is a dire unmet need to understand the
etiology and progression of RCC, especially the most common subtype, clear cell
RCC (ccRCC), and to develop new treatments for RCC. Genetically engineered mouse
(GEM) models are able to mimic the initiation, progression, and metastasis of
cancer, thus providing valuable insights into tumorigenesis and serving as
perfect preclinical platforms for drug testing and biomarker discovery. Despite
substantial advances in the molecular investigation of ccRCC and monumental
efforts that have been performed to try to establish autochthonous animal models
of ccRCC, this goal has not been achieved until recently. Here we present a
review of the most exciting progress relevant to GEM models of ccRCC.
PMID- 29651025
TI - Cardiac eccentric remodeling in patients with rheumatoid arthritis.
AB - It is known that patients with rheumatoid arthritis (RA) have a higher risk of
coronary heart disease and sudden cardiac death. Abnormalities in cardiac
geometry appear to be involved in the setting of the cardiovascular risk, but it
has never been specifically investigated in RA. We enrolled 44 patients with RA
compared to 131 subjects without RA (normal, N): The RA aged between 18 and 70
years (mean 48.3 +/- 2.1), 25 females, BMI 27.6 +/- 0.9; N, of equal age (48.6 +/
1.2, n.s.), included 80 females (BMI 26.7 +/- 0.2, ns). Cardiac Ultrasounds
showed an increase of the diameter of the left ventricle but not in the septum
with reduction of relative wall thickness (RWT) in the RA population compared to
N. Relative wall thickness inversely correlates with biochemical parameters of
inflammatory response (gamma globulin, p < 0.03; F = 5,660) and anti
citrullinated peptides antibody (anti-CCP Ab) (p < 0.02; F = 7,1620) We conclude
that unfavorable cardiac remodeling can increase cardiovascular risk in patients
with RA.
PMID- 29651026
TI - Development of a mouse model for the visual and quantitative assessment of
lymphatic trafficking and function by in vivo imaging.
AB - Methods for quantitative analysis of long distance lymphatic transport of
nanoparticles in live animals are yet to be established. We established a mouse
model for analysis of time-dependent transport just beneath the abdominal skin to
investigate lymph node-to-lymph node trafficking by in vivo imaging. For this
purpose, popliteal lymph nodes (PLNs) as well as efferent and afferent lymphatic
vessels, marginal veins, and feeding blood vessels were surgically resected to
change the lymphatic flow from footpad injections. Using this model, we observed
a novel lymphatic flow from the footpad to the proper axillary lymph node (ALN)
via the inguinal lymph node (ILN). This drainage pathway was maintained over 12
weeks. Time-dependent transportation of 1,1'-dioctadecyltetramethyl
indotricarbocyanine iodide-labelled liposomes from the footpad to the ILN was
successfully quantified by an in vivo imaging system. Moreover, congestion and
development of a new collateral lymphatic route was visualised under a lymphedema
status. Histological analysis of abdominal skin tissues of this model revealed
that PLN resection had no effect on the abdominal lymphatic system between the
ILN and ALN. These data indicate that this model might be useful to clarify the
mechanisms of lymphedema and study direct transportation of lymph or other
substances between lymph nodes.
PMID- 29651024
TI - Small de novo CNVs as biomarkers of parental exposure to low doses of ionizing
radiation of caesium-137.
AB - The radiological accident in Goiania in 1987 caused a trail of human
contamination, animal, plant and environmental by a radionuclide. Exposure to
ionizing radiation results in different types of DNA lesions. The mutagenic
effects of ionizing radiation on the germline are special concern because they
can endures for several generations, leading to an increase in the rate of
mutations in children of irradiated parents. Thus, to evaluate the biological
mechanisms of ionizing radiation in somatic and germline cells, with consequent
determination of the rate mutations, is extremely important for the estimation of
genetic risks. Recently it was established that Chromosomal Microarray Analysis
is an important tool for detecting wide spectra of gains or losses in the human
genome. Here we present the results of the effect of accidental exposure to low
doses of ionizing radiation on the formation of CNVs in the progeny of a human
population accidentally exposed to Caesium-137 during the radiological accident
in Goiania, Brazil.
PMID- 29651027
TI - Author Correction: The effect of foreign language in fear acquisition.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651028
TI - Author Correction: Mapping the epidemic changes and risks of hemorrhagic fever
with renal syndrome in Shaanxi Province, China, 2005-2016.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651029
TI - Calculating curly arrows from ab initio wavefunctions.
AB - Despite being at the heart of chemical thought, the curly arrow notation of
reaction mechanisms has been treated with suspicion-the connection with rigorous
molecular quantum mechanics being unclear. The connection requires a view of the
wavefunction that goes beyond molecular orbitals and rests on the most
fundamental property of electrons. The antisymmetry of electronic wavefunctions
requires that an N-electron wavefunction repeat itself in 3N dimensions, thus
exhibiting tiles. Inspection of wavefunction tiles permits insight into structure
and mechanism. Here, we demonstrate that analysis of the wavefunction tile along
a reaction coordinate reveals the electron movements depicted by the curly arrow
notation for several reactions. The Diels-Alder reaction is revealed to involve
the separation and counter propagation of electron spins. This unprecedented
method of extracting the movements of electrons during a chemical reaction is a
breakthrough in connecting traditional depictions of chemical mechanism with
state-of-the-art quantum chemical calculations.
PMID- 29651030
TI - Publisher Correction: Impaired oxidative stress response characterizes HUWE1
promoted X-linked intellectual disability.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651031
TI - Author Correction: Vertex coloring of graphs via phase dynamics of coupled
oscillatory networks.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651032
TI - Author Correction: Development and validation of risk prediction models for
cardiovascular mortality in Chinese people initialising peritoneal dialysis: a
cohort study.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651033
TI - Break the Interacting Bridge between Eu3+ Ions in the 3D Network Structure of
CdMoO4: Eu3+ Bright Red Emission Phosphor.
AB - Eu3+ doped CdMoO4 super red emission phosphors with charge compensation were
prepared by the traditional high temperature solid-state reaction method in air
atmosphere. The interrelationships between photoluminescence properties and
crystalline environments were investigated in detail. The 3D network structure
which composed by CdO8 and MoO4 polyhedra can collect and efficiently transmit
energy to Eu3+ luminescent centers. The relative distance between Eu3+ ions
decreased and energy interaction increased sharply with the appearance of
interstitial occupation of O2- ions ([Formula: see text]). Therefore,
fluorescence quenching occurs at the low concentration of Eu3+ ions in the 3D
network structure. Fortunately, the charge compensator will reduce the
concentration of [Formula: see text] which can break the energetic interaction
between Eu3+ ions. The mechanism of different charge compensators has been
studied in detail. The strong excitation band situated at ultraviolet and near
ultraviolet region makes it a potential red phosphor candidate for n-UV based
LED.
PMID- 29651034
TI - A new failure mechanism of electromigration by surface diffusion of Sn on Ni and
Cu metallization in microbumps.
AB - Microbumps in three-dimensional integrated circuit now becomes essential
technology to reach higher packaging density. However, the small volume of
microbumps dramatically changes the characteristics from the flip-chip (FC)
solder joints. For a 20 um diameter microbump, the cross-section area and the
volume are only 1/25 and 1/125 of a 100 um diameter FC joint. The small area
significantly enlarges the current density although the current crowding effect
was reduced at the same time. The small volume of solder can be fully transformed
into the intermetallic compounds (IMCs) very easily, and the IMCs are usually
stronger under electromigration (EM). These result in the thoroughly change of
the EM failure mechanism in microbumps. In this study, microbumps with two
different diameter and flip-chip joints were EM tested. A new failure mechanism
was found obviously in microbumps, which is the surface diffusion of Sn. Under EM
testing, Sn atoms tend to migrate along the surface to the circumference of Ni
and Cu metallization to form Ni3Sn4 and Cu3Sn IMCs respectively. When the Sn
diffuses away, necking or serious void formation occurs in the solder, which
weakens the electrical and mechanical properties of the microbumps. Theoretic
calculation indicates that this failure mode will become even significantly for
the microbumps with smaller dimensions than the 18 um microbumps.
PMID- 29651035
TI - Impact of sequencing depth on the characterization of the microbiome and
resistome.
AB - Developments in high-throughput next generation sequencing (NGS) technology have
rapidly advanced the understanding of overall microbial ecology as well as
occurrence and diversity of specific genes within diverse environments. In the
present study, we compared the ability of varying sequencing depths to generate
meaningful information about the taxonomic structure and prevalence of
antimicrobial resistance genes (ARGs) in the bovine fecal microbial community.
Metagenomic sequencing was conducted on eight composite fecal samples originating
from four beef cattle feedlots. Metagenomic DNA was sequenced to various depths,
D1, D0.5 and D0.25, with average sample read counts of 117, 59 and 26 million,
respectively. A comparative analysis of the relative abundance of reads aligning
to different phyla and antimicrobial classes indicated that the relative
proportions of read assignments remained fairly constant regardless of depth.
However, the number of reads being assigned to ARGs as well as to microbial taxa
increased significantly with increasing depth. We found a depth of D0.5 was
suitable to describe the microbiome and resistome of cattle fecal samples. This
study helps define a balance between cost and required sequencing depth to
acquire meaningful results.
PMID- 29651036
TI - Charge mobility retrieval approach from apparent charge packet movements based on
the negative differential resistance theory.
AB - Space charge migration characteristics play an important role in the evaluation
of polymer insulation performance. However, an accurate description of charge
carrier mobility in several typical insulating polymers such as polyethylene,
polypropylene is currently not available. Recently, with the observation of a
series of negative charge packet movements associated with the negative
differential resistance characteristic of charge mobility in LDPE films, the
extraction of charge mobility from the apparent charge packet movement has been
attempted using appropriate methods. Based on the previous report of the
successful derivation of charge mobility from experimental results using
numerical methods, the present research improves the derivation accuracy and
describes the details of the charge mobility derivation procedure. Back
simulation results under several typical polarizing fields using the derived
charge mobility are exhibited. The results indicate that both the NDR theory and
the simulation models for the polyethylene materials are reasonable. A
significant migration velocity difference between the charge carrier and the
charge packet is observed. Back simulations of the charge packet under several
typical polarizing fields using the obtained E-v curve show good agreement with
the experimental results. The charge packet shapes during the migrations were
also found to vary with the polarizing field.
PMID- 29651038
TI - Author Correction: Shape evolution of ooids: a geometric model.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651037
TI - Electron density modulation of NiCo2S4 nanowires by nitrogen incorporation for
highly efficient hydrogen evolution catalysis.
AB - Metal sulfides for hydrogen evolution catalysis typically suffer from unfavorable
hydrogen desorption properties due to the strong interaction between the adsorbed
H and the intensely electronegative sulfur. Here, we demonstrate a general
strategy to improve the hydrogen evolution catalysis of metal sulfides by
modulating the surface electron densities. The N modulated NiCo2S4 nanowire
arrays exhibit an overpotential of 41 mV at 10 mA cm-2 and a Tafel slope of 37 mV
dec-1, which are very close to the performance of the benchmark Pt/C in alkaline
condition. X-ray photoelectron spectroscopy, synchrotron-based X-ray absorption
spectroscopy, and density functional theory studies consistently confirm the
surface electron densities of NiCo2S4 have been effectively manipulated by N
doping. The capability to modulate the electron densities of the catalytic sites
could provide valuable insights for the rational design of highly efficient
catalysts for hydrogen evolution and beyond.
PMID- 29651039
TI - Comprehensive Modeling of Multimode Fiber Sensors for Refractive Index
Measurement and Experimental Validation.
AB - We propose and develop a comprehensive model for estimating the refractive index
(RI) response over three potential sensing zones in a multimode fiber. The model
has been developed based on a combined ray optics, Gaussian beam, and wave optics
analysis coupled to the consideration of the injected interrogating lightwave
characteristics and validated experimentally through the realization of three
sensors with different lengths of stripped cladding sections as the sensing
region. The experimental results highly corroborate and validate the simulation
output from the model for the three RI sensing zones. The sensors can be employed
over a very wide dynamic RI range from 1.316 to over 1.608 at a wavelength of
1550 nm, with the best resolution of 2.2447 * 10-5 RI unit (RIU) obtained in Zone
II for a 1-cm sensor length.
PMID- 29651040
TI - Isolation and characterization of a multifunctional flavonoid glycosyltransferase
from Ornithogalum caudatum with glycosidase activity.
AB - Glycosyltransferases (GTs) are bidirectional biocatalysts catalyzing the
glycosylation of diverse molecules. However, the extensive applications of GTs in
glycosides formation are limited due to their requirements of expensive
nucleotide diphosphate (NDP)-sugars or NDP as the substrates. Here, in an effort
to characterize flexible GTs for glycodiversification of natural products, we
isolated a cDNA, designated as OcUGT1 from Ornithogalum caudatum, which encoded a
flavonoid GT that was able to catalyze the trans-glycosylation reactions,
allowing the formation of glycosides without the additions of NDP-sugars or NDP.
In addition, OcUGT1 was observed to exhibit additional five types of functions,
including classical sugar transfer reaction and three reversible reactions namely
NDP-sugar synthesis, sugars exchange and aglycons exchange reactions, as well as
enzymatic hydrolysis reaction, suggesting OcUGT1 displays both
glycosyltransferase and glycosidase activities. Expression profiles revealed that
the expression of OcUGT1 was development-dependent and affected by environmental
factors. The unusual multifunctionality of OcUGT1 broadens the applicability of
OcUGT1, thereby generating diverse carbohydrate-containing structures.
PMID- 29651041
TI - Duplication and diversification of lectin receptor-like kinases (LecRLK) genes in
soybean.
AB - Lectin receptor-like kinases (LecRLKs) play important roles in plant development
and stress responses. Although genome-wide studies of LecRLKs have been performed
in several species, a comprehensive analysis including evolutionary, structural
and functional analysis has not been carried out in soybean (Glycine max). In
this study, we identified 185 putative LecRLK genes in the soybean genome,
including 123 G-type, 60 L-type and 2 C-type LecRLK genes. Tandem duplication and
segmental duplication appear to be the main mechanisms of gene expansion in the
soybean LecRLK (GmLecRLK) gene family. According to our phylogenetic analysis, G
type and L-type GmLecRLK genes can be organized into fourteen and eight
subfamilies, respectively. The subfamilies within the G-type GmLecRLKs differ
from each other in gene structure and/or protein domains and motifs, which
indicates that the subfamilies have diverged. The evolution of L-type GmLecRLKs
has been more conservative: most genes retain the same gene structures and nearly
the same protein domain and motif architectures. Furthermore, the expression
profiles of G-type and L-type GmLecRLK genes show evidence of functional
redundancy and divergence within each group. Our results contribute to a better
understanding of the evolution and function of soybean LecRLKs and provide a
framework for further functional investigation of them.
PMID- 29651042
TI - Effects of scavenger receptors-1 class A stimulation on macrophage morphology and
highly modified advanced glycation end product-protein phagocytosis.
AB - Advanced glycation end-products (AGEs), which comprise non-enzymatically
glycosylated proteins, lipids, and nucleic acid amino groups, play an important
role in several diseases and aging processes including angiopathy, renal failure,
diabetic complications, and neurodegenerative diseases. Among AGE-associated
phenotypes, toxic AGEs, glyceraldehyde-derived AGE-2, and glycolaldehyde-derived
AGE-3 are involved in the pathogenesis of diabetic complications. In addition,
macrophages are reported to remove extracellular AGEs from tissues via scavenger
receptors, leading to the progression of atherosclerosis. In the present study,
we found that AGE-2 and AGE-3 enhanced their own endocytic uptake by RAW264.7
mouse macrophage-like cells in a concentration-dependent manner. Furthermore, we
demonstrated, for the first time, the morphology of phagocytic macrophages and
the endocytosis of AGE particles. The toxic AGEs induced the expression of a
scavenger receptor, CD204/scavenger receptors-1 class A (SR-A). Notably, an
antibody against CD204 significantly prevented toxic AGE uptake. Moreover, an SR
A antagonistic ligand, fucoidan, also attenuated the AGE-2- and AGE-3-evoked
uptake in a concentration-dependent manner. These results indicated that SR-A
stimulation, at least in part, plays a role in AGE uptake.
PMID- 29651044
TI - Yeast aconitase mitochondrial import is modulated by interactions of its C and N
terminal domains and Ssa1/2 (Hsp70).
AB - Molecules of single proteins, echoforms, can be distributed between two (or more)
subcellular locations, a phenomenon which we refer to as dual targeting or dual
localization. The yeast aconitase gene ACO1 (778 amino acids), encodes a single
translation product that is nonetheless dual localized to the cytosol and
mitochondria by a reverse translocation mechanism. The solved crystal structure
of aconitase isolated from porcine heart mitochondria shows that it has four
domains. The first three tightly associated N-terminal domains are tethered to
the larger C-terminal fourth domain (C-terminal amino acids 517-778). We have
previously shown that the aconitase C terminal domain constitutes an independent
dual targeting signal when fused to mitochondria-targeted passenger-proteins. We
show that the aconitase N and C-terminal domains interact and that this
interaction is important for efficient aconitase post translational import into
mitochondria and for aconitase dual targeting (relative levels of aconitase
echoforms). Our results suggest a "chaperone-like function" of the C terminal
domain towards the N terminal domains which can be modulated by Ssa1/2 (cytosolic
Hsp70).
PMID- 29651043
TI - A cell surface display fluorescent biosensor for measuring MMP14 activity in real
time.
AB - Despite numerous recent advances in imaging technologies, one continuing
challenge for cell biologists and microscopists is the visualization and
measurement of endogenous proteins as they function within living cells.
Achieving this goal will provide a tool that investigators can use to associate
cellular outcomes with the behavior and activity of many well-studied target
proteins. Here, we describe the development of a plasmid-based fluorescent
biosensor engineered to measure the location and activity of matrix
metalloprotease-14 (MMP14). The biosensor design uses fluorogen-activating
protein technology coupled with a MMP14-selective protease sequence to generate a
binary, "switch-on" fluorescence reporter capable of measuring MMP14 location,
activity, and temporal dynamics. The MMP14-fluorogen activating protein biosensor
approach is applicable to both short and long-term imaging modalities and
contains an adaptable module that can be used to study many membrane-bound
proteases. This MMP14 biosensor promises to serve as a tool for the advancement
of a broad range of investigations targeting MMP14 activity during cell migration
in health and disease.
PMID- 29651045
TI - Dissolved organic carbon leaching from plastics stimulates microbial activity in
the ocean.
AB - Approximately 5.25 trillion plastic pieces are floating at the sea surface. The
impact of plastic pollution on the lowest trophic levels of the food web,
however, remains unknown. Here we show that plastics release dissolved organic
carbon (DOC) into the ambient seawater stimulating the activity of heterotrophic
microbes. Our estimates indicate that globally up to 23,600 metric tons of DOC
are leaching from marine plastics annually. About 60% of it is available to
microbial utilization in less than 5 days. If exposed to solar radiation,
however, this DOC becomes less labile. Thus, plastic pollution of marine surface
waters likely alters the composition and activity of the base of the marine food
webs. It is predicted that plastic waste entering the ocean will increase by a
factor of ten within the next decade, resulting in an increase in plastic-derived
DOC that might have unaccounted consequences for marine microbes and for the
ocean system.
PMID- 29651046
TI - Understanding physical (in-) activity, overweight, and obesity in childhood:
Effects of congruence between physical self-concept and motor competence.
AB - Both the physical self-concept and actual motor competence are important for
healthy future physical activity levels and consequently decrease overweight and
obesity in childhood. However, children scoring high on motor competence do not
necessarily report high levels of physical self-concept and vice versa, resulting
in respective (in-) accuracy also referred to as (non-) veridicality. This study
examines whether children's accuracy of physical self-concept is a meaningful
predictive factor for their future physical activity. Motor competence, physical
self-concept and physical activity were assessed in 3rd grade and one year later
in 4th grade. Children's weight status was categorized based on WHO
recommendations. Polynomial regression with Response surface analyses were
conducted with a quasi-DIF approach examining moderating weight status effects.
Analyses revealed that children with higher motor competence levels and higher
self-perceptions show greater physical activity. Importantly, children who
perceive their motor competence more accurately (compared to less) show more
future physical activity. This effect is strong for underweight and
overweight/obese children, but weak for normal weight children. This study
indicates that an accurate self-perception of motor competence fosters future
physical activity beyond single main effects, respectively. Hence, the promotion
of actual motor competence should be linked with the respective development of
accurate self-knowledge.
PMID- 29651047
TI - Perturbation of the yeast mitochondrial lipidome and associated membrane proteins
following heterologous expression of Artemia-ANT.
AB - Heterologous expression is a landmark technique for studying a protein itself or
its effect on the expression host, in which membrane-embedded proteins are a
common choice. Yet, the impact of inserting a foreign protein to the lipid
environment of host membranes, has never been addressed. Here we demonstrated
that heterologous expression of the Artemia franciscana adenine nucleotide
translocase (ANT) in yeasts altered lipidomic composition of their inner
mitochondrial membranes. Along with this, activities of complex II, IV and ATP
synthase, all membrane-embedded components, were significantly decreased while
their expression levels remained unaffected. Although the results represent an
individual case of expressing a crustacean protein in yeast inner mitochondrial
membranes, it cannot be excluded that host lipidome alterations is a more
widespread epiphenomenon, potentially biasing heterologous expression
experiments. Finally, our results raise the possibility that not only lipids
modulate protein function, but also membrane-embedded proteins modulate lipid
composition, thus revealing a reciprocal mode of regulation for these two
biomolecular entities.
PMID- 29651048
TI - Emotion and anxiety potentiate the way attention alters visual appearance.
AB - The ability to swiftly detect and prioritize the processing of relevant
information around us is critical for the way we interact with our environment.
Selective attention is a key mechanism that serves this purpose, improving
performance in numerous visual tasks. Reflexively attending to sudden information
helps detect impeding threat or danger, a possible reason why emotion modulates
the way selective attention affects perception. For instance, the sudden
appearance of a fearful face potentiates the effects of exogenous (involuntary,
stimulus-driven) attention on performance. Internal states such as trait anxiety
can also modulate the impact of attention on early visual processing. However,
attention does not only improve performance; it also alters the way visual
information appears to us, e.g. by enhancing perceived contrast. Here we show
that emotion potentiates the effects of exogenous attention on both performance
and perceived contrast. Moreover, we found that trait anxiety mediates these
effects, with stronger influences of attention and emotion in anxious observers.
Finally, changes in performance and appearance correlated with each other, likely
reflecting common attentional modulations. Altogether, our findings show that
emotion and anxiety interact with selective attention to truly alter how we see.
PMID- 29651050
TI - Drought and plant neighbourhood interactively determine herbivore consumption and
performance.
AB - Both plant neighbourhood composition and drought have well-known independent
effects on insect herbivore performance, but their interactive effects remain
elusive. In this study we performed a laboratory experiment to investigate the
independent and combined effects of plant neighbourhood composition and drought
on the performance of Gypsy moth larvae (Lymantria dispar) feeding on silver
birch (Betula pendula) leaves. For this, we collected leaf samples from birch
trees growing in a field experiment where we manipulated both host-tree species
diversity (three levels: birch monocultures, two-species mixtures associating
birch with the pedunculate oak Quercus robur or maritime pine Pinus pinaster, and
three-species mixture with pedunculate oak, the maritime pine and birch) and
water availability (two levels: irrigated vs. non-irrigated). In most cases,
plant neighbourhood composition and irrigation treatments independently and
interactively affected herbivore performance traits, especially those related to
growth and food (i.e. birch leaves) processing. By addressing the interactive
effects of tree species diversity and drought on insect herbivory from the
herbivore's point of view, our study builds toward a better understanding of the
multiple ecological drivers of plant-insect interactions.
PMID- 29651049
TI - GABAergic inhibition in dual-transmission cholinergic and GABAergic striatal
interneurons is abolished in Parkinson disease.
AB - We report that half striatal cholinergic interneurons are dual transmitter
cholinergic and GABAergic interneurons (CGINs) expressing ChAT, GAD65, Lhx7, and
Lhx6 mRNAs, labeled with GAD and VGAT, generating monosynaptic dual
cholinergic/GABAergic currents and an inhibitory pause response. Dopamine
deprivation increases CGINs ongoing activity and abolishes GABAergic inhibition
including the cortico-striatal pause because of high [Cl-]i levels. Dopamine
deprivation also dramatically increases CGINs dendritic arbors and monosynaptic
interconnections probability, suggesting the formation of a dense CGINs network.
The NKCC1 chloride importer antagonist bumetanide, which reduces [Cl-]i levels,
restores GABAergic inhibition, the cortico-striatal pause-rebound response, and
attenuates motor effects of dopamine deprivation. Therefore, most of the striatal
cholinergic excitatory drive is balanced by a concomitant powerful GABAergic
inhibition that is impaired by dopamine deprivation. The attenuation by
bumetanide of cardinal features of Parkinson's disease paves the way to a novel
therapeutic strategy based on a restoration of low [Cl-]i levels and GABAergic
inhibition.
PMID- 29651051
TI - Collagen IV-conveyed signals can regulate chemokine production and promote liver
metastasis.
AB - Liver metastases remain a major cause of death from gastrointestinal tract
cancers as well as from other malignancies such as breast and lung carcinomas and
melanoma. Understanding the underlying biology is essential for the design of
effective targeted therapies. We previously reported that collagen IV
alpha1/alpha2 overexpression in non-metastatic lung carcinoma (M27colIV) cells
increased their metastatic ability, specifically to the liver and documented high
collagen IV levels in surgical resections of liver metastases from diverse tumor
types. Here, we aimed to elucidate the functional relevance of collagen IV to
metastatic outgrowth in the liver. Gene expression profiling revealed in
M27colIVcells significant increases in the expression of chemokines CCL5 (5.7
fold) and CCL7 (2.6-fold) relative to wild-type cells, and this was validated by
qPCR and western blotting. Similarly, in human colon carcinoma KM12C and KM12SM
cells with divergent liver-colonizing potentials, CCL7 and CCL5 production
correlated with type IV collagen expression and the metastatic phenotype. CCL7
silencing by short hairpin RNA (shRNA) reduced experimental liver metastasis in
both cell types, whereas CCL5 silencing reduced metastasis of M27colIV cells,
implicating these cytokines in metastatic expansion in the liver. Subsequent
functional analyses implicated both MEK/ERK and PI3K signaling upstream of CCL7
upregulation and identified CCL7 (but not CCL5) as a critical migration/invasion
factor, acting via the chemokine receptor CCR3. Chemokine CCL5 was identified as
a regulator of the T-cell immune response in the liver. Loss of CCL7 in KM12SM
cells was also associated with altered E-cadherin and reduced vimentin and Snail
expression, implicating it in epithelial-to-mesenchymal transition in these
cells. Moreover, in clinical specimens of colon cancer liver metastases analyzed
by immunohistochemistry, CCL5 and CCL7 levels paralleled those of collagen IV.
The results identify the chemokines CCL5 and CCL7 as type IV collagen-regulated
genes that promote liver metastasis by distinct and complementary mechanisms.
PMID- 29651052
TI - Management of glycemic variation in diabetic patients receiving parenteral
nutrition by continuous subcutaneous insulin infusion (CSII) therapy.
AB - To compare the continuous subcutaneous insulin infusion (CSII) or insulin
glargine based multiple injections (MDI) therapy on glycemic variations in
diabetic patients receiving PN outside of intensive care settings. This was a
single-center, randomized, open-label trial. Patients with type 2 diabetes (T2D)
who were receiving parenteral nutrition (PN) were recruited. After baseline data
were collected, recruited patients were then randomized 1:1 to a CSII group or a
MDI group. All patients were subjected to a 4-day retrospective Continuous
Glucose Monitoring (CGM). The primary endpoint was the differences of the 24-hrs
mean amplitude of glycemic excursion (MAGE) in patients receiving the PN therapy
between the two groups. A total of 102 patients with T2D receiving PN were
recruited. Patients in the CSII group had a significantly decreased mean glucose
level (MBG), the standard deviation of MG (SDBG), MAGE, and the coefficient of
variation (CV%) compared to those in MDI group (all P < 0.01). Furthermore, we
found that the patients who received a bolus insulin dose required maintaining
euglycemic control was gradually decreased during the PN period in both groups at
the endpoint. The administration of insulin via CSII led to a significant
decrease in glycemic variations in patients receiving PN.
PMID- 29651053
TI - Targeted in situ genome-wide profiling with high efficiency for low cell numbers.
AB - Cleavage under targets and release using nuclease (CUT&RUN) is an epigenomic
profiling strategy in which antibody-targeted controlled cleavage by micrococcal
nuclease releases specific protein-DNA complexes into the supernatant for paired
end DNA sequencing. As only the targeted fragments enter into solution, and the
vast majority of DNA is left behind, CUT&RUN has exceptionally low background
levels. CUT&RUN outperforms the most widely used chromatin immunoprecipitation
(ChIP) protocols in resolution, signal-to-noise ratio and depth of sequencing
required. In contrast to ChIP, CUT&RUN is free of solubility and DNA
accessibility artifacts and has been used to profile insoluble chromatin and to
detect long-range 3D contacts without cross-linking. Here, we present an improved
CUT&RUN protocol that does not require isolation of nuclei and provides high
quality data when starting with only 100 cells for a histone modification and
1,000 cells for a transcription factor. From cells to purified DNA, CUT&RUN
requires less than a day at the laboratory bench and requires no specialized
skills.
PMID- 29651055
TI - Incorporation of isotopic, fluorescent, and heavy-atom-modified nucleotides into
RNAs by position-selective labeling of RNA.
AB - Site-specific incorporation of labeled nucleotides is an extremely useful
synthetic tool for many structural studies (e.g., NMR, electron paramagnetic
resonance (EPR), fluorescence resonance energy transfer (FRET), and X-ray
crystallography) of RNA. However, specific-position-labeled RNAs >60 nt are not
commercially available on a milligram scale. Position-selective labeling of RNA
(PLOR) has been applied to prepare large RNAs labeled at desired positions, and
all the required reagents are commercially available. Here, we present a step-by
step protocol for the solid-liquid hybrid phase method PLOR to synthesize 71-nt
RNA samples with three different modification applications, containing (i) a
13C15N-labeled segment; (ii) discrete residues modified with Cy3, Cy5, or biotin;
or (iii) two iodo-U residues. The flexible procedure enables a wide range of
downstream biophysical analyses using precisely localized functionalized
nucleotides. All three RNAs were obtained in <2 d, excluding time for preparing
reagents and optimizing experimental conditions. With optimization, the protocol
can be applied to other RNAs with various labeling schemes, such as ligation of
segmentally labeled fragments.
PMID- 29651057
TI - Device integrates with practice management software.
PMID- 29651054
TI - Integrated design, execution, and analysis of arrayed and pooled CRISPR genome
editing experiments.
AB - CRISPR (clustered regularly interspaced short palindromic repeats) genome-editing
experiments offer enormous potential for the evaluation of genomic loci using
arrayed single guide RNAs (sgRNAs) or pooled sgRNA libraries. Numerous
computational tools are available to help design sgRNAs with optimal on-target
efficiency and minimal off-target potential. In addition, computational tools
have been developed to analyze deep-sequencing data resulting from genome-editing
experiments. However, these tools are typically developed in isolation and
oftentimes are not readily translatable into laboratory-based experiments. Here,
we present a protocol that describes in detail both the computational and
benchtop implementation of an arrayed and/or pooled CRISPR genome-editing
experiment. This protocol provides instructions for sgRNA design with CRISPOR
(computational tool for the design, evaluation, and cloning of sgRNA sequences),
experimental implementation, and analysis of the resulting high-throughput
sequencing data with CRISPResso (computational tool for analysis of genome
editing outcomes from deep-sequencing data). This protocol allows for design and
execution of arrayed and pooled CRISPR experiments in 4-5 weeks by non-experts,
as well as computational data analysis that can be performed in 1-2 d by both
computational and noncomputational biologists alike using web-based and/or
command-line versions.
PMID- 29651058
TI - Google or your dentist?
AB - Assessment of information resources for people with hypodontia 2018; 4: 18001
http://dx.doi.org/10.1038/bdjopen.2018.1.
PMID- 29651056
TI - Evidence of exposure of domestic pigs to Highly Pathogenic Avian Influenza H5N1
in Nigeria.
AB - Avian influenza viruses (AIV) potentially transmit to swine as shown by
experiments, where further reassortment may contribute to the generation of
pandemic strains. Associated risks of AIV inter-species transmission are greater
in countries like Nigeria with recurrent epidemics of highly pathogenic AI (HPAI)
in poultry and significant pig population. Analysis of 129 tracheal swab
specimens collected from apparently healthy pigs at slaughterhouse during
presence of HPAI virus H5N1 in poultry in Nigeria for influenza A by RT-qPCR
yielded 43 positive samples. Twenty-two could be determined by clade specific RT
qPCR as belonging to the H5N1 clade 2.3.2.1c and confirmed by partial
hemagglutinin (HA) sequence analysis. In addition, 500 swine sera were screened
for antibodies against influenza A virus nucleoprotein and H5 HA using
competition ELISAs and hemagglutination inhibition (HI) tests. Serologically, 222
(44.4%) and 42 (8.4%) sera were positive for influenza A virus NP and H5
antibodies, respectively. Sera reacted to H5N1 and A/H1N1pdm09 strains by HI
suggesting exposure of the Nigerian domestic pig population to these viruses. We
report for the first time in Nigeria, exposure of domestic pigs to H5N1 virus.
This poses potential public health and pandemic risk due to interspecies
transmission of avian and human influenza viruses.
PMID- 29651059
TI - Aesthetic possibilities in removable prosthodontics. Part 3: Photometric tooth
selection, tooth setting, try-in, fitting, reviewing and trouble-shooting.
AB - This final article in a series of three on producing complete dentures which the
patient considers attractive, describes selecting the denture teeth, setting the
front teeth at the chairside, the try-in visits, processing, fitting and
reviewing the dentures. The role of the patient as captain of the ship, the
dental nurse as the patient's support and liaison officer, and the clinician as
the first technical officer is outlined. The use of immediate replay video
technology in allowing a patient to see what the trial denture really looks like
is described. It is vital that the patient is completely happy with its
appearance in every detail before any denture is finished. Dealing with post
fitting aesthetic problems is considered.
PMID- 29651060
TI - BAD SCIENCE: Oil pulling.
PMID- 29651062
TI - Layering composites just got easier.
PMID- 29651061
TI - Start your career in implant dentistry.
PMID- 29651063
TI - Environmental issues: Dental sustainability.
PMID- 29651065
TI - A sustainable, fish-friendly toothbrush.
PMID- 29651064
TI - We need to eat less sugar - but how?
AB - Factors related to reducing free sugar intake among white ethnic adults in the
UK: a qualitative study 2018; 4: 17024 http://dx.doi.org/10.1038/bdjopen.2017.24.
PMID- 29651066
TI - An unparalleled digital experience.
PMID- 29651068
TI - Time capsule sealed in new ENT and dental hospital building.
PMID- 29651067
TI - Prosthetic Dentistry Department reunion planned.
PMID- 29651069
TI - OMFS: Instant relief.
PMID- 29651070
TI - BDA Branch AGM Notices.
PMID- 29651071
TI - The new place to go for all things dental.
PMID- 29651073
TI - Flexible payment plans launched.
PMID- 29651074
TI - Scaling new heights in patient care.
PMID- 29651075
TI - Quicker, easier posterior restorations.
PMID- 29651076
TI - Martin Downer.
PMID- 29651077
TI - New BDA member events.
PMID- 29651078
TI - Competence, competency-based education and undergraduate dental education: a
discussion paper.
AB - The competence of dental educators is as important as the competence of dental
graduates.
PMID- 29651080
TI - DENTISTS ON FILM: Lethal Weapon 4.
PMID- 29651079
TI - A 'happy-air' alternative to general anaesthetic?
AB - Does relative analgesia with nitrous oxide reduce the number of general
anaesthetic sessions and dental loss? 2018; 224: 429-433
http://dx.doi.org/10.1038/sj.bdj.2018.215.
PMID- 29651081
TI - Flexible new plans.
PMID- 29651082
TI - Christmas issue 2018 - call for content.
PMID- 29651083
TI - Nature's own powerful, non-toxic disinfectant.
PMID- 29651086
TI - Providing a dedicated service to dental practices.
PMID- 29651087
TI - Data is or data are.
PMID- 29651088
TI - Culture and its influence on dental education.
AB - An understanding of the differences in European culture may benefit student
learning.
PMID- 29651090
TI - PERSPECTIVES: The dental recruitment crisis.
PMID- 29651089
TI - Healthcare provision: Registration and retention of dentists.
PMID- 29651091
TI - Special care dentistry: Advanced care planning.
PMID- 29651092
TI - The first BDJ Clinician's Guide is published.
PMID- 29651093
TI - Maxillary position.
PMID- 29651094
TI - Drain away the pain.
AB - Efficacy of first aid treatment of acute apical abscess in an NHS emergency
clinic 2018; 224: 523-527 http://dx.doi.org/10.1038/sj.bdj.2018.xxx.
PMID- 29651096
TI - #JawSurgery: Analysis of social media use in orthognathic surgery patients.
PMID- 29651095
TI - Validation of ultrasound bioimaging to predict worm burden and treatment efficacy
in preclinical filariasis drug screening models.
AB - Filariasis is a global health problem targeted for elimination. Curative drugs
(macrofilaricides) are required to accelerate elimination. Candidate
macrofilaricides require testing in preclinical models of filariasis. The
incidence of infection failures and high intra-group variation means that large
group sizes are required for drug testing. Further, a lack of accurate,
quantitative adult biomarkers results in protracted timeframes or multiple groups
for endpoint analyses. Here we evaluate intra-vital ultrasonography (USG) to
identify B. malayi in the peritonea of gerbils and CB.17 SCID mice and assess
prognostic value in determining drug efficacy. USG operators, blinded to
infection status, could detect intra-peritoneal filarial dance sign (ipFDS) with
100% specificity and sensitivity, when >5 B. malayi worms were present in SCID
mice. USG ipFDS was predictive of macrofilaricidal activity in randomized,
blinded studies comparing flubendazole, albendazole and vehicle-treated SCID
mice. Semi-quantification of ipFDS could predict worm burden >10 with 87-100%
accuracy in SCID mice or gerbils. We estimate that pre-assessment of worm burden
by USG could reduce intra-group variation, obviate the need for surgical
implantations in gerbils, and reduce total SCID mouse use by 40%. Thus,
implementation of USG may reduce animal use, refine endpoints and negate invasive
techniques for assessing anti-filarial drug efficacy.
PMID- 29651098
TI - Implantable cardioverter defibrillators in chronic kidney disease.
PMID- 29651097
TI - Unraveling the compromised biomechanical performance of type 2 diabetes- and Roux
en-Y gastric bypass bone by linking mechanical-structural and physico-chemical
properties.
AB - Type 2 diabetes mellitus (T2DM) is a metabolic disorder associated with obesity
and hyperglycemia. Roux-en-Y gastric bypass (RYGB) surgery is a common treatment
for severely obese patients and T2DM. Both RYGB and T2DM are linked to increased
skeletal fragility, though the exact mechanisms are poorly understood. Our aim
was to characterize the structural, mechanical and compositional properties of
bones from diet-induced obese and RYGB-treated obese (bypass) mice to elucidate
which the exact factors are contributing to the increased skeletal fragility. To
achieve this, a combinatory approach including microfocus X-ray computed
tomography, 3-point bending, finite element modeling and Raman spectroscopy, was
used. Compared to aged-matched lean controls, the obese mice displayed decreased
cortical thickness, trabecular bone loss, decreased stiffness and increased
Young's modulus. For the bypass mice, these alterations were even more
pronounced, and additionally they showed low mineral-to-matrix ratio in the
cortical endosteal area. Accumulation of the advanced glycation end-product (AGE)
pentosidine was found in the cortex of obese and bypass groups and this
accumulation was correlated with an increased Young's modulus. In conclusion, we
found that the increased fracture risk in T2DM- and post-RYGB bones is mainly
driven by accumulation of AGEs and macro-structural alterations, generating
biomechanical dysfunctionality.
PMID- 29651099
TI - Author Correction: Disposition of a Glucose Load into Hepatic Glycogen by Direct
and Indirect Pathways in Juvenile Seabass and Seabream.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651100
TI - Transcriptomics: Finding structure in gene expression.
PMID- 29651101
TI - Author Correction: Essential role of mitochondrial Stat3 in p38MAPK mediated
apoptosis under oxidative stress.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651102
TI - Exosomes from adipose-derived stem cells overexpressing Nrf2 accelerate cutaneous
wound healing by promoting vascularization in a diabetic foot ulcer rat model.
AB - Diabetic foot ulcers (DFU) increase the risks of infection and amputation in
patients with diabetes mellitus (DM). The impaired function and senescence of
endothelial progenitor cells (EPCs) and high glucose-induced ROS likely
exacerbate DFUs. We assessed EPCs in 60 patients with DM in a hospital or primary
care setting. We also evaluated the therapeutic effects of exosomes secreted from
adipose-derived stem cells (ADSCs) on stress-mediated senescence of EPCs induced
by high glucose. Additionally, the effects of exosomes and Nrf2 overexpression in
ADSCs were investigated in vitro and in vivo in a diabetic rat model. We found
that ADSCs that secreted exosomes promoted proliferation and angiopoiesis in EPCs
in a high glucose environment and that overexpression of Nrf2 increased this
protective effect. Wounds in the feet of diabetic rats had a significantly
reduced ulcerated area when treated with exosomes from ADSCs overexpressing Nrf2.
Increased granulation tissue formation, angiogenesis, and levels of growth factor
expression as well as reduced levels of inflammation and oxidative stress-related
proteins were detected in wound beds. Our data suggest that exosomes from ADSCs
can potentially promote wound healing, particularly when overexpressing Nrf2 and
therefore that the transplantation of exosomes may be suitable for clinical
application in the treatment of DFUs.
PMID- 29651103
TI - Versatile and efficient chromatin pull-down methodology based on DNA triple helix
formation.
AB - The goal of present paper is to develop a reliable DNA-based method for isolation
of protein complexes bound to DNA (Isolation of DNA Associated Proteins: IDAP).
We describe a robust and versatile procedure to pull-down chromatinized DNA
sequences-of-interest by formation of a triple helix between a sequence tag
present in the DNA and a complementary triple helix forming oligonucleotide (TFO)
coupled to a desthiobiotin residue. Following optimization to insure efficient
recovery of native plasmids via TFO probe in vitro, the procedure is shown to
work under various experimental situations. For instance, it allows capture
proteins associated to plasmids hosted in E. coli, and is also successfully
applied to recovering nucleosomes in vitro opening many possibilities to study
post translational modifications of histones in a genuine nucleosome context.
Incubation in human nuclear extracts of a plasmid carrying a NF-kappaB model
promoter is shown to pull-down a specific transcription factor. Finally,
isolation of a specific locus from human genomic chromatin has been successfully
achieved (Chromatin-of-Interest Fragment Isolation: CoIFI). In conclusion, the
methodology can be implemented for capturing proteins that specifically bind to
any sequence-of-interest, DNA adduct or secondary structure provided a short
sequence tag for triple helix formation is located nearby.
PMID- 29651104
TI - Author Correction: Glomerulocapillary miRNA response to HLA-class I antibody in
vitro and in vivo.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651106
TI - Senotherapeutics for healthy ageing.
PMID- 29651107
TI - Circular RNA circ-4099 is induced by TNF-alpha and regulates ECM synthesis by
blocking miR-616-5p inhibition of Sox9 in intervertebral disc degeneration.
AB - Circular RNAs (circRNAs) play important roles in the initiation and development
of different diseases. Here, we detected their role in intervertebral disc (IVD)
degeneration. An Arraystar human circular RNA microarray assay was used to detect
circRNAs in normal and degenerated human IVD nucleus pulposus (NP) tissues. The
role of circ-4099 in IVDD and its mechanism were evaluated by qRT-PCR and gain-of
function/loss-of-function studies. Interaction networks for competing endogenous
RNAs (ceRNAs), miRNAs, and miRNA target gene were detected by bioinformatics
analysis, RNA immunoprecipitation and luciferase assay. Expression of seventy-two
circRNAs were increased by more than twofold in degenerated NP tissues. qRT-PCR
showed that the expression of circ-4099 in NP tissues was consistent with that of
the array screening. Over-expression of circ-4099 increased the expression of
Collagen II and Aggrecan and decreased the secretion of the pro-inflammatory
factors IL-1beta, TNF-alpha, and PGE2. TNF-alpha treatment increased circ-4099
expression in NP cells. NF-kappaB/MAPK inhibitors or shRNAs abolished the
inductive effects of TNF-alpha on circ-4099 expression. We further demonstrated
that circ-4099 was able to function as a "sponge" by competitively binding miR
616-5p, which reversed the suppression of Sox9 by miR-616-5p. We used DNA pull
down and spectrometry experiments to show that TNF-alpha can promote circ-4099
transcription through upregulation of GRP78. We provide the first evidence that
shows circRNAs are differentially expressed in degenerated and normal NP tissues.
Circ-4099 may play a role in a protective mechanism and be part of a compensatory
response that maintains the synthesis and secretion of the extracellular matrix
in NP cells and might be a protective factor in IVD degeneration as well as
restore NP cell function.
PMID- 29651108
TI - 3D artificial round section micro-vessels to investigate endothelial cells under
physiological flow conditions.
AB - In the context of xenotransplantation, in ischemia/reperfusion injury as well as
in cardiovascular research, the study of the fascinating interplay between
endothelial cells (EC) and the plasma cascade systems often requires in vitro
models. Blood vessels are hardly reproducible with standard flat-bed culture
systems and flow-plate assays are limited in their low surface-to-volume ratio
which impedes the study of the anticoagulant properties of the endothelial cells.
According to the 3R regulations (reduce, replace and refine animal
experimentation) we developed a closed circuit microfluidic in vitro system in
which endothelial cells are cultured in 3D round section microchannels and
subjected to physiological, pulsatile flow. In this study, a 3D monolayer of
porcine aortic EC was perfused with human serum to mimic a xenotransplantation
setting. Complement as well as EC activation was assessed in the presence or
absence of complement inhibitors showing the versatility of the model for drug
testing. Complement activation products as well as E-selectin expression were
detected and visualized in situ by high resolution confocal microscopy.
Furthermore, porcine pro-inflammatory cytokines as well as soluble complement
components in the recirculating fluid phase were detected after human serum
perfusion providing a better overview of the artificial vascular environment.
PMID- 29651109
TI - Author Correction: Non-invasive three-dimension control of light between turbid
layers using a surface quasi-point light source for precorrection.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651105
TI - Chemical probes and drug leads from advances in synthetic planning and
methodology.
AB - Screening of small-molecule libraries is a productive method for identifying both
chemical probes of disease-related targets and potential starting points for drug
discovery. In this article, we focus on strategies such as diversity-oriented
synthesis that aim to explore novel areas of chemical space efficiently by
populating small-molecule libraries with compounds containing structural features
that are typically under-represented in commercially available screening
collections. Drawing from more than a decade's worth of examples, we highlight
how the design and synthesis of such libraries have been enabled by modern
synthetic chemistry, and we illustrate the impact of the resultant chemical
probes and drug leads in a wide range of diseases.
PMID- 29651110
TI - Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer
deposition on indium arsenide.
AB - Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers
that are central to all modern metal-oxide-semiconductor circuits. Crucial to
achieving superior device performance are the chemical reactions during the first
deposition cycle, which could ultimately result in atomic-scale perfection of the
semiconductor-oxide interface. Here, we directly observe the chemical reactions
at the surface during the first cycle of hafnium dioxide deposition on indium
arsenide under realistic synthesis conditions using photoelectron spectroscopy.
We find that the widely used ligand exchange model of the ALD process for the
removal of native oxide on the semiconductor and the simultaneous formation of
the first hafnium dioxide layer must be significantly revised. Our study provides
substantial evidence that the efficiency of the self-cleaning process and the
quality of the resulting semiconductor-oxide interface can be controlled by the
molecular adsorption process of the ALD precursors, rather than the subsequent
oxide formation.
PMID- 29651111
TI - Author Correction: Natural Erosion of Sandstone as Shape Optimisation.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651112
TI - Behavioural medicine and gastrointestinal disorders: the promise of positive
psychology.
AB - Psychosocial risk factors linked to brain-gut dysregulation are prevalent across
the spectrum of gastrointestinal disorders and are associated with poor patient
outcomes. Robust and reproducible data in the areas of behavioural intervention
science and the brain-gut axis have led to major advances in patient care,
including the routine use of brain-gut psychotherapies to manage digestive
symptoms and optimize coping. The logical next step for the emerging field of
psychogastroenterology is to develop a scientific framework that enables the
identification of those individual characteristics and coping styles that buffer
patients against the negative psychological effects of chronic gastrointestinal
disorders. A shift towards a strength-based, positive psychological science of
gastrointestinal disorders could facilitate the integration of early, effective
psychological care into gastroenterology practice. In this Perspective, I discuss
the potential role of three human strengths with relevance to gastrointestinal
health - resilience, optimism and self-regulation - and how these three
constructs can be cultivated through existing or emerging brain-gut
psychotherapies.
PMID- 29651113
TI - Endogenous reference RNAs for microRNA quantitation in formalin-fixed, paraffin
embedded lymph node tissue.
AB - Lymph node metastasis is one of the most important factors for tumor
dissemination. Quantifying microRNA (miRNA) expression using real-time PCR in
formalin-fixed, paraffin-embedded (FFPE) lymph node can provide valuable
information regarding the biological research for cancer metastasis. However, a
universal endogenous reference gene has not been identified in FFPE lymph node.
This study aimed to identify suitable endogenous reference genes for miRNA
expression analysis in FFPE lymph node. FFPE lymph nodes were obtained from 41
metastatic cancer and from 16 non-cancerous tissues. We selected 10 miRNAs as
endogenous reference gene candidates using the global mean method. The stability
of candidate genes was assessed by the following four statistical tools:
BestKeeper, geNorm, NormFinder, and the comparative DeltaCt method. miR-103a was
the most stable gene among candidate genes. However, the use of a single miR-103a
was not recommended because its stability value exceeded the reference value.
Thus, we combined stable genes and investigated the stability and the effect of
gene normalization. The combination of miR-24, miR-103a, and let-7a was
identified as one of the most stable sets of endogenous reference genes for
normalization in FFPE lymph node. This study may provide a basis for miRNA
expression analysis in FFPE lymph node tissue.
PMID- 29651115
TI - Discovery of the World's Smallest Terrestrial Pteridophyte.
AB - Ophioglossum L. commonly known as "adder's tongue fern", has been of great
interest due to the highest number of chromosomes in any organism so far known in
biological world. Here, a new species of adder's tongue fern has been discovered
and reported from Western Ghats of India. It is prominently distinct from the
other known taxa in Ophioglossaceae family. Phylogenetic analysis of three
chloroplast DNA (cpDNA) regions (trnL-F, rbcL and psbA-trnH) unambiguously
designate this adder's tongue fern as the distinct lineage and is sister to the
clade containing O. parvifolium and O. nudicaule. Azolla caroliniana - an aquatic
fern (average size, 0.5-1.5 cm), is the smallest fern on the earth. Our discovery
discloses a new species of adder's tongue fern and ranking it among the smallest
terrestrial fern in the world, attaining an average size of only 1-1.2 cm.
PMID- 29651114
TI - A mechanistic framework for auxin dependent Arabidopsis root hair elongation to
low external phosphate.
AB - Phosphate (P) is an essential macronutrient for plant growth. Roots employ
adaptive mechanisms to forage for P in soil. Root hair elongation is particularly
important since P is immobile. Here we report that auxin plays a critical role
promoting root hair growth in Arabidopsis in response to low external P. Mutants
disrupting auxin synthesis (taa1) and transport (aux1) attenuate the low P root
hair response. Conversely, targeting AUX1 expression in lateral root cap and
epidermal cells rescues this low P response in aux1. Hence auxin transport from
the root apex to differentiation zone promotes auxin-dependent hair response to
low P. Low external P results in induction of root hair expressed auxin-inducible
transcription factors ARF19, RSL2, and RSL4. Mutants lacking these genes disrupt
the low P root hair response. We conclude auxin synthesis, transport and response
pathway components play critical roles regulating this low P root adaptive
response.
PMID- 29651116
TI - Angular flux creep contributions in YBa2Cu3O7-delta nanocomposites from
electrical transport measurements.
AB - The shape of the electric-field-current-density (E-J) curve is determined by flux
pinning and also by dynamics of vortices. Here, we propose a novel methodology to
study the normalized flux creep rate S in YBa2Cu3O7-delta measured from E-J
curves obtained by electrical transport measurements that provides a fast and
versatile way to foresee the flux magnetic relaxation in films and disentangle
angular flux creep contributions by the scaling of the isotropic contribution of
S. After a detailed comparison of various pristine and nanocomposite films with
differentiated nanostructures, we focus on the roles that intrinsic pinning and
stacking faults (YBa2Cu4O8-intergrowths) play when the magnetic field is applied
parallel to the superconducting CuO2 planes. This study reveals that the emerging
intergrowths provide advanced pinning properties that additionally reduce the
thermal activated flux magnetic relaxation. For this purpose, creep analysis
becomes a very appropriate tool to elucidate the dominance of the different
pinning sites at different regions of the magnetic-field-temperature diagram.
PMID- 29651118
TI - Myositis: Are autoantibodies pathogenic in necrotizing myopathy?
PMID- 29651117
TI - Field validation of recombinant antigen immunoassays for diagnosis of Lassa
fever.
AB - Lassa fever, a hemorrhagic fever caused by Lassa virus (LASV), is endemic in West
Africa. It is difficult to distinguish febrile illnesses that are common in West
Africa from Lassa fever based solely on a patient's clinical presentation. The
field performance of recombinant antigen-based Lassa fever immunoassays was
compared to that of quantitative polymerase chain assays (qPCRs) using samples
from subjects meeting the case definition of Lassa fever presenting to Kenema
Government Hospital in Sierra Leone. The recombinant Lassa virus (ReLASV) enzyme
linked immunosorbant assay (ELISA) for detection of viral antigen in blood
performed with 95% sensitivity and 97% specificity using a diagnostic standard
that combined results of the immunoassays and qPCR. The ReLASV rapid diagnostic
test (RDT), a lateral flow immunoassay based on paired monoclonal antibodies to
the Josiah strain of LASV (lineage IV), performed with 90% sensitivity and 100%
specificity. ReLASV immunoassays performed better than the most robust qPCR
currently available, which had 82% sensitivity and 95% specificity. The
performance characteristics of recombinant antigen-based Lassa virus immunoassays
indicate that they can aid in the diagnosis of LASV Infection and inform the
clinical management of Lassa fever patients.
PMID- 29651120
TI - Myositis: Prognostic factors in 'pneumo-myositis'.
PMID- 29651119
TI - Update on outcome assessment in myositis.
AB - The adult and juvenile myositis syndromes, commonly referred to collectively as
idiopathic inflammatory myopathies (IIMs), are systemic autoimmune diseases with
the hallmarks of muscle weakness and inflammation. Validated, well-standardized
measures to assess disease activity, known as core set measures, were developed
by international networks of myositis researchers for use in clinical trials.
Composite response criteria using weighted changes in the core set measures of
disease activity were developed and validated for adult and juvenile patients
with dermatomyositis and adult patients with polymyositis, with different
thresholds for minimal, moderate and major improvement in adults and juveniles.
Additional measures of muscle strength and function are being validated to
improve content validity and sensitivity to change. A health-related quality of
life measure, which incorporates patient input, is being developed for adult
patients with IIM. Disease state criteria, including criteria for inactive
disease and remission, are being used as secondary end points in clinical trials.
MRI of muscle and immunological biomarkers are promising approaches to
discriminate between disease activity and damage and might provide much-needed
objective outcome measures. These advances in the assessment of outcomes for
myositis treatment, along with collaborations between international networks,
should facilitate further development of new therapies for patients with IIM.
PMID- 29651121
TI - Classification of myositis.
AB - The idiopathic inflammatory myopathies (IIMs; also known as myositis) are a
heterogeneous group of disorders in which a common feature is chronic
inflammation of skeletal muscle, leading to muscle weakness. Other organs are
frequently affected in IIMs, such as the skin, joints, lungs, gastrointestinal
tract and heart, contributing to morbidity and mortality. Currently, IIMs are
most often subclassified into polymyositis, dermatomyositis and inclusion body
myositis, but this subclassification has limitations as these subgroups often
have overlapping clinical and histopathological features, and outcomes vary
within the subgroups; additionally, subgroups without considerable myopathy are
not included. A new way of subgrouping patients could be on the basis of the
presence of myositis-specific autoantibodies. These autoantibodies are associated
with distinct clinical features and, moreover, can help to identify subsets of
IIMs in which extramuscular symptoms, such as skin manifestations, arthritis or
interstitial lung disease, might be the presenting or predominant feature when
muscle symptoms are mild or absent. The recognition that subphenotypes with
single-organ involvement other than muscles exist is important for identifying
patients with early disease, for clinical care demanding team management and in
designing clinical studies to improve our understanding of this heterogeneous
disease to develop new therapies.
PMID- 29651123
TI - Author Correction: Cascaded Kerr photon-blockade sources and applications in
quantum key distribution.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651122
TI - Metabarcoding analysis of strongylid nematode diversity in two sympatric primate
species.
AB - Strongylid nematodes in large terrestrial herbivores such as great apes, equids,
elephants, and humans tend to occur in complex communities. However,
identification of all species within strongylid communities using traditional
methods based on coproscopy or single nematode amplification and sequencing is
virtually impossible. High-throughput sequencing (HTS) technologies provide
opportunities to generate large amounts of sequence data and enable analyses of
samples containing a mixture of DNA from multiple species/genotypes. We designed
and tested an HTS approach for strain-level identification of gastrointestinal
strongylids using ITS-2 metabarcoding at the MiSeq Illumina platform in samples
from two free-ranging non-human primate species inhabiting the same environment,
but differing significantly in their host traits and ecology. Although we
observed overlapping of particular haplotypes, overall the studied primate
species differed in their strongylid nematode community composition. Using HTS,
we revealed hidden diversity in the strongylid nematode communities in non-human
primates, more than one haplotype was found in more than 90% of samples and
coinfections of more than one putative species occurred in 80% of samples. In
conclusion, the HTS approach on strongylid nematodes, preferably using fecal
samples, represents a time and cost-efficient way of studying strongylid
communities and provides a resolution superior to traditional approaches.
PMID- 29651124
TI - The Effects of Sampling Location and Predictor Point Estimate Certainty on
Posterior Support in Bayesian Phylogeographic Generalized Linear Models.
AB - The use of generalized linear models in Bayesian phylogeography has enabled
researchers to simultaneously reconstruct the spatiotemporal history of a virus
and quantify the contribution of predictor variables to that process. However,
little is known about the sensitivity of this method to the choice of the
discrete state partition. Here we investigate this question by analyzing a data
set containing 299 sequences of the West Nile virus envelope gene sampled in the
United States and fifteen predictors aggregated at four spatial levels. We
demonstrate that although the topology of the viral phylogenies was consistent
across analyses, support for the predictors depended on the level of aggregation.
In particular, we found that the variance of the predictor support metrics was
minimized at the most precise level for several predictors and maximized at more
sparse levels of aggregation. These results suggest that caution should be taken
when partitioning a region into discrete locations to ensure that interpretable,
reproducible posterior estimates are obtained. These results also demonstrate why
researchers should use the most precise discrete states possible to minimize the
posterior variance in such estimates and reveal what truly drives the diffusion
of viruses.
PMID- 29651125
TI - Genetic diversity and phylogenetic characteristics of Chinese Tibetan and Yi
minority ethnic groups revealed by non-CODIS STR markers.
AB - Non-CODIS STRs, with high polymorphism and allele frequency difference among
ethnically and geographically different populations, play a crucial role in
population genetics, molecular anthropology, and human forensics. In this work,
332 unrelated individuals from Sichuan Province (237 Tibetan individuals and 95
Yi individuals) are firstly genotyped with 21 non-CODIS autosomal STRs, and
phylogenetic relationships with 26 previously investigated populations (9,444
individuals) are subsequently explored. In the Sichuan Tibetan and Yi, the
combined power of discrimination (CPD) values are 0.9999999999999999999 and
0.9999999999999999993, and the combined power of exclusion (CPE) values are 0.
999997 and 0.999999, respectively. Analysis of molecular variance (AMOVA),
principal component analysis (PCA), multidimensional scaling plots (MDS) and
phylogenetic analysis demonstrated that Sichuan Tibetan has a close genetic
relationship with Tibet Tibetan, and Sichuan Yi has a genetic affinity with
Yunnan Bai group. Furthermore, significant genetic differences have widely
existed between Chinese minorities (most prominently for Tibetan and Kazakh) and
Han groups, but no population stratifications rather a homogenous group among Han
populations distributed in Northern and Southern China are observed.
Aforementioned results suggested that these 21 STRs are highly polymorphic and
informative in the Sichuan Tibetan and Yi, which are suitable for population
genetics and forensic applications.
PMID- 29651126
TI - Author Correction: An extinct vertebrate preserved by its living hybridogenetic
descendant.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651128
TI - Encorafenib - a new agent for advanced-stage disease.
PMID- 29651127
TI - Testosterone Deficiency, Weakness, and Multimorbidity in Men.
AB - The purposes of this study were to evaluate the association between total
testosterone (TT) deficiency and weakness on multimorbidity in men. Analyses were
performed to examine the prevalence of multimobidity among young, middle-aged,
and older men, with and without testosterone deficiency. Multivariate logistic
models were also used to determine the association between age-specific TT
tertiles and multimorbidity, adjusting for key sociodemographic variables, as
well as a secondary analysis adjusted for grip strength. Multimorbidity was more
prevalent among men with testosterone deficiency, compared to normal TT in the
entire group (36.6% vs 55.2%; p < 0.001); however, differences were only seen
within young (testosterone deficiency: 36.4%; normal TT: 13.5%; p < 0.001) and
older men (testosterone deficiency: 75.0%; normal TT: 61.5%; p < 0.001). Robust
associations were found between the age-specific low-TT (OR: 2.87; 95%CI: 2.14
3.83) and moderate-TT (OR: 1.67; 95%CI: 1.27-2.20) tertiles (reference high-TT)
and multimorbidity. Secondary analysis demonstrated that both low TT (OR: 1.82;
95%CI: 1.29-2.55) and moderate-TT (OR: 1.31; 95%CI: 1.01-1.69) were associated
with multimorbidity, even after adjusting for obesity (OR: 1.75; 95%CI: 1.07
2.87) and NGS (OR: 1.21 per 0.05 unit lower NGS). Low TT and weakness in men were
independently associated with multimorbidity at all ages; however, multimorbidity
was more prevalent among young and older men with testosterone deficiency.
PMID- 29651129
TI - Venetoclax-rituximab holds substantial promise in CLL.
PMID- 29651132
TI - Compositional characteristics of human peripheral TRBV pseudogene rearrangements.
AB - The diversity of the T cell receptor (TCR) complementarity determining region 3
(CDR3) repertoire is the result of random combinations, insertions and deletions
during recombination of the germline V, D and J gene fragments. During evolution,
some human TCR beta chain variable (TRBV) pseudogenes have been retained. Many
previous studies have focused on functional TRBV genes, while little attention
has been given to TRBV pseudogenes. To describe the compositional characteristics
of TRBV pseudogene rearrangements, we compared and analysed TRBV pseudogenes,
TRBV open reading frames (ORFs) and functional TRBV genes via high-throughput
sequencing of DNA obtained from the peripheral blood of 4 healthy volunteers and
4 patients. Our results revealed several differences in J and D gene usage. The V
deletion distribution profile of the pseudogenes was significantly different from
that of the ORFs and functional genes. In addition, arginine, lysine and cysteine
were more frequently used in putative CDR3 pseudogene rearrangements, while
functional rearrangements used more leucine. This study presents a comprehensive
description of the compositional characteristics of peripheral TRBV pseudogene
rearrangements, which will provide a reference for further research on TRBV
pseudogenes.
PMID- 29651131
TI - Low-level mitochondrial heteroplasmy modulates DNA replication, glucose
metabolism and lifespan in mice.
AB - Mutations in mitochondrial DNA (mtDNA) lead to heteroplasmy, i.e., the
intracellular coexistence of wild-type and mutant mtDNA strands, which impact a
wide spectrum of diseases but also physiological processes, including endurance
exercise performance in athletes. However, the phenotypic consequences of limited
levels of naturally arising heteroplasmy have not been experimentally studied to
date. We hence generated a conplastic mouse strain carrying the mitochondrial
genome of an AKR/J mouse strain (B6-mtAKR) in a C57BL/6 J nuclear genomic
background, leading to >20% heteroplasmy in the origin of light-strand DNA
replication (OriL). These conplastic mice demonstrate a shorter lifespan as well
as dysregulation of multiple metabolic pathways, culminating in impaired glucose
metabolism, compared to that of wild-type C57BL/6 J mice carrying lower levels of
heteroplasmy. Our results indicate that physiologically relevant differences in
mtDNA heteroplasmy levels at a single, functionally important site impair the
metabolic health and lifespan in mice.
PMID- 29651133
TI - Refined protocols of tamoxifen injection for inducible DNA recombination in mouse
astroglia.
AB - Inducible DNA recombination of floxed alleles in vivo by liver metabolites of
tamoxifen (TAM) is an important tool to study gene functions. Here, we describe
protocols for optimal DNA recombination in astrocytes, based on the GLAST
CreERT2/loxP system. In addition, we demonstrate that quantification of genomic
recombination allows to determine the proportion of cell types in various brain
regions. We analyzed the presence and clearance of TAM and its metabolites (N
desmethyl-tamoxifen, 4-hydroxytamoxifen and endoxifen) in brain and serum of mice
by liquid chromatographic-high resolution-tandem mass spectrometry (LC-HR-MS/MS)
and assessed optimal injection protocols by quantitative RT-PCR of several floxed
target genes (p2ry1, gria1, gabbr1 and Rosa26-tdTomato locus). Maximal
recombination could be achieved in cortex and cerebellum by single daily
injections for five and three consecutive days, respectively. Furthermore,
quantifying the loss of floxed alleles predicted the percentage of GLAST-positive
cells (astroglia) per brain region. We found that astrocytes contributed 20 to
30% of the total cell number in cortex, hippocampus, brainstem and optic nerve,
while in the cerebellum Bergmann glia, velate astrocytes and white matter
astrocytes accounted only for 8% of all cells.
PMID- 29651134
TI - Endothelial Cell Phenotypes are Maintained During Angiogenesis in Cultured
Microvascular Networks.
AB - A challenge in tissue engineering biomimetic models for studying angiogenesis is
building the physiological complexity of real microvascular networks. Our
laboratory recently introduced the rat mesentery culture model as an ex vivo
experimental platform for investigating multicellular dynamics involved in
angiogenesis within intact microvascular networks. The objective of this study
was to compare endothelial cell phenotypes along capillary sprouts in cultured ex
vivo rat mesentery microvascular networks to in vivo endothelial cell phenotypes.
For Day 3 (Ex Vivo) tissues, adult rat mesentery tissues were cultured for three
days in media supplemented with 10% serum. For Day 3 (In Vivo) tissues, adult
rats were anesthetized and the mesentery was exteriorized for twenty minutes to
induce angiogenesis. Microvascular networks from Day 3 (Ex Vivo) and Day 3 (In
Vivo) groups were angiogenic, characterized by an increase in vessel density,
capillary sprouting, and identification of similar BrdU-positive endothelial cell
distributions along sprouts. Endothelial cells in both groups extended
pseudopodia at the distal edge of capillary sprouts and displayed similar
endothelial cell UNC5b, VEGFR-2, and CD36 labeling patterns. The results from
this study support the physiological relevance of the rat mesentery culture model
and highlight its novelty as a biomimetic tool for angiogenesis research.
PMID- 29651135
TI - Author Correction: The Oncogenic Role of COL23A1 in Clear Cell Renal Cell
Carcinoma.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651130
TI - Targeting the tumour stroma to improve cancer therapy.
AB - Cancers are not composed merely of cancer cells alone; instead, they are complex
'ecosystems' comprising many different cell types and noncellular factors. The
tumour stroma is a critical component of the tumour microenvironment, where it
has crucial roles in tumour initiation, progression, and metastasis. Most
anticancer therapies target cancer cells specifically, but the tumour stroma can
promote the resistance of cancer cells to such therapies, eventually resulting in
fatal disease. Therefore, novel treatment strategies should combine anticancer
and antistromal agents. Herein, we provide an overview of the advances in
understanding the complex cancer cell-tumour stroma interactions and discuss how
this knowledge can result in more effective therapeutic strategies, which might
ultimately improve patient outcomes.
PMID- 29651136
TI - Local tissue manipulation via a force- and pressure-controlled AFM micropipette
for analysis of cellular processes.
AB - Local manipulation of complex tissues at the single-cell level is challenging and
requires excellent sealing between the specimen and the micromanipulation device.
Here, biological applications for a recently developed loading technique for a
force- and pressure-controlled fluidic force microscope micropipette are
described. This technique allows for the exact positioning and precise
spatiotemporal control of liquid delivery. The feasibility of a local loading
technique for tissue applications was investigated using two fluorescent dyes,
with which local loading behaviour could be optically visualised. Thus,
homogeneous intracellular distribution of CellTracker Red and accumulation of
SYTO 9 Green within nuclei was realised in single cells of a tissue preparation.
Subsequently, physiological micromanipulation experiments were performed.
Salivary gland tissue was pre-incubated with the Ca2+-sensitive dye OGB-1. An
intracellular Ca2+ rise was then initiated at the single-cell level by applying
dopamine via micropipette. When pre-incubating tissue with the nitric oxide (NO)
sensitive dye DAF-FM, NO release and intercellular NO diffusion was observed
after local application of the NO donor SNP. Finally, local micromanipulation of
a well-defined area along irregularly shaped cell surfaces of complex biosystems
was shown for the first time for the fluidic force microscope micropipette. Thus,
this technique is a promising tool for the investigation of the spatiotemporal
effects of locally applied substances in complex tissues.
PMID- 29651137
TI - Publisher Correction: Identification and characterization of the novel
colonization factor CS30 based on whole genome sequencing in enterotoxigenic
Escherichia coli (ETEC).
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651139
TI - Publisher Correction: Electronic Properties of Synthetic Shrimp Pathogens-derived
DNA Schottky Diodes.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651138
TI - Gene expression links functional networks across cortex and striatum.
AB - The human brain is comprised of a complex web of functional networks that link
anatomically distinct regions. However, the biological mechanisms supporting
network organization remain elusive, particularly across cortical and subcortical
territories with vastly divergent cellular and molecular properties. Here, using
human and primate brain transcriptional atlases, we demonstrate that spatial
patterns of gene expression show strong correspondence with limbic and
somato/motor cortico-striatal functional networks. Network-associated expression
is consistent across independent human datasets and evolutionarily conserved in
non-human primates. Genes preferentially expressed within the limbic network
(encompassing nucleus accumbens, orbital/ventromedial prefrontal cortex, and
temporal pole) relate to risk for psychiatric illness, chloride channel
complexes, and markers of somatostatin neurons. Somato/motor associated genes are
enriched for oligodendrocytes and markers of parvalbumin neurons. These analyses
indicate that parallel cortico-striatal processing channels possess dissociable
genetic signatures that recapitulate distributed functional networks, and
nominate molecular mechanisms supporting cortico-striatal circuitry in health and
disease.
PMID- 29651140
TI - Novel Indole-fused benzo-oxazepines (IFBOs) inhibit invasion of hepatocellular
carcinoma by targeting IL-6 mediated JAK2/STAT3 oncogenic signals.
AB - Inspired by the well-documented tumor protecting ability of paullones, recently,
we synthesized novel paullone-like scaffolds, indole-fused benzo-oxazepines
(IFBOs), and screened them against hepatocellular carcinoma (HCC) specific Hep-G2
cells. Three of the synthesized compounds significantly attenuated the
progression of HCC in vitro. By computational studies, we further discovered that
IFBOs exhibited a stable binding complex with the IL-6 receptor. In this context,
we investigated in vivo study using the nitrosodiethyl amine (NDEA)-induced HCC
model, which strengthened our previous findings by showing the blockade of the IL
6 mediated JAK2/STAT3 oncogenic signaling pathway. Treatment with IFBOs showed
remarkable attenuation of cellular proliferation, as evidenced through a decrease
in the number of nodules, restoration of body weight, oxidative stress
parameters, liver marker enzymes and histological architecture. Interestingly,
using a metabolomic approach we further discovered that IFBOs can restore the
perturbed metabolic profile associated with the HCC condition to normalcy.
Particularly, the efficacy of compound 6a for an anti-HCC response was
significantly better than the marketed chemotherapeutic drug, 5-fluorouracil.
Altogether, these remarkable findings open up possibilities of developing IFBOs
as novel future candidate molecules for plausible alternatives for HCC treatment.
PMID- 29651141
TI - Defect-engineered TiO2 Hollow Spiny Nanocubes for Phenol Degradation under
Visible Light Irradiation.
AB - Herein, we mainly report a strategy for the facile synthesis of defect-engineered
F-doped well-defined TiO2 hollow spiny nanocubes, constructed from NH4TiOF3 as
precursor. The topological transformation of NH4TiOF3 mesocrystal is accompanied
with fluorine anion releasing, which can be used as doping source to synthesize F
doped TiO2. Our result shows that the introduction of oxygen vacancies (Vo's) and
F dopant can be further achieved by a moderate photoreduction process. The as
prepared sample is beneficial to improve photocatalystic degradation and
Photoelectrochemical (PEC) efficiency under visible light irradiation. And this
improvement in photocatalytic and photoelectrocatalytic performance can be
ascribed to the significant enhancement of visible light absorption and
separation of excited charges resulted from the presence of oxygen vacancies, F-
ions and hollow structure of TiO2.
PMID- 29651142
TI - Author Correction: Transitions from Ideal to Intermediate Cholesterol Levels may
vary by Cholesterol Metric.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651143
TI - Glycosylated piericidins from an endophytic streptomyces with cytotoxicity and
antimicrobial activity.
AB - Two new glycosylated piericidins, glucopiericidinol A3 (1) and 7-demethyl
glucopiericidin A (2), along with four known analogs were isolated from the
culture broth of Streptomyces sp. KIB-H1083. The chemical structures of new
compounds were elucidated by spectroscopic analyses. Their cytotoxicity on HL-60,
SMMC-772, A-549, MCF-7, and SW480 cell lines, as well as antimicrobial activities
was evaluated. The results showed that glucopiericidin A (4) has potent
cytotoxicity against HL-60, SMMC-772, A-549, and MCF-7 cell lines with IC50
values of 0.34, 0.65, 0.60, and 0.50 MUM, respectively. For the antimicrobial
activity, piericidin A (6) showed most powerful inhibitory activities against
Xanthomonas oryzae pv. oryzicola, and Penicillium decumbens.
PMID- 29651144
TI - Sirtuin 7 Deficiency Ameliorates Cisplatin-induced Acute Kidney Injury Through
Regulation of the Inflammatory Response.
AB - Cisplatin-induced acute kidney injury (AKI) has been recognized as one of
cisplatin's serious side effects, limiting its use in cancer therapy. Sirtuin 1
(SIRT1) and SIRT3 play protective roles against cisplatin-induced kidney injury.
However, the role of SIRT7 in cisplatin-induced kidney injury is not yet known.
In this study, we found that Sirt7 knockout (KO) mice were resistant to cisplatin
induced AKI. Furthermore, our studies identified that loss of SIRT7 decreases the
expression of tumor necrosis factor-alpha (TNF-alpha) by regulating the nuclear
expression of the transcription factor nuclear factor kappa B. It has been
reported that cisplatin-induced nephrotoxicity is mediated by TNF-alpha. Our
results indicate that SIRT7 plays an important role in cisplatin-induced AKI and
suggest the possibility of SIRT7 as a novel therapeutic target for cisplatin
induced nephrotoxicity.
PMID- 29651145
TI - Multicomponent reactions provide key molecules for secret communication.
AB - A convenient and inherently more secure communication channel for encoding
messages via specifically designed molecular keys is introduced by combining
advanced encryption standard cryptography with molecular steganography. The
necessary molecular keys require large structural diversity, thus suggesting the
application of multicomponent reactions. Herein, the Ugi four-component reaction
of perfluorinated acids is utilized to establish an exemplary database consisting
of 130 commercially available components. Considering all permutations, this
combinatorial approach can unambiguously provide 500,000 molecular keys in only
one synthetic procedure per key. The molecular keys are transferred nondigitally
and concealed by either adsorption onto paper, coffee, tea or sugar as well as by
dissolution in a perfume or in blood. Re-isolation and purification from these
disguises is simplified by the perfluorinated sidechains of the molecular keys.
High resolution tandem mass spectrometry can unequivocally determine the
molecular structure and thus the identity of the key for a subsequent decryption
of an encoded message.
PMID- 29651146
TI - High-resolution computed tomographic analysis of tooth replacement pattern of the
basal neoceratopsian Liaoceratops yanzigouensis informs ceratopsian dental
evolution.
AB - The dental morphology and tooth replacement pattern of Liaoceratops
yanzigouensis, the earliest known neoceratopsian, are important for our
understanding of the evolution of the ceratopsian dental system. Here we describe
the dental morphology and tooth replacement of Liaoceratops yanzigouensis based
on high-resolution computed tomographic (CT) scan data of three specimens
including the holotype, the first study for basal ceratopsian. The three
dimensional reconstructions reveal some important new information, including:
three teeth in the premaxilla in one side, two more teeth in the dentary than in
the maxilla, incipiently developed mesial grooves on some crowns, two generations
of replacement teeth within some tooth families; and most functional teeth were
under heavy resorption by the replacement process, but still remained functional.
Comparisons of tooth pair positions from opposite sides in the four jaw quadrants
of three specimens revealed a degree of bilateral symmetry in replacement
pattern. Reconstruction of Zahnreihen yields an avergae z-spacing of 2.58 with
simultaneous front-to-back tooth replacement. Our study presents the earliest
evidence of derived neoceratopsian traits of the complex dental batteries in
ceratopsids. Most significantly, our models reveal the tracts of partially
resorbed functional teeth which appears to track the growth of the jaws, traits
previously undocumented in Ceratopsia.
PMID- 29651147
TI - Response to climate change of montane herbaceous plants in the genus Rhodiola
predicted by ecological niche modelling.
AB - Climate change profoundly influences species distributions. These effects are
evident in poleward latitudinal range shifts for many taxa, and upward
altitudinal range shifts for alpine species, that resulted from increased annual
global temperatures since the Last Glacial Maximum (LGM, ca. 22,000 BP). For the
latter, the ultimate consequence of upward shifts may be extinction as species in
the highest alpine ecosystems can migrate no further, a phenomenon often
characterized as "nowhere to go". To predict responses to climate change of the
alpine plants on the Qinghai-Tibetan Plateau (QTP), we used ecological niche
modelling (ENM) to estimate the range shifts of 14 Rhodiola species, beginning
with the Last Interglacial (ca. 120,000-140,000 BP) through to 2050.
Distributions of Rhodiola species appear to be shaped by temperature-related
variables. The southeastern QTP, and especially the Hengduan Mountains, were the
origin and center of distribution for Rhodiola, and also served as refugia during
the LGM. Under future climate scenario in 2050, Rhodiola species might have to
migrate upward and northward, but many species would expand their ranges contra
the prediction of the "nowhere to go" hypothesis, caused by the appearance of
additional potential habitat concomitant with the reduction of permafrost with
climate warming.
PMID- 29651148
TI - Author Correction: Potential impacts of climate change on habitat suitability for
the Queensland fruit fly.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651149
TI - Author Correction: CRISPR/Cas9-derived models of ovarian high grade serous
carcinoma targeting Brca1, Pten and Nf1, and correlation with platinum
sensitivity.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651150
TI - Energy-loss return gate via liquid dielectric polarization.
AB - There has been much research on renewable energy-harvesting techniques. However,
owing to increasing energy demands, significant energy-related issues remain to
be solved. Efforts aimed at reducing the amount of energy loss in
electric/electronic systems are essential for reducing energy consumption and
protecting the environment. Here, we design an energy-loss return gate system
that reduces energy loss from electric/electronic systems by utilizing the
polarization of liquid dielectrics. The use of a liquid dielectric material in
the energy-loss return gate generates electrostatic potential energy while
reducing the dielectric loss of the electric/electronic system. Hence, an energy
loss return gate can make breakthrough impacts possible by amplifying energy
harvesting efficiency, lowering the power consumption of electronics, and storing
the returned energy. Our study indicates the potential for enhancing energy
harvesting technologies for electric/electronics systems, while increasing the
widespread development of these systems.
PMID- 29651151
TI - The effect of ageing and osteoarthritis on the mechanical properties of cartilage
and bone in the human knee joint.
AB - Osteoarthritis is traditionally associated with cartilage degeneration although
is now widely accepted as a whole-joint disease affecting the entire
osteochondral unit; however site-specific cartilage and bone material properties
during healthy ageing and disease are absent limiting our understanding.
Cadaveric specimens (n = 12; 31-88 years) with grades 0-4 osteoarthritis, were
dissected and spatially correlated cartilage, subchondral and trabecular bone
samples (n = 8 per cadaver) were harvested from femoral and tibial localities.
Nanoindentation was utilised to obtain cartilage shear modulus (G') and bone
elastic modulus (E). Cartilage G' is strongly correlated to age (p = 0.003) and
osteoarthritis grade (p = 0.007). Subchondral bone E is moderately correlated to
age (p = 0.072) and strongly correlated to osteoarthritis grade (p = 0.013).
Trabecular bone E showed no correlation to age (p = 0.372) or osteoarthritis
grade (p = 0.778). Changes to cartilage G' was significantly correlated to
changes in subchondral bone E (p = 0.007). Results showed preferential medial
osteoarthritis development and moderate correlations between cartilage G' and
sample location (p = 0.083). Also demonstrated for the first time was significant
correlations between site-matched cartilage and subchondral bone material
property changes during progressive ageing and osteoarthritis, supporting the
role of bone in disease initiation and progression. This clinically relevant data
indicates a causative link with osteoarthritis and medial habitual loading.
PMID- 29651152
TI - Novel crab predator causes marine ecosystem regime shift.
AB - The escalating spread of invasive species increases the risk of disrupting the
pathways of energy flow through native ecosystems, modify the relative importance
of resource ('bottom-up') and consumer ('top-down') control in food webs and
thereby govern biomass production at different trophic levels. The current lack
of understanding of interaction cascades triggered by non-indigenous species
underscores the need for more basic exploratory research to assess the degree to
which novel species regulate bottom-up and/or top down control. Novel predators
are expected to produce the strongest effects by decimating consumers, and
leading to the blooms of primary producers. Here we show how the arrival of the
invasive crab Rhithropanopeus harrisii into the Baltic Sea - a bottom-up
controlled ecosystem where no equivalent predators ever existed - appeared to
trigger not only strong top-down control resulting in a decline in richness and
biomass of benthic invertebrates, but also an increase in pelagic nutrients and
phytoplankton biomass. Thus, the addition of a novel interaction - crab predation
- to an ecosystem has a potential to reduce the relative importance of bottom-up
regulation, relax benthic-pelagic coupling and reallocate large amounts of
nutrients from benthic to pelagic processes, resulting in a regime shift to a
degraded ecosystem state.
PMID- 29651153
TI - Decipher reliable biomarkers of brain aging by integrating literature-based
evidence with interactome data.
AB - Aging is an inevitable progressive decline in every physiological function and
serves as a primary risk factor for cognitive decline and Alzheimer's disease.
Thus, age-dependent impairments in cognitive function must be understood in
association with general aging processes with an integrative approach in a
systemic manner. An integrative aging gene network was constructed based on
mutual molecular interactions using literature-curated interactome data and
separated into functionally distinct modules. To investigate key surrogate
biomarkers of the aging brain in the context of the general aging process, co
expression networks were built on post-mortem and Alzheimer's brain transcriptome
data. In both the normal aging brain and the brain affected by Alzheimer's
disease, the immune-related co-expression module was positively correlated with
advancing age, whereas the synaptic transmission-related co-expression module was
decreased with age. Importantly, the network topology-based analysis indicated
that complement system genes were prioritized as a surrogate biomarker in
evaluating the process of brain aging. Our public data-centered analysis coupled
with experimental validation revealed that the complement system is likely to be
a master regulator in initiating and regulating the immune system in the aging
brain and could serve as reliable and surrogate biomarkers for the diagnosis of
cognitive dysfunction.
PMID- 29651154
TI - Effect of Concentrated Growth Factor (CGF) on the Promotion of Osteogenesis in
Bone Marrow Stromal Cells (BMSC) in vivo.
AB - The therapeutic method traditionally used in bone defect reconstruction is
autologous bone grafting. The most common problems affecting this type of repair
approach are bone absorption and donor trauma. The approach taken in this study
overcomes these problems. Bone marrow stromal cells (BMSCs) provided the crucial
seed cells. Fibrin biological scaffolds were formed by combining the BMSCs with
concentrated growth factor (CGF). BMSCs were isolated from Wistar rat femurs; CGF
was prepared from rat heart blood. Five repair groups were created for
comparative purposes: (A) CGF + BMSCs; (B) CGF; (C) collagen + BMSCs; (D)
collagen; (E) blank. After three months, the rats were sacrificed, and
histopathology and three-dimensional CT images produced. Bone regeneration was
significantly higher in the (A) CGF + BMSC group; osteogenesis was lower in the
(B) CGF and (C) collagen + BMSC groups, at very similar levels; the (D) collagen
and (E) blank groups scored the lowest results. Our research suggests that
combining CGF with BMSCs leads to the formation of fibrin scaffolds that have a
powerful effect on osteogenesis as well as a subsidiary angiogenic effect. SEM
images of the CGF scaffolds cultured with BMSCs confirmed good CGF
biocompatibility. The superior osteoinductive activity of the CGF + BMSC
combination makes it an excellent biomaterial for bone regeneration.
PMID- 29651155
TI - Epigenetic activation during T helper 17 cell differentiation is mediated by
Tripartite motif containing 28.
AB - Epigenetic regulation is important for T-cell fate decision. Although STAT3 is
known to initiate Th17 differentiation program, the downstream mechanism is
unclear. Here we show that Tripartite motif containing 28 (Trim28) expression in
Th17 cells is required for Th17-mediated cytokine production and experimental
autoimmune diseases. Genome-wide occupancy analysis reveals that TRIM28-bound
regions overlap with almost all Th17-specific super-enhancers (SE), and that
those SEs are impaired by the deficiency of STAT3 or TRIM28, but not of
RORgammat. Importantly, IL-6-STAT3 signaling facilitates TRIM28 binding to the
Il17-Il17f locus, and this process is required for epigenetic activation and high
order chromosomal interaction. TRIM28 also forms a complex with STAT3 and
RORgammat, and promotes the recruitment of RORgammat to its target cytokine
genes. Our study thus suggests TRIM28 to be important for the epigenetic
activation during Th17 cell differentiation, and prompts the potential use of
epigenetic interventions for Th17-related autoimmune diseases.
PMID- 29651156
TI - Efficient differentiation of cardiomyocytes and generation of calcium-sensor
reporter lines from nonhuman primate iPSCs.
AB - Nonhuman primate (NHP) models are more predictive than rodent models for
developing induced pluripotent stem cell (iPSC)-based cell therapy, but robust
and reproducible NHP iPSC-cardiomyocyte differentiation protocols are lacking for
cardiomyopathies research. We developed a method to differentiate integration
free rhesus macaque iPSCs (RhiPSCs) into cardiomyocytes with >85% purity in 10
days, using fully chemically defined conditions. To enable visualization of
intracellular calcium flux in beating cardiomyocytes, we used CRISPR/Cas9 to
stably knock-in genetically encoded calcium indicators at the rhesus AAVS1 safe
harbor locus. Rhesus cardiomyocytes derived by our stepwise differentiation
method express signature cardiac markers and show normal electrochemical
coupling. They are responsive to cardiorelevant drugs and can be successfully
engrafted in a mouse myocardial infarction model. Our approach provides a
powerful tool for generation of NHP iPSC-derived cardiomyocytes amenable to
utilization in basic research and preclinical studies, including in vivo tissue
regeneration models and drug screening.
PMID- 29651157
TI - Bilayer synergetic coupling double negative acoustic metasurface and cloak.
AB - In this paper, we propose a bilayer plate-type lightweight double negative
metasurface based on a new synergetic coupling design concept, by which the
perfect absorption, double negative bands, free manipulation of phase shifts with
a 2pi span and acoustic cloak can be successively realized. Firstly, the
synergetic behavior between resonant and anti-resonant plates is presented to
construct a bilayer unit in which each component respectively provides a pre
defined function in realizing the perfect absorption. Based on this bilayer
structure, a double negative band with simultaneously negative effective mass
density and bulk modulus is obtained, which, as a metasurface, can obtain
continuous phase shifts almost completely covering a 2pi range, thus facilitating
the design of a three-dimensional (3D) acoustic cloak. In addition, based on this
strong sound absorption concept, a two-dimensional (2D) omnidirectional broadband
acoustical dark skin, covering between 800 to 6000 Hz, is also demonstrated
through the proposed bilayer plate-type structure form. The proposed design
concepts and metasurfaces have widespread potential application values in strong
sound attenuation, filtering, superlens, imaging, cloak, and extraordinary wave
steering, in which the attributes of strong absorption, double negative
parameters or continuous phase shifts with full 2pi span are required to realize
the expected extraordinary physical features.
PMID- 29651158
TI - Effect of dietary probiotics on the semen traits and antioxidative activity of
male broiler breeders.
AB - This study aimed to investigate the effect of probiotics on the intestinal
morphology, intestinal microflora, oxidative activity (biological antioxidant
potential), and semen quality of male broiler breeders. For this, 180 Cobb male
broiler breeders (60 weeks of age) were randomly distributed into two groups. The
control group was fed a basal diet, and the probiotics group was fed basal diet
supplemented with probiotics for 6 weeks. Probiotics containing Bacillus
amyloliquefaciens TOA5001 improved the above mentioned characteristics of the
male broiler breeders. Thus, B. amyloliquefaciens TOA5001 might improve the
reproductive performance of male broiler breeders.
PMID- 29651159
TI - Publisher Correction: Selective Tropism of Dengue Virus for Human Glycoprotein
Ib.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29651161
TI - Publisher Correction: Shedding light on the neonatal brain: probing cerebral
hemodynamics by diffuse optical spectroscopic methods.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29651160
TI - Structure and co-occurrence patterns in microbial communities under acute
environmental stress reveal ecological factors fostering resilience.
AB - Understanding the factors that modulate bacterial community assembly in natural
soils is a longstanding challenge in microbial community ecology. In this work,
we compared two microbial co-occurrence networks representing bacterial soil
communities from two different sections of a pH, temperature and humidity
gradient occurring along a western slope of the Andes in the Atacama Desert. In
doing so, a topological graph alignment of co-occurrence networks was used to
determine the impact of a shift in environmental variables on OTUs taxonomic
composition and their relationships. We observed that a fraction of association
patterns identified in the co-occurrence networks are persistent despite large
environmental variation. This apparent resilience seems to be due to: (1) a
proportion of OTUs that persist across the gradient and maintain similar
association patterns within the community and (2) bacterial community ecological
rearrangements, where an important fraction of the OTUs come to fill the
ecological roles of other OTUs in the other network. Actually, potential
functional features suggest a fundamental role of persistent OTUs along the soil
gradient involving nitrogen fixation. Our results allow identifying factors that
induce changes in microbial assemblage configuration, altering specific bacterial
soil functions and interactions within the microbial communities in natural
environments.
PMID- 29651162
TI - Quantitative Methods to Monitor RNA Biomarkers in Myotonic Dystrophy.
AB - Myotonic dystrophy type 1 (DM1) and type 2 (DM2) are human neuromuscular
disorders associated with mutations of simple repetitive sequences in affected
genes. The abnormal expansion of CTG repeats in the 3'-UTR of the DMPK gene
elicits DM1, whereas elongated CCTG repeats in intron 1 of ZNF9/CNBP triggers
DM2. Pathogenesis of both disorders is manifested by nuclear retention of
expanded repeat-containing RNAs and aberrant alternative splicing. The precise
determination of absolute numbers of mutant RNA molecules is important for a
better understanding of disease complexity and for accurate evaluation of the
efficacy of therapeutic drugs. We present two quantitative methods, Multiplex
Ligation-Dependent Probe Amplification and droplet digital PCR, for studying the
mutant DMPK transcript (DMPKexpRNA) and the aberrant alternative splicing in DM1
and DM2 human tissues and cells. We demonstrate that in DM1, the DMPKexpRNA is
detected in higher copy number than its normal counterpart. Moreover, the
absolute number of the mutant transcript indicates its low abundance with only a
few copies per cell in DM1 fibroblasts. Most importantly, in conjunction with
fluorescence in-situ hybridization experiments, our results suggest that in DM1
fibroblasts, the vast majority of nuclear RNA foci consist of a few molecules of
DMPKexpRNA.
PMID- 29651163
TI - Prospective Comparison of QFT-GIT and T-SPOT.TB Assays for Diagnosis of Active
Tuberculosis.
AB - T-SPOT.TB and QuantiFERON-TB Gold In-Tube (QFT-GIT) tests, as two commercial
blood assays for diagnosing active tuberculosis (ATB), are not yet fully
validated. Especially, there are no reports on comparing the efficacy between the
two tests in the same population in China. A multicenter, prospective comparison
study was undertaken at four hospitals specializing in pulmonary diseases. A
total of 746 suspected pulmonary TB were enrolled and categorized, including 185
confirmed TB, 298 probable TB and 263 non-TB. Of 32 patients with indeterminate
test results (ITRs), age and underlying disease were associated with the rate of
ITRs. Furthermore, the rate of ITRs determined by T-SPOT.TB was lower than QFT
GIT (0.4% vs. 4.3%, P < 0.01). When excluding ITRs, the sensitivities of T
SPOT.TB and QFT-GIT were 85.2% and 84.8%, and specificities of 63.4% and 60.5%,
respectively in the diagnosis of ATB. The two assays have an overall agreement of
92.3%, but exhibited a poor linear correlation (r2 = 0.086) between the levels of
interferon-gamma release detected by the different assays. Although having some
heterogeneity in detecting interferon-gamma release, both the QFT-GIT and T
SPOT.TB demonstrated high concordance in diagnosing ATB. However, neither of them
showed suitability in the definitive diagnosis of the disease.
PMID- 29651164
TI - Genome wide analysis of the transition to pathogenic lifestyles in Magnaporthales
fungi.
AB - The rice blast fungus Pyricularia oryzae (syn. Magnaporthe oryzae, Magnaporthe
grisea), a member of the order Magnaporthales in the class Sordariomycetes, is an
important plant pathogen and a model species for studying pathogen infection and
plant-fungal interaction. In this study, we generated genome sequence data from
five additional Magnaporthales fungi including non-pathogenic species, and
performed comparative genome analysis of a total of 13 fungal species in the
class Sordariomycetes to understand the evolutionary history of the
Magnaporthales and of fungal pathogenesis. Our results suggest that the
Magnaporthales diverged ca. 31 millon years ago from other Sordariomycetes, with
the phytopathogenic blast clade diverging ca. 21 million years ago. Little
evidence of inter-phylum horizontal gene transfer (HGT) was detected in
Magnaporthales. In contrast, many genes underwent positive selection in this
order and the majority of these sequences are clade-specific. The blast clade
genomes contain more secretome and avirulence effector genes, which likely play
key roles in the interaction between Pyricularia species and their plant hosts.
Finally, analysis of transposable elements (TE) showed differing proportions of
TE classes among Magnaporthales genomes, suggesting that species-specific
patterns may hold clues to the history of host/environmental adaptation in these
fungi.
PMID- 29651166
TI - Energy expenditure for massage therapists during performing selected classical
massage techniques.
AB - OBJECTIVES: The aim of the study is to evaluate the intensity of the effort and
energy expenditure in the course of performing selected classical massage
techniques and to assess the workload of a massage therapist during a work shift.
MATERIAL AND METHODS: Thirteen massage therapists (age: 21.9+/-1.9 years old,
body mass index: 24.5+/-2.8 kg*m-2, maximal oxygen consumption * body
mass-1 (VO2max*BM-1): 42.3+/-7 ml*kg
1*min-1) were involved in the study. The stress test consisted
in performing selected classical massage techniques in the following order:
stroking, kneading, shaking, beating, rubbing and direct vibration, during which
the cardio-respiratory responses and the subjective rating of perceived exertion
(RPE) were assessed. Intensity of exercise during each massage technique was
expressed as % VO2max, % maximal heart rate (HRmax) and %
heart rate reserve (HRR). During each massage technique, net energy expenditure
(EE) and energy cost of work using metabolic equivalent of task (MET) were
determined. RESULTS: The intensity of exercise was 47.2+/-6.2% as expressed in
terms of % VO2max, and 74.7+/-3.2% as expressed in terms of %
HRmax, while it was 47.8+/-1.7% on average when expressed in terms of
% HRR during the whole procedure. While performing the classical massage, the
average EE and MET were 5.6+/-0.9 kcal*min-1 and 5.6+/-0.2,
respectively. The average RPE calculated for the entire procedure was 12.1+/-1.4.
During the performance of a classical massage technique for a single treatment
during the study, the average total EE was 176.5+/-29.6 kcal, resulting in an
energy expenditure of 336.2+/-56.4 kcal*h-1. In the case of the
classical massage technique, rubbing was the highest intensity exercise for the
masseur who performed the massage (%VO2max = 57.4+/-13.1%,
HRmax = 79.6+/-7.7%, HRR = 58.5+/-13.1%, MET = 6.7+/-1.1, EE = 7.1+/
1.4 kcal*min-1, RPE = 13.4+/-1.3). CONCLUSIONS: In the objective
assessment, physical exercise while performing a single classical massage is
characterized by hard work. The technique of classical massage during which the
masseur performs the highest exercise intensity is rubbing. According to the
classification of work intensity based on energy expenditure, the masseur's work
is considered heavy during the whole work shift. Int J Occup Med Environ Health
2018;31(5):677-684.
PMID- 29651167
TI - CONSTRAINING RELATIVISTIC BOW SHOCK PROPERTIES IN ROTATION-POWERED MILLISECOND
PULSAR BINARIES.
AB - Multiwavelength followup of unidentified Fermi sources has vastly expanded the
number of known galactic-field "black widow" and "redback" millisecond pulsar
binaries. Focusing on their rotation-powered state, we interpret the radio to X
ray phenomenology in a consistent framework. We advocate the existence of two
distinct modes differing in their intrabinary shock orientation, distinguished by
the phase-centering of the double-peaked X-ray orbital modulation originating
from mildly-relativistic Doppler boosting. By constructing a geometric model for
radio eclipses, we constrain the shock geometry as functions of binary
inclination and shock stand-off R0. We develop synthetic X-ray synchrotron
orbital light curves and explore the model parameter space allowed by radio
eclipse constraints applied on archetypal systems B1957+20 and J1023+0038. For
B1957+20, from radio eclipses the stand-off is R0 ~ 0.15-0.3 fraction of binary
separation from the companion center, depending on the orbit inclination.
Constructed X-ray light curves for B1957+20 using these values are qualitatively
consistent with those observed, and we find occultation of the shock by the
companion as a minor influence, demanding significant Doppler factors to yield
double peaks. For J1023+0038, radio eclipses imply R0 ? 0.4 while X-ray light
curves suggest 0.1 ? R0 ? 0.3 (from the pulsar). Degeneracies in the model
parameter space encourage further development to include transport
considerations. Generically, the spatial variation along the shock of the
underlying electron power-law index should yield energy-dependence in the shape
of light curves motivating future X-ray phase-resolved spectroscopic studies to
probe the unknown physics of pulsar winds and relativistic shock acceleration
therein.
PMID- 29651165
TI - The mitochondrial citrate carrier, SLC25A1, drives stemness and therapy
resistance in non-small cell lung cancer.
AB - Therapy resistance represents a clinical challenge for advanced non-small cell
lung cancer (NSCLC), which still remains an incurable disease. There is growing
evidence that cancer-initiating or cancer stem cells (CSCs) provide a reservoir
of slow-growing dormant populations of cells with tumor-initiating and unlimited
self-renewal ability that are left behind by conventional therapies reigniting
post-therapy relapse and metastatic dissemination. The metabolic pathways
required for the expansion of CSCs are incompletely defined, but their
understanding will likely open new therapeutic opportunities. We show here that
lung CSCs rely upon oxidative phosphorylation for energy production and survival
through the activity of the mitochondrial citrate transporter, SLC25A1. We
demonstrate that SLC25A1 plays a key role in maintaining the mitochondrial pool
of citrate and redox balance in CSCs, whereas its inhibition leads to reactive
oxygen species build-up thereby inhibiting the self-renewal capability of CSCs.
Moreover, in different patient-derived tumors, resistance to cisplatin or to
epidermal growth factor receptor (EGFR) inhibitor treatment is acquired through
SLC25A1-mediated implementation of mitochondrial activity and induction of a
stemness phenotype. Hence, a newly identified specific SLC25A1 inhibitor is
synthetic lethal with cisplatin or with EGFR inhibitor co-treatment and restores
antitumor responses to these agents in vitro and in animal models. These data
have potential clinical implications in that they unravel a metabolic
vulnerability of drug-resistant lung CSCs, identify a novel SLC25A1 inhibitor
and, lastly, provide the first line of evidence that drugs, which block SLC25A1
activity, when employed in combination with selected conventional antitumor
agents, lead to a therapeutic benefit.
PMID- 29651168
TI - LOCUS OF CONTROL AND ITS INTERGENERATIONAL IMPLICATIONS FOR EARLY CHILDHOOD SKILL
FORMATION.
AB - This article builds upon Cunha's (2015) subjective rationality model in which
parents have a subjective belief about the impact of their investment on their
children's early skill formation. We propose that this subjective belief is
determined partly by locus of control (LOC), i.e. the extent to which individuals
believe that their actions can influence future outcomes. Consistent with the
theory, we show that maternal LOC measured at the 12th week of gestation strongly
predicts maternal attitudes towards parenting style and actual time investments.
We also utilise maternal LOC to improve the specification typically used to
estimate skill production function parameters.
PMID- 29651169
TI - Persistence of Bowl Water Contamination during Sequential Flushes of Contaminated
Toilets.
AB - Toilets contaminated with infectious organisms are a recognized contact disease
transmission hazard. Previous studies indicate that toilet bowl water can remain
contaminated for several flushes after the contamination occurs. This study
characterized contamination persistence over an extended series of flushes using
both indicator particles and viable bacteria. For this study, toilets were seeded
with microbe-size microbial surrogates and with Pseudomonas fluorescens or
Clostridium difficile bacteria and flushed up to 24 times. Bowl water samples
collected after seeding and after each flush indicated the clearance per flush
and residual bowl water contaminant concentration. Toilets exhibited 3 + log10
contaminant reductions with the first flush, only 1-2 logs with the second flush,
and less than 1 log thereafter. Contamination still was present 24 flushes post
contamination. Clearance was modeled accurately by a two-stage exponential decay
process. This study shows that toilet bowl water will remain contaminated many
flushes after initial contamination, posing a risk of recurring environmental
contamination and associated infection incidence.
PMID- 29651170
TI - On the secondary eyewall formation of Hurricane Edouard (2014).
AB - A first observationally-based estimation of departures from gradient wind balance
during secondary eyewall formation is presented. The study is based on the
Atlantic Hurricane Edouard (2014). This storm was observed during the National
Aeronautics and Space Administration's (NASA) Hurricane and Severe Storm Sentinel
(HS3) experiment, a field campaign conducted in collaboration with the National
Oceanic and Atmospheric Administration (NOAA). A total of 135 dropsondes are
analyzed in two separate time periods: one named the secondary eyewall formation
period and the other one referred to as the decaying-double eyewalled storm
period. During the secondary eyewall formation period, a time when the storm was
observed to have only one eyewall, the diagnosed agradient force has a secondary
maxima that coincides with the radial location of the secondary eyewall observed
in the second period of study. The maximum spin up tendency of the radial influx
of absolute vertical vorticity is within the boundary layer in the region of the
eyewall of the storm and the spin up tendency structure elongates radially
outward into the secondary region of supergradient wind, where the secondary wind
maxima is observed in the second period of study. An analysis of the boundary
layer averaged vertical structure of equivalent potential temperature reveals a
conditionally unstable environment in the secondary eyewall formation region.
These findings support the hypothesis that deep convective activity in this
region contributed to spin up of the boundary layer tangential winds and the
formation of a secondary eyewall that is observed during the decaying-double
eyewalled storm period.
PMID- 29651171
TI - Wastewater: A Potential Bioenergy Resource.
AB - Wastewaters are a rich source of nutrients for microorganisms. However, if left
unattended the biodegradation may lead to severe environmental hazards. The
wastewaters can thus be utilized for the production of various value added
products including bioenergy (H2 and CH4). A number of studies have reported
utilization of various wastewaters for energy production. Depending on the nature
of the wastewater, different reactor configurations, wastewater and inoculum
pretreatments, co-substrate utilizations along with other process parameters have
been studied for efficient product formation. Only a few studies have reported
sequential utilization of wastewaters for H2 and CH4 production despite its huge
potential for complete waste degradation.
PMID- 29651172
TI - Virulence Factors in Candida albicans and Streptococcus mutans Biofilms Mediated
by Farnesol.
AB - The aim of this study was to evaluate the effect of farnesol on the production of
acids and hydrolytic enzymes by biofilms of Streptococcus mutans and Candida
albicans. The present study also evaluated the time-kill curve and the effect of
farnesol on matrix composition and structure of single-species and dual-species
biofilms. Farnesol, at subinhibitory concentrations, showed a significant
reduction in S. mutans biofilm acid production, but did not alter C. albicans
hydrolytic enzyme production. The number of cultivable cells of both
microorganisms was significantly reduced after 8 h of contact with farnesol.
Extracellular matrix protein content was reduced for biofilms formed in the
presence of farnesol. In addition, confocal laser scanning and scanning electron
microscopy displayed structural alterations in all biofilms treated with
farnesol, which included reduction in viable cells and extracellular matrix. In
conclusion, farnesol showed favorable properties controlling some virulence
factors of S. mutans and C. albicans biofilms. These findings should stimulate
further studies using this quorum-sensing molecule, combined with other drugs, to
prevent or treat biofilm-associated oral diseases.
PMID- 29651173
TI - In Vitro Anticancer Activity of Staphyloxanthin Pigment Extracted from
Staphylococcus gallinarum KX912244, a Gut Microbe of Bombyx mori.
AB - The present study reports the in vitro biological nature of the pigment produced
by Staphylococcus gallinarum KX912244, isolated as the gut microflora bacterium
of the insect Bombyx mori. The purified pigment was characterized as
Staphyloxanthin based on bio-physical characterization techniques like Fourier
transform infrared spectroscopy, high performance liquid chromatography, Proton
nuclear magnetic resonance spectroscopy (1H NMR), Liquid chromatography-Mass
spectroscopy and Gas chromatography-Mass spectroscopy. The Staphyloxanthin
pigment presented considerable biological properties including in vitro
antimicrobial activity against pathogens Staphylococcus aureus, Escherichia coli
and Candida albicans; in vitro antioxidant activity by % DPPH free radical
scavenging activity showing IC50 value of 54.22 ug/mL; DNA damage protection
activity against reactive oxygen species and anticancer activity evaluated by
cytotoxicity assay against 4 different cancer cell lines like the Dalton's
lymphoma ascites with IC50 value 6.20 +/- 0.02 ug/mL, Ehrlich ascites carcinoma
having IC50 value 6.48 +/- 0.15 ug/mL, Adenocarcinomic human alveolar basal
epithelial cells (A549 Lung carcinoma) bearing IC50 value 7.23 +/- 0.11 ug/mL and
Mus mucus skin melanoma (B16F10) showing IC50 value 6.58 +/- 0.38 ug/mL and less
cytotoxicity towards non-cancerous human fibroblast cell lines (NIH3T3) with IC50
value of 52.24 ug/mL. The present study results suggest that Staphyloxanthin acts
as a potential therapeutic agent especially due to its anticancer property.
PMID- 29651174
TI - Identification and Pathogenicity of Fungal Pathogens Causing Black Point in Wheat
on the North China Plain.
AB - Fungi associated with black point were isolated from three highly susceptible
wheat genotypes in the North China Plain. The 21 isolates represented 11 fungal
genera. The most prevalent genera were Alternaria (isolation frequency of 56.7%),
Bipolaris (16.1%), and Fusarium (6.0%). The other eight genera were Curvularia,
Aspergillus, Cladosporium, Exserohilum, Epicoccum, Nigrospora, Penicillium, and
Ulocladium; their isolation frequencies ranged from 0.8 to 4.8%. The
pathogenicity of the isolates was individually assessed in the greenhouse by
inoculating wheat plants with spore suspensions. Ten of the 21 isolates caused
significantly higher incidences of black point than that the controls. These
isolates belonged to eight fungal species (A. alternata, B. sorokiniana, B.
crotonis, B. cynodontis, C. spicifera, F. equiseti, E. rostratum, and E.
sorghinum) based on morphological traits and phylogenetic analysis. The average
incidences of black point in the eight fungal species were 32.4, 54.3, 43.0,
41.9, 37.2, 38.8, 50.1, and 34.1%, respectively. B. sorokiniana and A. alternata
were determined to be the most important pathogens in the North China Plain based
on fungal prevalence and symptom severity. This study is the first to identify E.
rostratum as a major pathogen causing black point in wheat.
PMID- 29651175
TI - Adaptive Strategies of Bacillus thuringiensis Isolated from Acid Mine Drainage
Site in Sabah, Malaysia.
AB - The adaptive process in bacteria is driven by specific genetic elements which
regulate phenotypic characteristics such as tolerance to high metal ion
concentrations and the secretion of protective biofilms. Extreme environments
such as those associated with heavy metal pollution and extremes of acidity offer
opportunities to study the adaptive mechanisms of microorganisms. This study
focused on the genome analysis of Bacillus thuringiensis (Bt MCMY1), a gram
positive rod shaped bacterium isolated from an acid mine drainage site in Sabah,
Malaysia by using a combination of Single Molecule Real Time DNA Sequencing,
Scanning Electron Microscopy (SEM) and Fourier Transform Infrared Spectroscopy
(FTIR). The genome size of Bt MCMY1 was determined to be 5,458,152 bases which
was encoded on a single chromosome. Analysis of the genome revealed genes
associated with resistance to Copper, Mercury, Arsenic, Cobalt, Zinc, Cadmium and
Aluminum. Evidence from SEM and FTIR indicated that the bacterial colonies form
distinct films which bear the signature of polyhydroxyalkanoates (PHA) and this
finding was supported by the genome data indicating the presence of a genetic
pathway associated with the biosynthesis of PHAs. This is the first report of a
Bacillus sp. isolated from an acid mine drainage site in Sabah, Malaysia and the
genome sequence will provide insights into the manner in which B. thuringiensis
adapts to acid mine drainage.
PMID- 29651176
TI - Cloning of ompA gene from Acinetobacter baumannii into the eukaryotic expression
vector pBudCE4.1 as DNA vaccine.
AB - Antibiotic resistant features of Acinetobacter baumannii is partly due to the
decreased outer membrane proteins (OMPs) permeability. The OmpA is one of the
most conserved proteins among A. baumannii with a considerable antigenic
potential to stimulate the multidimensional immune system responses. The present
study was aimed to clone the ompA gene into the eukaryotic expression vector with
potential as DNA vaccine. The ompA gene of A. baumannii was amplified using
polymerase chain reaction (PCR). The target DNA was cloned and sub-cloned into
the pTZ57R/T and pBudCE4.1 vectors, respectively. The recombinant vectors
containing ompA were then validated using colony PCR, vector sequencing and
double-digestion strategies. The pBudCE4.1-ompA recombinant plasmid was
transfected into the human dermal fibroblast cells (HDF) and presence of ompA
transcript and protein was evaluated using reverse transcribed-PCR (RT-PCR) and
sodium dodecyl sulfate polyacrylamide gel electrophoresis (SDS-PAGE). Our finding
from colony PCR, sequencing and enzyme double digestion result confirmed that
target gene has been successfully inserted into the pTZ57RT and pBudCE4.1. The
presence of an expected band (1112 bp) in RT-PCR as wells as a ~ 38 kDa band
during SDS-PAGE showed that the recombinant pBudCE4.1-ompA construct was
efficiently transfected into the HDF cells and expressed. Altogether, our
observation demonstrated that the recombinant pBudCE4.1-ompA construct was
successfully produced although further experiments are needed.
PMID- 29651177
TI - Potential of Marine-Derived Fungi to Remove Hexavalent Chromium Pollutant from
Culture Broth.
AB - Chromium (Cr) released from industrial units such as tanneries, textile and
electroplating industries is detrimental to the surrounding ecosystems and human
health. The focus of the present study was to check the Cr(VI) removal efficiency
by marine-derived fungi from liquid broth. Amongst the three Cr(VI) tolerant
isolates, #NIOSN-SK56-S19 (Aspergillus sydowii) showed Cr-removal efficiency of
0.01 mg Cr mg-1 biomass resulting in 26% abatement of total Cr with just 2.8 mg
of biomass produced during the growth in 300 ppm Cr(VI). Scanning Electron
Microscopy revealed aggregation of mycelial biomass with exopolysaccharide, while
Electron Dispersive Spectroscopy showed the presence of Cr2O3 inside the biomass
indicating presence of active Cr(VI) removal mechanisms. This was further
supported when the Cr(VI) removal was monitored using DPC (1,5-diphenylcarbazide)
method. The results of this study point to the potential of marine-derived fungal
isolates for Cr(VI) removal.
PMID- 29651178
TI - Transformation Products of Carbamazepine (CBZ) After Ozonation and their Toxicity
Evaluation Using Pseudomonas sp. Strain KSH-1 in Aqueous Matrices.
AB - Carbamazepine (CBZ) is an anti-epileptic and anti-convulsant drug widely used for
the treatment of epilepsy and other bipolar disorders. Ozone as an advanced
oxidation process has been widely used for the degradation of CBZ resulting in
the formation of transformation products (ozonides). The present research aims to
isolate and identify potential microorganism, capable of degradation of CBZ and
its transformation products. The cell viability and cytotoxicity of pure CBZ and
their ozone transformation products were evaluated using the cells of Pseudomonas
sp. strain KSH-1 through cell viability assay tests. The cells metabolic activity
was assessed at varying CBZ concentrations (~ 10-25 ppm, pure CBZ) and
cumulatively for ozone transformation products. For pure CBZ, % cell viability
decreases as CBZ concentration increases, while, in case of post-ozonated CBZ
transformation products, the viability decreases initially and then increases
upon exposure of ozone with a maximum cell viability of 97 +/- 2.8% evaluated for
2 h post-ozonated samples.
PMID- 29651179
TI - Incubation of Aquilaria subintegra with Microbial Culture Supernatants Enhances
Production of Volatile Compounds and Improves Quality of Agarwood Oil.
AB - Incubation with microbial culture supernatants improved essential oil yield from
Aquilaria subintegra woodchips. The harvested woodchips were incubated with de
man, rogosa and sharpe (MRS) agar, yeast mold (YM) agar medium and six different
microbial culture supernatants obtained from Lactobacillus bulgaricus, L.
acidophilus, Streptococcus thermophilus, Lactococcus lactis, Saccharomyces
carlsbergensis and S. cerevisiae prior to hydrodistillation. Incubation with
lactic acid bacteria supernatants provided higher yield of agarwood oil (0.45%
w/w) than that obtained from yeast (0.25% w/w), agar media (0.23% w/w) and water
(0.22% w/w). The composition of agarwood oil from all media and microbial
supernatant incubations was investigated by using gas chromatography-mass
spectrometry. Overall, three major volatile profiles were obtained, which
corresponded to water soaking (control), as well as, both YM and MRS media,
lactic acid bacteria, and yeast supernatant incubations. Sesquiterpenes and their
oxygenated derivatives were key components of agarwood oil. Fifty-two volatile
components were tentatively identified in all samples. Beta-agarofuran, alpha
eudesmol, karanone, alpha-agarofuran and agarospirol were major components
present in most of the incubated samples, while S. cerevisiae-incubated A.
subintegra provided higher amount of phenyl acetaldehyde. Microbial culture
supernatant incubation numerically provided the highest yield of agarwood oil
compared to water soaking traditional method, possibly resulting from activity of
extracellular enzymes produced by the microbes. Incubation of agarwood with
lactic acid bacteria supernatant significantly enhanced oil yields without
changing volatile profile/composition of agarwood essential oil, thus this is a
promising method for future use.
PMID- 29651180
TI - Production of a Mixture of Fengycins with Surfactant and Antifungal Activities by
Bacillus sp. MA04, a Versatile PGPR.
AB - Bacillus sp. strain MA04 a plant growth-promoting rhizobacteria (PGPR) showed
hemolytic activity on blood agar plates, and the supernatant from liquid culture
in nutrient broth at 24 h exhibited emulsification activity, suggesting the
production of biosurfactants. In antagonist assays, the supernatant showed
antifungal activity against phytopathogenic fungi such as Penicillium expansum,
Fusarium stilboides, Sclerotium rolfsii y Rhizoctonia solani, finding a reduction
of mycelial growth of all fungi tested, ranging from 35 to 69%, this activity was
increased with time of culture, accomplishing percentages of inhibition up to 85%
with supernatants obtained at 72 h. Then, the crude biorsurfactant (CB) was
isolated from the supernatant in order to assay its antagonistic effect on the
phytopathogens previously tested, finding an increase in the inhibition up to 97%
at 500 mg/L of CB. The composition of CB was determined by infrared spectroscopy,
identifying various functional groups related to lipopeptides, which were
purified by high-performance liquid chromatography and analyzed by MALDI-TOF/TOF
MS, revealing a mixture of fengycins A and B whose high antifungal activity is
been widely recognized. These results show that PGPR Bacillus sp. MA04 could also
contribute to plant health status through the production of metabolites with
antimicrobial activity.
PMID- 29651181
TI - Extracellular Synthesis and Characterization of Gold Nanoparticles Using
Mycobacterium sp. BRS2A-AR2 Isolated from the Aerial Roots of the Ghanaian
Mangrove Plant, Rhizophora racemosa.
AB - Through the use of genomes that have undergone millions of years of evolution,
marine Actinobacteria are known to have adapted to rapidly changing environmental
pressures. The result is a huge chemical and biological diversity among marine
Actinobacteria. It is gradually becoming a known fact that, marine Actinobacteria
have the capability to produce nanoparticles which have reasonable sizes and
structures with possible applications in biotechnology and pharmacology.
Mycobacterium sp. BRS2A-AR2 was isolated from the aerial roots of the mangrove
plant Rhizophora racemosa. The Mycobacterium was demonstrated for the first time
ever to produce AuNPs with sizes that range between 5 and 55 nm. The highest
level absorbance of the biosynthesized AuNPs was typical for actinobacterial
strains (2.881 at 545 nm). The polydispersity index was measured as 0.207 in DLS
and the zeta potential was negatively charged (- 28.3 mV). Significant vibration
stretches were seen at 3314, 2358, 1635 and 667 cm-1 in FT-IR spectra. This
demonstrated the possible use of small aliphatic compounds containing -COOH, -OH,
-Cl and -NH2 functional groups in the stabilization of the AuNPs. The effect of
the biosynthesized AuNPs on HUVEC and HeLA cell lines was measured at 48 h. IC50
values were determined at 3500 ug/ml concentration for HUVEC and HeLA cell lines
at 45.25 and 53.41% respectively.
PMID- 29651182
TI - First Report of a New Isolate of Metarhizium rileyi from Maize Fields of
Quivican, Cuba.
AB - Metarhizium rileyi (Farlow) Samson is an important entomopathogenic fungus of
more than 30 species of Lepidoptera larvae. The aim of this research was to
characterize isolate of M. rileyi from Quivican, Cuba on the basis of
morphological and molecular approaches. The fungus was isolated from samples of
S. frugiperda larvae collected from maize fields of Quivican municipality,
Mayabeque province, Cuba, and it was cultured on PDA + Ampicillin solid media for
morphological characterization. The DNA was isolated using CTAB method and
internal transcribed spacer (ITS1, ITS4) were used as the primers for the
amplification. The amplified products of 1335 bp were purified and sequenced at
CINVESTAV-IPN in both the directions using the above primers. A consensus
sequence was obtained by alignment of the forward and reverse sequences for this
region and deposited in GenBank (MG637450). The fungus produced slightly cottony
colony of pale green color and dispersed conidia and septal mycelium were
observed under the optical microscope. A BLAST search of the sequence in GenBank
revealed a 99% of identity with several strains of N. rileyi (e.g., AF368501.1,
AB268359.1 and EU553337.1) and M. rileyi (e.g., KY436756.1). This is the first
report of M. rileyi isolate from maize fields of Quivican in Cuba and this is
important for biodiversity studies and is another possibility for Integrated Pest
Management.
PMID- 29651183
TI - Annotation and De Novo Sequence Characterization of Extracellular beta
Fructofuranosidase from Penicillium chrysogenum Strain HKF42.
AB - The genome of a fungal strain Penicillium chrysogenum strain HKF42, which can
grow on 20% sucrose has been annotated for 7595 protein coding sequences. On
mining of CAZymes, we could annotate a beta-fructofuranosidase gene responsible
for fructo-oligosaccharides (FOS) synthesis which is a known prebiotic. The
enzyme activity was demonstrated and validated with the generation of FOS as
kestose and nystose.
PMID- 29651184
TI - Psychrotolerant Sphingobacterium kitahiroshimense LT-2 Isolated from Dhundi
Glacier, Himachal Pradesh: Origin Prediction and Future Application.
AB - A psychrotolerant bacterium, isolated from Dhundi Glacier, Himachal Pradesh
(India) was identified as Sphingobacterium kitahiroshimense LT-2 on the basis of
biochemical, molecular and phylogenetic analysis. Sphingobacterium
kitahiroshimense was first reported from Japan and was isolated from the city of
Kitahiroshima, Hokkaido, Japan. In this report we have discussed about the origin
of our strain and predicted that air masses and dust associated microbial cells
transportation phenomena may be applicable for the origin of this species in this
region. Enzymes and secondary metabolites secreted by the genus Sphingobacterium
have enormous potentiality regarding their biotechnological application.
Preliminary study of our strain based on metabolic profiling through HPLC showed
many new metabolites were secreted by the bacterium when grown in presence of
different sugar medium at 28 degrees C. As far as our knowledge this is the
first report about Sphingobacterium species isolated from this region. This
preliminary finding will help to draw an idea about the bacterial population in
this Himalayan Glaciers (in HP) as well as biotechnological application of this
strain can be explored further.
PMID- 29651185
TI - Tackling Salmonella Persister Cells by Antibiotic-Nisin Combination via Mannitol.
AB - Bacterial persisters (defined as dormant, non-dividing cells with globally
reduced metabolism) are the major cause of recurrent infections. As they neither
grow nor die in presence of antibiotics, it is difficult to eradicate these cells
using antibiotics, even at higher concentrations. Reports of metabolites (which
help in waking up of these inactive cells) enabled eradication of bacterial
persistence by aminoglycosides, suggest the new potential strategy to improve
antibiotic therapy. Here we propose, mannitol enabled elimination of Salmonella
persister cells by the nisin-antibiotic combination. For this, persister cells
were developed and characterized for their typical properties such as non
replicative state and metabolic dormancy. Different carbon sources viz. glucose,
glycerol, and mannitol were used, each as an adjunct to ampicillin for the
eradication of persister cells. The maximum (but not complete) killing was
observed with mannitol-ampicillin, out of all the combinations used. However,
significant elimination (about 78%) could be observed, when nisin (an
antimicrobial peptide) was used with ampicillin in presence of mannitol, which
might have mediated the transfer of antibiotic-nisin combination at the same time
when the cells tried to grab the carbon molecule. Further, the effectiveness of
the trio was confirmed by flow cytometry. Overall, our findings highlight the
potential of this trio-combination for developing it as an option for tackling
Salmonella persister cells.
PMID- 29651186
TI - In-Vitro Activity of Doxycycline and beta-Lactam Combinations Against Different
Strains of Burkholderia pseudomallei.
AB - Although doxycycline is active against Burkholderia pseudomallei and has been
used in the eradication stage of melioidosis therapy, it is not regularly used
during the initial intensive phase. In order to assess its potential use in
intensive phase therapy, we investigated in vitro pharmacodynamic activity of
doxycycline and beta-lactams alone and in combination against four Malaysian
strains of B. pseudomallei. Using a checkerboard assay, the combinations of
doxycycline and imipenem, doxycycline and ceftazidime, and doxycycline and
amoxicillin-clavulanate tested against four strains showed indifferent effects
with summation fractional inhibitory concentration values ranging from 0.62 to
2.12. Time-kill experiments also indicated that the combinations of
doxycycline/beta-lactam antibiotics against four tested strains did not fulfil
synergy criteria, in which all combinations showed indifferent effects with -
1.36 to 1.26-log CFU/mL compared to the most active monotherapy regimen in each
combination. No re-growth of bacteria was detected after the early killing in
doxycycline/beta-lactam combination regimens compared to beta-lactam monotherapy
regimens, in which 9 out of 10 were associated with re-growth of bacteria. As no
synergistic activity was observed, this in vitro study showed that doxycycline
offers no additional benefit to be used in combination with beta-lactams in the
intensive phase of therapy.
PMID- 29651187
TI - Effect of Encapsulation on Viability of Bifidobacterium longum CFR815j and
Physiochemical Properties of Ice Cream.
AB - The health beneficial attributes of bifidobacteria and its safe association with
the host gut has increased its significance as a probiotic. However delivering
probiotic bifidobacteria with Minimum Biological Value (MBV) through product has
always been a challenge. In the present study, an attempt was made to maintain
the viability of native isolate of Bifidobacterium longum CFR 815j and deliver
through ice-cream. B. longum CFR815j was microencapsulated in alginate starch
capsules by emulsification followed by evaluation of bead stability in simulated
gastrointestinal conditions. After incorporation in ice-cream, the effect on
chemical properties, sensory parameters and meltdown characteristics of the
product were also evaluated. Survival studies of B. longum revealed higher counts
than 107 in the product which is essential for probiotic bacteria to exhibit
beneficial effect. Further, all the properties of this ice-cream were comparable
to the regular ice-cream. Our studies conclude that encapsulation was able to
maintain the requisite MBV of bifidobacteria in ice-cream without affecting the
sensory characteristics.
PMID- 29651188
TI - Integrated Artificial Intelligence Approaches for Disease Diagnostics.
AB - Mechanocomputational techniques in conjunction with artificial intelligence (AI)
are revolutionizing the interpretations of the crucial information from the
medical data and converting it into optimized and organized information for
diagnostics. It is possible due to valuable perfection in artificial
intelligence, computer aided diagnostics, virtual assistant, robotic surgery,
augmented reality and genome editing (based on AI) technologies. Such techniques
are serving as the products for diagnosing emerging microbial or non microbial
diseases. This article represents a combinatory approach of using such approaches
and providing therapeutic solutions towards utilizing these techniques in disease
diagnostics.
PMID- 29651189
TI - Physical Activity and Personality Development over Twenty Years: Evidence from
Three Longitudinal Samples.
AB - A physically inactive lifestyle is associated with maladaptive patterns of
personality development over relatively short follow-up periods. The present
study extends existing research by examining whether this association persists
over 20 years. Participants (total N = 8,723) were drawn from the Wisconsin
Longitudinal Study Graduates and Siblings samples and the Midlife in the United
States Study. Controlling for demographic factors and disease burden, baseline
physical inactivity was related to steeper declines in conscientiousness in all
three samples and a meta-analysis (beta=-.06). The meta-analysis further showed
that lower physical activity was associated with declines in openness (beta=
.05), extraversion (beta=-.03), and agreeableness (beta=-.03). These findings
provide evidence that a physically inactive lifestyle is associated with long
term detrimental personality trajectories.
PMID- 29651190
TI - Where in the world is the world heading?
PMID- 29651192
TI - Correlations for the Dielectric Constants of H2S, SO2, and SF6.
AB - A new method is developed for correlating the static dielectric constant of polar
fluids over wide ranges of conditions where few experimental data exist.
Molecular dynamics simulations are used to establish the temperature and density
dependence of the Kirkwood g-factor, and also the functional form for the
increase of the effective dipole moment with density. Most parameters in the
model are obtained entirely from simulation; a single proportionality constant is
adjusted to obtain agreement with the limited experimental data. The method is
applied to hydrogen sulfide (H2S) and sulfur dioxide (SO2), both of which are
important in geochemistry but have only a few dielectric data available. The
resulting correlations agree well with the available liquid data, obey physical
boundary conditions at low density and at high temperature, and interpolate in
density and temperature in a physically reasonable manner. In addition, we
present a more conventional correlation for the dielectric constant of sulfur
hexafluoride, SF6, where more data are available.
PMID- 29651191
TI - Nonstandard Work Schedules, Family Dynamics, and Mother-Child Interactions During
Early Childhood.
AB - The rising number of parents who work nonstandard schedules has led to a growing
body of research concerned with what this trend means for children. The negative
outcomes for children of parents who work nonstandard schedules are thought to
arise from the disruptions these schedules place on family life, and thus, the
types of parenting that support their children's development, particularly when
children are young. Using a nationally representative sample of two-parent
families (Early Childhood Longitudinal Study-Birth cohort, n = 3,650), this study
examined whether mothers' and their partners' nonstandard work schedules were
associated with mothers' parenting when children were 2 and 4 years old.
Structural equation models revealed that mothers' and their partners' nonstandard
work schedules were associated with mothers' lower scores on measures of positive
and involved parenting. These associations were mediated by fathers' lower levels
of participation in cognitively supportive parenting and greater imbalance in
cognitively supportive tasks conducted by mothers versus fathers.
PMID- 29651193
TI - Using New Technologies for Time Diary Data Collection: Instrument Design and Data
Quality Findings from a Mixed-Mode Pilot Survey.
AB - Recent years have witnessed a steady growth of time-use research, driven by the
increased research and policy interest in population activity patterns and their
associations with long-term outcomes. There is recent interest in moving beyond
traditional paper-administered time diaries to use new technologies for data
collection in order to reduce respondent burden and administration costs, and to
improve data quality. This paper presents two novel diary instruments that were
employed by a large-scale multi-disciplinary cohort study in order to obtain
information on the time allocation of adolescents in the United Kingdom. A web
administered diary and a smartphone app were created, and a mixed-mode data
collection approach was followed: cohort members were asked to choose between
these two modes, and those who were unable or refused to use the web/app modes
were offered a paper diary. Using data from a pilot survey of 86 participants, we
examine diary data quality indicators across the three modes. Results suggest
that the web and app modes yield an overall better time diary data quality than
the paper mode, with a higher proportion of diaries with complete activity and
contextual information. Results also show that the web and app modes yield a
comparable number of activity episodes to the paper mode. These results suggest
that the use of new technologies can improve diary data quality. Future research
using larger samples should systematically investigate selection and measurement
effects in mixed-mode time-use survey designs.
PMID- 29651195
TI - Impacts of plastic film mulching on crop yields, soil water, nitrate, and organic
carbon in Northwestern China: A meta-analysis.
AB - In order to increase crop yield in semi-arid and arid areas, plastic film
mulching (PFM) is widely used in Northwestern China. To date, many studies have
addressed the effects of PFM on soil physical and biochemical properties in rain
fed agriculture in Northwestern China, but the findings of different studies are
often contradictory. Therefore, a comprehensive review of the impacts of PFM on
soil water content, soil nutrients and food production is needed. We compiled the
results of 1278 observations to evaluate the overall effects of PFM on soil water
content, the distribution of nitrate and soil organic carbon, and crop yield in
rain-fed agriculture in Northwestern China. Our results showed that PFM increased
soil moisture and nitrate concentration in topsoils (0-20 cm) by 12.9% and 28.2%,
respectively, but slightly decreased (1.8%) soil organic carbon (SOC) content in
the 0-10 cm soil layer. PFM significantly increased grain yields by 43.1%, with
greatest effect in spring maize (79.4%). When related to cumulative precipitation
during the crop growing season, yield increase from PFM was greatest (72.8%) at
200-300 mm, which was attributed to the large increase for spring maize and
potato, implying that crop zoning would be beneficial for PFM in this region.
When related to N application rate, crop yields benefited most from PFM (80.2%)
at 200-300 kg/ha. A cost-benefit analysis indicated that PFM increased economic
return by an average of 29.5%, with the best improvement for spring maize (71.1%)
and no increase for spring wheat. In conclusion, PFM can significantly increase
crop yield and economic return (especially for spring maize) in rain-fed
agriculture areas of Northwestern China. Crop zoning is recommended for PFM to
achieve the largest economic benefit. However, full account needs to be taken of
the environmental impacts relating to N loss, SOC depletion and film pollution to
evaluate the sustainability of PFM systems and further research is required to
quantify and mitigate these impacts.
PMID- 29651196
TI - Resolving Alliance Ruptures from an Attachment-Informed Perspective.
AB - In this article, we examine how the different attachment patterns enable or
hinder the resolution of ruptures in the therapeutic alliance. We try to show
that secure and insecure patients alike may experience ruptures in the
therapeutic alliance, but that their ability to participate in resolving such
ruptures differ markedly. Recent findings with the Patient Attachment Coding
System (PACS) show that attachment classifications manifest in psychotherapy as
distinct ways of communicating about present internal experience. Secure patients
disclose their present experience openly and invite attunement from the
therapist, while insecure patients either minimize their contributions to the
dialogue (avoidant) or the contributions of the therapist (preoccupied). Using
examples from session transcripts, we demonstrate how secure patients are
particularly responsive to resolution strategies that focus on here-and-now
experience, while insecure patients' characteristic ways of communicating pose
significant challenges to the resolution process.
PMID- 29651197
TI - A Strategy for Sperm Cryopreservation of Atlantic Salmon, Salmo salar, for Remote
Commercial-scale High-throughput Processing.
AB - Sperm cryopreservation is an essential tool for long-term storage of genetic
resources for aquaculture fishes. The goal of this study was to develop an
efficient and streamlined protocol for high-throughput processing for sperm
cryopreservation in Atlantic salmon, Salmo salar. The objectives were to
evaluate: 1) osmolality of blood serum for determining extender osmolality; 2)
effects of extenders for fresh sperm dilution and refrigerated storage; 3)
effects of methanol and dimethyl sulfoxide (DMSO) on fresh sperm motility, and 4)
motility and fertility after thawing. In this study, sperm samples were collected
at a hatchery site in Canada, and shipped to a freezing site located 2200 miles
(3550 km) away in the United States. Evaluation of three extenders indicated that
Mounib solution was suitable for diluting dry sperm for sample processing. Ten
percent of methanol or DMSO was less toxic to sperm cells than was 15% within 30
min. Further testing with methanol at 5, 10, and 15%, and sperm solution:extender
dilutions (v:v) of 1:1, 1:3, 1:19 (at concentrations of ~5*107; 3*108, and 1*109
cells/mL) indicated that methanol at 5% and 10% showed less toxicity to fresh
sperm within 1 hr at sperm: extender dilutions of 1:1 and 1:3. Post-thaw motility
of sperm cryopreserved with 10% methanol was significantly higher than that with
10% DMSO, and fertility reflected those results (0-1% in DMSO vs. 38-55% in
methanol). Further evaluation of sperm cryopreservation with 10 and 15% methanol
at sperm dilution ratios of 1:1, 1:3, 1:19 indicated post-thaw motility in 10%
methanol was significantly higher than that in 15% methanol, and post-thaw
fertility in 10% methanol at 1:1 and 1:3 dilution ratios had fertilization rates
similar to that of fresh sperm controls. Sperm samples from 12 males
cryopreserved with 10% methanol showed male-to-male variation in post-thaw
motility (0-36%). Overall, a simplified standard protocol was established for
cryopreservation of shipped sperm of Atlantic salmon using extender without egg
yolk and yielded satisfactory post-thaw motility and fertilization rates. This
procedure can be readily adopted by aquaculture facilities to take advantage of
high-throughput cryopreservation capabilities at remote service centers. Most
importantly, this approach lays the groundwork for an alternative commercial
model for commercial-scale production, quality control and development of
industrial standards. Control of male variability and sperm quality remain
important considerations for future work.
PMID- 29651198
TI - Silver Nitrate Grade and Its Effect on Physical Developer Performance-A
Validation Study.
AB - Silver nitrate is a critical component of the physical developer (PD) reagent.
Significant increases in the cost of silver nitrate in recent years have caused
the United States Secret Service's Forensic Laboratory to look for a more
economical way to produce physical developer. One possible solution to this
dilemma is to use a lower grade of silver nitrate, which typically has a lower
cost. This study compared the quality of fingerprints produced on various paper
substrates by three physical developer working solutions, each prepared using
either the American Chemical Society (ACS), U.S. Pharmacopeia (USP), or technical
grade of silver nitrate. It was determined that the less pure grades of silver
nitrate produced approximately the same quality of fingerprints as the ACS grade,
which is currently used in the authors' laboratory for making PD working
solutions. In the experiments comparing PD prepared using the ACS and USP grades
of silver nitrate, the ACS grade was superior only 5% of the time, whereas the
USP grade was chosen 27% of the time. In the experiments comparing PD prepared
using the ACS and technical grades of silver nitrate, the ACS grade was superior
only 10% of the time, whereas the technical grade was selected 17% of the time.
For the majority of the sample comparisons, no difference in fingerprint quality
was observed. The overall conclusion was that either USP or technical grade
silver nitrate can be used in place of the current, and more expensive, ACS grade
of silver nitrate.
PMID- 29651194
TI - River networks as ecological corridors: A coherent ecohydrological perspective.
AB - This paper draws together several lines of argument to suggest that an
ecohydrological framework, i.e. laboratory, field and theoretical approaches
focused on hydrologic controls on biota, has contributed substantially to our
understanding of the function of river networks as ecological corridors. Such
function proves relevant to: the spatial ecology of species; population dynamics
and biological invasions; the spread of waterborne disease. As examples, we
describe metacommunity predictions of fish diversity patterns in the Mississippi
Missouri basin, geomorphic controls imposed by the fluvial landscape on
elevational gradients of species' richness, the zebra mussel invasion of the same
Mississippi-Missouri river system, and the spread of proliferative kidney disease
in salmonid fish. We conclude that spatial descriptions of ecological processes
in the fluvial landscape, constrained by their specific hydrologic and ecological
dynamics and by the ecosystem matrix for interactions, i.e. the directional
dispersal embedded in fluvial and host/pathogen mobility networks, have already
produced a remarkably broad range of significant results. Notable scientific and
practical perspectives are thus open, in the authors' view, to future
developments in ecohydrologic research.
PMID- 29651199
TI - Modification of Nanoporous Silicon Nitride with Stable and Functional Organic
Monolayers.
AB - This study describes the formation of functional organic monolayers on thin,
nanoporous silicon nitride membranes. We demonstrate that the vapor-phase carbene
insertion into the surface C-H bonds can be used to form sub-5 nm molecular
coatings on nanoporous materials, which can be further modified with monolayers
of polyethylene glycol (PEG) molecules. We investigate composition, thickness,
and stability of the functionalized monolayers and the changes in the membrane
permeability and pore size distribution. We show that, due to the low coating
thickness (~7 nm), the functionalized membrane retains 80% of the original gas
permeance and 40% of the original hydraulic permeability. We also show that the
carbene/PEG functionalization is hydrolytically stable for up to 48 h of exposure
to water and that it can suppress nonspecific adsorption of the proteins BSA and
IgG. Our results suggest that the vapor-phase carbenylation can be used as a
complementary technology to the traditional self-assembly and polymer brush
chemistries in chemical functionalization of nanoporous materials, which are
limited in their ability to serve as stable coatings that do not occlude
nanomembrane pores.
PMID- 29651200
TI - Return to illicit drug use post-incarceration among formerly incarcerated Black
Americans.
AB - Aims: The number of drug dependent individuals incarcerated in the U.S. is
exceptionally high, and reportedly 60 percent of incarcerated Black Americans
have a substance abuse disorder. The purpose of this study was to identify
factors associated with return to illicit drug use post-incarceration. Methods: A
cross-sectional study was conducted with 121 formerly incarcerated Black
Americans in New York City to examine predictors of return to illicit drug use.
Kaplan-Meier curves were generated on the outcome of time-to-drug use for various
predictors and compared using the log-rank test. Cox proportional hazards models
were used to identify significant predictors of return to illicit drug use post
incarceration. Findings: Approximately 83 percent (n=100) of the participants
reported a history of illicit drug use, not including participants who have only
used marijuana. Out of 121 participants, 36 (29.8%) had used drugs within one day
after release. By two weeks after release, half had used drugs. Gender and
history of heroin use were significant predictors of time-to-drug use according
to the log rank test. Conclusions: The potential for immediate return to drug use
among our sample suggests that discharge support programs that focus specifically
on healthy decision-making among women and heroin users are especially critical.
PMID- 29651201
TI - Tissue-Resident Memory Cells: New Marked Shield to Fight Cancers.
PMID- 29651202
TI - A Narrative Review of Potential Future Antidiabetic Drugs: Should We Expect More?
AB - Prevalence of diabetes mellitus, a chronic metabolic disease characterized by
hyperglycemia, is growing worldwide. The majority of the cases belong to type 2
diabetes mellitus (T2DM). Globally, India ranks second in terms of diabetes
prevalence among adults. Currently available classes of therapeutic agents are
used alone or in combinations but seldom achieve treatment targets. Diverse
pathophysiology and the need of therapeutic agents with more favourable
pharmacokinetic-pharmacodynamics profile make newer drug discoveries in the field
of T2DM essential. A large number of molecules, some with novel mechanisms, are
in pipeline. The essence of this review is to track and discuss these potential
agents, based on their developmental stages, especially those in phase 3 or phase
2. Unique molecules are being developed for existing drug classes like insulins,
DPP-4 inhibitors, GLP-1 analogues; and under newer classes like dual/pan PPAR
agonists, dual SGLT1/SGLT2 inhibitors, glimins, anti-inflammatory agents,
glucokinase activators, G-protein coupled receptor agonists, hybrid peptide
agonists, apical sodium-dependent bile acid transporter (ASBT) inhibitors,
glucagon receptor antagonists etc. The heterogeneous clinical presentation and
therapeutic outcomes in phenotypically similar patients is a clue to think beyond
the standard treatment strategy.
PMID- 29651204
TI - Ameliorative Effects of Allium sativum Extract on iNOS Gene Expression and NO
Production in Liver of Streptozotocin + Nicotinamide-Induced Diabetic Rats.
AB - Diabetes mellitus (DM) is one of the most prevalent diseases in the world, which
is strongly associated with liver dysfunction. Hyperglycemia, through an
oxidative stress pathway, damages various tissues. Herbal medicine is a good
candidate to ameliorate hyperglycemia and oxidative stress. In this study, the
effects of aqueous Allium sativum (garlic) extract (AGE) on gene expression of
inducible nitric oxide synthases (iNOS) and production of nitric oxide (NO) were
evaluated in the liver tissue of diabetic rats. Four groups of rats contained
normal control rats, garlic control rats (AGE), Streptozotocin (STZ) +
nicotinamide-induced diabetic rats (DM), and diabetic rats treated with garlic
(DM + AGE). Glucose levels and liver enzymes activities were determined by
colorimetric assay in the serum. Gene expression of iNOS by real-time PCR, NO
levels by Griess method, oxidative stress parameters by spectrophotometric method
and histopathological examination by hematoxylin and eosin staining method were
evaluated in the liver tissues. Glucose levels, activities of liver enzymes,
oxidative stress markers, iNOS gene expression, and NO production increased
significantly in diabetic rats in comparison with control rats, whereas after
oral administration of garlic, these parameters decreased significantly, close to
the normal levels. Hence, the beneficial effects of garlic on the liver injury of
diabetes could be included in the hypoglycaemic and antioxidant properties of
garlic via a decrease in gene expression of iNOS and subsequent NO production.
PMID- 29651203
TI - Lead: Tiny but Mighty Poison.
AB - The documentation of lead toxicity (plumbism) dates back to the times when man
learnt its various applications. This versatile heavy metal is non-degradable and
its ability to get accumulated in the body that goes undiagnosed, makes it a
serious environmental health hazard. Lead is now known to affect almost every
organ/tissue of the human body. With irreversible effects on neurobiological
development of young children and foetus, its toxicity has lasting implications
on the human life. Outlining the symptoms, diagnosis and treatment therapy for
lead poisoning, the present review elaborates the pathophysiological effects of
lead on various organs. This will be of immense help to the health professionals
so as to inculcate a better understanding of the lead poisoning which otherwise
is asymptomatic. With chelation therapy being the classic path of treatment, new
strategies are being explored as additive/adjunct therapy. It is now understood
that lead toxicity is completely preventable. In this regard significant efforts
are in place in the developed countries whereas much needs to be done in the
developing countries. Spreading the awareness amongst the masses by educating
them and reducing the usage of lead following stricter industry norms appears to
be the only roadmap to prevent lead poisoning. Efforts being undertaken by the
Government of India and other organisations are also mentioned.
PMID- 29651205
TI - Errors in the Extra-Analytical Phases of Clinical Chemistry Laboratory Testing.
AB - The total testing process consists of various phases from the pre-preanalytical
to the post-postanalytical phase, the so-called brain-to-brain loop. With
improvements in analytical techniques and efficient quality control programmes,
most laboratory errors now occur in the extra-analytical phases. There has been
recent interest in these errors with numerous publications highlighting their
effect on service delivery, patient care and cost. This interest has led to the
formation of various working groups whose mission is to develop standardized
quality indicators which can be used to measure the performance of service of
these phases. This will eventually lead to the development of external quality
assessment schemes to monitor these phases in agreement with ISO15189:2012
recommendations. This review focuses on potential errors in the extra-analytical
phases of clinical chemistry laboratory testing, some of the studies performed to
assess the severity and impact of these errors and processes that are in place to
address these errors. The aim of this review is to highlight the importance of
these errors for the requesting clinician.
PMID- 29651206
TI - Urinary Urea, Uric Acid and Hippuric Acid as Potential Biomarkers in Multiple
Sclerosis Patients.
AB - Urine is a proven source of metabolite biomarkers and has the potential to be a
rapid, noninvasive, inexpensive, and efficient diagnostic tool for various human
diseases. Despite these advantages, urine is an under-investigated source of
biomarkers for multiple sclerosis (MS). The objective was to investigate the
level of some urinary metabolites (urea, uric acid and hippuric acid) in patients
with MS and correlate their levels to the severity of the disease, MS subtypes
and MS treatment. The urine samples were collected from 73 MS patients-48 with
RRMS and 25 with SPMS- and age matched 75 healthy controls. The values of urinary
urea, uric acid and hippuric acid in MS patients were significantly decreased,
and these metabolites in SPMS pattern showed significantly decrease than RRMS
pattern. Also showed significant inverse correlation with expanded disability
status scale and number of relapses. Accordingly, they may act as a potential
urinary biomarkers for MS, and correlate to disease progression.
PMID- 29651207
TI - Protective Effect of Allyl Isothiocyanate on Glycoprotein Components in 7,12
dimethylbenz(a)anthracene Induced Mammary Carcinoma in Rats.
AB - The present study aimed to investigate the protective effect of allyl
isothiocyanate (AITC) on glycoprotein components in 7,12
dimethylbenz(a)anthracene (DMBA) induced mammary carcinogenesis in female Sprague
Dawley rats. Mammary tumor was induced by a single dose of DMBA (25 mg/rat)
injected subcutaneously near mammary gland. The levels of glycoprotein components
such as hexose, hexosamine and sialic acid were analyzed colorimetrically in
plasma, mammary and liver tissues. We observed an increased levels of
glycoprotein components in plasma, mammary and liver tissues in cancer bearing
rats. It was further confirmed by Periodic Acid Schiff staining in mammary and
liver tissues. Upon oral administration of AITC to DMBA injected rats, the
abnormal changes were reverted back to near normal levels and biochemical
findings are supported by histological analysis. This could be due to the anti
neoplastic potential of AITC against DMBA-induced mammary carcinogenesis. The
result shows that AITC has the potential to inhibit abnormal glycosylation that
favors neoplastic transformation.
PMID- 29651210
TI - Effects of Alternanthera sessilis on Liver Function in Carbon Tetra Chloride
Induced Hepatotoxicity in Wister Rat Model.
AB - Alternanthera sessilis commonly known as 'sessile joy weed' or 'dwarf copperleaf'
is found throughout the hotter parts of India up to an altitude of 1200 m2. In
Assam, the plant has been traditionally used in the treatment of jaundice along
with other ailments. The study focuses primarily on the evaluation of
hepatoprotective activity of the plant with special references to its putative
protective role in carbon tetrachloride induced liver injury on Wister albino
rat. The in vivo hepatoprotective activity of the methanolic extract at the dose
of 250 mg/kg body weight was highly effective in controlling SGPT, SGOT, ALP,
serum cholesterol and serum bilirubin level as compared to silymarin. The said
extract also significantly lowered the lipid profile caused by CCl4. The activity
shown by methanolic extract of the whole plant of A. sessilis is of considered
importance and thus justified its use in controlling hepatic diseases in
traditional treatment system.
PMID- 29651209
TI - Polymorphic Variation in Double Strand Break Repair Gene in Indian Population: A
Comparative Approach with Worldwide Ethnic Group Variations.
AB - DNA repair capacity is essential in maintaining cellular functions and
homeostasis. Identification of genetic polymorphisms responsible for reduced DNA
repair capacity may allow better cancer prevention. Double strand break repair
pathway plays critical roles in maintaining genome stability. Present study was
conducted to determine distribution of XRCC3 Exon 7 (C18067T, rs861539) and XRCC7
Intron 8 (G6721T, rs7003908) gene polymorphisms in North Indian population and
compare with different populations globally. The genotype assays were performed
in 224 normal healthy individuals of similar ethnicity using the polymerase chain
reaction-restriction fragment length polymorphism (PCR-RFLP). Allelic frequencies
of wild type were 79% (C) in XRCC3 Exon 7 C > T and 57% (G) in XRCC7 Intron 8 (G
> T) 57% (G) observed. On the other hand, the variant allele frequency were 21%
(T) in XRCC3 Exon 7 C > T and 43% (T) in XRCC7 Intron 8 G > T respectively. Major
differences from other ethnic populations were observed. Our results suggest that
frequency in these DNA repair genes exhibit distinctive pattern in India that
could be attributed to ethnicity variation. This could assist in high-risk
screening of humans exposed to environmental carcinogens and cancer
predisposition in different ethnic groups.
PMID- 29651208
TI - Role of Brain Biomarker in Predicting Clinical Outcome in Hypertensive
Cerebrovascular Ischemic Stroke.
AB - This study was undertaken to evaluate the role of serum neuron specific enolase
(NSE) in prediction of disability and neurological worsening in hypertensive
ischemic cerebrovascular stroke. 80 hypertensive ischemic stroke patients
diagnosed by a neurologist as per WHO definition along with radiological findings
suggestive of cerebrovascular stroke and differentiating from hemorrhagic stroke
and 60 controls having essential hypertension coming to hospital because of
regular checkup or headache but with no neurological disease were included in the
study. Neurological disability was assessed by NIHSS at the time of admission
(within 72 h from the onset of stroke) and on 7th day after admission and cases
were categorized into mild, moderate and severe disability. Venous blood samples
were drawn within 72 h from the onset of symptoms. The samples were processed as
per the laboratory protocol. The serum NSE samples were analyzed using an enzyme
immunoassay based on the sandwich technique. We observed raised serum NSE in
hypertensive ischemic stroke (17.4 +/- 5.4 ng/ml) with significant association
between different hypertensive groups than in hypertensive controls (9.1 +/- 0.75
ng/ml). Greater degree of disability was observed in hypertensive stroke patients
with raised serum NSE and hypertensive patients with mean serum NSE level of 22.9
+/- 3.6 ng/ml and dyslipidemia had greater probability of neurological worsening
as compared to those with mean serum NSE level of 12.7 +/- 1.2 ng/ml. Serum NSE
levels can serve as a peripheral indicator of neuronal damage and assist in the
prediction of disability and clinical outcome in hypertensive cerebrovascular
ischemic stroke patients.
PMID- 29651212
TI - Correlation Between Intron 4 Polymorphism of the Endothelial Nitric Oxide
Synthase Gene and Cardiovascular Risk with the Numbers of Circulating Endothelial
Progenitor Cells in Healthy Subjects.
AB - In the present study, we investigated the relationship between an important 27 bp
repeat polymorphism in intron 4 of eNOS and numbers of circulating EPCs in
presence of cardiovascular disease (CVD) risk factors in a group of healthy human
volunteers. The study comprised of 45 healthy subjects (30-50 years). These
subjects had various degrees of CVD risk but no history of CVD. The repeat
polymorphism of eNOS was detected by polymerase chain reaction and EPC levels
were analyzed by flow cytometry. We observed a good association between the
intronic 4 mutant a/b genotype and the combined Framingham risk factor score in
our subjects (chi2 = 3.2, P = 0.07). EPC numbers in subjects with mutant eNOS a/b
genotype were also less than those observed in subjects with normal eNOS b/b
genotype (P = 0.06). Interestingly, subjects with eNOS a/b genotype showed a
significant inverse correlation between framingham risk score and EPC numbers (R
= -0.57, P < 0.05). The study suggests that the presence of CVD risk factors in
subjects with eNOS intron 4 polymorphism results in reduced number of circulating
EPCs, which may significantly predispose them to CVD and aberrant endothelial
repair.
PMID- 29651213
TI - Genetic Polymorphism of CYP2C9 Among Sistani Ethnic Group in Gorgan.
AB - Cytochrome P450 2C9 (CYP2C9) is involved in metabolism of many important drugs
and its genotype variations is thought to affect drug efficacy and the treatment
process. The aim of this study was to assess the distribution of CYP2C9 allele
and genotypic variants in Sistani ethnic group, living in Gorgan, South East of
Caspian Sea and North East of Iran. This study included 140 Sistani, referred to
the health center of Gorgan. CYP2C9 genotyping was carried out by polymerase
chain reaction-restriction fragment length polymorphism technique. The allele
frequency of CYP2C9*1, CYP2C9*2 and CYP2C9*3 was 76.1, 16.1 and 7.8%,
respectively. The frequency of CYP2C9*1/*1, CYP2C9*1/*2, CYP2C9*1/*3,
CYP2C9*2/*2, CYP2C9*2/*3 and CYP2C9*3/*3 genotypes was 53.9, 22.1, 11.4, 2.9,
4.3% and nil, respectively. In this study the genotypic variations of the CYP2C9
allele among the Sistani ethnic group was investigated and great differences were
observed in comparison to other populations. Our findings suggest that different
genotypes of CYP2C9 may influence the pharmacokinetics of some drugs. More
studies on the pharmacokinetic effects of CYP2C9 genotypes may help physicians
choose optimal dosage of some drugs for treatment and prevention of their side
effects. Since different ethnic groups from all over the world use medications,
it suggests to investigate the pharmacokinetic effects of CYP2C9 genotypes in
different populations.
PMID- 29651211
TI - Study of the Effect of Bisphenol A on Oxidative Stress in Children with Autism
Spectrum Disorders.
AB - The role of bisphenol A (BPA) in autism was investigated in 49 children (mean age
= 5.950 +/- 1.911 years) with autism spectrum disorders (ASDs) and 40 comparable
age and sex matched children used as controls (mean age = 5.333 +/- 2.279 years).
In addition, 8-Hydroxydeoxyguanosine (8-oxodG) was also studied as a biomarker of
oxidative stress in the same set of two selected groups. The results showed that
both BPA and 8-oxodG were significantly higher in children with autism than those
of control children (p values = 0.025 and 0.0001, respectively). There were
positive correlations between both BPA and 8-oxodG with ASDs severity (r = 0.400
and 0.805, respectively), these correlations were highly significant (p values =
0.004 and 0.001, respectively). There was a significance positive correlation
between BMI and BPA, but the correlation between BMI and 8-oxodG was not
significant in children with autism. The observed results revealed that BPA may
increase oxidative stress resulting in mitochondrial dysfunction that affecting
the behavior and functioning of ASDs children.
PMID- 29651214
TI - Homeostatic Model Assessment-Insulin Resistance (HOMA-IR 2) in Mild Subclinical
Hypothyroid Subjects.
AB - Despite various studies with conflicting results, the effect of thyroid hormones
on lipids and insulin levels in dysthyroidism is of great interest. This case
control study was aimed to perceive the existence of IR and dyslipidemia in mild
subclinical hypothyroid subjects (TSH <= 9.9 uIU/ml) as compared to their age and
gender matched euthyroid controls. Basic demographic information like height,
weight was recorded. Serum samples of all the subjects were assayed for thyroid
profile, lipid profile, blood glucose, HbA1C and insulin. BMI and insulin
resistance was calculated. Compared to controls patients with mild subclinical
hypothyroidism demonstrated hyperinsulinemia and dyslipidemia observed by the
higher LDL cholesterol. A significantly positive correlation was observed for
HOMA-IR with TSH and LDL cholesterol. Hence, even in the mild subclinical
hypothyroid state assessment of thyroid function should be combined with
estimation of plasma glucose, insulin and serum lipids to monitor and prevent its
associated effects.
PMID- 29651215
TI - Plasma Neutrophil Elastase, alpha1-Antitrypsin, alpha2-Macroglobulin and
Neutrophil Elastase-alpha1-Antitrypsin Complex Levels in patients with Dengue
Fever.
AB - Dengue fever (DF) is characterized by systemic inflammatory response including
neutrophil activation leading to uncontrolled elastase activity. This study was
aimed to measure the activity of plasma neutrophil elastase (NE), its endogenous
inhibitors alpha1-antitrypsin (alpha1-AT) and alpha2-macroglobulin (alpha2-MG)
and elastase in complex with alpha1-AT (NE-alpha1-AT complex) in DF. 50 dengue
patients [39 DF and 11 dengue hemorrhagic fever (DHF)] and 52 healthy subjects
were included in the study. NE was measured using N-succinyl-tri-alanine-p
nitroanilide as substrate. alpha1-AT, alpha2-MG and NE-alpha1-AT complex were
estimated by ELISA. The result analysis indicated that the dengue patients had
significantly higher elastase activity with significantly reduced inhibitor
levels compared to controls. Between DF and DHF patients, DHF group had
significantly higher elastase activity. In conclusion, significantly elevated NE
and reduced inhibitors level in dengue fever indicate these parameters could be
of significance in DF particularly for the assessment of progression of
inflammatory processes.
PMID- 29651216
TI - Linear Analysis of Autonomic Activity and Its Correlation with Creatine Kinase-MB
in Overt Thyroid Dysfunctions.
AB - Autonomic activity may be deranged in thyroid dysfunctions and may lead to
cardiovascular morbidity and mortality. Myopathy is a common manifestation in
thyroid disorders and may be associated with raised serum creatine kinase (CK).
We hypothesized that cardiovascular abnormality in thyroid dysfunction may
manifest as raised CK-MB. This study was designed to investigate the correlation
of CK and its isoform CK-MB with thyroid profile and linear parameters of heart
rate variability (HRV). The study was conducted on 35 hypothyroid and
hyperthyroid patients each, and 25 age-matched healthy controls. Autonomic
activity was assessed by simple short term 5-min HRV. Biochemical evaluation of
serum thyroid profile, CK-NAC and CK-MB were estimated in all the subjects. Our
results demonstrated low HRV in hypo- as well as hyperthyroid patients. We
observed significantly higher serum CK levels in hypothyroid patients when
compared to hyperthyroids and controls. However, no significant differences were
observed in CK-MB levels in the three groups. Significant positive correlation of
CK with TSH and negative correlation with some HRV parameters (LF power, HF
power, total power, SDNN, RMSSD) was observed in hypothyroid patients. Whereas
correlation of CK-MB with thyroid profile as well as HRV parameters was non
significant in all the groups. Based on the CK and CK-MB findings and their
correlation, we conclude that the cardiovascular changes seen in thyroid
dysfunctions may primarily be due to autonomic imbalance without apparent cardiac
muscle involvement. Whereas, raised CK levels indicate predominantly skeletal
muscle involvement in hypothyroid patients.
PMID- 29651217
TI - Coinheritance of Sicilian (deltabeta)0-Thalassemia and Two Rare Hemoglobin
Variants: A Complex Case of Hemoglobinopathy.
AB - alpha-Thalassemia (alpha-thal) is considered as the most common inherited
hemoglobin disorder worldwide. The present study describes the first observation
of a combination of rare alpha-chain variants, and beta-globin gene cluster
deletion. A 21-year-old woman with thalassemia trait, marked microcytosis, mild
anemia, and normal range of Hb F was referred to Amirkola genetic center in the
North of Iran for routine molecular test of thalassemia in the context of carrier
detection and prevention of thalassemia major birth. Nucleotide sequencing
revealed a novel compound heterozygosity status for two non-deletional mutations
on HBA2, Hb O Indonesia (alpha116(GH4)Glu -> Lys), and Hb Matsue-Oki (alpha75
(EF4) Asp -> Asn), together with heterozygosity for the sicilian (deltabeta)0
thal mutation. This finding highlights the necessity of deep molecular
investigation of thalassemia in regions where thalassemia is abundant, and
present highly heterogeneous population.
PMID- 29651219
TI - Vanillic Acid in Endometrial Carcinoma: A Role for Nitric Oxide?
PMID- 29651218
TI - Hypocalcemia Presenting as Life Threatening Torsades de Pointes with Prolongation
of QTc Interval.
AB - Torsades de pointes with prolonged QTc interval is a form of ventricular
tachycardia. Many predisposing factors have been identified and hypocalcemia is
among the rare ones. Our case illustrates that though rare, hypocalcemia might
manifest as torsades de pointes with prolongation of QTc interval. Early
diagnosis and management of dyselectrolytemia can prevent these patients from
catastrophic torsades de pointes.
PMID- 29651220
TI - Upper Limit of Normal for Serum Alanine Transaminase in Healthy Population.
PMID- 29651221
TI - The solvability conditions for the inverse eigenvalue problem of normal skew J
Hamiltonian matrices.
AB - Let [Formula: see text] be a normal matrix such that [Formula: see text], where
[Formula: see text] is an n-by-n identity matrix. In (S. Gigola, L. Lebtahi, N.
Thome in Appl. Math. Lett. 48:36-40, 2015) it was introduced that a matrix
[Formula: see text] is referred to as normal J-Hamiltonian if and only if
[Formula: see text] and [Formula: see text]. Furthermore, the necessary and
sufficient conditions for the inverse eigenvalue problem of such matrices to be
solvable were given. We present some alternative conditions to those given in the
aforementioned paper for normal skew J-Hamiltonian matrices. By using Moore
Penrose generalized inverse and generalized singular value decomposition, the
necessary and sufficient conditions of its solvability are obtained and a
solvable general representation is presented.
PMID- 29651222
TI - Toward 3D Printed Hydrogen Storage Materials Made with ABS-MOF Composites.
AB - The push to advance efficient, renewable, and clean energy sources has brought
with it an effort to generate materials that are capable of storing hydrogen.
Metal-organic framework materials (MOFs) have been the focus of many such studies
as they are categorized for their large internal surface areas. We have addressed
one of the major shortcomings of MOFs (their processibility) by creating and 3D
printing a composite of acrylonitrile butadiene styrene (ABS) and MOF-5, a
prototypical MOF, which is often used to benchmark H2 uptake capacity of other
MOFs. The ABS-MOF-5 composites can be printed at MOF-5 compositions of 10% and
below. Other physical and mechanical properties of the polymer (glass transition
temperature, stress and strain at the breaking point, and Young's modulus) either
remain unchanged or show some degree of hardening due to the interaction between
the polymer and the MOF. We do observe some MOF-5 degradation through the
blending process, likely due to the ambient humidity through the purification and
solvent casting steps. Even with this degradation, the MOF still retains some of
its ability to uptake H2, seen in the ability of the composite to uptake more H2
than the pure polymer. The experiments and results described here represent a
significant first step toward 3D printing MOF-5-based materials for H2 storage.
PMID- 29651223
TI - The Mediating Role of Self-Criticism in the Relationship between Parental
Expressed Emotion and NSSI.
AB - Nonsuicidal self-injury (NSSI) is found at high rates among adolescents and young
adults and is associated with many negative consequences, warranting additional
research. Two factors that may contribute to NSSI during this time period are
one's levels of self-criticism and perceptions of their parental relationships;
however, these relationships have received limited attention. The purpose of this
current study was to address this gap in the literature. Adolescents and young
adults (n=294) completed measures of perceived parental expressed emotion, self
criticism, and NSSI. Participants with a history of NSSI reported perceiving
their parents as providing less emotional support, inducing more irritation,
being more intrusive, and expressing more criticism compared to those without a
history of NSSI. These individuals also endorsed greater self-criticism. Further,
self-criticism mediated the relationship between perceived parental expressed
emotion and NSSI occurrence, such that those with higher reported self-criticism
and greater perceived parental expressed emotion were more likely to engage in
NSSI.
PMID- 29651224
TI - Racial differences in Transitions to Marriage for Unmarried Mothers.
AB - Unlike prior studies that have explained racial differences in the transitions to
marriage among unmarried women, our study used the Fragile Families and Child
Wellbeing Study to examine racial differences in the transitions to marriage
among unmarried women following a non-marital birth. We found that Black mothers
were 60-65% more likely to delay marriage after a non-marital birth compared to
White mothers and these racial gaps were only partially explained by economic,
demographic and attitudinal factors. Our paper further contributes to this
literature by examining changes in cohabitation patterns, educational attainment,
poverty status and attitudes of gender distrust that are able to partially
explain and reduce these racial gaps in transitions to marriage. With the general
decline in marriage and rise in cohabitation, our paper tried to assess whether
cohabitation is a leading factor for marriage or a substitute for marriage for
unmarried mothers. Racial disparities have important implications for child
wellbeing and intergenerational transmission of inequalities.
PMID- 29651225
TI - The relationship between the spatial scaling of biodiversity and ecosystem
stability.
AB - Aim: Ecosystem stability and its link with biodiversity have mainly been studied
at the local scale. Here we present a simple theoretical model to address the
joint dependence of diversity and stability on spatial scale, from local to
continental. Methods: The notion of stability we use is based on the temporal
variability of an ecosystem-level property, such as primary productivity. In this
way, our model integrates the well-known species-area relationship (SAR) with a
recent proposal to quantify the spatial scaling of stability, called the
invariability-area relationship (IAR). Results: We show that the link between the
two relationships strongly depends on whether the temporal fluctuations of the
ecosystem property of interest are more correlated within than between species.
If fluctuations are correlated within species but not between them, then the IAR
is strongly constrained by the SAR. If instead individual fluctuations are only
correlated by spatial proximity, then the IAR is unrelated to the SAR. We apply
these two correlation assumptions to explore the effects of species loss and
habitat destruction on stability, and find a rich variety of multi-scale spatial
dependencies, with marked differences between the two assumptions. Main
conclusions: The dependence of ecosystem stability on biodiversity across spatial
scales is governed by the spatial decay of correlations within and between
species. Our work provides a point of reference for mechanistic models and data
analyses. More generally, it illustrates the relevance of macroecology for
ecosystem functioning and stability.
PMID- 29651226
TI - DNA methylation affects metastasis of renal cancer and is associated with TGF
beta/RUNX3 inhibition.
AB - Background: Renal cell carcinoma accounts for 2-3% of all cancers and metastasis
increased the malignancy of renal cancer. However, the role of methylation in
metastasis of renal cancer is poorly understood. Methods: We performed targeted
gene array to compare the differential expressions of methylation regulated genes
in metastatic and primary renal cancer tissues. Quantitative methylation specific
PCR was performed to examine the CpG methylation levels of Runt related
transcription factor 3 (RUNX3) and transforming growth factor (TGF)-beta. Western
blot was performed to detect the expression of target genes. Murine xenograft
renal cancer model was established to assay gene expression, methylation level,
tumor growth and animal survival in vivo. Results: RUNX3 and TGF-beta levels were
decreased in metastatic renal cancer tissues as a result of their CpG
methylation. Metastatic xenograft model displayed decreased expression levels of
RUNX3 and TGF-beta and higher CpG methylation levels, bigger tumor size and
shorter survival time, all which were restored by treatment with a methylation
inhibitor. Conclusions: Hypermethylation in CpG islands promotes metastasis of
renal cancer and is associated with TGF-beta and RUNX3 inhibition.
PMID- 29651228
TI - Predicting the Kinetics of Ice Recrystallization in Aqueous Sugar Solutions.
AB - The quality of stored frozen products such as foods and biomaterials generally
degrades in time due to the growth of large ice crystals by recrystallization.
While there is ample experimental evidence that recrystallization within such
products (or model systems thereof) is often dominated by diffusion-limited
Ostwald ripening, the application of Ostwald-ripening theories to predict
measured recrystallization rates has only met with limited success. For a model
system of polycrystalline ice within an aqueous solution of sugars, we here show
recrystallization rates can be predicted on the basis of Ostwald ripening theory,
provided (1) the theory accounts for the fact the solution can be nonideal,
nondilute and of different density than the crystals, (2) the effect of ice-phase
volume fraction on the diffusional flux of water between crystals is accurately
described, and (3) all relevant material properties (involving binary Fick
diffusion coefficients, the thermodynamic factor of the solution, and the surface
energy of ice) are carefully estimated. To enable calculation of material
properties, we derive an alternative formulation of Ostwald ripening in terms of
the Maxwell-Stefan instead of the Fick approach to diffusion. First, this leads
to a cancellation of the thermodynamic factor (a measure for the nonideality of a
solution), which is a notoriously difficult property to obtain. Second, we show
that Maxwell-Stefan diffusion coefficients can to a reasonable approximation be
related to self-diffusion coefficients, which are relatively easy to measure or
predict in comparison to Fick diffusion coefficients. Our approach is validated
for a binary system of water and sucrose, for which we show predicted
recrystallization rates of ice compare well to experimental results, with
relative deviations of at most a factor of 2.
PMID- 29651227
TI - A case of atopic dermatitis caused by Ascaris lumbricoides infection.
AB - Background: Parasite infections stimulate total and specific IgE production that,
in the case of Toxocara canis infection, corresponds to chronic allergic
symptoms. There may also be other infections which have similar symptoms, such as
Ascaris lumbricoides infection. Ascaris lumbricoides is a large nematode that
causes abdominal pain, nausea, vomiting, bloating, anorexia and intermittent
diarrhoea. Patients with ascaridiasis and high IgE levels may also have allergy
like symptoms such as asthma, urticaria and atopic dermatitis. Case presentation:
We report a case of atopic dermatitis caused by Ascaris lumbricoides which shows
the important role of parasitic infection in patients with long-lasting
dermatitis. The patient was a 12-year old female suffering since early infancy
from atopic dermatitis and asthma. She was treated for dermatitis with oral
bethametasone and topical pimecrolimus with little benefit. After two cycles of
mebendazole therapy, the patient showed progressive improvement of symptoms.
Conclusions: In patients with dermatitis, Ascaris lumbricoides infection should
be not excluded: adequate anthelmintic treatment may result in complete
regression from the disease.
PMID- 29651229
TI - Polycatenated 2D Hydrogen-Bonded Binary Supramolecular Organic Frameworks (SOFs)
with Enhanced Gas Adsorption and Selectivity.
AB - Controlled assembly of two-dimensional (2D) supramolecular organic frameworks
(SOFs) has been demonstrated through a binary strategy in which 1,4-bis-(4-(3,5
dicyano-2,6-dipyridyl)pyridyl)naphthalene (2), generated in situ by oxidative
dehydrogenation of 1,4-bis-(4-(3,5-dicyano-2,6
dipyridyl)dihydropyridyl)naphthalene (1), is coupled in a 1:1 ratio with
terphenyl-3,3',4,4'-tetracarboxylic acid (3; to form SOF-8), 5,5'-(anthracene
9,10-diyl)diisophthalic acid (4; to form SOF-9), or 5,5'-bis-(azanediyl)-oxalyl
diisophthalic acid (5; to form SOF-10). Complementary O-H...N hydrogen bonds
assemble 2D 63-hcb (honeycomb) subunits that pack as layers in SOF-8 to give a
three-dimensional (3D) supramolecular network with parallel channels hosting
guest DMF (DMF = N,N'-dimethylformamide) molecules. SOF-9 and SOF-10 feature
supramolecular networks of 2D -> 3D inclined polycatenation of similar hcb layers
as those in SOF-8. Although SOF-8 suffers framework collapse upon guest removal,
the polycatenated frameworks of SOF-9 and SOF-10 exhibit excellent chemical and
thermal stability, solvent/moisture durability, and permanent porosity. Moreover,
their corresponding desolvated (activated) samples SOF-9a and SOF-10a display
enhanced adsorption and selectivity for CO2 over N2 and CH4. The structures of
these activated compounds are well described by quantum chemistry calculations,
which have allowed us to determine their mechanical properties, as well as
identify their soft deformation modes and a large number of low-energy vibration
modes. These results not only demonstrate an effective synthetic platform for
porous organic molecular materials stabilized solely by primary hydrogen bonds
but also suggest a viable means to build robust SOF materials with enhanced gas
uptake capacity and selectivity.
PMID- 29651230
TI - Sex and age differences in risk factors of marijuana involvement during
adolescence.
AB - Objectives: We aimed to examine whether there are sex and age differences in
psychosocial risk factors of marijuana use during adolescence. Methods: Data were
drawn from 57,767 adolescents (8th and 10th graders) from the 2012-2013
Monitoring the Future study. We examined the association between socio
demographic and behavioral correlates with different frequencies of past-year
marijuana use (non-use, occasional use: <10 time, frequent use: 10-39 times, and
regular use: 40+ times). We further investigated whether these associations were
similar for boys and girls of different ages. Results: Overall, 20.6% of the
adolescents reported past-year marijuana use: 12.1% occasional use, 4.3% frequent
use, and 3.8% regular use. Girls were less likely to be frequent and regular
marijuana users (frequent use: OR=0.83 [0.75, 0.93]; regular use: OR=0.41 [0.36,
0.48]) while no sex difference was noted for occasional use. Also, the odds of
deviant behaviors were higher as the frequencies of marijuana use were higher.
Compared to younger girls, older boys and girls had higher association between
all levels of marijuana use and low self-esteem, low perceived harm, peer
influence and perceived easy access. Besides, younger boys were more likely than
younger girls to report an association between regular marijuana use with low
self-esteem, peer influence, and perceived easy access but not with perceived low
harm. Conclusions/Importance: Findings suggest the relationship between these
psychosocial correlates and frequency of marijuana involvement varies across sex
and age groups. These variations ask for a nuanced approach to prevention of
marijuana involvement in different groups of youth.
PMID- 29651231
TI - Procyanidins: a comprehensive review encompassing structure elucidation via mass
spectrometry.
AB - Procyanidins are polyphenols abundant in dietary fruits, vegetables, nuts,
legumes, and grains with a variety of chemopreventive biological effects. Rapid
structure determination of these compounds is needed, notably for the more
complex polymeric procyanidins. We review the recent developments in the
structure elucidation of procyanidins with a focus on mass spectrometric
approaches, especially liquid chromatography-tandem mass spectrometry (LC-MS/MS)
and matrix-assisted laser desorption ionization (MALDI) MS/MS.
PMID- 29651232
TI - Tal1, Gata2a, and Gata3 Have Distinct Functions in the Development of V2b and
Cerebrospinal Fluid-Contacting KA Spinal Neurons.
AB - Vertebrate locomotor circuitry contains distinct classes of ventral spinal cord
neurons which each have particular functional properties. While we know some of
the genes expressed by each of these cell types, we do not yet know how several
of these neurons are specified. Here, we investigate the functions of Tal1,
Gata2a, and Gata3 transcription factors in the development of two of these
populations of neurons with important roles in locomotor circuitry: V2b neurons
and cerebrospinal fluid-contacting Kolmer-Agduhr (KA) neurons (also called CSF
cNs). Our data provide the first demonstration, in any vertebrate, that Tal1 and
Gata3 are required for correct development of KA and V2b neurons, respectively.
We also uncover differences in the genetic regulation of V2b cell development in
zebrafish compared to mouse. In addition, we demonstrate that Sox1a and Sox1b are
expressed by KA and V2b neurons in zebrafish, which differs from mouse, where
Sox1 is expressed by V2c neurons. KA neurons can be divided into ventral KA"
neurons and more dorsal KA' neurons. Consistent with previous morpholino
experiments, our mutant data suggest that Tal1 and Gata3 are required in KA' but
not KA" cells, whereas Gata2a is required in KA" but not KA' cells, even though
both of these cell types co-express all three of these transcription factors. In
gata2a mutants, cells in the KA" region of the spinal cord lose expression of
most KA" genes and there is an increase in the number of cells expressing V3
genes, suggesting that Gata2a is required to specify KA" and repress V3 fates in
cells that normally develop into KA" neurons. On the other hand, our data suggest
that Gata3 and Tal1 are both required for KA' neurons to differentiate from
progenitor cells. In the KA' region of these mutants, cells no longer express KA'
markers and there is an increase in the number of mitotically-active cells.
Finally, our data demonstrate that all three of these transcription factors are
required for later stages of V2b neuron differentiation and that Gata2a and Tal1
have different functions in V2b development in zebrafish than in mouse.
PMID- 29651233
TI - Near- and Far-Surround Suppression in Human Motion Discrimination.
AB - The spatial context has strong effects on visual processing. Psychophysics and
modeling studies have provided evidence that the surround context can
systematically modulate the perception of center stimuli. For motion direction,
these center-surround interactions are considered to come from spatio-directional
interactions between direction of motion tuned neurons, which are attributed to
the middle temporal (MT) area. Here, we investigated through psychophysics
experiments on human subjects changes with spatial separation in center-surround
inhibition and motion direction interactions. Center-surround motion repulsion
effects were measured under near-and far-surround conditions. Using a simple
physiological model of the repulsion effect we extracted theoretical population
parameters of surround inhibition strength and tuning widths with spatial
distance. All 11 subjects showed clear motion repulsion effects under the near
surround condition, while only 10 subjects showed clear motion repulsion effects
under the far-surround condition. The model predicted human performance well.
Surround inhibition under the near-surround condition was significantly stronger
than that under the far-surround condition, and the tuning widths were smaller
under the near-surround condition. These results demonstrate that spatial
separation can both modulate the surround inhibition strength and surround to
center tuning width.
PMID- 29651234
TI - Pathophysiology of Long Non-coding RNAs in Ischemic Stroke.
AB - Stroke is a neurological disease with high disability and fatality rates, and
ischemic stroke accounts for 75% of all stroke cases. The underlying
pathophysiologic processes of ischemic stroke include oxidative stress, toxicity
of excitatory amino acids, excess calcium ions, increased apoptosis and
inflammation. Long non-coding RNAs (lncRNAs) may participate in the regulation of
the pathophysiologic processes of ischemic stroke as indicated by altered
expression of lncRNAs in blood samples of acute ischemic stroke patients, animal
models of focal cerebral ischemia and oxygen-glucose deprivation (OGD) cell
models. Because of the potentially important role, lncRNAs might be useful as
biomarkers for the diagnosis, treatment and prognosis of ischemic stroke. This
article reviews the functions of lncRNAs in different pathophysiology events of
ischemic stroke with a focus on specific lncRNAs that may underlie ischemic
stroke pathophysiology and that could therefore serve as potential diagnostic
biomarkers and therapeutic targets.
PMID- 29651235
TI - Selective Labeling of Individual Neurons in Dense Cultured Networks With
Nanoparticle-Enhanced Photoporation.
AB - Neurodevelopmental and neurodegenerative disorders are characterized by subtle
alterations in synaptic connections and perturbed neuronal network functionality.
A hallmark of neuronal connectivity is the presence of dendritic spines, micron
sized protrusions of the dendritic shaft that compartmentalize single synapses to
fine-tune synaptic strength. However, accurate quantification of spine density
and morphology in mature neuronal networks is hampered by the lack of targeted
labeling strategies. To resolve this, we have optimized a method to deliver cell
impermeable compounds into selected cells based on Spatially resolved
NAnoparticle-enhanced Photoporation (SNAP). We show that SNAP enables efficient
labeling of selected individual neurons and their spines in dense cultured
networks without affecting short-term viability. We compare SNAP with widely used
spine labeling techniques such as the application of lipophilic dyes and
genetically encoded fluorescent markers. Using SNAP, we demonstrate a time
dependent increase in spine density in healthy cultures as well as a reduction in
spine density after chemical mimicry of hypoxia. Since the sparse labeling
procedure can be automated using an intelligent acquisition scheme, SNAP holds
promise for high-content screening campaigns of neuronal connectivity in the
context of neurodevelopmental and neurodegenerative disorders.
PMID- 29651237
TI - DPP6 Loss Impacts Hippocampal Synaptic Development and Induces Behavioral
Impairments in Recognition, Learning and Memory.
AB - DPP6 is well known as an auxiliary subunit of Kv4-containing, A-type K+ channels
which regulate dendritic excitability in hippocampal CA1 pyramidal neurons. We
have recently reported, however, a novel role for DPP6 in regulating dendritic
filopodia formation and stability, affecting synaptic development and function.
These results are notable considering recent clinical findings associating DPP6
with neurodevelopmental and intellectual disorders. Here we assessed the
behavioral consequences of DPP6 loss. We found that DPP6 knockout (DPP6-KO) mice
are impaired in hippocampus-dependent learning and memory. Results from the
Morris water maze and T-maze tasks showed that DPP6-KO mice exhibit slower
learning and reduced memory performance. DPP6 mouse brain weight is reduced
throughout development compared with WT, and in vitro imaging results indicated
that DPP6 loss affects synaptic structure and motility. Taken together, these
results show impaired synaptic development along with spatial learning and memory
deficiencies in DPP6-KO mice.
PMID- 29651239
TI - Editorial: Spontaneous Activity in Sensory Systems.
PMID- 29651238
TI - PAR-Complex and Crumbs Function During Photoreceptor Morphogenesis and Retinal
Degeneration.
AB - The fly photoreceptor has long been used as a model to study sensory neuron
morphogenesis and retinal degeneration. In particular, elucidating how these
cells are built continues to help further our understanding of the mechanisms of
polarized cell morphogenesis, intracellular trafficking and the causes of human
retinal pathologies. The conserved PAR complex, which in flies consists of Cdc42
PAR6-aPKC-Bazooka, and the transmembrane protein Crumbs (Crb) are key players
during photoreceptor morphogenesis. While the PAR complex regulates polarity in
many cell types, Crb function in polarity is relatively specific to epithelial
cells. Together Cdc42-PAR6-aPKC-Bazooka and Crb orchestrate the differentiation
of the photoreceptor apical membrane (AM) and zonula adherens (ZA), thus allowing
these cells to assemble into a neuro-epithelial lattice. In addition to its
function in epithelial polarity, Crb has also been shown to protect fly
photoreceptors from light-induced degeneration, a process linked to Rhodopsin
expression and trafficking. Remarkably, mutations in the human Crumbs1 (CRB1)
gene lead to retinal degeneration, making the fly photoreceptor a powerful
disease model system.
PMID- 29651236
TI - Substrates for Neuronal Cotransmission With Neuropeptides and Small Molecule
Neurotransmitters in Drosophila.
AB - It has been known for more than 40 years that individual neurons can produce more
than one neurotransmitter and that neuropeptides often are colocalized with small
molecule neurotransmitters (SMNs). Over the years much progress has been made in
understanding the functional consequences of cotransmission in the nervous system
of mammals. There are also some excellent invertebrate models that have revealed
roles of coexpressed neuropeptides and SMNs in increasing complexity,
flexibility, and dynamics in neuronal signaling. However, for the fly Drosophila
there are surprisingly few functional studies on cotransmission, although there
is ample evidence for colocalization of neuroactive compounds in neurons of the
CNS, based both on traditional techniques and novel single cell transcriptome
analysis. With the hope to trigger interest in initiating cotransmission studies,
this review summarizes what is known about Drosophila neurons and neuronal
circuits where different neuropeptides and SMNs are colocalized. Coexistence of
neuroactive substances has been recorded in different neuron types such as
neuroendocrine cells, interneurons, sensory cells and motor neurons. Some of the
circuits highlighted here are well established in the analysis of learning and
memory, circadian clock networks regulating rhythmic activity and sleep, as well
as neurons and neuroendocrine cells regulating olfaction, nociception, feeding,
metabolic homeostasis, diuretic functions, reproduction, and developmental
processes. One emerging trait is the broad role of short neuropeptide F in
cotransmission and presynaptic facilitation in a number of different neuronal
circuits. This review also discusses the functional relevance of coexisting
peptides in the intestine. Based on recent single cell transcriptomics data, it
is likely that the neuronal systems discussed in this review are just a fraction
of the total set of circuits where cotransmission occurs in Drosophila. Thus, a
systematic search for colocalized neuroactive compounds in further neurons in
anatomically defined circuits is of interest for the near future.
PMID- 29651241
TI - Intra- and Inter-Regional Priming of Ipsilateral Human Primary Motor Cortex With
Continuous Theta Burst Stimulation Does Not Induce Consistent Neuroplastic
Effects.
AB - Human responses to non-invasive brain stimulation (NIBS) techniques can be highly
variable. Recently, priming protocols involving a conditioning round of NIBS
applied to a target brain region prior to the application of a test protocol have
shown promise in inducing more reliable effects. We investigated whether intra-
or inter-regional priming of the left primary motor cortex (M1) using continuous
theta burst stimulation (cTBS) can induce consistent, and reliable modulation of
corticospinal excitability. Twenty healthy adults (six males) underwent four cTBS
protocols. For intra-regional priming, cTBS was applied twice to the left M1 (M1
M1). For inter-regional M1 priming, cTBS was applied to the ipsilateral (left)
dorsal premotor cortex (dPMC-M1), and ipsilateral (left) dorsolateral prefrontal
cortex (DLPFC-M1). In the control condition, sham stimulation was applied to left
M1, followed by active cTBS also applied to the left M1 (sham-M1). Each round of
cTBS was separated by 10 min. Neuroplastic responses were indexed using motor
evoked potentials (MEPs) elicited from the left M1 hand region, and measured from
the contralateral first dorsal interosseous (right hand). MEP measurements were
taken before the first round of cTBS priming, then immediately, 10, 20 and 30 min
after the second test round of cTBS. The primary two-way repeated measures ANOVA
revealed no significant differences in MEP responses across each condition (no
main effects or interaction). Intra- and inter-regional priming of the left M1
using cTBS does not induce consistent neuroplastic effects. Further work is
required to identify factors which contribute to such variability in human
responses to NIBS.
PMID- 29651240
TI - Cognitive Neuroscience of Attention Deficit Hyperactivity Disorder (ADHD) and Its
Clinical Translation.
AB - This review focuses on the cognitive neuroscience of Attention Deficit
Hyperactivity Disorder (ADHD) based on functional magnetic resonance imaging
(fMRI) studies and on recent clinically relevant applications such as fMRI-based
diagnostic classification or neuromodulation therapies targeting fMRI deficits
with neurofeedback (NF) or brain stimulation. Meta-analyses of fMRI studies of
executive functions (EFs) show that ADHD patients have cognitive-domain
dissociated complex multisystem impairments in several right and left hemispheric
dorsal, ventral and medial fronto-cingulo-striato-thalamic and fronto-parieto
cerebellar networks that mediate cognitive control, attention, timing and working
memory (WM). There is furthermore emerging evidence for abnormalities in orbital
and ventromedial prefrontal and limbic areas that mediate motivation and emotion
control. In addition, poor deactivation of the default mode network (DMN)
suggests an abnormal interrelationship between hypo-engaged task-positive and
poorly "switched off" hyper-engaged task-negative networks, both of which are
related to impaired cognition. Translational cognitive neuroscience in ADHD is
still in its infancy. Pattern recognition analyses have attempted to provide
diagnostic classification of ADHD using fMRI data with respectable classification
accuracies of over 80%. Necessary replication studies, however, are still
outstanding. Brain stimulation has been tested in heterogeneously designed, small
numbered proof of concept studies targeting key frontal functional impairments in
ADHD. Transcranial direct current stimulation (tDCS) appears to be promising to
improve ADHD symptoms and cognitive functions based on some studies, but larger
clinical trials of repeated stimulation with and without cognitive training are
needed to test clinical efficacy and potential costs on non-targeted functions.
Only three studies have piloted NF of fMRI-based frontal dysfunctions in ADHD
using fMRI or near-infrared spectroscopy, with the two larger ones finding some
improvements in cognition and symptoms, which, however, were not superior to the
active control conditions, suggesting potential placebo effects.
Neurotherapeutics seems attractive for ADHD due to their safety and potential
longer-term neuroplastic effects, which drugs cannot offer. However, they need to
be thoroughly tested for short- and longer-term clinical and cognitive efficacy
and their potential for individualized treatment.
PMID- 29651242
TI - Discovery of Novel Inhibitors of Indoleamine 2,3-Dioxygenase 1 Through Structure
Based Virtual Screening.
AB - Indoleamine 2,3-dioxygenase 1 (IDO1) is an intracellular monomeric heme
containing enzyme that catalyzes the first and the rate limiting step in
catabolism of tryptophan via the kynurenine (KYN) pathway, which plays a
significant role in the proliferation and differentiation of T cells. IDO1 has
been proven to be an attractive target for anticancer therapy and chronic viral
infections. In the present study, a class of IDO1 inhibitors with novel scaffolds
were identified by virtual screening and biochemical validation, in which the
compound DC-I028 shows moderate IDO1 inhibitory activity with an IC50 of 21.61
MUM on enzymatic level and 89.11 MUM on HeLa cell. In the following hit expansion
stage, DC-I02806, an analog of DC-I028, showed better inhibitory activity with
IC50 about 18 MUM on both enzymatic level and cellular level. The structure
activity relationship (SAR) of DC-I028 and its analogs was then discussed based
on the molecular docking result. The novel IDO1 inhibitors of DC-I028 and its
analogs may provide useful clues for IDO1 inhibitor development.
PMID- 29651244
TI - Ethical Challenges for an Understanding of Suffering: Voluntary Stopping of
Eating and Drinking and the Wish to Hasten Death in Advanced Patients.
AB - Some persons with advanced disease but no significant cognitive impairments
consciously decide to stop taking food and fluids orally, even though they remain
physically able to do so. The question is to what extent voluntarily stopping
eating and drinking (VSED) may be considered an expression of a wish to hasten
death, in the sense that the latter has been defined recently. We analyze the
data reported in some studies in relation to primary care patients who died as a
result of VSED and examine their results in light of the qualitative findings of
patients that expressed a wish to die. In our view, VSED can be understood as a
response to physical/psychological/spiritual suffering, as an expression of a
loss of self, a desire to live but not in this way, a way of ending suffering,
and as a kind of control over one's life. Thus, VSED is consistent with the wish
to hasten death. Prior to interpreting this act as a deliberate expression of
personal autonomy, it is important to explore all possible areas of suffering,
including physical symptoms, psychological distress, existential suffering, and
social aspects. Failure to do so will mean that we run the risk of abandoning a
fellow human being to his or her suffering.
PMID- 29651243
TI - PR Toxin - Biosynthesis, Genetic Regulation, Toxicological Potential, Prevention
and Control Measures: Overview and Challenges.
AB - Out of the various mycotoxigenic food and feed contaminant, the fungal species
belonging to Penicillium genera, particularly Penicillium roqueforti is of great
economic importance, and well known for its crucial role in the manufacturing of
Roquefort and Gorgonzola cheese. The mycotoxicosis effect of this mold is due to
secretion of several metabolites, of which PR toxin is of considerable
importance, with regard to food quality and safety challenges issues. The food
products and silages enriched with PR toxin could lead into damage to vital
internal organs, gastrointestinal perturbations, carcinogenicity, immunotoxicity,
necrosis, and enzyme inhibition. Moreover, it also has the significant mutagenic
potential to disrupt/alter the crucial processes like DNA replication,
transcription, and translation at the molecular level. The high genetic
diversities in between the various strains of P. roqueforti persuaded their
nominations with Protected Geographical Indication (PGI), accordingly to the
cheese type, they have been employed. Recently, the biosynthetic mechanism and
toxicogenetic studies unraveled the role of ari1 and prx gene clusters that cross
talk with the synthesis of other metabolites or involve other cross-regulatory
pathways to negatively regulate/inhibit the other biosynthetic route targeted for
production of a strain-specific metabolites. Interestingly, the chemical
conversion that imparts toxic properties to PR toxin is the
substitution/oxidation of functional hydroxyl group (-OH) to aldehyde group (
CHO). The rapid conversion of PR toxin to the other derivatives such as PR imine,
PR amide, and PR acid, based on conditions available reflects their unstability
and degradative aspects. Since the PR toxin-induced toxicity could not be
eliminated safely, the assessment of dose-response and other pharmacological
aspects for its safe consumption is indispensable. The present review describes
the natural occurrences, diversity, biosynthesis, genetics, toxicological
aspects, control and prevention strategies, and other management aspects of PR
toxin with paying special attention on economic impacts with intended
legislations for avoiding PR toxin contamination with respect to food security
and other biosafety purposes.
PMID- 29651245
TI - Antiseptic Activity of Ethnomedicinal Xuebijing Revealed by the Metabolomics
Analysis Using UHPLC-Q-Orbitrap HRMS.
AB - Xuebijing (XBJ) injection is an ethnomedicinal formula that has been widely used
in the therapy of sepsis in China. However, the underlying theraputic mechanisms
remain uninvestigated. In this research, a metabolomic method based on UHPLC-Q
Orbitrap HRMS was applied to make a holistic evaluation of XBJ on septic rats
which were induced by the classical cecal ligation and puncture (CLP) operation.
The plasma metabolic changes were profiled and evaluated by multivariate
analytical (MVA) methods. In the results, a total of 41 differential metabolites
were identified between CLP-operated group and sham-operated group, which were
mainly involved in amino acid metabolism and lipid metabolism. After pathway
analysis, it was finally discovered that the majority of the influenced metabolic
pathways caused by sepsis mainly involved in energy metabolism, oxidative stress,
and inflammation metabolism. When intervened by XBJ injection, 32 of the 41
disordered metabolites had been adjusted in reverse, which suggested that XBJ
could mediate the abnormal metabolic pathways synergistically. In conclusion, the
present study systematically investigated the efficacy and its underlying
therapeutic mechanisms of XBJ on sepsis, while offering a new insight for the
subsequent relevant exploration of other Chinese medicine at the same time.
PMID- 29651246
TI - Lactone Component From Ligusticum chuanxiong Alleviates Myocardial Ischemia
Injury Through Inhibiting Autophagy.
AB - The dysregulation of autophagy is associated with a series of cardiovascular
diseases, such as myocardial ischemia injury. Lactone component from Ligusticum
chuanxiong (LLC) is the major constituent of the traditional Chinese herb L.
chuanxiong Hort., which has been reported to hold potential cardioprotective
effects. In this study, to determine whether LLC protects the heart through
regulation of autophagy, we explored the effects of LLC on cardioprotection and
autophagy in myocardial ischemia injured rats and H9c2 cardiomyocytes. Our
results showed that LLC significantly reduced infarct size and serum levels of
lactate dehydrogenase, creatine kinase, and cardiac troponin and ameliorated
histological features in a dose-dependent manner. Similar protections were
observed in cardiomyocytes subjected to oxygen-glucose deprivation (OGD).
Meanwhile, LLC inhibited autophagy induced by myocardial ischemia injury,
characterized by increased autophagic vacuoles, LC3-II/LC3-I ratio and the
expression of Beclin 1, whereas decreased the expression of p62. Additionally,
LLC combined with a lysosomal inhibitor chloroquine (CQ) reduced LC3-II/LC3-I
ratio in cardiomyocytes compared with CQ alone. Furthermore, LLC-afforded
cardioprotection was abolished by a specific PI3K inhibitor LY294002.
Collectively, these findings demonstrated that cardioprotective effects of LLC
were related to restoration of autophagic flux through the activation of
PI3K/Akt/mTOR signaling pathway.
PMID- 29651247
TI - Application of the Athlete's Performance Passport for Doping Control: A Case
Report.
AB - The efficient use of testing resources is a key issue in the fight against
doping. The longitudinal tracking of sporting performances to identify unusual
improvements possibly caused by doping, so-called "athlete's performance
passport" (APP) is a new concept to improve targeted anti-doping testing. In
fact, unusual performances by an athlete would trigger a more thorough testing
program. In the present case report, performance data is modeled using the
critical power concept for a group of athletes based on their past performances.
By these means, an athlete with unusual deviations from his predicted
performances was identified. Subsequent target testing using blood testing and
the athlete biological passport resulted in an anti-doping rule violation
procedure and suspension of the athlete. This case demonstrates the feasibility
of the APP approach where athlete's performance is monitored and might serve as
an example for the practical implementation of the method.
PMID- 29651249
TI - Ontogenetic and Experience-Dependent Changes in Defensive Behavior in Captive
Bred Hawaiian Bobtail Squid, Euprymna scolopes.
AB - Cephalopod molluscs are known for their extensive behavioral repertoire and their
impressive learning abilities. Their primary defensive behaviors, such as
camouflage, have received detailed study, but knowledge is limited to intensive
study of relatively few species. A considerable challenge facing cephalopod
research is the need to establish new models that can be captive bred, are
tractable for range of different experimental procedures, and that will address
broad questions in biological research. The Hawaiian Bobtail Squid (Euprymna
scolopes) is a small, tropical cephalopod that has a long history of research in
the field of microbial symbiosis, but offers great promise as a novel behavioral
and neurobiological model. It can be bred in the laboratory through multiple
generations, one of the few species of cephalopod that can meet this requirement
(which is incorporated in regulations such as EU directive 2010/63/EU).
Additionally, laboratory culture makes E. scolopes an ideal model for studying
ontogeny- and experience-dependent behaviors. In this study, we show that captive
bred juvenile and adult E. scolopes produce robust, repeatable defensive
behaviors when placed in an exposed environment and presented with a visual
threat. Further, adult and juvenile squid employ different innate defensive
behaviors when presented with a size-matched model predator. When a 10-min
training procedure was repeated over three consecutive days, defensive behaviors
habituated in juvenile squid for at least 5 days after training, but memory did
not appear to persist for 14 days. In contrast, adult squid did not show any
evidence of long-term habituation memory. Thus we conclude that this species
produces a range of quantifiable, modifiable behaviors even in a laboratory
environment where ecologically-relevant, complex behavioral sequences may not
reliably occur. We suggest that the lack of long-term memory in adult squid may
be related to their less escalated initial response to the mimic, and thus
indicates less motivation to retain memory and not necessary inability to form
memory. This is the first demonstration of age-related differences in defensive
behaviors in Euprymna, and the first record of habituation in this experimentally
tractable genus of squid.
PMID- 29651248
TI - Carbon Nanotubes and Other Engineered Nanoparticles Induced Pathophysiology on
Mesothelial Cells and Mesothelial Membranes.
AB - Nanoparticles have great potential for numerous applications due to their unique
physicochemical properties. However, concerns have been raised that they may
induce deleterious effects on biological systems. There is accumulating evidence
that, like asbestos, inhaled nanomaterials of >5 MUm and high aspect ratio (3:1),
particularly rod-like carbon nanotubes, may inflict pleural disease including
mesothelioma. Additionally, a recent set of case reports suggests that inhalation
of polyacrylate/nanosilica could in part be associated with inflammation and
fibrosis of the pleura of factory workers. However, the adverse outcomes of
nanoparticle exposure to mesothelial tissues are still largely unexplored. In
that context, the present review aims to provide an overview of the relevant
pathophysiological implications involving toxicological studies describing
effects of engineered nanoparticles on mesothelial cells and membranes. In vitro
studies primarily emphasize on simulating cellular uptake and toxicity of
nanotubes on benign or malignant cell lines. On the other hand, in vivo studies
focus on illustrating endpoints of serosal pathology in rodent animal models.
From a molecular aspect, some nanoparticle categories are shown to be cytotoxic
and genotoxic after acute treatment, whereas chronic incubation may lead to
malignant-like transformation. At an organism level, a number of fibrous shaped
nanotubes are related with features of chronic inflammation and MWCNT-7 is the
only type to consistently inflict mesothelioma.
PMID- 29651250
TI - Efficacy of Stochastic Vestibular Stimulation to Improve Locomotor Performance
During Adaptation to Visuomotor and Somatosensory Distortion.
AB - Astronauts exposed to microgravity face sensorimotor challenges affecting balance
control when readapting to Earth's gravity upon return from spaceflight. Small
amounts of electrical noise applied to the vestibular system have been shown to
improve balance control during standing and walking under discordant sensory
conditions in healthy subjects, likely by enhancing information transfer through
the phenomenon of stochastic resonance. The purpose of this study was to test the
hypothesis that imperceptible levels of stochastic vestibular stimulation (SVS)
could improve short-term adaptation to a locomotor task in a novel sensory
discordant environment. Healthy subjects (14 males, 10 females, age = 28.7 +/-
5.3 years, height = 167.2 +/- 9.6 cm, weight = 71.0 +/- 12.8 kg) were tested for
perceptual thresholds to sinusoidal currents applied across the mastoids.
Subjects were then randomly and blindly assigned to an SVS group receiving a 0-30
Hz Gaussian white noise electrical stimulus at 50% of their perceptual threshold
(stim) or a control group receiving zero stimulation during Functional Mobility
Tests (FMTs), nine trials of which were done under conditions of visual
discordance (wearing up/down vision reversing goggles). Time to complete the
course (TCC) was used to test the effect of SVS between the two groups across the
trials. Adaptation rates from the normalized TCCs were also compared utilizing
exponent values of power fit trendline equations. A one-tailed independent
samples t-test indicated these adaptation rates were significantly faster in the
stim group (n = 12) than the control (n = 12) group [t(16.18) = 2.00, p = 0.031].
When a secondary analysis was performed comparing "responders" (subjects who
showed faster adaptation rates) of the stim (n = 7) group to the control group (n
= 12), independent-samples t-tests revealed significantly faster trial times for
the last five trials with goggles in the stim group "responders" than the
controls. The data suggests that SVS may be capable of improving short-term
adaptation to a locomotion task done under sensory discordance in a group of
responsive subjects.
PMID- 29651252
TI - Intra-session and Inter-day Reliability of the Myon 320 Electromyography System
During Sub-maximal Contractions.
AB - Electromyography systems are widely used within the field of scientific and
clinical practices. The reliability of these systems are paramount when
conducting research. The reliability of Myon 320 Surface Electromyography System
is yet to be determined. This study aims to determine the intra-session and inter
day reliability of the Myon 320 Surface Electromyography System. Muscle activity
from fifteen participants was measured at the anterior deltoid muscle during a
bilateral front raise exercise, the vastus lateralis muscle during a squat
exercise and the extensor carpi radialis brevis (ECRB) muscle during an isometric
handgrip task. Intra-session and inter-day reliability was calculated by
intraclass correlation coefficient, standard error of measurement and coefficient
of variation (CV). The normalized root mean squared (RMS) surface
electromyographic signals produced good intra-session and inter-day testing
intraclass correlation coefficient values (range: 0.63-0.97) together with low
standard error of measurement (range: 1.49-2.32) and CV (range: 95% Confidence
Interval = 0.36-12.71) measures for the dynamic-and-isometric contractions. The
findings indicate that the Myon 320 Surface Electromyography System produces good
to fair reliability when examining intra-session and inter-day reliability.
Findings of the study provide evidence of the reliability of electromyography
between trials which is essential during clinical testing.
PMID- 29651251
TI - Induced Hyperproteinemia and Its Effects on the Remodeling of Fat Bodies in
Silkworm, Bombyx mori.
AB - Hyperproteinemia, which is characterized by an abnormally elevated plasma protein
concentration (PPC), is a high-mortality, metabolic complication associated with
severe liver and kidney disease. It is difficult to clinically distinguish the
difference between the impacts of primary diseases and hyperproteinemia on
tissues and organs, and there are no available animal models of hyperproteinemia.
Here, we constructed an animal model of hyperproteinemia with a controllable PPC
and no primary disease effects in the silkworm Bombyx mori that has attracted
interest owing to its potential use in the pathological analysis of model
animals. Silkworm have an open circulatory system in which each organ is directly
immersed in hemolymph. The fat body (FB) of a silkworm, as a major organ for
nutrient storage and energy metabolism, can effectively reflect hyperproteinemia
induced metabolic abnormalities in damaged visceral tissues. A pathogenesis study
showed that hyperproteinemia attenuated cell autophagy and apoptosis by
attenuating an endocrine hormone, thereby preventing FB remodeling during
metamorphosis. Meanwhile, hyperproteinemia increased oxidative stress in the FB
and resulted in a dysfunction of amino acid conversion. Supplementation with
exogenous 20-hydroxyecdysone effectively mitigated the hyperproteinemia-mediated
inhibition of FB remodeling.
PMID- 29651253
TI - A Lactate Kinetics Method for Assessing the Maximal Lactate Steady State
Workload.
AB - During a continuously increasing exercise workload (WL) a point will be reached
at which arterial lactate accumulates rapidly. This so-called lactate threshold
(LT) is associated with the maximal lactate steady state workload (MLSSW), the
highest WL, at which arterial lactate concentration [LA] does not change.
However, the physiological range in which the LT and the MLSSW occur has not been
demonstrated directly. We used minor WL variations in the MLSSW range to assess
arterial lactate kinetics in 278 treadmill and 148 bicycle ergometer exercise
tests. At a certain workload, minimal further increment of running speed (0.1
0.15 m/s) or cycling power (7-10 W) caused a steep elevation of [LA] (0.9 +/-
0.43 mM, maximum increase 2.4 mM), indicating LT achievement. This sharp [LA]
increase was more pronounced when higher WL increments were used (0.1 vs. 0.30
m/s, P = 0.02; 0.15 vs. 0.30 m/s, P < 0.001; 7 vs. 15 W, P = 0.002; 10 vs. 15 W,
P = 0.001). A subsequent workload reduction (0.1 m/s/7 W) stopped the [LA]
increase indicating MLSSW realization. LT based determination of running speed
(MLSSW) was highly reproducible on a day-to-day basis (r = 0.996, P < 0.001),
valid in a 10 km constant velocity setting (r = 0.981, P < 0.001) and a half
marathon race (r = 0.969, P < 0.001). These results demonstrate a fine-tuned
regulation of exercise-related lactate metabolism, which can be reliably captured
by assessing lactate kinetics at the MLSSW.
PMID- 29651254
TI - Antioxidant Role of PcGSTd1 in Fenpropathrin Resistant Population of the Citrus
Red Mite, Panonychus citri (McGregor).
AB - The citrus red mite, Panonychus citri, a major citrus pest distributed worldwide,
has evolved severe resistance to various classes of chemical
acaricides/insecticides including pyrethroids. It is well known that the
resistance to pyrethroids is mainly caused by point mutations of voltage-gated
sodium channel gene in a wide range of pests. However, increasing number of
evidences support that pyrethroids resistance might also be resulted from the
integrated mechanisms including metabolic mechanisms. In this study, firstly,
comparative analysis of RNA-seq data showed that multiple detoxification genes,
including a GSTs gene PcGSTd1, were up-regulated in a fenpropathrin-resistant
population compared with the susceptible strain (SS). Quantitative real time-PCR
results showed that the exposure of fenpropathrin had an induction effect on the
transcription of PcGSTd1 in a time-dependent manner. In vitro inhibition and
metabolic assay of recombinant PcGSTd1 found that fenpropathrin might not be
metabolized directly by this protein. However, its antioxidant role in
alleviating the oxidative stress caused by fenpropathrin was demonstrated via the
reversely genetic experiment. Our results provide a list of candidate genes which
may contribute to a multiple metabolic mechanisms implicated in the evolution of
fenpropathrin resistance in the field population of P. citri. Furthermore, during
the detoxification process, PcGSTd1 plays an antioxidant role by detoxifying
lipid peroxidation products induced by fenpropathrin.
PMID- 29651255
TI - Sex-Specific Skeletal Muscle Fatigability and Decreased Mitochondrial Oxidative
Capacity in Adult Rats Exposed to Postnatal Hyperoxia.
AB - Premature birth affects more than 10% of live births, and is characterized by
relative hyperoxia exposure in an immature host. Long-term consequences of
preterm birth include decreased aerobic capacity, decreased muscular strength and
endurance, and increased prevalence of metabolic diseases such as type 2 diabetes
mellitus. Postnatal hyperoxia exposure in rodents is a well-established model of
chronic lung disease of prematurity, and also recapitulates the pulmonary
vascular, cardiovascular, and renal phenotype of premature birth. The objective
of this study was to evaluate whether postnatal hyperoxia exposure in rats could
recapitulate the skeletal and metabolic phenotype of premature birth, and to
characterize the subcellular metabolic changes associated with postnatal
hyperoxia exposure, with a secondary aim to evaluate sex differences in this
model. Compared to control rats, male rats exposed to 14 days of postnatal
hyperoxia then aged to 1 year demonstrated higher skeletal muscle fatigability,
lower muscle mitochondrial oxidative capacity, more mitochondrial damage, and
higher glycolytic enzyme expression. These differences were not present in female
rats with the same postnatal hyperoxia exposure. This study demonstrates
detrimental mitochondrial and muscular outcomes in the adult male rat exposed to
postnatal hyperoxia. Given that young adults born premature also demonstrate
skeletal muscle dysfunction, future studies are merited to determine whether this
dysfunction as well as reduced aerobic capacity is due to reduced mitochondrial
oxidative capacity and metabolic dysfunction.
PMID- 29651257
TI - Mindfulness-Based Interventions for the Treatment of Substance and Behavioral
Addictions: A Systematic Review.
AB - Background: Emotion (dys)regulation as well as the interventions for improving
these difficulties are receiving a growing attention in the literature. The aim
of the present paper was to conduct a systematic review about the efficacy of
mindfulness-based interventions (MBIs) in both substance and behavioral
addictions (BAs). Method: A literature search was conducted using Cochrane,
PubMed, and Web of Science. Fifty-four randomized controlled trials published in
English since 2009 to April 2017 were included into a narrative synthesis.
Results: Mindfulness-based interventions were applied in a wide range of
addictions, including substance use disorders (from smoking to alcohol, among
others) and BAs (namely, gambling disorder). These treatments were successful for
reducing dependence, craving, and other addiction-related symptoms by also
improving mood state and emotion dysregulation. The most commonly used MBI
approaches were as follows: Mindfulness-Based Relapse Prevention, Mindfulness
Training for Smokers, or Mindfulness-Oriented Recovery Enhancement, and the most
frequent control group in the included studies was Treatment as Usual (TAU). The
most effective approach was the combination of MBIs with TAU or other active
treatments. However, there is a lack of studies showing the maintenance of the
effect over time. Therefore, studies with longer follow-ups are needed.
Conclusion: The revised literature shows support for the effectiveness of the
MBIs. Future research should focus on longer follow-up assessments as well as on
adolescence and young population, as they are a vulnerable population for
developing problems associated with alcohol, drugs, or other addictions.
PMID- 29651256
TI - Brain Structural Covariance Network Topology in Remitted Posttraumatic Stress
Disorder.
AB - Posttraumatic stress disorder (PTSD) is a prevalent, chronic disorder with high
psychiatric morbidity; however, a substantial portion of affected individuals
experience remission after onset. Alterations in brain network topology derived
from cortical thickness correlations are associated with PTSD, but the effects of
remitted symptoms on network topology remain essentially unexplored. In this
cross-sectional study, US military veterans (N = 317) were partitioned into three
diagnostic groups, current PTSD (CURR-PTSD, N = 101), remitted PTSD with lifetime
but no current PTSD (REMIT-PTSD, N = 35), and trauma-exposed controls (CONTROL, n
= 181). Cortical thickness was assessed for 148 cortical regions (nodes) and
suprathreshold interregional partial correlations across subjects constituted
connections (edges) in each group. Four centrality measures were compared with
characterize between-group differences. The REMIT-PTSD and CONTROL groups showed
greater centrality in left frontal pole than the CURR-PTSD group. The REMIT-PTSD
group showed greater centrality in right subcallosal gyrus than the other two
groups. Both REMIT-PTSD and CURR-PTSD groups showed greater centrality in right
superior frontal sulcus than CONTROL group. The centrality in right subcallosal
gyrus, left frontal pole, and right superior frontal sulcus may play a role in
remission, current symptoms, and PTSD history, respectively. The network
centrality changes in critical brain regions and structural networks are
associated with remitted PTSD, which typically coincides with enhanced functional
behaviors, better emotion regulation, and improved cognitive processing. These
brain regions and associated networks may be candidates for developing novel
therapies for PTSD. Longitudinal work is needed to characterize vulnerability to
chronic PTSD, and resilience to unremitting PTSD.
PMID- 29651258
TI - Associations Between Core Symptoms of Attention Deficit Hyperactivity Disorder
and Both Binge and Restrictive Eating.
AB - Introduction: It is unclear whether core symptoms of attention deficit
hyperactivity disorder (ADHD) relate to specific types of disordered eating and
little is known about the mediating mechanisms. We investigated associations
between core symptoms of ADHD and binge/disinhibited eating and restrictive
eating behavior and assessed whether negative mood and/or deficits in awareness
and reliance on internal hunger/satiety cues mediate these relationships.
Methods: In two independent studies, we used a dimensional approach to study ADHD
and disordered eating. In Study 1, a community-based sample of 237 adults (72.6%
female, 18-60 years [M = 26.8, SE = 0.6]) completed an online questionnaire,
assessing eating attitudes/behaviors, negative mood, awareness, and reliance on
internal hunger/satiety cues and ADHD symptomatology. In Study 2, 142 students
(80.3% female, 18-32 years [M = 19.3, SE = 0.1]) were recruited to complete the
same questionnaires and complete tasks assessing interoceptive sensitivity and
impulsivity in the laboratory. Results: In each study, core symptoms of ADHD
correlated positively with both binge/disinhibited and restrictive eating and
negative mood mediated the relationships. Deficits in awareness and reliance on
internal hunger/satiety signals also mediated the association between inattentive
symptoms of ADHD and disordered eating, especially binge/disinhibited eating. The
results from both studies demonstrated that inattentive symptoms of ADHD were
also directly related to binge/disinhibited eating behavior, while accounting for
the indirect pathways of association via negative mood and awareness and reliance
on internal hunger/satiety signals. Conclusion: This research provides evidence
that core symptoms of ADHD are associated with both binge/disinhibited eating and
restrictive eating behavior. Further investigation of the role of inattentive
symptoms of ADHD in disordered eating may be helpful in developing novel
treatments for both ADHD and binge eating.
PMID- 29651259
TI - Perinatal Hypoxia and Ischemia in Animal Models of Schizophrenia.
AB - Intrauterine or perinatal complications constitute a major risk for psychiatric
diseases. Infants who suffered from hypoxia-ischemia (HI) are at twofold risk to
develop schizophrenia in later life. Several animal models attempt to reproduce
these complications to study the yet unknown steps between an insult in early
life and outbreak of the disease decades later. However, it is very challenging
to find the right type and severity of insult leading to a disease-like phenotype
in the animal, but not causing necrosis and focal neurological deficits. By
contrast, too mild, repetitive insults may even be protective via conditioning
effects. Thus, it is not surprising that animal models of hypoxia lead to mixed
results. To achieve clinically translatable findings, better protocols are
urgently needed. Therefore, we compare widely used models of hypoxia and HI and
propose future directions for the field.
PMID- 29651260
TI - Number of Meanings and Number of Senses: An ERP Study of Sublexical Ambiguities
in Reading Chinese Disyllabic Compounds.
AB - In English, an extensive body of work in both behavioral and neuropsychological
domains has produced strong evidence that homonymy (words with many distinct
meanings) and polysemy (many related senses) are represented, retrieved, and
processed differently in the human brain. In Chinese, most words are compounds,
and the constituent characters within a compound word can have different meanings
and/or related senses on their own. Thus, in order to resolve lexical ambiguity
in Chinese, one has to consider the composition of constituent characters, as
well as how they contribute to whole word reading, known as "sublexical
ambiguity." This study investigates how two types of sublexical ambiguity affect
Chinese word processing. The number of meanings (NOM) and the number of senses
(NOS) corresponding to the first character of Chinese compounds were manipulated
in a lexical decision task. The interactions between NOM and NOS were observed in
both behavioral results and N400s, in which NOM disadvantage effect was found for
words with few-senses only. On the other hand, the NOS facilitation effect was
significant for words with multiple-meanings (NOM > 1) only. The sublexical
ambiguity disadvantage suggested that semantically unrelated morphemes are
represented as separate entries. For characters with multiple meanings, one
orthographic form is associated with more than one morphemic representation. In
contrast, the sublexical sense advantage supported the idea that semantically
related senses that shared a morphological root are represented within a single
entry. The more senses listed in a morphological root, the stronger
representation will be formed. These results suggest that two types of sublexical
ambiguities are represented and processed differently in Chinese word recognition
models and also demonstrate that how they interact with each other in the mental
lexicon.
PMID- 29651262
TI - The Impact of the Parental Support on Risk Factors in the Process of Gender
Affirmation of Transgender and Gender Diverse People.
AB - Research involving transgender and gender diverse people (TGD) increased in the
last years, mostly concerning healthcare associated to this population. Few
studies dedicated their analysis to the impact of parental support on transgender
people, even though this is an important aspect in creating a safe environment on
which these individuals can build their identity. In addition, the link between
family support, TGD identity and homelessness is not completely established.
Thus, due to the specificities of the family context of TGD individuals, the aim
of this study is to investigate the association between family support and TGD in
different moments of the process of gender affirmation. In addition, this study
also aims to explore the relationship between a lack of social support and low
self-esteem, home abandonment, and dwelling in the street. The survey was
designed based on the TransPULSE project and was made available in electronic
format. The sample was constituted of 423 TGD residents in two Brazilian states.
A Structural Equation Model analysis suggested that the impact of gender
affirmation status on homelessness was mediated by parental support, through self
esteem, and the need to move from home. The association between the status of the
gender affirmation procedures, family support and self-esteem was significant and
indicated that the further TGD individuals advanced in gender affirmation, the
more self-esteem and family support they would have. The association between
family support and self-esteem indicated that family support was associated with
higher self-esteem. Low family support was associated with the willingness to
move from home due to one's TGD status and there was also a significant
correlation between low self-esteem and the willingness to move from home due to
one's TGD status. Finally, homelessness was associated with the willingness to
move with a large effect size. Limitations include the sample that was
constituted by individuals with Internet access and who had more contact with TGD
communities. The findings indicate directions for interventions involving TGD
people and their families, considering the parental relationship as a critical
variable to improve TGD quality of life in the process of gender affirmation.
PMID- 29651261
TI - Defining the Construct of Synthetic Androgen Intoxication: An Application of
General Brain Arousal.
AB - Synthetic androgens (i. e., anabolic-androgenic steroids) are the primary
component to the majority of problematic appearance and performance enhancing
drug (APED) use. Despite evidence that these substances are associated with
increased risk for aggression, violence, body image disturbances, and
polypharmacy and can develop a pattern of chronic use consistent with drug
dependence, there are no formal definitions of androgen intoxication.
Consequently, the purpose of this paper is to establish a testable theory of
androgen intoxication. We present evidence and theorize that synthetic androgen
intoxication can be defined by a pattern of poor self-regulation characterized by
increased propensity for a range of behaviors (e.g., aggression, sex, drug
seeking, exercise, etc.) via androgen mediated effects on general brain arousal.
This theory posits that androgens reduce threshold for emotional reactivity,
motor response, and alertness to sensory stimuli and disrupt inhibitory control
over the behaviors associated with synthetic androgen use. These changes result
from alteration to basic neurocircuitry that amplifies limbic activation and
reduces top-down cortical control. The implications for this definition are to
inform APED specific hypotheses about the behavioral and psychological effects of
APED use and provide a basis for establishing clinical, legal, and public health
guidelines to address the use and misuse of these substances.
PMID- 29651263
TI - Effect- and Performance-Based Auditory Feedback on Interpersonal Coordination.
AB - When two individuals interact in a collaborative task, such as carrying a sofa or
a table, usually spatiotemporal coordination of individual motor behavior will
emerge. In many cases, interpersonal coordination can arise independently of
verbal communication, based on the observation of the partners' movements and/or
the object's movements. In this study, we investigate how social coupling between
two individuals can emerge in a collaborative task under different modes of
perceptual information. A visual reference condition was compared with three
different conditions with new types of additional auditory feedback provided in
real time: effect-based auditory feedback, performance-based auditory feedback,
and combined effect/performance-based auditory feedback. We have developed a new
paradigm in which the actions of both participants continuously result in a
seamlessly merged effect on an object simulated by a tablet computer application.
Here, participants should temporally synchronize their movements with a 90
degrees phase difference and precisely adjust the finger dynamics in order to
keep the object (a ball) accurately rotating on a given circular trajectory on
the tablet. Results demonstrate that interpersonal coordination in a joint task
can be altered by different kinds of additional auditory information in various
ways.
PMID- 29651264
TI - From Innate Spatial Biases to Enculturated Spatial Cognition: The Case of Spatial
Associations in Number and Other Sequences.
PMID- 29651265
TI - An Initial Cross-Cultural Comparison of Adult Playfulness in Mainland China and
German-Speaking Countries.
AB - Compared with playfulness in infants and children, playfulness in adults is
relatively under-studied. Although there is no empirical research comparing
differences in adult playfulness across cultures, one might expect variations
between Western and Eastern societies such as China. While playfulness is
typically seen as a positive trait in Western culture, there are hints in Chinese
culture that being playful has negative connotations (e.g., associations with
laziness and seeing play as the opposite of work). The aim of this study was to
compare expressions of playfulness in one sample from German-speaking countries
(n = 143) and two samples from China (Guangzhou: n = 176; Beijing: n = 100).
Participants completed one playfulness scale developed in the West (Short Measure
of Adult Playfulness, SMAP) and one from the East (Adult Playfulness
Questionnaire, APQ). Additional ratings of the participants were collected to
measure: (a) the level of playful behavior expressed by people in different
situations (e.g., when being around family members, in public, or on social
media), and (b) individuals' perceptions of society's expectations concerning the
appropriateness of being playful in the given situations. Overall, the results of
the comparisons were mixed. Although SMAP scores did not vary significantly
across the three samples, people from German-speaking countries tended to score
higher on some facets of the APQ and some situational ratings. Stronger effects
were found when comparing only the German-speaking sample and the Guangzhou
sample. In addition to the cross-cultural differences that we expected, we also
detected Chinese regional variations (North vs. South). We conclude that societal
rules and cultural factors may impact expressions of playfulness in a society.
PMID- 29651266
TI - Narcissistic Leaders and Their Victims: Followers Low on Self-Esteem and Low on
Core Self-Evaluations Suffer Most.
AB - Narcissistic leaders are self-absorbed and hold beliefs of entitlement and
superiority. Their aggressive tendencies in the face of criticism and
inclinations to validate their self-worth by derogating others may lead others to
perceive them as being abusive. Here, we test the relationship between leader
narcissism and followers' perceptions of abusive supervision. Drawing upon
research related to the behavioral plasticity hypothesis, we propose that
followers with low self-esteem will perceive narcissistic leaders as more abusive
than those with high self-esteem. Followers low on self-esteem are more insecure,
more in need of approval from their supervisor and are more likely to interpret
the haughty, derogatory attitude of narcissistic leaders as abusive. Such
followers also make for 'easier targets' and thus may actually suffer more
abusive behavior from their narcissistic leaders. In a first multi-source study
of 85 leaders and 128 followers, we found support for the moderating role of
follower self-esteem in the relationship between leader narcissism and perceived
abusive supervision: Narcissistic leaders were rated as more abusive by followers
who were low on self-esteem, but not those higher on self-esteem. In a second
multi-source field study among 177 leader-follower dyads, we tested a moderated
mediation model and showed that this finding also holds for the broader concept
of follower core self-evaluations as a moderator. Abusive supervision, in turn,
was related to lower follower performance and followers experiencing more burnout
symptoms. Thus, followers low on self-esteem or low on core self-evaluations seem
to suffer most from narcissistic leaders as they perceive them to be abusive and,
in turn, these followers show reduced performance and more burnout symptoms when
working for such leaders. This research thus identifies an important moderator
that might help reconcile previous inconsistent findings regarding perceptions of
narcissistic leaders.
PMID- 29651267
TI - Assessment of Affect Lability: Psychometric Properties of the ALS-18.
AB - Affect lability, an important aspect of emotion dysregulation, characterizes
several psychiatric conditions. The short Affective Lability Scales (ALS-18)
measures three aspects of changeability between euthymia and affect states
(Anxiety/Depression, AD; Depression/Elation, DE; and Anger, Ang). The aim of our
study was to investigate the psychometric characteristics of an Italian version
of the ALS-18 in a sample of adults recruited from the general population. The
sample was composed of 494 adults (343 women and 151 men) aged 18 and higher
(mean age = 31.73 years, SD = 12.6). All participants were administered a
checklist assessing socio-demographic variables, the ALS-18 and measures of
depression and difficulties in emotion regulation. Confirmatory factor analyses
indicated adequate fit of the three-factor model (RMSEA = 0.061, 95% CI =
0.054/0.069; CFI = 0.99; SRMR = 0.055), and the presence of a higher-order
general factor. Internal consistency was satisfactory for all the lower-order
dimensions and the general factor (ordinal alpha > 0.70). The ALS-18 was
significantly associated with concurrent measures of depression and difficulties
in emotion regulation. These findings indicate that the ALS-18 is a valid and
reliable instrument for measuring affect lability, although discriminant validity
of subdimensions scores could be problematic.
PMID- 29651268
TI - Sociocultural Appearance Standards and Risk Factors for Eating Disorders in
Adolescents and Women of Various Ages.
AB - The main aim of the present study was to verify the level of impact of
sociocultural appearance standards (passive awareness and active internalization)
have on body dissatisfaction, the desire to engage in a relentless pursuit of
thinness, the adoption of a perfectionistic attitude toward the body, and the
development of a tendency to engage in bulimic eating behavior, which can develop
in adolescent girls and women of varying ages. The study group comprised 234
individuals: 95 secondary school girls, 33 high school girls, 56 female students,
and 50 employed women, all of whom were living in southern Poland. Participants
were not diagnosed with any psychiatric disorders (including eating disorders).
The variables were measured using the Polish version of Garner's Eating Disorder
Inventory and the Polish Sociocultural Attitudes Towards Physical Appearance and
Body Image Inventory [based on the SATAQ-3 (Sociocultural Attitudes Towards
Appearance Questionnaire Scale-3)]. The findings revealed that the youngest
Polish girls (aged 12-15) reported the highest level of risk factors for eating
disorders. Among the entire study group, the internalization of appearance
standards and the pressure associated with various media messages were determined
to be predictors of the pursuit of thinness, regardless of age and body mass
index values. The second most significant variable explained by the
internalization of sociocultural standards was body dissatisfaction. The
internalization of sociocultural norms provided a significant explanation of
bulimic tendencies only in the youngest girls. Perfectionism proved not to be
affected by the sociocultural impact of mass media. The adult women had the
lowest average scores over the entire study population regarding exposure
frequency to body images in mass media and regarding the experience of pressure
exerted by sociocultural norms. The high level of internalization of
sociocultural appearance standards seems to be significantly linked to body
satisfaction in women aged 30 and older. Young adolescent girls constitute a high
risk group for a specific psychological proneness to developing eating disorders
as a result of the sociocultural influence exerted by mass media. The obtained
study results can prove helpful in creating education programs in preventive
healthcare aimed particularly at the youngest adolescents.
PMID- 29651269
TI - Self-Reported Risk and Delinquent Behavior and Problem Behavioral Intention in
Hong Kong Adolescents: The Role of Moral Competence and Spirituality.
AB - Based on the six-wave data collected from Grade 7 to Grade 12 students (N = 3,328
at Wave 1), this pioneer study examined the development of problem behaviors
(risk and delinquent behavior and problem behavioral intention) and the
predictors (moral competence and spirituality) among adolescents in Hong Kong.
Individual growth curve models revealed that while risk and delinquent behavior
accelerated and then slowed down in the high school years, adolescent problem
behavioral intention slightly accelerated over time. After controlling the
background socio-demographic factors, moral competence and spirituality were
negatively associated with risk and delinquent behavior as well as problem
behavioral intention across all waves as predicted. Regarding the rate of change
in the outcome measures, while the initial level of spirituality was positively
linked to the growth rate of risk and delinquent behavior, the initial level of
moral competence was negatively associated with the growth rate of problem
behavioral intention. The theoretical and practical implications of the present
findings are discussed with reference to the role of moral competence and
spirituality in the development of adolescent problem behavior.
PMID- 29651270
TI - Disparities in Functional Outcome After Intracerebral Hemorrhage Among Asians and
Pacific Islanders.
AB - Background: Disparities in outcome after intracerebral hemorrhage (ICH) among
Asians, Native Hawaiians, and other Pacific Islanders (NHOPI) have been
inadequately studied. We sought to assess differences in functional outcome
between Asians and NHOPI after ICH. Methods: A multiracial prospective cohort
study of ICH patients was conducted from 2011 to 2016 at a tertiary center in
Honolulu, HI, USA to assess racial disparities in outcome after ICH. Favorable
outcome was defined as 3-month modified Rankin Scale (mRS) score <=2. Patients
with no available 3-month functional outcome, race other than Asians and NHOPI,
and baseline mRS > 0 were excluded. Multivariable analyses using logistic
regression were performed to assess the impact of race on favorable outcome after
adjusting for the ICH Score, early do-not-resuscitate (DNR) order and
dementia/cognitive impairment. Results: A total of 220 patients (161 Asians, 59
NHOPI) were studied. Overall, 65 (29.5%) achieved favorable outcome at 3 months.
NHOPI were younger than Asians (p < 0.0001) and had higher prevalence of diabetes
(p = 0.007), obesity (p < 0.0001), and lower prevalence of dementia/cognitive
impairment (p = 0.02), early DNR order (p = 0.0004), and advance directive
presence (p = 0.0005). NHOPI race was a predictor of favorable outcome in the
unadjusted model [odds ratio (OR) 2.47, 95% confidence interval (CI): 1.32-4.62]
and after adjusting for the ICH Score (OR 2.30, 95% CI: 1.06-4.97) but not in the
final model (OR 2.04, 95% CI: 0.94-4.42). In the final model, the ICH Score was
the only independent negative predictor of outcome (OR 0.26, 95% CI: 0.17-0.41
per point). Conclusion: NHOPI are more likely to achieve favorable functional
outcome after ICH compared with Asians even after controlling for ICH severity.
However, this association was attenuated by the DNR and dementia/cognitive
impairment status.
PMID- 29651272
TI - Commentary: Global, regional, and national burden of neurological disorders
during 1990-2015: a systematic analysis for the Global Burden of Disease Study
2015.
PMID- 29651271
TI - Genetics Modulate Gray Matter Variation Beyond Disease Burden in Prodromal
Huntington's Disease.
AB - Huntington's disease (HD) is a neurodegenerative disorder caused by an expansion
mutation of the cytosine-adenine-guanine (CAG) trinucleotide in the HTT gene.
Decline in cognitive and motor functioning during the prodromal phase has been
reported, and understanding genetic influences on prodromal disease progression
beyond CAG will benefit intervention therapies. From a prodromal HD cohort (N =
715), we extracted gray matter (GM) components through independent component
analysis and tested them for associations with cognitive and motor functioning
that cannot be accounted for by CAG-induced disease burden (cumulative effects of
CAG expansion and age). Furthermore, we examined genetic associations (at the
genomic, HD pathway, and candidate region levels) with the GM components that
were related to functional decline. After accounting for disease burden, GM in a
component containing cuneus, lingual, and middle occipital regions was positively
associated with attention and working memory performance, and the effect size was
about a tenth of that of disease burden. Prodromal participants with at least one
dystonia sign also had significantly lower GM volume in a bilateral inferior
parietal component than participants without dystonia, after controlling for the
disease burden. Two single-nucleotide polymorphisms (SNPs: rs71358386 in NCOR1
and rs71358386 in ADORA2B) in the HD pathway were significantly associated with
GM volume in the cuneus component, with minor alleles being linked to reduced GM
volume. Additionally, homozygous minor allele carriers of SNPs in a candidate
region of ch15q13.3 had significantly higher GM volume in the inferior parietal
component, and one minor allele copy was associated with a total motor score
decrease of 0.14 U. Our findings depict an early genetical GM reduction in
prodromal HD that occurs irrespective of disease burden and affects regions
important for cognitive and motor functioning.
PMID- 29651273
TI - Are There Differences in Androgen Receptor Expression in Invasive Breast Cancer
in African (Tanzanian) Population in Comparison With the Caucasian (Italian)
Population?
AB - Purpose: Androgen receptor (AR) has been shown to have prognostic implication on
breast cancer (BC). Data on the biological features of African BCs are poor. We
decided for the first time to compare AR expression of Tanzanian and Italian BC
patients. Patients and methods: Of the 69 consecutive patients seen at the
Bugando Medical Center (Mwanza, Tanzania) from 2003 to 2010, who underwent
resection of primary BC evaluable for estrogen receptor, progesterone receptor
(PgR), and HER2 only 65 were evaluable for AR by immunohistochemistry.
Histopathological assessment and biomolecular determinations were performed at
the Cancer Institute of Romagna [Istituto Scientifico Romagnolo per lo studio e
la cura dei tumori (IRST)-IRCCS, Meldola, Italy]. Caucasian BC patients were
selected from an electronic database and matched (1:2 ratio) for year of
diagnosis and age at diagnosis. Results: The median age of patients at diagnosis
was 51 (range 29-83) years for Tanzanian and 53 (range 26-86) years for Italian
patients. Tanzanian patients harbored tumors with lower AR expression than
Italian patients according to the median percentage of immunopositive tumor cells
(30% versus 80%, p < 0.0001) and staining intensity (p = 0.0003). The proportion
of AR negative patients was likewise higher among Tanzanian patients as regards
both >=1% and >=10% cutoffs. AR-positive BCs were higher in luminal A and B
tumors and decreased in triple-negative (TN) and HER2-enriched tumors in
Tanzanian population. Conclusion: AR loss could represent an unfavorable
prognostic marker in the African population. The high frequency of TN tumors with
high AR expression could open new perspectives of therapy for population in this
low income country.
PMID- 29651274
TI - Identification of Unequally Represented Founder Viruses Among Tissues in Very
Early SIV Rectal Transmission.
AB - Characterizing the transmitted/founder (T/F) viruses of multi-variant SIV
infection may shed new light on the understanding of mucosal transmission. We
intrarectally inoculated six Chinese rhesus macaques with a single high dose of
SIVmac251 (3.1 * 104 TCID50) and obtained 985 full-length env sequences from
multiple tissues at 6 and 10 days post-infection by single genome amplification
(SGA). All 6 monkeys were infected with a range of 2 to 8 T/F viruses and the
dominant variants from the inoculum were still dominant in different tissues from
each monkey. Interestingly, our data showed that a cluster of rare T/F viruses
was unequally represented in different tissues. This cluster of rare T/F viruses
phylogenetically related to the non-dominant SIV variants in the inoculum and was
not detected in any rectum tissues, but could be identified in the descending
colon, jejunum, spleen, or plasma. In 2 out of 6 macaques, identical SIVmac251
variants belonging to this cluster were detected simultaneously in descending
colon/jejunum and the inoculum. We also demonstrated that the average CG
dinucleotide frequency of these rare T/F viruses found in tissues, as well as non
dominant variants in the inoculum, was significantly higher than the dominant T/F
viruses in tissues and the inoculum. Collectively, these findings suggest that
descending colon/jejunum might be more susceptible than rectum to SIV in the very
early phase of infection. And host CG suppression, which was previously shown to
inhibit HIV replication in vitro, may also contribute to the bottleneck selection
during in vivo transmission.
PMID- 29651275
TI - Structural and Functional Motifs in Influenza Virus RNAs.
AB - Influenza A viruses (IAV) are responsible for recurrent influenza epidemics and
occasional devastating pandemics in humans and animals. They belong to the
Orthomyxoviridae family and their genome consists of eight (-) sense viral RNA
(vRNA) segments of different lengths coding for at least 11 viral proteins. A
heterotrimeric polymerase complex is bound to the promoter consisting of the 13
5'-terminal and 12 3'-terminal nucleotides of each vRNA, while internal parts of
the vRNAs are associated with multiple copies of the viral nucleoprotein (NP),
thus forming ribonucleoproteins (vRNP). Transcription and replication of vRNAs
result in viral mRNAs (vmRNAs) and complementary RNAs (cRNAs), respectively.
Complementary RNAs are the exact positive copies of vRNAs; they also form
ribonucleoproteins (cRNPs) and are intermediate templates in the vRNA
amplification process. On the contrary, vmRNAs have a 5' cap snatched from
cellular mRNAs and a 3' polyA tail, both gained by the viral polymerase complex.
Hence, unlike vRNAs and cRNAs, vmRNAs do not have a terminal promoter able to
recruit the viral polymerase. Furthermore, synthesis of at least two viral
proteins requires vmRNA splicing. Except for extensive analysis of the viral
promoter structure and function and a few, mostly bioinformatics, studies
addressing the vRNA and vmRNA structure, structural studies of the influenza A
vRNAs, cRNAs, and vmRNAs are still in their infancy. The recent crystal
structures of the influenza polymerase heterotrimeric complex drastically
improved our understanding of the replication and transcription processes. The
vRNA structure has been mainly studied in vitro using RNA probing, but its
structure has been very recently studied within native vRNPs using crosslinking
and RNA probing coupled to next generation RNA sequencing. Concerning vmRNAs,
most studies focused on the segment M and NS splice sites and several structures
initially predicted by bioinformatics analysis have now been validated
experimentally and their role in the viral life cycle demonstrated. This review
aims to compile the structural motifs found in the different RNA classes (vRNA,
cRNA, and vmRNA) of influenza viruses and their function in the viral replication
cycle.
PMID- 29651276
TI - Liposome Entrapment of Bacteriophages Improves Wound Healing in a Diabetic Mouse
MRSA Infection.
AB - Diabetic populations are more prone to developing wound infections which results
in poor and delayed wound healing. Infection with drug resistant organisms
further worsen the situation, driving searches for alternative treatment
approaches such as phage therapy. Major drawback of phage therapy, however, is
low phage persistence in situ, suggesting further refinement of the approach. In
the present work we address this issue by employing liposomes as delivery
vehicles. A liposome entrapped phage cocktail was evaluated for its ability to
resolve a Staphylococcus aureus-induced diabetic excission wound infection. Two
characterized S. aureus specific lytic phages, MR-5 and MR-10 alone, in
combination (cocktail), or entrapped in liposomes (versus as free phages) were
assesed for their therapeutic efficacy in resolving diabetic wound infection.
Mice treated with free phage cocktail showed significant reduction in wound
bioburden, greater wound contraction and faster tissue healing than with free
monophage therapy. However, to further enhance the availability of viable phages
the encapsulation of phage cocktail in the liposomes was done. Results of in
vitro stability studies and in vivo phage titer determination, suggests that
liposomal entrapment of phage cocktail can lead to better phage persistence at
the wound site. A 2 log increase in phage titre, however, was observed at the
wound site with liposome entrapped as compared to the free phage cocktail, and
this was associaed with increased rates of infection resolution and wound
healing. Entrapment of phage cocktails within liposomes thus could represent an
attractive approach for treatment of bacterial infections, not responding to
antibiotis as increased phage persistence in vitro and in vivo at the wound site
was observed.
PMID- 29651277
TI - New Delhi Metallo-Beta-Lactamase-Producing Enterobacteriaceae in South Korea
Between 2010 and 2015.
AB - This study was carried out to investigate the epidemiological time-course of New
Delhi metallo-beta-lactamase- (NDM-) mediated carbapenem resistance in
Enterobacteriaceae in South Korea. A total of 146 non-duplicate NDM-producing
Enterobacteriaceae recovered between 2010 and 2015 were voluntarily collected
from 33 general hospitals and confirmed by PCR. The species were identified by
sequences of the 16S rDNA. Antimicrobial susceptibility was determined either by
the disk diffusion method or by broth microdilution, and the carbapenem MICs were
determined by agar dilution. Then, multilocus sequence typing and PCR-based
replicon typing was carried out. Co-carried genes for drug resistance were
identified by PCR and sequencing. The entire genomes of eight random selected NDM
producers were sequenced. A total of 69 Klebsiella pneumoniae of 12 sequence
types (STs), 34 Escherichia coli of 15 STs, 28 Enterobacter spp. (including one
Enterobacter aerogenes), nine Citrobacter freundii, four Raoultella spp., and two
Klebsiella oxytoca isolates produced either NDM-1 (n = 126), NDM-5 (n = 18), or
NDM-7 (n = 2). The isolates co-produced CTX-M-type ESBL (52.1%), AmpCs (27.4%),
additional carbapenemases (7.1%), and/or 16S rRNA methyltransferases (4.8%),
resulting in multidrug-resistance (47.9%) or extensively drug-resistance (52.1%).
Among plasmids harboring blaNDM, IncX3 was predominant (77.4%), followed by the
IncFII type (5.8%). Genome analysis revealed inter-species and inter-strain
horizontal gene transfer of the plasmid. Both clonal dissemination and plasmid
transfer contributed to the wide dissemination of NDM producers in South Korea.
PMID- 29651278
TI - Editorial: Genome Invading RNA Networks.
PMID- 29651279
TI - Effect of the Secondary Symbiont Hamiltonella defensa on Fitness and Relative
Abundance of Buchnera aphidicola of Wheat Aphid, Sitobion miscanthi.
AB - Bacterial symbionts associated with insects are often involved in host
development and ecological fitness. In aphids, the role of these symbionts is
variable and not fully understood across different host species. Here, we
investigated the symbiont diversity of the grain aphid, Sitobion miscanthi
(Takahashi), from 17 different geographical areas. Of these, two strains with the
same symbiont profile, except for the presence of Hamiltonella defensa, were
selected using PCR. The Hamiltonella-infected strain, YX, was collected from a
Yuxi wheat field in Yunnan Province, China. The Hamiltonella-free strain, DZ, was
collected from a Dezhou wheat field in Shandong Province, China. Using artificial
infection with H. defensa and antibiotic treatment, a Hamiltonella-re-infected
strain (DZ-H) and Hamiltonella-significantly decreased strain (DZ-HT) were
established and compared to the Hamiltonella-free DZ strain in terms of
ecological fitness. Infection with the DZ-H strain increased the fitness of S.
miscanthi, which led to increases in adult weight, percent of wingless
individuals, and number of offspring. Meanwhile, decreased abundance of H.
defensa (DZ-HT strain) resulted in a lower adult weight and wingless aphid rate
compared to the DZ-H strain. However, the indices of longevity in both the DZ-H
and DZ-HT strains decreased slightly, but were not significantly different,
compared to the DZ strain. Furthermore, quantitative PCR showed that the relative
abundance of the primary symbiont Buchnera aphidicola in the DZ-H strain was
significantly higher than in the DZ strain in all but the first developmental
stage. These results indicate that H. defensa may indirectly improve the fitness
of S. miscanthi by stimulating the proliferation of B. aphidicola.
PMID- 29651280
TI - Collective Vortex-Like Movement of Bacillus subtilis Facilitates the Generation
of Floating Biofilms.
AB - Bacteria in nature are usually found in complex multicellular structures, called
biofilms. One common form of a biofilm is pellicle-a floating mat of bacteria
formed in the water-air interphase. So far, our knowledge on the basic mechanisms
underlying the formation of biofilms at air-liquid interfaces is not complete. In
particular, the co-occurrence of motile cells and extracellular matrix producers
has not been studied. In addition, the potential involvement of chemical
communication in pellicle formation remained largely undefined. Our results
indicate that vortex-like collective motility by aggregates of motile cells and
EPS producers accelerate the formation of floating biofilms. Successful
aggregation and migration to the water-air interphase depend on the chemical
communication signal autoinducer 2 (AI-2). This ability of bacteria to form a
biofilm in a preferable niche ahead of their potential rivals would provide a
fitness advantage in the context of inter-species competition.
PMID- 29651281
TI - The Potential of Isolation Source to Predict Colonization in Avian Hosts: A Case
Study in Campylobacter jejuni Strains From Three Bird Species.
AB - Campylobacter jejuni is the primary cause of bacterial gastroenteritis worldwide,
infecting humans mostly through consumption of contaminated poultry. C. jejuni is
common in the gut of wild birds, and shows distinct strain-specific association
to particular bird species. This contrasts with farm animals, in which several
genotypes co-exist. It is unclear if the barriers restricting transmission
between host species of such specialist strains are related to environmental
factors such as contact between host species, bacterial survival in the
environment, etc., or rather to strain specific adaptation to the intestinal
environment of specific hosts. We compared colonization dynamics in vivo between
two host-specific C. jejuni from a song thrush (ST-1304 complex) and a mallard
(ST-995), and a generalist strain from chicken (ST-21 complex) in a wild host,
the mallard (Anas platyrhynchos). In 18-days infection experiments, the song
thrush strain showed only weak colonization and was cleared from all birds after
10 days, whereas both mallard and chicken strains remained stable. When the
chicken strain was given 4 days prior to co-infection of the same birds with a
mallard strain, it was rapidly outcompeted by the latter. In contrast, when the
mallard strain was given 4 days prior to co-infection with the chicken strain,
the mallard strain remained and expansion of the chicken strain was delayed. Our
results suggest strain-specific differences in the ability of C. jejuni to
colonize mallards, likely associated with host origin. This difference might
explain observed host association patterns in C. jejuni from wild birds.
PMID- 29651282
TI - Effect of Cinnamaldehyde and Citral Combination on Transcriptional Profile,
Growth, Oxidative Damage and Patulin Biosynthesis of Penicillium expansum.
AB - Penicillium expansum, as a main postharvest pathogen of fruits, can secrete
patulin (PAT), causing fruit decay and health problems. In this study, the
antifungal test, SEM (scanning electron microscope) observation, transcriptional
profile, PAT biosynthesis, and physiological characters of P. expansum exposed to
cinnamaldehyde and citral combination (Cin/Cit) were evaluated. Cin/Cit could
inhibit the mycelial growth and spore germination of P. expansum in a dose
dependent manner. Besides, Cin/Cit caused spores and mycelia wrinkled and
depressed by SEM observation. Gene expression profiles of P. expansum were
conducted by RNA sequencing (RNA-seq) in the presence or absence of Cin/Cit
treatment. A total of 1713 differentially expressed genes (DEGs) were obtained,
including 793 down-regulated and 920 up-regulated genes. Most of the DEGs
participated in the biosynthesis of secondary metabolites, amino acid metabolism,
and oxidation-reduction process, etc. Cin/Cit induced the dysfunction of the
mitochondrial membrane, causing the potential influence on energy metabolism and
reactive oxidative species production. The changes of superoxide dismutase (SOD)
and catalase (CAT) activities combing with the increase of hydrogen peroxide
content indicated the oxidative stress on P. expansum induced by Cin/Cit, which
corresponded well with the transcriptional results. Moreover, both the RNA-seq
data and the qRT-PCR showed the remarkable down-regulation of genes included in
the PAT biosynthetic pathway under the Cin/Cit treatment. These findings provided
more useful information about the antifungal mechanism of Cin/Cit against P.
expansum at molecular and gene levels and suggested that Cin/Cit is a potential
candidate to control P. expansum.
PMID- 29651285
TI - Atomic Force Microscopy of Side Wall and Septa Peptidoglycan From Bacillus
subtilis Reveals an Architectural Remodeling During Growth.
AB - Peptidoglycan is the fundamental structural constituent of the bacterial cell
wall. Despite many years of research, the architecture of peptidoglycan is still
largely elusive. Here, we report the high-resolution architecture of
peptidoglycan from the model Gram-positive bacterium Bacillus subtilis. We
provide high-resolution evidence of peptidoglycan architecture remodeling at
different growth stages. Side wall peptidoglycan from B. subtilis strain AS1.398
changed from an irregular architecture in exponential growth phase to an ordered
cable-like architecture in stationary phase. Thickness of side wall peptidoglycan
was found to be related with growth stages, with a slight increase after
transition to stationary phase. Septal disks were synthesized progressively
toward the center, while the surface features were less clear than those imaged
with side walls. Compared with previous studies, our results revealed slight
differences in architecture of peptidoglycan from different B. subtilis strains,
expanding our knowledge about the architectural features of B. subtilis
peptidoglycan.
PMID- 29651284
TI - Transcriptomic and Ectoine Analysis of Halotolerant Nocardiopsis gilva YIM 90087T
Under Salt Stress.
AB - The genus Nocardiopsis is an unique actinobacterial group that widely distributed
in hypersaline environments. In this study, we investigated the growth
conditions, transcriptome analysis, production and accumulation of ectoine by
Nocardiopsis gilva YIM 90087T under salt stress. The colony color of N. gilva YIM
90087T changed from yellow to white under salt stress conditions. Accumulation of
ectoine and hydroxyectoine in cells was an efficient way to regulate osmotic
pressure. The ectoine synthesis was studied by transferring the related genes
(ectA, ectB, and ectC) to Escherichia coli. Transcriptomic analysis showed that
the pathways of ABC transporters (ko02010) and glycine, serine, and threonine
metabolism (ko00260) played a vital role under salt stress environment. The
ectABC from N. gilva YIM 90087T was activated under the salt stress. Addition of
exogenous ectoine and hydroxyectoine were helpful to protect N. gilva YIM 90087T
from salt stress.
PMID- 29651283
TI - miRNAs in Tuberculosis: New Avenues for Diagnosis and Host-Directed Therapy.
AB - Tuberculosis (TB) is one of the most fatal infectious diseases and a leading
cause of mortality, with 95% of these deaths occurring in developing countries.
The causative agent, Mycobacterium tuberculosis (Mtb), has a well-established
ability to circumvent the host's immune system for its intracellular survival.
microRNAs (miRNAs) are small, non-coding RNAs having an important function at the
post-transcriptional level and are involved in shaping immunity by regulating the
repertoire of genes expressed in immune cells. It has been established in recent
studies that the innate immune response against TB is significantly regulated by
miRNAs. Moreover, differential expression of miRNA in Mtb infection can reflect
the disease progression and may help distinguish between active and latent TB
infection (LTBI). These findings encouraged the application of miRNAs as
potential biomarkers. Similarly, active participation of miRNAs in modulation of
autophagy and apoptosis responses against Mtb opens an exciting avenue for the
exploitation of miRNAs as host directed therapy (HDT) against TB. Nanoparticles
mediated delivery of miRNAs to treat various diseases has been reported and this
technology has a great potential to be used in TB. In reality, this exploitation
of miRNAs as biomarkers and in HDT is still in its infancy stage, and more
studies using animal models mimicking human TB are advocated to assess the role
of miRNAs as biomarkers and therapeutic targets. In this review, we attempt to
summarize the recent advancements in the role of miRNAs in TB as immune
modulator, miRNAs' capability to distinguish between active and latent TB and,
finally, usage of miRNAs as therapeutic targets against TB.
PMID- 29651286
TI - IgA Targeting Human Immunodeficiency Virus-1 Envelope gp41 Triggers Antibody
Dependent Cellular Cytotoxicity Cross-Clade and Cooperates with gp41-Specific IgG
to Increase Cell Lysis.
AB - The protective efficacy of human immunodeficiency virus-1 (HIV-1) antibodies
(Abs) remains mostly correlated with their in vitro neutralizing activity
engaging their Fab region. However, anti-HIV-1 Abs also mediate a broad array of
Fc-mediated effector functions including Ab-dependent cellular cytotoxicity
(ADCC), which depend primarily on the Ab isotype. While ADCC is commonly
associated with HIV-1 gp120 envelope-specific IgGs, whether IgAs, especially
those targeting the HIV-1 gp41 envelope, also mediate ADCC remains elusive.
Therefore, to assess the capacity of IgA specific for HIV-1 to induce Fcalpha
mediated ADCC, we used the gp41 envelope-specific IgA transformed from the
broadly neutralizing 2F5-IgG we have previously reported to induce ADCC. We
demonstrate that 2F5-IgA engages FcalphaRI (CD89), expressed on human monocytes
used as effector cells, to induce the lysis of HIV-1 Clade A- and B-infected
target cells by ADCC. Furthermore, the 2F5-IgA and 2F5-IgG cooperate to enhance
target cells lysis by ADCC. Cooperation in ADCC is also observed between 2F5-IgA
and the broadly neutralizing 10E8-IgG. These results provide a new perspective
for IgA in protection against HIV-1 acquisition or reservoir eradication and
suggest that inducing IgA by vaccination, in particular when targeting gp41, in
combination with IgG could strengthen protection by complementary and cooperative
activities with IgG.
PMID- 29651287
TI - Neutralizing Anti-Cytokine Autoantibodies Against Interferon-alpha in
Immunodysregulation Polyendocrinopathy Enteropathy X-Linked.
AB - Anti-cytokine autoantibodies (ACAAs) have been described in a growing number of
primary immunodeficiencies with autoimmune features, including autoimmune
polyendocrine syndrome type I (APS-1), a prototypical disease of defective T cell
mediated central tolerance. Whether defects in peripheral tolerance lead to
similar ACAAs is unknown. Immunodysregulation polyendocrinopathy enteropathy X
linked (IPEX) is caused by mutations in FOXP3, a master regulator of T regulatory
cells (Treg), and consequently results in defective T cell-mediated peripheral
tolerance. Unique autoantibodies have previously been described in IPEX. To test
the hypothesis that ACAAs are present in IPEX, we designed and fabricated antigen
microarrays. We discovered elevated levels of IgG ACAAs against interferon-alpha
(IFN-alpha) in a cohort of IPEX patients. Serum from IPEX patients blocked IFN
alpha signaling in vitro and blocking activity was tightly correlated with ACAA
titer. To show that blocking activity was mediated by IgG and not other serum
factors, we purified IgG and showed that blocking activity was contained entirely
in the immunoglobulin fraction. We also screened for ACAAs against IFN-alpha in a
second geographically distinct cohort. In these samples, ACAAs against IFN-alpha
were elevated in a post hoc analysis. In summary, we report the discovery of
ACAAs against IFN-alpha in IPEX, an experiment of nature demonstrating the
important role of peripheral T cell tolerance.
PMID- 29651288
TI - CEBPE-Mutant Specific Granule Deficiency Correlates With Aberrant Granule
Organization and Substantial Proteome Alterations in Neutrophils.
AB - Specific granule deficiency (SGD) is a rare disorder characterized by abnormal
neutrophils evidenced by reduced granules, absence of granule proteins, and
atypical bilobed nuclei. Mutations in CCAAT/enhancer-binding protein-epsilon
(CEBPE) are one molecular etiology of the disease. Although C/EBPepsilon has been
studied extensively, the impact of CEBPE mutations on neutrophil biology remains
elusive. Here, we identified two SGD patients bearing a previously described
heterozygous mutation (p.Val218Ala) in CEBPE. We took this rare opportunity to
characterize SGD neutrophils in terms of granule distribution and protein
content. Granules of patient neutrophils were clustered and polarized, suggesting
that not only absence of specific granules but also defects affecting other
granules contribute to the phenotype. Our analysis showed that remaining granules
displayed mixed protein content and lacked several glycoepitopes. To further
elucidate the impact of mutant CEBPE, we performed detailed proteomic analysis of
SGD neutrophils. Beside an absence of several granule proteins in patient cells,
we observed increased expression of members of the linker of nucleoskeleton and
cytoskeleton complex (nesprin-2, vimentin, and lamin-B2), which control nuclear
shape. This suggests that absence of these proteins in healthy individuals might
be responsible for segmented shapes of neutrophilic nuclei. We further show that
the heterozygous mutation p.Val218Ala in CEBPE causes SGD through prevention of
nuclear localization of the protein product. In conclusion, we uncover that
absence of nuclear C/EBPepsilon impacts on spatiotemporal expression and
subsequent distribution of several granule proteins and further on expression of
proteins controlling nuclear shape.
PMID- 29651290
TI - Anti-Transforming Growth Factor beta IgG Elicits a Dual Effect on Calcium Oxalate
Crystallization and Progressive Nephrocalcinosis-Related Chronic Kidney Disease.
AB - Crystallopathies are a heterogeneous group of diseases caused by intrinsic or
environmental microparticles or crystals, promoting tissue inflammation and
scarring. Certain proteins interfere with crystal formation and growth, e.g.,
with intrarenal calcium oxalate (CaOx) crystal formation, a common cause of
kidney stone disease or nephrocalcinosis-related chronic kidney disease (CKD). We
hypothesized that immunoglobulins can modulate CaOx microcrystal formation and
crystal growth and that therefore, biological IgG-based drugs designed to
specifically target disease modifying proteins would elicit a dual effect on the
outcome of CaOx-related crystallopathies. Indeed, both the anti-transforming
growth factor (TGF)beta IgG and control IgG1 antibody impaired CaOx
crystallization in vitro, and decreased intrarenal CaOx crystal deposition and
subsequent CKD in mice on an oxalate-rich diet compared to oxalate-fed control
mice. However, the TGFbeta-specific IgG antibody showed nephroprotective effects
beyond those of control IgG1 and substantially reduced interstitial fibrosis as
indicated by magnetic resonance imaging, silver and alpha-smooth muscle actin
staining, RT-qPCR, and flow cytometry for pro-fibrotic macrophages. Suppressing
interstitial fibrosis slowed the decline of glomerular filtration rate (GFR)
compared to treatment with control IgG1 [slope of m = -8.9 vs. m = -14.5
MUl/min/100 g body weight (BW)/day, Delta = 38.3%], an increased GFR at the end
of the study (120.4 vs. 42.6 MUl/min/100 g BW, Delta = 64.6%), and prolonged end
stage renal disease (ESRD)-free renal survival by 10 days (Delta = 38.5%).
Delayed onset of anti-TGFbeta IgG from day 7 was no longer effective. Our results
suggest that biological drugs can elicit dual therapeutic effects on intrinsic
crystallopathies, such as anti-TGFbeta IgG antibody treatment inhibits CaOx
crystallization as well as interstitial fibrosis in nephrocalcinosis-related CKD.
PMID- 29651289
TI - Development and Characterization of an Endotoxemia Model in Zebra Fish.
AB - Endotoxemia is a condition in which endotoxins enter the blood stream and cause
systemic and sometimes lethal inflammation. Zebra fish provides a genetically
tractable model organism for studying innate immunity, with additional advantages
in live imaging and drug discovery. However, a bona fide endotoxemia model has
not been established in zebra fish. Here, we have developed an acute endotoxemia
model in zebra fish by injecting a single dose of LPS directly into the
circulation. Hallmarks of human acute endotoxemia, including systemic
inflammation, extensive tissue damage, circulation blockade, immune cell
mobilization, and emergency hematopoiesis, were recapitulated in this model.
Knocking out the adaptor protein Myd88 inhibited systemic inflammation and
improved zebra fish survival. In addition, similar alternations of pathways with
human acute endotoxemia were detected using global proteomic profiling and
MetaCoreTM pathway enrichment analysis. Furthermore, treating zebra fish with a
protein tyrosine phosphatase nonreceptor type 11 (Shp2) inhibitor decreased
systemic inflammation, immune mobilization, tissue damage, and improved survival
in the endotoxemia model. Together, we have established and characterized the
phenotypic and gene expression changes of a zebra fish endotoxemia model, which
is amenable to genetic and pharmacological discoveries that can ultimately lead
to a better mechanistic understanding of the dynamics and interplay of the innate
immune system.
PMID- 29651291
TI - Cutting an NKG2D Ligand Short: Cellular Processing of the Peculiar Human NKG2D
Ligand ULBP4.
AB - Stress-induced cell surface expression of MHC class I-related glycoproteins of
the MIC and ULBP families allows for immune recognition of dangerous "self cells"
by human cytotoxic lymphocytes via the NKG2D receptor. With two MIC molecules
(MICA and MICB) and six ULBP molecules (ULBP1-6), there are a total of eight
human NKG2D ligands (NKG2DL). Since the discovery of the NKG2D-NKG2DL system, the
cause for both redundancy and diversity of NKG2DL has been a major and ongoing
matter of debate. NKG2DL diversity has been attributed, among others, to the
selective pressure by viral immunoevasins, to diverse regulation of expression,
to differential tissue expression as well as to variations in receptor
interactions. Here, we critically review the current state of knowledge on the
poorly studied human NKG2DL ULBP4. Summarizing available facts and previous
studies, we picture ULBP4 as a peculiar ULBP family member distinct from other
ULBP family members by various aspects. In addition, we provide novel
experimental evidence suggesting that cellular processing gives rise to mature
ULBP4 glycoproteins different to previous reports. Finally, we report on the
proteolytic release of soluble ULBP4 and discuss these results in the light of
known mechanisms for generation of soluble NKG2DL.
PMID- 29651293
TI - Homeobox a5 Promotes White Adipose Tissue Browning Through Inhibition of the
Tenascin C/Toll-Like Receptor 4/Nuclear Factor Kappa B Inflammatory Signaling in
Mice.
AB - Lipopolysaccharide (LPS) induces rapid increase in systemic inflammatory factors.
As adipose tissue is a key contributor to the inflammatory response to numerous
metabolic stimuli, it is important to understand the mechanism behind the LPS
induced inflammation in white adipose tissue (WAT). Homeobox a5 (Hoxa5) is an
important transcription factor, which is highly expressed in adipose tissue, and
its mRNA expression is increased at cold exposure in mice. So far, the function
of Hoxa5 in adipose tissue browning has been poorly understood. So, the objective
of this study was conducted to determine the role of Hoxa5 in adipose
inflammatory response and white adipose browning in mice. LPS-induced
inflammatory and cold-induced browning model were conducted. We compared the
coordinated role of Hoxa5 in inflammation and thermogenesis of mice adipose.
Transcriptional and methylation regulation was determined by luciferase assay,
electrophoretic mobility shift assay, and bisulfite conversion experiment. Hoxa5
and tenascin C (TNC) were involved in WAT inflammation and browning in mice with
LPS injection. Furthermore, Hoxa5 inhibited the TNC-involved activation of Toll
like receptor (TLR) 4/nuclear factor kappa B (NF-kappaB) signal pathway and
promoted WAT browning. Moreover, we found that a BMP4/Smad1 signal, closely
related to browning, was activated by Hoxa5. Hoxa5 relieved adipocyte
inflammation by decreasing TNC-mediated TLR4 transducer and activator of the NF
kappaB pathway. Interestingly, descended methylation level increased Hoxa5
expression in cold exposure. Our findings demonstrated that Hoxa5 alleviated
inflammation and enhanced browning of adipose tissue via negative control of
TNC/TLR4/NF-kappaB inflammatory signaling and activating BMP4/Smad1 pathway.
These findings indicated a novel potential means for the regulation of
inflammation in adipocytes to prevent obesity and other inflammatory diseases.
PMID- 29651292
TI - Autoantibodies to Chemokines and Cytokines Participate in the Regulation of
Cancer and Autoimmunity.
AB - We have previously shown that predominant expression of key inflammatory
cytokines and chemokines at autoimmune sites or tumor sites induces loss of B
cells tolerance, resulting in autoantibody production against the dominant
cytokine/chemokine that is largely expressed at these sites. These autoantibodies
are high-affinity neutralizing antibodies. Based on animal models studies, we
suggested that they participate in the regulation of cancer and autoimmunity,
albeit at the level of their production cannot entirely prevent the development
and progression of these diseases. We have, therefore, named this selective
breakdown of tolerance as "Beneficial Autoimmunity." Despite its beneficial
outcome, this process is likely to be stochastic and not directed by a
deterministic mechanism, and is likely to be associated with the dominant
expression of these inflammatory mediators at sites that are partially immune
privileged. A recent study conducted on autoimmune regulator-deficient patients
reported that in human this type of breakdown of B cell tolerance is T cell
dependent. This explains, in part, why the response is highly restricted, and
includes high-affinity antibodies. The current mini-review explores this subject
from different complementary perspectives. It also discusses three optional
translational aspects: amplification of autoantibody production as a therapeutic
approach, development of autoantibody based diagnostic tools, and the use of B
cells from donors that produce these autoantibodies for the development of high
affinity human monoclonal antibodies.
PMID- 29651294
TI - Lateral Root Development in Potato Is Mediated by Stu-mi164 Regulation of NAC
Transcription Factor.
AB - The NAC designation is derived from petunia (Petunia hybrida) gene NO APICAL
MERISTEM (NAM) and Arabidopsis genes ATAF1/ATAF2 and CUP-SHAPED COTYLEDON2
(CUC2), which belongs to the family of plant-specific transcription factors
(TFs), and plays important role in plant development processes, such as response
to biotic and abiotic stress, and hormone signaling. MicroRNAs (miRNAs) are a
class of small, non-coding endogenous RNAs which play versatile and significant
role in plant stress response and development via negatively affecting gene
expression at a post-transcriptional level. Here, we showed that Stu-mi164 had a
complementary sequence in the CDS sequence of potato NAC TFs, and that NAC
expression exhibited significant differences under osmotic stress. We measured
expression levels of the Stu-mi164 target gene StNAC262 between control and PEG
treated plants using real-time PCR, and the results demonstrated that they had
inverse relationship. We suggested that Stu-miR164 might drive overexpression of
NAC gene under osmotic stress in potato. To confirm the regulation of NAC TFs by
Stu-mi164, we developed transgenic plants, using Agrobacterium tumefaciens
mediated transformation, of the potato cultivars "Gannongshu 2" and "Kexin 3"
overexpressing the Stu-mi164 or the TF StNAC262. Real-time PCR analysis of
transgenic potato plants under osmotic (PEG) stress, showed that potato plants
overexpressing Stu-mi164 had reduced expression of StNAC262 and their osmotic
resistance decreased. Furthermore, these plants had low number of lateral roots
although the same length as the control. Our findings support the regulatory role
of Stu-miRNAs in controlling plant response to osmotic stress via StNAC262.
PMID- 29651295
TI - Development and Phenotypic Screening of an Ethyl Methane Sulfonate Mutant
Population in Soybean.
AB - Soybean is an important oil-producing crop in the Fabaceae family and there are
increasing demands for soybean oil and other soybean products. Genetic
improvement of soybean is needed to increase its production. In order to provide
genetic diversity and resources for identifying important genes, a new ethyl
methane sulfonate (EMS) mutagenized soybean population was generated using the
newly released germplasm, JTN-5203 (maturity group V). Treatment of soybean seeds
with 60 mM EMS concentration was found to be suitable for inducing mutation. A
total of 1,820 M1 individuals were produced from 15,000 treated seeds. The
resulting M2 population was planted in the field for phenotyping. After harvest,
seed traits including total oil, protein, starch, moisture content, fatty acid
and amino acid compositions were measured by NIR. Phenotypic variations observed
in this population include changes in leaf morphology, plant architecture, seed
compositions, and yield. Of most interest, we identified plants with increased
amounts of total protein (50% vs. 41% for control) and plants with higher amounts
of total oil (25% vs. 21.2% control). Similarly, we identified plants with
increases in oleic acid content and decreases in linoleic acid and linolenic
acid. This EMS mutant population will be used for further studies including
screening for various traits such as amino acid pathways, allergens, phytic
acids, and other important soybean agronomic traits. In addition, these mutant
individuals will be evaluated in the next generation to assess the heritability.
Beneficial traits from these mutants can be exploited for future soybean breeding
programs. This germplasm can also be used for discovering novel mutant alleles
and for functional gene expression analysis using reverse genetics tools such as
TILLING.
PMID- 29651296
TI - Association Mapping for Important Agronomic Traits in Safflower (Carthamus
tinctorius L.) Core Collection Using Microsatellite Markers.
AB - Carthamus tinctorius L. (safflower) is an important oilseed crop producing seed
oil rich in unsaturated fatty acids. Scarcity of identified marker-trait
associations is a major limitation toward development of successful marker
assisted breeding programs in safflower. In the present study, a safflower panel
(CartAP) comprising 124 accessions derived from two core collections was assayed
for its suitability for association mapping. Genotyping of CartAP using
microsatellite markers revealed significant genetic diversity indicated by
Shannon information index (H = 0.7537) and Nei's expected heterozygosity (I =
0.4432). In Principal Coordinate Analysis, the CartAP accessions were distributed
homogeneously in all quadrants indicating their diverse nature. Distance-based
Neighbor Joining analysis did not delineate the CartAP accessions in consonance
with their geographical origin. Bayesian analysis of population structure of
CartAP demonstrated the unstructured nature of the association panel. Kinship
analysis at population (Gij ) and individual level (Fij ) revealed absence of or
weak relatedness between the CartAP accessions. The above parameters established
the suitability of CartAP for association mapping. We performed association
mapping using phenotypic data for eight traits of agronomic value (viz., seed oil
content, oleic acid, linoleic acid, plant height, number of primary branches,
number of capitula per plant, 100-seed weight and days to 50% flowering)
available for two growing seasons (2011-2012 and 2012-2013) through General
Linear Model and Mixed Linear Model. Our study identified ninety-six significant
marker-trait associations (MTAs; P < 0.05) of which, several MTAs with
correlation coefficient (R2) > 10% were consistently represented in both models
and in both seasons for traits viz., oil content, oleic acid content, linoleic
acid content and number of primary branches. Several MTAs with high R2-values
were detected either in a majority or in some environments (models and/or
seasons). Many MTAs were also common between traits (viz., oleic/linoleic acid
content; plant height/days to 50% flowering; number of primary branches/number of
capitula per plant) that showed positive or negative correlation in their
phenotypic values. The marker-trait associations identified in this study will
facilitate marker-assisted breeding and identification of genetic determinants of
trait variability.
PMID- 29651297
TI - Niclosamide Blocks Rice Leaf Blight by Inhibiting Biofilm Formation of
Xanthomonas oryzae.
AB - Rice (Oryza sativa) is the leading source of nutrition for more than half of the
world's population, and by far it is the most important commercial food crop.
But, its growth and production are significantly hampered by the bacterial
pathogen Xanthomonas oryzae pv. oryzae (Xoo) which causes leaf blight disease.
Earlier studies have reported the antibacterial ability of FDA-approved
niclosamide drug against Xoo. However, the underlying mechanism by which
niclosamide blocks the growth of Xoo remained elusive. In the present study, by
employing the microbiological, microscopical, molecular, bioinformatics and
analytical tools we found that niclosamide can directly inhibit the growth of the
Xoo by hampering the biofilm formation and the production of xanthomonadin and
exopolysaccharide substances (EPS) required for relentless growth and virulence
of Xoo. Interestingly, niclosamide was found to specifically suppress the growth
of Xoo without affecting other bacteria like Escherichia coli. Our electron
microscopic observations disclosed that niclosamide disrupts the membrane
permeability of Xoo and causes the release of intracellular components.
Similarly, the molecular docking analysis disclosed the molecular interaction of
niclosamide with the biofilm, virulence and quorum sensing related proteins,
which was further substantiated by relative gene expression analysis where
niclosamide was found to significantly downregulate the expression of these key
regulatory genes. In addition, considerable changes in chemical structures were
detected by Fourier Transform Infrared Spectroscopy (FTIR) in response to
niclosamide treatment. Overall, our findings advocate the utilization of
niclosamide as a safe and potent alternative antibacterial compound to control
bacterial blight disease in rice.
PMID- 29651298
TI - True or false coral snake: is it worth the risk? A Micrurus corallinus case
report.
AB - Background: Bites provoked by the genus Micrurus represent less than 1% of
snakebite cases notified in Brazil, a tiny fraction compared with other genus
such as Bothrops and Crotalus, which together represent almost 80% of accidents.
In addition to their less aggressive behavior, habits and morphology of coral
snakes are determinant factors for such low incidence of accidents. Although
Micrurus bites are rare, victims must be rescued and hospitalized in a short
period of time, because this type of envenoming may evolve to a progressive
muscle weakness and acute respiratory failure. Case Presentation: We report an
accident caused by Micrurus corallinus involving a 28-year-old Caucasian sailor
man bitten on the hand. The accident occurred in a recreational camp because
people believed the snake was not venomous. The victim presented neurological
symptoms 2 h after the accident and was taken to the hospital, where he received
antielapidic serum 10 h after the bite. After the antivenom treatment, the
patient presented clinical evolution without complications and was discharged 4
days later. Conclusions: We reinforce that it is essential to have a health care
structure suitable for the treatment of snakebite. Besides, the manipulation of
these animals should only be carried out by a team of well-equipped and trained
professionals, and even so with special attention.
PMID- 29651299
TI - A leukocyte activation test identifies food items which induce release of DNA by
innate immune peripheral blood leucocytes.
AB - Background: Leukocyte activation (LA) testing identifies food items that induce a
patient specific cellular response in the immune system, and has recently been
shown in a randomized double blinded prospective study to reduce symptoms in
patients with irritable bowel syndrome (IBS). We hypothesized that test
reactivity to particular food items, and the systemic immune response initiated
by these food items, is due to the release of cellular DNA from blood immune
cells. Methods: We tested this by quantifying total DNA concentration in the
cellular supernatant of immune cells exposed to positive and negative foods from
20 healthy volunteers. To establish if the DNA release by positive samples is a
specific phenomenon, we quantified myeloperoxidase (MPO) in cellular
supernatants. We further assessed if a particular immune cell population
(neutrophils, eosinophils, and basophils) was activated by the positive food
items by flow cytometry analysis. To identify the signaling pathways that are
required for DNA release we tested if specific inhibitors of key signaling
pathways could block DNA release. Results: Foods with a positive LA test result
gave a higher supernatant DNA content when compared to foods with a negative
result. This was specific as MPO levels were not increased by foods with a
positive LA test. Protein kinase C (PKC) inhibitors resulted in inhibition of
positive food stimulated DNA release. Positive foods resulted in CD63 levels
greater than negative foods in eosinophils in 76.5% of tests. Conclusion: LA test
identifies food items that result in release of DNA and activation of peripheral
blood innate immune cells in a PKC dependent manner, suggesting that this LA test
identifies food items that result in release of inflammatory markers and
activation of innate immune cells. This may be the basis for the improvement in
symptoms in IBS patients who followed an LA test guided diet.
PMID- 29651300
TI - A concise classification of bencao (materia medica).
AB - Books that record the sources and applications of medicinal materials are
commonly known as bencao (materia medica) in China. Bencao (materia medica)
literature review is the very first step in the standard authentication procedure
of Chinese medicinals. As an important part of China's cultural heritage, these
various bencao (materia medica) texts represent centuries of accumulated wisdom
in combating disease and preserving health. In this short review, bencao (materia
medica) classics of China are broadly divided into three major categories in our
routine practice: mainstream bencao (materia medica), thematic bencao (materia
medica) and regional bencao (materia medica). The overall significance and
current situation of exploration of bencao (materia medica) literature are
summarized as well.
PMID- 29651301
TI - Caregiver experiences of public services following child trauma exposure: a
qualitative study.
AB - Background: Many children in low and middle income countries (LMIC) are exposed
to trauma. Contact with public services are a potential influence on parent-child
reactions and coping post-trauma. Little is known about how caregivers perceive
these interactions. Methods: The aim of this study was to explore caregivers'
experiences of accessing and interacting with public services post-trauma and
perceptions of needed improvements to public services in a LMIC context.
Qualitative interviews were conducted with 20 female caregivers from a high-risk
settlement in South Africa after child trauma exposure. Results: Three themes and
seven sub-themes were identified regarding caregivers' perceptions of
interactions with public services post-trauma. The key themes identified related
to (1) communication and exchanges with law enforcement, (2) consequences of an
under-resourced justice system and (3) importance of communication and empathy in
the healthcare system. Interactions with police were often positive. However,
caregivers explained that police-family communication post-trauma could be
improved and may help to lessen caregiver anxiety and concerns for the child's
safety post-trauma. Caregivers perceived the judicial system to be under
resourced as contact with the judicial system was often protracted and caused
child anxiety and distress. Medical treatment was reportedly rushed, with
extensive waiting times and little information provided to caregivers regarding
the child's injuries or treatment. Some medical staff were perceived as
unsympathetic during the child's treatment which was found to exacerbate
caregiver and child distress post-trauma. Conclusions: This study provides
insight into caregiver experiences of accessing public services following child
trauma exposure in a high-risk LMIC context. Public services were perceived as
oversubscribed and under-resourced and negative interactions often influenced
caregiver responses and appraisals of child safety. Given the impact of poor
interactions with public services on families post-trauma, additional research is
needed to investigate feasible improvements to public services in LMIC.
PMID- 29651302
TI - Common mental disorders and psychological adjustment among individuals seeking
HIV testing: a study protocol to explore implications for mental health care
systems.
AB - Background: In an effort to promote greater access to voluntary counseling and
testing for HIV, it has become practice in many countries, including South
Africa, to establish non-medical testing sites and to de-couple HIV testing from
other medical and mental health care services. While it is well established that
HIV infection is associated with a range of psychopathology, much of the
literature has assumed that it is receipt of an HIV positive diagnosis that
causes people to become depressed, traumatized, or develop other psychiatric
symptoms. Empirical data about the baseline psychiatric condition and mental
health care needs of persons seeking HIV testing is scarce. Understanding the
psychological health of persons seeking HIV testing and documenting how
psychiatric symptoms develop over time following receipt of an HIV positive
diagnosis, has important implications for mental health care systems. Methods: We
describe a study protocol to investigate: (1) the level of psychological distress
and the prevalence of common mental disorders among persons seeking HIV testing;
(2) the longitudinal development of psychiatric symptoms among persons diagnosed
with HIV; and (3) the recommendations that can be made for mental health care
systems to support persons seeking HIV testing and those newly diagnosed with
HIV. In this longitudinal study quantitative and qualitative data are collected
to document participants' psychiatric symptoms, to determine whether they meet
diagnostic criteria for a common mental disorder, and to explore the lived
experiences of persons receiving an HIV positive test result. Data are collected
at three time points; before HIV testing, and then again at 6 and 12 months post
testing. Discussion: Documenting the prevalence of common mental disorders among
persons seeking HIV testing, and tracking the psychosocial support needs,
psychological adjustment and psychosocial experiences of persons newly diagnosed
with HIV, has important implications for the delivery of mental health care
services and the design of integrated mental health care systems.
PMID- 29651303
TI - Reproducible, high-yielding, biological caproate production from food waste using
a single-phase anaerobic reactor system.
AB - Background: Nowadays, the vast majority of chemicals are either synthesised from
fossil fuels or are extracted from agricultural commodities. However, these
production approaches are not environmentally and economically sustainable, as
they result in the emission of greenhouse gases and they may also compete with
food production. Because of the global agreement to reduce greenhouse gas
emissions, there is an urgent interest in developing alternative sustainable
sources of chemicals. In recent years, organic waste streams have been
investigated as attractive and sustainable feedstock alternatives. In particular,
attention has recently focused on the production of caproate from mixed culture
fermentation of low-grade organic residues. The current approaches for caproate
synthesis from organic waste are not economically attractive, as they involve the
use of two-stage anaerobic digestion systems and the supplementation of external
electron donors, both of which increase its production costs. This study
investigates the feasibility of producing caproate from food waste (FW) without
the supplementation of external electron donors using a single-phase reactor
system. Results: Replicate leach-bed reactors were operated on a semi-continuous
mode at organic loading of 80 g VS FW l-1 and at solid retention times of 14 and
7 days. Fermentation, rather than hydrolysis, was the limiting step for caproate
production. A higher caproate production yield 21.86 +/- 0.57 g COD l-1 was
achieved by diluting the inoculating leachate at the beginning of each run and by
applying a leachate recirculation regime. The mixed culture batch fermentation of
the FW leachate was able to generate 23 g caproate COD l-1 (10 g caproate l-1),
at a maximum rate of 3 g caproate l-1 day-1 under high H2 pressure. Lactate
served as the electron donor and carbon source for the synthesis of caproate.
Microbial community analysis suggested that neither Clostridium kluyveri nor
Megasphaera elsdenii, which are well-characterised caproate producers in
bioreactors systems, were strongly implicated in the synthesis of caproate, but
that rather Clostridium sp. with 99% similarity to Ruminococcaceae bacterium CPB6
and Clostridium sp. MT1 likely played key roles in the synthesis of caproate.
This finding indicates that the microbial community capable of caproate synthesis
could be diverse and may therefore help in maintaining a stable and robust
process. Conclusions: These results indicate that future, full-scale, high-rate
caproate production from carbohydrate-rich wastes, associated with biogas
recovery, could be envisaged.
PMID- 29651304
TI - Managing diabetic foot infections: a survey of Australasian infectious diseases
clinicians.
AB - Background: Diabetic foot infections (DFI) present a major morbidity, mortality
and economic challenge for the tertiary health sector. However, lack of high
quality evidence for specific treatment regimens for patients with DFIs may
result in inconsistent management. This study aimed to identify DFI caseload
proportion and patterns of clinical practice of Infectious Diseases (ID)
Physicians and Trainees within Australia and New Zealand. Methods: A cross
sectional online survey of Australian and New Zealand ID Physicians and Trainees
was undertaken, to estimate the overall ID caseload devoted to patients with DFIs
and assess clinicians' management practices of patients with DFIs. Results:
Approximately 28% (142/499) of ID Physicians and Trainees from Australia and New
Zealand responded to the survey. DFI made up 19.2% of all ID consultations.
Involvement in multidisciplinary teams (MDT) was common as 77.5% (93/120) of
those responding indicated their patients had access to an inpatient or
outpatient MDT. Significant heterogeneity of antimicrobial treatments was
reported, with 82 unique treatment regimens used by 102 respondents in one
scenario and 76 unique treatment regimens used by 101 respondents in the second
scenario. The duration of therapy and the choice of antibiotics for
microorganisms isolated from superficial swabs also varied widely. Conclusions:
Patients with DFIs represent a significant proportion of an ID clinician's
caseload. This should be reflected in the ID training program. Large
heterogeneity in practice between clinicians reflects a lack of evidence from
well-designed clinical trials for patients with DFI and highlights the need for
management guidelines informed by future trials.
PMID- 29651305
TI - Student perceptions of the education environment in a Spanish medical podiatry
school.
AB - Background: The aim of this study was to explore students' perceptions of the
educational environment (EE) in a Spanish school of podiatry. Various aspects of
EE were compared by academic year in the program. Methods: This was a cross
sectional study using a questionnaire to collect perceptions using data from a
2015 survey. Podiatric medical students from Extremadura University participated
in this study. EE was assessed with the Dundee Ready Education Environment
Measure (DREEM) tool.The DREEM questionnaire covers five domains of student
perceptions, including learning, teachers, academic self-perceptions, atmosphere,
and social self-perceptions. Results: Two hundred thirty-five students
participated, resulting in a 90.73% response rate. Participants included similar
numbers of students from different years in the program, and most were women. The
global EE score was 2.58 out of 4, indicating that students' perceptions were
more positive than negative. Although some weaknesses were detected in this
school, students viewed the EE positively in all five DREEM domains. Academic
year in the program were generally not related to perceptions of EE. Conclusions:
Podiatric medical students declared, in general, that the EE was more positive
than negative in our school, according to the DREEM questionnaire. However,
although the results are on the whole good, some areas need to be revised to make
improvements.
PMID- 29651306
TI - Association of mean platelet volume with incident type 2 diabetes mellitus risk:
the Dongfeng-Tongji cohort study.
AB - Background: Most of prior studies to demonstrate the association between mean
platelet volume (MPV) and type 2 diabetes mellitus (T2DM) risk were cross
sectional design with inconsistent results. In the present prospective cohort
study, we aimed to explore the relationship between MPV and incident T2DM risk
among a middle-aged and older Chinese population. Methods: This prospective study
included 14,009 individuals derived from the Dongfeng-Tongji cohort which was
launched in 2008. A total of 997 incident T2DM patients were diagnosed during the
mean 4.51 years of follow-up period. MPV levels were divided into quartiles. The
adjusted hazard ratios (HRs) and 95% confidence intervals (95% CIs) of incident
T2DM was estimated by Cox proportional hazard models. Results: Compared with
study participants with MPV < 7.49 fL, the HRs of T2DM incidence were 1.39 (95%
CI 1.11-1.75), 1.14 (0.90-1.44), and 1.39 (95% CI 1.07-1.81) in study
participants with 7.49 <= MPV < 8.43 fL, 8.43 fL <= MPV < 9.69 fL and MPV >= 9.69
fL, respectively. This positive association was more pronounced after exclusion
of the newly diagnosed incident cases during the first 2 years follow-up. Further
adjustment for baseline fasting blood glucose level (FBG) did not materially
alter the positive association. The positive association was particularly evident
among females, non-current smokers and study participants with FBG level less
than 5.6 mmol/L at baseline. Conclusion: Higher levels of MPV were independently
associated with increased incident risk of T2DM in a middle-aged and older
Chinese population.
PMID- 29651307
TI - Effectiveness of gliclazide MR 60 mg in the management of type 2 diabetes:
analyses from the EASYDia trial.
AB - Background: Although the number of antihyperglycemic agents has expanded
significantly, sulfonylureas (in particular gliclazide) remain an important
option because of a variety of patient and health system factors. The large, real
world, observational, and international EASYDia trial evaluated the effectiveness
of gliclazide modified release (MR) 60 mg in individuals with type 2 diabetes
with a broad range of diabetes history, body mass index (BMI) and background
antihyperglycemic treatment. Methods: A total of 7170 participants from eight
countries, age >= 35 years with HbA1c >= 7.5% and not treated with insulin, were
prescribed 30-120 mg of gliclazide MR 60 mg once daily. HbA1c goals were
individualized and dosing uptitrated, as required, over the 6-month long study.
In this post hoc subanalysis, efficacy endpoints were analyzed according to
stratified baseline HbA1c levels, weight and glucose-lowering regimens. Episodes
of hypoglycemia requiring assistance were documented. Results: At baseline, mean
age was 58.9 years, HbA1c 8.8%, BMI 30.1 kg/m2, and diabetes duration 5.1 years.
At study end, clinically significant HbA1c improvements (mean change - 1.78%)
were noted across all baseline HbA1c strata (> 7.0 to <= 8.0%, > 8.0 to <= 9.0%,
> 9.0 to <= 10.0%, and > 10.0%), BMI classifications (18.5 to < 25.0, 25.0 to <
30.0, and >= 30.0 kg/m2), and regardless of the original diabetes treatment
regimen (P < 0.001 in all cases). In contrast to the subgroups with BMI 25.0-30.0
and >= 30.0 kg/m2 that registered weight losses of 0.9 and 2.2 kg, respectively
(P < 0.001 vs. baseline weight); the BMI 18.5-24.9 kg/m2 subgroup gained a mean
0.5 kg (P < 0.02 vs. baseline weight). Severe hypoglycemic events were rare
(0.06%). Conclusions: Progressive gliclazide MR 60 mg uptitration was well
tolerated and lowered HbA1c across a broad range of HbA1c, BMI and background
glucose-lowering therapy. Weight loss was noted when BMI was >= 25.0 kg/m2.
Individuals with the highest baseline HbA1c and BMI experienced the greatest
HbA1c and weight improvements.Trial registration ISRCTN Registry ISRCTN00943368
on 1st July 2011.
PMID- 29651308
TI - DART-MS analysis of inorganic explosives using high temperature thermal
desorption.
AB - An ambient mass spectrometry (MS) platform coupling resistive Joule heating
thermal desorption (JHTD) and direct analysis in real time (DART) was implemented
for the analysis of inorganic nitrite, nitrate, chlorate, and perchlorate salts.
The resistive heating component generated discrete and rapid heating ramps and
elevated temperatures, up to approximately 400 degrees C s-1 and 750 degrees C,
by passing a few amperes of DC current through a nichrome wire. JHTD enhanced the
utility and capabilities of traditional DART-MS for the trace detection of
previously difficult to detect inorganic compounds. A partial factorial design of
experiments (DOE) was implemented for the systematic evaluation of five system
parameters. A base set of conditions for JHTD-DART-MS was derived from this
evaluation, demonstrating sensitive detection of a range of inorganic oxidizer
salts, down to single nanogram levels. DOE also identified JHTD filament current
and in-source collision induced dissociation (CID) energy as inducing the
greatest effect on system response. Tuning of JHTD current provided a method for
controlling the relative degrees of thermal desorption and thermal decomposition.
Furthermore, in-source CID provided manipulation of adduct and cluster
fragmentation, optimizing the detection of molecular anion species. Finally, the
differential thermal desorption nature of the JHTD-DART platform demonstrated
efficient desorption and detection of organic and inorganic explosive mixtures,
with each desorbing at its respective optimal temperature.
PMID- 29651309
TI - Causes of Differences in The Uptake of Cardiac Implantation Electronic Devices in
Slovenia in Comparison To Other Countries.
AB - Introduction: The purpose of this study is to analyse and present the causes of
the differences in crude utilization rate in cardiac implantation electronic
devices, specifically pacemakers and automatic implantable cardioverter
defibrillators, across 5 European countries, with a specific emphasis on
Slovenia. Methods: Based on the results of the analysis of the uptake of cardiac
implantation electronic devices across countries studied in MedtecHTA project,
the targeted interviews were conducted to explain the factors that impact the
differences and explain data in Slovenia. Results: The reasons for the
differences in crude utilization rate across 5 European countries were multiple:
the first group of differences refers to the coding system and linkages between
coding and financing of health care. The second group of reasons can be
qualitatively ascribed to the economic situation, financial situation in health
care, and its impact on decision-making. The last reason is the non-existence of
the golden rule for optimal crude utilisation rate. Conclusions: It is evident
that the differences in the uptake of cardiac implantation electronic devices
among the countries are of organisational nature: they refer to the system of
coding, the importance attached to correct coding practices, the link between
coding and financing of health care as well as the availability of private
clinics and private insurance. According to the interviews, the economic
development of the country also impacts those differences, whereas the
differences in clinical practice and guidelines are claimed not to play a role in
the explanation of the differences.
PMID- 29651310
TI - A Temporal Profile of pro-abstinence-oriented Constructs from The Modified Theory
of Planed Behavior in a Slovenian Clinical Sample of Treated Alcoholics - an 18
year Follow-up.
AB - Introduction: Using the modified Theory of Planned Behaviour (mTPB), different
indicators of therapeutic success were studied to understand pro-abstinence
behavioural orientation during an 18-year after-care period following a 3-month
intensive alcoholism treatment. The indicators were: perceived needs satisfaction
(NS), normative differential (ND), perceived alcohol utility (UT), beliefs about
treatment programme benefits (BE) and behavioural intentions (BI). Methods: The
sample of 167 patients who consecutively started an intensive alcoholism
treatment programme has been followed-up for 18 years, using standardised ailed
instruments at the end of the treatment, and in the years 4-5, 9 and 18 of follow
up. The last data collection was completed by 32 subjects in 2010. The analysis
followed the standard explore-analyse-explore approach. After the initial
descriptive exploration of data, multivariate analysis of variance (MANOVA) in
SPSS statistical package was set to explore between-groups and within-groups
differences over time. Results: At the between-group level, BI remained stable at
the same level as at the end of the treatment programme, whereas BE and UT
robustly changed over time and levelled off after 10 years of follow-up. NS and
ND show a trend of pro-abstinent orientation and level off after 10 years of
follow-up, although the trend is not significant. The same results were confirmed
by the within-subject level. Conclusions: Studied constructs stabilised after ten
years of follow-up, apart from BI. The latter suggests that BI level needed for
completion of an intensive treatment programme suffices for the maintenance of
abstinence when accompanied by the change in perception of alcohol usefulness.
PMID- 29651311
TI - The Importance of The Occupational Vocal Load for The Occurence and Treatment of
Organic Voice Disorders.
AB - Introduction: The voice represents a basic working tool for carrying out certain
occupations. Hoarseness, as a consequence of vocal fold lesions, presents an
important cause of work-related absences for voice professionals. Methods: Our
study was designed as a retrospective cohort one. Data on gender, workplace,
vocal load and exposure to risk factors for voice disorders of the patients who
had surgery in the 2014-2015 period at the tertiary centre due to benign vocal
fold lesions were collected from their clinical records. We compared professional
voice users (PVU) to subjects with no vocal load at work (NPVU). The SPSS
programme, version 22.0, was used for statistical analysis. Results: From 2014 to
2015, 103 PVU and 132 NPVU were surgically treated for benign vocal fold lesions.
In comparison to the second group, loud speech use was reported significantly
more often by PVU (40.8% vs. 14.4%), as was a fast speaking rate (22.3% vs. 9.8%)
and additional vocal load outside of the workplace (23.3% vs. 12.9%). The time
that had passed between the occurrence of the hoarseness and the surgical
treatment did not differ between the groups. The majority of patients were
satisfied with the outcome of the operation. Conclusions: Nearly a half of the
operated patients had a considerable vocal load at work. An ENT assessment prior
to starting a job as well as priority phoniatric treatment of voice disorders for
PVU would significantly reduce the costs of work absences and contribute to a
speedier recovery and return to the workplace.
PMID- 29651312
TI - Increasing Postural Deformity Trends and Body Mass Index Analysis in School-age
Children.
AB - Introduction: The aim of the study was to analyse the deviations of the body
posture and to assess the occurrence of spine deformities. Additionally, Body
Mass Index in school children was related to the trend in postural deformities
for different age groups (5-8 years old, n=112; 9-11 years old, n=205; 12-14
years old, n=212) as part of the project "Spine Lab", granted from the European
Commission IPA founds, investigating the importance of public health issues.
Methods: Body posture was measured using Contemplas 3D software analyser, based
on video image trajectory and BIA weight scale (Tanita BC 420). Overall, 17
variables were assessed, and differences were confirmed using MANOVA analysis.
Results: The results showed that there is a significant difference between age
groups for the measured variables (F=9.27; p<0.01; eta2=0.26), suggesting a
moderate difference across the age span. Conclusion: The study results showed
that there is a negative trend of increasing Body Mass Index within the first and
youngest age group. The fact is that the trend of increasing deformity of the
shoulder belt has been noted, often inclining towards the formation of milder
forms of kyphotic posture. Other forms of deformity that are accentuated in the
survey results are the negative trend of increasing pelvic rotation and pelvis
rotation which inclines towards the formation of lordotic posture for all three
age groups.
PMID- 29651313
TI - Risk Factors for Osteoporosis in Postmenopausal Women - from The Point of View of
Primary Care Gynecologist.
AB - Introduction: Osteoporosis is a highly prevalent public health problem with
osteoporosis-related fractures that account for high morbidity and mortality.
Therefore, prevention strategies and early detection of osteoporosis should be
carried out in primary gynaecological care units, so as to substantially reduce
the risk of fractures and allow the best treatment option for a particular woman.
Methods: From 2002 to 2011, we recruited 2956 women. Of the total number of
women, we additionally extrapolated 1274 women aged 60-75 years, assumingly, the
group of women at higher risk of osteoporosis. Demographic and anthropometrical
data as well as the information regarding risk factors for osteoporosis were
collected using a questionnaire. Results: The odds ratio for osteoporosis
increased by 8% (p=0.001) with each additional year of life. The OP prevalence
increased with age from 24.9% in 60-64 years to 37.4% in 70-75 years. In non
smokers the odds ratio for osteoporosis was 0.424, which was statistically
significant (p<0.05). BMI <18.5 increased the odds ratio for osteoporosis by 2
times, which was not statistically significant. In women 60-75 years old
(N=1274), the risk of fractures increased with increasing age, considering
previous fractures in the last 5 years (p<0.001), hip fracture (p=0.001), wrist
fracture (p=0.002) and observed height loss (p<0.001). Hormone therapy (HT) use
decreased the prevalence of OP by 25% in comparison with non-users. Conclusion:
Primary care gynaecologist with a DXA centre has every opportunity for a holistic
approach to the management of postmenopausal women, including the prevention and
treatment of postmenopausal osteoporosis.
PMID- 29651314
TI - Health Behavior and Health-related Quality of Life in Patients with a High Risk
of Cardiovascular Disease.
AB - Background: Health-related quality of life (HRQoL) is measuring a patient's
experience of his health status and represents an outcome of medical
interventions. Existing data proves that a healthy lifestyle is positively
associated with HRQoL in all age groups. Patients with a high risk for
cardiovascular disease typically led an unhealthy lifestyle combined with risk
diseases. We aimed to analyse these characteristics and their reflection in
HRQoL. Methods: A cross-sectional study in 36 family practices, stratified by
location and size. Each practice invited 30 high-risk patients from the register.
Data were obtained from medical records and patient questionnaire. The EQ-5D
questionnaire and the VAS scale were used for measuring the patient's HRQoL as an
independent variable. Results: 871 patients (80.6% response rate) were included
in the analysis. 60.0% had 3-4 uncontrolled risk factors for CVD. The average VAS
scale was 63.2 (SD 19.4). The correlation of EQ-5D was found in the number of
visits in the practice (r=-0.31, p<0.001), the socioeconomic status (r=-0.25,
p=0.001), age (r=-0.27, p=0.001) and healthy diet (r=0.20, p=0.006). In a
multivariate model, only physical activity among lifestyle characteristics was an
independent predictor of HRQoL (p=0.001, t=3.3), along with the frequency of
visits (p<0.001, t=-5.3) and age (p=0.025, t=-2.2). Conclusion: This study has
been performed on a specific group of patients, not being "really sick", but
having less optimal lifestyle in many cases. Encouragement to improve or keep
healthy lifestyle, especially physical activity, is important, not only to lower
the risk for CVD, but also to improve HRQoL.
PMID- 29651315
TI - Slovenian Version of The European Deprivation Index at Municipal Level.
AB - Introduction: Ecological deprivation indices belong to essential instruments for
monitoring and understanding health inequalities. Our aim was to develop the SI
EDI, a newly derived European Deprivation Index for Slovenia. We intend to
provide researchers and policy-makers in our country with a relevant tool for
measuring and reducing the socioeconomic inequalities in health, and even at a
broader level. Methods: Data from the European survey on Income and Living
Conditions and Slovenian national census for the year 2011 were used in the SI
EDI construction. The concept of relative deprivation was used where deprivation
refers to unmet need(s), which is caused by lack of all kinds of resources, not
only material. The SI-EDI was constructed for 210 Slovenian municipalities. Its
geographical distribution was compared to the distribution of two existing
deprivation scores previously applied in health inequality research in Slovenia.
Results: There were 36% of adults recognized as deprived in Slovenia in 2011. SI
EDI was calculated using 10 census variables that were associated with individual
deprivation. A clear east-to-west gradient was detected with the most deprived
municipalities in the eastern part of the country. The two existing deprivation
scores correlate significantly with the SI-EDI. Conclusions: A new deprivation
index, the SI-EDI, is grounded on the internationally established scientific
concept, can be replicated over time and, crucially, provides an account of the
socioeconomic and cultural particularities of the Slovenian population. The SI
EDI could be used by the stakeholders and the governmental and nongovernmental
sectors in Slovenia, with the goal of better understanding health inequalities in
Slovenia.
PMID- 29651317
TI - Risk of Potential Exposure Incident in Non-healthcare Workers in Contact with
Infectious and Municipal Waste.
AB - Introduction: The proper classification of sharp and infectious waste in situ by
the healthcare workers is an important measure of prevention of sharps and other
exposure incidents in non-healthcare workers, who handle such waste. The aim was
to examine the practice of classifying sharp and infectious waste in family and
dental practices. Methods: An analysis of 50 bags of infectious and 50 bags of
municipal waste from five family and five dental practices for five days in
October 2016 at the Health centre Osijek. Results: Healthcare workers in 70% of
the practices deposited sharps in infectious waste. In 56% of infectious waste
bags, sharp object were found. More risky bags of infectious waste were produced
by family practices (64%), but with no significant differences in relation to
dental practices (48%), (P=0.143). Disposing of infectious into municipal waste
was the case in 90% of the practitioners, where in 60% of municipal waste bags,
infectious waste was disposed. Dental practices produced more risky bags of
municipal waste (76%) in relation to family practices (44%), but with no
significant difference (P=0.714). Conclusions: The results of this research point
to importance of performing audits of proper disposal of sharps and infectious
waste to reduce the risks of injury to non-healthcare workers who come into
contact with the said waste. Given results could be used for framing written
protocols of proper disposal of sharps and infectious waste that should be
visibly available in family and dental practices and for education of healthcare
workers.
PMID- 29651316
TI - Early Identification of Patients in Need of Palliative Care in Slovenian General
Practice.
AB - Background: To help general practitioners (GPs) in early identification of
patients with palliative care (PC) needs, this pilot study aimed to determine the
potential of the combined original surprise question (SQ1) ('Would I be surprised
if this patient died within the next 12 months?') and the second surprise
question (SQ2) ('Would I be surprised if this patient was still alive after 12
months?'). We hypothesized that answering these SQs would trigger them to make a
multidimensional care plan. Methods: 26 Slovenian GPs, randomized into 4 groups,
were invited to write a care plan for each of the four patients described in case
vignettes (2 oncologic, 1 organ failure and 1 frailty case). GPs in group 1 were
only asked to write a care plan for each patient. GPs in group 2 answered SQ1 and
GPs in groups 3 and 4 answered SQ1 and SQ2 before writing the care plan. The type
and number of PC aspects mentioned in the respective care plans were quantified
into a numeric RADboud ANTicipatory (RADIANT) score. Results: Mean RADIANT scores
in groups 1-4 were 2.2, 3.6, 2.5 and 3.1, respectively. When comparing the
different vignettes, vignette B (terminal oncologic patient) scored best (3.6).
Mean RADIANT scores in groups 3 and 4 were slightly higher for GPs who would be
surprised compared to GPs who would not be surprised if the patient was still
alive in 12 months. Conclusion: The combined SQs were considered helpful in the
early identification of patients in need of PC in Slovenian general practice.
PMID- 29651318
TI - The Prevalence of Elevated Blood Pressure in a Sample of Slovene Children and
Adolescents: a Pilot Study.
AB - Introduction: The aim of our study was to determine the prevalence of
prehypertensive and elevated blood pressure in the hypertensive range (elevated
BP) and obtain some anthropometric measures in Slovene children and adolescents.
Methods: In the cross-sectional study lasting one year, we measured BP using
mercury sphygmomanometers, as well as height, weight, waist, and hip
circumferences in schools. Data from regular check-ups (oscillometric
measurements) were also added to increase the sample size. Participants were 2-19
years old. For statistical analysis, we used two-sided multivariate analysis of
variance, Pearson's r, and chi-squared test. Results: From altogether 1594
participants, 723 (45.4%) were boys and 871 (54.6%) girls. The prevalence of
elevated BP on a single oscillometric blood-pressure measurement was 12.0% (95%
CI: 10.3 to 13.9), and an additional 13.9% (95% CI: 12.0 to 15.9) had
prehypertensive BP. In Riva-Rocci measurements, elevated BP was present in only
7.1% (95% CI: 4.9 to 10.1) and prehypertensive BP additionally in 3.9% (95% CI:
2.4 to 6.4) in comparison to oscillometric measurements, which showed higher
prevalence. Importantly, overweight participants had a 1.75 times greater
relative risk for prehypertensive BP (95% CI: 1.22 to 2.53; p<0.01). Obesity
carried a 1.79 times greater relative risk (95% CI: 1.22 to 2.63; p<0.01) for BP
outside of the normotensive BP range. Conclusion: Arterial hypertension is
becoming an important public health problem, especially due to the childhood
obesity. It seems to concern also Slovene young population with prevalence of
elevated BP at around 7.1% after a single auscultatory BP measurement.
PMID- 29651319
TI - Effects of Perinatal Factors on Body Mass Index and Physical Fitness of School
age Children.
AB - Objective: To examine the effects of various maternal and neonatal perinatal
factors on the child's body mass index (BMI) and physical fitness at school-age.
Methods: Data from two registries, the SLOfit database (a national surveillance
system of children's motor and physical development) and Slovenian National
Perinatal Information System (NPIS) were analysed. Perinatal data for 2,929
children born in 2008 were linked to results of SLOfit testing of these children
in 2016. Linear regression analysis was used to assess the potential relationship
between several perinatal factors (very preterm birth, birth mass, maternal age,
hypertensive disorders of pregnancy, gestational diabetes, parity, plurality,
maternal pre-pregnancy BMI, mode of delivery, presentation, Apgar score at 5
minutes, and admission to a neonatal intensive care unit (NICU)) and child's BMI
or child's physical fitness index (PFI) at the age of eight years. We also
included child's school grade and maternal educational level in the analysis. A p
value <0.05 was considered statistically significant. Results: Children born to
mothers with lower pre-pregnancy BMI and higher education had lower BMI and
higher PFI (p<0.001) at school-age. Physical fitness was also inversely
associated with nulliparity (p<0.001) and NICU admission (p=0.020). Conclusions:
Among all perinatal factors studied, higher maternal education and lower pre
pregnancy BMI seem to be the most significant determinants of child's BMI and
physical fitness at school-age.
PMID- 29651320
TI - Movement Activity Determination with Health-related Variables of University
Students in Kosice.
AB - Introduction: There is currently a strong scientific evidence about the negative
health consequences of physical inactivity. One of the potential tools for
promoting physical activity at the institutional level of the Ecological model is
to create conditions and settings that would enable pupils, students and
employees engage in some form of physical activity. However, physical activities
as a subject are being eliminated from the study programs at Slovak universities.
The purpose of the study was to find current evidence about the level of
structured physical activity and health-related variables in university students
in Kosice. Material and methods: The sample consisted of 1,993 or, more
precisely, 1,398 students who attended two universities in Kosice. To collect
data, students completed a questionnaire and were tested for body height, body
weight, circumferential measures and percentage body fat. Results: The university
students did not sufficiently engage in a structured physical activity. A large
number of students had either low or high values of percentage body fat and BMI
and high WHR values. Conclusions: Our findings have shown that the research into
physical activity of university students should receive more attention.
PMID- 29651321
TI - Specialty Training in Family Medicine in Montenegro - an Evaluation of The
Programme By the First Generation of Trainees.
AB - Introduction: One of the aims of health care reform in Montenegro is to
strengthen primary care. An important step forward is the implementation of
specialty training in family medicine (FM). The aim of this article is to
evaluate the implementation of specialty training in family medicine in
Montenegro, regarding the content, structure and methods, by the first generation
of trainees and the coordinator of the training. Methods: A questionnaire was
sent by mail in July and August 2017 to all 26 eligible trainees who started
specialty training in 2013. Twenty-two of the 26 trainees (84.6%) responded. The
questionnaire consisted of closed and open-ended questions related to the
evaluation of the training. A descriptive quantitative and qualitative analysis
with predefined themes and a semi-structured interview with the coordinator were
carried out. Results: The process of training in FM was assessed positively by
both trainees and the coordinator. The positive assessment included that the
specialisation course offered modern design through modules and practice, and
trainees both improved their existing knowledge and skills and acquired new ones
necessary for everyday work. The coordinator emphasised the importance of the
introduction of new teaching methods and formative assessment, the important role
of mentors, and the involvement of Slovenian colleagues in the teaching process
and supervision of the programme. Conclusions: The implementation of speciality
training in FM in Montenegro was successful. Several assessment methods were used
that can be further developed in individual structured feedback, which could
stimulate the continual improvement of trainees' knowledge and competencies.
PMID- 29651322
TI - Management of Frailty at Individual Level - Clinical Management: Systematic
Literature Review.
AB - Introduction: To deliver quality management of a frail individual, a clinician
should understand the concept of frailty, be aware of its epidemiology and be
able to screen for frailty and assess it when it is present, and, finally, to
recommend successful interventions. Methodology: A systematic literature search
was conducted in the following databases: PubMed, Cochrane, Embase, Cinahl and
UpToDate. The criterion in selecting the literature was that articles were
published in the period from 2002 to 2017. From 67432 initial hits, 27
publications were selected. Results: Useful interventions to address frailty are
supplementation of vitamin D, proper nutrition, multicomponent training, home
based physiotherapy and comprehensive geriatric assessment, particularly when
performed in geriatric wards. Conclusion: Comprehensive geriatric assessment is
an effective way to decrease frailty status especially when performed in
geriatric wards. Multicomponent physical training and multidimensional
interventions (physical training, nutrition, vitamin D supplementation and
cognitive training) are effective measures to reduce frailty.
PMID- 29651323
TI - Identification of Common Genes Refers to Colorectal Carcinogenesis with Paired
Cancer and Noncancer Samples.
AB - Colorectal cancer is a malignant tumor which harmed human beings' health. The aim
of this study was to explore common biomarkers associated with colorectal
carcinogenesis in paired cancer and noncancer samples. At first, fifty-nine pairs
of colorectal cancer and noncancer samples from three gene expression datasets
were collected and analyzed. Then, 181 upregulation and 282 downregulation common
differential expression genes (DEGs) were found. Next, functional annotation was
performed in the DAVID database with the DEGs. Finally, real-time polymerase
chain reaction (PCR) assay was conducted to verify the analyses in sixteen
colorectal cancer and individual-matched adjacent mucosa samples. Real-time PCR
showed that MCM2, RNASEH2A, and TOP2A were upregulated in colorectal cancer
compared with adjacent mucosa samples (MCM2, P < 0.001; RNASEH2A, P < 0.001;
TOP2A, P = 0.001). These suggested that 463 DEGs might contribute to colorectal
carcinogenesis.
PMID- 29651324
TI - Cerebellum Susceptibility to Neonatal Asphyxia: Possible Protective Effects of N
Acetylcysteine Amide.
AB - Background: After perinatal asphyxia, the cerebellum presents more damage than
previously suggested. Objectives: To explore if the antioxidant N-acetylcysteine
amide (NACA) could reduce cerebellar injury after hypoxia-reoxygenation in a
neonatal pig model. Methods: Twenty-four newborn pigs in two intervention groups
were exposed to 8% oxygen and hypercapnia, until base excess fell to -20 mmol/l
or the mean arterial blood pressure declined to <20 mmHg. After hypoxia, they
received either NACA (NACA group, n = 12) or saline (vehicle-treated group, n =
12). One sham-operated group (n = 5) served as a control and was not subjected to
hypoxia. Observation time after the end of hypoxia was 9.5 hours. Results: The
intranuclear proteolytic activity in Purkinje cells of asphyxiated vehicle
treated pigs was significantly higher than that in sham controls (p = 0.03).
Treatment with NACA was associated with a trend to decreased intranuclear
proteolytic activity (p = 0.08), There were significantly less mutations in the
mtDNA of the NACA group compared with the vehicle-treated group, 2.0 * 10-4 (+/
2.0 * 10-4) versus 4.8 * 10-5(+/-3.6 * 10-4, p < 0.05). Conclusion: We found a
trend to lower proteolytic activity in the core of Purkinje cells and
significantly reduced mutation rate of mtDNA in the NACA group, which may
indicate a positive effect of NACA after neonatal hypoxia. Measuring the
proteolytic activity in the nucleus of Purkinje cells could be used to assess the
effect of different neuroprotective substances after perinatal asphyxia.
PMID- 29651325
TI - The Relationship between TP53 Gene Status and Carboxylesterase 2 Expression in
Human Colorectal Cancer.
AB - Irinotecan (CPT-11) is an anticancer prodrug that is activated by the
carboxylesterase CES2 and has been approved for the treatment of many types of
solid tumors, including colorectal cancer. Recent studies with cell lines show
that CES2 expression is regulated by the tumor suppressor protein p53. However,
clinical evidence for this regulatory mechanism in cancer is lacking. In this
study, we examined the relationship between TP53 gene status and CES2 expression
in human colorectal cancer. Most colorectal cancer specimens (70%; 26 of 37)
showed lower CES2 mRNA levels (>=1.5-fold lower) than the adjacent normal tissue,
and only 30% (12 of 37) showed similar (<1.5-fold lower) or higher CES2 mRNA
levels. However, TP53 gene sequencing revealed no relationship between CES2
downregulation and TP53 mutational status. Moreover, while colorectal cancer
cells expressing wild-type p53 exhibited p53-dependent upregulation of CES2,
PRIMA-1MET, a drug that restores the transcriptional activity of mutant p53,
failed to upregulate CES2 expression in cells with TP53 missense mutations. These
results, taken together, suggest that CES2 mRNA expression is decreased in human
colorectal cancer independently of p53.
PMID- 29651326
TI - The Role of Serum CK18, TIMP1, and MMP-9 Levels in Predicting R0 Resection in
Patients with Gastric Cancer.
AB - Gastric cancer is the third most common cause of death in men and the fifth
common cause of death in women worldwide. Currently, available advanced imaging
modalities can predict R0 resection in most patients in the perioperative period.
The aim of this study is to determine the role of serum CK18, MMP-9, and TIMP1
levels in predicting R0 resection in patients with gastric cancer. Fifty
consecutive patients scheduled for curative surgery with gastric adenocancer
diagnosis between 2013 and 2015 were included in the study. One milliliter of
blood was taken from the patients included in the study to examine CK18, MMP-9,
and TIMP1. CK18, MMP-9, and TIMP1 levels were positively correlated with
pathological N and the stage (P < 0.05). The CK18, MMP-9, and TIMP1 averages of
those with positive clinical lymph nodes and those in clinical stage 3 were found
to be higher than the averages of those with negative clinical lymph nodes and
those in clinical stage 2 (P < 0.05). Although serum CK18, MMP-9, and TIMP1 preop
measurements in patients scheduled for curative surgery due to gastric
adenocarcinoma did not help to gain any idea of tumor resectability, we concluded
that our study had valuable results in significantly predicting N3 stage.
PMID- 29651327
TI - Performance of Urinary Markers for Detection of Upper Tract Urothelial Carcinoma:
Is Upper Tract Urine More Accurate than Urine from the Bladder?
AB - Objectives: To assess the performance of urine markers determined in urine
samples from the bladder compared to samples collected from the upper urinary
tract (UUT) for diagnosis of UUT urothelial carcinoma (UC). Patients and Methods:
The study comprised 758 urine samples either collected from the bladder (n = 373)
or UUT (n = 385). All patients underwent urethrocystoscopy and UUT imaging or
ureterorenoscopy. Cytology, fluorescence in situ hybridization (FISH),
immunocytology (uCyt+), and nuclear matrix protein 22 (NMP22) were performed.
Results: UUT UC was diagnosed in 59 patients (19.1%) (UUT urine) and 27 patients
(7.2%) (bladder-derived urine). For UUT-derived samples, sensitivities for
cytology, FISH, NMP22, and uCyt+ were 74.6, 79.0, 100.0, and 100.0, while
specificities were 66.6, 50.7, 5.9, and 66.7%, respectively. In bladder-derived
samples, sensitivities were 59.3, 52.9, 62.5, and 50.0% whereas specificities
were 82.9, 85.0, 31.3, and 69.8%. In UUT-derived samples, concomitant bladder
cancer led to increased false-positive rates of cytology and FISH. Conclusions:
Urine markers determined in urine collected from the UUT exhibit better
sensitivity but lower specificity compared to markers determined in bladder
derived urine. Concomitant or recent diagnosis of UC of the bladder can further
influence markers determined in UUT urine.
PMID- 29651328
TI - Serum Cytokine Profiles in Patients with Dengue Fever at the Acute Infection
Phase.
AB - Background: Dengue virus (DENV) is transmitted by mosquito and has been
circulating in Guangdong, China, for over 30 years. Dengue infection causes mild
to severe disease symptoms in human. Cytokine profiles were suggested to be
crucial especially during the acute stage in the dengue infection. Aim: To
determine the cytokine profiles at the acute stage in patients with primary or
secondary dengue infection in Guangzhou city in the 2014 outbreak. Methods: We
investigated 23 inflammatory cytokines in serum collected from dengue-infected
patients and analyzed their correlations with their clinical indexes. Results:
The concentrations of CXCL9, IP-10, CXCL11, IL-8, IL-10, and CCL2 in serum were
significantly higher in the groups of DENV-infected patients during the first two
weeks than those of control group while CCL17 and CXCL5 showed lower expression
level in the patients. Among these cytokines, CXCL9, CCL17, and CXCL5 showed
statistical difference between the groups of primary and secondary infections.
The platelet count and lactate dehydrogenase were correlated with the level of
CCL17 and MIP-1alpha/CXCL5, respectively, in the group of secondary infection.
Conclusions: We determined the cytokine profiles in serum of the patients during
the 2014 dengue outbreak. The expression of specific cytokines was associated
with the secondary infection.
PMID- 29651329
TI - Ischaemia of the medullary cone after stent-graft implantation in a patient with
abdominal aortic aneurysm - a case study.
AB - Preoperative visualization of the Adamkiewicz artery - the vessel which is to a
great extent responsible for supplying blood to the medullary cone - is an
important step which must be taken before initiating restorative procedures in
the aorta. We present a case of a 67-year-old patient who underwent an
intravascular stent-graft implantation procedure, due to clinical signs of
abdominal aortic aneurysm. Routine pre-operative computed tomography examination
failed to demonstrate the Adamkiewicz artery. On the second day after the
surgery, as a result of unexpected clinical deterioration, an magnetic resonance
imaging examination of the lumbar spine was carried out. Based on the magnetic
resonance imaging images and clinical manifestations the diagnosis of ischaemia
of the medullary cone was made. In our work we also present a deep analysis of
the anatomy of small-sized vessels supplying blood to the spinal cord and discuss
effective techniques which enable visualization of the Adamkiewicz artery.
PMID- 29651330
TI - Analysis of neuroanatomical differences in mice with genetically modified
serotonin transporters assessed by structural magnetic resonance imaging.
AB - Background: The serotonin (5-HT) system has long been implicated in autism
spectrum disorder (ASD) as indicated by elevated whole blood and platelet 5-HT,
altered platelet and brain receptor and transporter binding, and genetic linkage
and association findings. Based upon work in genetically modified mice, 5-HT is
known to influence several aspects of brain development, but systematic
neuroimaging studies have not previously been reported. In particular, the 5-HT
transporter (serotonin transporter, SERT; 5-HTT) gene, Slc6a4, has been
extensively studied. Methods: Using a 7-T MRI and deformation-based morphometry,
we assessed neuroanatomical differences in an Slc6a4 knockout mouse on a C57BL/6
genetic background, along with an Slc6a4 Ala56 knockin mouse on two different
genetic backgrounds (129S and C57BL/6). Results: Individually (same sex, same
background, same genotype), the only differences found were in the female Slc6a4
knockout mouse; all the others had no significant differences. However, an
analysis of variance across the whole study sample revealed a significant effect
of Slc6a4 on the amygdala, thalamus, dorsal raphe nucleus, and lateral and
frontal cortices. Conclusions: This work shows that an increase or decrease in
SERT function has a significant effect on the neuroanatomy in 5-HT relevant
regions, particularly the raphe nuclei. Notably, the Slc6a4 Ala56 knockin alone
appears to have an insignificant, but suggestive, effect compared to the KO,
which is consistent with Slc6a4 function. Despite the small number of 5-HT
neurons and their localization to the brainstem, it is clear that 5-HT plays an
important role in neuroanatomical organization.
PMID- 29651331
TI - Operationalizing atypical gaze in toddlers with autism spectrum disorders: a
cohesion-based approach.
AB - Background: Multiple eye-tracking studies have highlighted the "atypical" nature
of social attention in autism. However, it is unclear how "atypical" or "typical"
should be quantified. Methods: We developed a method for identifying moments when
members of a group looked at similar places (High-Cohesion Time Frames; HCTFs).
We defined typicality as the proximity of gaze points to typically developing
(TD) gaze points during TD HCTFs. Comparing toddlers with ASD (n = 112) to
developmentally delayed (DD, n = 36) and TD (n = 163) toddlers during a video
with Dyadic Bid, Sandwich-Making, Joint Attention, and Animated Toys conditions,
we examined (a) individual typicality scores, (b) the relationship between
typicality and symptom severity, and (c) HCTF distributions associated with each
diagnostic group. Results: The ASD group had lower gaze typicality scores
compared to the TD and DD groups in the Dyadic Bid and Sandwich-Making conditions
but not during Animated Toys. The DD and TD groups did not differ in any
condition. Correlational analyses indicated that higher typicality scores were
associated with increased looking at pre-planned locations of the scene indexed
by each experimental condition. In the ASD group, lower gaze typicality was
associated with more severe autism symptoms. Examining ASD HCTFs, the gaze of
toddlers with ASD was least cohesive during Dyadic Bid and most cohesive during
Animated Toys. Conclusion: In contrast to non-ASD groups, toddlers with ASD show
high cohesion during salient nonsocial events, suggesting that consistency in
looking strategies may depend more on perceptual features. These findings are
consequential for understanding individual differences in visual attention in ASD
and for the design of more sensitive biomarker tasks for stratification, between
group differentiation, and measuring response to treatment.
PMID- 29651332
TI - STAR FORMATION AT Z = 2.481 IN THE LENSED GALAXY SDSS J1110+6459: STAR FORMATION
DOWN TO 30 PARSEC SCALES.
AB - We present measurements of the surface density of star formation, the star
forming clump luminosity function, and the clump size distribution function, for
the lensed galaxy SGAS J111020.0+645950.8 at a redshift of z =2.481. The physical
size scales that we probe, radii r = 30-50 pc, are considerably smaller scales
than have yet been studied at these redshifts. The star formation surface density
we find within these small clumps is consistent with surface densities measured
previously for other lensed galaxies at similar redshift. Twenty-two percent of
the rest-frame ultraviolet light in this lensed galaxy arises from small clumps,
with r <100 pc. Within the range of overlap, the clump luminosity function
measured for this lensed galaxy is remarkably similar to those of z ~ 0 galaxies.
In this galaxy, star-forming regions smaller than 100 pc-physical scales not
usually resolved at these redshifts by current telescopes-are important locations
of star formation in the distant universe. If this galaxy is representative, this
may contradict the theoretical picture in which the critical size scale for star
formation in the distant universe is of order 1 kiloparsec. Instead, our results
suggest that current telescopes have not yet resolved the critical size scales of
star-forming activity in galaxies over most of cosmic time.
PMID- 29651333
TI - A novel organ preservation solution with efficient clearance of red blood cells
improves kidney transplantation in a canine model.
AB - Organ preservation solutions are designed to minimize organ damage during
transplantation. A novel preservation solution, WMO-II, was developed to have a
low viscosity and to improve microvasculature perfusion for kidneys. In an
autologous canine transplantation model, kidney function and recovery were
evaluated after organs were flushed and cold-stored with WMO-II or HTK solution,
a perfusate currently approved for clinical use. The average number of red blood
cells remaining in a single glomerulus after flushing with WMO-II was
significantly reduced when compared with HTK solution. Additionally, WMO-II
reduced the number of apoptotic bodies in stored kidneys compared to HTK treated
tissue after 48 h of cold storage by reducing expression of Caspase-9, BiP, Chop,
and Caspase-12. WMO-II solution reduced serum creatinine levels and serum
potassium in kidneys stored for 48 h when compared to HTK perfusion. WMO-II
preserves kidney function as evidenced by the reduction in serum creatinine and
potassium during graft transplantation.
PMID- 29651335
TI - Light-Induced Remodeling of Physically Crosslinked Hydrogels Using Near-IR
Wavelengths.
AB - Poly([6-bromo-7-hydroxycoumarin-4-yl]methyl methacrylate)-based triblock
copolymers were synthesized by first preparing PMAA-PEG-PMAA triblocks using
ATRP. 6-Bromo-4-chloromethyl-7-hydroxycoumarin was conjugated to PMAA-PEG-PMAA
using 1,8-diazabicycloundec-7-ene (DBU). Rheological measurements were conducted
using a HR-2 rheometer with a UV illumination accessory (TA Instruments). Single
photon uncaging was performed as previously described.20 Two-photon uncaging was
performed using a LSM (Zeiss) equipped with a Ti: Sapphire laser (Coherent). All
values reported as mean +/- std. dev. unless otherwise stated. See Supporting
Information for experimental details.
PMID- 29651334
TI - The evaluation of risk prediction models in predicting outcomes after bariatric
surgery: a prospective observational cohort pilot study.
AB - Background: As the prevalence of obesity is increasing, the number of patients
requiring surgical intervention for obesity-related illness is also rising. The
aim of this pilot study was to explore predictors of short-term morbidity and
longer-term poor weight loss after bariatric surgery. Methods: This was a single
centre prospective observational cohort pilot study in patients undergoing
bariatric surgery. We assessed the accuracy (discrimination and calibration) of
two previously validated risk prediction models (the Physiological and Operative
Severity Score for the enumeration of Morbidity and Mortality, POSSUM score, and
the Obesity Surgical Mortality Risk Score, OS-MS) for postoperative outcome
(postoperative morbidity defined using the Post Operative Morbidity Survey). We
then tested the relationship between postoperative morbidity and longer-term
weight loss outcome adjusting for known patient risk factors. Results: Complete
data were collected on 197 patients who underwent surgery for obesity or obesity
related illnesses between March 2010 and September 2013. Results showed POSSUM
and OS-MRS were less accurate at predicting Post Operative Morbidity Survey
(POMS)-defined morbidity on day 3 than defining prolonged length of stay due to
poor mobility and/or POMS-defined morbidity. Having fewer than 28 days alive and
out of hospital within 30 days of surgery was predictive of poor weight loss at 1
year, independent of POSSUM-defined risk (odds ratio 2.6; 95% confidence interval
1.28-5.24). Conclusions: POSSUM may be used to predict patients who will have
prolonged postoperative LOS after bariatric surgery due to morbidity or poor
mobility. However, independent of POSSUM score, having less than 28 days alive
and out of hospital predicted poor weight loss outcome at 1 year. This adds to
the literature that postoperative complications are independently associated with
poor longer-term surgical outcomes.
PMID- 29651336
TI - PI3K, p38 and JAK/STAT signalling in bronchial tissue from patients with asthma
following allergen challenge.
AB - Background: Inhaled allergen challenges are often used to evaluate novel asthma
treatments in early phase clinical trials. Current novel therapeutic targets in
asthma include phosphoinositide 3-kinases (PI3K) delta and gamma, p38 mitogen
activated protein kinase (p38) and Janus kinase/Signal Transducer and Activator
of Transcription (JAK/STAT) signalling pathways. The activation of these pathways
following allergen exposure in atopic asthma patients it is not known. Methods:
We collected bronchial biopsies from 11 atopic asthma patients at baseline and
after allergen challenge to investigate biomarkers of PI3K, p38 MAPK and JAK/STAT
activation by immunohistochemistry. Cell counts and levels of eosinophil cationic
protein and interleukin-5 were also assessed in sputum and bronchoalvelar lavage.
Results: Biopsies collected post-allergen had an increased percentage of
epithelial cells expressing phospho-p38 (17.5 vs 25.6%, p = 0.04), and increased
numbers of sub-epithelial cells expressing phospho-STAT5 (122.2 vs 540.6
cells/mm2, p = 0.01) and the PI3K marker phospho-ribosomal protein S6 (180.7 vs
777.3 cells/mm2,p = 0.005). Type 2 inflammation was increased in the airways post
allergen, with elevated levels of eosinophils, interleukin-5 and eosinophil
cationic protein. Conclusions: Future clinical trials of novel kinase inhibitors
could use the allergen challenge model in proof of concept studies, while
employing these biomarkers to investigate pharmacological inhibition in the
lungs.
PMID- 29651337
TI - Weaving the health and pharmaceutical care agenda through the themes of the
commonwealth heads of government meeting (CHOGM), London 2018.
AB - The biennial Commonwealth Heads of Government Meeting (CHOGM) this year is based
around four key themes: prosperity, fairness, sustainability and security. This
is an opportune time to consider the role of pharmacists in healthcare delivery,
and particularly their contribution to achieving the United Nations Sustainable
Development Goals (SDGs). As a member of the Commonwealth Health Professions
Alliance (CHPA), the Commonwealth Pharmacists Association (CPA) has been working
to ensure that pharmacy-related aspects of health are represented in the advocacy
papers submitted by Civil Society. Echoing the CHPA's priorities around SDG 3
(health) and the attainment of sustainable universal health coverage (UHC), the
CPA has been raising the profile of key priorities for our members, including:
addressing the shortage of healthcare workers (with an emphasis on pharmacists);
need for access to quality medicines and medicines information; tackling anti
microbial resistance and substandard/falsified medicines; and championing the
role of digitalisation and partnerships. This editorial discusses how the work of
the CPA links with the themes of CHOGM, the CPA's input into this meeting and the
direction of travel 'Towards a Common Future' for health and pharmacy in the
Commonwealth.
PMID- 29651338
TI - EO-1 data quality and sensor stability with changing orbital precession at the
end of a 16 year mission.
AB - The Earth Observing One (EO-1) satellite has completed 16 years of Earth
observations in early 2017. What started as a technology mission to test various
new advancements turned into a science and application mission that extended many
years beyond the satellite's planned life expectancy. EO-1's primary instruments
are spectral imagers: Hyperion, the only civilian full spectrum spectrometer (430
2400 nm) in orbit; and the Advanced Land Imager (ALI), the prototype for Landsat
8's pushbroom imaging technology. Both Hyperion and ALI instruments have
continued to perform well, but in February 2011 the satellite ran out of the fuel
necessary to maintain orbit, which initiated a change in precession rate that led
to increasingly earlier equatorial crossing times during its last five years. The
change from EO-1's original orbit, when it was formation flying with Landsat-7 at
a 10:01am equatorial overpass time, to earlier overpass times results in image
acquisitions with increasing solar zenith angles (SZAs). In this study, we take
several approaches to characterize data quality as SZAs increased. Our results
show that for both EO-1 sensors, atmospherically corrected reflectance products
are within 5 to 10% of mean pre-drift products. No marked trend in decreasing
quality in ALI or Hyperion is apparent through 2016, and these data remain a high
quality resource through the end of the mission.
PMID- 29651339
TI - Comparison of Optical Coherence Tomography Angiography and Laser Speckle
Flowgraphy for the Diagnosis of Normal-Tension Glaucoma.
AB - Purpose: To compare optical coherence tomography angiography (OCT-A) and laser
speckle flowgraphy (LSFG) for the diagnosis of normal-tension glaucoma (NTG).
Methods: Twenty-eight eyes of 28 patients with NTG and 25 eyes of 25 normal
subjects matched for age, refractive errors, systemic blood pressure, and central
corneal thickness were evaluated. OCT-A was used to measure whole image vessel
density, inside disc vessel density, and peripapillary vessel density; using
LSFG, mean blur rate (MBR) inside the whole optic nerve head (ONH) area (MBRA),
and MBR of the vessel area (MBRV) and tissue area (MBRT) inside the ONH, were
determined. Receiver operating characteristic (ROC) curves and areas under the
ROC (AUROC) were used to assess the diagnostic ability of each variable. Results:
The AUROC for OCT-A whole image vessel density (0.950) was significantly greater
than that for OCT-A peripapillary vessel density (0.830) and for all LSFG
parameters (MBRA = 0.793, MBRV = 0.601, and MBRT = 0.61) (P < 0.001). The AUROC
for OCT-A inside disc vessel density (0.931) was significantly greater than that
for all LSFG parameters (P < 0.005). Conclusions: OCT-A vessel density had a
higher glaucoma diagnostic ability compared to all LSFG parameters in patients
with NTG.
PMID- 29651340
TI - Changes in Flow Density Measured Using Optical Coherence Tomography Angiography
after iStent Insertion in Combination with Phacoemulsification in Patients with
Open-Angle Glaucoma.
AB - Purpose: To evaluate changes in flow density after the implantation of a
trabecular microbypass stent (iStent) in combination with cataract surgery.
Methods: A total of 48 eyes of 48 patients, who underwent either cataract surgery
alone (cataract group) or cataract surgery with implantation of two iStent inject
devices (iStent group), were prospectively included in this study. Intraocular
pressure (IOP) and flow density data before and after surgery were extracted and
analyzed. Results: In the iStent group, the mean IOP was 18.2 +/- 3.3 mmHg prior
to surgery and 13.2 +/- 2.3 at follow-up, and this difference was statistically
significant (p < 0.001). The mean IOP in the cataract group also improved
significantly after surgery (before: 17.1 +/- 2.4; after: 15.1 +/- 2.7 p =
0.003). The flow density (whole en face) in the superficial and deep retinal OCT
angiogram of the macula (superficial: p = 0.002; deep: p = 0.034) and in the ONH
(p = 0.011) improved significantly after surgery in the iStent group. The
differences in the cataract group were not significant. Conclusions: Flow density
of the macula and ONH, as measured by OCTA, improved significantly after cataract
surgery with iStent. Noninvasive quantitative analyses of flow density provide a
new parameter, which can help for the monitoring of therapy success after
glaucoma surgery.
PMID- 29651341
TI - The Comparison of Regional RNFL and Fundus Vasculature by OCTA in Chinese Myopia
Population.
AB - Purpose: To determine the correlations between peripapillary vessel density,
retinal nerve fibre layer (RNFL) thickness, and myopic indices at retina
quadrants with optical coherence tomography angiography (OCTA) in Chinese.
Methods: Fifty-six subjects with a mean spherical equivalent (MSE) of -3.63 +/-
0.29 D were included. Peripapillary RNFL thickness and retinal vessel density in
four sectors (superior, nasal, inferior, and temporal quadrants) were determined
by OCTA, and correlations of the main outcomes were analyzed. Results: Negative
correlations were found between the peripapillary RNFL thickness and axial length
(AL) at superior (r = -0.335, P = 0.001) and inferior (r = -0.551, P < 0.001)
quadrants. There was a significant positive correlation with spherical equivalent
(SE) at the corresponding quadrants as well as at the nasal quadrant (r = 0.339,
P = 0.001; r = 0.379, P < 0.001; and r = 0.209, P = 0.039, resp.). Peripapillary
retinal vessel density was also negatively correlated with AL at the nasal
quadrant (r = -0.392, P < 0.001), and only at the nasal quadrant, there was a
positive correlation between the peripapillary vessel density and SE (r = 0.319,
P = 0.001). Conclusions: The degree of myopia and elongation of AL were
negatively correlated with peripapillary RNFL thickness at superior and inferior
quadrants and with peripapillary retinal vessel density at the nasal quadrant.
PMID- 29651342
TI - Factors Related to a Right-Left Difference in Visual Field Defect in the Eyes
with Untreated Normal Tension Glaucoma.
AB - Purpose: To investigate factors related to a right-left difference in visual
field defect in untreated normal tension glaucoma (NTG). Methods: The medical
records of 92 patients with untreated NTG were reviewed. Ocular blood flow was
evaluated with laser speckle flowgraphy, and the mean blur rate (MBR) at the
optic nerve head was analyzed. Relationships between right-left differences in
mean deviation (MD), intraocular pressure, MBR, spherical equivalent, central
corneal thickness, and mean ocular perfusion pressure were evaluated using
Spearman's rank correlation coefficient. Multiple regression analysis was used to
detect factors contributing to a right-left difference in MD. Results: The right
left difference in MD was correlated with differences in intraocular pressure (r
= -0.263, P = 0.011), MBR (r = 0.417, P < 0.001), and spherical equivalent (r =
0.213, P = 0.042), but not with central corneal thickness or mean ocular
perfusion pressure. Multiple regression analysis showed that a difference in MBR
was the only significant contributor to a right-left difference in MD (slope
0.047, 95% confidence interval 0.025-0.069; P < 0.001). Conclusion: In untreated
NTG, a difference in blood flow at the optic nerve head was a significant
contributor to a right-left difference in visual field defect.
PMID- 29651343
TI - Through-Focus Vision Performance and Light Disturbances of 3 New Intraocular
Lenses for Presbyopia Correction.
AB - Purpose: To compare the through-focus visual performance in a clinical population
of pseudophakic patients implanted with two new trifocal intraocular lenses
(IOLs) and one extended depth of focus IOL. Methods: Prospective, nonrandomized,
examiner-masked case series. Twenty-three patients received the FineVision(r) and
seven patients received the PanOptixTM trifocal IOLs. Fifteen patients received
the Symfony extended depth of focus IOL. Mean age of patients was 63 +/- 8 years.
Through-focus visual acuity was measured from -3.00 to +1.00 D vergences.
Contrast sensitivity was measured with and without a source of glare. Light
disturbances were evaluated with the Light Distortion Analyzer. Results: Though
focus evaluation showed that trifocal IOLs performed significantly better at near
distance (33 and 40 cm), and extended depth of focus performed significantly
better at intermediate distance (1.0 m). Contrast sensitivity function with glare
and dysphotopsia was similar between the three IOLs and subjective response to
questionnaire showed a significantly higher score (worse performance) for the
extended depth of focus IOL compared to both trifocal IOLs in the bothersome
subscale (p < 0.05). Conclusions: Trifocal IOLs grant better performance at near
distance while extended depth of focus IOL performs better at intermediate
distance. Objective dysphotopsia measured with the Light Distortion Analyzer is
not reduced in extended depth of focus IOL compared to trifocal IOLs.
PMID- 29651344
TI - Etiologic Causes and Epidemiological Characteristics of Patients with Intraocular
Foreign Bodies: Retrospective Analysis of 1340 Cases over Ten Years.
AB - Objective: Patients with intraocular foreign bodies were retrospectively
analyzed. Population characteristics, pathogenic factors, and the outcomes during
the past ten years were discussed. Design: Retrospective case series study.
Method: Medical records of 1340 patients hospitalized in Beijing Tongren Hospital
from January 1, 2004, to December 31, 2013, were collected. Results: Average age
was 33.0 +/- 13.8 (1-76) years old in 1340 patients. There were more males (1270,
94.8%) than females (70, 5.2%). Patients from outside of Beijing (82.1%, n =
1100) prevailed. Farmers (32.1%, n = 430) and workers (22.3%, n = 299) were the
top two affected professions. Leading two causes were splashing of foreign bodies
(SFB) (58.6%, n = 785) and explosives (31.8%, n = 426). More males than females
were injured by SFB (59.4% versus 44.3%, P = 0.009). Firework injury was the
commonest (41.6% versus 3.1%-15.3%, P < 0.05) in patients under nine. The annual
percentages in patients over 50 increased (P < 0.001) and in patients by
explosives decreased (P = 0.027). Conclusion: Most patients in this study were
young males from outside of Beijing and farmers. SFB accounted most for patients
over 10 years old and fireworks for those under ten. Patients over 50 increased
while those by explosives decreased annually over the period.
PMID- 29651345
TI - Subthreshold Photocoagulation Using Endpoint Management in the PASCAL(r) System
for Diffuse Diabetic Macular Edema.
AB - We evaluated subthreshold photocoagulation using endpoint management (EPM) for
the treatment of diabetic macular edema (DME). The study enrolled 10 eyes from 10
patients (6 men and 4 women) with DME. The entry criteria included central
macular thickness (CMT) >= 300 MUm and decimal visual acuity (VA) <= 0.5. The
primary endpoints were VA (logMAR) and CMT at 6 months follow-up. Secondary
endpoints included fundus autofluorescence, macular volume (MV), and macular
sensitivity (MS). We used the PASCAL Streamline Yellow(r) (wavelength, 577 nm)
system to perform grid pattern laser photocoagulation at 50% of the threshold
(size, 100 MUm; duration, 0.015 s; spacing, 0.5; and energy, 4.5-7.8 mJ). At 6
months posttreatment, CMT was significantly decreased, while there were no
significant changes in macular sensitivity, mean BCVA (logMAR), or macular
volume. Autofluorescence imaging revealed no changes after treatment in 6 of 10
eyes. No eyes exhibited subjective symptoms of scotoma after photocoagulation.
Optical coherence tomography showed the complete resolution of macular edema in 4
eyes (40%) after a single treatment; MS was increased in all 4 of these eyes at 6
months posttreatment. In conclusion, subthreshold photocoagulation using EPM is
safe and effective for DME treatment and preserves MS. This trial is registered
with UMIN000012401.
PMID- 29651346
TI - Choriocapillaris Loss in Advanced Age-Related Macular Degeneration.
AB - The purpose of this review is to summarize the current knowledge on
choriocapillaris loss in advanced age macular degeneration (AMD). Several
histopathological studies in animal models and human eyes had showed that the
choriocapillaris density decreases with age. However, the role of
choriocapillaris loss is still unclear in AMD and its advanced forms, either
choroidal neovascularization (CNV) or geographic atrophy (GA). Some authors have
hypothesized that choriocapillaris loss might precede overt retinal pigment
epithelium atrophy. Others have hypothesized that deposition of complement
complexes on and around the choriocapillaris could be related to the tissue loss
observed in early AMD. The development of imaging modalities, such as optical
coherence tomography angiography (OCTA), have led to a better understanding of
underlying physiopathological mechanisms in AMD. OCTA showed atrophy of
choriocapillaris underneath and beyond the region of photoreceptors and RPE loss,
in agreement with previous histopathologic studies. The evolution of OCTA
technology suggests that CNV seems to originate from regions of severe
choriocapillaris alteration. Significant progress has been made in the
understanding of development and progression of GA and CNV. In vivo investigation
of the choriocapillaris using OCTA may lead to new insights related to underlying
disease mechanisms in AMD.
PMID- 29651347
TI - Correlation between CHA2DS2-VASc Score and Glaucoma Treatment and Prognosis.
AB - Purpose: To find if CHA2DS2-VASc scale can accurately predict the treatment,
prognosis, and outcome for primary open-angle glaucoma (POAG). Patients and
methods: A survey of 250,000 patient years was taken, using the records of the
Ophthalmology Department at Ziv Medical Center. Data was collected regarding the
retinal nerve fiber layer (RNFL), visual field (VF), line of treatment (LOT) of
glaucoma, and all the data needed to accurately calculate CHA2DS2-VASc score for
each patient. Results: Sixty-seven patients were included in the statistical
analysis. The mean age was 72.5 years. The mean CHA2DS2-VASc score was 3.27 +
1.7. Positive Pearson's correlation coefficients were found for LOT and CHA2DS2
VASc score, 0.35, and for RNFL grade and CHA2DS2-VASc score, 0.37. The
correlation was negative for RNFL width and CHA2DS2-VASc score, -0.35.
Conclusions: CHA2DS2-VASc score was shown to be correlated with glaucoma. This
correlation was manifested positively by the LOT needed to stop glaucoma
progression, with higher CHA2DS2-VASc scores correlated with more aggressive
treatment. Since glaucoma is a disease with a progressing nature, it is important
to treat patients aggressively on one hand, while offering the most benign
treatment as possible on the other hand. Modification of the CHA2DS2-VASc score
could achieve an even higher correlation.
PMID- 29651348
TI - DNA Methylation Status of the Interspersed Repetitive Sequences for LINE-1, Alu,
HERV-E, and HERV-K in Trabeculectomy Specimens from Glaucoma Eyes.
AB - Background/Aims: Epigenetic mechanisms via DNA methylation may be related to
glaucoma pathogenesis. This study aimed to determine the global DNA methylation
level of the trabeculectomy specimens among patients with different types of
glaucoma and normal subjects. Methods: Trabeculectomy sections from 16 primary
open-angle glaucoma (POAG), 12 primary angle-closure glaucoma (PACG), 16
secondary glaucoma patients, and 10 normal controls were assessed for DNA
methylation using combined-bisulfite restriction analysis. The percentage of
global methylation level of the interspersed repetitive sequences for LINE-1,
Alu, HERV-E, and HERV-K were compared between the 4 groups. Results: There were
no significant differences in the methylation for LINE-1 and HERV-E between
patients and normal controls. For the Alu marker, the methylation was
significantly lower in all types of glaucoma patients compared to controls (POAG
52.19% versus control 52.83%, p = 0.021; PACG 51.50% versus control, p = 0.005;
secondary glaucoma 51.95% versus control, p = 0.014), whereas the methylation
level of HERV-K was statistically higher in POAG patients compared to controls
(POAG 49.22% versus control 48.09%, p = 0.017). Conclusions: The trabeculectomy
sections had relative DNA hypomethylation of Alu in all glaucoma subtypes and
relative DNA hypermethylation of HERV-K in POAG patients. These methylation
changes may lead to the fibrotic phenotype in the trabecular meshwork.
PMID- 29651349
TI - Listeria monocytogenes Infection in Hairy Cell Leukemia: A Case Report and
Literature Review.
AB - Listeria monocytogenes infections have been described in patients with diverse
types of malignancy, especially leukemia. We report the case of a 65-year-old man
with previously untreated hairy cell leukemia characterized by CD5 positivity and
trisomy 12 (3% of blood lymphocytes) who developed bacteremia due to L.
monocytogenes serotype 1/2b. We summarize clinical features and treatment of this
patient and five previously reported patients with hairy cell leukemia who also
had L. monocytogenes infections. All six patients were men. Their mean age at
infection diagnosis was 70 y. Three men had undergone splenectomy 4-11 y before
they developed L. monocytogenes infection. The central nervous system was the
primary site of infection in four men. Bacteremia alone occurred in two other
men. At diagnosis of infection, one man was receiving antileukemia chemotherapy
and another man was receiving treatment for Kaposi's sarcoma. Two other patients
had other comorbid conditions. All six men recovered from their infections.
PMID- 29651350
TI - Dural Plasmacytoma with Meningeal Myelomatosis in a Patient with Multiple
Myeloma.
AB - Here, we describe the case of a 66-year-old male diagnosed with multiple myeloma
who presented with generalized tonic-clonic seizures. Magnetic resonance imaging
demonstrated a right solid extra-axial parieto-occipital lesion with typical
characteristics of meningeal myelomatosis. Biopsy was performed, which diagnosed
a dural plasmacytoma. Because of this, we started concomitant therapy with
radiotherapy and lenalidomide, but the patient has a poor response to treatment
and died few weeks after its initiation. Myelomatous involvement of the dura
mater is a rare occurrence, given that only few cases were reported in the
English literature. This presentation confers an ominous prognosis and must be a
suspect diagnosis in patients diagnosed with multiple myeloma presenting
neurological symptoms.
PMID- 29651351
TI - Acquired von Willebrand Disease Associated with Mantle Cell Lymphoma.
AB - We present a rare case of acquired von Willebrand syndrome (AVWS) caused by a
mantle cell lymphoma. A 61-year-old male suffered from recurrent bleeding
symptoms since a few months. Initially, physical examination was normal. von
Willebrand factor antigen (VWF:Ag) level and factor VIII activity (FVIII:C) were
low (0.31 IU/ml and 0.43 IU/ml, resp.). Ristocetin cofactor activity (VWF:RCo)
was 0.09 IU/ml, and collagen binding activity (VWF:CB) was 0.10 IU/ml.
VWF:RCo/VWF:Ag ratio was 0.29, and RIPA value was normal. Highest molecular
weight VWF multimers were absent. A diagnosis of von Willebrand Disease (VWD)
type 2A was made. However, no genetic mutation was found. No inhibitory
antibodies against VWF or factor VIII were detected. A few months later,
cervical, axillary, and inguinal lymphadenopathy was found on physical
examination. A CT scan confirmed multiple enlarged lymph nodes, and a clonal B
cell population matching a mantle cell lymphoma was detected in the bone marrow.
Chemoimmunotherapy resulted in a very good partial remission and concomitantly in
a rapid decrease of bleeding problems and complete normalization of FVIII:C and
VWF:Ag. The diagnosis of AVWS cannot be rejected by negative mixing studies due
to difficulties in the detection of autoantibodies and because of a highly
heterogeneous pathogenesis of AVWS. When the suspicion of AVWS is high, an
extensive investigation should be performed to find the underlying cause.
PMID- 29651352
TI - Prolonged Survival of Acute Lymphoblastic Leukemia with Intrathecal Treatments
for Isolated Central Nervous System Relapse.
AB - Acute lymphoblastic leukemia is commonly cured when diagnosed in the pediatric
population. It portends a poorer prognosis if present in adult patients. Although
adults frequently achieve complete remission, relapse rates are substantial,
particularly among the elderly and high-risk populations. In the absence of
prophylactic intrathecal chemotherapy, more than half of patients may develop CNS
involvement or relapse, which is associated with significant risk for systemic
illness. This report describes a patient with acute lymphoblastic leukemia with
repeated isolated CNS relapses. This case should remind clinicians that isolated
CNS disease in the absence of systemic recurrence could successfully respond to
intrathecal therapy and offer patients a favorable quality of life.
PMID- 29651353
TI - A Rare Case of Systemic AL Amyloidosis with Muscle Involvement: A Misleading
Diagnosis.
AB - Muscle involvement in AL amyloidosis is a rare condition, and the diagnosis of
amyloid myopathy is often delayed and underdiagnosed. Amyloid myopathy may be the
initial manifestation and may precede the diagnosis of systemic AL amyloidosis.
Here, we report the case of a 73-year-old man who was referred to our center for
a monoclonal gammopathy of undetermined significance (MGUS) diagnosed since 1999.
He reported a progressive weakness of proximal muscles of the legs with onset six
months previously. Muscle biopsy showed mild histopathology featuring alterations
of nonspecific type with a mixed myopathic and neurogenic involvement, and the
diagnostic turning point was the demonstration of characteristic green
birefringence under cross-polarized light following Congo red staining of
perimysial vessels. Transmission electron microscopy (TEM) confirmed amyloid
fibrils around perimysial vessels associated with collagen fibrils. A stepwise
approach to diagnosis and staging of this disorder is critical and involves
confirmation of amyloid deposition, identification of the fibril type, assessment
of underlying amyloidogenic disorder, and evaluation of the extent and severity
of amyloidotic organ involvement.
PMID- 29651354
TI - Evolution of Choroidal Neovascularization due to Presumed Ocular Histoplasmosis
Syndrome on Multimodal Imaging including Optical Coherence Tomography
Angiography.
AB - A 37-year-old Caucasian woman presented with acute decrease in central vision in
her right eye and was found to have subfoveal choroidal neovascularization (CNV)
due to presumed ocular histoplasmosis syndrome (POHS). Her visual acuity improved
from 20/70 to 20/20 at her 6-month follow-up, after 3 consecutive monthly
intravitreal bevacizumab injections were initiated at her first visit. Although
no CNV activity was seen on fluorescein angiography (FA) or spectral-domain
optical coherence tomography (SD-OCT) at her 2-month, 4-month, and 6-month follow
up visits, persistent flow in the CNV lesion was detected on optical coherence
tomography angiography (OCTA). OCTA shows persistent vascular flow as well as
changes in vascular flow in CNV lesions associated with POHS, indicating the
continued presence of patent vessels and changes in these CNV lesions, even when
traditional imaging of the lesion with OCT and FA indicates stability of the
lesion with no disease activity. Additional cases with longitudinal follow-up are
needed to assess how OCTA should be incorporated into clinical practice.
PMID- 29651355
TI - Cutaneous Adnexal Cylindroma of Breast: Epithelial Immunoreactivities for GATA-3,
Mammaglobin, and E-Cadherin Do Not Equate to a Mammary Ductal Neoplasm.
AB - Cylindromas are benign epithelial neoplasms derived from cutaneous eccrine
adnexal structures. These tumors are most commonly encountered on the head, neck,
and scalp of older women. In rare instances, solitary cylindromas may arise at
other body sites. In the current case, a cylindroma of the skin of the breast was
diagnosed by complete excision. Immunohistochemical studies confirmed the tumor
cells to be immunoreactive with cytokeratin AE1/3, cytokeratin 5/6, cytokeratin
7, p63, and SOX10. The neoplastic cells were also noted to be immunoreactive with
markers typically expected to be positive in ductal epithelium of the breast
including GATA3, mammaglobin, and E-cadherin. The case emphasizes the importance
of correlating clinical setting, imaging studies, patient history, and careful
microscopic evaluation in arriving at an accurate diagnosis. This case also
illustrates the point that not all "breast" tumors that are confirmed to be
positive for GATA3, mammaglobin, and E-cadherin are derived from mammary ducts.
PMID- 29651356
TI - Cerebellar Medulloblastoma in Middle-to-Late Adulthood.
AB - Medulloblastoma is a malignant brain tumor that is typically seen in children. It
is classified as an embryonal tumor, classically located within the posterior
fossa. When it involves the fourth ventricle, the patient commonly presents with
signs and symptoms of raised intracranial pressure secondary to obstructive
hydrocephalus. It is exceedingly rare for Medulloblastoma to occur in middle and
late adulthood. In this paper, we present a case of a 51-year-old man who
presented with a posterior fossa mass that was diagnosed later as
Medulloblastoma.
PMID- 29651357
TI - Respiratory Epithelial Orbital Cyst: A Case Report and Literature Review.
AB - A 44-year-old male with schizophrenia presented with progressive right proptosis
for one year and conjunctivitis for two months. An orbital cyst was seen in the
superotemporal region on computerized tomography and was surgically removed.
There was no history or radiological signs of paranasal sinus disease or previous
trauma. Histopathologic evaluation revealed a cyst lined with respiratory
epithelium. Respiratory choristomatous cysts of the orbit are considered rare in
both pediatric and adult patients. We review the literature of respiratory
orbital cysts and conclude that they tend to present in adults and should be
considered in the differential diagnoses of orbital cysts.
PMID- 29651358
TI - Pilot Study of Intra-Aortic Balloon Occlusion to Limit Morbidity in Patients with
Adherent Placentation Undergoing Cesarean Hysterectomy.
AB - Objective We study whether using an intra-aortic balloon (IAB) during cesarean
hysterectomy decreases delivery morbidity in patients with suspected morbidly
adherent placentation. Study Design This is a retrospective cohort study of
deliveries complicated by suspected abnormal placentation between 2009 and 2016
comparing maternal and neonatal outcomes with an IAB placed prior to cesarean
hysterectomy versus no IAB. The primary outcome included quantified blood loss
(QBL). Results Thirty-five cases were reviewed, 16 with IAB and 19 without IAB.
No difference was seen in median QBL between the two groups (1,351 vs. 1,397 mL;
p = 0.90). There were no significant differences in overall surgical
complications (19% IAB, 21% no IAB; p = 0.86), bladder complications (12 vs.
21%; p = 0.66), intensive care unit admissions (12 vs. 26%; p = 0.41), surgical
duration (2.9 vs. 2.8 hour; p = 0.83), or blood transfusions (median 2 vs. 2; p
= 0.27) between the two groups. There was one groin hematoma at the balloon site
that was managed conservatively. There were no complications involving thrombosis
or limb ischemia in the IAB group. Conclusion While we did not detect
statistically significant differences, larger studies may be warranted given the
potential for extreme morbidity in these cases. This study highlights the
potential use of an IAB in the management of these cases.
PMID- 29651359
TI - Preclinical Labor-and-Delivery Shadowing: The Impact on Medical Students'
Perceptions of Obstetrics and Gynecology.
AB - Objective We sought to determine the impact of preclinical exposure (shadowing)
to labor and delivery (L&D) on medical students' perceptions of obstetrics and
gynecology (OB/GYN). Study Design We administered a written survey to rising
third-year medical students at a single center prior to any clerkship. We
described motivation/deterrents for shadowing among students, and
experiences/perceptions of those students who shadowed. Results In total,
119/136 (86%) students completed the survey. Of those, 29% participated in
shadowing on L&D. Participating students were more likely to be female (79 vs.
21%; p < 0.01) and in their first year (85%). Ninety-one percent participated
because they wanted more exposure to OB/GYN, whereas only 53% they were
interested in OB/GYN. Students who did not shadow indicated not having enough
time as the main reason. After participation, 82% had more perspective on OB/GYN
than prior to shadowing. Ninety-seven percent felt that the experience was
worthwhile; 62% stated based on their experience that they were likely to
consider a career in OB/GYN. All students who participated stated that they would
opt to shadow again if given the opportunity. Conclusion Students who have L&D
shadowing exposure report very positive experiences and express desire for
increased opportunities. OB/GYN departments may consider increasing availability
of L&D shadowing opportunities for preclinical medical students.
PMID- 29651361
TI - Volumetric Measurement of Subretinal Blebs Using Microscope-Integrated Optical
Coherence Tomography.
AB - Purpose: We advance studies of subretinal treatments by developing a microscope
integrated optical coherence tomography (MIOCT) image-based method for measuring
the volume of therapeutics delivered into the subretinal space. Methods: A MIOCT
image-based volume measurement method was developed and assessed for accuracy and
reproducibility by imaging an object of known size in model eyes. This method
then was applied to subretinal blebs created by injection of diluted
triamcinolone. Bleb volumes obtained from MIOCT were compared to the intended
injection volume and the surgeon's estimation of leakage. Results: Validation of
the image-based volume measurement method showed accuracy to +/-1.0 MUL (6.0% of
measured volume) with no statistically significant variation under different
imaging settings. When this method was applied to subretinal blebs, four of 11
blebs without surgeon-observed leakage yielded a mean volume of 32 +/- 12.5 MUL,
in contrast to the intended 50 MUL volume injected from the delivery device. This
constituted a mean difference of -18 MUL (mean percent error, 36 +/- 25%). For
all 11 blebs, the surgeon's estimations of leakage were significantly different
from and showed no correlation with the volume loss based on image-based volume
measurements (P < 0.001, paired t-test; intraclass correlation = 0). Conclusions:
We validated an accurate and reproducible method for measuring subretinal volumes
using MIOCT. Use of this method revealed that the intended volume might not be
delivered into the subretinal space. MIOCT can allow for accurate assessment of
subretinal dose delivered, which may have therapeutic implications in evaluating
the efficacy and toxicity of subretinal therapies. Translational Relevance: Use
of MIOCT can provide feedback on the accuracy of subretinal injection volumes
delivered.
PMID- 29651362
TI - Permanent Unilateral Hearing Loss (UHL) and Childhood Development.
AB - Purpose of Review: The aim of this study is to summarize the consequences of
permanent unilateral hearing loss (UHL) on the development of children as
documented in the recent literature. Recent Findings: Congenital and early
identified UHL places young children at risk for delays in speech-language
development. School-aged children with UHL score lower on standardized tests of
language and cognition and need increased assistance in school for educational
and behavioral issues than siblings with normal hearing, and report lower hearing
related quality of life, similar to children with bilateral hearing loss (HL).
Early intervention, including use of hearing amplification devices, might
ameliorate some of those affects. For a child with mild to severe UHL at
presentation, the risk of progression of HL in the worse-hearing ear may be as
high as 40%, and the risk of progression to bilateral HL approaches 20%. Summary:
Although UHL can adversely affect the development of children, how to mitigate
those effects requires investigation.
PMID- 29651360
TI - Phosphatase of regenerating liver-3 (PRL-3) is overexpressed in classical Hodgkin
lymphoma and promotes survival and migration.
AB - Background: Phosphatase of regenerating liver-3 (PRL-3) is implicated in
oncogenesis of hematological and solid cancers. PRL-3 expression increases
metastatic potential, invasiveness and is associated with poor prognosis. With
this study, we aimed to show a possible oncogenic role of PRL-3 in classical
Hodgkin lymphoma (cHL). Methods: PRL-3 expression was measured in 25 cHL patients
by immunohistochemistry and gene expression was analyzed from microdissected
malignant cells. We knocked down PRL-3 in the cHL cell lines L1236 and HDLM2 and
used small molecular inhibitors against PRL-3 to investigate proliferation,
migration and cytokine production. Results: PRL-3 protein was expressed in 16% of
patient samples. In three different gene expression datasets, PRL-3 was
significantly overexpressed compared to normal controls. PRL-3 knockdown reduced
proliferation, viability and Mcl-1 expression in L1236, but not in HDLM2 cells.
Thienopyridone, a small molecule inhibitor of PRL-3, reduced proliferation of
both L1236 and HDLM2. PRL-3 affected IL-13 secretion and enhanced STAT6
signaling. IL-13 stimulation partially rescued proliferation in L1236 cells after
knockdown of PRL-3. PRL-3 knockdown reduced migration in both L1236 and HDLM2
cells. Conclusion: PRL-3 was overexpressed in a subset of cHL patients.
Inhibition of PRL-3 increased IL-13 cytokine production and reduced migration,
proliferation and viability. The effects could be mediated through regulation of
the anti-apoptotic molecule Mcl-1 and a feedback loop of IL-13 mediated
activation of STAT6. This point to a role for PRL-3 in the pathogenesis of
Hodgkin lymphoma, and PRL-3 could be a possible new drug target.
PMID- 29651364
TI - Precision of EM Simulation Based Wireless Location Estimation in Multi-Sensor
Capsule Endoscopy.
AB - In this paper, we compute and examine two-way localization limits for an RF
endoscopy pill as it passes through an individuals gastrointestinal (GI) tract.
We obtain finite-difference time-domain and finite element method-based
simulation results position assessment employing time of arrival (TOA). By means
of a 3-D human body representation from a full-wave simulation software and
lognormal models for TOA propagation from implant organs to body surface, we
calculate bounds on location estimators in three digestive organs: stomach, small
intestine, and large intestine. We present an investigation of the causes
influencing localization precision, consisting of a range of organ properties;
peripheral sensor array arrangements, number of pills in cooperation, and the
random variations in transmit power of sensor nodes. We also perform a
localization precision investigation for the situation where the transmission
signal of the antenna is arbitrary with a known probability distribution. The
computational solver outcome shows that the number of receiver antennas on the
exterior of the body has higher impact on the precision of the location than the
amount of capsules in collaboration within the GI region. The large intestine is
influenced the most by the transmitter power probability distribution.
PMID- 29651363
TI - Surgical Management of the Drooling Child.
AB - Purpose of Review: Our goal is to present the most up-to-date options in the
surgical management of drooling in the paediatric population. While the clinical
assessment of the drooling child and conservative management options are
discussed, this review focuses on the most recent evidence for surgical
interventions to treat drooling in children. Recent Findings: In terms of
advances in the management of drooling, further experience and outcomes with the
use of botulinum toxin injections is discussed. Moreover, the latest evidence
base for salivary duct ligation and relocation procedures are presented. Finally,
the trans-oral approach to submandibular gland excision for the management of
drooling may gain popularity through the aim of reducing surgical morbidity.
Summary: The drooling child should be managed with an evidence-based stepwise
approach delivered by a multidisciplinary team (MDT). Children with normal
neurological development should be treated conservatively through parental
reassurance. There are numerous interventions available for the drooling child
with impaired neuromuscular development. When conservative measures fail,
treatment options include botulinum toxin injections and surgical procedures such
as salivary duct ligation, salivary duct relocation and salivary gland excision.
Management must be targeted to the individual needs and comorbidities of the
child to maximise treatment outcomes.
PMID- 29651365
TI - Mastication Evaluation With Unsupervised Learning: Using an Inertial Sensor-Based
System.
AB - There is a direct relationship between the prevalence of musculoskeletal
disorders of the temporomandibular joint and orofacial disorders. A well
elaborated analysis of the jaw movements provides relevant information for
healthcare professionals to conclude their diagnosis. Different approaches have
been explored to track jaw movements such that the mastication analysis is
getting less subjective; however, all methods are still highly subjective, and
the quality of the assessments depends much on the experience of the health
professional. In this paper, an accurate and non-invasive method based on a
commercial low-cost inertial sensor (MPU6050) to measure jaw movements is
proposed. The jaw-movement feature values are compared to the obtained with
clinical analysis, showing no statistically significant difference between both
methods. Moreover, We propose to use unsupervised paradigm approaches to cluster
mastication patterns of healthy subjects and simulated patients with facial
trauma. Two techniques were used in this paper to instantiate the method:
Kohonen's Self-Organizing Maps and K-Means Clustering. Both algorithms have
excellent performances to process jaw-movements data, showing encouraging results
and potential to bring a full assessment of the masticatory function. The
proposed method can be applied in real-time providing relevant dynamic
information for health-care professionals.
PMID- 29651366
TI - Height and Weight Estimation From Anthropometric Measurements Using Machine
Learning Regressions.
AB - Height and weight are measurements explored to tracking nutritional diseases,
energy expenditure, clinical conditions, drug dosages, and infusion rates. Many
patients are not ambulant or may be unable to communicate, and a sequence of
these factors may not allow accurate estimation or measurements; in those cases,
it can be estimated approximately by anthropometric means. Different groups have
proposed different linear or non-linear equations which coefficients are obtained
by using single or multiple linear regressions. In this paper, we present a
complete study of the application of different learning models to estimate height
and weight from anthropometric measurements: support vector regression, Gaussian
process, and artificial neural networks. The predicted values are significantly
more accurate than that obtained with conventional linear regressions. In all the
cases, the predictions are non-sensitive to ethnicity, and to gender, if more
than two anthropometric parameters are analyzed. The learning model analysis
creates new opportunities for anthropometric applications in industry, textile
technology, security, and health care.
PMID- 29651367
TI - "Lazarus Response" to Olaparib in a Virtually Chemonaive Breast Cancer Patient
Carrying Gross BRCA2 Gene Deletion.
AB - This report describes an estrogen receptor-positive breast cancer patient, who
relapsed at two and a half years after the completion of adjuvant chemotherapy
while being on the aromatase inhibition. Based on the clinical evidence for
potential sensitivity of the tumor to hormone ablation, everolimus was added to
continuing exemestane treatment. Oral chemotherapy was administered at further
disease progression, however, it lasted only for 10 days due to rapidly
deteriorating condition of the patient. BRCA test was performed just before the
failure of endocrine therapy and revealed a gross deletion within BRCA2 gene.
Since the patient already developed contraindications to the standard
chemotherapy, olaparib (300 mg twice a day) was given as a last hope option. The
patient demonstrated a "Lazarus response": the performance status and the results
of the biochemical tests went back to the norm within first two weeks of
treatment. Positron emission tomography-computed tomography (PET-CT) was
performed at one month after the start of olaparib therapy, and revealed complete
metabolic response for all multiple metastatic lesions located in the liver,
bones, small pelvis, lungs, mediastinum, retroperitoneum, etc. Cytotoxic therapy
and poly ADP-ribose polymerase (PARP) inhibitors are known to have virtually
identical mechanisms of tumor escape from the treatment, which are confined to
the restoration of BRCA proficiency within cancer cells. The pronounced tumor
response to the treatment in this patient can be attributed to the lack of recent
exposure to standard cytotoxic treatment as well as to the inability of tumors
with gross BRCA rearrangements to restore BRCA function via secondary mutation.
This observation calls for comprehensive evaluation of PARP inhibitors in
chemonaive patients with hereditary cancer.
PMID- 29651368
TI - Synchronous Pulmonary Neoplasms: A Chance Occurrence or is There More Than Meets
the Eye?
AB - Primary bronchus-associated lymphoid tissue (BALT) lymphoma comprises 5% of non
Hodgkin's lymphoma (NHL) and usually has an indolent course. Synchronous primary
lung cancers with BALT lymphoma are seldom seen in patients with adenocarcinoma
of the lung. Synchronous squamous cell carcinoma (SCC) and BALT lymphoma is an
extremely rare occurrence. We report an unusual case of stage 4 BALT lymphoma
requiring treatment that revealed an underlying ipsilateral mass causing a
diagnostic dilemma. An 84-year-old female with a history of systemic lupus
erythematosus, deep vein thrombosis, and thrombotic microangiopathy presented to
the hospital with cough and dyspnea on exertion. A chest X-ray revealed right
hemi-thorax opacification and computed tomography (CT) of the chest showed a
large right effusion and a soft tissue density extending into the proximal right
bronchus. She required repeated thoracentesis until the pleural fluid analysis
showed the presence of small lymphocytes and bronchial washings revealed an
abnormal B cell population consistent with extranodal marginal zone lymphoma. The
patient received four cycles of bendamustine and rituximab resulting in near
complete resolution of the effusion. Four months from diagnosis, imaging showed
an increase in the size of the soft tissue density with pathologic
fluorodeoxyglucose (FDG) uptake on positron emission tomography (PET). A CT
guided biopsy was consistent with squamous cell lung cancer (SCLC) and
radiotherapy was started for clinical stage 2 disease since the patient was not a
surgical candidate. BALT lymphoma is a low-grade malignancy classified as
extranodal marginal zone lymphoma with a five-year survival rate of over 80%.
Several cases of synchronous lung adenocarcinoma and BALT lymphoma have been
described. However, our case is among the rare few cases of synchronous
occurrence of SCLC with BALT lymphoma. This report highlights the challenges
associated with establishing an accurate and timely diagnosis.
PMID- 29651369
TI - Unusual Relapse of Chronic Lymphocytic Leukemia After Remission.
AB - Chronic lymphocytic leukemia (CLL) is the most prevalent leukemia with over
20,000 estimated cases in 2017. Leukemic involvement of the nervous system from
CLL causing neurologic symptoms is reported in only about one percent of
patients. Unfortunately, there is no current standard therapy for the treatment
of CLL leptomeningeal disease. In this case, we discuss an unusual presentation
of CLL leptomeningeal disease misdiagnosed as chronic rebound headache. A 61-year
old female was diagnosed with Rai stage I CLL in 2002. She presented at that time
with peripheral blood lymphocytosis and subsequent flow cytometry revealed a
mature B cell population consistent with CLL. She was monitored clinically as
there were no indications for therapy. In 2006, she developed B symptoms along
with hemolytic anemia refractory to steroids and was initiated on chemotherapy
with fludarabine, cyclophosphamide, and rituximab (FCR). She had a complete
response after six cycles. The patient was in her usual state of health until
2016, when she complained of chronic headaches. She took acetaminophen and
ibuprofen regularly and was diagnosed with rebound headaches by neurology. These
symptoms progressed and the patient developed encephalopathy requiring inpatient
admission. Magnetic resonance imaging (MRI) revealed abnormal enhancement in the
cerebellar peduncles and dentate nuclei symmetrically; a lumbar puncture
performed revealed evidence of CLL consistent with leptomeningeal disease.
Therapy was started with oral ibrutinib at 560 mg daily for better central
nervous system (CNS) penetration. After three months of therapy, she had complete
resolution of symptoms and MRI abnormalities. Leptomeningeal disease is a rare
complication of CLL that clinicians should be aware of and ibrutinib can be an
effective, tolerable therapy for this debilitating disease.
PMID- 29651370
TI - Effect of the Specific Carbohydrate Diet on the Microbiome of a Primary
Sclerosing Cholangitis and Ulcerative Colitis Patient.
AB - A 20-year-old female was diagnosed with ulcerative colitis (UC) at age 14 and
primary sclerosing cholangitis (PSC) at age 16. The PSC was successfully treated
with high doses of oral vancomycin; however, the UC was more difficult to manage.
After many drug treatments failed to treat the UC, the patient began following
the specific carbohydrate diet (SCD). This report documents fecal microbiome
changes resulting from following the SCD for two weeks. The DNA extracted from
fecal samples was subjected to 16S rRNA gene sequencing to quantify bacterial
species abundance. Not only were substantial changes in the fecal bacterial
composition detectable within two weeks, but all UC symptoms were also controlled
as early as one week following the start of the diet. The patient's fecal
microbiota was dramatically different from those of three healthy control
subjects and showed remarkable loss of bacterial diversity in terms of species
richness, evenness, and overall diversity measures. Other specific changes in
bacterial composition included an increase in Enterobacteriaceae, including
Escherichia and Enterobacter species. A two- to three-fold decrease was observed
in the prevalence of the most dominant fecal bacterial species, Fusobacterium
ulcerans, after two weeks on the SCD. Overall species diversity and evenness
increased to levels near the controls, although species richness remained low.
These findings provide information on the fecal bacteria from a patient with PSC
and UC, following prolonged oral vancomycin treatment, and identifies a
potentially specific microbial effect for the SCD.
PMID- 29651371
TI - Tenecteplase versus Alteplase for the Management of Acute Ischemic Stroke in a
Low-income Country-Nepal: Cost, Efficacy, and Safety.
AB - Intravenous alteplase is the only approved treatment for acute ischemic stroke.
Tenecteplase, a genetically engineered, mutant tissue plasminogen activator, is
an alternative thrombolytic agent. The economic feasibility of stroke treatment
has been a matter of huge debate and discussion thus far. The use of
thrombolytics for the management of ischemic stroke has recently begun in Nepal.
In low-income countries like Nepal, where the per capita income falls at just
$691.7 and 25.2% of the population are under the poverty line, stroke patients
cannot meet treatment expenses. Tenecteplase is easily available (for the
management of acute coronary syndrome) in tertiary-level hospitals of Nepal and
the price quote of tenecteplase ($450) is half the price of alteplase ($1000). In
emergency cases, sometimes, the cost of alteplase can be greater than the patient
can afford and they can't undergo thrombolysis even after arriving on time.
However, evidence exists that supports the use of other alternatives
(tenecteplase), which are also effective in the management of acute ischemic
stroke. In this article, we examined current evidence for the efficacy and safety
of tenecteplase when compared to alteplase. This review will make neurologists in
Nepal familiar with the efficacy and safety of tenecteplase in comparison with
alteplase since it is common for patients to not be able to afford the expensive
alteplase, which makes guideline-based practice impossible some times.
PMID- 29651372
TI - Epidemiology and Characteristics of Cervical Spine Injury in Patients Presenting
to a Regional Emergency Department.
AB - Purpose This study aims to establish the demographics and characteristics of
patients with cervical spine injury (CSI) in an Irish cohort presenting to a
regional emergency department. Methods We performed a retrospective analysis of
the medical records of patients who underwent cervical spine computed tomography
(CT) scans following trauma from January 2013 to July 2016. We looked at the
mechanism of injury, mode of arrival to the emergency department, triage
category, correlation between examination, and site of CSI and neurological
status. Results Over the study period, 808 patients underwent CT scans of the
cervical spine for potential CSI. The incidence of CSI in our cohort was 9.4% (n
= 76). Approximately 70% (n = 53) were men. Falls (53%) and motor vehicle
accidents (29%) were noted to be the more common mechanisms of injury in this
cohort. The C2 region was the most common location for CSI. Only 7% (n = 5) of
patients had documented neurology. Conclusion This study demonstrates the
epidemiology and characteristics of CSI presenting in an Irish cohort. The
incidence of CSI was found to be 9.4% with a male preponderance and falls being
the most common cause of trauma.
PMID- 29651373
TI - Using MODIS Cloud Regimes to Sort Diagnostic Signals of Aerosol-Cloud
Precipitation Interactions.
AB - Coincident multi-year measurements of aerosol, cloud, precipitation and radiation
at near-global scales are analyzed to diagnose their apparent relationships as
suggestive of interactions previously proposed based on theoretical,
observational, and model constructs. Specifically, we examine whether differences
in aerosol loading in separate observations go along with consistently different
precipitation, cloud properties, and cloud radiative effects. Our analysis uses a
cloud regime (CR) framework to dissect and sort the results. The CRs come from
the Moderate Resolution Imaging Spectroradiometer (MODIS) sensor and are defined
as distinct groups of cloud systems with similar co-variations of cloud top
pressure and cloud optical thickness. Aerosol optical depth used as proxy for
aerosol loading comes from two sources, MODIS observations, and the MERRA-2 re
analysis, and its variability is defined with respect to local seasonal
climatologies. The choice of aerosol dataset impacts our results substantially.
We also find that the responses of the marine and continental component of a CR
are frequently quite disparate. Overall, CRs dominated by warm clouds tend to
exhibit less ambiguous signals, but also have more uncertainty with regard to
precipitation changes. Finally, we find weak, but occasionally systematic co
variations of select meteorological indicators and aerosol, which serves as a
sober reminder that ascribing changes in cloud and cloud-affected variables
solely to aerosol variations is precarious.
PMID- 29651374
TI - Biochemical events during somatic embryogenesis in Coffea arabica L.
AB - Several biochemical components associated with different stages of somatic
embryogenesis in coffee (Coffea arabica L.) are investigated using foliar
explants. Soluble sugar, starch, free amino acids and total proteins were
extracted and quantified at different stages of somatic embryogenesis, such as
foliar segments (initial explants), primary calluses, embryogenic calluses,
globular embryos, torpedoes, cotyledonary embryos and mature fruit zygotic
embryos. Total soluble sugar levels increased sixfold at the initial stages of
somatic embryogenesis induction. During this period, total soluble sugar in the
cultures contained approximately 99.3% glucose and fructose. At 67.4 MUg/mg MS,
no significant changes were observed in total sugar content during the embryo's
somatic maturation and regeneration. During this stage, total soluble sugar was
composed of 60% sucrose. After primary callus formation, starch contents
increased gradually until the culture's conclusion. Total free amino acids,
particularly arginine, lysine, methionine, asparagine, glutamine and histidine,
revealed a higher synthesis until the formation of the primary callus, after
which they remain statistically constant up to the end of the process. During the
induction of calluses, a gradual increase of total proteins occurred, which, in
the differentiating and maturing of somatic embryos, did not differ statistically
till the formation of a cotyledonary embryo, when rates decreased 21.8%.
PMID- 29651375
TI - Codon optimization significantly enhanced the expression of human 37-kDa iLRP in
Escherichia coli.
AB - 37-kDa immature laminin receptor protein (iLRP), the precursor of 67-kDa laminin
receptor protein (LRP), is overexpressed on the surface of most cancer cells and
recognized as a universal tumor antigen. The role makes it a potential target for
cancer immunotherapy, which has been well-studied. Our study aimed to produce
high quality of human iLRP in bacteria so that the needs in research of its
clinical application could be met. The powerful system for heterologous protein
expression, pET system was used. Two types of DNA sequences encoding the same
amino acid sequences were separately cloned into the vector pET30a(+). One of the
resulting vectors includes the wild-type iLRP, and other one includes the codon
optimized iLRP. The expression by both genes was then compared in Escherichia
coli BL21(DE3). Our results revealed that the performance of codon optimization
was crucial for the expression of human iLRP in Escherichia coli. The yield was
significantly enhanced up to 300 mg/L of bacterial culture by this approach.
PMID- 29651376
TI - Synthesis, characterization and application of lipase-conjugated citric acid
coated magnetic nanoparticles for ester synthesis using waste frying oil.
AB - In the present work, magnetic nanoparticles (MNPs) were prepared by chemical
precipitation of trivalent and divalent iron ions which were functionalized using
citric acid. The bacterial isolate Staphylococcus epidermidis KX781317 was
isolated from oil-contaminated site. The isolate produced lipase, which was
purified and immobilized on magnetic nanoparticles (MNPs) for ester synthesis
from waste frying oil (WFO). The characterization of MNPs employed conventional
TEM, XRD and FTIR techniques. TEM analysis of MNPs showed the particle size in
the range of 20-50 nm. FTIR spectra revealed the binding of citric acid to Fe3O4
and lipase on citric acid-coated MNPs. The citric acid-coated MNPs and lipase
conjugated citric acid-coated MNPs had similar XRD patterns which indicate MNPs
could preserve their magnetic properties. The maximum immobilization efficiency
98.21% of lipase-containing citric acid-coated MNPs was observed at ratio 10:1 of
Cit-MNPs:lipase. The pH and temperature optima for lipase conjugated with Cit
MNPs were 7 and 35 degrees C, respectively. Isobutanol was found to be an
effective solvent for ester synthesis and 1:2 ratio of oil:alcohol observed
significant for ester formation. The ester formation was determined using TLC and
the % yield of ester conversion was calculated. The rate of ester formation is
directly proportional to the enzyme load. Formed esters were identified as
isobutyl laurate ester and isobutyl myristate ester through GC-MS analysis.
PMID- 29651377
TI - Renoprotective effect of cinnamaldehyde in food color induced toxicity.
AB - Present study reports the effects of metanil yellow, a non-permitted food
colouring dye, on the biomarkers of oxidative stress and kidney function in blood
and renal tissue of albino Wistar rats and its mitigation by cinnamaldehyde, a
major phytoconstituents of cinnamon. Oral administration of metanil yellow in
rats caused about 70% reduction in ferric reducing ability (FRAP 5.1 MUM/L) and
50% decline in reduced glutathione (GSH 59.27 nM/mg protein) content in plasma
with simultaneous increase in serum creatinine level. In kidney tissues,
activities of superoxide dismutase (SOD), catalase and GSH dropped while
malondialdehyde (MDA) content increased. Co-administration of cinnamaldehyde with
metanil yellow showed considerable restorative effect on the biomarkers of plasma
antioxidant status and kidney function i.e., FRAP (11.5 MUM/L), GSH (83-88.5
nM/mg protein), urea, creatinine, SOD, catalase and MDA. Administration of
cinnamaldehyde restored the kidney enzyme activities up to 75% of the base level.
The study revealed that reno-protective action of cinnamaldehyde was mediated by
lowering oxidative stress level.
PMID- 29651378
TI - High-titer and productivity of l-(+)-lactic acid using exponential fed-batch
fermentation with Bacillus coagulans arr4, a new thermotolerant bacterial strain.
AB - Bacillus coagulans arr4 is a thermotolerant microorganism with great
biotechnological potential for l-(+)-lactic acid production from granulated sugar
and yeast extract. The highest l-(+)-lactic acid production was obtained with
Ca(OH)2. The maximum production of l-(+)-lactic acid (206.81 g/L) was observed in
exponential feeding using granulated sugar solution (900 g/L) and yeast extract
(1%) at 50 degrees C, pH 6.5, and initial granulated sugar concentration of 100
g/L at 39 h. 5.3 g/L h productivity and 97% yield were observed, and no sugar
remained. Comparing the simple batch with exponential fed-batch fermentation, the
l(+) lactic acid production was improved in 133.22% and dry cell weight was
improved in 83.29%, using granulated sugar and yeast extract. This study presents
the highest productivity of lactic acid ever observed in the literature, on the
fermentation of thermotolerant Bacillus sp. as well as an innovative and high
efficiency purification technology, using low-cost substances as Celite and
charcoal. The recovery of lactic acid was 86%, with 100% protein removal, and the
fermentation medium (brown color) became a colorless solution.
PMID- 29651379
TI - Molecular cloning and characterization of Pif gene from pearl mussel, Hyriopsis
cumingii, and the gene expression analysis during pearl formation.
AB - In the present study, the Pif gene of the freshwater pearl aquaculture mussel,
Hyriopsis cumingii (HcPif) was successfully cloned and functionally
characterized. The full sequence of HcPif gene consists of 3415 base pairs, which
putatively encode two proteins, HcPif90 and HcPif80. A sequence analysis revealed
that HcPif contained a von Willebrand factor type A domain and a chitin-binding
domain, and shared many functional residues with other Pif homologues. A highly
conserved sequence, FKGLDEIELML, at the C-terminus of Pif80s was identified as
the key functional site. The corresponding peptide fragment markedly modified the
morphology of calcite crystallites in CaCO3 crystallization assay and might play
an essential role in the interactive binding between HcPif80 and CaCO3. Moreover,
real-time PCR results showed that HcPif gene was dominantly expressed in the
pearl secreting tissues and its expression changed in response to the different
development status of the pearl sac during pearl aquaculture. The gene expression
of HcPif was maximum 7 days after mantle grafting and declined to about the
control level on day 30. Our in vitro and in vivo experimental data indicated
that HcPif gene possessed the inherent characteristics of a nacre formation gene
and its expression might faithfully reflect the pearl secretion status of the
pearl mussels examined. Our findings may extend the understanding of the
biomineralization mechanism of nacre formation and provide a potential biomarker
for pearl farming.
PMID- 29651380
TI - A combined pathway of organogenesis and somatic embryogenesis for an efficient
large-scale propagation in date palm (Phoenix dactylifera L.) cv. Mejhoul.
AB - An efficient regeneration system via a combined pathway of organogenesis and
somatic embryogenesis was developed for date palm (Phoenix dactylifera L.) cv.
Mejhoul. Adventitious buds were obtained from shoot-tip explants with a frequency
of 53.3% after 9 months of culture: 6 months on half-strength Murashige and Skoog
(MS/2) medium containing 14.2 uM indole-3-acetic acid (IAA), 13.4 uM 1
naphthaleneacetic acid (NAA) and 0.5 uM 6-(dimethylallylamino) purine (2iP), and
3 months on MS/2 medium supplemented with 1.1 uM IAA, 1.1 uM NAA, 0.5 uM 2iP, 2.2
uM 6-benzyladenine (BA) and 0.4 uM kinetin. Adventitious bud segments were used
as explants to induce somatic embryogenesis, and the effects of different
concentrations (22.5, 45, 90, 225 or 450 uM) of 3,6-dichloro-o-anisic acid
(dicamba) and 4-amino-3,5,6-trichloropicolinic acid (picloram) were evaluated.
The optimal medium for somatic embryogenesis induction was MS medium supplemented
with 45 uM picloram and 5 uM 2iP, in which the somatic embryogenesis rate was
70%. For somatic embryo maturation, the effects of sorbitol, mannitol,
polyethylene glycol (PEG) and abscisic acid (ABA) were tested. The highest
maturation rate (88.6 mature somatic embryos per 100 mg fresh weight callus) was
observed on liquid MS medium supplemented with 20 g L-1 PEG. Subsequent somatic
embryo germination was achieved with up to 52.0% in MS medium containing 2.5 uM
NAA and 2.5 uM BA. The regenerated plantlets were transferred to the glasshouse
where 76.0% of them survived.
PMID- 29651382
TI - Impact of geography on adaptation of Phyllanthus amarus seeds.
AB - The present study was aimed to evaluate adaptive mechanism in terms of seed
characters of Phyllanthus amarus collected from ten different locations of Tamil
Nadu, India. The adaptive variations among the collected populations were
assessed based on the sink and float percentages of the seeds in water, the
percentage of seed germination, total protein, carbohydrates and their seedling's
growth ability such as shoot and root lengths. From this, we observed that the
population had a significantly higher germination percentage of sinking seeds
that were attributed to its relatively higher carbohydrate and protein contents
than the floating seeds. A comparison of the seed population by cluster analysis
and principal coordinate analysis showed that the Chennai population constituted
a single clade that was very distinct from the other nine populations, which were
further grouped into two sub-clusters. They exhibited a trend consistent with
their geographical proximity. Standardised Mantel's t tests had revealed that the
adaptive diversity of the P. amarus population was significantly affected by the
geographic distance (r = 0.78, t = 2.68, P > 0.001), altitude (r = 0.35, t =
21.53, P > 0.05), minimum temperature (r = 0.43, t = 1.49, P > 0.01) and maximum
temperature (r = 0.49, t = 1.67, P > 0.001). Seed's characteristics and
geographical conditions were correlated along with 19 bioclimatic variables. In
dry season, the seedling's rooting ability showed positive correlation, while its
protein content exhibited a negative correlation. It is clearly evident from this
study that the geographical variables significantly influence the adaptive
ability of the P. amarus.
PMID- 29651381
TI - Recent advances in conventional and contemporary methods for remediation of heavy
metal-contaminated soils.
AB - Remediation of heavy metal-contaminated soils has been drawing our attention
toward it for quite some time now and a need for developing new methods toward
reclamation has come up as the need of the hour. Conventional methods of heavy
metal-contaminated soil remediation have been in use for decades and have shown
great results, but they have their own setbacks. The chemical and physical
techniques when used singularly generally generate by-products (toxic sludge or
pollutants) and are not cost-effective, while the biological process is very slow
and time-consuming. Hence to overcome them, an amalgamation of two or more
techniques is being used. In view of the facts, new methods of biosorption,
nanoremediation as well as microbial fuel cell techniques have been developed,
which utilize the metabolic activities of microorganisms for bioremediation
purpose. These are cost-effective and efficient methods of remediation, which are
now becoming an integral part of all environmental and bioresource technology. In
this contribution, we have highlighted various augmentations in physical,
chemical, and biological methods for the remediation of heavy metal-contaminated
soils, weighing up their pros and cons. Further, we have discussed the
amalgamation of the above techniques such as physiochemical and physiobiological
methods with recent literature for the removal of heavy metals from the
contaminated soils. These combinations have showed synergetic effects with a many
fold increase in removal efficiency of heavy metals along with economic
feasibility.
PMID- 29651383
TI - Influence of encoding instructions and response bias on cross-cultural
differences in specific recognition.
AB - Prior cross-cultural research has reported cultural variations in memory. One
study revealed that Americans remembered images with more perceptual detail than
East Asians (Millar et al. in Cult Brain 1(2-4):138-157, 2013). However, in a
later study, this expected pattern was not replicated, possibly due to
differences in encoding instructions (Paige et al. in Cortex 91:250-261, 2017).
The present study sought to examine when cultural variation in memory-related
decisions occur and the role of instructions. American and East Asian
participants viewed images of objects while making a Purchase decision or an
Approach decision and later completed a surprise recognition test. Results
revealed Americans had higher hit rates for specific memory, regardless of
instruction type, and a less stringent response criterion relative to East
Asians. Additionally, a pattern emerged where the Approach decision enhanced hit
rates for specific memory relative to the Purchase decision only when
administered first; this pattern did not differ across cultures. Results suggest
encoding instructions do not magnify cross-cultural differences in memory.
Ultimately, cross-cultural differences in response bias, rather than memory
sensitivity per se, may account for findings of cultural differences in memory
specificity.
PMID- 29651385
TI - Clinicopathological predictors of long-term benefit in breast cancer treated with
neoadjuvant chemotherapy.
AB - AIM: To investigate the survival impact of clinicopathological factors, including
pathological complete response (pCR) and tumor-infiltrating lymphocytes (sTIL)
levels according to subtypes, in breast cancer (BC) patients who received neo
adjuvant chemotherapy (NAC). METHODS: We evaluated 435 BC patients who presented
and received NAC at the Instituto Nacional de Enfermedades Neoplasicas from 2003
to 2014. sTIL was analyzed as the proportion of tumor stroma occupied by
lymphocytes, and was prospectively evaluated on hematoxylin and eosin-stained
sections of the preNAC core biopsy. pCR was considered in the absence of
infiltrating cancer cells in primary tumor and axillary lymph nodes. Analysis of
statistical association between clinical pathological features, sTIL, pCR and
survival were carried out using SPSSvs19. RESULTS: Median age was 49 years (range
24-84 years) and the most frequent clinical stage was IIIB (58.3%). Luminal A,
Luminal B, HER2-enriched and (triple-negative) TN phenotype was found in 24.6%,
37.9%, 17.7% and 19.8%, respectively. pCR was observed in 11% and median
percentage of sTIL was 40% (2%-95%) in the whole population. pCR was associated
to Ct1-2 (P = 0.045) and to high sTIL (P = 0.029) in the whole population. There
was a slight trend towards significance for sTIL (P = 0.054) in Luminal A. sTIL
was associated with grade III (P < 0.001), no-Luminal A subtype (P < 0.001), RE
negative (P < 0.001), PgR-negative (P < 0.001), HER2-positive (P = 0.002) and pCR
(P = 0.029) in the whole population. Longer disease-free survival was associated
with grade I-II (P = 0.006), cN0 (P < 0.001), clinical stage II (P = 0.004), ER
positive (P < 0.001), PgR-positive (P < 0.001), luminal A (P < 0.001) and pCR (P
= 0.002). Longer disease-free survival was associated with grade I-II in Luminal
A (P < 0.001), N0-1 in Luminal A (P = 0.045) and TNBC (P = 0.01), clinical stage
II in Luminal A (P = 0.003) and TNBC (P = 0.038), and pCR in TNBC (P < 0.001).
Longer overall survival was associated with grade I-II (P < 0.001), ER-positive
(P < 0.001), PgR-positive (P < 0.001), Luminal A (P < 0.001), cN0 (P = 0.002) and
pCR (P = 0.002) in the whole population. Overall survival was associated with
clinical stage II (P = 0.017) in Luminal A, older age (P = 0.042) in Luminal B,
and pCR in TNBC (P = 0.005). CONCLUSION: Predictive and prognostic values of
clinicopathological features, like pCR and sTIL, differ depending on the
evaluated molecular subtype.
PMID- 29651386
TI - Tissue Engineering in Maxillary Bone Defects.
AB - BACKGROUND: Restoration of craniofacial bone defects has been a concern for oral
and maxillofacial surgeons. In this study, the healing effect of fibrin glue
scaffold was compared with autologous bone graft in mandibular defects of rabbit.
METHODS: Bilateral unicortical osteotomy was performed in the diastema region of
10 male Dutch rabbits. The subjects were randomly divided into 2 equal groups.
The mandibular defect on the right side was treated with fibrin glue scaffold and
the defect on the left side with autologous bone graft provided from iliac crest.
After 4 and 8 weeks, five rabbits from each group were sacrificed and the defects
were evaluated morphologically, by coronal computed tomography scanning (CT-scan)
and by histological examinations. RESULTS: The healing effect of fibrin glue
scaffold and autologous bone graft was similar with appropriate osteogenesis in
comparison to the control group. CONCLUSION: Using fibrin glue can be a non
invasive treatment of choice in mandibular defects and maxillofacial surgeries
when compared with autologous bone graft.
PMID- 29651384
TI - Cell-free DNA integrity for the monitoring of breast cancer: Future perspectives?
AB - Breast cancer (BC) is the most common cancer and the second cause of death in
women worldwide. Therapeutic options are increasing, but the response to
treatments is not always efficient and the risk of recurrence covers decades. In
this perspective, the need to have a proper follow-up for the therapeutic
responses and for anticipating recurrence it is urgent in the clinical setting.
Liquid biopsy provides the basic principle for a non-invasive method for the
routinely monitoring of BC. However, due to the heterogeneity of tumors during
onset and progression, the search for tumor DNA mutations of targeted genes in
plasma/serum is a limiting factor. A possible approach overtaking this problem
comes from the measurement of cell-free DNA integrity, which is an independent
factor from the mutational status and theoretically is representative of all
tumors. This review summarizes the state-of-the-art of cell-free DNA integrity
researches in BC, the controversies and the future perspective.
PMID- 29651387
TI - Synchronous Soleus and Reverse Sural Flap for Large Soft Tissue Defect
Reconstruction of Leg.
AB - BACKGROUND: Extended Soft tissue defect of leg including middle and distal parts
always has been a challenge for many plastic surgeons and also a frustrated
problem for patients and families. To introduce the use of the soleus muscle and
reverse sural flaps as synchronous surgical treatment alternative of the leg bone
exposure with large soft tissue defect, this study was conducted. METHODS: The
medical records of patients undergoing transposition of the soleus muscle for
treating exposed bone in the leg and simultaneous sural flap were retrospectively
analyzed from January 2009 to July 2014, while gathering information on the used
muscle was to cover the lesion. RESULTS: Twelve patients with varying ages
between 22 and 58 years (10 males and 2 females) were enrolled. The main initial
injury was trauma (84.8%), consisting of tibia and/or fibula fractures. Only 1
patient developed insignificant distal flap necrosis who was treated subsequently
with surgical debridement and flap re-advancement. CONCLUSION: The treatment of
bone exposure with local muscle flaps (soleus and sural) enables obtaining
satisfactory results in covering of exposed structures, favoring local
vascularization and improving the initial injury. It offers the advantage of
providing a treatment in only one surgical procedure, an earlier recovery and
reduced hospital stay. Sometimes, this method may be applied instead of free
tissue transfer.
PMID- 29651388
TI - The Effect of Oral Propranolol versus Oral Corticosteroids in Management of
Pediatric Hemangiomas.
AB - BACKGROUND: Hemangiomas are the most common benign tumors of infancy. This study
evaluated the efficacy of oral propranolol comparing to oral steroids in
management of pediatric hemangiomas. METHODS: In North India from January 2012 to
January 2015, sixty children <6 years old with superficial hemangiomas were
divided into 2 groups; oral propranolol vs. oral prednisolone. All participants
were assessed for electrocardiogram, heart rate, blood pressure and sugar and
initial therapy was started using 1 mg/kg and in absence of adverse effects, 2
mg/kg was administered after 2 weeks. The hemangioma Activity Score (HAS) was
used for scoring and patients were followed up for 6 months. RESULTS: The
propranolol group mostly showed early response to the drug and needed the drug
for less time compared to corticosteroid group. In propranolol group, 16.5%, 23%
and 59% needed the drug to be continued for 8-12, 4-8 and 4 months. In
corticosteroid group, the therapy was continued for 8-12, and 4-8 months in 76.8%
and 16.5% and in 6.6% was stopped within 4 months. In propranolol group, the
response was 70% compared to 40% in other group. The mean HAS decreased
significantly in propranolol group when compared to steroid group. Three patients
on prednisolone developed Cushingoid features, while 1 patient in propranolol
group had mild flue like symptoms. CONCLUSION: Two mg/kg of oral propranolol
significantly decreased HAS, when compared to oral prednisolone, with good parent
satisfaction, minimal adverse effects and no recurrence/relapse of hemangiomas
after a follow up period of 6 months.
PMID- 29651390
TI - Comparison of Albizia Julibressin and Silver Sulfadiazine in Healing of Second
and Third Degree Burns.
AB - BACKGROUND: Large numbers of population suffer from burn annually. The promising
treatment of burn has not been identified yet. Albizia julibressin (A.
julibressin) in Fabaceae family is popular for its antiseptic activity. This
prospective study was designed to compare the wound healing effects of A.
julibressin gel (AG) with silver sulfadiazine (SSD). METHODS: This single blind
clinical trial was performed on 40 patients with second and third degree burns.
20 patients treated with SSD and 20 other patients received A. julibressin. The
percentage of the wound healing was evaluated with pain, irritation, edema,
itching, erythema, purulent discharges and skin discoloration symptoms. Also, the
patients' satisfaction and adverse drug reactions were determined. RESULTS: The
severity of pain (p=0.03), inflammation (p=0.02) and purulent secretions (p=0.03)
were significantly relieved in A. julibressin group. The healing time
significantly reduced in second degree burns (p=0.03) and third degree burns
(p=0.04) with treating by A. julibressin. No significant adverse drug reactions
were detected with A. julibressin. CONCLUSION: It seems that A. julibressin
improves the different therapeutic aspects of burn injuries and could be
considered as a new herbal remedy in wound healings.
PMID- 29651389
TI - The Healing Effect of N-Hexan- Dichloromethane Extract Root Onosma Bulbotrichum
in Second Degree Burns.
AB - BACKGROUND: Wound healing is the process of repair following an injury to the
skin and other soft tissues. In this study, the effect of n-hexane d
chloromethane extract (1: 1) of root Onosma bulbotrichum DC on the second degree
burn in rabbit model was investigated. METHODS: Thirty-six adult rabbits of both
sexes were randomly divided into six groups, control (without treatment),
negative control (treatment with cold cream), positive control (treatment with
silver sulfadiazine), and treatment groups with 5%, 1% and 2% O. bulbotrichum
cream and assessed histologically. RESULTS: The best result was shown in 5% O.
bulbotrichum group similar to silver sulfadiazine group. The maximum amount of
collagen and the tensile strength of tissue were observed in 5% O. bulbotrichum
and silver sulfadiazine groups. Histopathological examination showed that burn
healing in treatment group with 5% O. bulbotrichum was faster than other groups.
CONCLUSION: The 5% O. bulbotrichum cream was shown to have healing, and anti
inflammatory effects when used in treatment of second degree burns.
PMID- 29651391
TI - The Wound Healing Effects of Herbal Cream Containing Oliveria Decumbens and
Pelargonium Graveolens Essential Oils in Diabetic Foot Ulcer Model.
AB - BACKGROUND: The number of diabetic patients in adult population is increasing.
All this population are at risk of developing diabetic foot ulcers (DFUs) that
are associated with unwanted ailments and high mortality. In spite of current
therapies for DFUs, further therapies are needed to help the patients. METHODS:
The efficacy of herbal cream containing Pelargonium graveolens and Oliveria
decombens essential oils was evaluated topically for treatment of DFUs in rat
animal model in comparison with two other herbal formulas containing each
essential oil alone, placebo (the basic formula without active ingredients) and
normal saline as control groups. After anesthesia of diabetic rats (n=75) induced
by streptozotocin (STZ), diabetic wounds were visible on the hind dorsal surface
of the foot. The treatments were initiated on Day 1 and repeated 3 times a day
for thirteen consecutive days. On day 1, 3, 5, 8 and 13, the wound sizes were
determined and assessed histologically. RESULTS: Three herbal formulations
reduced the size of wounds in rats with DFUs, while the cream containing combined
herbals of O. decumbens and P. graveolens essential oils had the highest tissue
repair in DFU rat models. CONCLUSION: Due to better wound healing effects of
combined herbal cream containing O. decumbens and P. graveolens essential oils,
it can be recommended in treatment of DFUs.
PMID- 29651392
TI - The Effect of Rhythmic Breathing on Pain of Dressing Change in Patients with
Burns Referred to Ayatollah Mousavi Hospital.
AB - BACKGROUND: Burn is the worst tragedy among modern societies that individuals may
experience. One of the most important problems of burns is pain; particularly at
the time of treatment including burn dressings, debridement, surgical incisions
and physiotherapy. The aim of this study was to determine the effect of rhythmic
breathing on pain of dressing change in patients with burns. METHODS: This semi
experimental clinical trial study with a control group was conducted on 60
eligible burn patients who were selected using convenient sampling method and
allocated randomly in two groups of test and control (each n=30). Data collection
tools included demographic data and pain observation questionnaires. The rhythmic
breathing was individually and orally trained to the patients of test group in a
room separated by dividers for a 20-minute session. The pain intensity in test
and control groups before and after dressing was investigated for three
consecutive days. RESULTS: Friedman test results showed that pain intensity in
both control and test groups had statistically significant differences. The pain
intensity after rhythmic breathing reduced more in the test group, and this
reduction was more significant during 3 days. CONCLUSION: Rhythmic breathing is
an effective method on pain reduction of dressing change in patients with burn
injuries.
PMID- 29651393
TI - Wound Healing Potential of Intermittent Negative Pressure under Limited Access
Dressing in Burn Patients: Biochemical and Histopathological Study.
AB - BACKGROUND: Malondialdehyde (MDA) is an oxidant that causes damage to membranes,
DNA, proteins, and lipids at the cellular level. Antioxidants minimize the
effects of oxidants and thus help in formation of healthy granulation tissues
with higher level of hydroxyproline and total protein. This study compared the
effect of limited access dressing (LAD) with conventional closed dressing
biochemically and histopathologically. METHODS: Seventy-two 12-65 years old burn
patients with mean wound size of 14 cm2 were divided to two groups of LAD (n=37),
and conventional dressing groups (n=35). Various biochemical parameters were
measured in granulation tissue. Histopathological analysis of the granulation
tissue was studied too. RESULTS: LAD group showed significant increase in
hydroxyproline, total protein, GSH, and GPx and decrease in MDA levels compared
to conventional dressing group. A significant negative correlation between GSH
and MDA was noted in LAD group, but in conventional dressing group there was no
significant correlation. A significant negative correlation between GPx and MDA
was noticed in LAD group, but in conventional dressing group was not significant.
There was a histologically fewer inflammatory cells, increased and well organized
extracellular matrix deposit, more angiogenesis in LAD group after 10 days while
the difference was significant between the groups. CONCLUSION: Our study showed a
significant reduction in oxidative stress biomarker of MDA, increase in
hydroxyproline, total protein, antioxidants and amount of ECM deposition, number
of blood vessels and a decrease in the amount of inflammatory cells and necrotic
tissues in LAD group indicating the better healing effect of burn wounds.
PMID- 29651394
TI - The Healing Effect of Sesame Oil, Camphor and Honey on Second Degree Burn Wounds
in Rat.
AB - BACKGROUND: Many studies were carried out to improve sophisticated dressings to
accelerate healing processes and reduce the microbial burden in burn wounds. This
study evaluated the healing effect of herbal ointment containing extract of
sesame oil, camphor and honey on second degree burn wounds in rats in comparison
with daily dressing oil vaseline. METHODS: Forty rats were randomly assigned to
two equal groups. A deep second degree burn was formed on the back of each rat
with using a standard burning technique. The burns were dressed daily with herbal
ointment containing extract of sesame oil, camphor and honey in group 1, dressing
oil vaseline in group 2. The response to treatment was evaluated by digital
photography during the treatment on 0, 7, 14, 21, 28 days. Histological scoring
was undertaken for scar tissue samples on 0, 7, 14, 21, 28 days. RESULTS:
Considerable epithelization in the herbal ointment group vs. the control group
over the study period was noted. Neovascularization was significantly higher in
herbal ointment treated rats as well. In terms of difference of wound surface
area, maximal healing was noticed in herbal ointment extract of sesame oil,
camphor and honey group and the minimal repair in the control group. CONCLUSION:
The greatest rate of healing was in the herbal ointment group containing sesame
oil, camphor and honey, so the herbal ointment as a suitable substitute for
dressing and healing of burn wound injuries is recommended.
PMID- 29651395
TI - Fat Grafting Technique, A Paradigm Shift in the Treatment of Tuberous Breast.
AB - BACKGROUND: The tuberous breast syndrome is a condition that affects young women
and can bring on serious disorders of self-esteem. There are numerous surgical
techniques to correct this condition, but most of them include the use of breast
implants to replace lack of volume. Nowadays, patients are increasingly becoming
more demanding to get a definitive solution with minimal aesthetic sequelae.
METHODS: We present a series of 11 patients with tuberous breast deformities
treated with lipofilling technique. Fat harvest was performed by conventional
lipoaspiration with 3 mm cannulas, centrifuged at 2000 rpm for 2 minutes and
injected in the breast were was needed with 1.9 mm blunt cannulas. RESULTS: The
patient's average age was 24 year old with a BMI of 23.4 kg/m2. Volumes between
80 and 250 ml per breast were injected in every session, requiring a total volume
of 413 ml per breast. Most patients required up to two procedures to achieve the
complete breast correction. The mean follow-up was 29.7 months. All patients had
good aesthetics results with minimal complications. CONCLUSION: Natural breast
mound with excellent cosmetic result can be achieved with fat grafting. Fat
grafting main advantage is to respond to physiological changes in weight over
time. In addition, lipofilling do not carry the inherent complications of
implants. We strongly believe it is a safe and easy technique to reproduce in
properly selected patients and with minimal morbidity it can cause the best
natural result.
PMID- 29651396
TI - Correcting Flank Skin Laxity and Dog Ear Plus Aggressive Liposuction: A Technique
for Classic Abdominoplasty in Middle-Eastern Obese Women.
AB - BACKGROUND: Nowadays obesity is a common problem as it leads to abdominal
deformation and people's dissatisfaction of their own body. This study has
explored using a new surgical technique based on a different incision to reform
the flank skin laxity and dog ear plus aggressive liposuction on women with
abdominal deformities. METHODS: From May 2014 to February 2016, 25 women were
chosen for this study. All women had a body mass index more than 28 kg/m2, flank
folding, bulging and excess fat, abdominal and flank skin sagging and laxity. An
important point of the new technique was that the paramedian perforator was
preserved. RESULTS: All women were between 33 and 62 years old (mean age of 47+/
7.2 years old). The average amount of liposuction aspirate was 2,350 mL (1700
3200 mL), and the size of average excised skin ellipse was 23.62*16.08 cm (from
19*15 to 27*18 cm). Dog ear, skin laxity, bulging and fat deposit correction were
assessed and scored in two and four months after the surgery. CONCLUSION:
Aggressive abdominal and flank liposuction can be safely done when paramedian
perforator is preserved. This has a good cosmetic result in the abdomen and flank
and prevents bulging in the incision end and flank. Using this abdominoplasty
technique is recommended on patients with high body mass indexes.
PMID- 29651397
TI - Readability of Online Materials for Rhinoplasty.
AB - BACKGROUND: Rhinoplasty is a popular aesthetic and reconstructive surgical
procedure. However, little is known about the content and readability of online
materials for patient education. The recommended grade level for educational
materials is 7th to 8th grade according to the National Institutes of Health
(NIH). This study aims to assess the readability of online patient resources for
rhinoplasty. METHODS: The largest public search engine, Google, was queried using
the term "rhinoplasty" on February 26, 2016. Location filters were disabled and
sponsored results excluded to avoid any inadvertent search bias. The 10 most
popular websites were identified and all relevant, patient-directed information
within one click from the original site was downloaded and saved as plain text.
Readability was analyzed using five established analyses (Readability-score.com,
Added Bytes, Ltd., Sussex, UK). RESULTS: Analysis of ten websites demonstrates an
average grade level of at least 12th grade. No material was at the recommended
7th to 8th grade reading level (Flesch-Kincaid, 11.1; Gunning-Fog, 14.1; Coleman
Liau, 14.5; SMOG 10.4; Automated Readability, 10.7; Average Grade Level, 12.2).
Overall Flesch-Kincaid Reading Ease Index was 43.5, which is rated as
"difficult." CONCLUSION: Online materials available for rhinoplasty exceed NIH
recommended reading levels, which may prevent appropriate decision-making in
patients considering these types of surgery. Outcomes of this study identify that
Plastic Surgeons should be cognizant of available online patient materials and
make efforts to develop and provide more appropriate materials. Readability
results can also contribute to marketing strategy and attracting a more
widespread interest in the procedure.
PMID- 29651399
TI - Charlin'S Syndrome Following a Routine Septorhinoplasty.
AB - There are some rare but probable devastating complications following any
rhinoplasty. Charlin's syndrome is a typical one. It is completely related to the
external nasal nerve. In this report, we are presenting a 21-year-old female with
signs and symptoms of Charlin's syndrome, persisting for 4 years after a routine
septorhinoplasty operation. Surgery was uneventful and the patient underwent bony
septal resection and caudal septal relocation. Osteotomy was internal low to low
and external transverse bilaterally. Overall, a routine septorhinoplasty was
executed. Everything went well postoperatively, until 4 months after surgery,
when some irritating symptoms developed and gradually intensified.
PMID- 29651398
TI - Teenage Rhinoplasty.
AB - BACKGROUND: Rhinoplasty is among the most popular aesthetic surgical procedures
selected by teenagers. When it comes to teenagers' rhinoplasty, almost all
surgeons believe that modified techniques should be considered because the nose
is still growing. In this article, we prospectively followed teenagers who had
undergone septorhinoplasty to assess the safety of procedure and its possible
complications. METHODS: All the patients who were under 18 years old but for
those who had a bleeding disorder, allergic rhinitis, and cleft lip nose were
included in the study. All the patients were operated by the Senior author
through closed rhinoplasty. Age, gender, indication for surgery, postoperative
complications, need for revision surgery, postoperative satisfaction, and
disturbance in facial growth until puberty were gathered for each of patients.
RESULTS: Of all 40 patients, 38 (95%) patients were female and 2 (5%) patients
were male. Mean age and follow up of patients was 16.1+/-0.8 years and 29.5+/
12.1 months, respectively. Fourteen (35%) patients had some degrees of nasal
obstruction. Thirty-five (87.5%) patients expressed complete satisfaction with
their rhinoplasty outcome. None of patients underwent revision rhinoplasty.
CONCLUSION: The study indicates that patients' craniofacial growth was not
affected by the procedure, and it seems that septorhinoplasty is safe in
teenagers.
PMID- 29651400
TI - Management of a Case of Mucor Colonization in Breast Tissue Expander Seroma
Pocket.
AB - Mucormycosis has a mortality rate reaching 90%, and is imperative that therapy be
initiated rapidly once a diagnosis is made. Successful treatment consists of
management of underlying risk factors, surgical debridement, and antifungal
therapies. The dilemma whether or not to pursue extensive debridement presents
when the wound is cultured positive but the patient is not systemically ill. We
present the first reported case of successful medical treatment of a seroma
pocket colonized with mucor in a patient undergoing bilateral reconstruction with
tissue expander and acellular dermal matrix.
PMID- 29651401
TI - Rehabilitation of an Auricular Defect Using Surgical Stent.
AB - Reconstruction of a facial defect is a complex modality either surgically or
prosthetically, depending on the site, size, etiology, severity, age, and the
patient's expectation. The loss of an auricle, in the presence of an auditory
canal, affects hearing, because the auricle gathers sound and directs it into the
canal. The auricle acts as a resonator to slightly amplify lower frequency sounds
and helps to localize sounds, especially in conjunction with the other ear.
Osseointegrated implants have an important role in the prosthetic reconstruction
of patients with craniofacial defects. The main indications of this treatment
plan are lack of local tissue for autogenous reconstruction, previous
reconstruction failure and selection of this technique by the patient. This paper
presents a clinical case and advantages of the osseointegrated implant technique
for retention of auricular prostheses.
PMID- 29651402
TI - Reconstruction of a Giant Wound Induced by Advanced Penile Carcinoma with
Bilateral Anteromedial Thigh Flap and Left Deep Circumflex Iliac Artery Flaps.
AB - A 39-year-old male patient presented with an extensive putrescent ulceration of
abdominoperineal region infiltrated by advanced penile squamous cell carcinoma.
To our knowledge, it is the largest defect after aggressive palliative resection
of penile squamous cell carcinoma (pSCC) in the literature, which was 36*23 cm.
The defect was divided into three sub-defects, and was repaired by bilateral
anteromedial thigh (AMT) and left deep circumflex iliac artery (DCIA) flaps. The
postoperative course was uneventful and no flap necrosis occurred. The symptom
relief was excellent.
PMID- 29651403
TI - Enhanced Wound Healing after Leiomyoma Enucleation.
AB - Leiomyoma is a rare esophageal tumor that constitutes less than 1% of esophageal
malignancies. It should be removed when diagnosed even if asymptomatic. This
study presents two cases of esophageal leiomyoma in 38 and 62 years old men who
presented with dysphagia and evaluated for diagnosis and location of related
tumors. Patients were clinically examined and upper gastrointestinal endoscopy,
chest computerized tomography scan, barium swallow and endoscopic ultrasonography
were performed. The masses were diagnosed and both patients underwent 3-port
right videothoracoscopic enucleation of esophageal leiomyoma with sleeve
calibration tube (SCT) assistance. SCT was used to protrude out the mass from
surrounding tissues. Also immunohistochemistry was done after mass enucleation.
Two patients were operated routinely without any unpredictable events by help of
SCT assistance. Mass size was 3.5*2.5*1 cm in one case and 1.5*1*0.5 cm in
another patient. Positive smooth muscle antigen, desmin and ki67 and negative
CD34, CD117 and S100 in both cases were obtained in immunohistochemistry.
Patients were followed after surgery for 3 months and no complications were
detected in none of them. Thoracoscopic enucleation of esophageal leiomyoma is a
safe and feasible procedure which can decrease hospitalization and operation
time. Based on our findings, the use of esophageal SCT help to detect smaller
tumor without need of intra-operative endoscopy, facilitates separation of the
tumor mass from both esophageal mucosal and muscular layers, and may prevent
perforation. Finally, use of SCT makes the operation faster and safer.
PMID- 29651404
TI - Unusual Evolution of Plexiform Neurofibroma in the Scalp: A Case Report.
AB - The neurofibromatosis is a frequent and polymorphic genetic disorder. The
severity is related to the complications. The degeneration of neurofibroma is a
very rare complication of neurofibromatosis. In the literature, a few cases of
solitary neurofibroma, which turned into a malignant tumor were reported. In our
case, we described a very rare clinical case of neurofibrosarcoma in the scalp,
and surgical treatment.
PMID- 29651405
TI - Medial Laminectomy of the Large Concha Bullosa in Crooked Nose.
PMID- 29651406
TI - A New Surgical Technique in Treatment of Nail Onycogryphosis.
PMID- 29651408
TI - Patients with multimorbidity and their treatment burden in different daily life
domains: a qualitative study in primary care in the Netherlands and Belgium.
AB - Background: Physicians and other healthcare professionals involved in the care of
patients with multimorbidity should consider the problems these patients
experience in managing their own conditions. However, treatment burden from the
patient's perspective has been poorly explored, even though this might hamper
treatment adherence. Objective: The present study examined the experiences of
patients with multimorbidity in primary care in the Netherlands and Belgium using
semi-structured interviews, with special attention to the daily life domains of
treatment burden. Design: Individual interviews gathering qualitative data to
explore the treatment burden experienced by patients with multimorbidity in
primary care. Twenty-two patients agreed to participate: seven men and fifteen
women. The recorded interviews were transcribed verbatim and evaluated using
thematic content analysis. Results: The patients reported numerous aspects of
treatment burden they experienced in various domains of their daily lives. These
topics were categorized into four daily life domains of burden: organization of
care, medication, patient's role, and impact on daily life (including the
involvement of caregivers). Conclusions: The findings indicate that primary care
patients with multimorbidity report treatment burden in several domains of their
daily lives, not restricted to medical issues, such as side effects of
medication. Some issues, such as those related to organization of care, seem
easily modifiable. Further research is required focusing on special factors of
treatment burden as experienced by patients with multimorbidity, and the
implications for treatment adherence, especially in European settings, as little
information is currently available.
PMID- 29651407
TI - Combination Therapy With Histone Deacetylase Inhibitors (HDACi) for the Treatment
of Cancer: Achieving the Full Therapeutic Potential of HDACi.
AB - Genetic and epigenetic changes in DNA are involved in cancer development and
tumor progression. Histone deacetylases (HDACs) are key regulators of gene
expression that act as transcriptional repressors by removing acetyl groups from
histones. HDACs are dysregulated in many cancers, making them a therapeutic
target for the treatment of cancer. Histone deacetylase inhibitors (HDACi), a
novel class of small-molecular therapeutics, are now approved by the Food and
Drug Administration as anticancer agents. While they have shown great promise,
resistance to HDACi is often observed and furthermore, HDACi have shown limited
success in treating solid tumors. The combination of HDACi with standard
chemotherapeutic drugs has demonstrated promising anticancer effects in both
preclinical and clinical studies. In this review, we summarize the research thus
far on HDACi in combination therapy, with other anticancer agents and their
translation into preclinical and clinical studies. We additionally highlight the
side effects associated with HDACi in cancer therapy and discuss potential
biomarkers to either select or predict a patient's response to these agents, in
order to limit the off-target toxicity associated with HDACi.
PMID- 29651409
TI - Incidence of comorbidities in women with breast cancer treated with tamoxifen or
an aromatase inhibitor: an Australian population-based cohort study.
AB - Background: The development of comorbidities has become increasingly relevant
with longer-term cancer survival. Objective: To assess the pattern of
comorbidities among Australian women with breast cancer treated with tamoxifen or
an aromatase inhibitor. Design: Retrospective cohort study using Pharmaceutical
Benefits Scheme (PBS) data (10% sample) from January 2003 to December 2014.
Dispensing claims data were used to identify comorbidities and classified with
the Rx-Risk-V model. The breast cancer cohort had tamoxifen or an aromatase
inhibitor dispensed between 2004 and 2011 with no switching between types of
endocrine therapy. Comparisons were made between the breast cancer cohort and
specific control groups (age- and sex-matched at 1:10 ratio without any
dispensing of anti-neoplastic agents during the study period) for the development
of five individual comorbidities over time using Cox regression models. Results:
Women treated with tamoxifen had a higher incidence of cardiovascular conditions,
diabetes, and pain or pain-inflammation, but a lower incidence of hyperlipidaemia
compared with non-cancer control groups, as indicated by PBS data. Women treated
with aromatase inhibitors were more likely to develop cardiovascular conditions,
osteoporosis, and pain or pain-inflammation compared with non-cancer control
groups. The risks of hyperlipidaemia and osteoporosis were significantly lower
among tamoxifen users compared with aromatase inhibitor users. Conclusions: Women
with hormone-dependent breast cancer treated with an endocrine therapy had a
higher risk of developing specified comorbid conditions than women without
cancer, with different comorbidity profiles for those on tamoxifen versus
aromatase inhibitors. Further research into the causes and mechanism of
development and management of comorbidities after cancer is needed.
PMID- 29651410
TI - Analysis of microRNAs Expression Profiles in Madin-Darby Bovine Kidney Cells
Infected With Caprine Parainfluenza Virus Type 3.
AB - Caprine parainfluenza virus type 3 (CPIV3) is a newly emerging pathogenic
respiratory agent infecting both young and adult goats, and it was identified in
eastern China in 2013. Cellular microRNAs (miRNAs) have been reported to be
important modulators of the intricate virus-host interactions. In order to
elucidate the role of miRNAs in madin-darby bovine kidney (MDBK) cells during
CPIV3 infection. In this study, we performed high-throughput sequencing
technology to analyze small RNA libraries in CPIV3-infected and mock-infected
MDBK cells. The results showed that a total of 249 known and 152 novel candidate
miRNAs were differentially expressed in MDBK cells after CPIV3 infection, and
22,981 and 22,572 target genes were predicted, respectively. In addition, RT-qPCR
assay was used to further confirm the expression patterns of 13 of these
differentially expressed miRNAs and their mRNA targets. Functional annotation
analysis showed these up- and downregulated target genes were mainly involved in
MAPK signaling pathway, Jak-STAT signaling pathway, Toll-like receptor signaling
pathway, p53 signaling pathway, focal adhesion, NF-kappa B signaling pathway, and
apoptosis, et al. To our knowledge, this is the first report of the comparative
expression of miRNAs in MDBK cells after CPIV3 infection. Our finding provides
information concerning miRNAs expression profile in response to CPIV3 infection,
and offers clues for identifying potential candidates for antiviral therapies
against CPIV3.
PMID- 29651411
TI - Leishmania Antigenuria to Predict Initial Treatment Failure and Relapse in
Visceral Leishmaniasis/HIV Coinfected Patients: An Exploratory Study Nested
Within a Clinical Trial in Ethiopia.
AB - Background: Biomarkers predicting the risk of VL treatment failure and relapse in
VL/HIV coinfected patients are needed. Nested within a two-site clinical trial in
Ethiopia (2011-2015), we conducted an exploratory study to assess whether (1)
levels of Leishmania antigenuria measured at VL diagnosis were associated with
initial treatment failure and (2) levels of Leishmania antigenuria at the end of
treatment (parasitologically-confirmed cure) were associated with subsequent
relapse. Methods:Leishmania antigenuria at VL diagnosis and cure was determined
using KAtex urine antigen test and graded as negative (0), weak/moderate (grade
1+/2+) or strongly-positive (3+). Logistic regression and Kaplan-Meier methods
were used to assess the association between antigenuria and (1) initial treatment
failure, and (2) relapse over the 12 months after cure, respectively. Results:
The analysis to predict initial treatment failure included sixty-three coinfected
adults [median age: 30 years interquartile range (IQR) 27-35], median CD4 count:
56 cells/MUL (IQR 38-113). KAtex results at VL diagnosis were negative in 11
(17%), weak/moderate in 17 (27%) and strongly-positive in 35 (36%). Twenty (32%)
patients had parasitologically-confirmed treatment failure, with a risk of
failure of 9% (1/11) with KAtex-negative results, 0% (0/17) for KAtex 1+/2+ and
54% (19/35) for KAtex 3+ results. Compared to KAtex-negative patients, KAtex 3+
patients were at increased risk of treatment failure [odds ratio 11.9 (95% CI 1.4
103.0); P: 0.025]. Forty-four patients were included in the analysis to predict
relapse [median age: 31 years (IQR 28-35), median CD4 count: 116 cells/MUL (IQR
95-181)]. When achieving VL cure, KAtex results were negative in 19 (43%),
weak/moderate (1+/2+) in 10 (23%), and strongly positive (3+) in 15 patients
(34%). Over the subsequent 12 months, eight out of 44 patients (18%) relapsed.
The predicted 1-year relapse risk was 6% for KAtex-negative results, 14% for
KAtex 1+/2+ and 42% for KAtex 3+ results [hazard ratio of 2.2 (95% CI 0.1-34.9)
for KAtex 1+/2+ and 9.8 (95% CI 1.8-82.1) for KAtex 3+, compared to KAtex
negative patients; P: 0.03]. Conclusion: A simple field-deployable Leishmania
urine antigen test can be used for risk stratification of initial treatment
failure and VL relapse in HIV-patients. A dipstick-format would facilitate field
implementation.
PMID- 29651412
TI - Editorial: Advances in Health-Care Transition for Patients With Childhood-Onset
Chronic Diseases: International Perspectives.
PMID- 29651413
TI - Are There Striking Differences in Outpatient Use of Antibiotics Between South
Backa District, Serbia, and Some Scandinavian Countries?
AB - There is little published information about antibiotic utilization in outpatients
in Serbia. The objective of this study was to determine the amount and structure
of outpatient antibiotic use in South Backa District (SBD) in Serbia, to assess
prescibing quality of antibiotics and to compare with results from Scandinavian
countries. Data on the antibiotic use were collected from all private and state
owned pharmacies from January through March 2008 in SBD. Results were expressed
as the number of defined daily doses/1,000 inhabitants/day. The drug utilization
90% method was also used. Penicillins were the most frequently used antibiotic
subgroup in SBD (35.20%), followed by cephalosporins (19.16%) and macrolides
(13.18%). Thirteen drugs accounted for 90% of total antibiotics consumption
(DU90% segment). The average cost/DDD within the DU90% segment was 0.95 euros,
whereas the average cost/DDD beyond the DU90% segment was 1.89 euros, indicating
that less expensive antibiotics were more frequently used. High use of
ampicillin, third-generation cefalosporins, co-trimoxazole, and gentamicin, will
aggravate the alarming problem of resistance in Serbia. Differences in the amount
and structure of antibiotic consumption between SBD and Scandinavian countries
indicate the need of updated national guidelines for rational antimicrobial drug
use in Serbia.
PMID- 29651414
TI - How Segregation Makes Us Fat: Food Behaviors and Food Environment as Mediators of
the Relationship Between Residential Segregation and Individual Body Mass Index.
AB - Objectives: Racial residential segregation affects food landscapes that dictate
residents' food environments and is associated with obesity risk factors,
including individual dietary patterns and behaviors. We examine if food behaviors
and environments mediate the association between segregation and body mass index
(BMI). Methods: Non-Hispanic Whites and Blacks living in the St. Louis and Kansas
City metro regions from 2012 to 2013 were surveyed on dietary behaviors, food
environment, and BMI (n = 1,412). These data were combined with the CDC's
modified retail food environment index and 2012 American Community Survey data to
calculate racial segregation using various evenness and exposure indices. Multi
level mediation analyses were conducted to determine if dietary behavior and food
environment mediate the association between racial residential segregation and
individual BMI. Results: The positive association between racial segregation and
individual BMI is partially mediated by dietary behaviors and fully mediated by
food environments. Conclusion: Racial segregation (evenness and exposure) is
associated with BMI, mediated by dietary behaviors and food environment. Elements
of the food environment, which form the context for dietary behaviors, are
potential targets for interventions to reduce obesity in residentially segregated
areas.
PMID- 29651415
TI - Longitudinal Changes in Physical Activity Level, Body Mass Index, and Oxygen
Uptake Among Norwegian Adolescents.
AB - Several studies have investigated activity levels among adolescents, but no study
has examined longitudinal changes in physical activity (PA) level, body mass, and
oxygen uptake among the same adolescents from the age of 14 to 19 years. The
present study examined data from a research project that included a group of
randomly selected students (N = 116) with objective measurements of PA
(accelerometer data), self-reported PA level, and body mass and oxygen uptake
during a 5-year period. The results show a significant decrease in the
accelerometer-based PA level over time, from age 14 to 19. At 14 years of age,
the minutes of moderate and/or vigorous PA was 66.7 min.day-1, but was less than
half, at only 24.4 min.day-1, at 19 years of age. The self-reported activity data
show a decrease in girls' general activity level over time, while boys' activity
level during school breaks decreased strongly during the period: at age 14, 61%
of the boys were classified as active, while at age 19, only 11% were physically
active. Furthermore, body mass index increased during the period for both
genders, while oxygen uptake decreased. Since both BMI and maximal oxygen uptake
are important risk factors for future CVD, these findings point toward the
importance of maintaining a high activity level during childhood and adolescence,
in order to keep fit later in life.
PMID- 29651416
TI - e-Bitter: Bitterant Prediction by the Consensus Voting From the Machine-Learning
Methods.
AB - In-silico bitterant prediction received the considerable attention due to the
expensive and laborious experimental-screening of the bitterant. In this work, we
collect the fully experimental dataset containing 707 bitterants and 592 non
bitterants, which is distinct from the fully or partially hypothetical non
bitterant dataset used in the previous works. Based on this experimental dataset,
we harness the consensus votes from the multiple machine-learning methods (e.g.,
deep learning etc.) combined with the molecular fingerprint to build the
bitter/bitterless classification models with five-fold cross-validation, which
are further inspected by the Y-randomization test and applicability domain
analysis. One of the best consensus models affords the accuracy, precision,
specificity, sensitivity, F1-score, and Matthews correlation coefficient (MCC) of
0.929, 0.918, 0.898, 0.954, 0.936, and 0.856 respectively on our test set. For
the automatic prediction of bitterant, a graphic program "e-Bitter" is developed
for the convenience of users via the simple mouse click. To our best knowledge,
it is for the first time to adopt the consensus model for the bitterant
prediction and develop the first free stand-alone software for the experimental
food scientist.
PMID- 29651418
TI - Role of the Sympathetic Nervous System and Its Modulation in Renal Hypertension.
AB - The kidneys are densely innervated with renal efferent and afferent nerves to
communicate with the central nervous system. Innervation of major structural
components of the kidneys, such as blood vessels, tubules, the pelvis, and
glomeruli, forms a bidirectional neural network to relay sensory and sympathetic
signals to and from the brain. Renal efferent nerves regulate renal blood flow,
glomerular filtration rate, tubular reabsorption of sodium and water, as well as
release of renin and prostaglandins, all of which contribute to cardiovascular
and renal regulation. Renal afferent nerves complete the feedback loop via
central autonomic nuclei where the signals are integrated and modulate central
sympathetic outflow; thus both types of nerves form integral parts of the self
regulated renorenal reflex loop. Renal sympathetic nerve activity (RSNA) is
commonly increased in pathophysiological conditions such as hypertension and
chronic- and end-stage renal disease. Increased RSNA raises blood pressure and
can contribute to the deterioration of renal function. Attempts have been made to
eliminate or interfere with this important link between the brain and the kidneys
as a neuromodulatory treatment for these conditions. Catheter-based renal
sympathetic denervation has been successfully applied in patients with resistant
hypertension and was associated with significant falls in blood pressure and
renal protection in most studies performed. The focus of this review is the
neural contribution to the control of renal and cardiovascular hemodynamics and
renal function in the setting of hypertension and chronic kidney disease, as well
as the specific roles of renal efferent and afferent nerves in this scenario and
their utility as a therapeutic target.
PMID- 29651417
TI - Aging Mouse Models Reveal Complex Tumor-Microenvironment Interactions in Cancer
Progression.
AB - Mouse models and genetically engineered mouse models (GEMM) are essential
experimental tools for the understanding molecular mechanisms within complex
biological systems. GEMM are especially useful for inferencing phenocopy
information to genetic human diseases such as breast cancer. Human breast cancer
modeling in mice most commonly employs mammary epithelial-specific promoters to
investigate gene function(s) and, in particular, putative oncogenes. Models are
specifically useful in the mammary epithelial cell in the context of the complete
mammary gland environment. Gene targeted knockout mice including conditional
targeting to specific mammary cells can reveal developmental defects in mammary
organogenesis and demonstrate the importance of putative tumor suppressor genes.
Some of these models demonstrate a non-traditional type of tumor suppression
which involves interplay between the tumor susceptible cell and its
host/environment. These GEMM help to reveal the processes of cancer progression
beyond those intrinsic to cancer cells. Furthermore, the, analysis of mouse
models requires appropriate consideration of mouse strain, background, and
environmental factors. In this review, we compare aging-related factors in mouse
models for breast cancer. We introduce databases of GEMM attributes and colony
functional variations.
PMID- 29651419
TI - Management of Glioblastoma Multiforme in a Patient Treated With Ketogenic
Metabolic Therapy and Modified Standard of Care: A 24-Month Follow-Up.
AB - Few advances have been made in overall survival for glioblastoma multiforme (GBM)
in more than 40 years. Here, we report the case of a 38-year-old man who
presented with chronic headache, nausea, and vomiting accompanied by left partial
motor seizures and upper left limb weakness. Enhanced brain magnetic resonance
imaging revealed a solid cystic lesion in the right partial space suggesting GBM.
Serum testing revealed vitamin D deficiency and elevated levels of insulin and
triglycerides. Prior to subtotal tumor resection and standard of care (SOC), the
patient conducted a 72-h water-only fast. Following the fast, the patient
initiated a vitamin/mineral-supplemented ketogenic diet (KD) for 21 days that
delivered 900 kcal/day. In addition to radiotherapy, temozolomide chemotherapy,
and the KD (increased to 1,500 kcal/day at day 22), the patient received
metformin (1,000 mg/day), methylfolate (1,000 mg/day), chloroquine phosphate (150
mg/day), epigallocatechin gallate (400 mg/day), and hyperbaric oxygen therapy
(HBOT) (60 min/session, 5 sessions/week at 2.5 ATA). The patient also received
levetiracetam (1,500 mg/day). No steroid medication was given at any time. Post
surgical histology confirmed the diagnosis of GBM. Reduced invasion of tumor
cells and thick-walled hyalinized blood vessels were also seen suggesting a
therapeutic benefit of pre-surgical metabolic therapy. After 9 months treatment
with the modified SOC and complimentary ketogenic metabolic therapy (KMT), the
patient's body weight was reduced by about 19%. Seizures and left limb weakness
resolved. Biomarkers showed reduced blood glucose and elevated levels of urinary
ketones with evidence of reduced metabolic activity (choline/N-acetylaspartate
ratio) and normalized levels of insulin, triglycerides, and vitamin D. This is
the first report of confirmed GBM treated with a modified SOC together with KMT
and HBOT, and other targeted metabolic therapies. As rapid regression of GBM is
rare following subtotal resection and SOC alone, it is possible that the response
observed in this case resulted in part from the modified SOC and other novel
treatments. Additional studies are needed to validate the efficacy of KMT
administered with alternative approaches that selectively increase oxidative
stress in tumor cells while restricting their access to glucose and glutamine.
The patient remains in excellent health (Karnofsky Score, 100%) with continued
evidence of significant tumor regression.
PMID- 29651421
TI - When the Nose Doesn't Know: Canine Olfactory Function Associated With Health,
Management, and Potential Links to Microbiota.
AB - The impact of health, management, and microbiota on olfactory function in canines
has not been examined in review. The most important characteristic of the
detection canine is its sense of smell. Olfactory receptors are primarily located
on the ethmoturbinates of the nasal cavity. The vomeronasal organ is an
additional site of odor detection that detects chemical signals that stimulate
behavioral and/or physiological changes. Recent advances in the genetics of
olfaction suggest that genetic changes, along with the unique anatomy and airflow
of the canine nose, are responsible for the macrosmia of the species.
Inflammation, alterations in blood flow and hydration, and systemic diseases
alter olfaction and may impact working efficiency of detection canines. The
scientific literature contains abundant information on the potential impact of
pharmaceuticals on olfaction in humans, but only steroids, antibiotics, and
anesthetic agents have been studied in the canine. Physical stressors including
exercise, lack of conditioning, and high ambient temperature impact olfaction
directly or indirectly in the canine. Dietary fat content, amount of food per
meal, and timing of meals have been demonstrated to impact olfaction in mice and
dogs. Gastrointestinal (GI) microbiota likely impacts olfaction via bidirectional
communication between the GI tract and brain, and the microbiota is impacted by
exercise, diet, and stress. The objective of this literature review is to discuss
the specific effects of health, management, and microbiota shifts on olfactory
performance in working canines.
PMID- 29651422
TI - Aspergillus-induced superoxide production by cystic fibrosis phagocytes is
associated with disease severity.
AB - Aspergillus fumigatus infects up to 50% of cystic fibrosis (CF) patients and may
play a role in progressive lung disease. As cystic fibrosis transmembrane
conductance regulator is expressed in cells of the innate immune system, we
hypothesised that impaired antifungal immune responses play a role in CF-related
Aspergillus lung disease. Peripheral blood mononuclear cells, polymorphonuclear
cells (PMN) and monocytes were isolated from blood samples taken from CF patients
and healthy volunteers. Live-cell imaging and colorimetric assays were used to
assess antifungal activity in vitro. Production of reactive oxygen species (ROS)
was measured using luminol-induced chemiluminescence and was related to clinical
metrics as collected by case report forms. CF phagocytes are as effective as
those from healthy controls with regards to phagocytosis, killing and restricting
germination of A. fumigatus conidia. ROS production by CF phagocytes was up to
four-fold greater than healthy controls (p<0.05). This effect could not be
replicated in healthy phagocytes by priming with lipopolysaccharide or serum from
CF donors. Increased production of ROS against A. fumigatus by CF PMN was
associated with an increased number of clinical exacerbations in the previous
year (p=0.007) and reduced lung function (by forced expiratory volume in 1 s)
(p=0.014). CF phagocytes mount an intrinsic exaggerated release of ROS upon A.
fumigatus stimulation which is associated with clinical disease severity.
PMID- 29651423
TI - Zone of Polarizing Activity Regulatory Sequence Mutations/Duplications with
Preaxial Polydactyly and Longitudinal Preaxial Ray Deficiency in the Phenotype: A
Review of Human Cases, Animal Models, and Insights Regarding the Pathogenesis.
AB - Clinicians and scientists interested in developmental biology have viewed
preaxial polydactyly (PPD) and longitudinal preaxial ray deficiency (LPAD) as two
different entities. Point mutations and duplications in the zone of polarizing
activity regulatory sequence (ZRS) are associated with anterior ectopic
expression of Sonic Hedgehog (SHH) in the limb bud and usually result in a PPD
phenotype. However, some of these mutations/duplications also have LPAD in the
phenotype. This unusual PPD-LPAD association in ZRS mutations/duplications has
not been specifically reviewed in the literature. The author reviews this unusual
entity and gives insights regarding its pathogenesis.
PMID- 29651420
TI - The Human Replicative Helicase, the CMG Complex, as a Target for Anti-cancer
Therapy.
AB - DNA helicases unwind or rearrange duplex DNA during replication, recombination
and repair. Helicases of many pathogenic organisms such as viruses, bacteria, and
protozoa have been studied as potential therapeutic targets to treat infectious
diseases, and human DNA helicases as potential targets for anti-cancer therapy.
DNA replication machineries perform essential tasks duplicating genome in every
cell cycle, and one of the important functions of these machineries are played by
DNA helicases. Replicative helicases are usually multi-subunit protein complexes,
and the minimal complex active as eukaryotic replicative helicase is composed of
11 subunits, requiring a functional assembly of two subcomplexes and one protein.
The hetero-hexameric MCM2-7 helicase is activated by forming a complex with Cdc45
and the hetero-tetrameric GINS complex; the Cdc45-Mcm2-7-GINS (CMG) complex. The
CMG complex can be a potential target for a treatment of cancer and the
feasibility of this replicative helicase as a therapeutic target has been tested
recently. Several different strategies have been implemented and are under active
investigations to interfere with helicase activity of the CMG complex. This
review focuses on the molecular function of the CMG helicase during DNA
replication and its relevance to cancers based on data published in the
literature. In addition, current efforts made to identify small molecules
inhibiting the CMG helicase to develop anti-cancer therapeutic strategies were
summarized, with new perspectives to advance the discovery of the CMG-targeting
drugs.
PMID- 29651424
TI - Energy Analysis of a Complementary Heating System Combining Solar Energy and Coal
for a Rural Residential Building in Northwest China.
AB - In order to utilize solar energy to meet the heating demands of a rural
residential building during the winter in the northwestern region of China, a
hybrid heating system combining solar energy and coal was built. Multiple
experiments to monitor its performance were conducted during the winter in 2014
and 2015. In this paper, we analyze the efficiency of the energy utilization of
the system and describe a prototype model to determine the thermal efficiency of
the coal stove in use. Multiple linear regression was adopted to present the dual
function of multiple factors on the daily heat-collecting capacity of the solar
water heater; the heat-loss coefficient of the storage tank was detected as well.
The prototype model shows that the average thermal efficiency of the stove is
38%, which means that the energy input for the building is divided between the
coal and solar energy, 39.5% and 60.5% energy, respectively. Additionally, the
allocation of the radiation of solar energy projecting into the collecting area
of the solar water heater was obtained which showed 49% loss with optics and 23%
with the dissipation of heat, with only 28% being utilized effectively.
PMID- 29651426
TI - 4-Hydroxy-2-nonenal Alkylated and Peroxynitrite Nitrated Proteins Localize to the
Fused Mitochondria in Malpighian Epithelial Cells of Type IV Collagen Drosophila
Mutants.
AB - Background. Human type IV collagenopathy is associated with mutations within the
COL4A1 and to a less extent the COL4A2 genes. The proteins encoded by these genes
form heterotrimers and are the highest molar ratio components of the ubiquitous
basement membrane. The clinical manifestations of the COL4A1/A2 mutations are
systemic affecting many tissues and organs among these kidneys. In order to
uncover the cellular and biochemical alterations associated with aberrant type IV
collagen, we have explored the phenotype of the Malpighian tubules, the secretory
organ and insect kidney model, in col4a1 collagen gene mutants of the fruit fly
Drosophila melanogaster. In Malpighian epithelial cells of col4a1 mutants, robust
mitochondrial fusion indicated mutation-induced stress. Immunohistochemistry
detected proteins nitrated by peroxynitrite that localized to the enlarged
mitochondria and increased level of membrane peroxidation, assessed by the amount
of proteins alkylated by 4-hydroxy-2-nonenal that similarly localized to the
fused mitochondria. Nuclei within the Malpighian epithelium showed TUNEL
positivity suggesting cell degradation. The results demonstrated that col4a1
mutations affect the epithelia and, consequently, secretory function of the
Malpighian tubules and provide mechanistic insight into col4a1 mutation
associated functional impairments not yet reported in human patients and in mouse
models with mutant COL4A1.
PMID- 29651425
TI - MicroRNA-Mediated Regulation of HMGB1 in Human Hepatocellular Carcinoma.
AB - High-mobility group box 1 (HMGB1) is a potential therapeutic target and novel
biomarker in a variety of malignant tumors, including hepatocellular carcinoma
(HCC). More recently, a number of microRNAs (miRNAs) are identified as a class of
regulators for broad control of HMGB1-mediated biological actions in eukaryotic
cells. In this review article we will describe representative miRNAs involved in
regulating the HMGB1 signaling pathways in HCC cell lines and/or animal models.
We also propose the possible mechanisms underlying the miRNA/HMGB1 axis and
discuss the future clinical significance of miRNAs targeting HMGB1 molecule for
HCC therapy.
PMID- 29651427
TI - Do Mothers with Lower Socioeconomic Status Contribute to the Rate of All-Cause
Child Mortality in Kazakhstan?
AB - Background: This study aimed to explore whether or not mothers with higher
educational and wealth status report lower rate of child mortality compared to
those with less advantageous socioeconomic situation. Methods: Data used were
cross-sectional and collected from Multiple Indicator Cluster Survey in
Kazakhstan conducted in 2015. Subjects experiencing childbirth were 9278 women
aging between 15 and 49 years. The associations between maternal education and
household wealth status with child mortality were examined by multivariate
analytical methods. Results: The overall prevalence of child mortality was 6.7%,
with noticeable variations across the different regions. Compared with women who
had the highest educational status, those with upper and lower secondary were
1.47 and 1.89 times more likely to experience child death. Women in the lowest
and second lowest wealth quintile had 2.74 and 2.68 times higher odds of
experiencing child death compared with those in the richest wealth status
households. Conclusions: Policy makers pay special attention to improving
socioeconomic status of the mothers in an effort to reduce child mortality in the
country. Women living in the disadvantaged regions with poor access to quality
health care services should be regarded as a top priority.
PMID- 29651428
TI - Effects and Mechanisms of Tastants on the Gustatory-Salivary Reflex in Human
Minor Salivary Glands.
AB - The effects and mechanisms of tastes on labial minor salivary gland (LMSG)
secretion were investigated in 59 healthy individuals. Stimulation with each of
the five basic tastes (i.e., sweet, salty, sour, bitter, and umami) onto the
tongue induced LMSG secretion in a dose-dependent manner. Umami and sour tastes
evoked greater secretion than did the other tastes. A synergistic effect of umami
on LMSG secretion was recognized: a much greater increase in secretion was
observed by a mixed solution of monosodium glutamate and inosine 5'-monophosphate
than by each separate stimulation. Blood flow (BF) in the nearby labial mucosa
also increased following stimulation by each taste except bitter. The BF change
and LMSG secretion in each participant showed a significant positive correlation
with all tastes, including bitter. Administration of cevimeline hydrochloride
hydrate to the labial mucosa evoked a significant increase in both LMSG secretion
and BF, while adrenaline, atropine, and pirenzepine decreased LMSG secretion and
BF. The change in LMSG secretion and BF induced by each autonomic agent was
significantly correlated in each participant. These results indicate that basic
tastes can induce the gustatory-salivary reflex in human LMSGs and that
parasympathetic regulation is involved in this mechanism.
PMID- 29651430
TI - Biochemistry: Production of High-Added Value Biomolecules for Industrial Uses.
PMID- 29651431
TI - Hsp90 Inhibition Reduces TLR5 Surface Expression and NF-kappaB Activation in
Human Myeloid Leukemia THP-1 Cells.
AB - Tumors highly express active heat shock protein 90 (Hsp90), which is involved in
tumor survival and progression. Enhanced Toll-like receptor (TLR) 5 expression
and signaling were reported to be associated with acute myeloid leukemia. In the
present study, we investigated the possible modulatory effects of Hsp90
inhibitors on TLR5 expression and signaling in the human myeloid leukemia cell
line THP-1. Cells were pretreated with various concentrations of the Hsp90
inhibitor geldanamycin (GA) or the Hsp70 inhibitor VER155008, followed by
stimulation with bacterial flagellin. Flagellin-induced nuclear factor-kappaB (NF
kappaB) activation was significantly reduced by treatment with GA or VER155008.
To elucidate the underlying mechanism of this effect, mRNA and cell surface
expression of TLR5 was examined. TLR5 mRNA expression was enhanced by both GA and
VER155008, whereas cell surface expression of TLR5 was reduced by three different
Hsp90 inhibitors, including GA, 17-(allylamino)-17-demethoxygeldanamycin, and
radicicol, and an Hsp70 inhibitor. The inhibitory effect of Hsp90 inhibitors was
much higher than that of Hsp70 inhibitor. Our results suggest that Hsp90
inhibitors suppress TLR5 surface expression and activation of NF-kappaB in THP-1
cells in response to TLR5 ligand, and these inhibitory effects may be associated
with the possible mechanisms by which Hsp90 inhibitors suppress myeloid leukemia.
PMID- 29651432
TI - Oxidative Stress Markers Patients with Parotid Gland Tumors: A Pilot Study.
AB - Salivary gland tumors account for 3-6% of tumors of the head and neck. About 80%
of salivary gland tumors occur in parotid glands. Oxidative stress (OS) is
implicated in the origin, development, and whole-body effects of various tumors.
There are no data on the occurrence of OS in the parotid gland tumors. The aim of
this study was to ascertain if whole-body OS accompanies parotid gland tumors,
based first of all on oxidative modifications of blood serum proteins and other
markers of OS in the serum of the patients. The group studied included 17
patients with pleomorphic adenoma, 9 patients with Warthin's tumor, 8 patients
with acinic cell carcinoma, and 24 age-matched controls. We found increased
concentration of interleukin 4 in patients with acinic cell carcinoma, decreased
plasma thiols, increased AOPP concentration, and decreased FRAP of blood serum in
all groups of the patients while protein oxidative modifications assessed
fluorimetrically, protein carbonyls, protein nitration, malondialdehyde
concentration, and serum ABTS*-scavenging capacity were unchanged. These data
indicate the occurrence of OS in patients with parotid gland tumors and point to
various sensitivities of OS markers.
PMID- 29651429
TI - Therapeutic Potential of Thymoquinone in Glioblastoma Treatment: Targeting Major
Gliomagenesis Signaling Pathways.
AB - Glioblastoma multiforme (GBM) is one of the most devastating brain tumors with
median survival of one year and presents unique challenges to therapy because of
its aggressive behavior. Current treatment strategy involves surgery,
radiotherapy, immunotherapy, and adjuvant chemotherapy even though optimal
management requires a multidisciplinary approach and knowledge of potential
complications from both the disease and its treatment. Thymoquinone (TQ), the
main bioactive component of Nigella sativa L., has exhibited anticancer effects
in numerous preclinical studies. Due to its multitargeting nature, TQ interferes
in a wide range of tumorigenic processes and counteract carcinogenesis, malignant
growth, invasion, migration, and angiogenesis. TQ can specifically sensitize
tumor cells towards conventional cancer treatments and minimize therapy
associated toxic effects in normal cells. Its potential to enter brain via nasal
pathway due to volatile nature of TQ adds another advantage in overcoming blood
brain barrier. In this review, we summarized the potential role of TQ in
different signaling pathways in GBM that have undergone treatment with standard
therapeutic modalities or with TQ. Altogether, we suggest further comprehensive
evaluation of TQ in preclinical and clinical level to delineate its implied
utility as novel therapeutics to combat the challenges for the treatment of GBM.
PMID- 29651433
TI - Ratio of Injured Lung Volume Fraction in Prognosis Evaluation of Acute PQ
Poisoning.
AB - Although paraquat (PQ) concentrations are the most reliable prognosis predictors
of PQ poisoning, these laboratory tests are not readily available in all
hospitals. In this study, we proposed an imaging related parameter, that is, the
ratio of injured lung volume fraction, for the prognosis evaluation of acute PQ
poisoning based on the correlation between disease progress and lung imaging
features. An artificial neural network was trained and then used to classify the
injured and normal lung regions. The ratio of injured lung volume fraction was
calculated from the injured lung volume fractions in the first and second CT
scans after three-dimensional reconstruction. Parameters of blood tests were
collected. A significant difference was observed with respect to the ratio of
injured lung volume fraction between survivors and nonsurvivors (0.73 +/- 0.17
versus 0.40 +/- 0.14, P < 0.001). No patients survived when the ratio of injured
lung volume fraction was less than 0.3, while all patients survived as the ratio
of injured lung volume fraction was greater than 0.8. Thus, the ratio of injured
lung volume fraction may provide an alternative and informative measure for the
prognosis of acute PQ poisoning.
PMID- 29651435
TI - Which Kidney Transplant Recipients Can Benefit from the Initial Tacrolimus Dose
Reduction?
AB - Background: Observational data suggest that the fixed initial recommended
tacrolimus (Tc) dosing (0.2 mg/kg/day) results in supratherapeutic drug levels in
some patients during the early posttransplant period. The aim of the study was to
analyze a wide panel of patient-related factors and their interactions which
increase the risk for first Tc blood level > 15 ng/ml. Materials and Methods: We
performed a retrospective analysis of 488 consecutive adult kidney transplant
recipients who were initially treated with triple immunosuppressive regimen
containing tacrolimus twice daily. The analysis included the first assessment of
Tc trough blood levels and several demographic, anthropometric, laboratory, and
comedication data. Results: The multiple logistic regression analysis showed that
age > 55 years, BMI > 24.6 kg/m2, blood hemoglobin concentration > 9.5 g/dl, and
the presence of anti-HCV antibodies independently increased the risk for first Tc
level > 15 ng/ml. The relative risk (RR) for first tacrolimus level > 15 ng/ml
was 1.88 (95% CI 1.35-2.64, p < 0.001) for patients with one risk factor and 2.81
(2.02-3.89, p < 0.001) for patients with two risk factors. Conclusions: Initial
tacrolimus dose reduction should be considered in older, overweight, or obese
kidney transplant recipients and in subjects with anti-HCV antibodies. Moreover,
dose reduction of tacrolimus is especially important in patients with coexisting
multiple risk factors.
PMID- 29651434
TI - Role of luxS in Stress Tolerance and Adhesion Ability in Lactobacillus plantarum
KLDS1.0391.
AB - Lactobacillus plantarum, a probiotic, has a high survival rate and high
colonization ability in the gastrointestinal tract. Tolerance to the
gastrointestinal environment and adhesion to intestinal epithelial cells by some
Lactobacillus species (excluding L. plantarum) are related to luxS/AI-2. Here,
the role of luxS in tolerance to simulated digestive juice (SDJ) and adhesion to
Caco-2 cells by L. plantarum KLDS1.0391 (hereafter, KLDS1.0391) was investigated.
The KLDS1.0391 luxS mutant strain was constructed by homologous recombination.
When luxS was deleted, acid and bile salt tolerance and survival rates in SDJ
significantly decreased (p < 0.05 for all). The ability of the luxS deletion
strain to adhere to Caco-2 cells was markedly lower than that of the wild-type
strain (p < 0.05). The ability of the luxS mutant strain to adhere (competition,
exclusion, and displacement) to Escherichia coli ATCC 25922 was significantly
lower than that of the wild-type strain (p < 0.05 for all). A significant
decrease was noted only in the exclusion adhesion inhibition of the luxS mutant
strain to Salmonella typhimurium ATCC 14028 (p < 0.05). These results indicate
that the luxS gene plays an important role in the gastrointestinal environment
tolerance and adhesion ability of KLDS1.0391.
PMID- 29651436
TI - Multicomponent Exercise Improves Hemodynamic Parameters and Mobility, but Not
Maximal Walking Speed, Transfer Capacity, and Executive Function of Older Type II
Diabetic Patients.
AB - The present study aimed to investigate the effects of a 6-month multicomponent
exercise program (MCEP) on functional, cognitive, and hemodynamic parameters of
older Type 2 diabetes mellitus (T2DM) patients. Moreover, additional analyses
were performed to evaluate if T2DM patients present impaired adaptability in
response to physical exercise when compared to nondiabetic volunteers. A total of
72 T2DM patients and 72 age-matched healthy volunteers (CG) were recruited and
submitted to functional, cognitive, and hemodynamic evaluations before and after
six months of a MCEP. The program of exercise was performed twice a week at
moderate intensity. Results indicate T2DM and nondiabetic patients present an
increase in mobility (i.e., usual walking speed) after the MCEP. However,
improvements in maximal walking speed, transfer capacity, and executive function
were only observed in the CG. On the other hand, only T2DM group reveals a marked
decline in blood pressure. In conclusion, data of the current study indicate that
a 6-month MCEP improves mobility and reduce blood pressure in T2DM patients.
However, maximal walking speed, transfer capacity, and executive function were
only improved in CG, indicating that T2DM may present impaired adaptability in
response to physical stimulus.
PMID- 29651437
TI - Questions Frequently Asked of Healthcare Professionals: A 2-Year Data Survey
Conducted at a Medical Center.
AB - In this descriptive, retrospective study, we analyzed the types of questions
posed by healthcare professionals to drug counselors at a medical center and the
types of provision of pharmaceutical advice solicited to improve pharmaceutical
care quality and establish clear directions for clinical pharmacist training. We
collected 8,558 questions posed by healthcare professionals (physicians, 38%;
pharmacists, 39%; nurses, 23%) from the electronic drug information record system
from May 2013 to April 2015 in one medical center. Overall, 52% and 45% of calls
came from outpatient and inpatient departments, respectively. Telephone was the
main route of provision of pharmaceutical advice (total, 6,035 questions; 72%),
and hospital/electronic formulary was the main reference type (43%). The top 10
topics were dosage, alternatives, drug name, usage, adverse drug reactions,
medication suggestion, drug compatibility, national health insurance criteria,
mechanism, and indications. Pharmacological classification inquiries most
frequently addressed antimicrobial agents (20%), and vancomycin was the top
single drug. Finally, 67% of calls were completed in 5 minutes. Our results
suggest that the systematic organization of issues into a searchable database
would reduce inquiry durations and improve work efficiency. Furthermore, the
availability of various search tools and methods would quickly provide healthcare
professionals with provision of drug information needed to improve patient
medication safety.
PMID- 29651438
TI - Effect of Different Carbon Sources on Biosurfactants' Production by Three Strains
of Lactobacillus spp.
AB - The potential of three indigenous bacterial strains (Lactobacillus delbrueckii
N2, Lactobacillus cellobiosus TM1, and Lactobacillus plantarum G88) for the
production of biosurfactants using sugar cane molasses or glycerol as substrates
was investigated through emulsifying, surface tension, and antimicrobial
activities. The different biosurfactants produced with molasses as substrate
exhibited high surface tension reduction from 72 mN/m to values ranged from 47.50
+/- 1.78 to 41.90 +/- 0.79 mN/m and high emulsification index ranging from 49.89
+/- 5.28 to 81.00 +/- 1.14%. Whatever the Lactobacillus strain or the substrate
used, the biosurfactants produced showed antimicrobial activities against Candida
albicans LV1, some pathogenic and/or spoilage Gram-positive and Gram-negative
bacteria. The yields of biosurfactants with molasses (2.43 +/- 0.09 to 3.03 +/-
0.09 g/L) or glycerol (2.32 +/- 0.19 to 2.82 +/- 0.05 g/L) were significantly (p
< 0.05) high compared to those obtained with MRS broth as substrate (0.30 +/-
0.02 to 0.51 +/- 0.09 g/L). Preliminary characterization of crude biosurfactants
reveals that they are mainly glycoproteins and glycolipids with molasses and
glycerol as substrate, respectively. Therefore, sugar cane molasses or glycerol
can effectively be used by Lactobacillus strains as low-cost substrates to
increase their biosurfactants production.
PMID- 29651439
TI - Micrometer-Sized Titanium Particles Induce Aseptic Loosening in Rabbit Knee.
AB - Wear debris induced aseptic loosening is the leading cause of total knee
arthroplasty (TKA) failure. The complex mechanism of aseptic loosening has been a
major issue for introducing effective prevention and treatment methods, so a
simplified yet efficient rabbit model was established to address this concern
with the use of micrometer-sized titanium particles. 20 New Zealand white rabbits
were selected and divided into two groups (control = 10, study = 10). A TKA
surgery was then performed for each of them, with implantation of a titanium rod
prosthesis which was coated evenly with micrometer-sized titanium in the study
group and nothing in the control group, into right femoral medullary cavity.
After 12 weeks, all the animals were euthanized and X-ray analyses, H&E staining,
Goldner Masson trichrome staining, Von Kossa staining, PCR, and Western blotting
of some specific mRNAs and proteins in the interface membrane tissues around the
prosthesis were carried out. The implantation of a titanium rod prosthesis coated
with 20 MUm titanium particles into the femoral medullary cavity of rabbits
caused continuous titanium particle stimulation around the prosthesis,
effectively inducing osteolysis and aseptic loosening. Titanium particle-induced
macrophages produce multiple inflammatory factors able to activate osteoclast
differentiation through the OPG/RANKL/RANK signaling pathway, resulting in
osteolysis while suppressing the function of osteoblasts and reducing bone
ingrowth around the prosthesis. This model simulated the implantation and
loosening process of an artificial prosthesis, which is an ideal etiological
model to study the aseptic prosthetic loosening.
PMID- 29651442
TI - Comment on "New Alternatives for Autoimmune Disease Treatments: Physicochemical
and Clinical Comparability of Biosimilar Etanercept".
PMID- 29651440
TI - Mast Cell, the Neglected Member of the Tumor Microenvironment: Role in Breast
Cancer.
AB - Mast cells are unique tissue-resident immune cells that secrete a diverse array
of biologically active compounds that can stimulate, modulate, or suppress the
immune response. Although mounting evidence supports that mast cells are
consistently infiltrating tumors, their role as either a driving or an opposite
force for cancer progression is still controversial. Particularly, in breast
cancer, their function is still under discussion. While some studies have shown a
protective role, recent evidence indicates that mast cells enhance blood and
lymphatic vessel formation. Interestingly, one of the most important components
of the mast cell cargo, the serine protease tryptase, is a potent angiogenic
factor, and elevated serum tryptase levels correlate with bad prognosis in breast
cancer patients. Likewise, histamine is known to induce tumor cell proliferation
and tumor growth. In agreement, mast cell depletion reduces the size of mammary
tumors and metastasis in murine models that spontaneously develop breast cancer.
In this review, we will discuss the evidence supporting protumoral and
antitumoral roles of mast cells, emphasizing recent findings placing mast cells
as important drivers of tumor progression, as well as the potential use of these
cells or their mediators as therapeutic targets.
PMID- 29651441
TI - STAT1, IGF1, RAC1, and MDM2 Are Associated with Recurrence of Giant Cell Tumor of
Bone.
AB - Background: In our previous study, mouse double minute 2 homolog (MDM2), insulin
like growth factor 1 (IGF1), signal transducer and activator of transcription 1
(STAT1), and Rac family small GTPase 1 (RAC1) were correlated with the recurrence
of giant cell tumor of bone (GCT). The aim of this study is to use a large cohort
study to confirm the involvement of these four genes in GCT recurrence. Methods:
The expression of these four genes was detected and compared between GCT patients
with or without recurrence. The correlation between the expression of these four
genes and clinical characteristics was evaluated. Protein-protein interaction
(PPI) network was constructed for functional enrichment analysis. Results: It
showed that the expression levels of MDM2, IGF1, STAT1, and RAC1 in GCT patients
with recurrence were significantly higher than those in GCT patients without
recurrence (P < 0.05). Multivariate logistic regression analysis suggested that
several clinical characteristics may influence prognosis. A PPI network was
constructed using the four genes as hub genes. Functional enrichment analysis
showed that this network involves many important biological progress mediated by
these four genes, including immune response. Conclusion: MDM2, IGF1, STAT1, and
RAC1 are associated with GCT recurrence, which might serve as biomarkers for GCT
recurrence.
PMID- 29651443
TI - Immature Dendritic Cell Therapy Confers Durable Immune Modulation in an Antigen
Dependent and Antigen-Independent Manner in Nonobese Diabetic Mice.
AB - Dendritic cell (DC) immunotherapy has been effective for prevention of type 1
diabetes (T1D) in NOD mice but fails to protect if initiated after active
autoimmunity. As autoreactivity expands inter- and intramolecularly during
disease progression, we investigated whether DCs unpulsed or pulsed with beta
cell antigenic dominant determinants (DD), subdominant determinants (SD), and
ignored determinants (ID) could prevent T1D in mice with advanced insulitis. We
found that diabetes was significantly delayed by DC therapy. Of interest, DCs
pulsed with SD or ID appeared to provide better protection. T lymphocytes from DC
treated mice acquired spontaneous proliferating capability during in vitro
culture, which could be largely eliminated by IL-2 neutralizing antibodies. This
trend maintained even 29 weeks after discontinuing DC therapy and appeared
antigen-independent. Furthermore, CD4+Foxp3+ T regulatory cells (Tregs) from DC
treated mice proliferated more actively in vitro compared to the controls, and
Tregs from DC-treated mice showed significantly enhanced immunosuppressive
activities in contrast to those from the controls. Our study demonstrates that DC
therapy leads to long-lasting immunomodulatory effects in an antigen-dependent
and antigen-independent manner and provides evidence for peptide-based
intervention during a clinically relevant window to guide DC-based immunotherapy
for autoimmune diabetes.
PMID- 29651446
TI - Autoimmunity in Reproductive Health and Pregnancy.
PMID- 29651445
TI - Regulation of Immune Cell Functions by Metabolic Reprogramming.
AB - Recent findings show that the metabolic status of immune cells can determine
immune responses. Metabolic reprogramming between aerobic glycolysis and
oxidative phosphorylation, previously speculated as exclusively observable in
cancer cells, exists in various types of immune and stromal cells in many
different pathological conditions other than cancer. The microenvironments of
cancer, obese adipose, and wound-repairing tissues share common features of
inflammatory reactions. In addition, the metabolic changes in macrophages and T
cells are now regarded as crucial for the functional plasticity of the immune
cells and responsible for the progression and regression of many pathological
processes, notably cancer. It is possible that metabolic changes in the
microenvironment induced by other cellular components are responsible for the
functional plasticity of immune cells. This review explores the molecular
mechanisms responsible for metabolic reprogramming in macrophages and T cells and
also provides a summary of recent updates with regard to the functional
modulation of the immune cells by metabolic changes in the microenvironment,
notably the tumor microenvironment.
PMID- 29651447
TI - Impaired Gal-9 Dysregulates the PBMC-Induced Th1/Th2 Imbalance in Abortion-Prone
Matings.
AB - Recurrent miscarriage is defined as the loss of 3 or more consecutive
pregnancies; however, the underlying immunologic mechanisms that trigger
pregnancy loss remain largely unelucidated. Galectin-9 (Gal-9) may modulate a
variety of biologic functions and play an important role in Th1/Th2 immune
deviation. To analyze the mechanism of Gal-9 in abortion, we used the classical
abortion-prone mouse model (DBA/2-mated CBA/J mice) to detect the expression of
Gal-9 at the maternal-fetal interface. We also mimicked the immune environment of
pregnancy by culturing trophoblast cells with peripheral blood mononuclear cells
(PBMCs) to explore how Gal-9 might be involved in the pathogenesis of abortion.
We found that the expression levels of Gal-9 in abortion-prone matings were lower
than that for controls. Using a coculture system, we detected a Th1 preponderance
in the coculture from abortion-prone matings. Furthermore, Gal-9 blockade
augmented the imbalance of Th1/Th2 immunity in abortion-prone matings by
promoting the secretion of Th1-derived cytokines in coculture, while there was a
Th2 preponderance when we administered recombinant Gal-9. In conclusion, our
results suggest that the Gal-9 signal is important for the regulation of PBMC
function toward a Th2 bias at the maternal-fetal interface, which is beneficial
for the maintenance of a normal pregnancy.
PMID- 29651444
TI - An Updated Review of the Molecular Mechanisms in Drug Hypersensitivity.
AB - Drug hypersensitivity may manifest ranging from milder skin reactions (e.g.,
maculopapular exanthema and urticaria) to severe systemic reactions, such as
anaphylaxis, drug reactions with eosinophilia and systemic symptoms (DRESS)/drug
induced hypersensitivity syndrome (DIHS), or Stevens-Johnson syndrome (SJS)/toxic
epidermal necrolysis (TEN). Current pharmacogenomic studies have made important
strides in the prevention of some drug hypersensitivity through the
identification of relevant genetic variants, particularly for genes encoding drug
metabolizing enzymes and human leukocyte antigens (HLAs). The associations
identified by these studies are usually drug, phenotype, and ethnic specific. The
drug presentation models that explain how small drug antigens might interact with
HLA and T cell receptor (TCR) molecules in drug hypersensitivity include the
hapten theory, the p-i concept, the altered peptide repertoire model, and the
altered TCR repertoire model. The broad spectrum of clinical manifestations of
drug hypersensitivity involving different drugs, as well as the various
pathomechanisms involved, makes the diagnosis and management of it more
challenging. This review highlights recent advances in our understanding of the
predisposing factors, immune mechanisms, pathogenesis, diagnostic tools, and
therapeutic approaches for drug hypersensitivity.
PMID- 29651449
TI - On the performance of the noise power spectrum from the gain-corrected
radiography images.
AB - Fixed pattern noise due to nonuniform amplifier gains and scintillator
sensitivity should be alleviated in radiography imaging to acquire low-noise x
ray images from detectors. Here, the noise property of the detector is usually
evaluated observing the noise power spectrum (NPS). A gain-correction scheme, in
which uniformly illuminated images are averaged to design a gain map, can be
applied to alleviate the fixed pattern noise problem. The normalized NPS (NNPS)
of the gain-corrected image decreases as the number of images for the average
increases and converges to an infimum, which can be achieved if the fixed pattern
noise is completely removed. If we know the NNPS infimum of the detector, then we
can determine the performance of the gain-corrected images compared with the
achievable lower bound. We first construct an image-formation model considering
the nonuniform gain and then consider two measurement methods based on
subtraction and division to estimate the NNPS infimum of the detector. In order
to obtain a high-precision NNPS infimum estimate, we consider a time-averaging
method. For several flat-panel radiography detectors, we constructed the NNPS
infimum measurements and compared them with NNPS values of the gain-corrected
images. We observed that the NNPS values of the gain-corrected images approached
the NNPS infimum as the number of images for the average increased.
PMID- 29651448
TI - High-performance, inexpensive setup for simultaneous multisite recording of
electrophysiological signals and mesoscale voltage imaging in the mouse cortex.
AB - Simultaneous recording of optical and electrophysiological signals from multiple
cortical areas may provide crucial information to expand our understanding of
cortical function. However, the insertion of multiple electrodes into the brain
may compromise optical imaging by both restricting the field of view and
interfering with the approaches used to stabilize the specimen. Existing methods
that combine electrophysiological recording and optical imaging in vivo implement
either multiple surface electrodes, silicon probes, or a single electrode for
deeper recordings. To address such limitation, we built a microelectrode array
(hyperdrive, patent US5928143 A) compatible with wide-field imaging that allows
insertion of up to 12 probes into a large brain area (8 mm diameter). The
hyperdrive is comprised of a circle of individual microdrives where probes are
positioned at an angle leaving a large brain area unobstructed for wide-field
imaging. Multiple tetrodes and voltage-sensitive dye imaging were used for acute
simultaneous registration of spontaneous and evoked cortical activity in
anesthetized mice. The electrophysiological signals were used to extract local
field potential (LFP) traces, multiunit, and single-unit spiking activity. To
demonstrate our approach, we compared LFP and VSD signals over multiple regions
of the cortex and analyzed the relationship between single-unit and global
cortical population activities. The study of the interactions between cortical
activity at local and global scales, such as the one presented in this work, can
help to expand our knowledge of brain function.
PMID- 29651450
TI - Automated contour tracking and trajectory classification of pelvic organs on
dynamic MRI.
AB - A method is presented to automatically track and segment pelvic organs on dynamic
magnetic resonance imaging (MRI) followed by multiple-object trajectory
classification to improve understanding of pelvic organ prolapse (POP). POP is a
major health problem in women where pelvic floor organs fall from their normal
position and bulge into the vagina. Dynamic MRI is presently used to analyze the
organs' movements, providing complementary support for clinical examination.
However, there is currently no automated or quantitative approach to measure the
movement of the pelvic organs and their correlation with the severity of
prolapse. In the proposed method, organs are first tracked and segmented using
particle filters and [Formula: see text]-means clustering with prior information.
Then, the trajectories of the pelvic organs are modeled using a coupled switched
hidden Markov model to classify the severity of POP. Results demonstrate that the
presented method can automatically track and segment pelvic organs with a Dice
similarity index above 78% and Hausdorff distance of [Formula: see text] for 94
tested cases while demonstrating correlation between organ movement and POP. This
work aims to enable automatic tracking and analysis of multiple deformable
structures from images to improve understanding of medical disorders.
PMID- 29651451
TI - Toward dynamic lumbar puncture guidance using needle-based single-element
ultrasound imaging.
AB - Lumbar punctures (LPs) are interventional procedures that are used to collect
cerebrospinal fluid. Since the target window is small, physicians have limited
success conducting the procedure. The procedure is especially difficult for obese
patients due to the increased distance between bone and skin surface. We propose
a simple and direct needle insertion platform, enabling image formation by
sweeping a needle with a single ultrasound element at the tip. The needle-shaped
ultrasound transducer can not only sense the distance between the tip and a
potential obstacle, such as bone, but also visually locate the structures by
combining transducer location tracking and synthetic aperture focusing. The
concept of the system was validated through a simulation that revealed robust
image reconstruction under expected errors in tip localization. The initial
prototype was built into a 14 G needle and was mounted on a holster equipped with
a rotation shaft allowing one degree-of-freedom rotational sweeping and a
rotation tracking encoder. We experimentally evaluated the system using a metal
wire phantom mimicking high reflection bone structures and human spinal bone
phantom. Images of the phantoms were reconstructed, and the synthetic aperture
reconstruction improved the image quality. These results demonstrate the
potential of the system to be used as a real-time guidance tool for improving
LPs.
PMID- 29651452
TI - Barnes Maze Procedure for Spatial Learning and Memory in Mice.
AB - The Barnes maze is a dry-land based rodent behavioral paradigm for assessing
spatial learning and memory that was originally developed by its namesake, Carol
Barnes. It represents a well-established alternative to the more popular Morris
Water maze and offers the advantage of being free from the potentially
confounding influence of swimming behavior. Herein, the Barnes maze experimental
setup and corresponding procedures for testing and analysis in mice are described
in detail.
PMID- 29651453
TI - Bacterial Cell Wall Precursor Phosphatase Assays Using Thin-layer Chromatography
(TLC) and High Pressure Liquid Chromatography (HPLC).
AB - Peptidoglycan encases the bacterial cytoplasmic membrane to protect the cell from
lysis due to the turgor. The final steps of peptidoglycan synthesis require a
membrane-anchored substrate called lipid II, in which the peptidoglycan subunit
is linked to the carrier lipid undecaprenol via a pyrophosphate moiety. Lipid II
is the target of glycopeptide antibiotics and several antimicrobial peptides, and
is degraded by 'attacking' enzymes involved in bacterial competition to induce
lysis. Here we describe two protocols using thin-layer chromatography (TLC) and
high pressure liquid chromatography (HPLC), respectively, to assay the digestion
of lipid II by phosphatases such as Colicin M or the LXG toxin protein TelC from
Streptococcus intermedius. The TLC method can also monitor the digestion of
undecaprenyl (pyro)phosphate, whereas the HPLC method allows to separate the di-,
mono- or unphosphorylated disaccharide pentapeptide products of lipid II.
PMID- 29651454
TI - Multiple episodes of extensive marine anoxia linked to global warming and
continental weathering following the latest Permian mass extinction.
AB - Explaining the ~5-million-year delay in marine biotic recovery following the
latest Permian mass extinction, the largest biotic crisis of the Phanerozoic, is
a fundamental challenge for both geological and biological sciences. Ocean redox
perturbations may have played a critical role in this delayed recovery. However,
the lack of quantitative constraints on the details of Early Triassic oceanic
anoxia (for example, time, duration, and extent) leaves the links between oceanic
conditions and the delayed biotic recovery ambiguous. We report high-resolution U
isotope (delta238U) data from carbonates of the uppermost Permian to lowermost
Middle Triassic Zal section (Iran) to characterize the timing and global extent
of ocean redox variation during the Early Triassic. Our delta238U record reveals
multiple negative shifts during the Early Triassic. Isotope mass-balance modeling
suggests that the global area of anoxic seafloor expanded substantially in the
Early Triassic, peaking during the latest Permian to mid-Griesbachian, the late
Griesbachian to mid-Dienerian, the Smithian-Spathian transition, and the
Early/Middle Triassic transition. Comparisons of the U-, C-, and Sr-isotope
records with a modeled seawater PO43- concentration curve for the Early Triassic
suggest that elevated marine productivity and enhanced oceanic stratification
were likely the immediate causes of expanded oceanic anoxia. The patterns of
redox variation documented by the U-isotope record show a good first-order
correspondence to peaks in ammonoid extinctions during the Early Triassic. Our
results indicate that multiple oscillations in oceanic anoxia modulated the
recovery of marine ecosystems following the latest Permian mass extinction.
PMID- 29651455
TI - Fossil scales illuminate the early evolution of lepidopterans and structural
colors.
AB - Lepidopteran scales exhibit remarkably complex ultrastructures, many of which
produce structural colors that are the basis for diverse communication
strategies. Little is known, however, about the early evolution of lepidopteran
scales and their photonic structures. We report scale architectures from Jurassic
Lepidoptera from the United Kingdom, Germany, Kazakhstan, and China and from
Tarachoptera (a stem group of Amphiesmenoptera) from mid-Cretaceous Burmese
amber. The Jurassic lepidopterans exhibit a type 1 bilayer scale vestiture: an
upper layer of large fused cover scales and a lower layer of small fused ground
scales. This scale arrangement, plus preserved herringbone ornamentation on the
cover scale surface, is almost identical to those of some extant Micropterigidae.
Critically, the fossil scale ultrastructures have periodicities measuring from
140 to 2000 nm and are therefore capable of scattering visible light, providing
the earliest evidence of structural colors in the insect fossil record. Optical
modeling confirms that diffraction-related scattering mechanisms dominate the
photonic properties of the fossil cover scales, which would have displayed
broadband metallic hues as in numerous extant Micropterigidae. The fossil
tarachopteran scales exhibit a unique suite of characteristics, including small
size, elongate-spatulate shape, ridged ornamentation, and irregular arrangement,
providing novel insight into the early evolution of lepidopteran scales.
Combined, our results provide the earliest evidence for structural coloration in
fossil lepidopterans and support the hypothesis that fused wing scales and the
type 1 bilayer covering are groundplan features of the group. Wing scales likely
had deep origins in earlier amphiesmenopteran lineages before the appearance of
the Lepidoptera.
PMID- 29651457
TI - Light-induced dynamic structural color by intracellular 3D photonic crystals in
brown algae.
AB - Natural photonic crystals are responsible for strong reflectance at selective
wavelengths in different natural systems. We demonstrate that intracellular opal
like photonic crystals formed from lipids within photosynthetic cells produce
vivid structural color in the alga Cystoseira tamariscifolia. The reflectance of
the opaline vesicles is dynamically responsive to environmental illumination. The
structural color is present in low light-adapted samples, whereas higher light
levels produce a slow disappearance of the structural color such that it
eventually vanishes completely. Once returned to low-light conditions, the color
re-emerges. Our results suggest that these complex intracellular natural photonic
crystals are responsive to environmental conditions, changing their packing
structure reversibly, and have the potential to manipulate light for roles beyond
visual signaling.
PMID- 29651456
TI - Systematic reconstruction of autism biology from massive genetic mutation
profiles.
AB - Autism spectrum disorder (ASD) affects 1% of world population and has become a
pressing medical and social problem worldwide. As a paradigmatic complex genetic
disease, ASD has been intensively studied and thousands of gene mutations have
been reported. Because these mutations rarely recur, it is difficult to (i)
pinpoint the fewer disease-causing versus majority random events and (ii)
replicate or verify independent studies. A coherent and systematic understanding
of autism biology has not been achieved. We analyzed 3392 and 4792 autism-related
mutations from two large-scale whole-exome studies across multiple resolution
levels, that is, variants (single-nucleotide), genes (protein-coding unit), and
pathways (molecular module). These mutations do not recur or replicate at the
variant level, but significantly and increasingly do so at gene and pathway
levels. Genetic association reveals a novel gene + pathway dual-hit model, where
the mutation burden becomes less relevant. In multiple independent analyses,
hundreds of variants or genes repeatedly converge to several canonical pathways,
either novel or literature-supported. These pathways define recurrent and
systematic ASD biology, distinct from previously reported gene groups or
networks. They also present a catalog of novel ASD risk factors including 118
variants and 72 genes. At a subpathway level, most variants disrupt the pathway
related gene functions, and in the same gene, they tend to hit residues extremely
close to each other and in the same domain. Multiple interacting variants
spotlight key modules, including the cAMP (adenosine 3',5'-monophosphate) second
messenger system and mGluR (metabotropic glutamate receptor) signaling regulation
by GRKs (G protein-coupled receptor kinases). At a superpathway level, distinct
pathways further interconnect and converge to three biology themes: synaptic
function, morphology, and plasticity.
PMID- 29651458
TI - Differential expression of voltage-gated sodium channels in afferent neurons
renders selective neural block by ionic direct current.
AB - The assertion that large-diameter nerve fibers have low thresholds and small
diameter fibers have high thresholds in response to electrical stimulation has
been held in a nearly axiomatic regard in the field of neuromodulation and
neuroprosthetics. In contrast to the short pulses used to evoke action
potentials, long-duration ionic direct current has been shown to block neural
activity. We propose that the main determinant of the neural sensitivity to
direct current block is not the size of the axon but the types of voltage-gated
sodium channels prevalent in its neural membrane. On the basis of the variants of
voltage-gated sodium channels expressed in different types of neurons in the
peripheral nerves, we hypothesized that the small-diameter nociceptive fibers
could be preferentially blocked. We show the results of a computational model and
in vivo neurophysiology experiments that offer experimental validation of this
novel phenomenon.
PMID- 29651459
TI - Evo-devo models of tooth development and the origin of hominoid molar diversity.
AB - The detailed anatomical features that characterize fossil hominin molars figure
prominently in the reconstruction of their taxonomy, phylogeny, and paleobiology.
Despite the prominence of molar form in human origins research, the underlying
developmental mechanisms generating the diversity of tooth crown features remain
poorly understood. A model of tooth morphogenesis-the patterning cascade model
(PCM)-provides a developmental framework to explore how and why the varying molar
morphologies arose throughout human evolution. We generated virtual maps of the
inner enamel epithelium-an indelibly preserved record of enamel knot arrangement
in 17 living and fossil hominoid species to investigate whether the PCM explains
the expression of all major accessory cusps. We found that most of the variation
and evolutionary changes in hominoid molar morphology followed the general
developmental rule shared by all mammals, outlined by the PCM. Our results have
implications for the accurate interpretation of molar crown configuration in
hominoid systematics.
PMID- 29651460
TI - Isoprene photo-oxidation products quantify the effect of pollution on hydroxyl
radicals over Amazonia.
AB - Nitrogen oxides (NO x ) emitted from human activities are believed to regulate
the atmospheric oxidation capacity of the troposphere. However, observational
evidence is limited for the low-to-median NO x concentrations prevalent outside
of polluted regions. Directly measuring oxidation capacity, represented primarily
by hydroxyl radicals (OH), is challenging, and the span in NO x concentrations at
a single observation site is often not wide. Concentrations of isoprene and its
photo-oxidation products were used to infer the equivalent noontime OH
concentrations. The fetch at an observation site in central Amazonia experienced
varied contributions from background regional air, urban pollution, and biomass
burning. The afternoon concentrations of reactive nitrogen oxides (NO y ),
indicative of NO x exposure during the preceding few hours, spanned from 0.3 to
3.5 parts per billion. Accompanying the increase of NO y concentration, the
inferred equivalent noontime OH concentrations increased by at least 250% from
0.6 * 106 to 1.6 * 106 cm-3. The conclusion is that, compared to background
conditions of low NO x concentrations over the Amazon forest, pollution increased
NO x concentrations and amplified OH concentrations, indicating the
susceptibility of the atmospheric oxidation capacity over the forest to
anthropogenic influence and reinforcing the important role of NO x in sustaining
OH concentrations.
PMID- 29651461
TI - Limiting parental interaction during vocal development affects acoustic call
structure in marmoset monkeys.
AB - Human vocal development is dependent on learning by imitation through social
feedback between infants and caregivers. Recent studies have revealed that vocal
development is also influenced by parental feedback in marmoset monkeys,
suggesting vocal learning mechanisms in nonhuman primates. Marmoset infants that
experience more contingent vocal feedback than their littermates develop
vocalizations more rapidly, and infant marmosets with limited parental
interaction exhibit immature vocal behavior beyond infancy. However, it is yet
unclear whether direct parental interaction is an obligate requirement for proper
vocal development because all monkeys in the aforementioned studies were able to
produce the adult call repertoire after infancy. Using quantitative measures to
compare distinct call parameters and vocal sequence structure, we show that
social interaction has a direct impact not only on the maturation of the vocal
behavior but also on acoustic call structures during vocal development. Monkeys
with limited parental interaction during development show systematic differences
in call entropy, a measure for maturity, compared with their normally raised
siblings. In addition, different call types were occasionally uttered in motif
like sequences similar to those exhibited by vocal learners, such as birds and
humans, in early vocal development. These results indicate that a lack of
parental interaction leads to long-term disturbances in the acoustic structure of
marmoset vocalizations, suggesting an imperative role for social interaction in
proper primate vocal development.
PMID- 29651462
TI - Discovery of a hypersaline subglacial lake complex beneath Devon Ice Cap,
Canadian Arctic.
AB - Subglacial lakes are unique environments that, despite the extreme dark and cold
conditions, have been shown to host microbial life. Many subglacial lakes have
been discovered beneath the ice sheets of Antarctica and Greenland, but no
spatially isolated water body has been documented as hypersaline. We use radio
echo sounding measurements to identify two subglacial lakes situated in bedrock
troughs near the ice divide of Devon Ice Cap, Canadian Arctic. Modeled basal ice
temperatures in the lake area are no higher than -10.5 degrees C, suggesting that
these lakes consist of hypersaline water. This implication of hypersalinity is in
agreement with the surrounding geology, which indicates that the subglacial lakes
are situated within an evaporite-rich sediment unit containing a bedded salt
sequence, which likely act as the solute source for the brine. Our results reveal
the first evidence for subglacial lakes in the Canadian Arctic and the first
hypersaline subglacial lakes reported to date. We conclude that these previously
unknown hypersaline subglacial lakes may represent significant and largely
isolated microbial habitats, and are compelling analogs for potential ice-covered
brine lakes and lenses on planetary bodies across the solar system.
PMID- 29651463
TI - Anatomy of Mississippi Delta growth and its implications for coastal restoration.
AB - The decline of several of the world's largest deltas has spurred interest in
expensive coastal restoration projects to make these economically and
ecologically vital regions more sustainable. The success of these projects
depends, in part, on our understanding of how delta plains evolve over time
scales longer than the instrumental record. Building on a new set of optically
stimulated luminescence ages, we demonstrate that a large portion (~10,000 km2)
of the late Holocene river-dominated Mississippi Delta grew in a radially
symmetric fashion for almost a millennium before abandonment. Sediment was
dispersed by deltaic distributaries that formed by means of bifurcations at the
coeval shoreline and remained active throughout the life span of this landform.
Progradation rates (100 to 150 m/year) were surprisingly constant, producing 6 to
8 km2 of new land per year. This shows that robust rates of land building were
sustained under preindustrial conditions. However, these rates are several times
lower than rates of land loss over the past century, indicating that only a small
portion of the Mississippi Delta may be sustainable in a future world with
accelerated sea-level rise.
PMID- 29651464
TI - HEx: A heterologous expression platform for the discovery of fungal natural
products.
AB - For decades, fungi have been a source of U.S. Food and Drug Administration
approved natural products such as penicillin, cyclosporine, and the statins.
Recent breakthroughs in DNA sequencing suggest that millions of fungal species
exist on Earth, with each genome encoding pathways capable of generating as many
as dozens of natural products. However, the majority of encoded molecules are
difficult or impossible to access because the organisms are uncultivable or the
genes are transcriptionally silent. To overcome this bottleneck in natural
product discovery, we developed the HEx (Heterologous EXpression) synthetic
biology platform for rapid, scalable expression of fungal biosynthetic genes and
their encoded metabolites in Saccharomyces cerevisiae. We applied this platform
to 41 fungal biosynthetic gene clusters from diverse fungal species from around
the world, 22 of which produced detectable compounds. These included novel
compounds with unexpected biosynthetic origins, particularly from poorly studied
species. This result establishes the HEx platform for rapid discovery of natural
products from any fungal species, even those that are uncultivable, and opens the
door to discovery of the next generation of natural products.
PMID- 29651465
TI - Fruit and Vegetable Consumption, and Physical Activity with Partner and Parental
Status in African American Adults.
AB - Objectives: The purpose of this study was to examine the relationships between
partner and parental status and self-reported weekly fruit and vegetable
consumption and level of physical activity in African American adults. Methods: A
national sample of 2,370 African Americans participated in a telephone survey.
Demographic data were collected and compared with fruit and vegetable consumption
and physical activity responses. Results: When controlling for age (mean age =
53.6 +/- 14.8 years) and education level, having children in the household was
associated with greater fruit consumption. Being partnered was associated with
moderate physical activity weekly for a higher percentage of women, and yet a
shorter duration of minutes of moderate physical activity weekly for both women
and men. Males (38.2% of the sample) reported being more physically active and
females (61.8% of the sample) reported eating more fruits and vegetables.
Conclusions: By understanding the role of partner and parental status in relation
to healthy lifestyle for African Americans, family scientists and health care
practitioners may be able to target the needs of this population to help prevent
obesity and chronic illness.
PMID- 29651466
TI - The Etiology and management of radiotherapy-induced fatigue.
AB - Fatigue is one of the most common side-effects accompanying radiotherapy, but
arguably the least understood. Radiotherapy-induced fatigue (RIF) is a clinical
subtype of cancer treatment-related fatigue. It is described as a pervasive,
subjective sense of tiredness persisting over time, interferes with activities of
daily living, and is not relieved by adequate rest or sleep. RIF is one of the
early side-effects and long-lasting for cancer patients treated with localized
radiation. Although the underlying mechanisms of fatigue have been studied in
several disease conditions, the etiology, mechanisms, and risk factors of RIF
remain elusive, and this symptom remains poorly managed. The purpose of this
paper is to review and discuss recent articles that defined, proposed biologic
underpinnings and mechanisms to explain the pathobiology of RIF, as well as
articles that proposed interventions to manage RIF. Understanding the mechanisms
of RIF can describe promising pathways to identify at-risk individuals and
identify potential therapeutic targets to alleviate and prevent RIF using a
multimodal, multidisciplinary approach.
PMID- 29651467
TI - Outcomes of Intraoperative OCT-Assisted Epiretinal Membrane Surgery from the
PIONEER Study.
AB - Purpose: To assess the retinal architecture changes which occur during epiretinal
membrane (ERM) surgery, utilizing intraoperative optical coherence tomography
(iOCT). Design: Prospective multi-surgeon single center study.
Subjects/Participants: Subjects from the PIONEER iOCT study who underwent
surgical intervention for management of ERM. Methods: All subjects underwent
vitrectomy with ERM peeling with optional internal limiting membrane (ILM)
peeling. Preoperative, intraoperative, and postoperative quantitative and
qualitative OCT assessments were performed. Clinical characteristics including
visual acuity outcomes, central subfield thickness and complications including
ERM recurrence and need for reoperation were assessed at 3, 6 and 12 months
following surgery for membrane peeling, as available. Main Outcome measures:
Visual acuity outcomes, anatomic outcomes and complications including ERM
recurrence. Microarchitectural alterations (i.e. retinal layer changes) following
membrane peeling visualized with iOCT. Results: Seventy-six were identified and
included in this analysis of clinical outcomes and quantitative OCT assessment.
Twenty-four eyes were excluded due to insufficient intraoperative OCT quality for
quantitative assessment. The mean preoperative VA measured 20/63. The mean
postoperative VA at 3 months was 20/41 (p<0.0001), at 6 months measured 20/36 (p
< 0.0001), and at 12 months measured 20/33 (p < 0.0001). Preoperative mean
central subfield thickness (CST) was 426 microns. At 3 months, the mean CST
improved to 377 microns (p < 0.0001). The 6-month postoperative CST was 367
microns (p < 0.0001) and the 12-month postoperative CST measured 359 microns (p <
0.0001). Immediately following membrane peeling, the distance between the retinal
pigment epithelium and the ellipsoid zone as well as the distance between the
retinal pigment epithelium and the cone outer segment tips/interdigitation zone
significantly increased (p < 0.001). iOCT identified occult residual membranes in
12% of cases and confirmed complete membrane peeling contrary to surgeon
impression in 9% of cases. Reoperation was required for recurrent ERM in 1% of
eyes. Conclusions: iOCT-assisted ERM peeling resulted in significant improvement
in visual acuity, reduction in macular thickness, and low recurrence rate.
Additional research is needed with randomized clinical trials to better define
the comparative success rates of image-guided ERM surgery to standard surgical
visualization techniques.
PMID- 29651468
TI - Predicting Pathways into Criminal Behavior: The Intersection of Race, Gender,
Poverty, Psychological Factors.
AB - Women's incarceration rates have increased dramatically over recent years; with
Black women's rates disproportionately and significantly higher than other races.
Researchers have attempted to understand this criminal justice involvement
disparity, and have suggested two major theoretical pathways Differential
Involvement and Differential Selection Theories to explain these racial
differences. We use the Differential Involvement Theory as a framework to discuss
how the objective experience of economic disadvantage as measured by indicators
of structural hardship including educational and employment under-attainment and
the experience of psychological stress related to resource loss (because of this
disadvantage) may explain women's engagement in criminal activity. In order to
conceptualize psychological stress, we used Hobfoll's Conservation of Resource's
(COR) Theory and measure. Next, we investigated the link between these factors
and the degree (number of times incarcerated, number of months incarcerated in
lifetime) of criminal behavior using baseline data collected from a NIH study
that drew from a racially diverse sample of former substance abusing, criminally
involved urban women. Results indicated potential racial differences in the
perception of resource loss, and underscore the complex interaction of the
experience of race, poverty, and the unique experience of stress on women's
decision making and criminal justice involvement.
PMID- 29651469
TI - Graphene-silver hybrid devices for sensitive photodetection in the ultraviolet.
AB - The weak light-matter interaction in graphene can be enhanced with a number of
strategies, among which sensitization with plasmonic nanostructures is
particularly attractive. This has resulted in the development of graphene
plasmonic hybrid systems with strongly enhanced photodetection efficiencies in
the visible and the IR, but none in the UV. Here, we describe a silver
nanoparticle-graphene stacked optoelectronic device that shows strong enhancement
of its photoresponse across the entire UV spectrum. The device fabrication
strategy is scalable and modular. Self-assembly techniques are combined with
physical shadow growth techniques to fabricate a regular large-area array of 50
nm silver nanoparticles onto which CVD graphene is transferred. The presence of
the silver nanoparticles resulted in a plasmonically enhanced photoresponse as
high as 3.2 A W-1 in the wavelength range from 330 nm to 450 nm. At lower
wavelengths, close to the Van Hove singularity of the density of states in
graphene, we measured an even higher responsivity of 14.5 A W-1 at 280 nm, which
corresponds to a more than 10 000-fold enhancement over the photoresponse of
native graphene.
PMID- 29651470
TI - Growth of vertically aligned nanowires in metal-oxide nanocomposites: kinetic
Monte-Carlo modeling versus experiments.
AB - We employ kinetic Monte-Carlo simulations to study the growth process of metal
oxide nanocomposites obtained via sequential pulsed laser deposition. Using Ni
SrTiO3 (Ni-STO) as a model system, we reduce the complexity of the computational
problem by choosing a coarse-grained approach mapping Sr, Ti and O atoms onto a
single effective STO pseudo-atom species. With this ansatz, we scrutinize the
kinetics of the sequential synthesis process, governed by alternating deposition
and relaxation steps, and analyze the self-organization propensity of Ni atoms
into straight vertically aligned nanowires embedded in the surrounding STO
matrix. We finally compare the predictions of our binary toy model with
experiments and demonstrate that our computational approach captures fundamental
aspects of self-assembled nanowire synthesis. Despite its simplicity, our
modeling strategy successfully describes the impact of relevant parameters like
the concentration or laser frequency on the final nanoarchitecture of metal-oxide
thin films grown via pulsed laser deposition.
PMID- 29651471
TI - Effect of sulfonamidoethylenediamine substituents in RuII arene anticancer
catalysts on transfer hydrogenation of coenzyme NAD+ by formate.
AB - A series of neutral pseudo-octahedral RuII sulfonamidoethylenediamine complexes
[(eta6-p-cym)Ru(N,N')Cl] where N,N' is N-(2-(R1,R2-amino)ethyl)-4
toluenesulfonamide (TsEn(R1,R2)) R1,R2 = Me,H (1); Me,Me (2); Et,H (3); benzyl,H
(Bz, 4); 4-fluorobenzyl,H (4-F-Bz, 5) or naphthalen-2-ylmethyl,H (Naph, 6), were
synthesised and characterised including the X-ray crystal structure of 3. These
complexes catalyse the reduction of NAD+ regioselectively to 1,4-NADH by using
formate as the hydride source. The catalytic efficiency depends markedly on the
steric and electronic effects of the N-substitutent, with turnover frequencies
(TOFs) increasing in the order: 1 < 2 < 3, 6 < 4, 5, achieving a TOF of 7.7 h-1
for 4 with a 95% yield of 1,4-NADH. The reduction rate was highest between pH*
(deuterated solvent) 6 and 7.5 and improved with an increase in formate
concentration (TOF of 18.8 h-1, 140 mM formate). The calculations suggested
initial substitution of an aqua ligand by formate, followed by hydride transfer
to RuII and then to NAD+, and indicated specific interactions between the aqua
complex and both NAD+ and NADH, the former allowing a preorganisation involving
interaction between the aqua ligand, formate anion and the pyridine ring of NAD+.
The complexes exhibited antiproliferative activity towards A2780 human ovarian
cancer cells with IC50 values ranging from 1 to 31 MUM, the most potent complex,
[(eta6-p-cym)Ru(TsEn(Bz,H))Cl] (4, IC50 = 1.0 +/- 0.1 MUM), having a potency
similar to the anticancer drug cisplatin. Co-administration with sodium formate
(2 mM), increased the potency of all complexes towards A2780 cells by 20-36%,
with the greatest effect seen for complex 6.
PMID- 29651472
TI - Molecular structure and adsorption of dimethyl sulfoxide at the air/aqueous
solution interface probed by non-resonant second harmonic generation.
AB - In this study, non-resonant second harmonic generation (SHG) was used to
investigate the molecular structure and adsorption of DMSO at the air/neat DMSO
liquid and air/DMSO aqueous solution interfaces. The molecular orientation of
interfacial DMSO as a function of the bulk DMSO concentration was investigated by
quantitative polarization SHG analysis. For the air/neat DMSO liquid interface,
the transition dipole moment of the S[double bond, length as m-dash]O group of
DMSO is oriented 140 degrees from the surface normal, where the S[double bond,
length as m-dash]O group of DMSO is estimated to be 30 degrees from the surface
plane. The orientation of the S[double bond, length as m-dash]O group of
interfacial DMSO is not dependent on the bulk DMSO concentration. Furthermore,
the concentration-dependent SHG signal confirmed that the antiparallel double
layer structure does not form at the air/DMSO water interface. The free energy of
adsorption of DMSO at the air/DMSO aqueous solution interface was determined to
be DeltaGads = -5.6 +/- 0.4 kJ mol-1.
PMID- 29651473
TI - Microfluidic lung airway-on-a-chip with arrayable suspended gels for studying
epithelial and smooth muscle cell interactions.
AB - Chronic lung diseases (CLDs) are regulated by complex interactions between many
different cell types residing in lung airway tissues. Specifically, interactions
between airway epithelial cells (ECs) and airway smooth muscle cells (SMCs) have
been shown in part to play major roles in the pathogenesis of CLDs, but the
underlying molecular mechanisms are not well understood. To advance our
understanding of lung pathophysiology and accelerate drug development processes,
new innovative in vitro tissue models are needed that can reconstitute the
complex in vivo microenvironment of human lung tissues. Organ-on-a-chip
technologies have recently made significant strides in recapitulating
physiological properties of in vivo lung tissue microenvironments. However, novel
advancements are still needed to enable the study of airway SMC-EC communication
with matrix interactions, and to provide higher throughput capabilities and
manufacturability. We have developed a thermoplastic-based microfluidic lung
airway-on-a-chip model that mimics the lung airway tissue microenvironment, and
in particular, the interactions between SMCs, ECs, and supporting extracellular
matrix (ECM). The microdevice is fabricated from acrylic using micromilling and
solvent bonding techniques, and consists of three vertically stacked microfluidic
compartments with a bottom media reservoir for SMC culture, a middle thin
hydrogel layer, and an upper microchamber for achieving air-liquid interface
(ALI) culture of the epithelium. A unique aspect of the design lies in the
suspended hydrogel with upper and lower interfaces for EC and SMC culture,
respectively. A mixture of type I collagen and Matrigel was found to promote EC
adhesion and monolayer formation, and SMC adhesion and alignment. Optimal
culturing protocols were established that enabled EC-SMC coculture for more than
31 days. Epithelial monolayers displayed common morphological markers including
ZO-1 tight junctions and F-actin cell cortices, while SMCs exhibited enhanced
cell alignment and expression of alpha-SMA. The thermoplastic device construction
facilitates mass manufacturing, allows EC-SMC coculture systems to be arrayed for
increased throughput, and can be disassembled to allow extraction of the
suspended gel for downstream analyses. This airway-on-a-chip device has potential
to significantly advance our understanding of SMC-EC-matrix interactions, and
their roles in the development of CLDs.
PMID- 29651474
TI - Phase transition dynamics of single optically trapped aqueous potassium carbonate
particles.
AB - Fast dynamics (down to 10 ms) during exposure to changing relative humidity of
single optically trapped K2CO3 particles were observed in the submicron to micron
size range with time-resolved broadband light scattering and Raman spectroscopy.
The study shows that complex multiple processes accompany efflorescence and
deliquescence of unsupported aerosol particles. Efflorescence can occur in a
single process in less than 10 ms (prompt) or proceed via multiple successive
processes (multistep) that can last up to more than 10 seconds. The efflorescence
relative humidity lies in the range of 9 to 25%. Raman spectra of the effloresced
particles reveal that the final state of the particle is independent of the
pathway. Deliquescence cycles start with an initial uptake of water followed by
multiple complex processes which end at the deliquescence relative humidity (44
50%). The study reveals that complex multiple processes during phase transitions
are not limited to deposited particles where heterogeneous processes may occur or
large particles in the upper micrometer size range as previously observed.
PMID- 29651475
TI - Intermolecular hydrogen bonds in water@IL supramolecular complexes.
AB - The role of small amounts of water in ionic liquids (ILs), namely, 1-n-butyl-2,3
dimethylimidazolium imidazolate (BMMI.Im), 2-methylimidazolate (BMMI.MeIm), and
pyrazolate (BMMI.Pyr), is examined using NMR spectroscopy and density functional
theory (DFT) calculations. The nuclear Overhauser effect (NOE) indicates that a
water molecule is trapped inside the ionic network, keeping the ion pair in
contact through strong H-bonds involving the hydrogen atoms of water and the
nitrogen atoms of the IL anions to give a guest@host supramolecular structure.
The formation of the H2O@IL pair complex with different ILs combined with the
strong hydrogen bond strength within the complex is responsible for the selective
H/D exchange reactions at the imidazolium C2-Me and ketone Calpha positions.
PMID- 29651476
TI - Correction: Synthesis of pyrrolidine-3-carboxylic acid derivatives via asymmetric
Michael addition reactions of carboxylate-substituted enones.
AB - Correction for 'Synthesis of pyrrolidine-3-carboxylic acid derivatives via
asymmetric Michael addition reactions of carboxylate-substituted enones' by Feng
Yin et al., Org. Biomol. Chem., 2017, 15, 6089-6092.
PMID- 29651477
TI - Metal-free C(sp2)-H functionalization of azoles: K2CO3/I2-mediated oxidation,
imination, and amination.
AB - The direct C2-H oxidation and imination of a wide variety of azoles was achieved
by using a commercially available simple K2CO3/I2 reagent combination. The
iodinated azole adduct, produced via the in situ generation of N-heterocyclic
carbene, is the key intermediate for C2-H oxidation, imination, and amination of
azoles. Significantly, these reactions proceed under mild conditions with high to
excellent yields, are scalable to large quantity and exhibit a broad substrate
scope. Interestingly, this direct C2-H imination method allowed us to access
various pharmacologically active N6-alkyl or N6-aryl substituted
benzimidazoquinazolinone scaffolds through intramolecular C-H imination in a
sequential one-pot reaction.
PMID- 29651478
TI - Halogen-like properties of the Al13 cluster mimicking astatine.
AB - Astatine-211 is considered to be one of the most promising alpha-emitters in
targeted alpha therapy (TAT). However, its development has been hindered by its
not so well understood chemistry. Hence, any attempt to understanding it better
is imperative. Here, we show through DFT calculations that the neutral endohedral
Al13 cluster follows a reactivity pattern very similar to At, more than any other
halogen. The halogen and alkali bonding with Al13 and At presented a strong
similarity in their charge transfer processes. Moreover, upon the interchange of
At with Al13, we found a stable configuration of the compound corresponding to
the astatination of aryliodonium salts, which have been considered as possible
precursors for the synthesis of 211At-labeled tracers. Our results provide
promising proof of concept that the Al13 cluster mimics the behaviour of At and
might help in better understanding its chemistry and in streamlining the chemical
processes of radiolabeling biomolecules.
PMID- 29651479
TI - Spatially dependent dose rate in liquid cell transmission electron microscopy.
AB - The use of liquid cell electron microscopy as a quantitative probe of
nanomaterial structures and reactions requires an accurate understanding of how
the sample is altered by the imaging electron beam. In particular, changes in the
chemical environment due to beam-induced radiolysis can strongly affect processes
such as solution-phase nanocrystal synthesis or electrochemical deposition. It is
generally assumed that beam effects are uniform throughout the irradiated liquid.
Here we show that for a liquid cell filled with water, the inevitable presence of
interfaces between water and the surrounding surfaces causes a spatial variation
in the energy absorbed by the water near the walls. The mechanism for this effect
is that the walls act as a source of secondary and backscattered electrons which
diffuse and deposit energy in the water nearby. This increased dose rate then
changes the local concentrations of radiolysis species. We quantify and compare
the effects for different materials used in practical liquid cells. We show that
the dose rate can increase by several times within tens of nanometers of a
water/Au interface, locally increasing the concentrations of species such as the
hydrated electron. We discuss the implications for materials processes that are
typically triggered at the solid-liquid interface.
PMID- 29651480
TI - Self-powered photogalvanic phosphorene photodetectors with high polarization
sensitivity and suppressed dark current.
AB - High polarization sensitivity, suppressed dark current and low energy consumption
are all desirable device properties for photodetectors. In this work, we propose
phosphorene-based photodetectors that are driven using photogalvanic effects
(PGEs). The inversion symmetry of pristine phosphorene is broken using either
application of an out-of-plane gate voltage or a heterostructure that is composed
of the original phosphorene and blue phosphorene. The potential asymmetry enables
PGEs under illumination by polarized light. Quantum transport calculations show
that robust photocurrents are indeed generated by PGEs under a zero external bias
voltage because of the broken inversion symmetry. These results indicate that the
proposed photodetector is self-powered. In addition, the zero bias voltage
eliminates the dark currents that are caused by application of an external bias
voltage to traditional photodetectors. High polarization sensitivity to both
linearly and circularly polarized light can also be realized, with extinction
ratios ranging up to 102. The photoresponse of the proposed phosphorene/blue
phosphorene heterostructure can be greatly enhanced by gating and is several
orders of magnitude higher than that in gated phosphorene.
PMID- 29651481
TI - Ultrasonically synthesized organic liquid-filled chitosan microcapsules: part 1:
tuning physical & functional properties.
AB - This study reports the synthesis of tetradecane-filled chitosan microcapsules in
acetic acid aqueous solutions using high intensity ultrasound at 20 kHz. The
size, size distribution, and stability of microcapsules were tuned by varying the
concentration of acetic acid from 0.2% to 25% v/v. After long-time storage at
room temperature (more than 3 months), the microcapsules maintained their shell
core structure where the volume of the microcapsules at 0.2% acetic acid
concentration increased by 8.3% due to leaking and coalescence. Microcapsules
were consistently spherical and had a smooth shell surface, however, their shell
thickness varied with acetic acid concentration. The relaxation behavior of
individual microcapsules to an applied constant stress was measured by atomic
force microscopy (AFM) to probe the shell strength and extent of crosslinking.
The effect of acetic acid on the relative viscosity of chitosan aqueous solutions
played a major role in microcapsule size control at low acid concentrations. With
constant addition of acetic acid, amino groups in chitosan chains were acetylated
partially under ultrasonic irradiation. This reduced the amphiphilicity of the
shell material and therefore influenced the size, size distribution, stability
and mechanical strength of the microcapsules. Apart from the acetylation effect,
the counter-ion effect and the formation of covalent bond crosslinks also made
contributions to the formation of stable chitosan microcapsules.
PMID- 29651482
TI - Ultrasonically synthesized organic liquid-filled chitosan microcapsules: part 2:
characterization using AFM (atomic force microscopy) and combined AFM-confocal
laser scanning fluorescence microscopy.
AB - Atomic Force Microscopy (AFM) is used to measure the stiffness and Young's
modulus of individual microcapsules that have a chitosan cross-linked shell
encapsulating tetradecane. The oil filled microcapsules were prepared using a one
pot synthesis via ultrasonic emulsification of tetradecane and crosslinking of
the chitosan shell in aqueous solutions of acetic acid. The concentration of
acetic acid in aqueous solutions of chitosan was varied from 0.2% to 25% v/v. The
effect of acetic acid concentration and size of the individual microcapsules on
the strength was probed. The deformations and forces required to rupture the
microcapsules were also measured. Three dimensional deformations of microcapsules
under large applied loads were obtained by the combination of Laser Scanning
Confocal Microscopy (LSCM) with Atomic Force Microscopy (AFM). The stiffness, and
hence the modulus, of the microcapsules was found to decrease with an increase in
size with the average stiffness ranging from 82 to 111 mN m-1 and average Young's
modulus ranging from 0.4 to 6.5 MPa. The forces required to rupture the
microcapsules varied from 150 to 250 nN with deformations of the microcapsules up
to 62 to 110% relative to their radius, respectively. Three dimensional images
obtained using laser scanning confocal microscopy showed that the microcapsules
retained their structure and shape after being subjected to large deformations
and subsequent removal of the loads. Based on the above observations, the oil
filled chitosan crosslinked microcapsules are an ideal choice for use in the food
and pharmaceutical industries as they would be able to withstand the process
conditions encountered.
PMID- 29651483
TI - Superprotonic conduction through one-dimensional ordered alkali metal ion chains
in a lanthanide-organic framework.
AB - Although no evident hydrogen-bond network appears, an ultrahigh proton
conductivity of 2.91 * 10-2 S cm-1 at 363 K and 90% RH with an ultralow
activation energy of 0.10 eV was observed in an anionic lanthanide-organic
framework Na2[Eu(SDB)2(COO)].0.375DMF.0.4H2O (1); both values approach the
records among all reported proton-conducting MOF materials. This suggests that
the proton conduction process in 1 is reminiscent of the Grotthuss mechanism,
which together reveals an effective proton transportation pathway associated with
aligned Na+ and their coordinated water.
PMID- 29651484
TI - Rieske non-heme iron-dependent oxygenases catalyse diverse reactions in natural
product biosynthesis.
AB - Covering: up to the end of 2017 The roles played by Rieske non-heme iron
dependent oxygenases in natural product biosynthesis are reviewed, with
particular focus on experimentally characterised examples. Enzymes belonging to
this class are known to catalyse a range of transformations, including oxidative
carbocyclisation, N-oxygenation, C-hydroxylation and C-C desaturation. Examples
of such enzymes that have yet to be experimentally investigated are also briefly
described and their likely functions are discussed.
PMID- 29651485
TI - Structural signature and transition dynamics of Sb2Te3 melt upon fast cooling.
AB - Crystalline Sb2Te3 is widely studied due to its important applications in memory
materials and topological insulators. The liquid and amorphous structures of this
compound as well as the dynamics upon quenching, however, are yet to be fully
understood. In this work, we have systematically studied the dynamical properties
and local structure of Sb2Te3 at different temperatures using ab initio molecular
dynamics simulations. The calculated structure factors agree well with the
experimental results. The atomic number density and mean-squared displacement as
a function of temperature clearly indicate three states as the temperature
decreases, namely, melt, undercooled liquid and glass state, respectively. By
analyzing the chemical environments and bond-angle distribution functions, we
demonstrate that the most probable short-range motifs in the Sb2Te3 system are
defective octahedrons, and they are connected with each other via four-fold
rings. This interesting structural feature may be responsible for the high
fragility and easy phase transition upon glass forming that is applied in memory
devices.
PMID- 29651486
TI - Coexistence of distinct intramolecular electron transfer pathways in
polyoxometalate based molecular triads.
AB - Polyoxometalate (POM)-associated charge-separated states, formed by the
photoinduced oxidation of a covalently attached photosensitizer and reduction of
the POM, have attracted much attention due to the remarkable catalytic properties
of the reduced POMs. However, short lifetimes of the POM-associated charge
separated state, which in some cases lead to the backward electron transfer being
more rapid than the formation of the charge-separated state itself, are generally
observed. Recently, we reported on the first example of a relative long-lived
(tau = 470 ns) charge-separated state in a Ru(ii) bis(terpyridine)-POM molecular
dyad. In this manuscript, further studies on extended molecular structures - two
molecular triads - which contain an additional electron donor, phenothiazine
(PTZ) or pi-extended tetrathiafulvalene (exTTF), are discussed. We show that the
excitation of the photosensitizer leads to the population of two distinct MLCT
states, which differ in the distribution of excess electron density on the two
distinct tpy ligands. These two MLCT states decay separately and, thus,
constitute the starting points for distinct intramolecular electron-transfer
pathways leading to the simultaneous population of two partially charge-separated
states, i.e. PTZ+-Ru(tpy)2--POM and PTZ-RuIII(tpy)2-POM-. These independent decay
pathways are unaffected by the choice of the electron donor. Thus, the initial
charge distribution within the coordination environment of the photocenter
determines the nature of the subsequent (partially) charge separated state that
is formed in the triads. These results might open new avenues to design molecular
interfaces, in which the directionality of electron transfer can be tuned by the
choice of initial excitation.
PMID- 29651487
TI - The organic-2D transition metal dichalcogenide heterointerface.
AB - Since the first isolation of graphene, new classes of two-dimensional (2D)
materials have offered fascinating platforms for fundamental science and
technology explorations at the nanometer scale. In particular, 2D transition
metal dichalcogenides (TMD) such as MoS2 and WSe2 have been intensely
investigated due to their unique electronic and optical properties, including
tunable optical bandgaps, direct-indirect bandgap crossover, strong spin-orbit
coupling, etc., for next-generation flexible nanoelectronics and nanophotonics
applications. On the other hand, organics have always been excellent materials
for flexible electronics. A plethora of organic molecules, including donors,
acceptors, and photosensitive molecules, can be synthesized using low cost and
scalable procedures. Marrying the fields of organics and 2D TMDs will bring
benefits that are not present in either material alone, enabling even better,
multifunctional flexible devices. Central to the realization of such devices is a
fundamental understanding of the organic-2D TMD interface. Here, we review the
organic-2D TMD interface from both chemical and physical perspectives. We discuss
the current understanding of the interfacial interactions between the organic
layers and the TMDs, as well as the energy level alignment at the interface,
focusing in particular on surface charge transfer and electronic screening
effects. Applications from the literature are discussed, especially in
optoelectronics and p-n hetero- and homo-junctions. We conclude with an outlook
on future scientific and device developments based on organic-2D TMD
heterointerfaces.
PMID- 29651488
TI - A full color photonic polymer, rewritable with a liquid crystal ink.
AB - A printable and rewritable photonic polymer coating has been fabricated from a
cholesteric liquid crystal. Full color images can be patterned in polymer
coatings by using a liquid crystal ink. The printed patterns can be erased and
rewritten multiple times, making these coatings interesting as rewritable papers.
PMID- 29651489
TI - How changes in interfacial pH lead to new voltammetric features: the case of the
electrochemical oxidation of hydrazine.
AB - The electrochemical oxidation of hydrazine was investigated in strongly and
weakly pH buffered solutions to reveal the role of buffer capacity in proton
electron transfer redox reactions. In sufficiently buffered solutions, a single
voltammetric feature was observed. However, increasing the hydrazine
concentration (or, equivalently, moving to an insufficiently buffered solution)
gave rise to a second voltammetric feature. These results are rationalised with a
conceptually simple model and finite element simulations. We demonstrate that the
new voltammetric feature is caused by a large change in the pH at the electrode
surface as the reaction proceeds. Importantly, we show that the occurrence of
additional voltammetric features are general for proton-electron transfer
reactions in insufficiently buffered solutions, and should not be confused with
changes in the reaction mechanism.
PMID- 29651490
TI - Palladium-catalyzed aerobic regio- and stereo-selective olefination reactions of
phenols and acrylates via direct dehydrogenative C(sp2)-O cross-coupling.
AB - An efficient olefination protocol for the oxidative dehydrogenation of phenols
and acrylates has been achieved using a palladium catalyst and O2 as the sole
oxidant. This reaction exhibits high regio- and stereo-selectivity (E-isomers)
with moderate to excellent isolated yields and a wide substrate scope (32
examples) including ethyl vinyl ketone and endofolliculina.
PMID- 29651491
TI - Unifying theoretical framework for deciphering the oxygen reduction reaction on
platinum.
AB - Rapid conversion of oxygen into water is crucial to the operation of polymer
electrolyte fuel cells and other emerging electrochemical energy technologies.
Chemisorbed oxygen species play double-edged roles in this reaction, acting as
vital intermediates on one hand and site-blockers on the other. Any attempt to
decipher the oxygen reduction reaction (ORR) must first relate the formation of
oxygen intermediates to basic electronic and electrostatic properties of the
catalytic surface, and then link it to parameters of catalyst activity. An
approach that accomplishes this feat will be of great utility for catalyst
materials development and predictive model formulation of electrode operation.
Here, we present a theoretical framework for the multiple interrelated surface
phenomena and processes involved, particularly, by incorporating the double-layer
effects. It sheds light on the roles of oxygen intermediates and gives out the
Tafel slope and exchange current density as continuous functions of electrode
potential. Moreover, it develops the concept of a rate determining term, which
should replace the concept of a rate determining step for multielectron
reactions, and offers a new perspective on the volcano relation of the ORR.
PMID- 29651492
TI - The mechanochemical Scholl reaction - a solvent-free and versatile graphitization
tool.
AB - Herein, we report on the mechanochemical Scholl reaction of dendritic
oligophenylene precursors to produce benchmark nanographenes such as hexa-peri
hexabenzocoronene (HBC), triangular shaped C60 and expanded C222 under solvent
free conditions. The solvent-free approach overcomes the bottleneck of solubility
limitation in this well-known and powerful reaction. The mechanochemical approach
allows tracking the reaction process by in situ pressure measurements. The
quality of produced nanographenes has been confirmed by MALDI-TOF mass
spectrometry and UV-Vis absorption spectroscopy. This approach paves the way
towards gram scale and environmentally benign synthesis of extended nanographenes
and possibly graphene nanoribbons suitable for application in carbon based
electronics or energy applications.
PMID- 29651493
TI - Marangoni convection at electrogenerated hydrogen bubbles.
AB - Electrolytic gas evolution is a fundamental phenomenon occurring in a large
number of industrial applications. In these processes gas bubbles are formed at
the electrode from a supersaturated solution. Since dissolved gases can change
the surface tension, a gas concentration gradient may cause the surface tension
to vary locally at the interface of the gas bubble. Surface tension gradients may
also form due to temperature gradients generated by ohmic heating of the
electrolyte. In both cases, the resulting shear stress imposes a convection in
the electrolyte and the gas bubble (Marangoni effect). This phenomenon may
influence the entire electrolytic gas evolution process, e.g., by an enhanced
mass transfer. In this study, the first evidence of the Marangoni convection near
growing hydrogen bubbles, generated by water electrolysis, is provided.
Microscopic high speed imaging was applied to study the evolution of single
hydrogen bubbles at a microelectrode. The convection near the interface of the
growing bubble was measured by using a time-resolved Particle Tracking
Velocimetry (PTV) technique. The results indicate a clear correlation between the
magnitude of the Marangoni convection and the electric current.
PMID- 29651494
TI - Oxygen-reduction catalysis of N-doped carbons prepared via heat treatment of
polyaniline at over 1100 degrees C.
AB - Advanced catalysts for the oxygen reduction reaction based on N-doped carbon
materials have been designed via pyrolysis of polyaniline at temperatures above
1100 degrees C. The detailed characterization and computational calculations
suggest that the conversion from pyridine to quaternary N in the edge position at
high temperatures is responsible for the outstanding activity.
PMID- 29651495
TI - Highly effective electrosynthesis of hydrogen peroxide from oxygen on a redox
active cationic covalent triazine network.
AB - Direct electrosynthesis of hydrogen peroxide (H2O2) by oxygen reduction is a
green and safe strategy to replace the traditional anthraquinone process. Herein,
we have designed a two-dimensional redox-active cationic covalent triazine
network to be used directly as a cost-effective metal-free electrocatalyst for
the oxygen reduction reaction (ORR) to form H2O2. Such a dicationic 2D polymer
possesses a porous structure with pore diameters of 2-10 nm and a total N content
of 13.3 wt%. The electron paramagnetic resonance experiment confirms the
reduction of a viologen-based polymer to radical cations and the subsequent
generation of superoxygen radicals. The radical characteristics and high N
content within this polymer are the essential for the efficient ORR via a two
electron pathway. As a result, the present electrocatalyst exhibits a high ORR
activity and excellent H2O2 selectivity (~85%), thus providing a feasible
possibility of designing highly selective metal-free electrocatalysts for
electrocatalytic production of H2O2 from O2.
PMID- 29651496
TI - Plasmonic sphere-on-plane systems with semiconducting polymer spacer layers.
AB - The optical properties of metal-film-coupled nanoparticles (NPs) are highly
sensitive to physical and optical interactions between the NPs and the spacer
medium in the gap between the NP and metal film. Here, we investigate the
physical and optical interactions between gold NPs (AuNPs) and semiconducting
conjugated polymer thin-film spacers in a "sphere-on-plane" type metal-film
coupled NP system, and their influence on the plasmonic scattering of individual
AuNPs. We choose two different conjugated polymers: one with an absorption
spectrum that is resonant with the plasmonic modes of the AuNPs and another that
is non-resonant. By correlating dark-field back-scattering optical images with
topographic atomic force microscope images, we find that partial embedding of the
AuNPs occurs in both conjugated polymers to different extents. This can lead to
partial quenching of certain plasmonic scattering modes, which results in a
change of the back-scattering colors from the AuNPs. Pronounced, red-shifted
scattering is observed due to deep embedding of the AuNPs, particularly for
thicker conjugated polymer spacers that have resonant absorption with the
plasmonic modes of the AuNPs. Polarization-controlled defocused dark-field
imaging is employed to visualize the emergence of horizontally-polarized
scattering modes upon embedding of AuNPs into the conjugated polymer spacer.
These results demonstrate the importance of nanoparticle-spacer physical
interactions to the control of the color and polarization of coupled plasmonic
modes in nanoparticle-film systems relevant.
PMID- 29651497
TI - A rationally assembled graphene nanoribbon/graphene framework for high volumetric
energy and power density Li-ion batteries.
AB - High volumetric energy and power densities are crucial for Li-ion batteries,
which are however hindered by the loose structure and/or insufficient
conductivity of conventional electrode laminates. Herein, an efficiently
conductive framework of graphene nanoribbons (GNRs) and graphene (G) is
rationally constructed to wrap LiFePO4 (LFP) into a binder-free dense electrode
by a coupling technique of spray deposition and vacuum filtration. The spray
ensures a uniform mixing of LFP, G and GNRs, meanwhile the vacuum filtration
leads to a dense packing of the mixture. With only 2 wt% of G and GNRs, the
LFP/GNR/G electrode delivers a high rate capability and a stable (dis)charge
cycling performance under high LFP loading conditions. Moreover, the dense
LFP/GNR/G electrode exhibits superior volumetric properties among all the
reported LFP electrodes on the basis of the entire electrode volume, including a
Li storage capacity of 318 A h L-1, an energy density of 1020 W h L-1 and a power
density of 5.1 kW L-1 at 5C rate. This unique assembly strategy and the electrode
structure pave a new way for high-volumetric-performance batteries.
PMID- 29651498
TI - Hand, Foot and Mouth Disease and Echovirus 3: A Comment to Hogsberg & Bygum.
PMID- 29651499
TI - AKI biomarkers are poor discriminants for subsequent need for renal replacement
therapy, but do not disqualify them yet.
PMID- 29651501
TI - Fullerene C60 in Atmospheric Aerosol and Its Relationship to Combustion
Processes.
AB - Fullerenes are emerging pollutants, and it is essential to determine and quantify
these compounds to assess environmental risk and environmental flows. The goal of
this work was to determine the fullerene C60 emission levels in the atmospheric
aerosol and their relationship with combustion processes. To measure the
concentration, a fullerene C60 extraction method with toluene was optimized in
air samples using ultrasound, followed by analysis using high-pressure, liquid
chromatography-diode array detector-mass spectrometry. This method has been
applied to outdoor and indoor environmental samples collected in different places
in Vitoria-Gasteiz (Spain), with diverse environmental characteristics, as well
as at the exhaust outlets of different vehicles with and without catalytic
converters. The maximum concentration of fullerene C60 present in the outdoor
samples was 2.27 pg/m3, and the maximum concentration was 10.50 pg/m3 in indoor
environments. The air samples collected at the exhaust outlets of vehicles
without catalytic converters showed fullerene C60 concentrations above 170 pg/m3,
while in the case of vehicles with catalytic converters, the detected
concentration of fullerene C60 was lower than the limit of quantification.
PMID- 29651502
TI - Historical Records and Source Apportionment of Polycyclic Aromatic Hydrocarbons
Over the Past 100 Years in Dianchi Lake, a Plateau Lake in Southwest China.
AB - Two sediment cores were collected from Dianchi Lake, a plateau lake in Southwest
China, to study the temporal trends and to investigate the sources of sedimentary
deposited polycyclic aromatic hydrocarbon. The SigmaPAH16 concentration in the
two sediment cores ranged from 172.5 to 2244.8 ng/g and from 211.4 to 1777.8
ng/g, with mean values of 1106.2 and 865.1 ng/g, respectively. Three temporal
trends for the SigmaPAH16 concentration and the composition of PAHs in Dianchi
Lake all showed three typical changing stages: (1) slight changes in deeper
segments before the 1950s; (2) a rapid increase in PAH concentrations between the
1960s and 1990s; and (3) a slight reduction from the 1990s onward. These trends
differ from those observed in developed countries due to differences in the
timing of industrialization and urbanization processes. According to the results
of the molecular ratios and principal component analysis, the PAH deposition was
dominated by coal combustion, wood combustion, and vehicle emissions before and
after the 1960s, respectively.
PMID- 29651500
TI - Outcome of endovascular treatment for acute basilar artery occlusion in the
modern era: a single institution experience.
AB - PURPOSE: The beneficial effect of endovascular treatment (EVT) for patients with
acute basilar artery occlusion (ABAO) remains uncertain. The purpose of the
present study was to evaluate clinical outcome of EVT for patients with ABAO and
analyze prognostic factors of good outcome. METHODS: From our prospectively
established database, we reviewed all patients with ABAO receiving EVT during
January 2014 to December 2016. Baseline characteristics and outcomes were
evaluated. Favorable functional outcome was defined as modified Rankin Scale
score of 0 to 3 assessed at 3-month follow-up. The association between clinical
and procedural characteristics and functional outcome was assessed. RESULTS: Of
the 68 patients included, 50 patients (73.5%) received mechanical thrombectomy
with stent retriever device. Successful reperfusion (thrombolysis in cerebral
infarction grades 2b-3) was achieved in 61 patients (89.7%). Overall favorable
functional outcome was reached by 31 patients (45.6%). In univariate analysis,
Glasgow Coma Scale sum score, baseline National Institutes of Health stroke scale
score (NIHSS), and baseline glycemia level were identified predicting good
clinical outcome. Multivariate analysis showed that lower NIHSS was the only
independent risk factor of favorable functional outcome (OR 0.832; 95% CI, 0.715
0.968; p = 0.018). No difference of favorable outcomes was observed between the
subgroups of time to EVT < 6 h and ? 6 h. CONCLUSIONS: Data in the present study
suggests that EVT for ABAO patients should be reasonable within 24 h of symptom
onset. The most important factor determining clinical outcome is initial stroke
severity.
PMID- 29651503
TI - Biorefineries: A Short Introduction.
AB - The terms bioeconomy and biorefineries are used for a variety of processes and
developments. This short introduction is intended to provide a delimitation and
clarification of the terminology as well as a classification of current
biorefinery concepts. The basic process diagrams of the most important
biorefinery types are shown.
PMID- 29651504
TI - Reactors for Microbial Electrobiotechnology.
AB - From the first electromicrobial experiment to a sophisticated microbial
electrochemical process - it all takes place in a reactor. Whereas the reactor
design and materials used strongly influence the obtained results, there are no
common platforms for MES reactors. This is a critical convention gap, as cross
comparison and benchmarking among MES as well as MES vs. conventional
biotechnological processes is needed. Only knowledge driven engineering of MES
reactors will pave the way to application and commercialization. In this chapter
we first assess the requirements on reactors to be used for bioelectrochemical
systems as well as potential losses caused by the reactor design. Subsequently,
we compile the main types and designs of reactors used for MES so far, starting
from simple H-cells to stirred tank reactors. We conclude with a discussion on
the weaknesses and strengths of the existing types of reactors for
bioelectrochemical systems that are scored on design criteria and draw
conclusions for the future engineering of MES reactors.
PMID- 29651505
TI - The role of psychiatric status on pediatric extremity fractures: a prospective
analysis.
AB - PURPOSE: The aim of the study was to investigate the relationship between
attention deficit and hyperactivity disorder (ADHD), anxiety, and depression with
pediatric extremity fractures. METHODS: Between November 2014 and November 2016,
consecutive 138 patients with pediatric extremity fractures were prospectively
investigated in terms of the tendency to anxiety, depression, or ADHD in the
study group. Consecutive 168 non-trauma patients who were admitted to general
pediatrics outpatient clinic were included the control group. Patients were
performed with Turgay DSM-IV-Based Child and Adolescent Behavior Disorders
Screening and Rating Scale-Parents Form (T-DSM-IV S), The Screen for Child
Anxiety-Related Emotional Disorders (SCARED), and The Children's Depression
Inventory (CDI). RESULTS: There were not any significant differences between
study and control groups regarding the age, gender distribution, economical
level, or previous psychiatric admission rates (p > 0.05). In the study group,
the previous ADHD history and previous fracture history were significantly higher
than the control group (p < 0.05). In the study group, the severity of depressive
signs and anxiety were significantly higher than the control group (p = 0.000 and
p = 0.019; respectively). Regarding the previous fracture history, conduct
disorder and tendency to depression were significantly higher in the study group
(p = 0.001 and p = 0.011; respectively). CONCLUSIONS: The signs of ADHD, anxiety,
and depression were determined to be higher in children with extremity fractures
compared with the non-traumatic population. In patients with especially
behavioral problems and depressive signs, directing to the child and adolescent
psychiatrists will be protective to prevent re-fractures and high-energy traumas.
PMID- 29651506
TI - Characteristics on 621 cases of craniomaxillofacial fractures.
AB - PURPOSE: This study examined a single center's experience with regards to
etiology and distribution of fractures treated from July 2015 to August 2016 in
Shanghai, China. METHODS: The medical records of 621 patients with
craniomaxillofacial fractures were reviewed. Patient notes and radiographic
images were analyzed for detailed injury data. Age, gender, etiology, and site of
fracture were examined. Chi-square test was used to analyze the causes of single
and multiple fractures. p < 0.05 was considered statistically significant.
RESULTS: This study included 426 male and 195 female with a male-to-female ratio
of 2.18:1, among which 28.3% were between 19 and 29 years (n = 176). In all the
fracture sites, orbit was most commonly involved (n = 319, 51.4%). Traffic
accidents (n = 304, 49%) were the most common cause of injury in this study,
while ground-level falls were the most common cause of injury in children (n =
19, 41.3%). The probability of multiple fractures due to falling from height
(88.6%, p < 0.05) and traffic accidents (73.3%, p < 0.05) were significantly
higher than that of other injuries. Orbital fractures have the highest surgical
rate (n = 288, 90.3%). CONCLUSION: Craniomaxillofacial fractures predominantly
occur in young men, due to traffic accidents. Orbit was involved in most cases.
Falling from height and traffic accidents is more likely to cause multiple
fractures.
PMID- 29651508
TI - Correction to: Using self-reports of pain and other variables to distinguish
between older women with back pain due to vertebral fractures and those with back
pain due to degenerative changes.
AB - This article was originally published under a CC BY-NC-ND 4.0 license, but has
now been made available under a CC BY 4.0 license. The PDF and HTML versions of
the paper have been modified accordingly.
PMID- 29651507
TI - Efficacy and side effects of baclofen and the novel GABAB receptor positive
allosteric modulator CMPPE in animal models for alcohol and cocaine addiction.
AB - RATIONALE: Preclinical studies suggest that the GABAB receptor is a potential
target for treatment of substance use disorders. However, recent clinical trials
report adverse effects in patients treated with the GABAB receptor agonist
baclofen and even question efficacy. How can the discrepancy between preclinical
and clinical findings be explained? OBJECTIVE: To test efficacy and adverse
effects of baclofen and the novel GABAB positive allosteric modulator (PAM) CMPPE
in rat addiction models, which were developed in accordance with DSM. METHODS: We
used a well-characterized rat model of long-term alcohol consumption with
repeated deprivation phases that result in compulsive alcohol drinking in a
relapse situation, and a rat model of long-term intravenous cocaine self
administration resulting in key symptoms of addictive behavior. We tested
repeated baclofen (0, 1, and 3 mg/kg; i.p.) and CMPPE doses (0, 10, and 30 mg/kg;
i.p.) in relapse-like situations, in either alcohol or cocaine addicted-like
rats. RESULTS: Baclofen produced a weak anti-relapse effect at the highest dose
in alcohol addicted-like rats, and this effect was mainly due to the treatment
induced sedation. CMPPE had a better profile, with a dose-dependent reduction of
relapse-like alcohol drinking and without any signs of sedation. The cue-induced
cocaine-seeking response was completely abolished by both compounds. CONCLUSION:
Positive allosteric modulation of the GABAB receptor provides efficacy, and no
observable side effects in relapse behavior whereas baclofen may cause, not only
sedation, but also considerable impairment of food intake or metabolism. However,
targeting GABAB receptors may be effective in reducing certain aspects of
addictive-like behavior, such as cue-reactivity.
PMID- 29651509
TI - The role of previous falls in major osteoporotic fracture prediction in
conjunction with FRAX in older Chinese men and women: the Mr. OS and Ms. OS
cohort study in Hong Kong.
AB - Falls are a major concern in terms of fracture risk. Although awareness rising
for the absence of falls in the FRAX algorithm, our study only identified the
independent predictive role of previous recurrent falls and their better
conjunction use with FRAX for major osteoporotic fracture prediction in older
Chinese men.
PMID- 29651510
TI - [Vomiting and collapse of a 28-year-old male long distance runner in middle
European Summer].
AB - BACKGROUND: Hyperthermia often ends fatally and must therefore be promptly
recognized and adequately treated. CASE: A 28-year-old man participated in a long
distance race (3 km) on a hot summer day (28 degrees C). The runner collapsed,
had to vomit but continued the run and reached the finish. Neurologically, the
patient presented with intermittent cerebral seizures. External cooling batteries
were immediately applied and cold infusions were started. The patient was
admitted to the intensive care unit of the university hospital (body temperature
40.2 degrees C). After a few hours, a manifest disseminated intravascular
coagulopathy developed with multiple organ failure. It took 12 l of volume
replacement, 8 units of fresh frozen plasma and 2 units of erythrocyte
concentrates in the first 12 h to stabilize the patient. Although with the help
of forced external cooling and application of cold infusions, the body
temperature could be lowered to 38 degrees C by the next morning, the overall
situation of the patient continued to deteriorate. Despite dialysis and massive
substitution of coagulation factors, the patient could not be sufficiently
stabilized and died of brain edema. CONCLUSION: Not only the old or young
children are subject to the potential danger of a fatal heat stroke but also
young athletic persons after normal sports activities (3 km run). Cooling must be
started immediately and the patient must be hospitalized as a vital emergency. If
hemostasis fails due to the heat-related loss of hepatogenic protein synthesis, a
viscious circle begins, which, as in the reported case, is irreversible despite
maximum therapy and substitution.
PMID- 29651511
TI - [Follow-up care for gastrointestinal tumors].
AB - The follow-up care of patients with gastrointestinal cancer is poorly defined.
Prospective trials showing that regular follow-up care is advantageous are
largely lacking. Follow-up is primarily based on symptom evaluation, as well as
on an assessment of nutritional status and the need for psycho-oncological care.
Whereas the evidence for regular endoscopic follow-up is well defined in early
cancers, the role of follow-up care in advanced cancers is less well defined.
This deficit is mainly due to the lack of therapeutic consequences in the case of
recurrence. In such cases, cure is only rarely possible, and most studies have
failed to demonstrate a survival benefit following standardized follow-up care of
these patients. However, in view of the evolving treatment options in recurrent
disease and the success of recurrent colorectal cancer treatment, it seems
reasonable to define the current status of follow-up care for patients with
gastrointestinal cancers.
PMID- 29651512
TI - [Stabilization of the chest wall with plate osteosynthesis].
PMID- 29651513
TI - [Chest trauma from a surgical perspective].
AB - Patients with multiple injuries in particular frequently also suffer from chest
trauma. During the initial phase the identification and treatment of life
threatening injuries is essential and tension pneumothorax is of particular
importance during this phase. The Advanced Trauma Life Support (ATLS) algorithm
should be followed for structured treatment. In most cases treatment by insertion
of a chest tube is sufficient but for some injuries an emergency thoracotomy is
unavoidable as a life-saving intervention. In the further treatment especially a
flail chest and retained hemothorax are responsible for complications, such as
acute lung failure and thoracic empyema. Early operative interventions in the
sense of rib fracture stabilization and thoracoscopy-assisted evacuation of
hematomas can help to prevent such complications.
PMID- 29651514
TI - Perspectives in football medicine.
AB - The high injury rate among men's professional football players is well-known.
Therefore, the Union of European Football Associations (UEFA) launched an injury
study already in 2001. This study, the UEFA Elite Club Injury Study (ECIS),
currently includes data from a total of 51 clubs from 18 European countries with
more than 14,000 registered injuries. With the 21st World Cup (WC) in Russia just
around the corner, we have from our study identified a higher match injury rate
and a higher proportion of severe injuries in the European Championships compared
to the preceding club competitive seasons. Moreover, we have also recently showed
that the muscle injury rate is higher when players are given a recovery window of
five days or less between two matches. Considering the congested match schedule
of the upcoming WC, it is therefore likely that injuries and fatigue once again
will be a topic of discussion this summer.
PMID- 29651515
TI - [Erratum to: Osteoid osteoma in the scaphoid bone as cause of radiocarpal pain in
a 15-year-old patient].
PMID- 29651516
TI - [Skin cancer screening and treatment costs : Utilisation of the skin cancer
screening and skin cancer treatment costs in organ transplant recipients].
AB - BACKGROUND: Organ transplant recipients have an up to 250-times higher risk to
develop skin cancer. This article evaluated the utilisation of skin cancer
screening and the treatment costs for skin cancer in organ transplant recipients.
Patients of the health insurance AOK Bremen/Bremerhaven had been identified and
the need for skin cancer prevention trainings was derived. METHODS: The number of
organ transplant recipients (ICD code Z94.0-4) with and without any history of
skin cancer (ICD code C43/C44), the utilisation of dermatologic health care
services, and the costs for treatments with the diagnosis Z94.0-4 with and
without C43/C44 were evaluated. The analyses were carried out for the period from
2009-2014 by using the accounting systems of the AOK. RESULTS: Between 2009 and
2014, 231 organ transplant recipients had been recorded. By mid-2014, 20% of
these insured persons developed skin cancer and the mean incidence was 2.76% per
year. On average, 43% of these patients were seen by a dermatologist at least
once a year, whereby only 15% of the organ transplant recipients participated in
the annual skin cancer screening. In 29% of the patients without any history of
skin cancer, a skin examination was never performed by a dermatologist or a
general practitioner. In all, 17 inpatient cases of organ transplant recipients
with the primary diagnosis C43/C44 were analyzed. This resulted in total costs of
54,707 ? (on average about 3200 ? per case). CONCLUSIONS: The increased incidence
of skin cancer and the associated treatment costs indicate the need for skin
cancer prevention training.
PMID- 29651517
TI - National survey of urogynecological practice patterns among United States OB/GYN
oral board examinees in different practice settings.
AB - INTRODUCTION AND HYPOTHESIS: The current urogynecological surgical experience of
recent OB/GYN graduates in different practice settings is unclear. The aim of
this study was to evaluate differences in urogynecological surgical care between
private practitioners (PPs) and other generalist OB/GYN oral board examinees.
METHODS: A total of 699 OB/GYN oral board examination examinees were administered
a survey during board preparatory courses with a 70.7% response rate. The primary
outcome was to determine differences in subjective reported performance of
urogynecological surgery with and without apical support procedures (female
pelvic medicine and reconstructive surgery, FPMRS, +/- apical) between PP and
generalists in other practice models (academic, managed care, other). Secondary
outcomes included urogynecological case list reporting, referral patterns, and
residency training. RESULTS: A total of 473 surveys were completed; after
excluding subspecialists, 210 surveys were completed by PP and 162 by individuals
in other settings. 6.7% of PPs subjectively reported that they perform FPMRS +
apical surgery compared with 4.3% of those in other practice settings (p = 0.33).
Although 29.2% of PPs reported adequate FPMRS training in residency compared with
39.7% of those in other practice settings (p = 0.04), 53.6% of PPs reported that
they refer patients with pelvic organ prolapse (POP), compared with 66.5% of
those in other practice settings (p = 0.013). 38.9% of PPs report that they
performed POP surgery compared with 27.8% of non-PPs (p = 0.014). CONCLUSIONS:
Regardless of practice setting, surgical volumes are low and few general OB/GYN
board examinees report that they perform comprehensive FPMRS +/- apical support
surgery. The practice environment may affect providers' management of patients
with pelvic floor disorders.
PMID- 29651518
TI - Updating impairments and the failure to explore new hypotheses following right
brain damage.
AB - We have shown recently that damage to the right hemisphere impairs the ability to
update mental models when evidence suggests an old model is no longer
appropriate. We argue that this deficit is generic in the sense that it crosses
multiple cognitive and perceptual domains. Here, we examined the nature of this
updating impairment to determine more precisely the underlying mechanisms. We had
right (RBD, N = 12) and left brain damaged (LBD, N = 10) patients perform
versions of our picture-morphing task in which pictures gradually morph from one
object (e.g., shark) to another (e.g., plane). Performance was contrasted against
two groups of healthy older controls, one matched on age (HCO-age-matched, N = 9)
and another matched on general level of cognitive ability (HCO-cognitively
matched, N = 9). We replicated our earlier findings showing that RBD patients
took longer than LBD patients and HCOs to report seeing the second object in a
sequence of morphing images. The groups did not differ when exposed to a morphing
sequence a second time, or when responding to ambiguous images outside the
morphing context. This indicates that RBD patients have little difficulty
alternating between known representations or labeling ambiguous images. Instead,
the difficulty lies in generating alternate hypotheses for ambiguous information.
Lesion overlay analyses, although speculative given the sample size, are
consistent with our fMRI work in healthy individuals in implicating the anterior
insular cortex as critical for updating mental models.
PMID- 29651519
TI - Root resorption due to orthodontic treatment using self-ligating and conventional
brackets : A cone-beam computed tomography study.
AB - OBJECTIVES: Purpose of the present study was to compare external root resorption
(ERR) volumetrically in maxillary incisors induced by orthodontic treatment using
self-ligating brackets (Damon Q, DQ) or conventional brackets (Titanium Orthos,
TO) with the help of cone-beam computed tomography (CBCT). PATIENTS AND METHODS:
A sample of 32 subjects, with Angle Class I malocclusion and anterior crowding of
4-10 mm, was divided randomly into two groups: a DQ group, in which self-ligating
DQ brackets with Damon archwires were used; and a TO group, in which conventional
TO brackets with large Orthos archwires were applied. The study was conducted
using CBCT scans taken before (T1), and near the end (9 months after the
initiation of treatment; T2) of the orthodontic treatment. The extent of ERR was
determined volumetrically using Mimics software. Changes in root volume were
evaluated by repeated-measures analysis of variance as well as by paired and
independent t-tests. RESULTS: While significant differences were found between T1
and T2 for root volume in both groups (p < 0.05), there was no difference between
the groups regarding the amount (mm3 or relative change) of ERR (p > 0.05).
Maxillary central and lateral incisors showed similar volume loss (p > 0.05).
Furthermore, the TO group showed a higher prevalence of palatinal and proximal
slanted RR compared with the DQ group (p < 0.05). CONCLUSIONS: It is not possible
to suggest superiority of one bracket system over the other only considering root
resorption pattern or amount. Higher incidence of slanted RR found in patients
treated with the TO system warrants further research to identify possible
specific causes.
PMID- 29651520
TI - [Surface modifications of implants. Part 2 : Clinical application].
AB - The chemical composition, surface structure and topography of a biomaterial have
an essential influence on the effects of an implant in the human body. In
orthopedic and trauma surgery they make a relevant contribution to solve the
current and future challenges. Particularly high are the requirements of
permanent implants in bone. Besides material aging due to oxidation, implants are
subjected to cyclic loading that leads to relevant biomechanical wear and
abrasion. To date significant efforts have been made to minimize adverse implant
associated immunoreactions as well as the risk of periprosthetic infections. This
review gives an overview of surface modifications of implants designed for
clinical application and their effects in vivo. Beside material-specific and
biological principles, different surface modifications for distinct clinical
applications are presented. Furthermore, current developmental strategies for the
targeted clinical application of implant surfaces are outlined.
PMID- 29651521
TI - [Spondylotic cervical myelopathy : Indication of surgical treatment].
AB - BACKGROUND: The pathogenesis of cervical spondylotic myelopathy (CSM) is often
multifactorial. Hence, the treatment of this disease requires a differentiated
surgical approach in order to adequately address the underlying pathology.
PURPOSE: The aim of this review is to identify factors that influence the choice
of treatment strategy and to summarize them in an algorithm that serves as a
decision aid in choosing the optimal indication for surgical treatment. An
attempt is made to define the threshold values for the indication of surgical
treatment and to discuss the ideal timing for performing surgery. MATERIALS AND
METHODS: On the basis of the published data, the influencing factors on the
prognosis of CSM, as well as surgical approaches are discussed. RESULTS:
Circumferential spinal cord compression, a sharply defined myelopathy signal in
the T2-weighted MRI sequence, and segmental instability at the level of the
myelopathy signal mean an unfavorable prognosis for the worsening of CSM. The
most important factors that influence the choice of the surgical access point are
the sagittal profile of the cervical spine, the extent of myelopathy, the extent
of stenosis, and the location of the myelopathy-inducing pathology. Previously
existing neck pain and prior cervical surgery must also be considered.
DISCUSSION: On the basis of the research carried out, we developed an algorithm
that could serve as an aid in choosing the right treatment in the setting of
cervical spondylotic myelopathy.
PMID- 29651522
TI - Synthesis and application of magnetic molecularly imprinted polymers in sample
preparation.
AB - Magnetic molecularly imprinted polymers (MMIPs) have superior advantages in
sample pretreatment because of their high selectivity for target analytes and the
fast and easy isolation from samples. To meet the demand of both good magnetic
property and good extraction performance, MMIPs with various structures, from
traditional core-shell structures to novel composite structures with a larger
specific surface area and more accessible binding sites, are fabricated by
different preparation technologies. Moreover, as the molecularly imprinted
polymer (MIP) layers determine the affinity, selectivity, and saturated
adsorption amount of MMIPs, the development and innovation of the MIP layer are
attracting attention and are reviewed here. Many studies that used MMIPs as
sorbents in dispersive solid-phase extraction of complex samples, including
environmental, food, and biofluid samples, are summarized. Graphical abstract The
application of magnetic molecularly imprinted polymers (MIPs) in the sample
preparation procedure improves the analytical performances for complex samples.
MITs molecular imprinting technologies.
PMID- 29651523
TI - Simple and inexpensive micromachined aluminum microfluidic devices for acoustic
focusing of particles and cells.
AB - We introduce a new method to construct microfluidic devices especially useful for
bulk acoustic wave (BAW)-based manipulation of cells and microparticles. To
obtain efficient acoustic focusing, BAW devices require materials that have high
acoustic impedance mismatch relative to the medium in which the
cells/microparticles are suspended and materials with a high-quality factor. To
date, silicon and glass have been the materials of choice for BAW-based
acoustofluidic channel fabrication. Silicon- and glass-based fabrication is
typically performed in clean room facilities, generates hazardous waste, and can
take several hours to complete the microfabrication. To address some of the
drawbacks in fabricating conventional BAW devices, we explored a new approach by
micromachining microfluidic channels in aluminum substrates. Additionally, we
demonstrate plasma bonding of poly(dimethylsiloxane) (PDMS) onto micromachined
aluminum substrates. Our goal was to achieve an approach that is both low cost
and effective in BAW applications. To this end, we micromachined aluminum 6061
plates and enclosed the systems with a thin PDMS cover layer. These aluminum/PDMS
hybrid microfluidic devices use inexpensive materials and are simply constructed
outside a clean room environment. Moreover, these devices demonstrate
effectiveness in BAW applications as demonstrated by efficient acoustic focusing
of polystyrene microspheres, bovine red blood cells, and Jurkat cells and the
generation of multiple focused streams in flow-through systems. Graphical
abstract The aluminum acoustofluidic device and the generation of multinode
focusing of particles.
PMID- 29651524
TI - Natural deep eutectic solvents as the major mobile phase components in high
performance liquid chromatography-searching for alternatives to organic solvents.
AB - Over the past six decades, acetonitrile (ACN) has been the most employed organic
modifier in reversed-phase high-performance liquid chromatography (RP-HPLC),
followed by methanol (MeOH). However, from the growing environmental awareness
that leads to the emergence of "green analytical chemistry," new research has
emerged that includes finding replacements to problematic ACN because of its low
sustainability. Deep eutectic solvents (DES) can be produced from an almost
infinite possible combinations of compounds, while being a "greener" alternative
to organic solvents in HPLC, especially those prepared from natural compounds
called natural DES (NADES). In this work, the use of three NADES as the main
organic component in RP-HPLC, rather than simply an additive, was explored and
compared to the common organic solvents ACN and MeOH but additionally to the
greener ethanol for separating two different mixtures of compounds, one
demonstrating the elution of compounds with increasing hydrophobicity and the
other comparing molecules of different functionality and molar mass. To utilize
NADES as an organic modifier and overcome their high viscosity monolithic
columns, temperatures at 50 degrees C and 5% ethanol in the mobile phase were
used. NADES are shown to give chromatographic performances in between those
observed for ACN and MeOH when eluotropic strength, resolution, and peak capacity
were taken into consideration, while being less environmentally impactful as
shown by the HPLC-Environmental Assessment Tool (HPLC-EAT) metric. With the
development of proper technologies, DES could open a new class of mobile phases
increasing the possibilities of new separation selectivities while reducing the
environmental impact of HPLC analyses. Graphical abstract Natural deep eutectic
solvents versus traditional solvents in HPLC.
PMID- 29651525
TI - Multielement analysis of Zanthoxylum bungeanum Maxim. essential oil using ICP
MS/MS.
AB - The concentrations of trace elements (Cr, Ni, As, Cd, Hg, and Pb) in Zanthoxylum
bungeanum Maxim. essential oil (ZBMEO) were determined by inductively coupled
plasma tandem mass spectrometry. The ZBMEO sample was directly analyzed after
simple dilution with n-hexane. Aiming for a relatively high vapor pressure of n
hexane and its resultant loading on plasma, we used a narrow injector torch and
optimized plasma radio frequency power and carrier gas flow to ensure stable
operation of the plasma. An optional gas flow of 20% O2 in Ar was added to the
carrier gas to prevent the incomplete combustion of highly concentrated organic
carbon in plasma and the deposition of carbon on the sampling and skimmer cone
orifices. In tandem mass spectrometry mode, O2 was added to the
collision/reaction cell to eliminate the interferences. The limits of detection
for Cr, Ni, As, Cd, Hg, and Pb were 2.26, 1.64, 2.02, 1.35, 1.76, and 0.97 ng L
1, respectively. After determination of 23 ZBMEO samples from different regions
in China, we found that the average concentration ranges of trace elements in the
23 ZBMEO samples were 0.72-6.02 ng g-1, 0.09-2.87 ng g-1, 0.21-5.84 ng g-1, 0.16
2.15 ng g-1, 0.13-0.92 ng g-1, and 0.17-0.73 ng g-1 for Cr, Ni, As, Cd, Hg, and
Pb, respectively. The trace elements in ZBMEO differed significantly when
different extraction technologies were used. The study revealed that the contents
of the toxic elements As, Cd, Hg, and Pb were extremely low, and hence they are
unlikely to pose a health risk following ZBMEO ingestion. Graphical abstract The
working mechanism of sample analysis by ICP-MS/MS.
PMID- 29651526
TI - Surface plasmon resonance sensing: from purified biomolecules to intact cells.
AB - Surface plasmon resonance (SPR) has become a well-recognized label-free technique
for measuring the binding kinetics between biomolecules since the invention of
the first SPR-based immunosensor in 1980s. The most popular and traditional
format for SPR analysis is to monitor the real-time optical signals when a
solution containing ligand molecules is flowing over a sensor substrate
functionalized with purified receptor molecules. In recent years, rapid
development of several kinds of SPR imaging techniques have allowed for mapping
the dynamic distribution of local mass density within single living cells with
high spatial and temporal resolutions and reliable sensitivity. Such capability
immediately enabled one to investigate the interaction between important
biomolecules and intact cells in a label-free, quantitative, and single cell
manner, leading to an exciting new trend of cell-based SPR bioanalysis. In this
Trend Article, we first describe the principle and technical features of two
types of SPR imaging techniques based on prism and objective, respectively. Then
we survey the intact cell-based applications in both fundamental cell biology and
drug discovery. We conclude the article with comments and perspectives on the
future developments. Graphical abstract Recent developments in surface plasmon
resonance (SPR) imaging techniques allow for label-free mapping the mass
distribution within single living cells, leading to great expansions in
biomolecular interactions studies from homogeneous substrates functionalized with
purified biomolecules to heterogeneous substrates containing individual living
cells.
PMID- 29651527
TI - Simultaneous determination of amantadine and rimantadine in feed by liquid
chromatography-Qtrap mass spectrometry with information-dependent acquisition.
AB - A sensitive method for simultaneous determination of amantadine and rimantadine
in feed was developed using an ultra-high-performance liquid chromatography
triple quadrupole linear ion trap mass spectrometry (UHPLC-Qtrap-MS) in the
multiple reaction monitoring information-dependent acquisition-enhanced product
ion (MRM-IDA-EPI) mode, and employing the mixed cation exchange (MCX) solid-phase
extraction column as sample cleanup and amantadine-d15 and rimantadine-d4 as
internal standards, respectively. Compared to traditional MRM mode, for the
targeted drugs in feed simultaneously both the secondary mass spectra and MRM
information can be obtained using UHPLC-Qtrap-MS with MRM-IDA-EPI mode, and thus
more accurate qualitative confirmation results achieved even at lower
concentration of 0.2 MUg/L in acceptable purity fit values. After optimization of
sample preparation, good linearities (R > 0.9994) were obtained over the
concentration range from 1 to 200 MUg/L for amantadine and rimantadine. The
precision was validated by intra-day and inter-day, and the relative standard
deviations were all within 9.61%. Mean recoveries ranged from 76.1 to 112% at
spiked concentrations of 0.5-100 MUg/kg in three types of feed samples, including
formula feed and complex concentrated feed for pigs and premix feed for chicken.
The limits of detection (LODs) and quantification (LOQs) were 0.2 and 0.5 MUg/kg
for both drugs, respectively. The application in real feed samples further proved
the accuracy and reliability of the developed method. This method provides an
important tool to detect illegal uses of amantadine and rimantadine in feed.
Graphical abstract Simultaneous quantitation and qualitative confirmation of
amantadine and rimantadine in feed by MRM-IDA-EPI.
PMID- 29651528
TI - Ternary mixed-mode silica sorbent of solid-phase extraction for determination of
basic, neutral and acidic drugs in human serum.
AB - In this study, a ternary mixed-mode silica sorbent (TMSS) with octamethylene,
carboxyl, and amino groups was prepared via Cu(I)-catalyzed azide-alkyne
cycloaddition (CuAAC) click reaction and a subsequent reduction of azide to
primary amine. While used in solid-phase extraction (SPE), the retention behavior
of TMSS towards a total of nine kinds of basic, neutral, and acidic drugs was
investigated in detail. The results revealed that hydrophobic, ion-exchange
interaction, and electrostatic repulsion between TMSS and the analytes were
closely related to the retention behavior of TMSS. Besides, the log Kow value of
the analyte was also a factor influencing the retention behavior of analytes on
TMSS. The nine analytes could be retained by TMSS simultaneously and then, were
eluted into two fractions according to the acid-base property of the analytes for
further determinations. The acidic and neutral analytes were in one fraction, and
the basic ones in the other fraction. When used to treat the human serum spiked
with the nine drugs, TMSS offered higher recoveries than BakerBond CBA and
comparable recoveries to Oasis WCX. It should be noted TMSS had better purifying
capability for human serum than Oasis WCX. Under the optimized SPE conditions, a
method of SPE hyphenated to high-performance liquid chromatography-ultraviolet
detection (HPLC-UV) for determination of the basic, neutral, and acidic drugs
spiked in human serum was established. For the nine drugs, the linear ranges were
all between 5.0 and 1000 MUg L-1 with correlation coefficients (R2) above 0.9990,
and the limits of detection (LODs) were in the range of 0.8-2.3 MUg L-1. The
intra-day and inter-day relative standard deviations (RSDs) were less than 5.3
and 8.8%, respectively. Graphical abstract Treating drugs in human serum by SPE
with ternary mixed-mode silica sorbent.
PMID- 29651529
TI - Application of problem-based learning in instrumental analysis teaching at
Northeast Agricultural University.
PMID- 29651530
TI - The requirements for low-temperature plasma ionization support miniaturization of
the ion source.
AB - Ambient ionization mass spectrometry (AI-MS), the ionization of samples under
ambient conditions, enables fast and simple analysis of samples without or with
little sample preparation. Due to their simple construction and low resource
consumption, plasma-based ionization methods in particular are considered ideal
for use in mobile analytical devices. However, systematic investigations that
have attempted to identify the optimal configuration of a plasma source to
achieve the sensitive detection of target molecules are still rare. We therefore
used a low-temperature plasma ionization (LTPI) source based on dielectric
barrier discharge with helium employed as the process gas to identify the factors
that most strongly influence the signal intensity in the mass spectrometry of
species formed by plasma ionization. In this study, we investigated several
construction-related parameters of the plasma source and found that a low wall
thickness of the dielectric, a small outlet spacing, and a short distance between
the plasma source and the MS inlet are needed to achieve optimal signal intensity
with a process-gas flow rate of as little as 10 mL/min. In conclusion, this type
of ion source is especially well suited for downscaling, which is usually
required in mobile devices. Our results provide valuable insights into the LTPI
mechanism; they reveal the potential to further improve its implementation and
standardization for mobile mass spectrometry as well as our understanding of the
requirements and selectivity of this technique. Graphical abstract Optimized
parameters of a dielectric barrier discharge plasma for ionization in mass
spectrometry. The electrode size, shape, and arrangement, the thickness of the
dielectric, and distances between the plasma source, sample, and MS inlet are
marked in red. The process gas (helium) flow is shown in black.
PMID- 29651532
TI - 32nd Annual Meeting of the European Society for Hyperthermic Oncology.
PMID- 29651531
TI - Effects of glaucocalyxin A on human liver cancer cells as revealed by GC/MS- and
LC/MS-based metabolic profiling.
AB - Studies have documented the potential antitumor activities of glaucocalyxin A
(GLA), an ent-kaurene diterpenoid isolated from Rabdosia japonica. However, the
metabolic mechanism underlying the antitumor activity of GLA remains largely
unknown. The effects of GLA on the metabolome of human liver cancer cells using
GC/MS- and LC/MS-based metabolic profiling have been investigated. An untargeted
metabolomics approach in conjunction with orthogonal projection to latent
structures-discriminant analysis (OPLS-DA) has been developed to characterize the
metabolic modifications induced by GLA treatment in human hepatoma cell line
SMMC7721. Results demonstrated that cells cultured in the presence or absence of
GLA displayed different metabolic profiles: the treatment induced an increased
purine metabolism, pyrimidine metabolism, and sphingolipid metabolism and a
decreased amino acid metabolism. At the same time, GLA treatment induced cell
apoptosis and cell cycle arrested at G2/M phase in a dose-dependent manner. In
addition, two representative apoptosis-inducing cytotoxic agents were selected as
positive control drugs to validate the reasonableness and accuracy of our
metabolomic investigation on GLA. The study displayed a systemic metabolic
alteration induced by GLA treatment, showing the impaired physiological activity
of SMMC7721 cells, which also indicated anti-proliferative and apoptotic effects
of GLA. In the meantime, GC/MS- and LC/MS-based metabolomics applied to cell
culture enhanced our current understanding of the metabolic response to GLA
treatment and its mechanism; such an approach could be transferred to study the
mechanism of other anticancer drugs. Graphical abstract A systemic metabolic
alteration induced by glaucocalyxin A (GLA) treatment of SMMC-7721 cells.
PMID- 29651533
TI - Spatial orientation of coronary arteries and its implication for breast and
thoracic radiotherapy-proposing "coronary strip" as a new organ at risk.
AB - OBJECTIVES: Radiotherapy for breast cancer has been associated with various side
effects including cardiac sequelae. Our study aimed to define the spatial arc of
spread of coronary vessels in a radian angle. MATERIALS AND METHODS: We analysed
the records of 51 CT coronary angiographies done in our hospital from January
2016 to July 2016. Left anterior descending (LAD) and right coronary (RC) were
contoured for each patient. In each axial section, the radial spread of each
artery was noted. A 5 mm brush tool was used to join the start and stop angles
for making the summated "coronary strips". RESULTS: Start and end angle of LAD
with 95% confidence interval (CI) (in clockwise direction) were 23.9 +/- 4
degrees and 79.0 +/- 6.6 degrees , respectively. Mean LAD arc length +/- SD
(standard deviation) noted was 55.1 degrees +/- 7.7 degrees (95% CI). For RC
the smallest start angle and the largest end angle in all patients was 297.6
degrees and 322.6 degrees , respectively. RC start angle, end angle and arc
length for 95% confidence interval were 322.2 +/- 6.1 degrees , 292.4 +/- 11.6
degrees and 29.8 +/- 13.1 degrees , respectively. CONCLUSIONS: Our study
provides a measure of the radial spread of the coronary arteries, especially from
the perspective of breast radiotherapy. We have proposed a new organ at risk
(OAR) of coronary strip. This should provide an easy and cost-effective way to
delineate the coronary vasculature in breast cancer patients undergoing
radiotherapy.
PMID- 29651534
TI - The unimanual handle-to-hand correspondence effect: evidence for a location
coding account.
AB - The handle-to-hand correspondence effect refers to faster and more accurate
responses when the responding hand is aligned with the graspable part of an
object tool, compared to when they lay on opposite sides. We performed four
behavioral experiments to investigate whether this effect depends on the
activation of grasping affordances (affordance activation account) or is to be
traced back to a Simon effect, resulting from the spatial coding of stimuli and
responses and from their dimensional overlap (location coding account). We
manipulated the availability of a response alternative by requiring participants
to perform either a unimanual go/no-go task (absence of a response alternative)
or a joint go/no-go task (available response alternative) and the type of
response required (button-press or grasping response). We found no handle-to-hand
correspondence effect in the individual go/no-go task either when a button-press
(Experiment 1A) or a grasping (Experiment 2A) response was required, whereas a
significant effect emerged in the joint go/no-go task, irrespective of response
modality (Experiments1B and 2B). These results do not support the idea that
complex motor affordances are activated for meaningful objects, but are rather
consistent with the more parsimonious location coding account.
PMID- 29651535
TI - Cell cycle of the enamel knot during tooth morphogenesis.
AB - Enamel knot (EK) is known to be a central organ in tooth development, especially
for cusp patterning. To trace the exact position and movement among the inner
dental epithelium (IDE) and EK cells, and to monitor the relationship between the
EK and cusp patterning, it is essential that we understand the cell cycle status
of the EK in early stages of tooth development. In this study, thymidine
analogous (IdU, BrdU) staining was used to evaluate the cell cycle phase of the
primary EK at the early casp stage (E13.0) and the gerbil embryo (E19) in a
developing mouse embryo. The centerpiece of this study was to describe the cell
cycle phasing and sequencing during proliferation in the IDE according to the
expression of IdU and BrdU following their injection at calculated time points.
The interval time between IdU injection and BrdU injection was set at 4 h. As a
result, the cell cycle in the IDE of the mouse and gerbil was found to be
synchronous. Conversely, the cell cycle in primary EKs of mice was much longer
than that of the IDE. Therefore, the difference of cell cycle of the IDE and the
EK is related to the diversity of cusp patterning and would provide a new insight
into tooth morphogenesis.
PMID- 29651536
TI - Septin localization and function during autophagy.
AB - Autophagy is a vital conserved recycling process where eukaryotic cells remove
unwanted proteins and organelles via lysosomal degradation and in turn, generate
nutrients for the cells. The special feature of autophagy process is the
formation of double-membrane vesicles called autophagosomes that engulf cellular
cargo and deliver them to the vacuole or lysosomes for degradation. Inspite of
more than 40 AuTophaGy (ATG) proteins and several organelles as known membrane
source, autophagosome biogenesis is not entirely understood. We recently have
discovered that septins contribute to autophagosome biogenesis. Septins are GTP
binding proteins, usually localized at the bud neck region and are involved in
cytokinesis. Here, we show that during autophagy prevalent conditions, septins
traffic between different cellular compartments such as Golgi, mitochondria,
endosomes, plasma membrane, and vacuolar membranes.
PMID- 29651537
TI - Pierre Robin syndrome with caudal regression syndrome-a rare combination of
congenital syndromes.
PMID- 29651538
TI - Visualization of the periventricular Virchow-Robin spaces with ependymal
openings.
AB - PURPOSE: The morphological relationships between the periventricular Virchow
Robin spaces (VRSs) and cerebral ventricles have been poorly documented. The
present study aimed to explore the issue using magnetic resonance imaging.
METHODS: A total of 211 patients were included in this study. T2-weighted and
constructive interference in steady state (CISS) sequences were performed in thin
sliced, coronal sections. RESULTS: On T2-weighted sequence, the periventricular
VRSs with ependymal openings were identified in 34% of 139 subjects. All the
openings were located in the lateral wall of the anterior horn. In CISS
sequences, such VRSs were found in 39% of 72 subjects. The mean age was
significantly higher in the population with such VRSs compared to those without
VRSs (p = 0.0047). Of the 58 periventricular VRSs with ependymal openings
identified on T2-weighted images, 16% were located in the upper, 36% in the
middle, and 48% in the lower part of the lateral wall. Of the 38 such VRSs
identified on CISS images, 32% were located in the upper, 24% in the middle, and
42% in the lower part of the lateral wall, and 3% in the upper part of the medial
wall. CONCLUSIONS: The ependymal openings of the periventricular VRSs may be
centered in the lateral wall of the anterior horn. The coronal CISS sequence can
sensitively delineate the VRSs with ependymal openings.
PMID- 29651539
TI - Skeletal muscle stem cell characteristics and myonuclei content in patients with
rheumatoid arthritis: a cross-sectional study.
AB - To investigate satellite cells (SCs) and myonuclei characteristics in patients
with rheumatoid arthritis (RA). Resting biopsies from m. vastus lateralis were
obtained from thirteen RA patients and thirteen matched healthy controls (CON).
Muscle biopsies were immunohistochemically stained and analyzed for fiber type
specific content of SCs (Pax7+), proliferating SCs (Pax7+/MyoD+) and
differentiating SCs (myogenin+). Furthermore, we quantified fiber type specific
content of myonuclei and myofiber cross-sectional area (CSA). Finally, newly
formed/regenerating fibers expressing neonatal MHC (nMHC+) were determined. The
fiber type specific number of SCs did not differ between RA patients and CON, nor
did the content of proliferating or differentiating SCs. In contrast, the content
of myonuclei per fiber was higher in RA patients than CON for both type I (2.01
+/- 0.41 vs. 1.42 +/- 0.40 myonuclei/fiber, p < 0.01) and type II fibers (2.01 +/
0.41 vs. 1.37 +/- 0.32 myonuclei/fiber, p < 0.01). No differences were observed
in fiber composition, fiber type specific CSA or content of nMHC+ fibers. Our
results indicate an increased propensity for myogenic differentiation of SC
leading to an elevated myonuclear content in the skeletal muscle of RA patients.
It is hypothesized that this could be a compensatory regulatory response related
to the chronic inflammation in these patients.
PMID- 29651540
TI - Identifying Non-invasive Tools to Distinguish Acute Myocarditis from Dilated
Cardiomyopathy in Children.
AB - There is often a diagnostic dilemma in pediatric patients presenting with
depressed ventricular function, as myocarditis and dilated cardiomyopathy (DCM)
of other etiologies can appear very similar. Accurate identification is critical
to guide treatment and to provide families with the most accurate expectation of
long-term outcomes. The objective of this study was to identify patterns of
clinical presentation and to assess non-invasive measures to differentiate
patients with acute myocarditis from other forms of DCM. We identified all
children (< 18 years) from our institution with a diagnosis of idiopathic DCM or
myocarditis based on endomyocardial biopsy or explant pathology (1996-2015).
Characteristics at the time of presentation were compared between patients with a
definite diagnosis of myocarditis and those with idiopathic DCM. Data collected
included clinical and laboratory data, radiography, echocardiography, and cardiac
catheterization data. A total of 58 patients were included in the study; 46 (79%)
with idiopathic DCM and 12 (21%) with acute myocarditis. Findings favoring a
diagnosis of myocarditis included a history of fever (58 vs. 15%, p = 0.002),
arrhythmia (17 vs. 0%, p = 0.003), higher degree of cardiac enzyme elevation,
absence of left ventricular dilation (42 vs. 7%, p = 0.002), segmental wall
motion abnormalities (58 vs. 13%, p = 0.001), lower left ventricular dimension z
score (3.7 vs. 5.2, p = 0.031), and less severe depression of left ventricular
systolic function. There are notable differences between patients with
myocarditis and other forms of DCM that can be detected non-invasively at the
time of presentation without the need for endomyocardial biopsy. These data
suggest that it may be possible to develop a predictive model to differentiate
myocarditis from other forms of DCM using non-invasive measures.
PMID- 29651541
TI - Monovalerin and trivalerin increase brain acetic acid, decrease liver succinic
acid, and alter gut microbiota in rats fed high-fat diets.
AB - PURPOSE: Short-chain fatty acids (SCFA) are known for their anti-inflammatory
properties and may also prevent against the development of metabolic diseases.
This study investigated possible effects of two valeric acid esters, monovalerin
(MV) and trivalerin (TV) in rats fed high-fat diets. METHODS: Four groups of rats
were given a low-fat diet (LF) or a high-fat control diet (HFC) with or without
supplementation of MV or TV (5 g/kg) for 3 weeks (n = 7/group). SCFA (caecum,
blood, liver and brain), succinic acid (liver), microbiota (caecum), lipid
profile (liver and blood) and the inflammatory biomarker, lipopolysaccharide
binding protein (blood) were analysed at the end of the experiment. RESULTS:
Supplementation of MV and TV to a high-fat diet increased 1.5-fold the amounts of
acetic acid in the brain and 1.7-fold serum concentration of valeric acid,
whereas liver succinic acid was reduced by 1.5-fold. Although liver triglyceride
levels were higher in both MV and TV groups compared with the LF group, liver
LDL/HDL ratio was lower in the MV group (P < 0.05). The caecal microbiota
composition was altered, with threefold higher abundance of Bacteroidetes and
higher ratio of Bacteroidetes/Firmicutes in the MV group compared with the HFC
and LF groups. Acetic acid in the brain was negatively correlated with TM7,
family S24-7 and rc4-4, and positively associated to Tenericutes and
Anaeroplasma. CONCLUSIONS: The present study shows that MV and TV in the
specified dose can affect caecal microbiota composition and, therefore, bacterial
metabolites in the liver, serum and brain as well as the lipid profile in the
liver.
PMID- 29651542
TI - The lymphocyte-to-monocyte ratio as a prognostic indicator in head and neck
cancer: a systematic review and meta-analysis.
AB - PURPOSE: The aim of this systematic review and meta-analysis was to investigate
the relationship between the pre-treatment lymphocyte-to-monocyte ratio (LMR) and
prognosis in HNC. METHODS: PubMed (via the Web), Embase, Scopus, and the Cochrane
Library were searched. A systematic review and meta-analysis was done to generate
the pooled hazard ratios (HR) for overall survival (OS) and disease-free survival
(DFS). RESULTS: Our analysis included the results of 4260 patients in seven
cohorts. The pooled data demonstrated that an elevated LMR was associated with
significantly improved OS (HR 0.5; 95% CI 0.44-0.57), and DFS (HR 0.70; 95% CI
0.62-0.80). Of note, there was no detectable heterogeneity in either OS (I2 = 0%)
or DFS (I2 = 0%). CONCLUSIONS: An elevated LMR may be an indicator of favorable
prognosis in HNC. However, our results should be interpreted with some degree of
caution due to the retrospective nature of cohort studies. Further research with
high-quality prospective studies is needed to confirm the effect of LMR in HNC
prognosis.
PMID- 29651543
TI - SNP-based next-generation sequencing reveals low-level mixed chimerism after
allogeneic hematopoietic stem cell transplantation.
PMID- 29651544
TI - The Effects of Sediment Classification Pattern on a Water Column Organism,
Ceriodaphnia dubia.
AB - The sediment compartment stands out because it functions as both a temporary sink
of pollutants and a potential source of these elements that may become available
to the water column.This study aimed to correlate the concentrations of total
metals in the crude sediment and in the interstitial water with the ecotoxicity
in the water column using an a modified sediment ecotoxicity test with
Ceriodaphnia dubia. The results indicate that the sediment may contribute to the
toxicity in the water column and that such toxicity is possibly not related to
the metals present. Based on the chemical analysis of the metals, the Canadian
Sediment Quality Guidelines (SQGs) would frame the sediment as non-toxic to
benthic organisms, but the SQGs have no reference standards for possible effects
on nektonic organisms. Due to the complexity of this compartment, it is
fundamental to evaluate the interactions of the different pollutants in the
system and possible effects on the nektonic organisms.
PMID- 29651545
TI - Extracardiac 18F-florbetapir imaging in patients with systemic amyloidosis: more
than hearts and minds.
AB - PURPOSE: 18F-Florbetapir has been reported to show cardiac uptake in patients
with systemic light-chain amyloidosis (AL). This study systematically assessed
uptake of 18F-florbetapir in patients with proven systemic amyloidosis at sites
outside the heart. METHODS: Seventeen patients with proven cardiac amyloidosis
underwent 18F-florbetapir PET/CT imaging, 15 with AL and 2 with transthyretin
amyloidosis (ATTR). Three patients had repeat scans. All patients had
protocolized assessment at the UK National Amyloidosis Centre including imaging
with 123I-serum amyloid P component (SAP). 18F-Florbetapir images were assessed
for areas of increased tracer accumulation and time-uptake curves in terms of
standardized uptake values (SUVmean) were produced. RESULTS: All 17 patients
showed 18F-florbetapir uptake at one or more extracardiac sites. Uptake was seen
in the spleen in 6 patients (35%; 6 of 9, 67%, with splenic involvement on 123I
SAP scintigraphy), in the fat in 11 (65%), in the tongue in 8 (47%), in the
parotids in 8 (47%), in the masticatory muscles in 7 (41%), in the lungs in 3
(18%), and in the kidney in 2 (12%) on the late half-body images. The 18F
florbetapir spleen retention index (SRI) was calculated. SRI >0.045 had 100%
sensitivity/sensitivity (in relation to 123I-SAP splenic uptake, the current
standard) in detecting splenic amyloid on dynamic imaging and a sensitivity of
66.7% and a specificity of 100% on the late half-body images. Intense lung uptake
was seen in three patients, one of whom had lung interstitial infiltration
suggestive of amyloid deposition on previous high-resolution CT. Repeat imaging
showed a stable appearance in all three patients suggesting no early impact of
treatment response. CONCLUSION: 18F-Florbetapir PET/CT is a promising tool for
the detection of extracardiac sites of amyloid deposition. The combination of
uptake in the heart and uptake in the spleen on 18F-florbetapir PET/CT, a
hallmark of AL, suggests that this tracer holds promise as a screening tool for
AL.
PMID- 29651546
TI - Is there an optimal method for measuring baseline metabolic tumor volume in
diffuse large B cell lymphoma?
PMID- 29651547
TI - [Prevalence of wearing glasses for children and adolescents in Germany and their
ophthalmological care : Results of the nationwide KiGGS baseline survey (2003
2006)].
AB - BACKGROUND: Vision-relevant refractive errors in children require regular
ophthalmological control examinations. In this study we estimated the prevalence
of wearing glasses for children and adolescents in Germany and the frequency of
ophthalmological care. METHODS: We analyzed data of the German Health Interview
and Examination Survey for Children and Adolescents (KiGGS, baseline survey 2003
2006, N = 17,640). Wearing glasses was documented from the age of 3 years in the
examination part. We estimated the prevalence of wearing glasses and investigated
the relationship with ophthalmological care during the preceding 12 months.
Multivariable logistic regression analysis was used to calculate adjusted odds
ratios (OR) and 95% confidence intervals (95%CI). Associations were adjusted for
age, gender, socioeconomic status, migration background, residence (East/West
Germany), participation in regular pediatric check-ups, and presence of
strabismus. RESULTS: The prevalence of wearing glasses was 19.7% (95% CI: 18.8
20.5%) in the age range of 3-17 years. The prevalence was higher in girls (22.1%;
21.0-23.3%) compared to boys (17.3%; 16.3-18.3%) and increased with age up to
29.2% (27.6-30.9%) in the age group 14-17 years. The frequency of
ophthalmological care within the last 12 months was 61.6% (59.4-63.8%) for those
wearing glasses and 15.2% (14.3-6.2%) for those without glasses. Regression
analysis revealed an association between ophthalmological care and wearing
glasses (OR = 11.4; 10.1-13.0). CONCLUSION: In Germany, wearing glasses depends
on gender and age and almost one third of adolescents already wear glasses. The
majority of children and adolescents wearing glasses visit an ophthalmologist
once a year.
PMID- 29651548
TI - [Diagnosis of dry eye disease].
AB - Dry eye disease (DED) is one of the most common ophthalmological diseases with an
increasing prevalence in recent years. Numerous general and ocular risk factors
exist for the development of DED. The pathogenesis of DED is multifactorial and
complex, characterized by a loss of homeostasis of the tear film, inflammatory
mechanisms at the ocular surface, hyperosmolarity of tears and neurosensory
abnormalities. DED is classified into the two sub-categories, aqueous deficient
dry eye and evaporative dry eye. Apart from a detailed patient history, basic and
complementary diagnostic tests are necessary to enable an individualized and
efficient therapy. Moreover, subjective symptom questionnaires and
interdisciplinary cooperations are beneficial in a thorough work-up of these
patients.
PMID- 29651549
TI - [No increase in myopia?]
PMID- 29651550
TI - [Prevalence of spectacle wearers in Germany : Clear increase from 1952-2014].
PMID- 29651551
TI - Antimicrobial Ingredients as Preservative Booster and Components of Self
Preserving Cosmetic Products.
AB - This review reports cosmetic ingredients with antimicrobial activity including
synthetic and natural (plant and microbial) origin as alternative for
preservatives used in cosmetics as well described mechanism of their action.
PMID- 29651552
TI - Prevention of High-Level Daptomycin-Resistance Emergence In Vitro in
Streptococcus mitis-oralis by Using Combination Antimicrobial Strategies.
AB - Among the viridans group streptococci, S. mitis-oralis strains are frequently
resistant to multiple beta-lactams and tolerant to vancomycin (VAN). This
scenario has led to the proposed clinical use of newer agents, like daptomycin
(DAP) for such S. mitis-oralis strains. However, recent recognition of the rapid
and durable emergence of high-level DAP-resistance (DAP-R; DAP MICs > 256 ug/ml)
induced by DAP exposures in vitro and in vivo has dampened enthusiasm for such
approaches. In this study, we evaluated a broad range of DAP combination regimens
in vitro for their capacity to prevent emergence of high-level DAP-R in a
prototype S. mitis-oralis strain (351) during serial passage experiments,
including DAP + either gentamicin (GEN), rifampin (RIF), trimethoprim
sulfamethoxazole (TMP-SMX), imipenem (IMP), ceftaroline (CPT), tedizolid (TDZ),
or linezolid (LDZ). In addition, we assessed selected DAP combination regimens
for their ability to exert either an early bactericidal impact and/or
synergistically kill the S. mitis-oralis study strain. During serial passage,
three of the eight antibiotic combinations (DAP + GEN, CPT, or TMP- SMX)
exhibited significantly reduced DAP MICs (~ by 8-40 fold) vs serial exposure in
DAP alone (DAP MICs > 256 ug/ml). In addition, combinations of DAP + GEN and DAP
+ CPT were both bactericidal and synergistic in early time-kill curve
interactions.
PMID- 29651553
TI - Fistulectomy with primary sphincter reconstruction.
AB - AIM: Despite modern medical techniques, anatomically proximal (high) anal
fistulas are still a challenge in colorectal surgery. In previous years, the
standard of care was complete fistulectomy with a high rate of continence
disorders. Over the past 20 to 30 years, sphincter-saving procedures have gained
wide acceptance. They represent the technique used in these cases. Additionally,
many patients received indefinite treatment, namely the placement of a seton to
maintain surgical drainage. The main problem with all fistula surgical
possibilities is the high recurrence rate of 30 to 50% in flap procedures and
100% persistence in seton treatments. In recent years, a direct repair (primary
reconstruction) in distal fistulas was instigated and shows excellent results. It
allowed our technique for proximal (high) anal fistulas to evolve. METHOD: All
patients who underwent surgery at the University Medical Center Mannheim,
Department of Colo-proctology (from 06/2003 to 11/2015), were retrospectively
evaluated using a prospective database. Patients who underwent fistulectomy with
primary sphincter reconstruction were all included. RESULTS: The primary healing
rate, after a mean follow-up of 11 months (7 to 200 months), was 88.2% (374 of
424). Taking into account revisionary surgeries with secondary sphincter repair,
this rate reaches 95.8% (406 of 424). Factors such as gender and fistula location
as related to the sphincter had significant influence on the study outcome,
whereas variables such as the amount of reconstructed muscle (in mm), number of
revisions, patient age, other anal operations, and concomitant medication did
not. The incontinence of a subgroup of 148 patients was evaluated in detail by
way of a questionnaire. Even at a preoperative baseline, 9.6% of those patients
reported some minor degree of continence disorders. After the procedure,
incontinence disorders were observed in 34 patients (23.0%), with 23 of these
patients suffering from flatus incontinence (15.5%), 10 patients from liquid
incontinence (6.8%), and 1 patient from solid fecal incontinence. CONCLUSION:
Fistulectomy with primary sphincter reconstruction is a feasible procedure
resulting in a low recurrence rate. No other procedure has shown better results
in transsphincteric fistulas. Continence disorders seem to be of minor
relevance/consequence for these patients.
PMID- 29651554
TI - Dual-species biofilm of Listeria monocytogenes and Escherichia coli on stainless
steel surface.
AB - Listeria monocytogenes is a Gram-positive bacterium commonly associated with
foodborne diseases. Due its ability to survive under adverse environmental
conditions and to form biofilm, this bacterium is a major concern for the food
industry, since it can compromise sanitation procedures and increase the risk of
post-processing contamination. Little is known about the interaction between L.
monocytogenes and Gram-negative bacteria on biofilm formation. Thus, in order to
evaluate this interaction, Escherichia coli and L. monocytogenes were tested for
their ability to form biofilms together or in monoculture. We also aimed to
evaluate the ability of L. monocytogenes 1/2a and its isogenic mutant strain
(DeltaprfA DeltasigB) to form biofilm in the presence of E. coli. We assessed the
importance of the virulence regulators, PrfA and sigmaB, in this process since
they are involved in many aspects of L. monocytogenes pathogenicity. Biofilm
formation was assessed using stainless steel AISI 304 #4 slides immersed into
brain heart infusion broth, reconstituted powder milk and E. coli preconditioned
medium at 25 degrees C. Our results indicated that a higher amount of biofilm
was formed by the wild type strain of L. monocytogenes than by its isogenic
mutant, indicating that prfA and sigB are important for biofilm development,
especially maturation under our experimental conditions. The presence of E. coli
or its metabolites in preconditioned medium did not influence biofilm formation
by L. monocytogenes. Our results confirm the possibility of concomitant biofilm
formation by L. monocytogenes and E. coli, two bacteria of major significance in
the food industry.
PMID- 29651555
TI - Larval neurogenesis in the copepod Tigriopus californicus (Tetraconata,
Multicrustacea).
AB - Arthropod early neurogenesis shows distinct patterns that have been interpreted
in an evolutionary framework. For instance, crustaceans and Hexapoda form the
taxon Tetraconata and share the differentiation of specific neural precursors,
the neuroblasts, a character which sets them apart from Chelicerata and
Myriapoda. Neuroblasts are relatively large stem cells that generate ganglion
mother cells by asymmetric divisions. Ganglion mother cells typically divide once
to give rise to neurons and glia cells. In hexapods, neuroblasts segregate from
the neuroectoderm before they begin their characteristic proliferative activity.
In the crustaceans studied so far, neuroblasts remain in the neuroectoderm. Yet,
detailed studies on early neurogenesis of crustaceans at the cellular level are
largely restricted to some malacostracan and branchiopod species. Crustaceans are
very diverse and likely paraphyletic with respect to hexapods. Hence, knowledge
about neural differentiation in other crustacean taxa might contribute to the
understanding of evolution of neurogenesis in Tetraconata. Here, we describe the
early neurogenesis during naupliar development of the copepod Tigriopus
californicus. We show that neuroblasts are present that generate ganglion mother
cells, which in turn divide to give rise to neurons of the ventral nerve cord.
These two neural precursor cell types and their specific arrangement correspond
to what has been found in other crustaceans. One obvious difference concerns the
relative size of the neuroblasts, which are not much larger than their progeny.
Our results complement the picture of neural differentiation in crustaceans and
suggest that superficially located neuroblasts are likely the ancestral condition
in Tetraconata.
PMID- 29651556
TI - Neurospheres from neural stem/neural progenitor cells (NSPCs) of non
hydrocephalic HTx rats produce neurons, astrocytes and multiciliated ependyma:
the cerebrospinal fluid of normal and hydrocephalic rats supports such a
differentiation.
AB - Fetal onset hydrocephalus and abnormal neurogenesis are two inseparable phenomena
turned on by a cell junction pathology first affecting neural stem/progenitor
cells (NSPCs) and later the multiciliated ependyma. The neurological impairment
of children born with hydrocephalus is not reverted by derivative surgery. NSPCs
and neurosphere (NE) grafting into the cerebrospinal fluid (CSF) of hydrocephalic
fetuses thus appears as a promising therapeutic procedure. There is little
information about the cell lineages actually forming the NE as they grow
throughout their days in vitro (DIV). Furthermore, there is no information on how
good a host the CSF is for grafted NE. Here, we use the HTx rat, a model with
hereditary hydrocephalus, with the mutation expressed in about 30% of the litter
(hyHTx), while the littermates develop normally (nHTx). The investigation was
designed (i) to establish the nature of the cells forming 4 and 6-DIV NE grown
from NSPCs collected from PN1/nHTx rats and (ii) to study the effects on these
NEs of CSF collected from nHTx and hyHTx. Immunofluorescence analyses showed that
90% of cells forming 4-DIV NEs were non-committed multipotential NSPCs, while in
6-DIV NE, 40% of the NSPCs were already committed into neuronal, glial and
ependymal lineages. Six-DIV NE further cultured for 3 weeks in the presence of
fetal bovine serum, CSF from nHTx or CSF from hyHTx, differentiated into neurons,
astrocytes and betaIV-tubulin+ multiciliated ependymal cells that were joined
together by adherent junctions and displayed synchronized cilia beating. This
supports the possibility that ependymal cells are born from subpopulations of NSC
with their own time table of differentiation. As a whole, the findings indicate
that the CSF is a supportive medium to host NE and that NE grafted into the CSF
have the potential to produce neurons, glia and ependyma.
PMID- 29651557
TI - Higher admission fasting plasma glucose levels are associated with a poorer short
term neurologic outcome in acute ischemic stroke patients with good collateral
circulation.
AB - AIMS: In this retrospective study, we sought to delineate the collateral
circulation status of acute ischemic stroke patients by CT perfusion and evaluate
90-day modified Rankin Scale (mRS) scores of patients with good or poor
collaterals and its correlation with admission fasting plasma glucose (FPG).
METHODS: We enrolled acute ischemic stroke patients who presented to our hospital
4.5 h within an onset of the first episode between January 2009 and December
2015. Neurological assessment was performed using the 90-day mRS scores (0-2 for
a favorable and 3-6 for an unfavorable neurologic outcome). Relative filling time
delay (rFTD) was evaluated by CT perfusion scan. The primary outcomes were 90-day
mRS scores stratified by good (rFTD <= 4 s) versus poor collateral circulation
(rFTD > 4 s). RESULTS: Totally 270 patients were included, and 139 (51.5%)
patients achieved a favorable neurologic outcome. One hundred eighty-five (68.5%)
patients had good collateral circulation. Significantly greater portions of
patients with good collateral circulation (60.5%, 112/185) achieved a favorable
neurologic outcome compared to those with poor collateral circulation (31.8%,
27/85) (P < 0.05). Patients with good collateral circulation achieving a
favorable neurologic outcome had significantly lower baseline FPG (6.6 +/- 1.96)
than those with good collateral circulation achieving an unfavorable neurologic
outcome (8.12 +/- 4.02; P = 0.002). Spearman correlation analysis showed that
rFTD significantly correlated with 90-day mRS scores (adjusted r = 0.258; P <
0.001) and admission FPG (r = 0.286; P < 0.001). CONCLUSION: Higher admission FPG
levels are associated with significantly higher rates of unfavorable neurologic
outcome of acute ischemic stroke patients with good collateral circulation. FPG
and rFTD may serve as useful predictors of short-term patient outcome and could
be used for risk stratification in clinical decision making.
PMID- 29651558
TI - GlyCulator2: an update on a web application for calculation of glycemic
variability indices.
PMID- 29651559
TI - Amperometric determination of ascorbic acid with a glassy carbon electrode
modified with TiO2-gold nanoparticles integrated into carbon nanotubes.
AB - A glassy carbon electrode was modified with a TiO2-gold nanoparticle hybrid
integrated with multi-walled carbon nanotubes in a dihexadecylphosphate film
(TiO2-Au NP-MWCNT-DHP/GCE) and applied to amperometric determination of ascorbic
acid (AA). The modified sensor displays fast charge transfer and shows an
irreversible anodic behavior for AA by cyclic voltammetry. Under optimal
experimental conditions and using amperometry at 0.4 V, the analytical curve
presented a statistical linear concentration range for AA from 5.0 to 51 MUmol L
1, with a limit of detection of 1.2 MUmol L-1. The electrode was successfully
applied to the determination of AA in pharmaceutical and fruit juice without the
need for major pretreatment of samples. Graphical abstract Schematic of a new
sensing platform for ascorbic acid (AA). It is based on a glassy carbon electrode
(GCE) modified with TiO2-Au nanoparticles integrated into carbon nanotubes in a
dihexadecylphosphate film. The sensor was applied to amperometric determination
of AA in juice and pharmaceutical samples.
PMID- 29651560
TI - Robust photosystem I activity by Cyanothece sp. (Cyanobacteria) and its role in
prolonged bloom persistence in lake St Lucia, South Africa.
AB - Worldwide, cyanobacterial blooms are becoming more frequent, exacerbated by
eutrophication, anthropogenic effects, and global climate change. Environmental
factors play a direct role in photosynthesis of cyanobacteria and subsequent
cellular changes, growth, and bloom dynamics. This study investigated the
photosynthetic functioning of a persistent bloom-forming (18 months)
cyanobacterium, Cyanothece sp., isolated from Lake St Lucia, South Africa. DUAL
PAM fluorometric methods were used to observe physiological responses in
Cyanothece sp. photosystems I and II. Results show that photosystem I activity
was maintained under all environmental conditions tested, while photosystem II
activity was not observed at all. Out of the environmental factors tested
(temperature, salinity, and nitrogen presence), only temperature significantly
influenced photosystem I activity. In particular, high temperature (40 degrees
C) facilitated faster electron transport rates, while effects of salinity and
nitrogen were variable. Cyanothece sp. has shown to sustain bloom status for long
periods largely because of the essential role of photosystem I activity during
highly dynamic and even extreme (e.g., salinities higher than 200) environmental
conditions. This ensures the continual supply of cellular energy (e.g. ATP) to
important processes such as nitrogen assimilation, which is essential for protein
synthesis, cell growth and, therefore, bloom maintenance.
PMID- 29651561
TI - Survival and functional outcome of high tibial osteotomy for medial knee
osteoarthritis: a 10-20-year cohort study.
AB - BACKGROUND: The primary aim of this study was to identify independent predictors
of long-term survivorship after high tibial osteotomy (HTO). The secondary aims
were to describe the functional outcome of surviving HTO 10-20 years after
surgery. METHODS: A retrospective cohort of 223 HTO that were performed for the
treatment of medial osteoarthritis was identified. Details were recorded from the
patient notes. All surviving patients were contacted and asked to complete a
Tegner Activity Scale, Lysholm Knee Score and rate pain using the Visual Analogue
Scale (VAS). Survival analysis was performed, using conversion to arthroplasty as
the definition of failure. RESULTS: The mean age was 54 years (24-80 years).
There were 123 (55.2%) in males and 100 (44.8%) in females. The mean BMI was 27.2
(SD 3.9). Twenty (9%) patients were lost to follow-up. The mean follow-up was 12
(SD 4) years. Survival at 10 years was 75 and 55% at 15 years and less than 40%
at 20 years. Cox regression analysis demonstrated age of 50 years or more, female
gender and surgical technique to be significant independent predictors of
failure. The median Tegner score was 3 (inter-quartile range (IQR) 1-3). The mean
Lysholm score was 75.5 (SD 18.4). The median VAS was 5 (IQR 0-6). CONCLUSIONS:
The medium- to long-term survival and functional outcome after HTO was good to
excellent at 10-20 years of follow-up. Age, gender, surgeon and surgical
technique were identified as independent predictors of failure.
PMID- 29651562
TI - The evaluation of E. faecalis colonies dissolution ability of sodium hypochlorite
in microenvironment by a novel device.
AB - Enterococcus faecalis(E. faecalis) is a common microorganism could be isolated
from the infected canals, especially in the case of refractory apical
periodontitis. Due to its ability to invade the dentinal tubules and highly
resistant to antimicrobial strategies, the thorough debridement of E.faecalis is
hard to achieve. And that may be one of the reasons to cause reinfection and
therapeutic failure. According to the anatomy of dentinal tubules published
before and the results of our team previous work, we designed six types of
microtubes with different sizes. By using the method of centrifugation and
incubation, a standard infected model mimicking dentinal tubules was established.
Sodium hypochlorite (NaClO) is the most popular irrigant applied in root canal
treatment. We used three different concentrations with four distinct irrigation
duration to observe the antibacterial process of E. faecalis colonies within
microtubes dynamically. We concluded that the role of NaClO in the microtubes is
concentration dependent and duration dependent. And the interpretation of the
results has a certain reference value for clinicians.
PMID- 29651563
TI - Predictors of decompressive hemicraniectomy in malignant middle cerebral artery
stroke.
AB - Identification of factors in malignant middle cerebral artery (MMCA) stroke
patients that may be useful in selecting patients for DHC. This study was a
retrospective multicenter study of patients referred for DHC based on the
criteria of the randomized control trials of DHC in MMCA stroke. Demographic,
clinical, and radiology data were analyzed. Patients who underwent DHC were
compared to those who survived without surgery. Two hundred three patients with
MMCA strokes were identified: 137 underwent DHC, 47 survived without DHC, and 19
refused surgery and died. Multivariate analysis identified the following factors
determining DHC in MMCA stroke: age < 55 years (OR 8.5, 95% CI 3.3-22.1, P <
0.001), MCA with involvement of additional vascular territories (anterior
cerebral artery, posterior cerebral artery (OR 4.8, 95% CI 1.5-14.9, P = 0.007),
septum pellucidum displacement >= 7.5 mm (OR 4.8, 95% CI 1.9-11.7, P = 0.001),
diabetes (OR 3.7, 95% CI 1.3-10.6, P = 0.012), infarct growth rate (IGR) ml/h (OR
1.11, 95% CI 1.02-1.2, P = 0.015), and temporal lobe involvement (OR 2.5, 95% CI
1.01-6.1, P = 0.048). The internal validation of the multivariate logistic
regression model using bootstrapping analysis showed marginal bias. Among
patients with MMCA infarctions, an increased possibility of DHC is associated
with younger age, MCA with additional infarction, septum pellucidum deviation of
> 7.5 mm, diabetes, IGR, and temporal lobe involvement. The presence of these
risk factors identifies those MMCA stroke patients who may require DHC.
Bootstrapping analysis indicated the model is good enough to predict the outcome
in general population.
PMID- 29651564
TI - Impedance Analysis of Thin Films of Organic-Inorganic Perovskites CH3NH3PbI3 with
Control of Microstructure.
AB - The effect of starting reagents (PbI2:{CH3NH3I + CH3NH3Cl}) with different ratios
in raw solutions on the microstructure of films of organic-inorganic perovskites
CH3NH3PbI3-xClx, as well as on the electrical properties, has been investigated.
It was found that the crystallinity is increased sharply when the ratio of the
starting reagents increases from 1:1 to 1:2 and is changed slightly with a
further increase of ratio to 1:3. It is shown that when the ratio of starting
reagents varies, the morphology of the films changes; at a ratio of 1:1, the
films consist of needle-like particles, and when the ratio is increased,
particles become roundish and then faceted. Additionally, the average grain size
is decreased. Complex impedance curves and I-V curves have been investigated for
samples with different ratios of the starting reagents. With increasing this
ratio, the concentration of charge carriers remains unchanged, the mobility of
charge carriers decreases, and conductivity passes through a maximum at a ratio
of 1:2. The electrical properties of film are the highest at the ratio of
starting reagents 1:2 due to the effect of two competing factors: the growth of
crystallinity and the decrease of grain size.
PMID- 29651565
TI - Synthesis and preclinical evaluation of novel 18F-labeled Glu-urea-Glu-based PSMA
inhibitors for prostate cancer imaging: a comparison with 18F-DCFPyl and 18F-PSMA
1007.
AB - BACKGROUND: Due to its high and consistent expression in prostate cancer (PCa),
the prostate-specific membrane antigen (PSMA) represents an ideal target for
molecular imaging and targeted therapy using highly specific radiolabeled PSMA
ligands. To address the continuously growing clinical demand for 18F-labeled PSMA
probes, we developed two novel Glu-urea-Glu-(EuE)-based inhibitors, EuE-k-18F
FBOA (1) and EuE-k-beta-a-18F-FPyl (2), both with optimized linker structure and
different 18F-labeled aromatic moieties. The inhibitors were evaluated in a
comparative preclinical study with 18F-DCFPyl and 18F-PSMA-1007. RESULTS:
Radiolabeling procedures allowed preparation of (1) and (2) with high
radiochemical yields (67 +/- 7 and 53 +/- 7%, d.c.) and purity (> 98%). When
compared with 18F-DCFPyl (IC50 = 12.3 +/- 1.2 nM) and 18F-PSMA-1007 (IC50 = 4.2
+/- 0.5 nM), both metabolically stable EuE-based ligands showed commensurable or
higher PSMA affinity (IC50 = 4.2 +/- 0.4 nM (1), IC50 = 1.1 +/- 0.2 nM (2)).
Moreover, 1.4- and 2.7-fold higher internalization rates were observed for (1)
and (2), respectively, resulting in markedly enhanced tumor accumulation in LNCaP
tumor-bearing mice ((1) 12.7 +/- 2.0% IA/g, (2) 13.0 degrees +/- 1.0% IA/g vs.
7.3 +/- 1.0% IA/g (18F-DCFPyl), 7.1 +/- 1.5% IA/g (18F-PSMA-1007), 1 h p.i.). In
contrast to (1), (2) showed higher kidney accumulation and delayed clearance
kinetics. Due to the high hydrophilicity of both compounds, almost no unspecific
uptake in non-target tissue was observed. In contrast, due to the less
hydrophilic character (logP = - 1.6) and high plasma protein binding (98%), 18F
PSMA-1007 showed uptake in non-target tissue and predominantly hepatobiliary
excretion, whereas, 18F-DCFPyl exhibited pharmacokinetics quite similar to those
obtained with (1) and (2). CONCLUSION: Both 18F-labeled EuE-based PSMA ligands
showed excellent in vitro and in vivo PSMA-targeting characteristics. The
substantially higher tumor accumulation in mice compared to recently introduced
18F-PSMA-1007 and 18F-DCFPyl suggests their high value for preclinical studies
investigating the effects on PSMA-expression. In contrast to (2), (1) seems to be
more promising for further investigation, due to the more reliable 18F-labeling
procedure, the faster clearance kinetics with comparable high tumor uptake,
resulting therefore in better high-contrast microPET imaging as early as 1 h p.i.
PMID- 29651566
TI - Clinical evaluation of the aberrant left hepatic artery arising from the left
gastric artery in esophagectomy.
AB - PURPOSE: The left gastric artery (LGA) is commonly severed when the gastric tube
is made for esophageal reconstruction. Sacrifice of the LGA can cause liver
ischemic necrosis in patients with an aberrant left hepatic artery (ALHA) arising
from the LGA. We experienced a case of life-threatening hepatic abscess after
severing the ALHA. Therefore, the purpose of this study is to evaluate clinical
outcomes of severing the ALHA. METHODS: We retrospectively enrolled 176
consecutive patients who underwent esophagectomy with gastric tube
reconstruction. They were classified into the ALHA (N = 16, 9.1%) and non-ALHA (N
= 160, 90.9%) groups. Univariate analysis was performed to compare the
clinicopathological variables. Long-term survival was analyzed using the Kaplan
Meier method in matched pair case-control analysis. RESULTS: The postoperative
morbidities were not statistically different between the two groups, although
serum alanine aminotransferase levels on postoperative days 1 and 3 were
significantly higher in the ALHA group (36 IU/L, 14-515; 32 IU/L, 13-295) than in
the non-ALHA group (24 IU/L, 8-163; 19 IU/L, 6-180), respectively (p = 0.0055; p
= 0.0073). Overall survival was not statistically different between the two
groups (p = 0.26). CONCLUSIONS: Severe hepatic abscess occurred in 6.3% of the
patients with the ALHA after esophagectomy, even though the results presented
here found no statistical differences in morbidity or mortality with or without
the ALHA. Surgeons should probably attempt to preserve the ALHA especially in
patients with altered liver function while making a gastric tube for esophageal
reconstruction.
PMID- 29651567
TI - The origin of the medial femoral circumflex artery, lateral femoral circumflex
artery and obturator artery.
AB - INTRODUCTION: The most significant blood vessel supplying the hip joint is the
medial femoral circumflex artery (MFCA). MFCA with lateral femoral circumflex
artery (LFCA) are the first branches of the deep femoral artery (DFA) or they
originate directly from the common femoral artery (CFA) or superficial femoral
artery (SFA). PURPOSE AND METHODS: We analyzed 100 CT angiogram of the hip region
[72 men, 28 women; mean age 46.4 (14-80)] to assess the frequency of each type of
division of the MFCA and LFCA from either the DFA or directly from the CFA or
SFA. To assess the variations on each side in one individual we analyzed both
hips in 73 patients [mean age 46.6 (14-80)]. RESULTS: Many different types of
division have been described. The most frequent one in which both the MFCA and
LFCA originate from the DFA, was observed in 50% of patients. In 31% of hips the
MFCA originates from the CFA. In our study, a normal origin of the obturator
artery from the internal iliac artery was observed in 67% of patients and an
atypical origin, called corona mortis was observed in 33% of patients.
CONCLUSIONS: The deep branch of the MFCA is the main artery supplying the femoral
head, it is at risk during surgical approach to the hip joint. The atypical
anastomosis called corona mortis is also at risk while performing the approach to
pubic bone. Therefore, knowledge of their topography is very important.
PMID- 29651568
TI - Dorsal extensions of the fastigium cerebelli: an anatomical study using magnetic
resonance imaging.
AB - BACKGROUND AND PURPOSE: The fastigium cerebelli is an important topographical
landmark for neurosurgeons and radiologists. However, few studies have
characterized the morphology of the fastigium cerebelli. We aimed to investigate
the fastigium cerebelli using postmortem specimens and magnetic resonance imaging
(MRI) in vivo. MATERIALS AND METHODS: Three cadaveric brains were midsagittally
sectioned for observing the fastigium cerebelli. Additionally, 66 outpatients
underwent MRI, including sagittal T1-weighted imaging, axial T2-weighted imaging,
and coronal constructive interference in steady-state (CISS) sequence. RESULTS:
In the cadaveric specimens, the fastigium cerebelli was observed as a beak-like
dorsal protrusion of the fourth ventricle. Its inner surface was observed as a
small fovea. On serial CISS images, the fastigium cerebelli consistently
possessed a pair of triangular-shaped, dorsal extensions lying parasagittally
along the nodule. These extensions were classified as symmetrical, right-side
dominant, or left-side dominant. The symmetrical type was the most predominant
and comprised 60.6% of the extensions, while the right-side dominant and left
side dominant types comprised 13.6 and 25.8%, respectively. In 91% of the 66
patients, the number of slices covering the entirety of the dorsal extensions
were the same on both sides. The fastigial angle (theta) formed by lines tangent
to the superior and inferior medullary velums varied widely. CONCLUSIONS: The
fastigium cerebelli has a pair of dorsal extensions lying parasagittally along
the nodule. Coronal CISS sequence is useful in delineating the fastigium
cerebelli in vivo.
PMID- 29651569
TI - External radiation exposure, excretion, and effective half-life in 177Lu-PSMA
targeted therapies.
AB - BACKGROUND: Prostate-specific membrane antigen (PSMA)-targeted therapy with 177Lu
PSMA-617 is a therapeutic option for patients with metastatic castration
resistant prostate cancer (mCRPC). To optimize the therapy procedure, it is
necessary to determine relevant parameters to define radiation protection and
safety necessities. Therefore, this study aimed at estimating the ambient
radiation exposure received by the patient. Moreover, the excreted activity was
quantified. RESULTS: In total, 50 patients with mCRPC and treated with 177Lu-PSMA
617 (mean administered activity 6.3 +/- 0.5 GBq) were retrospectively included in
a bi-centric study. Whole-body dose rates were measured at a distance of 2 m at
various time points after application of 177Lu-PSMA-617, and effective half-lives
for different time points were calculated and compared. Radiation exposure to the
public was approximated using the dose integral. For the estimation of the
excreted activity, whole body measurements of 25 patients were performed at 7
time points. Unbound 177Lu-PSMA-617 was rapidly cleared from the body. After 4 h,
approximately 50% and, after 12 h, approximately 70% of the administered activity
were excreted, primarily via urine. The mean dose rates were the following: 3.6
+/- 0.7 MUSv/h at 2 h p. i., 1.6 +/- 0.6 MUSv/h at 24 h, 1.1 +/- 0.5 MUSv/h at 48
h, and 0.7 +/- 0.4 MUSv/h at 72 h. The mean effective half-life of the cohort was
40.5 +/- 9.6 h (min 21.7 h; max 85.7 h). The maximum dose to individual members
of the public per treatment cycle was ~ 250 +/- 55 MUSv when the patient was
discharged from the clinic after 48 h and ~ 190 +/- 36 MUSv when the patient was
discharged after 72 h. CONCLUSIONS: In terms of the radiation exposure to the
public, 177Lu-PSMA is a safe option of radionuclide therapy. As usually four
(sometimes more) cycles of the therapy are performed, it must be conducted in a
way that ensures that applicable legal requirements can be followed. In other
words, the radiation exposure to the public and the concentration of activity in
wastewater must be sub-marginal. Therefore, in certain countries, hospitalization
of these patients is mandatory.
PMID- 29651570
TI - Thickness-dependent Magnetic and Microwave Resonance Characterization of Combined
Stripe Patterned FeCoBSi Films.
AB - In this paper, we fabricated a series of FeCoBSi multistoried patterned magnetic
films with different thickness by traditional UV lithography method and DC
sputtering deposition. Broad resonance band phenomenon was observed during high
frequency property characterization, with full width half maximum (FWHM) of 4 GHz
when the film thickness is 45 nm. The broad resonance band effect was contributed
to the existence of multiple resonance peaks due to different stripe width of the
combined stripe pattern, which induced distinguish shape anisotropic field in
each stripe. Each resonance peak was independent due to the gap between the
stripes, leading to a controllable method to tune the microwave properties of
such structure. With thickness varied, the resonance band could be altered
according to the mathematic prediction. This work presents an effective method
for tuning the microwave resonance characterization in magnetization dynamic.
PMID- 29651571
TI - Correlation of neuropsychological and metabolic changes after epilepsy surgery in
patients with left mesial temporal lobe epilepsy with hippocampal sclerosis.
AB - BACKGROUND: Epilepsy surgery often causes changes in cognition and cerebral
glucose metabolism. Our aim was to explore relationships between pre- and
postoperative cerebral metabolism as measured with 18F-fluorodeoxyglucose
positron emission tomography (FDG-PET) and neuropsychological test scores in
patients with left mesial temporal lobe epilepsy with hippocampal sclerosis (MTLE
HS), who were rendered seizure-free after epilepsy surgery. RESULTS: Thirteen
patients were included. All had neuropsychological testing and an interictal FDG
PET scan of the brain pre- and postoperative. Correlations between changes in
neuropsychological test scores and metabolism were examined using statistical
parametric mapping (SPM). There were no significant changes in the
neuropsychological test scores pre- and postoperatively at the group level.
Decreased metabolism was observed in the left mesial temporal regions and
occipital lobe. Increased metabolism was observed in the bi-frontal and right
parietal lobes, temporal lobes, occipital lobes, thalamus, cerebellum, and
vermis. In these regions, we did not find a correlation between changes in
metabolism and neuropsychological test scores. A significant negative
correlation, however, was found between metabolic changes in the precuneus and
Boston Naming Test (BNT) scores. CONCLUSIONS: There are significant metabolic
decreases in the left mesial temporal regions and increases in the bi-frontal
lobes; right parietal, temporal, and occipital lobes; right thalamus; cerebellum;
and vermis in patients with left MTLE-HS who were rendered seizure-free after
epilepsy surgery. We could not confirm that these changes translate into
significant cognitive changes. A significant negative correlation was found
between changes in confrontation naming and changes in metabolism in the
precuneus. We speculate that the precuneus may play a compensatory role in
patients with postoperative naming difficulties after left TLE surgery.
Understanding of these neural mechanisms may aid in designing cognitive
rehabilitation strategies.
PMID- 29651572
TI - Antiproteinuric effects of renin-angiotensin inhibitors in lung cancer patients
receiving bevacizumab.
AB - PURPOSE: The objective of this study was to investigate the effect of renin
angiotensin system inhibitors (RASIs) on bevacizumab (BV)-induced proteinuria in
non-small cell lung cancer (NSCLC) patients. MATERIALS AND METHODS: We
retrospectively reviewed the medical records of NSCLC patients receiving BV
between 2008 and 2014 at 11 hospitals. The patients were categorized into three
groups according to their antihypertensive drug use: RASI user, non-RASI user,
and non-user groups. The primary outcome was a proteinuria event of any grade
during the first 6 cycles of BV treatment. RESULTS: A total of 211 patients were
included, 89 of whom received antihypertensive drugs. Of these 89 patients, 49
were in the RASI user group, and 40 were in the non-RASI user group. The non-user
group comprised 122 patients. The occurrence of proteinuria in the RASI user
group was significantly lower than that in the non-RASI user group (P = 0.037)
but was not significantly lower than that in the non-user group (P = 0.287).
Patients using RASIs had a lower rate of proteinuria than those who did not use
RASIs according to multivariate analysis (odds ratio 0.32; 95% confidence
interval 0.12-0.86; P = 0.024). CONCLUSION: Our study suggests that RASI
administration reduces the risk of proteinuria in patients receiving BV.
PMID- 29651573
TI - Metabolic syndrome in systemic lupus erythematosus patients : Relationship to
disease activity and neuropsychiatric lupus.
AB - OBJECTIVE: The study aims to evaluate the presence of metabolic syndrome (MetS)
among Egyptian systemic lupus erythematosus (SLE) patients. Additionally, we
intended to determine the association of MetS with disease activity, clinical and
laboratory features. PATIENTS AND METHODS: This cross-sectional study included
adult SLE patients diagnosed and followed in rheumatology outpatient clinics. The
demographic data, clinical and laboratory parameters were assessed for all
patients. Disease activity was measured using SLE Disease Activity Index (SLEDAI
2k). Patients were diagnosed to have MetS according to The National Cholesterol
Education Program Adult Treatment Panel III (NCEP). The characteristics of SLE
patients and their disease status were compared between those with and without
MetS. RESULTS: A total of 74 SLE patients were included; mean age was 32.1 +/-
10.9 years and 87.8% were females. Twenty-five (33.8%) SLE patients had
neuropsychiatric lupus (NPSLE), with headache the most common manifestation. MetS
was diagnosed in 45.9% of the SLE group. Patients with MetS had significantly
older age, delayed age of diagnosis and higher SLEDAI-2k scores (p = 0.015, p =
0.014 and p = 0.019, respectively) compared to those without MetS. NPSLE was
significantly correlated with MetS (r = 0.32, p = 0.006) and in particular with
higher central obesity (r = 0.43, p < 0.001). In the multivariate analysis, NPSLE
remained independently associated with MetS (OR = 4.9, p = 0.004, 95% CI = 1.7
14.4). CONCLUSION: Metabolic syndrome is present in a significant proportion of
Egyptian patients with adult SLE. Delayed disease diagnosis and higher disease
activity are SLE-related factors that may contribute to increase the risk of
MetS. Data suggest that neuropsychiatric lupus may underlie some of the increased
risk for MetS and central obesity.
PMID- 29651574
TI - Nocturnal blood pressure dipping is similar in rheumatoid arthritis patients as
compared to a normal population.
AB - OBJECTIVE: Rheumatoid arthritis (RA) is a systemic autoimmune inflammatory
disorder which further doubles the risk of developing cardiovascular disease.
Some studies suggest that in RA patients, the prevalence of hypertension
increases due to prednisolone use, clinical status, genetic factors, and physical
inactivity. On the other hand, dipper and non-dipper status in RA patients
compared to non-RA subjects has not been investigated to our knowledge. Purpose
of the study is to investigate whether non-dipper status is more deteriorated in
RA patients. METHODS: Sixty-five RA patients and 61 age-sex-matched control
patients were evaluated in this cross-sectional study. Patients were classified
according to 24-h ambulatory blood pressure monitoring results. Patients with
previous hypertension diagnosis, coronary artery disease, and abnormal kidney
function were excluded. RESULTS: Mean age of the study sample was 53.7 +/- 12.3
years and 40.5% were male. There was no significant difference between groups in
terms of basic demographic characteristics. Leukocyte counts (p = 0.001),
neutrophil counts (p = 0.001), and red cell distribution width (p = 0.000) were
significantly higher in the RA group. ABPM results indicate no significant
difference between RA patients and the control group in terms of daytime systolic
and diastolic blood pressure, nighttime systolic and diastolic blood pressure,
and average systolic and diastolic blood pressure results (p > 0.05). There was
no statistical difference regarding the non-dipper status of patient groups (p =
0.412). Nocturnal blood pressure dipping was significantly similar between groups
(p = 0.980). CONCLUSION: In conclusion, RA patients have similar values in terms
of nocturnal blood pressure dipping and hypertension diagnosis as compared to
normal population.
PMID- 29651575
TI - Assessment of pelvic tilt in anteroposterior radiographs by means of tilt ratios.
AB - INTRODUCTION: In anteposterior (AP) radiographs, cup position in total hip
arthroplasty and acetabular anatomy in hip-preserving surgery are highly
influenced by pelvic tilt. The sagittal rotation of the anterior pelvic plane is
an important measurement of pelvic tilt during hip surgery. Thus, correct
evaluation of cup position and acetabular parameters requires the assessment of
pelvic tilt in AP radiographs. METHODS: Changes in pelvic tilt inversely change
the height of the lesser pelvis and the obturator foramen in AP radiographs. Tilt
ratios were calculated by means of these two parameters in simulated radiographs
for ten male and ten female pelvises in defined tilt positions. A tilt formula
obtained by exponential regression analysis was evaluated by two blinded
investigators by means of 14 simulated AP radiographs of the pelvis with pelvic
tilts ranging from + 15 degrees to - 15 degrees . RESULTS: No differences were
found between male and female tilt ratios for each 5 degrees step of simulated
pelvic tilt. Pelvic tilt and tilt ratios correlated exponentially. Using the tilt
formula, the two blinded investigators were able to assess pelvic tilt with high
conformity, a mean relative error of + 0.4 degrees (SD +/- 4.6 degrees ), and a
mean absolute error of 3.9 degrees (SD +/- 2.3 degrees ). Neutral pelvic tilt is
indicated by a tilt ratio of 0.5 when the height of the lesser pelvis is twice
the height of the obturator foramen. CONCLUSION: The analysis and interpretation
of cup position and acetabular parameters may be improved by our method for
assessing pelvic tilt in AP radiographs.
PMID- 29651576
TI - Fluorescence Molecular Imaging and Tomography of Matrix Metalloproteinase
Activatable Near-Infrared Fluorescence Probe and Image-Guided Orthotopic Glioma
Resection.
AB - PURPOSE: Malignant gliomas are major causes of cancer-related mortality and
morbidity. Traditional surgery usually leads to incomplete resection of gliomas
resulting in the high incidence of tumor recurrence. Advanced medical imaging
technology, such as fluorescence imaging-guided surgery, combined with tumor
specific imaging probes allows the identification of tumor margins and improved
surgery. However, there are two pressing issues that need to be addressed: first,
few fluorescence imaging probes can specifically target gliomas; second,
fluorescence molecular imaging (FMI) cannot get the in-depth information of deep
seated gliomas; both of which affect the complete removal of the gliomas.
PROCEDURES: In this study, the biodistribution of smart matrix metalloproteinase
(MMP) targeting near-infrared (NIR) fluorescent probe MMPSense 750 FAST (MMP-750)
was examined in both U87MG-GFP-fLuc glioma xenograft and orthotopic mouse models
using FMI. Then, CT and FMI images of orthotopic gliomas were acquired for the
reconstruction of fluorescence molecular tomography (FMT) using a randomly
enhanced adaptive subspace pursuit (REASP) algorithm. Furthermore, the resection
of orthotopic glioma was performed using the fluorescence surgical navigation
system after the injection of the MMP-750 probe. After surgery, bioluminescence
imaging (BLI) and hematoxylin and eosin staining were carried out to confirm the
precision resection of the tumor. RESULTS: FMI results showed that the MMP-750
probe can specifically target U87MG glioma in vivo. FMT presented the spatial
information of the orthotopic glioma using the REASP reconstruction algorithm.
Furthermore, MMP-750 could effectively delineate the tumor margin during glioma
surgery leading to a complete resection of the tumors. CONCLUSIONS: The smart MMP
750 specifically targets the glioma and FMT of MMP-750 provides 3D information
for the spatial localization of the glioma. MMP-750 can work as an ideal
fluorescence probe for guiding the intraoperative surgical resection of the
glioma, possessing clinical translation.
PMID- 29651578
TI - Arms Down Cone Beam CT Hepatic Angiography: Are We Focusing on the Wrong Target?
PMID- 29651577
TI - Pathological Margin Clearance and Survival After Pancreaticoduodenectomy in a US
and European Pancreatic Center.
AB - BACKGROUND: The optimal definition of a margin-negative resection and its exact
prognostic significance on survival in resected pancreatic adenocarcinoma remains
unknown. This study was designed to assess the relationship between pathological
margin clearance, margin type, and survival. METHODS: Patients who underwent
pancreaticoduodenectomy with curative intent at two academic institutions, in
Amsterdam, the Netherlands, and Boston, Massachusetts, between 2000 and 2014 were
retrospectively evaluated. Overall survival, recurrence rates, and progression
free survival (PFS) were assessed by Kaplan-Meier estimates and multivariate Cox
proportional hazards analysis, according to pathological margin clearance and
type of margin involved. RESULTS: Of 531 patients identified, the median PFS was
12.9, 15.4, and 24.1 months, and the median overall survival was 17.4, 22.9, and
27.7 months for margin clearances of 0, < 1, and >=1 mm, respectively (all log
rank p < 0.001). On multivariate analysis, patients with a margin clearance of
>=1 mm demonstrated a survival advantage relative to those with 0 mm clearance
[hazard ratio (HR) 0.71, p < 0.01], whereas survival was comparable for patients
with a margin clearance of < 1 mm versus 0 mm (HR: 0.93, p = 0.60). Patients with
involvement (0 or < 1 mm margin clearance) of the SMV/PV margin demonstrated
prolonged median overall survival (25.7 months) relative to those with SMA
involvement (17.5 months). CONCLUSIONS: In patients undergoing
pancreaticoduodenectomy for pancreatic adenocarcinoma, a margin clearance of >=1
mm correlates with improved survival relative to < 1 mm clearance and may be a
more accurate predictor of a complete margin-negative resection in pancreatic
cancer. The type of margin involved also appears to impact survival.
PMID- 29651579
TI - Percutaneous Radiofrequency Ablation for the Treatment of Osteoid Osteoma in
Children and Adults: A Comparative Analysis in 92 Patients.
AB - PURPOSE: To compare technical success, complications, and long-term clinical
success following radiofrequency ablation of osteoid osteomas in pediatric and
adult patients. MATERIALS AND METHODS: Ninety-two patients underwent percutaneous
computed tomography-guided radiofrequency ablation for osteoid osteomas including
54 pediatric (mean age 12.9 years) and 38 adult (mean age 24.1 years) patients.
Presenting indication, osteoma location, ablation method, technical success,
complications, visual analog score, radiographic follow-up, clinical success,
reintervention rate, and total follow-up were reported. Technical success was
defined as placement of the probe in the radiolucent nidus with ablation.
Clinical success was defined as resolution of symptoms. RESULTS: Ablation
indications included: pain localized to the lesion, night pain, and an osteoma on
imaging in all patients. Majority of osteoid osteomas were localized to the femur
(pediatric [n = 22, 40.7%]; adult [n = 12, 34.2%]) and tibia (pediatric [n = 21,
38.9%]; adult [n = 10, 26.3%]). Mean lesion size was 9.6 mm in pediatric patients
and 9.0 mm in adults. Technical success was achieved in all pediatric patients
(100%) and 97.4% of adults. Two complications occurred. Primary clinical success
was achieved in 49 (90.7%) pediatric and 35 (92.1%) adult patients. Five (9.3%)
pediatric and 3 (7.9%) adult patients sought reintervention for residual
symptoms, and all had secondary clinical success. Mean total follow-up was 95.2
+/- 58.7 months in pediatric and 90.0 +/- 61.6 months in adult patients. No
differences in outcomes were identified between pediatric and adult patients.
CONCLUSION: Radiofrequency ablation of osteoid osteomas is safe with excellent
technical and clinical success rates in pediatric and adult patients.
PMID- 29651580
TI - Hepatotoxic Dose Thresholds by Positron-Emission Tomography After Yttrium-90
Radioembolization of Liver Tumors: A Prospective Single-Arm Observational Study.
AB - PURPOSE: To define a threshold radiation dose to non-tumoral liver from 90Y
radioembolization that results in hepatic toxicity using pair-production PET.
MATERIALS AND METHODS: This prospective single-arm study enrolled 35 patients
undergoing radioembolization. A total of 34 patients (27 with HCC and 7 with
liver metastases) were included in the final analysis. Of 27 patients with
underlying cirrhosis, 22 and 5 patients were Child-Pugh A and B, respectively.
Glass and resin microspheres were used in 32 (94%) and 2 (6%) patients,
respectively. Lobar and segmental treatment was done in 26 (76%) and 8 (24%)
patients, respectively. Volumetric analysis was performed on post
radioembolization time-of-flight PET imaging to determine non-tumoral parenchymal
dose. Hepatic toxicity was evaluated up to 120 days post-treatment, with CTCAE
grade <= 1 compared to grade >= 2. RESULTS: The median dose delivered to the non
tumoral liver in the treated lobe was 49 Gy (range 0-133). A total of 15 patients
had grade <= 1 hepatic toxicity, and 19 patients had grade >= 2 toxicity.
Patients with a grade >= 2 change in composite toxicity (70.7 vs. 43.8 Gy),
bilirubin (74.1 vs. 43.3 Gy), albumin (84.2 vs. 43.8 Gy), and AST (94.5 vs. 47.1
Gy) have significantly higher non-tumoral parenchymal doses than those with grade
<= 1. Liver parenchymal dose and Child-Pugh status predicted grade >= 2 toxicity,
observed above a dose threshold of 54 Gy. CONCLUSION: Increasing delivered 90Y
dose to non-tumoral liver measured by internal pair-production PET correlates
with post-treatment hepatic toxicity. The likelihood of toxicity exceeds 50% at a
dose threshold of 54 Gy. ClinicalTrials.gov identifier: NCT02848638.
PMID- 29651581
TI - Single 15-Min Protocol Yields the Same Cryoablation Size and Margin as the
Conventional 10-8-10-Min Protocol: Results of Kidney and Liver Swine Experiment.
AB - INTRODUCTION: The objective was to determine the ablation size of a single 15-min
freeze and compare it with the conventional 10-min freeze-8-min thaw-10-min
freeze protocol. Secondary objectives were to determine the ablation margin and
to ascertain whether islands of viable tissue remain within the ablation zone.
MATERIALS AND METHODS: Five adult swine under general anesthesia were used. After
surgical abdominal exposure, two ablations were performed in liver and two in
kidney. One ablation utilized the 15-min and the second the 10-8-10-min protocol.
At maximum ice-ball, tissue ink was infused via an angiographic catheter in
hepatic or renal artery to stain the non-frozen tissue. Animals were euthanized
and organs examined macro- and microscopically. RESULTS: Three histological
regions were observed: (A) a viable/stained region representing the tissue
outside the ice-ball, (B) a central necrotic area representing the ablated region
within the ice-ball and (C) an unstained but viable margin representing the non
lethal margin within ice-ball. Ablation size did not vary with protocol but did
for tissue type. Renal ablation was approximately 5 * 4 cm with both protocols,
whereas liver ablation was approximately 6.7 * 4.4 cm. Ablation margin was
measured at 1 mm irrespective of ablation protocol or tissue. No islands of
viable tissue were identified within the ablation zone. DISCUSSION: Fifteen
minute cryoablation yielded an ablation size and margin identical to that of the
conventional 10-8-10-min protocol. Within the ablated region, cell death was
uniform. The only difference was a larger cryoablation zone in hepatic tissue
compared to renal tissue, likely attributable to differences in blood perfusion.
PMID- 29651583
TI - Food Bolus Impaction in the Era of Increased EoE Recognition: Push and Pull,
Biopsy and Dilate Before It Is Too Late.
PMID- 29651582
TI - Methods for identification of spike patterns in massively parallel spike trains.
AB - Temporally, precise correlations between simultaneously recorded neurons have
been interpreted as signatures of cell assemblies, i.e., groups of neurons that
form processing units. Evidence for this hypothesis was found on the level of
pairwise correlations in simultaneous recordings of few neurons. Increasing the
number of simultaneously recorded neurons increases the chances to detect cell
assembly activity due to the larger sample size. Recent technological advances
have enabled the recording of 100 or more neurons in parallel. However, these
massively parallel spike train data require novel statistical tools to be
analyzed for correlations, because they raise considerable combinatorial and
multiple testing issues. Recently, various of such methods have started to
develop. First approaches were based on population or pairwise measures of
synchronization, and later led to methods for the detection of various types of
higher-order synchronization and of spatio-temporal patterns. The latest
techniques combine data mining with analysis of statistical significance. Here,
we give a comparative overview of these methods, of their assumptions and of the
types of correlations they can detect.
PMID- 29651585
TI - Ruptured Fusiform Aneurysm of the Anterior Spinal Artery : Successful Treatment
with Flow Diverter Stent Placed in the Feeding Vertebral Artery.
PMID- 29651584
TI - Anxiety and depression associated with tyrosine kinase inhibitor discontinuation
in patients with chronic myeloid leukemia.
AB - BACKGROUND: ABL tyrosine kinase inhibitors (TKIs) significantly changed the
prognosis of patients with chronic myeloid leukemia (CML), and clinical trials
demonstrated that TKIs can be discontinued in approximately 50% of patients after
a period of deep molecular response (DMR). However, in some patients, TKI
discontinuation leads to anxiety and depression. Here, we analysed the incidence
of anxiety and depression in patients who stop TKI therapy. METHODS: Anxiety and
depression were evaluated using the Hospital Anxiety and Depression Scale (HADS)
in 32 patients with CML. The survey periods were at TKI discontinuation, at 1, 6,
12, and 24 months and at reintroduction of TKIs. The HADS score at the initial
TKI discontinuation was compared between patients within and outside clinical
trials. Treatment-free remission (TFR) rates outside clinical trials were
evaluated. RESULTS: The HADS scores were significantly higher at TKI
reintroduction after molecular relapse than at the initial TKI discontinuation
(at the initiation of stopping TKIs vs. at reintroduction of TKIs, 8.47 +/- 5.53
vs. 1.67 +/- 2.26; p = 0.0003). The TFR rate at 12 months after stopping TKIs
outside clinical trials was 55.6%. The HADS score at the initial TKI
discontinuation did not differ between patients within and outside clinical
trials. CONCLUSION: Stopping TKIs outside clinical trials is feasible if the
guidelines for stopping are followed and an adequate monitoring system is
available. Discontinuation of TKIs requires adequate management of anxiety and
depression.
PMID- 29651586
TI - Revascularization Techniques for Acute Basilar Artery Occlusion : Technical
Considerations and Outcome in the Setting of Severe Posterior Circulation Steno
Occlusive Disease.
AB - PURPOSE: To describe the clinical and radiological characteristics, frequency,
technical aspects and outcome of endovascular treatment of acute basilar artery
occlusion (ABO) in the setting of vertebrobasilar steno-occlusive disease.
METHODS: Retrospective analysis of databases of two universitary stroke centers
including all consecutive patients from January 2013 until May 2017 undergoing
thrombectomy for a) acute stroke due to basilar artery occlusion and either
significant basilar artery stenosis or vertebral artery stenosis/occlusion as
well as b) presumed embolic basilar artery occlusions. Demographics, stroke
characteristics, time metrics, recanalization results and outcome were recorded.
Interventional strategies were evaluated concerning the thrombectomy technique,
additional angioplasty, type of approach with respect to lesion pattern
(ipsilateral to steno-occlusive VA lesion: dirty road or contralateral: clean
road) and sequence of actions. RESULTS: Out of 157 patients treated for ABO 38
(24.2%) had associated significant vertebrobasilar steno-occlusive lesions. An
underlying significant basilar artery stenosis was present in 23.7% and
additionally significant steno-occlusive vertebral lesions were present in 81.5%.
Thrombectomy was performed with primary aspiration in 15.8% and with stent
retrievers in 84.2%. Successful revascularization (TICI 2b-3) was achieved in
86.8%. In 52.6% additional stent angioplasty was performed, in 7.9% balloon
angioplasty only. The clean road approach was used in 22.5% of cases, the dirty
road in 77.4%. Final modified Rankin scale (mRS) was 0-2 in 6 patients (15.8%)
and 3-5 in 32 (84.2%). The in-hospital mortality was 36.8%. There were no
statistically significant differences in outcome compared to presumed cases of
embolisms. CONCLUSION: Endovascular treatment of ABO with underlying significant
vertebrobasilar steno-occlusive lesions is effective and reasonably safe.
Specific procedural strategies apply depending on individual patient pathology
and anatomy. Although high rates of recanalization can be achieved, outcomes tend
to be poor.
PMID- 29651588
TI - Smoking Among Chinese Livery Drivers.
AB - We aimed to assess a key risk factor for lung cancer, smoking, in a vulnerable
group, Chinese livery drivers in New York City (NYC). This is a nested cohort
study conducted in the summer/fall of 2014 within a larger NIMHD-funded R24
program, the Taxi Network. The Taxi Network Needs Assessment (TNNA) survey was
administered to a broad demographic of drivers. This study reports on the TNNA
survey smoking-related results among NYC Chinese livery drivers. 97 drivers
participated. Mean age was 44.7 years, 2.1% were English proficient, and 23.4%
were living below the poverty line. Most were insured (82.5%), had a PCP (82.5%),
and had had a routine check-up within the past year (79%). 73% were current or
former smokers. Culturally and linguistically tailored smoking cessation
interventions, strategies to mitigate exposure to air pollution, and programs to
facilitate lung cancer screening should be developed and implemented for high
risk Chinese livery drivers.
PMID- 29651589
TI - Pre-migration Trauma, Repatriation Experiences, and PTSD Among North Korean
Refugees.
AB - Many studies on refugees suggested that refugees' traumatic events associated
with post-traumatic stress disorder (PTSD). However, it is unknown whether
refugees' PTSD was caused by their negative experience before or after the entry
of their destination country. Thus, a separation of refugees' pre-migration from
their post-migration experience is particularly important in understanding the
causal impact of trauma. Using a sample from North Korean refugees, this study
investigates the prevalence of PTSD symptoms, the impact of tortured trauma,
repatriation experiences, on PTSD among North Korean refugees (n = 698). We found
that North Korean refugees in our sample (a) demonstrated a high rate of current
probable PTSD; (b) were demonstrated a higher frequency of repatriation
experiences with a greater risk for PTSD symptoms. The findings suggest that
particular types of trauma for populations with particular socio-demographic
characteristics may be at a greater risk of PTSD.
PMID- 29651587
TI - Isotope labeling for studying RNA by solid-state NMR spectroscopy.
AB - Nucleic acids play key roles in most biological processes, either in isolation or
in complex with proteins. Often they are difficult targets for structural
studies, due to their dynamic behavior and high molecular weight. Solid-state
nuclear magnetic resonance spectroscopy (ssNMR) provides a unique opportunity to
study large biomolecules in a non-crystalline state at atomic resolution.
Application of ssNMR to RNA, however, is still at an early stage of development
and presents considerable challenges due to broad resonances and poor dispersion.
Isotope labeling, either as nucleotide-specific, atom-specific or segmental
labeling, can resolve resonance overlaps and reduce the line width, thus allowing
ssNMR studies of RNA domains as part of large biomolecules or complexes. In this
review we discuss the methods for RNA production and purification as well as
numerous approaches for isotope labeling of RNA. Furthermore, we give a few
examples that emphasize the instrumental role of isotope labeling and ssNMR for
studying RNA as part of large ribonucleoprotein complexes.
PMID- 29651590
TI - Effect of long-term acclimatization on summer thermal comfort in outdoor spaces:
a comparative study between Melbourne and Hong Kong.
AB - The Universal Thermal Climate Index (UTCI) is an index for assessing outdoor
thermal environment which aims to be applicable universally to different
climates. However, the scale of UTCI thermal stress classification can be
interpreted depending on the context. Previous studies validated the UTCI in
individual cities, but comparative studies between different cities are scarce.
This study examines the differences in thermal perception and clothing choices
between residents from two climate zones over similar UTCI ranges in summer. We
compared summer thermal comfort survey data from Melbourne (n = 2162, January
February 2014) and Hong Kong (n = 414, July-August 2007). We calculated the UTCI
from outdoor weather station data and used t tests to compare the differences in
thermal sensation and clothing between Hong Kong and Melbourne residents. When
the UTCI was between 23.0 and 45.9 degrees C, Melbourne residents wore
significantly more clothing (0.1 clo) than Hong Kong residents. Hong Kong
residents reported neutral to warm sensation at a higher UTCI range compared with
the dynamic thermal sensation (DTS) model. Moreover, Melbourne residents reported
warm and hot sensation at a higher UTCI range than the DTS model. Respondents in
Melbourne also exhibited different responses to the mean radiant temperature
under shaded and sunny conditions, while such a trend was not observed in Hong
Kong. It would be advisable to define different thermal sensation thresholds for
the UTCI scale according to different climate zones for better prediction of the
outdoor thermal comfort of different urban populations.
PMID- 29651591
TI - Importance of QT/RR hysteresis correction in studies of drug-induced QTc interval
changes.
AB - QT/RR hysteresis and QT/RR adaptation are interlinked but separate physiological
processes signifying how quickly and how much QT interval changes when heart rate
changes, respectively. While QT interval duration is, as a rule, corrected for
heart rate in terms of the QT/RR adaptation, the correction for QT/RR hysteresis
is frequently omitted in studies of drug-induced QTc changes. This study used
data from previously conducted thorough QT studies to investigate the extent of
QTc errors caused by omitting the correction for QT/RR hysteresis, particularly
in small clinical investigations. Statistical modeling approach was used to
generate 11,000 simulated samples of 10-subject studies in which mixed effect
PK/PD models were used to estimate drug-induced QTc changes at mean maximum
plasma concentration of investigated compounds. Calculations of QTc intervals
involving and omitting QT/RR hysteresis correction were compared. These
comparisons showed that ignoring QT/RR hysteresis has two undesirable effects:
(A) In the design of subject-specific heart rate corrections (needed in studies
of drugs that change heart rate) omission of QT/RR hysteresis may lead to signals
of QTc prolongation of more than 10 ms to be missed. (B) Irrespective of whether
the investigated drug changes heart rate, omission of QT/RR hysteresis causes the
widths of the confidence intervals of the PK/PD predicted QTc interval changes to
be increased by 20-30% on average (exceeding 50% in some cases). This may lead to
a failure of excluding meaningful QTc prolongation which would be excluded if
using hysteresis correction. The study concludes that correction for QT/RR
hysteresis should be incorporated into future studies of drug-induced QTc
changes. Subject-specific heart rate corrections that omit hysteresis correction
may lead to erroneously biased conclusions. Even when using universal (e.g.
Fridericia) heart rate correction, hysteresis correction decreases the confidence
intervals of QTc changes and thus helps avoiding false positive outcomes.
PMID- 29651592
TI - Influence of Zn, Cd, and Cu fractions on enzymatic activity of arable soils.
AB - The aim of this study was to investigate the heavy metal effect on enzymatic
activity in acidic soil samples during spring, summer, and autumn. The four metal
fractions, acid-soluble and exchangeable (F1), reducible (F2), oxidizable (F3),
and residual (F4) using BCR method in soil samples, were evaluated. The highest
percentage share of zinc and copper was determined in F4 (45.8, 54.9%,
respectively) and cadmium in F3 (45.6%). The enzymatic activity in soil was
differentiated in seasons. During spring, the significant relationship was noted
between F1/zinc/dehydrogenase, during summer F2/cadmium/phosphatase as well as
F4/cadmium/dehydrogenase and autumn F3/zinc/dehydrogenase. Fraction
F1/zinc/copper influenced phosphatase activity, whereas F3/Zn increased
dehydrogenase and F2/Cd protease activity. The results indicate that the heavy
metals affected dehydrogenase activity the most.
PMID- 29651593
TI - Cervical pedicle screw instrumentation is more reliable with O-arm-based 3D
navigation: analysis of cervical pedicle screw placement accuracy with O-arm
based 3D navigation.
AB - PURPOSE: Despite proven biomechanical superiority and resultant superior clinical
outcomes, pedicle instrumentation in cervical spine is not widely practiced due
to technical difficulties, steep learning curve, and possible potential
catastrophic complications due to screw misplacement. This study was undertaken
with the purpose to evaluate the feasibility, accuracy, and complications of
cervical pedicle screw instrumentation solely using O-arm-based 3D navigation
technology. METHODS: Prospectively maintained data from a single-surgeon case
series were retrospectively analyzed. All the patients had undergone cervical
pedicle instrumentation under O-arm 3D navigation. Screw placement accuracy was
analyzed and compared among different vertebral levels and also between different
patient groups. RESULTS: A total of 241 cervical pedicle screws were inserted in
44 patients. Out of the 241 screws, 197 (81.74%) were inserted at the level of C3
C6 vertebrae with nearly equal distribution among the 4 vertebrae, followed by 32
(13.28%) and 12 (4.98%) screws at C2 and C7 vertebrae, respectively. After the
analysis of screw placement as per Gertzbein classification, the overall breach
rates were found to be 7.05% (17 screws) with 52.94% (10 screws) Grade I, 47.06%
(7 screws) Grade II, and nil Grade III screw breaches. CONCLUSION: The use of O
arm-based intra-operative 3D scans for navigation can make cervical pedicle screw
placement reliable. High accuracy and better intra-operative control can increase
surgeon's confidence in using cervical pedicle instrumentation on more regular
basis. These slides can be retrieved under Electronic Supplementary Material.
PMID- 29651594
TI - Prospective study of shoulder strength, shoulder range of motion, and lymphedema
in breast cancer patients from pre-surgery to 5 years after ALND or SLNB.
AB - OBJECTIVE: Determine the changes in shoulder strength, shoulder range of motion,
and arm volume in breast cancer patients treated with sentinel lymph node biopsy
(SLNB) or axillary lymph node dissection (ALND) METHOD: Sixty-eight SLNB and 44
ALND patients were followed up from pre-surgery to 5 years after surgery. Primary
outcomes were the differences between affected and non-affected sides for the
following: shoulder strength measured by dynamometry, shoulder range of motion
measured by goniometry, and lymphedema measured by volume. As a secondary
outcome, health-related quality of life (HRQL) was assessed by the Short Form-36
Health Survey (SF-36) and the Functional Assessment of Cancer Therapy for breast
cancer (FACT-B+4) questionnaires. Changes over time were tested for SLNB and ALND
using univariate repeated measures analysis of variance. Generalized estimating
equation models were constructed to assess the effect of SLNB and ALND over time.
RESULTS: After 5 years, the ALND group had significant loss of strength for
internal rotators (1.39 kg, p = 0.001) and significant arm volume increase
(132.45 mL, p = 0.031). The ALND group had a greater number of patients with
clinically relevant internal rotator strength loss (38.7 vs. 13.6%, p = 0.012)
and a greater number of lymphedema requiring treatment (33.3 vs. 3.4%, p < 0.001)
than the SLNB group. A loss of strength for shoulder external rotators, shoulder
range of motion, and HRQL in physical and arm domains persisted at 5 years in
both SLNB and ALND groups. CONCLUSION: These results could help understand and
plan the prevention, needs, and long-term care of breast cancer patients.
PMID- 29651595
TI - Heart rate variability for rapid risk stratification of emergency patients with
malignant disease.
AB - INTRODUCTION: Neoplasms are the second most common diseases in western countries.
Many patients with malignant diseases repeatedly present themselves in the
emergency department (ED). Due to limited capacities, appropriate risk
stratification strategies for cancer patients have to be developed. This study
assesses if deceleration capacity (DC) of heart rate as a parameter of heart rate
variability predicts mortality in emergency patients with malignant diseases.
METHODS: Prospectively, 140 adults with different entities of malignant diseases
who presented in the medical ED were included. Primary and secondary endpoints
were intrahospital mortality and mortality within 180 days, respectively. We
calculated DC from short-term ECG readings of the surveillance monitors.
Additionally, the Modified Early Warning Score (MEWS) and laboratory parameters
such as white blood cells (WBC), lactate dehydrogenase, serum hemoglobin, and
serum creatinine were determined. RESULTS: The median age of the patients was 65
+/- 14 years. 19.3% of the patients died within the hospital stay and 57.9% died
within 180 days. DC and WBC were independent predictors of intrahospital death
reaching a hazard ratio (HR) of 0.79 (95% confidence interval (CI) 0.63-0.993, p
= 0.043) and of 1.00 (95% CI 1.00-1.00, p = 0.003), respectively. DC and serum
creatinine independently predicted death within 180 days (HR 0.90, 95% CI 0.82
0.98, p = 0.023 and HR 1.41, 95% CI 1.05-1.90, p = 0.018, respectively).
CONCLUSION: Deceleration capacity of heart rate is suitable for rapid risk
assessment of emergency patients with malignant diseases.
PMID- 29651596
TI - Predictors of attendance to an oncologist-referred exercise program for women
with breast cancer.
AB - PURPOSE: While exercise is associated with numerous benefits in women with breast
cancer, adherence to exercise training concurrent to cancer treatment is
challenging. We aimed to identify predictors of attendance to an oncologist
referred exercise program offered during and after adjuvant breast cancer
treatment. METHODS: Women with early-stage breast cancer receiving chemotherapy
(n = 68) enrolled in the Nutrition and Exercise During Adjuvant Treatment (NExT)
study. Supervised aerobic and resistance exercise was prescribed three times per
week during treatment, then one to two times per week for 20 additional weeks.
Predictors of attendance were identified using multivariate linear regression for
three phases of the intervention, including during (1) adjuvant chemotherapy, (2)
radiation, and (3) 20-weeks post-treatment. RESULTS: Higher baseline quality of
life (QoL) predicted higher attendance during chemotherapy (beta = 0.51%, 95 CI:
0.09, 0.93) and radiation (beta = 0.85%, 95 CI: 0.28, 1.41), and higher QoL,
measured at the end of treatment, predicted higher attendance post-treatment
(beta = 0.81%, 95 CI: 0.34, 1.28). Being employed pre-treatment (beta = 34.08%,
95 CI: 5.71, 62.45) and a personal annual income > $80,000 (beta = 32.70%, 95 CI:
0.85, 64.55) predicted higher attendance during radiation. Being divorced,
separated or widowed (beta = - 34.62%, 95 CI: - 56.33, - 12.90), or single (beta
= - 25.38%, 95 CI: - 40.64, - 10.13), relative to being married/common-law, and
undergoing a second surgery (beta = - 21.37%, 95 CI: - 33.10, - 9.65) predicted
lower attendance post-treatment. CONCLUSIONS: Demographic variables, QoL, and
receipt of a second surgery significantly predicted attendance throughout the
NExT supervised exercise program. These results may help identify individuals
with exercise adherence challenges and improve the design of future
interventions, including optimizing the timing of program delivery.
PMID- 29651597
TI - A two-dimensional model of disrupted body integrity: initial evaluation in head
and neck cancer.
AB - PURPOSE: This cross-sectional study presents an initial psychometric evaluation
of a two-dimensional (perceptual and evaluative) conceptualization and measure of
disrupted body integrity (DBI)-illness-related disruption of the sense of the
body as an integrated, smoothly functioning whole. METHODS: Male and female head
and neck cancer (HNC) outpatients (N = 98) completed a questionnaire package
prior to outpatient visits. MAIN OUTCOME MEASURES: The Disrupted Body Integrity
Scale (DBIS) was developed to measure the perceptual and evaluative facets of
DBI. Self-report measures of disfigurement, stigma, depressive symptoms, and
negative affect were also completed. RESULTS: Almost all DBIS subscales
demonstrated good internal consistency. Results largely supported the DBIS's
construct validity. The majority of subscales correlated within the predicted
range of r's = .40-.70. Almost all DBIS constructs were positively linked with
either depressive symptoms or disfigurement. None correlated with positive
affect, and only two subscales, abnormal sensations (perceptual) and physical
vulnerability (evaluative), correlated with negative affect. DBIS constructs
showed little relation with stigma, once disfigurement effects were controlled
for. CONCLUSIONS: Findings offer preliminary evidence for the DBIS and the
relevance of DBI in HNC. Further evaluation of DBI in disease adaptation and the
DBIS's factor structure is warranted.
PMID- 29651598
TI - Geriatric Assessment for Older Patients with Non-small Cell Lung Cancer: Daily
Practice of Centers Participating in the NVALT25-ELDAPT Trial.
AB - INTRODUCTION: Geriatric assessment (GA) for older patients with lung cancer could
provide insight into vulnerability, cognitive impairment, and risk of toxicity.
Discontinuation and complications of intensive treatment could potentially be
prevented in vulnerable and frail patients. This study aimed to evaluate current
clinical practice of GA for older patients with lung cancer in the Netherlands
and identify potential hurdles for implementation. METHODS: Pulmonologists and
radiation oncologists participating in the NVALT25-ELDAPT trial completed an
online questionnaire regarding current practice of GA, added value of GA for
treatment decision-making and logistic barriers for patients with non-small cell
lung cancer. RESULTS: 15 out of 17 centers responded. Three performed GA as
standard procedure, three on indication, eight considered a frailty screening
step before GA, and one did not perform GA. Suspicion of cognitive problems was
mentioned most often as indication for GA and of added value for treatment
decision-making, followed by older age, curative-intent treatment, and stage I
III lung cancer. Administered instruments for screening and extensive GA were
diverse. Main barriers to implement GA in clinical practice were logistic
problems (timescales and availability of trained personnel). CONCLUSION: The use
of GA in clinical practice for patients with lung cancer varied widely across
centers regarding instruments and domains. Physicians are uniform in their
opinion about indications for GA and the added value for treatment decision
making. Research should focus on manageable instruments and important domains to
assess for this heterogeneous group of older patients with lung cancer to
optimize treatment selection. Trial registration The NVALT25-ELDAPT trial is
registered under trial number NCT02284308. Details are available at
http://www.eldapt.org (predominantly in Dutch).
PMID- 29651599
TI - [Spirituality in old age as dynamic aging task].
AB - BACKGROUND: A multitude of studies have demonstrated a positive effect of
spirituality for dealing with difficult situations in life; however, specific
studies on spirituality in older age are exceptionally rare within the German
gerontological research landscape. The theory of gerotranscendence by Tornstam
indicates that spirituality is of particular importance for maintaining life
satisfaction especially in older age, due to a change in perspective. OBJECTIVES:
This study looked into the research questions of how spirituality is presented in
the oldest old (80 years and older) in Germany and to what extent its
characteristics are specific to older age. MATERIAL AND METHODS: Problem-centered
interviews were conducted with 20 oldest old subjects and evaluated using
qualitative content analysis. RESULTS: Spirituality in itself is not specific to
very old age. Its shaping, however, as an existential process of transformation
and redefinition, is specific in the oldest old due to their socialization and
biographical experiences over the life course impregnated by processes of
subjectification and individualization. In addition, its relation to existential
questions gains increasing relevance and priority in very old age in an
affective, reflective and performative dimension, and the answers change between
fragility and continuity. CONCLUSION: As a heterogeneous (intermediate) result of
experiences over the life course against the background of socialization and
individual existential interpretation of "Self" and "World", spirituality in the
oldest old should be perceived in this diverse and dynamic manner in research and
practice, in order to foster well-being in old age.
PMID- 29651601
TI - Predictors of Labor Force Status in a Random Sample of Consumers with Serious
Mental Illness.
AB - Employment among persons with severe mental illness has been challenging.
Supported employment programs have had some success; however, much remains to be
understood about client motivations for employment. A labor force participation
study was mailed to persons receiving services in a Midwestern state's publicly
funded behavioral health system, and a random sample of participants resulted in
964 valid surveys. Analysis showed significant differences between Medicaid
coverage program and labor force status, with some programs likely to have higher
percentages of employed persons. A multinomial logistic regression model explored
the odds of employment and unemployment to not being in the labor force.
Perception of incentives to employment greatly increased the odds, while age and
perception of barriers to employment decreased the odds for both groups when
compared to those not in the labor force. Findings have implications for the
design of employment programs and coverage benefits.
PMID- 29651600
TI - Measuring Quality of Care in Community Mental Health: Validation of Concordant
Clinician and Client Quality-of-Care Scales.
AB - Measuring quality of care can transform care, but few tools exist to measure
quality from the client's perspective. The aim of this study was to create
concordant clinician and client self-report quality-of-care scales in a sample of
community mental health clinicians (n = 189) and clients (n = 469). The client
scale had three distinct factors (Person-Centered Care, Negative Staff
Interactions, and Inattentive Care), while the clinician scale had two: Person
Centered Care and Discordant Care. Both versions demonstrated adequate internal
consistency and validity with measures related to satisfaction and the
therapeutic relationship. These measures are promising, brief quality assessment
tools.
PMID- 29651602
TI - Cry of a dying kidney: Questions.
PMID- 29651603
TI - Cry of a dying kidney: Answers.
PMID- 29651604
TI - Patterns and frequency of renal abnormalities in Fanconi anaemia: implications
for long-term management.
AB - BACKGROUND: Fanconi anaemia (FA) is an inherited disease with bone marrow
failure, variable congenital and developmental abnormalities, and cancer
predisposition. With improved survival, non-haematological manifestations of FA
become increasingly important for long-term management. While renal abnormalities
are recognized, detailed data on patterns and frequency and implications for long
term management are sparse. METHODS: We reviewed clinical course and imaging
findings of FA patients with respect to renal complications in our centre over a
25-year period to formulate some practical suggestions for guidelines for
management of renal problems associated with FA. RESULTS: Thirty patients
including four sibling sets were reviewed. On imaging, 14 had evidence of
anatomical abnormalities of the kidneys. Two cases with severe phenotype,
including renal abnormalities, had chronic kidney disease (CKD) at diagnosis.
Haematopoietic stem cell transplantation was complicated by significant acute
kidney injury (AKI) in three cases. In three patients, there was CKD at long-term
follow-up. All patients had normal blood pressure. CONCLUSIONS: Evaluation of
renal anatomy with ultrasound imaging is important at diagnostic workup of FA.
While CKD is uncommon at diagnosis, our data suggests that the incidence of CKD
increases with age, in particular after haematopoietic stem cell transplantation.
Monitoring of renal function is essential for management of FA. Based on these
long-term clinical observations, we formulate some practical guidelines for
assessment and management of renal abnormalities in FA.
PMID- 29651605
TI - Diagnostic role of strain imaging in atypical myocarditis by echocardiography and
cardiac MRI.
AB - BACKGROUND: The diagnosis of myocarditis presenting as isolated acute chest pain
with elevated troponins but normal systolic function is challenging with
significant drawbacks even for the gold-standard endomyocardial biopsy.
OBJECTIVE: This study aimed to evaluate the diagnostic role of strain imaging by
echocardiography and cardiac MRI in these patients. MATERIALS AND METHODS: This
was a retrospective review of children with cardiac MRI for acute chest pain with
elevated troponins compared to normal controls. Echocardiographic fractional
shortening, ejection fraction, speckle-tracking-derived peak longitudinal,
radial, and circumferential strain were compared to cardiac MRI ejection
fraction, T2 imaging, late gadolinium enhancement, speckle-tracking-derived peak
longitudinal strain, radial strain, and circumferential strain. RESULTS: Group 1
included 10 subjects diagnosed with myocarditis, 9 (90%) males with a median age
of 15.5 years (range: 14-17 years) compared with 10 age-matched controls in group
2. All subjects in group 1 had late gadolinium enhancement consistent with
myocarditis and troponin ranged from 2.5 to >30 ng/ml. Electrocardiogram changes
included ST segment elevation in 6 and abnormal Q waves in 1. Qualitative
echocardiographic function was normal in both groups and mean fractional
shortening was similar (35+/-6% in group 1 vs. 34+/-4% in group 2, P=0.70). Left
ventricle ejection fraction by cardiac MRI, however, was lower in group 1 (52+/
9%) compared to group 2 at (59+/-4%) (P=0.03). Cardiac MRI derived strain was
lower in group 1 vs. group 2 for speckle-tracking-derived peak longitudinal
strain (-12.8+/-2.8% vs. -17.1+/-1.5%, P=0.001), circumferential strain (-12.3+/
3.8% vs. -15.8+/-1.2%, P=0.020) and radial strain (13.6+/-3.7% vs. 17.2+/-3.2%,
P=0.040). Echocardiography derived strain was also lower in group 1 vs. group 2
for speckle-tracking-derived peak longitudinal strain (-15.6+/-3.9% vs. -20.8+/
2.2%, P<0.002), circumferential strain (-16+/-3% vs. -19.8+/-1.9%, P<0.003) and
radial strain (17.3+/-6.1% vs. 24.8+/-6.3%, P=0.010). CONCLUSION: In previously
asymptomatic children, myocarditis can present with symptoms of acute chest pain
suspicious for coronary ischemia. Cardiac MRI and echocardiographic strain
imaging are noninvasive, radiation-free tests of immense diagnostic utility in
these situations. Long-term studies are needed to assess prognostic significance
of these findings.
PMID- 29651606
TI - Age-related apparent diffusion coefficients of lumbar vertebrae in healthy
children at 1.5 T.
AB - BACKGROUND: Diffusion-weighted magnetic resonance imaging with apparent diffusion
coefficient (ADC) calculation is important for detecting bone marrow pathologies.
OBJECTIVE: To investigate age-related differences of lumbar vertebral body ADC to
establish normal values for healthy children. MATERIALS AND METHODS: Forty-nine
healthy children without any history of oncological or hematological diseases
(10.2+/-4.7 years, range: 0-20 years) were included in this retrospective study.
All magnetic resonance imaging (MRI) examinations were performed at 1.5 T and
with similar scan parameters. The diffusion-weighted sequences were performed
with b values of 50, 400 and 800 s/mm2. ADC values were measured by placing
regions of interest at three different levels within each lumbar vertebral body
(L1 to L5). ADC values were analyzed for different age groups (0-2 years, 3-6
years, 7-11 years, 12-14 years, 15-20 years), for each vertebral and
intravertebral level. RESULTS: The mean ADC of the whole study group was 0.60+/
0.09 * 10-3 mm2/s. Children between the ages of 12 and 14 years had significantly
higher ADC compared to the other age groups (P<=0.0003). ADC values were
significantly higher in the 1st lumbar vertebral body compared to the other
levels of the lumbar spine (P<0.005) with the exception of L5, and in the upper
third of the vertebral bodies compared to the middle or lower thirds (P<=0.003).
CONCLUSION: The age-, vertebral- and intravertebral level-dependent differences
in ADC suggest a varying composition and cellularity in different age groups and
in different locations.
PMID- 29651607
TI - Detecting inflammation in inflammatory bowel disease - how does ultrasound
compare to magnetic resonance enterography using standardised scoring systems?
AB - BACKGROUND: Magnetic resonance enterography (MRE) is the current gold standard
for imaging in inflammatory bowel disease, but ultrasound (US) is a potential
alternative. OBJECTIVE: To determine whether US is as good as MRE for the
detecting inflamed bowel, using a combined consensus score as the reference
standard. MATERIALS AND METHODS: We conducted a retrospective cohort study in
children and adolescents <18 years with inflammatory bowel disease (IBD) at a
tertiary and quaternary centre. We included children who underwent MRE and US
within 4 weeks. We scored MRE using the London score and US using a score adapted
from the METRIC (MR Enterography or Ultrasound in Crohn's Disease) trial. Four
gastroenterologists assessed an independent clinical consensus score. A combined
consensus score using the imaging and clinical scores was agreed upon and used as
the reference standard to compare MRE with US. RESULTS: We included 53 children.
At a whole-patient level, MRE scores were 2% higher than US scores. We used Lin
coefficient to assess inter-observer variability. The repeatability of MRE scores
was poor (Lin 0.6). Agreement for US scoring was substantial (Lin 0.95). There
was a significant positive correlation between MRE and clinical consensus scores
(Spearman's rho = 0.598, P=0.0053) and US and clinical consensus scores
(Spearman's rho = 0.657, P=0.0016). CONCLUSION: US detects as much clinically
significant bowel disease as MRE. It is possible that MRE overestimates the
presence of disease when using a scoring system. This study demonstrates the
feasibility of using a clinical consensus reference standard in paediatric IBD
imaging studies.
PMID- 29651608
TI - On Fair Person Classification Based on Efficient Factor Score Estimates in the
Multidimensional Factor Analysis Model.
AB - Since Hooker, Finkelman and Schwartzman (Psychometrika 74(3): 419-442, 2009) it
is known that person parameter estimates from multidimensional latent variable
models can induce unfair classifications via paradoxical scoring effects. The
open question as to whether there is a fair and at the same time multidimensional
scoring scheme with adequate statistical properties is addressed in this paper.
We develop a theorem on the existence of a fair, multidimensional classification
scheme in the context of the classical linear factor analysis model and show how
the computation of the scoring scheme can be embedded in the context of linear
programming. The procedure is illustrated in the framework of scoring the
Wechsler Adult Intelligence Scale (WAIS-IV).
PMID- 29651609
TI - The Delta Opioid Peptide DADLE Represses Hypoxia-Reperfusion Mimicked Stress
Mediated Apoptotic Cell Death in Human Mesenchymal Stem Cells in Part by
Downregulating the Unfolded Protein Response and ROS along with Enhanced Anti
Inflammatory Effect.
AB - Hypoxia-reperfusion (H/R) emblems a plethora of pathological conditions which is
potent in contributing to the adversities encountered by human mesenchymal stem
cells (hMSCs) in post-transplant microenvironment, resulting in transplant
failure. D-Alanine 2, Leucine 5 Enkephaline (DADLE)-mediated delta opioid
receptor (DOR) activation is well-known for its recuperative properties in
different cell types like neuronal and cardiomyocytes. In the current study its
effectiveness in assuaging hMSC mortality under H/R-like insult has been
delineated. The CoCl2 mimicked H/R conditions in vitro was investigated upon DOR
activation, mediated via DADLE. hMSCs loss of viability, reactive oxygen species
(ROS) production, inflammatory responses and disconcerted unfolded protein
response (UPR) were assessed using AnnexinV/PI flow cytometry, fluorescence
imaging, mitochondrial complex 1 assay, quantitative PCR, immunoblot analysis and
ELISA. H/R like stress induced apoptosis of hMSCs was significantly mitigated by
DADLE via modulation of the apoptotic regulators (Bcl-2/Bax) along with
significant curtailment of ROS and mitochondrial complex 1 activity. DADLE
concomitantly repressed the misfolded protein aggregation, alongside the major
UPR sensors: PERK/BiP/IRE-1alpha /ATF-6, evoked due to the H/R mimicked
endoplasmic reticulum stress. Undermined phosphorylation of the Akt signalling
pathway was observed, which concerted its effect onto regulating both the pro and
anti-inflammatory cytokines, actuated as a response to the H/R-like insult. The
effects of DADLE were subdued by naltrindole (specific DOR antagonist)
reaffirming the involvement of DOR in the process. Taken together these results
promulgate the role of DADLE-induced DOR activation on improved hMSC survival,
which signifies the plausible implications of DOR-activation in cell
transplantation therapies and tissue engineering aspect.
PMID- 29651610
TI - Periprosthetic femoral fracture as cause of early revision after short stem hip
arthroplasty-a multicentric analysis.
AB - BACKGROUND: The objective of this study was to analyze the prevalence and causes
of early re-operation after hip replacement surgery using short bone-preserving
stems in a large multicentre series. Specifically, we evaluated the clinical
features of periprosthetic fractures occurring around short stems. METHODS: A
total of 897 patients (1089 hips) who underwent primary total hip arthroplasty or
bipolar hemiarthroplasty from January 2011 to February 2015 using short bone
preserving femoral stems were recruited. Mean patient age was 57.4 years (range,
18-97 years), with a male ratio of 49.7% (541/1089). Re-operation for any reason
within two years was used as an endpoint. The incidence and clinical
characteristics of the periprosthetic femoral fractures were also recorded. Mean
follow-up period was 5.1 years (range, 2-7.9 years). RESULTS: Early re-operation
for any reason was identified in 16 (1.5%) of 1089 hips. The main reason for re
operation was periprosthetic femoral fracture, which accounted for eight (50%) of
the 16 re-operations. The overall incidence of periprosthetic femoral fracture at
two years was 1.1% (12/1089). According to the Vancouver classification, two
fractures were AG type and the other ten were B1 type. Advanced age, higher
American Society of Anesthesiologist grade, femur morphology of Dorr type C, and
the use of a calcar-loading stem increased the risk for periprosthetic femoral
fracture. CONCLUSION: Periprosthetic femoral fracture was the major reason for re
operation after hip replacement surgery using short bone-preserving stems
accounting for 50% (8/16) of re-operations two years post-operatively, but did
not seem to deteriorate survivorship of implanted prostheses.
PMID- 29651612
TI - ?
PMID- 29651611
TI - Risk factors for medical complications after long-level internal fixation in the
treatment of adult degenerative scoliosis.
AB - PURPOSE: This study evaluates baseline patient characteristics and surgical
parameters for risk factors of medical complications in ASD patients received
posterior long level internal fixation. METHODS: Analysis of consecutive patients
who underwent posterior long-level instruction fixation for adult degenerative
scoliosis (ADS) with a minimum of two year follow-up was performed. Pre-operative
risk factors, intraoperative variables, peri-operative radiographic parameters,
and surgical-related risk factors were collected to analyze the effect of risk
factors on medical complications. Patients were separated into groups with and
without medical complication. Then, complication group was further classified as
major or minor medical complications. Potential risk factors were identified by
univariate testing. Multivariate logistic regression was used to evaluate
independent predictors of medical complications. RESULTS: One hundred and thirty
one ADS patients who underwent posterior long segment pedicle screws fixation
were included. Total medical complication incidence was 25.2%, which included
infection (12.2%), neurological (11.5%), cardiopulmonary (7.6%), gastrointestinal
(6.1%), and renal (1.5%) complications. Overall, 7.6% of patients developed major
medical complications, and 17.6% of patients developed minor medical
complications. The radiographic parameters of pre-operative and last follow-up
had no significant difference between the groups of medical complications and the
major or minor medical complications subgroups. However, the incidence of
cerebrospinal fluid leak (CFL) in patients who without medical complications was
much lower than that with medical complications (18.4 vs. 42.4%, P = 0.005).
Independent risk factors for development of medical complications included
smoking (OR = 6.45, P = 0.012), heart disease (OR = 10.07, P = 0.012), fusion
level (OR = 2.12, P = 0.001), and length of hospital stay (LOS) (OR = 2.11, P =
0.000). Independent risk factors for development of major medical complications
were diabetes (OR 6.81, P = 0.047) and heart disease (OR = 5.99, P = 0.049).
Except for the last follow-up, Oswestry Disability Index and visual analog scale
of the patient experienced medical complications trend higher score; the clinical
outcomes have no significant difference between the medical and major
complications groups. CONCLUSION: Heart disease comorbidity is an independent
risk factor for both medical and major medical complications. Smoking, fusion
level, and LOS are independent risk factors for medical complication. Diabetes is
the independent risk factors for major medical complications.
PMID- 29651613
TI - ?
PMID- 29651614
TI - Antibiotics for recurrent acute pharyngo-tonsillitis: systematic review.
AB - The purpose was to determine the current evidence for preferable antibiotic
treatment in three common clinical situations with insufficient consensus: Q1:
Can antibiotic treatment prevent future attacks of acute pharyngo-tonsillitis
(APT) in patients with recurrent APT (RAPT)? Q2: Which antibiotic regimen is
preferable in the treatment of APT in patients with RAPT? Q3: Which antibiotic
regimen is preferable in the treatment of relapsing APT? Five databases were
searched systematically for randomized clinical trials on patients with RAPT with
or without current APT or with relapse of APT. Of the unique publications, 643
were found. Five studies addressing Q1 (n = 3) and Q2 (n = 2) met the eligibility
criteria. No studies reporting on Q3 were included. Q1: Two studies found that
clindamycin and cefpodoxime, respectively, were effective in preventing future
APT episodes and in eradicating group A streptococci from the tonsils of RAPT
patients. One study found that long-term azithromycin had no effect on the number
of APT episodes. Q2: Two studies reported superior clinical and microbiological
effects of clindamycin and amoxicillin with clavulanate, respectively, compared
to penicillin. The four studies showing superior effects of clindamycin and
amoxicillin with clavulanate were assessed to have high risk of bias. Hence, the
level of evidence was moderate. There is considerable evidence to suggest that
clindamycin and amoxicillin with clavulanate are superior to penicillin with
preferable effects on the microbiological flora and the number of future attacks
of APT in patients with RAPT. Antibiotic treatment is an option in patients with
RAPT, who has contraindications for tonsillectomy.
PMID- 29651615
TI - Usefulness of Sofia Pneumococcal FIA(r) test in comparison with BinaxNOW(r)
Pneumococcal test in urine samples for the diagnosis of pneumococcal pneumonia.
AB - The Sofia Pneumococcal FIA(r) test is a recently introduced immunofluorescent
assay automatically read aimed to detect Streptococcus pneumoniae antigen in
urine. The aim of this study was to evaluate the usefulness of SofiaFIA(r)
urinary antigen test (UAT) in comparison with classical immunochromatographic
BinaxNOW(r) test for the diagnosis of pneumococcal pneumonia (PP). Observational
study was conducted in the Hospital Universitari Vall d'Hebron from December 2015
to August 2016. Consecutive adult patients diagnosed of pneumonia and admitted to
the emergency department in whom UAT was requested were prospectively enrolled.
Paired pneumococcal UAT was performed (BinaxNOW(r) and SofiaFIA(r)) in urine
samples. To assess the performance of both tests, patients were categorized into
proven PP (isolation of S. pneumoniae in sterile fluid) or probable PP (isolation
of S. pneumoniae in respiratory secretion). Sensitivity, specificity, and
concordance were calculated. A total of 219 patients with pneumonia were
enrolled, of whom 14% had a proven or probable PP, 22% a non-pneumococcal
etiology, and 64% an unidentified pathogen. Concordance between tests was good
(kappa = 0.81). Sensitivity of SofiaFIA(r) and BinaxNOW(r) UAT was 78.6 and 50%
for proven PP (p = 0.124), and 74.2 and 58% for proven/probable PP (p = 0.063).
Specificity for both tests was 83.3 and 85.5% for proven and proven/probable PP.
In patients without an identified pathogen, SofiaFIA(r) test was positive in 33
(23.6%) cases and BinaxNOW(r) in 25 (17.8%), so Sofia Pneumococcal FIA(r)
detected 32.6% more cases than BinaxNOW(r) (p = 0.001). Sofia Pneumococcal FIA(r)
test showed an improved sensitivity over visual reading of BinaxNOW(r) test
without a noticeable loss of specificity.
PMID- 29651616
TI - Significant increase in cultivation of Gardnerella vaginalis, Alloscardovia
omnicolens, Actinotignum schaalii, and Actinomyces spp. in urine samples with
total laboratory automation.
AB - While total laboratory automation (TLA) is well established in laboratory
medicine, only a few microbiological laboratories are using TLA systems.
Especially in terms of speed and accuracy, working with TLA is expected to be
superior to conventional microbiology. We compared in total 35,564
microbiological urine cultures with and without incubation and processing with BD
Kiestra TLA for a 6-month period each retrospectively. Sixteen thousand three
hundred thirty-eight urine samples were analyzed in the pre-TLA period and 19,226
with TLA. Sixty-two percent (n = 10,101/16338) of the cultures processed without
TLA and 68% (n = 13,102/19226) of the cultures processed with TLA showed growth.
There were significantly more samples with two or more species per sample and
with low numbers of colony forming units (CFU) after incubation with TLA.
Regarding the type of bacteria, there were comparable amounts of
Enterobacteriaceae in the samples, slightly less non-fermenting Gram-negative
bacteria, but significantly more Gram-positive cocci, and Gram-positive rods.
Especially Alloscardivia omnicolens, Gardnerella vaginalis, Actinomyces spp., and
Actinotignum schaalii were significantly more abundant in the samples incubated
and processed with TLA. The time to report was significantly lower in the TLA
processed samples by 1.5 h. We provide the first report in Europe of a large
number of urine samples processed with TLA. TLA showed enhanced growth of non
classical and rarely cultured bacteria from urine samples. Our findings suggest
that previously underestimated bacteria may be relevant pathogens for urinary
tract infections. Further studies are needed to confirm our findings.
PMID- 29651617
TI - Delayed vs. immediate stenting in STEMI with a high thrombus burden : A
systematic review and meta-analysis.
AB - BACKGROUND: The results of several large-scale randomized controlled trials are
controversial regarding the advantages of delayed stenting (DS) compared with
immediate stenting (IS). We sought to determine whether DS has benefits for
patients with ST-segment elevation myocardial infarction (STEMI) with a high
thrombus burden compared with IS. METHODS: We systematically searched four
electronic databases. Thrombolysis in Myocardial Infarction (TIMI) grade 3 flow,
TIMI myocardial blush grade (TMBG), complete ST-segment resolution (>70%), major
adverse cardiac events (MACE), and major bleeding complications were studied as
outcomes. Data analysis was performed using a random-effects model utilizing the
Review Manager 5.3 software. RESULTS: Our meta-analysis included eight studies
involving 744 patients. DS showed greater benefits than IS in terms of TIMI grade
3 flow (odds ratio [OR]: 5.09, 95% confidence interval [CI]: 1.98-13.02, p <
0.001), TMBG (OR: 4.17, 95% CI: 1.87-9.31, p < 0.001), complete ST-segment
resolution (OR: 2.16, 95% CI: 1.36-3.43, p = 0.001), and MACE (OR: 0.48, 95% CI:
0.25-0.94, p = 0.03). No significant difference was observed regarding major
bleeding events (OR: 1.76, 95% CI: 0.40-7.66, p = 0.45). CONCLUSION: DS yielded
satisfactory outcomes regarding myocardial tissue reperfusion, demonstrated by
the improved TIMI flow grade, TMBG, complete ST-segment resolution, and decreased
MACEs without increasing major bleeding events in patients with STEMI and a high
thrombus burden. DS may be preferred to IS for treating patients with this
characteristic presentation.
PMID- 29651618
TI - Effect of renal sympathetic denervation on ventricular and neural remodeling.
AB - BACKGROUND: This study assessed the therapeutic effects of renal sympathetic
denervation (RDN) on post-myocardial infarction (MI) ventricular remodeling and
sympathetic neural remodeling in dogs. The possible mechanisms and optimal time
for treatment are discussed. METHODS: We randomly assigned 30 dogs to five
groups: RDN 1 week before MI (RDN1w + MI; n = 6), RDN 1 week after MI (MI1w +
RDN; n = 6), RDN 2 weeks after MI (MI2w + RDN; n = 6), control (N; n = 6), and MI
(n = 6). A canine model of myocardial infarction was established by
interventional occlusion with a gelatin sponge via the femoral artery. Brain
natriuretic peptide (BNP) and endothelin-1 (ET-1) levels were measured and
echocardiography was performed to assess cardiac function and heart size. All
dogs were killed at the end of the experiment and samples of cardiac and renal
arteries were obtained. The expression of matrix metalloproteinase (MMP)-2 and
MMP-9 in cardiac and of tyrosine hydroxylase (TH) in renal arteries was assessed
by immunohistochemistry. Sympathetic innervations in the infarction border zone
were investigated via Western blotting and real-time PCR. RESULTS: Left
ventricular function in the MI group decreased significantly, while plasma BNP
and ET-1 levels as well as MMP-2 and MMP-9 expression increased. Compared with
the MI group, the RD groups showed significantly reduced MMP-2, MMP-9, TH, and
growth-associated protein (GAP) 43 expression in the RDN1w + MI, MI1w + RDN, and
MI2w + RDN groups was significantly improved. Additionally, the expression of TH
in renal arteries decreased after RDN. CONCLUSION: RDN has preventive and
therapeutic effects on post-MI ventricular remodeling and sympathetic neural
remodeling. The mechanism of RDN is likely mediated through restraint of renal
sympathetic nerve activity.
PMID- 29651619
TI - Silk fibroin-based woven endovascular prosthesis with heparin surface
modification.
AB - A novel seamless silk fibroin-based endovascular prosthesis (SFEPs) with
bifurcated woven structure and anticoagulant function for the improvement of
patency is described. The SFEPs were prepared from silk fibroin (SF) and
polyester filaments using an installed weaving machine. The production processing
parameters were optimized using orthogonal design methods. The inner surface of
SFEPs was modified with polyethylenimine (PEI) and EDC/NHS-activated low
molecular-weight heparin (LMWH) to enhance anticoagulant function. The surface
morphology and mechanical properties of the SFEPs were evaluated according to
standard protocols. The thickness of modified SFEPs was lower than 0.085 +/-
0.004 mm and water permeability was lower than 5.19 +/- 0.30 mL/(cm2 * min). The
results of mechanical properties showed that the diametral tensile strength and
burst strength reached 61.6 +/- 1.8 and 23.7 +/- 2.2 MPa, respectively. Automatic
coagulometer and energy-dispersive X-ray (EDX) confirmed LMWH immobilization on
the surface of the SFEPs and the blood compatibility was improved with the
heparin modification with PEI polymerization. In conclusion, the new prosthesis
has potential applications in the blood vessel repairs where minimal thickness
but superior mechanical strength and biocompatibility are important.
PMID- 29651620
TI - Mental health problems and their association to violence and maltreatment in a
nationally representative sample of Tanzanian secondary school students.
AB - PURPOSE: Little is known about the prevalence of mental health problems among
adolescents in Sub-Saharan Africa. Research consistently determined violence and
maltreatment to be important risk factors. In this study, we examined the
prevalence of mental health problems among adolescents in Tanzania, as well as
the association with exposure to violence and maltreatment. METHODS: We
administered a set of questionnaires (e.g., strength and difficulties
questionnaire; conflict tactic scale) to a nationally representative sample of
700 Tanzanian secondary school children (52% girls; age 14.92 years, SD = 1.02)
and 333 parents or primary caregivers (53% females; age 43.47 years, SD = 9.02).
RESULTS: 41% of the students reported an elevated level of mental health problems
(emotional problems 40%, peer problems 63%, conduct problems 45%, hyperactivity
17%) in the past 6 months. Concordantly, 31% of parents reported observing an
elevated level of mental health problems in their children (emotional problems
37%, peer problems 54%, conduct problems 35%, hyperactivity 17%). After
controlling for other risk factors, we found significant associations between
physical violence by parents and adolescent's mental health problems reported by
students (beta = 0.15) and their parents (beta = 0.33). CONCLUSIONS: Our findings
suggest a high prevalence of mental health problems using screening tools among
secondary school students in Tanzania as well as an association between physical
violence by parents and adolescents' mental health problems. Our findings
emphasize the need to inform the population at large about the potentially
adverse consequences associated with violence against children and adolescents.
PMID- 29651621
TI - Characteristics and motivations of volunteers providing one-to-one support for
people with mental illness: a survey in Austria.
AB - PURPOSE: Large numbers of volunteers provide one-to-one support for people with
mental illness, sometimes referred to as befriending. However, there has been
very little research on their characteristics and motivations. This study aimed
to assess the personal characteristics and motivations of such volunteers across
different regions in Austria. METHODS: Questionnaires assessing characteristics
and motivations were distributed to 663 volunteers providing befriending for
people with mental illness within volunteering programmes organised in four
Austrian regions. RESULTS: Questionnaires were completed and returned by 360 out
of 663 approached volunteers (response rate 54%). Whilst most socio-demographic
characteristics were widely distributed, 78% were female; 42% reported to have a
family member and 56% a friend with a mental illness. Most volunteers cited
motivations to do something both for others (e.g. "feel a responsibility to help
others") and for themselves (e.g. "enhance my awareness of mental health
issues"). When the total group was divided into four subgroups in a cluster
analysis based on their socio-demographic characteristics, a subgroup of female,
single and younger volunteers in full-time employment expressed motivations to
achieve something for themselves significantly more often than other subgroups.
CONCLUSIONS: The study provides the largest sample of volunteers in befriending
programmes for people with mental illness in the research literature to date. The
findings suggest that people with different characteristics can be recruited to
volunteer for befriending programmes. Recruitment strategies and supervision
arrangements should consider motivations both to help others and to achieve
something for themselves, and may be varied for specific volunteer subgroups.
PMID- 29651622
TI - Utilization of psychiatric care and antidepressants among people with different
severity of depression: a population-based cohort study in Stockholm, Sweden.
AB - PURPOSE: To identify how severity of depression predicts future utilization of
psychiatric care and antidepressants. METHODS: Data derived from a longitudinal
population-based study in Stockholm, Sweden, include 10443 participants aged 20
64 years. Depression was assessed by Major Depression Inventory and divided into
subsyndromal, mild, moderate and severe depression. Outcomes were the first time
of hospitalization, specialized outpatient care and prescribed drugs obtained
from national register records. The association between severity of depression
and outcomes was tested by Cox regression analysis, after adjusting for gender,
psychiatric treatment history and socio-environmental factors. RESULTS: The
cumulative incidences of hospitalizations, outpatient care and antidepressants
were 4.0, 11.2, and 21.9% respectively. Compared to the non-depressed group,
people with different severity of depression (subsyndromal, mild, moderate and
severe depression) all had significantly higher risk of all three psychiatric
services (all log-rank test P < 0.001). Use of psychiatric care and
antidepressants increased by rising severity of depression. Although the
associations between severity of depression and psychiatric services were
significant, the dose relationship was not present in people with previous
psychiatric history or after adjusting for gender and other factors. CONCLUSIONS:
People with subsyndromal to severe depression all have increased future
psychiatric service utilization compared to non-depressed people.
PMID- 29651623
TI - Facile synthesis of surface-functionalized magnetic nanocomposites for
effectively selective adsorption of cationic dyes.
AB - A new magnetic nano-adsorbent, polycatechol modified Fe3O4 magnetic nanoparticles
(Fe3O4/PCC MNPs) were prepared by a facile chemical coprecipitation method using
iron salts and catechol solution as precursors. Fe3O4/PCC MNPs owned negatively
charged surface with oxygen-containing groups and showed a strong adsorption
capacity and fast adsorption rates for the removal of cationic dyes in water. The
adsorption capacity of methylene blue (MB), cationic turquoise blue GB (GB),
malachite green (MG), crystal violet (CV) and cationic pink FG (FG) were 60.06 mg
g- 1, 70.97 mg g- 1, 66.84 mg g- 1, 66.01 mg g- 1 and 50.27 mg g- 1,
respectively. The adsorption mechanism was proposed by the analyses of the
adsorption isotherms and adsorption kinetics of cationic dyes on Fe3O4/PCC MNPs.
Moreover, the cationic dyes adsorbed on the MNPs as a function of contact time,
pH value, temperature, coexisting cationic ions and ion strength were also
investigated. These results suggested that the Fe3O4/PCC MNPs is promising to be
used as a magnetic adsorbent for selective adsorption of cationic dyes in
wastewater treatment.
PMID- 29651626
TI - Current Topics in the Management of Acute Traumatic Spinal Cord Injury.
AB - Acute traumatic spinal cord injury (SCI) affects more than 250,000 people in the
USA, with approximately 17,000 new cases each year. It continues to be one of the
most significant causes of trauma-related morbidity and mortality. Despite the
introduction of primary injury prevention education and vehicle safety devices,
such as airbags and passive restraint systems, traumatic SCI continues to have a
substantial impact on the healthcare system. Over the last three decades, there
have been considerable advancements in the management of patients with traumatic
SCI. The advent of spinal instrumentation has improved the surgical treatment of
spinal fractures and the ability to manage SCI patients with spinal mechanical
instability. There has been a concomitant improvement in the nonsurgical care of
these patients with particular focus on care delivered in the pre-hospital,
emergency room, and intensive care unit (ICU) settings. This article represents
an overview of the critical aspects of contemporary traumatic SCI care and notes
areas where further research inquiries are needed. We review the pre-hospital
management of a patient with an acute SCI, including triage, immobilization, and
transportation. Upon arrival to the definitive treatment facility, we review
initial evaluation and management steps, including initial neurological
assessment, radiographic assessment, cervical collar clearance protocols, and
closed reduction of cervical fracture/dislocation injuries. Finally, we review
ICU issues including airway, hemodynamic, and pharmacological management, as well
as future directions of care.
PMID- 29651625
TI - Clinical Characteristics and Prognosis of Severe Anti-N-methyl-D-aspartate
Receptor Encephalitis Patients.
AB - BACKGROUND AND PURPOSE: Data concerning the characteristics and duration of the
critical manifestations, treatment response, and long-term outcomes of severe
anti-N-methyl-D-aspartate receptor (anti-NMDAR) encephalitis patients compared to
those of non-severe patients are limited. This observational study was performed
to explore the clinical characteristics and long-term outcomes of severe anti
NMDAR encephalitis patients. METHODS: According to their characteristics on
admission to the neurology intensive care unit, patients with anti-NMDAR
encephalitis were divided into a severe group and a non-severe group. The
demographics, clinical manifestations, main accessory examinations,
immunotherapy, and outcomes of patients were recorded. Statistical analyses were
employed to examine the differences in each observed indicator between the severe
and non-severe groups. RESULTS: This study enrolled 111 patients with anti-NMDAR
encephalitis, including 59 males and 52 females with a mean age of 27.7 +/- 13.7
years; 39 (35.1%) patients were in the severe group, and 72 (64.9%) patients were
in the non-severe group. Compared to the non-severe group, the severe group
exhibited a higher proportion of epilepsy, involuntary movement, disturbance of
consciousness, autonomic dysfunction, and central hypoventilation. The
cerebrospinal fluid (CSF) of all patients was positive for the NMDAR antibody,
but only 57 patients (51.4%) tested positive for the NMDAR antibody in the blood.
The proportion of patients with a strong positive NMDAR antibody titer in the
severe group (48.7%) was higher than that in the non-severe group (29.2%). The
proportion of patients receiving intravenous gamma immunoglobulin in the severe
group was higher than that in the non-severe group (P = 0.003), and only patients
in the severe group received plasma exchange, intravenous rituximab, and
cyclophosphamide treatment. No significant difference was observed in the
prognosis between the severe group and the non-severe group after 6 months and
during long-term follow-up. CONCLUSION: Most severe anti-NMDAR encephalitis
patients will eventually achieve good long-term prognoses after receiving early,
positive and unremitting combined immunotherapy and life support.
PMID- 29651624
TI - In papillary thyroid carcinoma, expression by immunohistochemistry of BRAF V600E,
PD-L1, and PD-1 is closely related.
AB - Immune checkpoint inhibitor therapies targeting PD-L1/PD-1 have been shown to be
effective in treating several types of human cancer. In papillary thyroid
carcinoma (PTC), little is known about the expression of PD-L1/PD-1 in the tumor
microenvironment or its potential correlation with BRAF V600E mutation status. In
this study, we examined the expression of PD-L1, PD-1, and BRAF V600E in PTC by
immunohistochemistry and investigated the clinical significance of expression
status. We studied the expression of PD-L1, PD-1, and BRAF V600E by
immunohistochemical staining in 110 cases of PTC with a diameter > 1 cm. Cases
with a background of chronic lymphocytic thyroiditis (CLT) were excluded, as
differentiating lymphocytes in the context of CLT from tumor-infiltrating
lymphocytes (TILs) is difficult. We classified PD-L1+/PD-1+ expression as type 1
(41%), PD-L1-/PD-1- as type 2 (17%), PD-L1+/PD-1- as type 3 (5%), and PD-L1-/PD
1+ as type 4 (37%). Significant correlations were found between expression of
BRAF V600E and that of PD-L1 and PD-1. The positive correlation observed between
expression of BRAF V600E and PD-L1/PD-1 suggests that immunotherapies targeting
PD-L1/PD-1 might be effective for PTC patients with the BRAF V600E mutation,
which are refractory to radioiodine therapy.
PMID- 29651627
TI - Equivalence Testing of Complex Particle Size Distribution Profiles Based on Earth
Mover's Distance.
AB - Particle size distribution (PSD) is an important property of particulates in drug
products. In the evaluation of generic drug products formulated as suspensions,
emulsions, and liposomes, the PSD comparisons between a test product and the
branded product can provide useful information regarding in vitro and in vivo
performance. Historically, the FDA has recommended the population bioequivalence
(PBE) statistical approach to compare the PSD descriptors D50 and SPAN from test
and reference products to support product equivalence. In this study, the earth
mover's distance (EMD) is proposed as a new metric for comparing PSD particularly
when the PSD profile exhibits complex distribution (e.g., multiple peaks) that is
not accurately described by the D50 and SPAN descriptor. EMD is a statistical
metric that measures the discrepancy (distance) between size distribution
profiles without a prior assumption of the distribution. PBE is then adopted to
perform statistical test to establish equivalence based on the calculated EMD
distances. Simulations show that proposed EMD-based approach is effective in
comparing test and reference profiles for equivalence testing and is superior
compared to commonly used distance measures, e.g., Euclidean and Kolmogorov
Smirnov distances. The proposed approach was demonstrated by evaluating
equivalence of cyclosporine ophthalmic emulsion PSDs that were manufactured under
different conditions. Our results show that proposed approach can effectively
pass an equivalent product (e.g., reference product against itself) and reject an
inequivalent product (e.g., reference product against negative control), thus
suggesting its usefulness in supporting bioequivalence determination of a test
product to the reference product which both possess multimodal PSDs.
PMID- 29651628
TI - Intracranial and Intraocular Pressure at the Lamina Cribrosa: Gradient Effects.
AB - PURPOSE OF REVIEW: A pressure difference between the intraocular and intracranial
compartments at the site of the lamina cribrosa has been hypothesized to have a
pathophysiological role in several optic nerve head diseases. This paper reviews
the current literature on the translamina cribrosa pressure difference (TLCPD),
the associated pressure gradient, and its potential pathophysiological role, as
well as the methodology to assess TLCPD. RECENT FINDINGS: For normal-tension
glaucoma (NTG), initial studies indicated low intracranial pressure (ICP) while
recent findings indicate that a reduced ICP is not mandatory. Data from studies
on the elevated TLCPD as a pathophysiological factor of NTG are equivocal. From
the identification of potential postural effects on the cerebrospinal fluid (CSF)
communication between the intracranial and retrolaminar space, we hypothesize
that the missing link could be a dysfunction of an occlusion mechanism of the
optic nerve sheath around the optic nerve. In upright posture, this could cause
an elevated TLCPD even with normal ICP and we suggest that this should be
investigated as a pathophysiological component in NTG patients.
PMID- 29651629
TI - High-normal serum thyrotropin levels and increased glycemic variability in type 2
diabetic patients.
AB - PURPOSE: High-normal thyrotropin (TSH) is related to reduced insulin sensitivity
and may contribute to glycemic disorders in diabetes. We investigated the
relationship between normal serum TSH levels and glycemic variability in
euthyroid type 2 diabetic patients. METHODS: A total of 432 newly diagnosed type
2 diabetic patients with euthyroid function and normal serum TSH levels were
recruited between March 2013 and February 2017. Insulin sensitivity was evaluated
by the Matsuda index (ISIMatsuda) following a 75-g oral glucose tolerance test.
Multiple glycemic variability indices, including the mean amplitude of glycemic
excursions (MAGE), mean of daily differences (MODD), and standard deviation of
glucose (SD), were calculated from glucose data obtained with a continuous
glucose monitoring system. Average glucose accessed by 24-h mean glucose (24-h
MG) was also calculated. RESULTS: A normal serum TSH level was positively
correlated with MAGE, MODD, SD, and 24-h MG (r = 0.206, 0.178, 0.186, and 0.132,
respectively, p < 0.01). After adjusting for somatometric parameters, lipid
profiles, ISIMatsuda, and HbA1c via multiple linear regression analysis, mean
differences [B(95% CI)] in MAGE, MODD, SD, and 24-h MG between the patients in
the lowest and highest quartiles of TSH levels were 0.128(0.031, 0.226),
0.085(0.022, 0.148), 0.039(0.001, 0.078), and 0.002(-0.264, 0.267) mmol/L,
respectively. High-normal TSH was independently associated with MAGE, MODD, and
SD, but not 24-h MG. CONCLUSIONS: High-normal serum TSH is a significant
additional risk factor for increased glycemic variability in type 2 diabetic
patients.
PMID- 29651630
TI - Speeding up Monte Carlo simulation of patchy hard cylinders.
AB - The hard cylinder model decorated with attractive patches proved to be very
useful recently in studying physical properties of several colloidal systems.
Phase diagram, elastic constants and cholesteric properties obtained from
computer simulations based on a simple hard cylinder model have been all
successfully and quantitatively compared to experimental results. Key to these
simulations is an efficient algorithm to check the overlap between hard
cylinders. Here, we propose two algorithms to check the hard cylinder overlap and
we assess their efficiency through a comparison with an existing method available
in the literature and with the well-established algorithm for simulating hard
spherocylinders. In addition, we discuss a couple of optimizations for performing
computer simulations of patchy anisotropic particles and we estimate the speed-up
which they can provide in the case of patchy hard cylinders.
PMID- 29651631
TI - Sleep and self-care correlates before and after implantation of a left
ventricular assist device (LVAD).
AB - The impact of sleep on LVAD patients' self-care behaviors is unknown. This study
examined the patterns and changes of patients sleep quality (SQ), daytime
sleepiness (DS), instrumental activities of daily living (IADL), and self-care
capability (SCC) before and after LVAD. In addition, the relationships among
these variables were explored. This observational study consisted of 38 subjects
from two VAD Centers in Michigan. The subjects completed self-reported
demographics and psychometrically sound SQ, DS, IADL, and SCC questionnaires
before LVAD implant and at 1, 3, and 6 months after implant. Data were analyzed
using descriptive statistics, linear mixed models, and partial least square
models. Subjects (mean age, 56.3 +/- 10.3 years) were predominantly white (63%),
male (68%), married (60%), and living with caregivers (92%). Over 70% had axial
flow LVADs implanted as bridge-to-transplant (55%). Subjects' SQ was poor
throughout the study period, along with high normal-to-excessive levels of DS.
Problems with IADL before implant were significantly reduced at 1 through 6
months after implant. SCC ranged from "good" to "excellent" before and after
implant. Significant relationships between SQ and IADL (beta = 0.43, p < 0.01)
and DS and SCC (beta = - 0.62, p < 0.01) were found. In conclusion, poor SQ and
high degrees of DS were prevalent before and up to 6 months after LVAD implant.
The data inferred that the improvement in IADL was associated with an improvement
in SQ. Research is needed to clarify the negative impact of DS on SCC and explain
the contributions of caregivers on patients' SCC over time.
PMID- 29651632
TI - High expression of FBP17 in invasive breast cancer cells promotes invadopodia
formation.
AB - Metastatic spread of the cancer is usually the consequence of the activation of
signaling pathways that generate cell motility and tissue invasion. Metastasis
involves the reorganization of cytoskeleton and cell shape for the swift movement
of the cells through extracellular matrix. Previously, we have described the
invasive and metastatic role played by one of the members (Toca-1) of CIP4
subfamily of F-BAR proteins. In the present study, we address the role of another
member (FBP17) of same family in the invasion breast cancer cells. Here, we
report that the formin-binding protein 17 (FBP17) is highly expressed at both
mRNA and protein levels in breast cancer cells. The study showed the association
of FBP17 with cytoskeletal actin regulatory proteins like dynamin and cortactin.
To determine its role in extracellular matrix (ECM) degradation, we achieved
stable knockdown of FBP17 in MDA-MB-231 cells. FBP17 knockdown cells showed a
defect and were found to be compromised in the degradation of ECM indicating the
role of FBP17 in the invasion of breast cancer cells. Our results suggest that
FBP17 is highly expressed in breast cancer cells and facilitates the invasion of
breast cancer cells.
PMID- 29651633
TI - Identification of a Sorbicillinoid-Producing Aspergillus Strain with
Antimicrobial Activity Against Staphylococcus aureus: a New Polyextremophilic
Marine Fungus from Barents Sea.
AB - The exploration of poorly studied areas of Earth can highly increase the
possibility to discover novel bioactive compounds. In this study, the cultivable
fraction of fungi and bacteria from Barents Sea sediments has been studied to
mine new bioactive molecules with antibacterial activity against a panel of human
pathogens. We isolated diverse strains of psychrophilic and halophilic bacteria
and fungi from a collection of nine samples from sea sediment. Following a full
bioassay-guided approach, we isolated a new promising polyextremophilic marine
fungus strain 8Na, identified as Aspergillus protuberus MUT 3638, possessing the
potential to produce antimicrobial agents. This fungus, isolated from cold
seawater, was able to grow in a wide range of salinity, pH and temperatures. The
growth conditions were optimised and scaled to fermentation, and its produced
extract was subjected to chemical analysis. The active component was identified
as bisvertinolone, a member of sorbicillonoid family that was found to display
significant activity against Staphylococcus aureus with a minimum inhibitory
concentration (MIC) of 30 MUg/mL.
PMID- 29651634
TI - Air quality and passenger comfort in an air-conditioned bus micro-environment.
AB - In this study, passenger comfort and the air pollution status of the micro
environmental conditions in an air-conditioned bus were investigated through
questionnaires, field measurements, and a numerical simulation. As a subjective
analysis, passengers' perceptions of indoor environmental quality and comfort
levels were determined from questionnaires. As an objective analysis, a numerical
simulation was conducted using a discrete phase model to determine the diffusion
and distribution of pollutants, including particulate matter with a diameter < 10
MUm (PM10), which were verified by experimental results. The results revealed
poor air quality and dissatisfactory thermal comfort conditions in Jinan's air
conditioned bus system. To solve these problems, three scenarios (schemes A, B,
C) were designed to alter the ventilation parameters. According to the results of
an improved simulation of these scenarios, reducing or adding air outputs would
shorten the time taken to reach steady-state conditions and weaken the airflow or
lower the temperature in the cabin. The airflow pathway was closely related to
the layout of the air conditioning. Scheme B lowered the temperature by 0.4 K and
reduced the airflow by 0.01 m/s, while scheme C reduced the volume concentration
of PM10 to 150 MUg/m3. Changing the air supply angle could further improve the
airflow and reduce the concentration of PM10. With regard to the perception of
airflow and thermal comfort, the scheme with an airflow provided by a 60 degrees
nozzle was considered better, and the concentration of PM10 was reduced to 130
MUg/m3.
PMID- 29651635
TI - Effects of Probiotic Supplementation on Trimethylamine-N-Oxide Plasma Levels in
Hemodialysis Patients: a Pilot Study.
AB - Components present in the diet, L-carnitine, choline, and betaine are metabolized
by gut microbiota to produce metabolites such as trimethylamine-N-oxide (TMAO)
that appear to promote cardiovascular disease in chronic kidney disease (CKD)
patients. The objective of this pilot study was to evaluate the effects of
probiotic supplementation for 3 months on plasma TMAO levels in CKD patients on
hemodialysis (HD). A randomized, double-blind trial was performed in 21 patients
[54.8 +/- 10.4 years, nine men, BMI 26.1 +/- 4.8 kg/m2, dialysis vintage 68.5
(34.2-120.7) months]. Ten patients were randomly allocated to the placebo group
and 11 to the probiotic group [three capsules, totaling 9 * 1013 colony-forming
units per day of Streptococcus thermophilus (KB19), Lactobacillus acidophilus
(KB27), and Bifidobacteria longum (KB31). Plasma TMAO, choline, and betaine
levels were measured by LC-MS/MS at baseline and after 3 months. While TMAO did
not change after probiotic supplementation, there was a significant increase in
betaine plasma levels. In contrast, the placebo group showed a significant
decrease in plasma choline levels. Short-term probiotic supplementation does not
appear to influence plasma TMAO levels in HD patients. Long-term studies are
needed to determine whether probiotics may affect TMAO production in CKD
patients.
PMID- 29651636
TI - Aflatoxin M1 Detoxification Ability of Probiotic Lactobacilli of Indian Origin in
In vitro Digestion Model.
AB - Aflatoxin M1 (AFM1) is known to be a potent carcinogen and continues to pose a
public health concern through the consumption of contaminated dairy foods. It is
anticipated that consumption of lactic acid bacteria capable of binding
aflatoxins can reduce the risk of AFM1 on human health to a certain extent.
Seldom reports have hinted the possibility of using lactic acid bacteria for the
biological detoxification of AFM1. Hence, the present study was conducted to
assess the ability of selected probiotic Lactobacillus strains for their AFM1
binding ability in PBS and to reduce its bioaccessibility in artificially
contaminated skim milk using an in vitro digestion model. Eleven tested probiotic
strains illustrated various degrees of AFM1 binding ability ranging from 4.13 to
64.16%. Five among the 11 probiotic strains were subsequently selected for
detailed studies on the basis of highest binding potential after 24 h of
incubation period. The stability of bacterial-AFM1 complex was assessed by
repeated washings with AFM1 free PBS. The observation on bacterial-AFM1 complex
stability showed small release of AFM1 in first and second wash (17.30 to 0.98%)
where as none was detectable in the third wash. However, upon chloroform
extraction, 88.57 to 92.30% of bound AFM1 was released from the bacterial cells
which indicate AFM1 binding to the bacterial cell surface rather than absorption
or degradation of AFM1 by bacterial cells. During the in vitro digestion test in
skim milk, bioaccessibility of AFM1 was reduced to a scale of 32.61 to 52.84% in
the presence of selected strains of probiotic lactobacilli. The present findings
suggest that selected probiotic strains could be potentially used to mitigate the
toxic effects of AFM1 in the contaminated milk and milk products and thereby
enhance food safety.
PMID- 29651637
TI - An overview of mammographic density and its association with breast cancer.
AB - In 2017, breast cancer became the most commonly diagnosed cancer among women in
the US. After lung cancer, breast cancer is the leading cause of cancer-related
mortality in women. The breast consists of several components, including milk
storage glands, milk ducts made of epithelial cells, adipose tissue, and stromal
tissue. Mammographic density (MD) is based on the proportion of stromal,
epithelial, and adipose tissue. Women with high MD have more stromal and
epithelial cells and less fatty adipose tissue, and are more likely to develop
breast cancer in their lifetime compared to women with low MD. Because of this
correlation, high MD is an independent risk factor for breast cancer. Further,
mammographic screening is less effective in detecting suspicious lesions in dense
breast tissue, which can lead to late-stage diagnosis. Molecular differences
between dense and non-dense breast tissues explain the underlying biological
reasons for why women with dense breasts are at a higher risk for developing
breast cancer. The goal of this review is to highlight the current molecular
understanding of MD, its association with breast cancer risk, the demographics
pertaining to MD, and the environmental factors that modulate MD. Finally, we
will review the current legislation regarding the disclosure of MD on a
traditional screening mammogram and the supplemental screening options available
to women with dense breast tissue.
PMID- 29651638
TI - Improvement in diagnostic performance of breast cancer: comparison between
conventional digital mammography alone and conventional mammography plus digital
breast tomosynthesis.
AB - BACKGROUND: The aim of this study was to determine if the diagnostic performance
of breast lesion examinations could be improved using both digital breast
tomosynthesis (DBT) and conventional digital mammography (CDM). METHODS: Our
institutional review board approved the protocol, and patients were provided the
opportunity to opt out of the study. A total of 628 patients aged 22-91 years
with abnormal screening results or clinical symptoms were consecutively enrolled
between June 2015 and March 2016. All patients underwent DBT and CDM, and 1164
breasts were retrospectively analyzed by three radiologists who interpreted the
results based on the Breast Imaging Reporting and Data System. Categories 4 and 5
were considered positive, and pathological results were the gold standard. The
diagnostic performance of CDM and CDM plus DBT was compared using the mean areas
under the receiver operating characteristic (ROC) curves. RESULTS: A total of 100
breast cancer cases were identified. The areas under the ROC curves were 0.9160
(95% confidence interval 0.8779-0.9541) for CDM alone and 0.9376 (95% confidence
interval 0.9019-0.9733) for CDM plus DBT. The cut-off values for both CDM alone
and CDM plus DBT measurements were 4, with sensitivities of 61.0% (61/100) and
83.0% (83/100), respectively, and specificities of 99.1% (1054/1064) and 98.9%
(1052/1064), respectively. CDM yielded 39 false-negative diagnoses, while CDM
plus DBT identified breast cancer in 22 of those cases (56.4%). CONCLUSION: The
combination of DBT and CDM for the diagnosis of breast cancer in women with
abnormal examination findings or clinical symptoms proved effective and should be
used to improve the diagnostic performance of breast cancer examinations.
PMID- 29651639
TI - Abatacept for Treatment of Rheumatoid Arthritis: Special Focus on the Elderly.
AB - Targeted therapies have been developed for patients with rheumatoid arthritis
(RA) for whom prior treatment with traditional disease-modifying anti-rheumatic
drugs has failed. The numerous different signaling pathways now targeted by
various classes of monoclonal antibodies and small molecule inhibitors may
complicate treatment decisions. Abatacept selectively modulates a co-stimulatory
signal necessary for T-cell activation. Thus, abatacept is effective in biologic
naive patients and in those for whom biologic therapy has failed. Emerging
evidence indicates different benefits depending on patient and disease
characteristics. In RA, the clinical goal should be clinical and radiographic
remission to prevent structural damage and functional impairment. Nevertheless,
the management of elderly patients with RA is often less aggressive, and the
treat-to-target strategy is less respected in this age category than in the
treatment of RA in younger patients. However, abatacept treatment in elderly
patients is as effective and well-tolerated as in younger patients. This review
summarizes recently published data on pharmacological properties; clinical and
biological data on efficacy, drug retention, and safety, focusing on age; and
evidence-based criteria for choosing abatacept or an alternative targeted
therapy.
PMID- 29651640
TI - Characteristics of New-Onset and Chronic Sleep Medication Users Among Older
Adults: A Retrospective Study of a US Medigap Plan Population using Propensity
Score Matching.
AB - BACKGROUND: Prescription sleep medications are often utilized to manage sleep
problems among older adults even though these drugs are associated with multiple
risks. OBJECTIVE: The aim was to determine the prevalence and characteristics of
new-onset compared to chronic sleep medication users and to examine factors
associated with the conversion from new to chronic use. A secondary objective was
to investigate the impact of sleep medications on health outcomes of injurious
falls and patterns of healthcare utilization and expenditures. METHODS: A 25%
random sample of adults >= 65 years with 3-year continuous AARP(r) Medicare
Supplement medical and AARP(r) MedicareRx drug plan enrollment was utilized to
identify new-onset and chronic sleep medication users. Prescription sleep
medication drugs were defined using National Drug Codes (NDCs); falls or hip
fractures were identified from diagnosis codes. New users had no sleep medication
use in 2014, but initiated medication use in 2015; chronic users had at least one
sleep medication prescription in 2014 and in 2015; both groups had follow-up
through 2016. Characteristics associated with new users, new users who converted
to chronic use, and chronic users were determined using multivariate logistic
regression. Prevalence of falls, healthcare utilization and expenditures were
regression adjusted. RESULTS: Among eligible insureds, 3 and 9% were identified
as new-onset and chronic sleep medication users, respectively. New-onset sleep
medication prescriptions were often associated with an inpatient hospitalization.
The strongest characteristics associated with new users, those who converted to
chronic use, and chronic users were sleep disorders, depression and opioid use.
About 50% of new users had > 30 days' supply; 25% converted to chronic use with
>= 90 days' supply. The prevalence of falls for new-onset users increased by 70%
compared to a 22% increase among chronic users. CONCLUSION: New-onset and chronic
sleep medication users were characterized by sleep disorders, depression and
pain. Addressing the underlying problems associated with sleep problems among
older adults may decrease the need for sleep medications and thus reduce the risk
of sleep medication-related adverse events.
PMID- 29651641
TI - Transcriptome-wide identification and expression profile analysis of the bHLH
family genes in Camellia sinensis.
AB - The tea plant is an important commercial horticulture crop cultivated worldwide.
Yield and quality of this plant are influenced by abiotic stress. The bHLH family
transcription factors play a pivotal role in the growth and development,
including abiotic stress response, of plants. A growing number of bHLH proteins
have been functionally characterized in plants. However, few studies have focused
on the bHLH proteins in tea plants. In this study, 120 CsbHLH TFs were identified
from tea plants using computational prediction method. Structural analysis
detected 23 conservative residues, with over 50% identities in the bHLH domain.
Moreover, 103 CsbHLH proteins were assumed to bind DNA and encompassed 98 E-Box
binders and 85 G-Box binders. The CsbHLH proteins were grouped into 20
subfamilies based on phylogenetic analysis and a previous classification system.
A survey of transcriptome profiling screened 22 and 39 CsbHLH genes that were
upregulated under heat and drought stress. Nine CsbHLH genes were validated using
qRT-PCR. Results were approximately in accordance with transcriptome data. These
genes could be induced by one or more abiotic stresses.
PMID- 29651643
TI - Splenosis: a great mimicker of neoplastic disease.
AB - Splenosis is a benign condition that can occur after splenic trauma or after
surgery involving the spleen. These splenic implants are most often seen within
the abdominal and pelvic cavities. On imaging, splenosis can be confused with
multiple additional entities including metastatic disease, peritoneal
carcinomatosis, peritoneal mesothelioma, abdominal lymphoma, renal cancer,
hepatic adenomas, or endometriosis depending on its distribution. In all patients
with history of splenic surgery or trauma, splenosis should be on the
differential diagnosis of soft tissue nodules in the abdomen and pelvis,
especially in the absence of systemic symptoms, to avoid unnecessary biopsy,
chemotherapy, or surgery.
PMID- 29651642
TI - Thulium laser VapoResection of the prostate versus traditional transurethral
resection of the prostate or transurethral plasmakinetic resection of prostate
for benign prostatic obstruction: a systematic review and meta-analysis.
AB - PURPOSE: To compare the efficacy and safety of thulium laser VapoResection of the
prostate (ThuVaRP) versus standard traditional transurethral resection of the
prostate (TURP) or plasmakinetic resection of prostate (PKRP) for benign
prostatic obstruction. METHODS: Systematic searches were performed in the
Medline, EMBASE, the Cochrane Library, Web of Science, and CNKI in December 2017.
The outcomes of demographic and clinical characteristics, perioperative
variables, complications, and postoperative efficacy including International
Prostate Symptom Score (IPSS), quality of life (QoL), maximum flow rate (Qmax),
and postvoid residual (PVR) were assessed. RESULTS: 16 studies were selected in
the meta-analysis including nine randomized controlled trials (RCTs) and seven
non-RCTs. Among of them, nine studies compared ThuVaRP with PKRP, while seven
studies compared ThuVaRP with TURP. It seemed that ThuVaRP needed longer
operation time than TURP (WMD = 6.41, 95% CI 1.38-11.44, p = 0.01) and PKRP (WMD
= 10.15, 95% CI 5.20-15.10, p < 0.0001). ThuVaRP was associated with less serum
hemoglobin decreased, catheterization time, and the length of hospital stay
compared with TURP (WMD = - 0.58, 95% CI - 0.77 to 0.38, p < 0.00001; WMD = -
1.89, 95% CI - 2.67 to 1.11, p < 0.00001; WMD = - 2.25, 95% CI - 2.91 to 1.60, p
< 0.00001) and PKRP (WMD = - 0.28, 95% CI - 0.46 to 0.10, p = 0.002; WMD = -
1.88, 95% CI - 2.87 to 0.89, p = 0.0002; WMD = - 2.08, 95% CI - 2.63 to 1.54,
p<0.00001). According to our assessment, there was no significantly difference in
postoperative efficacy. CONCLUSIONS: The pooled data indicated that ThuVaRP had a
nearly efficacy to TURP and PKRP based on IPSS, QoL, Qmax, and PVR. Although
ThuVaRP was associated with longer operation time, it got distinct superiority on
serum hemoglobin decreased, catheterization time, and hospital stay.
PMID- 29651644
TI - The effects of ionic liquid 1-ethyl-3-methylimidazolium trifluoromethanesulfonate
on the production of 1,3-propanediol from crude glycerol by microbial consortium.
AB - Ionic liquids (ILs) as "green" solvents have been widely used owing to their
excellent properties, e.g., for biodiesel production. Crude glycerol as a by
product in biodiesel production is an ideal feedstock for the microbial
production of 1,3-propanediol (PDO), which is a versatile bulk chemical. PDO can
be produced by microbial consortium with the advantages of high substrate
tolerance and narrow by-product profile. In the present study, the effect of IL 1
ethyl-3-methylimidazolium trifluoromethanesulfonate ([Emim][TfO]) was evaluated
on the capacity of PDO production from crude glycerol by microbial consortium
DL38-BH. In the batch fermentation at 60 g/L crude glycerol and 10 g/L
[Emim][TfO], the concentration and yield of PDO from glycerol increased from
23.14 g/L and 0.45 mol/mol to 31.17 g/L and 0.60 mol/mol, respectively. Our
results showed that [Emim][TfO] decreased the ratio of intracellular NADH to NAD+
and increased the concentration of 3-HPA during batch fermentation. The
activities of three key enzymes in glycerol metabolism were stimulated by
[Emim][TfO] during the batch fermentation by microbial consortium DL38-BH.
Compared to the control, the proportion of Klebsiella genus which could convert
glycerol to PDO increased significantly from 79.19% to 89.49% and the other
genera that did not produce PDO were dramatically decreased (P < 0.05) at the end
of batch fermentation. This work demonstrated that [Emim][TfO] significantly
improved the concentration and yield of PDO from crude glycerol by adjusting
microbial community during batch fermentation by microbial consortium.
PMID- 29651645
TI - Parental depressive symptoms as a risk factor for child depressive symptoms;
testing the social mediators in internationally adopted children.
AB - Parental depressive symptoms have shown to be associated with offspring
depression but much of the research has been focused on maternal depression. The
aim of our study was to investigate the extent to which depressive symptoms of
both parents associate with offspring depressive symptoms and whether social
factors mediate these associations using data from adopted children with no
shared genetic background. Data were derived from the Finnish Adoption survey
study (a subsample of adopted children aged between 9 and 12 years, n = 548).
Parental depressive symptoms were measured using short version of the General
Health Questionnaire and Children's Depression Inventory (CDI) was used to
measure depressive symptoms in adoptees. Paternal depressive symptoms were
related to the total CDI (B = 0.33, p = 0.05) and two dimensions of offspring
depressive symptoms: negative mood (B = 0.10, p = 0.03) and interpersonal
problems (B = 0.06, p = 0.009). These associations remained significant even when
adjusted for child's age and gender, age at adoption, type of placement before
adoption, continent of birth and adoptive family's SES. No associations were
found between maternal and any dimensions of offspring depressive symptoms. No
information about the mental health of biological parents was available. We
interpret the results as demonstrating that intergenerational transmission of
depressive symptoms is not solely related to shared genes. Also, the results
highlight the association of paternal depression with offspring depressive
symptoms.
PMID- 29651646
TI - An Examination of Gay Couples' Motivations to Use (or Forego) Pre-exposure
Prophylaxis Expressed During Couples HIV Testing and Counseling (CHTC) Sessions.
AB - While many gay couples perceive themselves to have little risk for HIV
transmission, research estimates that 35-68% of new HIV infections are
transmitted within main partnerships. Pre-exposure prophylaxis (PrEP) is
recommended for those partnered gay and bisexual men (GBM) who engage in sex
outside their primary relationship or who have an HIV-positive partner. There is
reason to believe that couples' sero-status and sexual agreement will shape
perceptions of PrEP's personal relevance among gay couples. The current study
examined motivations for and ambivalence towards PrEP uptake reported in a sample
of 67 gay couples during completion of a brief CDC-recommended prevention
intervention: Couples HIV Testing and Counseling. Findings suggest that all types
of couples identified some circumstances in which they would consider PrEP;
however, PrEP messaging should be crafted to avoid undermining current prevention
strategies or threatening the trust and legitimacy of the relationship.
PMID- 29651647
TI - An estrogen-related lifestyle score is associated with risk of postmenopausal
breast cancer in the PLCO cohort.
AB - PURPOSE: Healthy or unhealthy lifestyle behaviors are often adopted together. We
aimed to investigate the combined effect of estrogen-related lifestyle factors on
postmenopausal breast cancer risk. METHODS: Data from 27,153 women enrolled in
the Prostate, Lung, Colorectal, and Ovarian Cancer Screening Trial were used. We
created an estrogen-related lifestyle score (ERLS) by incorporating a previously
developed measure of estrogenic diet, alcohol intake, body mass index (BMI), and
physical activity. The scores ranged from 0 to 6 with alcohol and BMI accounting
for higher weights than the other factors. To evaluate the preventive
possibilities of a low estrogen-related lifestyle and to be consistent with other
published lifestyle scores, higher scores were set to correspond with potentially
lower estrogenic lifestyle. The association between the ERLS and incident breast
cancer was examined using Cox proportional hazards models. RESULTS: Participants
with an ERLS of 4 or >= 5 had a 23% (HR 0.77; 95% CI 0.67-0.89) and 34% (HR 0.66;
95% CI 0.56-0.78) lower risk of breast cancer, respectively, compared to those
with an ERLS <= 2 after multivariable adjustment. Estimates were similar when
restricting to invasive cases or estrogen receptor-positive subtypes. No single
lifestyle component appeared to drive the association. CONCLUSIONS: Our findings
suggest that the combined effect of a lifestyle characterized by a low estrogenic
diet, low alcohol consumption, low body weight, and high levels of physical
activity are associated with a reduction in postmenopausal breast cancer risk,
possibly through an influence on estrogen metabolism.
PMID- 29651648
TI - Body mass index and mortality in patients with gastric cancer: a large cohort
study.
AB - BACKGROUND: The effects of obesity on prognosis in gastric cancer are
controversial. AIMS: To evaluate the association between body mass index (BMI)
and mortality in patients with gastric cancer. METHODS: A single-institution
cohort of 7765 patients with gastric cancer undergoing curative gastrectomy
between October 2000 and June 2016 was categorized into six groups based on BMI:
underweight (< 18.5 kg/m2), normal (18.5 to < 23 kg/m2), overweight (23 to < 25
kg/m2), mildly obese (25 to < 28 kg/m2), moderately obese (28 to < 30 kg/m2), and
severely obese (>= 30 kg/m2). Hazard ratios (HRs) for overall survival (OS) and
disease-specific survival (DSS) were calculated using Cox proportional hazard
models. RESULTS: We identified 1279 (16.5%) all-cause and 763 (9.8%) disease
specific deaths among 7765 patients over 83.05 months (range 1.02-186.97) median
follow-up. In multivariable analyses adjusted for statistically significant
clinicopathological characteristics, preoperative BMI was associated with OS in a
non-linear pattern. Compared with normal-weight patients, underweight patients
had worse OS [HR 1.42; 95% confidence interval (CI) 1.15-1.77], whereas
overweight (HR 0.84; 95% CI 0.73-0.97), mildly obese (HR 0.77; 95% CI 0.66-0.90),
and moderately obese (HR 0.77; 95% CI 0.59-1.01) patients had better OS. DSS
exhibited a similar pattern, with lowest mortality in moderately obese patients
(HR 0.58; 95% CI 0.39-0.85). Spline analysis showed the lowest all-cause
mortality risk at a BMI of 26.67 kg/m2. CONCLUSION: In patients undergoing
curative gastric cancer surgery, those who were overweight or mildly-to
moderately obese (BMI 23 to < 30 kg/m2) preoperatively had better OS and DSS than
normal-weight patients.
PMID- 29651649
TI - Systematic Review of the Economic Burden of Overt Hepatic Encephalopathy and
Pharmacoeconomic Impact of Rifaximin.
AB - BACKGROUND: Hepatic encephalopathy (HE), a common neurologic complication in
cirrhosis, is associated with substantial disease and economic burden. Rifaximin
is a non-systemic antibiotic that reduces the risk of overt HE recurrence and
overt HE-related hospitalizations. OBJECTIVE: Our objective was to provide an
overview of the direct HE-related costs and cost benefits of rifaximin,
lactulose, and rifaximin plus lactulose. METHODS: A systematic review of PubMed
and relevant meeting abstracts was conducted to identify publications since 1
January 2007 reporting economic data related to HE and rifaximin and/or
lactulose. Further, a public database and published literature were used to
estimate current costs of hospitalization for overt HE, and potential cost
savings of HE-related hospitalizations with rifaximin. The methodological quality
of included studies was evaluated using the Drummond checklist. RESULTS: A total
of 16 reports were identified for inclusion in the systematic review. Globally,
HE-related direct costs ranged from $US5370 to $US50,120 annually per patient.
Rifaximin was associated with shorter hospital stays and reduced healthcare
costs. Rifaximin also has the potential to reduce overt HE-related
hospitalization risk by 50% compared with lactulose. Rifaximin was shown to have
a favourable pharmacoeconomic profile compared with lactulose (based on the
incremental cost-effectiveness ratio). CONCLUSIONS: In addition to its clinical
benefits (e.g. reduction in the risk of recurrence of overt HE, overt HE-related
hospitalizations, favourable adverse event profile), economic data are favourable
for the use of rifaximin in patients with a history of overt HE.
PMID- 29651650
TI - Evaluation of options for presenting health-states from PROMIS(r) item banks for
valuation exercises.
AB - PURPOSE: Health status descriptive systems based on item response theory (IRT),
such as the Patient-Reported Outcomes Measurement Information System (PROMIS(r)),
have item banks to measure domains of health. We developed a method to present
such banks for health-state valuation. METHODS: We evaluated four different
presentation approaches: a single item (1S), 2 items presented separately (2S), 2
items presented together (2T), or 5 items presented together (5T). We evaluated
these four approaches in three PROMIS item banks (depression, physical function,
and sleep disturbance). Adult community members valued health-state descriptions
using the visual analog scale and standard gamble methods. We compared the
approaches by the range of item bank theta scores captured, participants'
assessments of difficulty (1 = very easy to 7 = very hard), and exit interviews.
RESULTS: Participants (n = 118) ranged in age from 18 to 71; 63% were female and
54% were white. The 1S approach captured the smallest range of theta scores. A
monotonic relationship between theta score and mean standard gamble estimate was
found with all approaches except 2S. Across all 3 item banks, mean difficulty
assessments were 2.35 (1S), 2.69 (2T), 2.78 (5T), and 2.80 (2S). In exit
interviews, participants generally found all four approaches similarly meaningful
and realistic. CONCLUSIONS: Creating health descriptions by presenting 2 items
maximized the range of theta while minimizing difficulty and maintaining a
monotonic relationship with utility estimates. We recommend this approach for
valuation of IRT-based descriptive systems such as PROMIS.
PMID- 29651651
TI - Interactions of coffee consumption and postmenopausal hormone use in relation to
breast cancer risk in UK Biobank.
AB - PURPOSE: We investigated the association of coffee consumption with
postmenopausal breast cancer risk, overall and by the status of postmenopausal
hormone therapy (PMH). METHODS: This study included 126,182 postmenopausal women
(2,636 with breast cancer and 123,546 without) from UK Biobank. Cancer diagnoses
were ascertained through the linkage to the UK National Health Service Central
Registers. Information on breast cancer risk factors and coffee consumption was
collected at baseline and updated during follow-up. We used Cox proportional
hazards regression to evaluate associations between coffee consumption and breast
cancer, overall and in stratified analyses by woman's PMH status (none, past,
current). RESULTS: In the overall analysis, coffee consumption was not associated
with breast cancer risk (Hazard Ratio [HR] 1.00, 95% CI 0.91-1.11 for 2-3
cups/day, and HR 0.98, 95% CI 0.87-1.10 for >= 4 cups/day, p-trend = 0.69). Women
with no PMH history who consumed >= 4 cups/day had a 16% reduced risk of breast
cancer as compared to women who consumed < 7 cups/week (HR 0.84, 95% CI 0.71
1.00). Among women with past PMH, those consuming >= 4 cups/day had a 22% greater
risk of breast cancer than women consuming < 7 cups/week (HR 1.22, 95% CI 1.01
1.47). No association was found among current PMH users. We found no significant
interaction between PMH and coffee consumption (p = 0.24). CONCLUSIONS: Coffee
consumption might be associated with increased breast cancer risk in women who
used hormones in the past. Further studies are warranted to confirm these
findings and elucidate potential biological mechanisms underlying the observed
associations.
PMID- 29651652
TI - Titania-Coated Silica Alone and Modified by Sodium Alginate as Sorbents for Heavy
Metal Ions.
AB - The novel organic-inorganic biohybrid composite adsorbent was synthesized based
on nanosized silica-titania modified with alginate within the development of
effective adsorbent for heavy metal ions. Effects of metal species Cu(II),
Zn(II), Cd(II), and Pb(II); concentrations; pH; temperature; and adsorption onto
titania-coated silica (ST20) initial or modified by sodium alginate (ST20-ALG)
were studied. The equilibrium and kinetic data of metal ions adsorption were
analyzed using Langmuir and Freundlich adsorption models and kinetic models:
pseudo first order, pseudo second order, intraparticle kinetic model, and
Elovich. The maximum sorption capacities observed were higher for the ST20-ALG
composite compared to the initial ST20 oxide for all studied metal ions, namely
their values for ST20-ALG were 22.44 mg g- 1 for Cu(II) adsorption, 19.95 mg g- 1
for Zn(II), 18.85 mg g- 1 for Cd(II), and 32.49 mg g- 1 for Pb(II). Structure and
properties of initial silica-titania ST20 and modified by sodium alginate ST20
ALG adsorbents were analyzed using nitrogen adsorption/desorption isotherms, ATR
FTIR, SEM-EDS, and pHpzc techniques.
PMID- 29651654
TI - In the Telencephalon, GluN2C NMDA Receptor Subunit mRNA is Predominately
Expressed in Glial Cells and GluN2D mRNA in Interneurons.
AB - N-methyl-D-aspartate receptors (NMDARs) are widely distributed in the brain with
high concentrations in the telencephalon where they modulate synaptic plasticity,
working memory, and other functions. While the actions of the predominate GluN2
NMDAR subunits, GluN2A and GluN2B are relatively well understood, the function of
GluN2C and GluN2D subunits in the telencephalon is largely unknown. To better
understand the possible role of GluN2C subunits, we used fluorescence in situ
hybridization (FISH) together with multiple cell markers to define the
distribution and type of cells expressing GluN2C mRNA. Using a GluN2C-KO mouse as
a negative control, GluN2C mRNA expression was only found in non-neuronal cells
(NeuN-negative cells) in the hippocampus, striatum, amygdala, and cerebral
cortex. For these regions, a significant fraction of GFAP-positive cells also
expressed GluN2C mRNA. Overall, for the telencephalon, the globus pallidus and
olfactory bulb were the only regions where GluN2C was expressed in neurons. In
contrast to GluN2C, GluN2D subunit mRNA colocalized with neuronal and not
astrocyte markers or GluN2C mRNA in the telencephalon (except for the globus
pallidus). GluN2C mRNA did, however, colocalize with GluN2D in the thalamus where
neuronal GluN2C expression is found. These findings strongly suggest that GluN2C
has a very distinct function in the telencephalon compared to its role in other
brain regions and compared to other GluN2-containing NMDARs. NMDARs containing
GluN2C may have a specific role in regulating L-glutamate or D-serine release
from astrocytes in response to L-glutamate spillover from synaptic activity.
PMID- 29651653
TI - Intraosseous venous malformation of the zygomatico-orbital complex. Case report
and literature review with focus on confusions in vascular lesion terms.
AB - Intraosseous vascular malformations (VascM) of the facial skeleton are rare
entities, raising difficulties even today in their treatment. We present a case
for zygomatic intraosseous venous malformation of traumatic etiology with growth
dynamics presentation and a multidisciplinary treatment approach, with
intravascular embolization surgical ablation and primary reconstructruction using
a titanium patient-specific implant (PSI), and a review of the literature for
intraosseous vascular lesions of the facial skeleton focusing on the diagnostic
syntagms used by the involved medical personnel, to shed light on the confusions
over these terms.
PMID- 29651656
TI - Using Lithium in Children and Adolescents with Bipolar Disorder: Efficacy,
Tolerability, and Practical Considerations.
AB - Lithium has been an intriguing treatment option in psychiatry for over a century.
While seemingly just a simple elemental compound, it has powerful treatment
effects for both depression and bipolar disorder. The evidence base for treatment
of pediatric bipolar disorder is relatively small, but, in recent years,
additional clinical trial data have enabled lithium to re-emerge as a valuable
and, in many cases, preferred treatment. Pharmacologically, lithium is complex,
with varied effects at both intracellular and extracellular levels. As a
treatment for bipolar disorder in pediatrics, lithium is challenging, given its
narrow therapeutic window and myriad of potential side effects. However, the
efficacy of lithium continues to match that of newer pharmacologic agents, and
its tolerability has been shown to be comparable with more commonly prescribed
medications. Lithium is still one of few drugs that have been proven to reduce
the risk of suicidality, and it may have utility in illnesses beyond affective
disorders. Practically, as a primary agent or as an adjunct, lithium continues to
claim a rightful place in the treatment armamentarium of child psychiatry. New
dosing paradigms have improved tolerability and reduced potential side effects.
Recent evidence affirms that lithium is effective for pediatric bipolar disorder
in multiple phases of the illness.
PMID- 29651655
TI - Antimicrobial peptides: biochemical determinants of activity and biophysical
techniques of elucidating their functionality.
AB - Antimicrobial peptides (AMPs) have been established over millennia as powerful
components of the innate immune system of many organisms. Due to their broad
spectrum of activity and the development of host resistance against them being
unlikely, AMPs are strong candidates for controlling drug-resistant pathogenic
microbial pathogens. AMPs cause cell death through several independent or
cooperative mechanisms involving membrane lysis, non-lytic activity, and/or
intracellular mechanisms. Biochemical determinants such as peptide length,
primary sequence, charge, secondary structure, hydrophobicity, amphipathicity and
host cell membrane composition together influence the biological activities of
peptides. A number of biophysical techniques have been used in recent years to
study the mechanisms of action of AMPs. This work appraises the molecular
parameters that determine the biocidal activity of AMPs and overviews their
mechanisms of actions and the diverse biochemical, biophysical and microscopy
techniques utilised to elucidate these.
PMID- 29651657
TI - Genetic and physiological basis for antibody production by Kluyveromyces
marxianus.
AB - Kluyveromyces marxianus is a thermotolerant, crabtree-negative yeast, which
preferentially directs metabolism (e.g., from the tricarboxylic acid cycle) to
aerobic alcoholic fermentation. Thus K. marxianus has great potential for
engineering to produce various materials under aerobic cultivation conditions. In
this study, we engineered K. marxianus to produce and secrete a single-chain
antibody (scFv), a product that is highly valuable but has historically proven
difficult to generate at large scale. scFv production was obtained with strains
carrying either plasmid-borne or genomically integrated constructs using various
combinations of promoters (P MDH1 or P ACO1 ) and secretion signal peptides
(KmINUss or Scalpha-MFss). As the wild-type K. marxianus secretes endogenous
inulinase predominantly, the corresponding INU1 gene was disrupted using a
Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR)-associated
protein (CRISPR-Cas9) system to re-direct resources to scFv production. Genomic
integration was used to replace INU1 with sequences encoding a fusion of the INU1
signal peptide to scFv; the resulting construct yielded the highest scFv
production among the strains tested. Optimization of growth conditions revealed
that scFv production by this strain was enhanced by incubation at 30 degrees C
in xylose medium containing 200 mM MgSO4. These results together demonstrate that
K. marxianus has the potential to serve as a host strain for antibody production.
PMID- 29651658
TI - Cardiovascular imaging 2017 in the International Journal of Cardiovascular
Imaging.
PMID- 29651659
TI - Current achievements and future directions in genetic engineering of European
plum (Prunus domestica L.).
AB - In most woody fruit species, transformation and regeneration are difficult.
However, European plum (Prunus domestica) has been shown to be amenable to
genetic improvement technologies from classical hybridization, to genetic
engineering, to rapid cycle crop breeding ('FasTrack' breeding). Since the first
report on European plum transformation with marker genes in the early 90 s,
numerous manuscripts have been published reporting the generation of new clones
with agronomically interesting traits, such as pests, diseases and/or abiotic
stress resistance, shorter juvenile period, dwarfing, continuous flowering, etc.
This review focuses on the main advances in genetic transformation of European
plum achieved to date, and the lines of work that are converting genetic
engineering into a contemporary breeding tool for this species.
PMID- 29651661
TI - High Arctic lemmings remain reproductively active under predator-induced elevated
stress.
AB - Non-consumptive effects of predation have rarely been assessed in wildlife
populations even though their impact could be as important as lethal effects.
Reproduction of individuals is one of the most important demographic parameters
that could be affected by predator-induced stress, which in turn can have
important consequences on population dynamics. We studied non-consumptive effects
of predation on the reproductive activity (i.e., mating and fertilization) of a
cyclic population of brown lemmings exposed to intense summer predation in the
Canadian High Arctic. Lemmings were live-trapped, their reproductive activity
(i.e., testes visible in males, pregnancy/lactation in females) assessed, and
predators were monitored during the summers of 2014 and 2015 within a 9 ha
predator-reduction exclosure delimited by a fence and covered by a net, and on an
11 ha control area. Stress levels were quantified non-invasively with fecal
corticosterone metabolites (FCM). We found that FCM levels of lemmings captured
outside the predator exclosure (n = 50) were 1.6 times higher than inside (n =
51). The proportion of pregnant/lactating adult females did not differ between
the two areas, nor did the proportion of adult scrotal males. We found that
lemmings showed physiological stress reactions due to high predation risk, but
had no sign of reduced mating activity or fertility. Thus, our results do not
support the hypothesis of reproductive suppression by predator-induced stress.
PMID- 29651660
TI - Comparative analysis of transcriptome in two wheat genotypes with contrasting
levels of drought tolerance.
AB - Drought tolerance is a complex trait that is governed by multiple genes. The
study presents differential transcriptome analysis between drought-tolerant
(Triticum aestivum Cv. C306) and drought-sensitive (Triticum aestivum Cv. WL711)
genotypes, using Affymetrix GeneChip(r) Wheat Genome Array. Both genotypes
exhibited diverse global transcriptional responses under control and drought
conditions. Pathway analysis suggested significant induction or repression of
genes involved in secondary metabolism, nucleic acid synthesis, protein
synthesis, and transport in C306, as compared to WL711. Significant up- and
downregulation of transcripts for enzymes, hormone metabolism, and stress
response pathways were observed in C306 under drought. The elevated expression of
plasma membrane intrinsic protein 1 and downregulation of late embryogenesis
abundant in the leaf tissues could play an important role in delayed wilting in
C306. The other regulatory genes such as MT, FT, AP2, SKP1, ABA2, ARF6, WRKY6,
AOS, and LOX2 are involved in defense response in C306 genotype. Additionally,
transcripts with unknown functions were identified as differentially expressed,
which could participate in drought responses.
PMID- 29651662
TI - Quantifying learning in biotracer studies.
AB - Mixing models have become requisite tools for analyzing biotracer data, most
commonly stable isotope ratios, to infer dietary contributions of multiple
sources to a consumer. However, Bayesian mixing models will always return a
result that defaults to their priors if the data poorly resolve the source
contributions, and thus, their interpretation requires caution. We describe an
application of information theory to quantify how much has been learned about a
consumer's diet from new biotracer data. We apply the approach to two example
data sets. We find that variation in the isotope ratios of sources limits the
precision of estimates for the consumer's diet, even with a large number of
consumer samples. Thus, the approach which we describe is a type of power
analysis that uses a priori simulations to find an optimal sample size. Biotracer
data are fundamentally limited in their ability to discriminate consumer diets.
We suggest that other types of data, such as gut content analysis, must be used
as prior information in model fitting, to improve model learning about the
consumer's diet. Information theory may also be used to identify optimal sampling
protocols in situations where sampling of consumers is limited due to expense or
ethical concerns.
PMID- 29651663
TI - Finding the molecular scaffold of nuclear receptor inhibitors through high
throughput screening based on proteochemometric modelling.
AB - Nuclear receptors (NR) are a class of proteins that are responsible for sensing
steroid and thyroid hormones and certain other molecules. In that case, NR have
the ability to regulate the expression of specific genes and associated with
various diseases, which make it essential drug targets. Approaches which can
predict the inhibition ability of compounds for different NR target should be
particularly helpful for drug development. In this study, proteochemometric
modelling was introduced to analysis the bioactivity between chemical compounds
and NR targets. Results illustrated the ability of our PCM model for high
throughput NR-inhibitor screening after evaluated on both internal (AUC > 0.870)
and external (AUC > 0.746) validation set. Moreover, in-silico predicted
bioactive compounds were clustered according to structure similarity and a series
of representative molecular scaffolds can be derived for five major NR targets.
Through scaffolds analysis, those essential bioactive scaffolds of different NR
target can be detected and compared. Generally, the methods and molecular
scaffolds proposed in this article can not only help the screening of potential
therapeutic NR-inhibitors but also able to guide the future NR-related drug
discovery.
PMID- 29651664
TI - The impact of chemotherapy and its timing on survival in malignant peritoneal
mesothelioma treated with complete debulking.
AB - The current standard of treatment for malignant peritoneal mesothelioma (MPM) is
cytoreductive surgery when the disease distribution is favorable. The role of
chemotherapy, as an adjunct to surgery, remains unclear. The national database of
mesothelioma was used to identify MPM patients who were treated with curative
intent. Patients were divided into treatment groups: (1) chemotherapy only, (2)
surgery only, (3) neoadjuvant chemotherapy + surgery, and (4) surgery + adjuvant
chemotherapy. A negative control group of patients who did not receive any
treatment was added (group 0). Totally, 1740 patients were included. Mean age was
63.04 +/- 14.58 and 60.7% were males. The patients' distribution into the
treatment groups was 604, 684, 169, 55, and 228 patients in groups 0-4,
respectively, with a median survival of 3.61 +/- 0.37, 11.10 +/- 0.73, 57.41 +/-
11.91, 52.30 +/- 7.20, and 55.00 +/- 9.19 months. The addition of chemotherapy,
in any setting, to surgery provided an improved survival at 1 year (p = 0.006).
This survival benefit ceased at the 2-, 3-, and 5-year checkpoints. The
multivariate analysis identified age, sarcomatoid/biphasic histologies, nodal and
distant metastasis, and offering no treatment or chemotherapy only as poor
prognostic factors for overall survival. No difference in overall survival was
noted with the addition of chemotherapy to complete debulking regardless of the
timing. Complete debulking remains the standard treatment for MPM. The addition
of systemic chemotherapy provides a short-term survival improvement at 1 year
only and was similar whether given in the neoadjuvant or adjuvant setting.
Nevertheless, it did not add a survival benefit beyond the 1-year time point.
PMID- 29651667
TI - Association between periodontitis and chronic migraine: a case-control study.
AB - The aim of this investigation was to examine whether chronic periodontitis (CP)
is a risk indicator of chronic migraine (CM). We performed a case-control study
consisted of 102 cases (patients diagnosed with CM) and 91 controls (non-CM
individuals) matched by age and gender. Full-mouth periodontal charts,
demographic, medical, clinical, as well as neurological data were obtained. In
addition, high sensitive C-reactive protein serum levels were determined from
blood samples of both cases (taken during migraine interictal period) and
controls. The prevalence of CP was significantly higher in patients with CM
compared to those without CM (58.8 vs. 30.8%, p < 0.0001). Logistic regression
analysis showed that CP was significantly associated with the presence of CM,
independently of well-known chronifying factors of migraine (OR 2.4; 95% CI 1.2
4.7; p = 0.012). Based on our results, CP could be considered as a risk indicator
of CM. However, more evidence is necessary to investigate if this relationship is
causal or not.
PMID- 29651665
TI - Evaluation and management of thromboprophylaxis in Moroccan hospitals at national
level: the Avail-MoNa study.
AB - Venous thromboembolism (VTE) is a common clinical problem that is associated with
substantial morbidity and mortality. The aim of this study was to describe the
clinical practices in VTE prophylaxis in university and peripheral hospitals in
Morocco. This is a national, cross-sectional, multicenter, observational study
assessing the management of the VTE risk in selected Moroccan hospitals (four
university and three peripheral). The thromboembolic risk of the selected
patients was assessed according to the American College of Chest Physicians
(ACCP) guidelines (2008). We hypothesized that interventions for VTE guideline
implementation in those hospitals may improve prophylaxis use for hospitalized
patients. A total of 1318 patients were analyzed: 467 (35.5%) medical and 851
(64.5%) surgical. The mean age of patients was 52.6 +/- 16.5 years, and 52.7%
were female. A total of 51.1% patients were considered to be at risk of VTE
according to ACCP guidelines and were eligible for thromboprophylaxis (TP).
Medical patients were more likely to present risk factors than surgical patients
(53.6 vs. 50.7%, respectively). TP was prescribed for 53.1% of these patients,
57.4% in at-risk surgical patients and 50.3% in at-risk medical patients. TP was
also prescribed for 42.9% of non-at-risk patients. The concordance between the
recommended and the prescribed prophylaxis was poor for the total population
(kappa = 0.110). TP did not improve sufficiently in our hospitals, even after
implementation of the guidelines. New strategies are required to appropriately
address TP in hospitalized patients.
PMID- 29651666
TI - EPOCH regimen as salvage therapy for adult T-cell leukemia-lymphoma.
AB - Adult T-cell leukemia-lymphoma (ATL) is an intractable hematopoietic malignancy
with a very poor prognosis. Although improved responses have been achieved
through intensive chemotherapy in newly diagnosed patients with aggressive ATL,
most patients suffer from relapse or disease recurrence, and an effective salvage
therapy, especially for candidates for allogeneic hematopoietic stem cell
transplantation (allo-HSCT), is yet to be established. The efficacy of the EPOCH
regimen has been reported for several lymphoid malignancies; however, its
efficacy for ATL has not been sufficiently evaluated. Here, we report results of
a study of the EPOCH regimen as a salvage therapy for ATL. We retrospectively
analyzed patients with relapsed or refractory ATL treated in our institution,
with EPOCH as a first salvage therapy. Fourteen patients with a median age of 58
years were analyzed, among whom eight achieved a response, including a complete
response in one patient and partial responses in seven. Seven patients underwent
allo-HSCT after EPOCH therapy; however, the median overall survival (OS) could
not be determined, whereas OS at 2 years after allo-HSCT was estimated to be
85.7%. These results suggest that EPOCH is an option for salvage therapy in
patients with ATL, including candidates for allo-HSCT.
PMID- 29651668
TI - Effect of chitosan nanoparticle, QMix, and EDTA on TotalFill BC sealers' dentinal
tubule penetration: a confocal laser scanning microscopy study.
AB - The aim of the present study was to compare the effect of chitosan nanoparticle,
QMix, and 17% EDTA on the penetrability of a calcium silicate-based sealer into
dentinal tubules using a confocal laser scanning microscope (CLSM). Sixty
mandibular premolar teeth were selected and randomly divided into three groups (n
= 20) before root canal preparation according to the solution used in the final
rinse protocol: chitosan, QMix, and EDTA groups. Twenty teeth of each group were
filled with a TotalFill BC sealers' single gutta-percha cone and with 0.1%
rhodamine B. The specimens were horizontally sectioned at 3 and 5 mm from the
apex, and the slices were analyzed in CLSM (4*). Total percentage and maximum
depth of sealer penetration were measured using confocal laser scanning
microscopy with using Image J analysis software. Dentinal tubule's penetration
depth, percentage, and area were measured using imaging software. Kruskal-Wallis
test was used for statistical analysis. The level of significance was set at 5%.
Results of Kruskal-Wallis analysis showed that there was a significant difference
in the percentage and depth of sealer penetration among all groups at 3 and 5 mm
level sections (P < 0.05). Within the groups, the minimum sealer penetration
depth was recorded for chitosan nanoparticle group. Greater depth of sealer
penetration was recorded at 5 mm as compared to 3 mm in all the groups. Within
the limitation of the present study, it can be concluded that QMix and EDTA
promoted sealer penetration superior to that achieved by chitosan nanoparticle.
PMID- 29651669
TI - HPV Screening and Vaccination Strategies in an Unscreened Population: A
Mathematical Modeling Study.
AB - Human papillomavirus (HPV), a sexually transmitted infection, is the necessary
cause of cervical cancer, the third most common cancer affecting women worldwide.
Prevention and control strategies include vaccination, screening, and treatment.
While HPV prevention and control efforts are important worldwide, they are
especially important in low-income areas with a high infection rate or high rate
of cervical cancer. This study uses mathematical modeling to explore various
vaccination and treatment strategies to control for HPV and cervical cancer while
using Nepal as a case study. Two sets of deterministic models were created with
the goal of understanding the impact of various prevention and control
strategies. The first set of models examines the relative importance of screening
and vaccination in an unscreened population, while the second set examines
various screening scenarios. Partial rank correlation coefficients confirm the
importance of screening and treatment in the reduction of HPV infections and
cancer cases even when vaccination uptake is high. Results also indicate that
less expensive screening technologies can achieve the same overall goal as more
expensive screening technologies.
PMID- 29651670
TI - Disease Extinction Versus Persistence in Discrete-Time Epidemic Models.
AB - We focus on discrete-time infectious disease models in populations that are
governed by constant, geometric, Beverton-Holt or Ricker demographic equations,
and give a method for computing the basic reproduction number, [Formula: see
text]. When [Formula: see text] and the demographic population dynamics are
asymptotically constant or under geometric growth (non-oscillatory), we prove
global asymptotic stability of the disease-free equilibrium of the disease
models. Under the same demographic assumption, when [Formula: see text], we prove
uniform persistence of the disease. We apply our theoretical results to specific
discrete-time epidemic models that are formulated for SEIR infections, cholera in
humans and anthrax in animals. Our simulations show that a unique endemic
equilibrium of each of the three specific disease models is asymptotically stable
whenever [Formula: see text].
PMID- 29651673
TI - Chinese Medicine in Treatment of A Patient with Acute Extensive Anterior
Myocardial Infarction Complicated by Shock after Percutaneous Coronary
Intervention.
PMID- 29651671
TI - Are endometrial cancer radiotherapy results age related?
AB - OBJECTIVE: To analyze the impact of age on radiotherapy results based on cancer
specific survival (CSS), vaginal-cuff relapses (VCR) and complications analysis
in 438 patients with endometrial carcinoma (EC) receiving postoperative
radiotherapy (PRT) divided into three age groups for analysis. MATERIALS AND
METHODS: From 2003 to 2015, 438 patients with EC were treated with PRT and
divided into three age groups: Group-1: 202 patients < 65 years; Group-2: 210
patients >= 65 and < 80 years; Group-3: 26 patients >= 80 years. Vaginal toxicity
was assessed using the objective LENT-SOMA criteria and RTOG scores were recorded
for the rectum, bladder, and small bowel. STATISTICS: Chi square and Student's t
tests, Kaplan-Meier survival study for analysis of CSS. RESULTS: The mean follow
up was 5.6 years in Group-1, 5.6 years in Group-2 and 6.3 years in Group-3 (p =
0.38). No differences were found among the groups in distribution of stage,
grade, myometrial invasion, Type 1 vs. 2 EC and VLSI (p = 0.97, p = 0.52, p =
0.35, p = 0.48, p = 0.76, respectively). There were no differences in rectal,
bladder and vagina late toxicity (p = 0.46, p = 0.17, p = 0.75, respectively). A
better CSS at 5 years was found in Group-1 (p = 0.006), and significant
differences were found in late severe small bowel toxicity in Group-3 (p =
0.005). VCR was increased in Group-3 (p = 0.017). CONCLUSIONS: Patients >= 65
years had a worse outcome in comparison to younger patients. Late vaginal, rectal
and bladder toxicities were similar in the three groups, although an increase of
severe late small bowel toxicity led to IMRT in patients >= 80 years. Further
larger studies are needed including quality of life analysis in patients >= 80
years.
PMID- 29651672
TI - Factors associated with anxiety and depression in cancer patients prior to
initiating adjuvant therapy.
AB - OBJECTIVE: Anxiety and depression affect cancer patients' quality of life. Our
objectives were to determine the prevalence of anxiety and depression and analyze
the association between positive psychological factors, sociodemographic factors,
and clinical factors in oncological patients initiating adjuvant treatment.
METHODS: A prospective, multicenter cohort of 600 consecutive patients completed
the Brief Symptom Inventory, Mini-Mental Adjustment to Cancer, Life Orientation
Scale-Revised, and Multidimensional Scale of Perceived Social Support
questionnaires. RESULTS: Prevalence of anxiety and depression was 49.8 and 36.6%,
respectively. Women and younger individuals were more anxious and depressed than
men and seniors. Employed participants suffered more anxiety than retirees, and
singles exhibited more depression than married or partnered subjects. Logistic
regression analysis showed that hope, optimism, social support, being male, and
older were significantly associated with a lower risk of anxiety and depression
(p < 0.001). CONCLUSIONS: The high prevalence of anxiety and depression among
Spaniards with cancer starting adjuvant chemotherapy suggests that more attention
should be paid to mental health in these individuals. These findings are
important for cancer patients because they can benefit from interventions that
increase positive psychological factors such as hope, optimism, and social
support to reduce anxiety and depression.
PMID- 29651674
TI - Intestinal Microbiota and Kidney Diseases.
AB - Kidney diseases are common and the incidence rate is increasing. Gut microbiota
is involved in metabolic and immune regulation of the host. Genetic, alimentary
and environmental disease factors may change gut flora and increase opportunistic
and pathogenic bacteria, contributing to immune or non-immune mediated kidney
diseases including IgA nephropathy and diabetic nephropathy. Additionally,
bacterial metabolites may be a source of uremic toxins. Thus, identification of
diversity, composition, and metabolic and immunologic features of gut bacteria in
chronic kidney diseases may help understand pathogenetic mechanism and develop
therapy for diseases.
PMID- 29651675
TI - Tackling Osteoarthritic Knee Pain with Electroacupuncture.
AB - Electroacupuncture (EA) has been widely used in pain relief. Clinical evidence
has revealed its unique advantages and effectiveness in alleviating pain. Studies
on EA and pain relief have revealed that EA displays greater analgesic effects
for different types of pain in comparison to manual acupuncture. Here, we
reviewed the clinical application and mechanism of EA in treating osteoarthritic
knee pain and its influence factors in curative effect.
PMID- 29651676
TI - Essence of "Shen (Kidney) Controlling Bones": Conceptual Analysis Based on
Hypothalamic-Pituitary-Adrenal-Osteo-Related Cells Axis.
AB - As a traditional concept of Chinese medicine (CM), the theory of "Shen (Kidney)
controlling bones" has been gradually proven. And in modern allopathic medicine,
the multiple mechanisms of bone growth, development and regeneration align with
the theory. Shen deficiency as a pathological condition has a negative effect on
the skeleton of body, specifically the disorder of bone homeostasis. Present
studies indicate that Shen deficiency shares a common disorder characterized by
dysfunction of hypothalamic-pituitary-adrenal (HPA) axis. HPA axis may be an
important regulator of bone diseases with abnormal homeostasis. Therefore, we
posit the existence of hypothalamic-pituitary-adrenal-osteo-related cells axis:
cells that comprise bone tissue (osteo-related cells) are targets under the
regulation of HPA axis in disorder of bone homeostasis. Chinese herbs for
nourishing Shen have potential in the development of treatments for disorder of
bone homeostasis.
PMID- 29651677
TI - [Antifreeze poisoning : The case of a patient with repeated ethylene glycol
poisoning].
AB - Ethylene glycol poisoning of incidental or suicidal intention can cause life
threatening metabolic acidosis, diverse secondary damage, and even lead to death.
Beside hemodialysis effective therapy consists of the administration of
fomepizole and ethanol. We describe a patient after repeated ethylene glycol
poisoning with high anion gap metabolic acidosis and acute renal failure. Using
hemodialysis, with dialysate containing a specific amount of ethanol, and
intravenous ethanol administration we were able to prevent severe secondary organ
damage.
PMID- 29651678
TI - Performance of the 2015 US Preventive Services Task Force Screening Criteria for
Prediabetes and Undiagnosed Diabetes.
AB - BACKGROUND: In 2015, The US Preventive Services Task Force (USPSTF) recommended
screening for prediabetes and undiagnosed diabetes (collectively called
dysglycemia) among adults aged 40-70 years with overweight or obesity. The
recommendation suggests that clinicians consider screening earlier in people who
have other diabetes risk factors. OBJECTIVE: To compare the performance of
limited and expanded screening criteria recommended by the USPSTF for detecting
dysglycemia among US adults. DESIGN: Cross-sectional analysis of survey and
laboratory data collected from nationally representative samples of the civilian,
noninstitutionalized US adult population. PARTICIPANTS: A total of 3643 adults
without diagnosed diabetes who underwent measurement of hemoglobin A1c (A1c),
fasting plasma glucose (FPG), and 2-h plasma glucose (2-h PG). MAIN MEASURES:
Screening eligibility according to the limited criteria was based on age 40 to 70
years old and overweight/obesity. Screening eligibility according to the expanded
criteria was determined by meeting the limited criteria or having >= 1 of the
following risk factors: family history of diabetes, history of gestational
diabetes or polycystic ovarian syndrome, and non-white race/ethnicity.
Dysglycemia was defined by A1c >= 5.7%, FPG >= 100 mg/dL, and/or 2-h PG >= 140
mg/dL. KEY RESULTS: Among the US adult population without diagnosed diabetes,
49.7% had dysglycemia. Screening based on the limited criteria demonstrated a
sensitivity of 47.3% (95% CI, 44.7-50.0%) and specificity of 71.4% (95% CI, 67.3
75.2%). The expanded criteria yielded higher sensitivity [76.8% (95% CI, 73.5
79.8%)] and lower specificity [33.8% (95% CI, 30.1-37.7%)]. Point estimates for
the sensitivity of the limited criteria were lower in all minority groups and
significantly different for Asians compared to non-Hispanic whites [29.9% (95%
CI, 23.4-37.2%) vs. 49.8% (95% CI, 45.9-53.7%); P < .001]. CONCLUSIONS: Diabetes
screening that follows the limited USPSTF criteria will identify approximately
half of US adults with dysglycemia. Screening other high-risk subgroups defined
in the USPSTF recommendation would improve detection of dysglycemia and may
reduce associated racial/ethnic disparities.
PMID- 29651679
TI - Biodegradable Ingredient-Based Emulgel Loaded with Ketoprofen Nanoparticles.
AB - Biodegradable materials are extensively employed to design nanocarriers that
mimic extracellular environment in arthritis. The aim of this study was to
formulate and characterize biocompatible, biodegradable ketoprofen-loaded
chitosan-chondroitin sulfate (CHS-CS) nanoparticles with natural ingredients for
transdermal applications. Polymers used in the design of nanocarriers are
biodegradable and produce synergistic anti-inflammatory effect for the treatment
of arthritis. For transdermal application, argan oil-based emulgel is utilized to
impart viscosity to the formulation. Furthermore, naturally occurring argan oil
synergizes anti-inflammatory effect of formulation and promotes skin penetration.
CHS and CS form nanoparticles by polyelectrolyte complex formation or complex
coacervation at pH 5.0. These particles were loaded into argan oil-based emulgel.
Employing this method, nanoparticles were formulated with particle size in the
range of 300-500 nm. These nanocarriers entrapped ketoprofen and showed more than
76% encapsulation efficiency and 77% release of the ketoprofen at pH 7.4 within
72 h. Drug releases from CHS-CS nanoparticles by mechanism of simple diffusion.
Nanoparticle-loaded argan oil emulgel significantly enhanced skin penetration of
ketoprofen as compared to marketed gel (p < 0.05). Nanocarriers prepared
successfully delivered drug through transdermal route using natural ingredients.
Graphical abstract ?.
PMID- 29651680
TI - Simultaneous identification and determination of flavonoids in Dendrobium
officinale.
AB - BACKGROUND: The quality of material medicine resources has had a considerable
impact on the development of the health industry, which has created a bottleneck
for traditional Chinese medicine (TCM). Dendrobium officinale, which has been
widely used for health prevention in TCM, has become a high-nutritive health food
that is strongly recommended by many white-collar workers and people who pay more
attention to their health. The aim of this study was to develop a method to
authenticate and evaluate D. officinale from different origins via simultaneous
qualitative and quantitative analyses of flavonoid glycosides. Ultra-high
performance liquid chromatography-electrospray ionization/mass spectrometry was
used for the structural elucidation of the compounds. RESULTS: 9 characteristic
peaks, including those representing 7 flavonoid C-glycosides and 2 flavonoid O
glycosides, were identified. Additionally, the contents of 5 representative
flavonoid glucosides in 25 batches of D. officinale from different sources were
determined. To further investigate the different sources of the 25 batch samples,
principal component analysis (PCA) and hierarchical cluster analysis (HCA) were
carried out. A study on the methodology revealed that all results were reliable.
CONCLUSIONS: This method is an efficient tool for the rapid identification of the
different geographical origins of D. officinale and provides references for the
quality evaluation of other natural products.
PMID- 29651681
TI - Higher Autistic Traits Among Criminals, But No Link to Psychopathy: Findings from
a High-Security Prison in Portugal.
AB - The relationship between autism, criminality and psychopathy has gained increased
attention in recent years, although research has focused on autism spectrum
disorders, and not autistic traits. We measured autistic traits (with the Autism
Spectrum Quotient) in a sample of 101 inmates from a high-security prison and
compared them to a control group, using a logistic regression model that included
age, education, psychopathology, psychopathy and attention deficit-hyperactivity
disorder as covariates. Prisoners had more autistic traits (OR 1.13, p = 0.002)
due to higher scores in the communication (OR 1.23) and imagination (OR 1.30)
domains. No correlations were found between autistic and psychopathic traits. Our
study points to the presence of autistic traits as being independent risk factors
for imprisonment, although not associated with psychopathy.
PMID- 29651682
TI - Addressing the Educational Needs of Children with Williams Syndrome: A Rather
Neglected Area of Research?
AB - Williams syndrome (WS) is a rare neurodevelopmental disorder associated with
physical health problems, limitations in cognitive abilities and increased risk
of mental health difficulties. This profile of complex needs may make it
challenging to support children with WS in schools. Surprisingly, in the current
international move for inclusion, limited research exists on the educational
provision and academic achievements of children with WS, including the non
existing literature on their voices and the perspectives of key stakeholders.
This letter calls for additional research on the risk and protective factors
associated with the educational outcomes of these children, the perspectives of
the children themselves and the development of the evidence-base about the
effectiveness of education intervention programs.
PMID- 29651683
TI - Myocardial motion analysis based on an optical flow method using tagged MR
images.
AB - We developed a method of velocimetry based on an optical flow method using
quantitative analyses of tagged magnetic resonance (MR) images (tagged MR-optical
flow velocimetry, tMR-O velocimetry). The purpose of our study was to examine the
accuracy of measurement of the proposed tMR-O velocimetry. We performed
retrospective pseudo-electrocardiogram (ECG) gating tagged cine MR imaging on a
rotating phantom. We optimized imaging parameters for tagged MR imaging, and
validated the accuracy of tMR-O velocimetry. Our results indicated that the
difference between the reference velocities and the computed velocities measured
using optimal imaging parameters was less than 1%. In addition, we performed tMR
O velocimetry and echocardiography on 10 healthy volunteers, for four sections of
the heart (apical, midventricular, and basal sections aligned with the short
axis, and a four-chamber section aligned with the long-axis), and obtained radial
and longitudinal myocardial velocities in these sections. We compared the
myocardial velocities obtained using tMR-O velocimetry with those obtained using
echocardiography. Our results showed good agreement between tMR-O velocimetry and
echocardiography in the radial myocardial velocities in three short-axial
sections and longitudinal myocardial velocities on the midventricular portion of
the four-chamber section in the long-axis. In the study conducted on the rotating
phantom, tMR-O velocimetry showed high accuracy; moreover, in the healthy
volunteers, the myocardial velocities obtained using tMR-O velocimetry were
relatively similar to those obtained using echocardiography. In conclusion, tMR-O
velocimetry is a potentially feasible method for analyzing myocardial motion in
the human heart.
PMID- 29651685
TI - Cardiogoniometry Compared to Fractional Flow Reserve at Identifying
Physiologically Significant Coronary Stenosis: The CARDIOFLOW Study.
AB - Cardiogoniometry (CGM) is method of 3-dimensional electrocardiographic assessment
which has been shown to identify patients with angiographically defined, stable
coronary artery disease (CAD). However, angiographic evidence of CAD, does not
always correlate to physiologically significant disease. The aim of our study was
to assess the ability of CGM to detect physiologically significant coronary
stenosis defined by fractional flow reserve (FFR). In a tertiary cardiology
centre, elective patients with single vessel CAD were enrolled into a prospective
double blinded observational study. A baseline CGM recording was performed at
rest. A second CGM recording was performed during the FFR procedure, at the time
of adenosine induced maximal hyperaemia. A significant CGM result was defined as
an automatically calculated ischaemia score < 0 and a significant FFR ratio was
defined as < 0.80. Measures of diagnostic performance (including sensitivity and
specificity) were calculated for CGM at rest and during maximal hyperaemia. Forty
five patients were included (aged 61.1 +/- 11.0; 60.0% male), of which eighteen
(40%) were found to have significant CAD when assessed by FFR. At rest, CGM
yielded a sensitivity of 33.3% and specificity of 63.0%. At maximal hyperaemia
the sensitivity and specificity of CGM was 71.4 and 50.0% respectively. The
diagnostic performance of CGM to detect physiologically significant stable CAD is
poor at rest. Although, the diagnostic performance of CGM improves substantially
during maximal hyperaemia, it does not reach sufficient levels of accuracy to be
used routinely in clinical practice.
PMID- 29651684
TI - Social Support and Strain Across Close Relationships: A Twin Study.
AB - Social relationships play a critical role in health and well-being throughout
life. We analyzed the genetic and environmental variance co-variance structure
for social support and strain across four sets of relationships including with
one's co-twin, spouse/partner, family and friends. The sample included 5288
Norwegian twins aged 40-80. Older people reported less support from their co-twin
and friends and less strain from their family and friends. Genetic influences
contribute importantly to variation across all the measures, with estimates
ranging from 0 to 58%; variance due to shared environmental influences was most
important for the twin-relationship, ranging from 0.11 to 0.42%. Social support
was negatively correlated with social strain across all sets of relationships.
With the exception of the co-twin relationship, these associations were primarily
mediated by genetic and non-shared environmental effects.
PMID- 29651686
TI - Tackling the Relevance of Packaging in Life Cycle Assessment of Virgin Olive Oil
and the Environmental Consequences of Regulation.
AB - Production and consumption of olive oil is very important in Europe, being this
product a basic element in the Mediterranean diet since long ago. The project
objective is two-fold: a study of the contribution of virgin olive oils (VOOs)
usual packaging to the whole life cycle of the product and a study of the
environmental consequences of the Spanish Government regulation on VOO packaging.
A life cycle assessment (LCA) according to ISO 14044 has been performed using the
CML methodology for the impact assessment. The results show that the packaging
influence varies from 2 to 300%, depending on the impact category and type of
packaging (glass, tin or polyethylene terephtalate). Glass, which is related to
higher quality perception by consumers, was found to be the most influencing
material (due to its weight); however, this impact may be fairly reduced by
applying ecodesign strategies (such as weight reduction and recycled-glass
percentage increase). A new Spanish regulation on the mandatory use of non
refillable oilers in HORECA establishments (hotels, restaurants and caterings)
aims to provide more quality assurance and better information to consumers;
however, it was also found to mean a 74% increase in greenhouse gases emissions.
This regulation was deeply discussed at European level and its application was
withdraw due to consumers rejection, except for Spain. The findings of the
present case study show that LCA and ecodesign should be important tools to be
promoted and applied in policy making to reduce non-desirable consequences of
regulation.
PMID- 29651687
TI - A failure to replicate rapid syntactic adaptation in comprehension.
AB - Language comprehension requires successfully navigating linguistic variability.
One hypothesis for how listeners manage variability is that they rapidly update
their expectations of likely linguistic events in new contexts. This process,
called adaptation, allows listeners to better predict the upcoming linguistic
input. In previous work, Fine, Jaeger, Farmer, and Qian (PLoS ONE, 8, e77661,
2013) found evidence for syntactic adaptation. Subjects repeatedly encountered
sentences in which a verb was temporarily ambiguous between main verb (MV) and
reduced relative clause (RC) interpretations. They found that subjects who had
higher levels of exposure to the unexpected RC interpretation of the sentences
had an easier time reading the RC sentences but a more difficult time reading the
MV sentences. They concluded that syntactic adaptation occurs rapidly in
unexpected structures and also results in difficulty with processing the
previously expected alternative structures. This article presents two
experiments. Experiment 1 was designed as a follow-up to Fine et al.'s study and
failed to find evidence of adaptation. A power analysis of Fine et al.'s raw data
revealed that a similar study would need double the items and four times the
subjects to reach 95% power. In Experiment 2 we designed a close replication of
Fine et al.'s experiment using these sample size guidelines. No evidence of rapid
syntactic adaptation was found in this experiment. The failure to find evidence
of adaptation in both experiments calls into question the robustness of the
effect.
PMID- 29651688
TI - Metschnikowia mating genomics.
AB - Genes involved in mating type determination and recognition were examined in
Metschnikowia and related species, to gather insights on factors affecting mating
compatibility patterns among haplontic, heterothallic yeast species of the genus.
We confirmed the universality of the special mating locus organisation found in
Clavispora lusitaniae across and exclusive to the family Metschnikowiaceae (i.e.,
Metschnikowia and Clavispora). Timing of the divergence between idiomorphs was
confirmed to coincide with the origin of the larger (CUG-ser) clade comprising
the Debaryomycetaceae and the Metschnikowiaceae, exclusive of Cephaloascus
fragrans. The sequence of the a mating pheromone is highly conserved within the
large-spored Metschnikowia species, including Metschnikowia orientalis and
Metschnikowia hawaiiana, but not Metschnikowia drosophilae or Metschnikowia
torresii, which have a pattern of their own, as do other clades in the genus. In
contrast, variation in alpha pheromones shows a more continuous, although
imperfect correlation with phylogenetic distance as well as with in vivo mating
compatibility.
PMID- 29651689
TI - Mothers' neural responses to infant faces are associated with activation of the
maternal care system and observed intrusiveness with their own child.
AB - Certain infant facial characteristics, referred to as baby schema, are thought to
automatically trigger parenting behavior and affective orientation toward
infants. Electroencephalography (EEG) is well suited to assessing the intuitive
nature and temporal dynamics of parenting responses, due to its millisecond
temporal resolution. Little is known, however, about the relations between neural
processing of infant cues and actual parenting behavior in a naturalistic
setting. In the present study we examined the event-related potentials (ERPs) of
mothers (N = 33) watching infant faces of varying attractiveness, in relation to
activation of the maternal care system and the mothers' observed parenting
behavior (sensitivity, nonintrusiveness) with their own child (2-6 years old).
The results revealed that, irrespective of the cuteness of the infant face,
mothers' neural processing of infant faces involved both early P1 and P2
components (related to orienting/detecting processes) and late positive
potentials (LPPs; related to more controlled cognitive evaluation/attentional
engagement). Increased early detection and processing of infant faces (reflected
by P1 and P2 activity) was related to increased activation of the parental care
system. In later stages of face processing, increased attentional engagement with
infant faces (as reflected by LPP activity) was associated with more
intrusiveness of a mother with her own child during interaction. These findings
suggest that individual variations in responses to infant stimuli are associated
with individual differences in parental care system activation and parenting
quality. Furthermore, the parental care system might be activated relatively
automatically, but actual parenting and caregiving behavior requires more
conscious control.
PMID- 29651690
TI - Community structure analysis of rejection sensitive personality profiles: A
common neural response to social evaluative threat?
AB - Monitoring social threat is essential for maintaining healthy social
relationships, and recent studies suggest a neural alarm system that governs our
response to social rejection. Frontal-midline theta (4-8 Hz) oscillatory power
might act as a neural correlate of this system by being sensitive to unexpected
social rejection. Here, we examined whether frontal-midline theta is modulated by
individual differences in personality constructs sensitive to social
disconnection. In addition, we examined the sensitivity of feedback-related brain
potentials (i.e., the feedback-related negativity and P3) to social feedback.
Sixty-five undergraduate female participants (mean age = 19.69 years)
participated in the Social Judgment Paradigm, a fictitious peer-evaluation task
in which participants provided expectancies about being liked/disliked by peer
strangers. Thereafter, they received feedback signaling social
acceptance/rejection. A community structure analysis was employed to delineate
personality profiles in our data. Results provided evidence of two subgroups: one
group scored high on attachment-related anxiety and fear of negative evaluation,
whereas the other group scored high on attachment-related avoidance and low on
fear of negative evaluation. In both groups, unexpected rejection feedback
yielded a significant increase in theta power. The feedback-related negativity
was sensitive to unexpected feedback, regardless of valence, and was largest for
unexpected rejection feedback. The feedback-related P3 was significantly enhanced
in response to expected social acceptance feedback. Together, these findings
confirm the sensitivity of frontal midline theta oscillations to the processing
of social threat, and suggest that this alleged neural alarm system behaves
similarly in individuals that differ in personality constructs relevant to social
evaluation.
PMID- 29651691
TI - Pattern of Antimicrobial Resistance among Bacterial Isolates from Urogenital
Clinical Specimens: A Descriptive Study from the Buea Health District, Cameroon.
AB - INTRODUCTION: Antimicrobial resistance has become a global concern and is
particularly affecting developing countries where infectious diseases and poverty
are endemic. The effectiveness of currently available antimicrobials is
decreasing as a result of increasing resistant strains among clinical isolates.
OBJECTIVES: The aim of this study was to determine the resistance pattern of
bacterial isolates from different clinical urogenital specimens at different
hospitals in the Buea Health District, Cameroon. METHODS: A retrospective study
was conducted in three hospital laboratories in the Buea Health District,
Cameroon, from June to August 2017. All culture and antimicrobial susceptibility
test results of patients who presented at each of the laboratories for urine,
vaginal swab or urethral swab cultures from January 2012 to December 2016 were
included in the study. Data were analysed using SPSS Windows version 20.0. The
comparisons between different isolates' resistance to antimicrobials were
performed using the chi-square test. The difference in the resistance of
urogenital isolates to various antimicrobials within different years was also
compared by the chi-square test. RESULTS: A total of 423 bacterial isolates were
obtained from clinical urogenital specimens such as: urine 93 (21.9%), vaginal
swab 175 (41.4%) and urethral swab cultures 155 (36.6%). The predominant
bacterial isolates were Staphylococcus spp. 320 (75.5%), Escherichia coli 37
(8.7%) and Enterococcus spp. 24 (5.7%). All the isolates showed significantly
high resistance rates to amoxicillin/clavulanic acid (67.6% resistant rate, p =
0.025), but most isolates, except those of Staphylococcus, were relatively more
susceptible to nitrofurantoin (82.6% susceptibility rate, p = 0.045). However,
Staphylococcus spp. was more susceptible to ceftriaxone (91.0% susceptibility
rate, p < 0.0001) and cefotaxime (74.4% susceptibility rate, p = 0.034).
Generally, most of the isolates showed significantly rising rates of resistance
to the majority of the antimicrobials tested from 2012 to 2017. CONCLUSION: Our
findings showed a progressively rising rate of antimicrobial resistance in
urogenital bacterial isolates over the last 5 years in the Buea Health District.
Thus, uncontrolled and irrational use or prescription of these drugs should be
avoided to maintain low resistance of highly susceptible antimicrobials.
PMID- 29651692
TI - Predictive value of spectral-domain optical coherence tomography features in
assessment of visual prognosis in eyes with acute welding arc maculopathy.
AB - OBJECTIVE: To observe spectral-domain optical coherence tomography (SD-OCT)
features and to determine whether baseline OCT features can be used as predictors
of visual acuity outcome in eyes with acute welding arc maculopathy. METHODS:
This retrospective study enrolled twenty-two eyes of eleven subjects with acute
welding arc maculopathy. All subjects were evaluated by SD-OCT at baseline and
final visit. The involved parameters included best-corrected visual acuity
(BCVA), central macular thickness (CMT), the length of ellipsoid zone (EZ)
defects, the greatest linear dimension (GLD) of outer retinal lesions, EZ
reflectivity and relative EZ reflectivity (defined as the ratio of EZ
reflectivity to retinal pigment epithelium reflectivity on OCT). RESULTS: Acute
welding arc maculopathy was presented as abnormal hyperreflectivity,
hyporeflectivity and defects of outer retinal layer in fovea on OCT. Compared
with baseline, BCVA improved significantly accompanied by decreased GLD of outer
retinal lesions and the length of EZ defects at final visit (P = 0.0004, P <
0.0001 and P < 0.0001, respectively). No significant changes were shown on CMT (P
= 0.248). In multivariate regression analysis, final BCVA was associated with
baseline BCVA and the length of EZ defects (P = 0.012 and P = 0.045,
respectively). However, EZ reflectivity and relative EZ reflectivity were not
associated with final BCVA (P > 0.05). CONCLUSION: In conclusion, SD-OCT images
clearly reveal morphological changes in outer retinal layer in acute welding arc
maculopathy. The baseline BCVA and length of EZ defects are the strongest
predictors of final BCVA.
PMID- 29651693
TI - Shredder Chironomid Diets Are Influenced by Decomposition Rates of Different Leaf
Litter Species.
AB - The diet of shredder chironomid larvae depends on the local and temporal
conditions of the food resources. We analysed the gut content of shredder
chironomid larvae that colonised the leaf litter of three riparian species:
Hedychium coronarium, Pteridium arachnoideum and Magnolia ovata. We hypothesised
that the differences in the decomposition rates of leaf litter species influence
the consumption of plant tissue by shredder chironomid taxa over time. We
incubated perforated bottles with each leaf species within four low-order streams
during 1st, 3rd, 7th, 22nd, 36th, 55th and 85th day of exposure. We used an
analysis of covariance (ANCOVA) to compare differences in the percentage of AFDM
(ash-free dry mass) and AOM (amorphous organic matter) among leaf litter species.
To verify differences in the larvae abundance, we used a general linear model,
and to test if there were feeding preferences for AFDM and AOM, we used the
adapted Paloheimo selectivity index. Magnolia ovata presented a higher quantity
of AOM followed by H. coronarium and P. arachnoideum. Pteridium arachnoideum
showed a higher AFDM followed by H. coronarium and M. ovata. The larvae abundance
was different among plant species and varied significantly with AFDM and AOM
quantities. The consumption of plant tissue by shredder chironomid differed
temporarily and among riparian species, where facultative or strict shredders
showed strong association with different leaf litter species. The amount of AFDM
and AOM in plant tissues explained these differences. We highlighted that
shredder chironomids displayed an important role as co-participants in the
decomposition process.
PMID- 29651694
TI - Classification of ECG beats using deep belief network and active learning.
AB - A new semi-supervised approach based on deep learning and active learning for
classification of electrocardiogram signals (ECG) is proposed. The objective of
the proposed work is to model a scientific method for classification of cardiac
irregularities using electrocardiogram beats. The model follows the Association
for the Advancement of medical instrumentation (AAMI) standards and consists of
three phases. In phase I, feature representation of ECG is learnt using Gaussian
Bernoulli deep belief network followed by a linear support vector machine (SVM)
training in the consecutive phase. It yields three deep models which are based on
AAMI-defined classes, namely N, V, S, and F. In the last phase, a query generator
is introduced to interact with the expert to label few beats to improve accuracy
and sensitivity. The proposed approach depicts significant improvement in
accuracy with minimal queries posed to the expert and fast online training as
tested on the MIT-BIH Arrhythmia Database and the MIT-BIH Supra-ventricular
Arrhythmia Database (SVDB). With 100 queries labeled by the expert in phase III,
the method achieves an accuracy of 99.5% in "S" versus all classifications (SVEB)
and 99.4% accuracy in "V " versus all classifications (VEB) on MIT-BIH Arrhythmia
Database. In a similar manner, it is attributed that an accuracy of 97.5% for
SVEB and 98.6% for VEB on SVDB database is achieved respectively. Graphical
Abstract Reply- Deep belief network augmented by active learning for efficient
prediction of arrhythmia.
PMID- 29651695
TI - Factors influencing efficacy endpoints in clinical trials for new oral medicinal
treatments for overactive bladder: a systematic literature review and meta
analysis.
AB - PURPOSE: Overactive bladder (OAB) is a symptom-based disease; therefore, clinical
trials to evaluate treatments for OAB employ a range of efficacy endpoints. Since
factors that influence efficacy endpoints can affect trial outcomes, their
identification could aid in the design of future OAB clinical trials. We
investigated factors influencing different efficacy endpoints used in clinical
trials with OAB patients and examined their characteristics to determine future
clinical trial strategies for new medicinal treatments for OAB. METHODS: Data
from placebo-controlled double-blind trials in patients with OAB were extracted
via a systematic literature review. The integrated differences for efficacy
endpoints were calculated. Heterogeneity was assessed using the Q statistic and
I2 statistic. Factors influencing efficacy endpoints were identified through
univariate and multivariate meta-regression analyses. RESULTS: Forty-one
controlled trials were analyzed. Substantial heterogeneity between studies was
observed for each efficacy endpoint (P > 0.001, I2 > 70%). We found with
multivariate meta-regression analysis that period of recording in a bladder diary
and year of publication were significantly likely to influence the change from
baseline in the mean number of urgency episodes in 24 h, year of publication and
gender were significantly likely to influence the change from baseline in the
mean number of micturitions in 24 h, and gender was significantly likely to
influence the change from baseline in the mean volume voided per micturition. In
contrast, there were no factors significantly associated with change from
baseline in the mean number of incontinence episodes in 24 h. CONCLUSIONS: We
identified that change from baseline in the mean number of incontinence episodes
in 24 h should serve as a relatively stable endpoint. In contrast, we identified
factors influencing other endpoints, and the identified factors should be taken
into account when planning and conducting future clinical trials.
PMID- 29651696
TI - Diversity of the midstream urine microbiome in adults with chronic kidney
disease.
AB - PURPOSE: To examine the characteristics of the midstream urine microbiome in
adults with stage 3-5 non-dialysis-dependent chronic kidney disease (CKD).
METHODS: Patients with non-dialysis-dependent CKD (estimated glomerular
filtration rate [eGFR] < 60 ml/min/1.73 m2) and diuretic use were recruited from
outpatient nephrology clinics. Midstream voided urine specimens were collected
using the clean-catch method. The bacterial composition was determined by
sequencing the hypervariable (V4) region of the bacterial 16S ribosomal RNA gene.
Extraction negative controls (no urine) were included to assess the contribution
of extraneous DNA from possible sources of contamination. Midstream urine
microbiome diversity was assessed with the inverse Simpson, Chao and Shannon
indices. The diversity measures were further examined by demographic
characteristics and by comorbidities. RESULTS: The cohort of 41 women and 36 men
with detectable bacterial DNA in their urine samples had a mean age of 71.5 years
(standard deviation [SD] 7.9) years (range 60-91 years). The majority were white
(68.0%) and a substantial minority were African-American (29.3%) The mean eGFR
was 27.2 (SD 13.6) ml/min/1.73 m2. Most men (72.2%) were circumcised and 16.6%
reported a remote history of prostate cancer. Many midstream voided urine
specimens were dominated (> 50% reads) by the genera Corynebacterium (n = 11),
Staphylococcus (n = 9), Streptococcus (n = 7), Lactobacillus (n = 7), Gardnerella
(n = 7), Prevotella (n = 4), Escherichia_Shigella (n = 3), and Enterobacteriaceae
(n = 2); the rest lacked a dominant genus. The samples had high levels of
diversity, as measured by the inverse Simpson [7.24 (95% CI 6.76, 7.81)], Chao
[558.24 (95% CI 381.70, 879.35)], and Shannon indices [2.60 (95% CI 2.51, 2.69)].
Diversity measures were generally higher in participants with urgency urinary
incontinence and higher estimated glomerular filtration rate (eGFR). After
controlling for demographics and diabetes status, microbiome diversity was
significantly associated with estimated eGFR (P < 0.05). CONCLUSIONS: The
midstream voided urine microbiome of older adults with stage 3-5 non-dialysis
dependent CKD is diverse. Greater microbiome diversity is associated with higher
eGFR.
PMID- 29651697
TI - Sagittal abdominal diameter and Framingham risk score in non-dialysis chronic
kidney disease patients.
AB - BACKGROUND: Chronic kidney disease (CKD) is very common now and is associated
with high overall and cardiovascular mortality. Numerous studies have reported
that abdominal obesity is a risk factor for cardiovascular mortality. We
investigated the link between sagittal abdominal diameter (SAD) and Framingham
risk score in non-dialysis CKD patients. METHODS: In a cross-sectional study, we
enrolled 307 prevalent non-dialysis CKD patients (175 males, aged 50.7 +/- 17.04
years). SAD and Framingham risk score were measured. RESULTS: Framingham
cardiovascular disease risk score was independently predicted by SAD (P < 0.01),
GFR (P < 0.01) and diabetic history (P < 0.05). Adjusted R2 of the model was
0.178. SAD could be independently predicted by BMI (P < 0.01), diabetic history
(P < 0.01), GFR (P < 0.01) and age (P < 0.01). Adjusted R2 of the model was
0.409. Using receiver operating characteristic (ROC) curve, a cutoff SAD value of
16.55 cm was determined with sensitivity of 63.7%, specificity of 58.3%.
CONCLUSION: Elevated SAD is significantly associated with increased Framingham
risk score in non-dialysis CKD patients. SAD can be predicted by patients' BMI,
diabetic history, renal function and age. Further investigation is needed to
explore the potential benefits of central obesity lowering therapy in this
patient group.
PMID- 29651698
TI - Mitogenic activity of Artocarpus lingnanensis lectin and its apoptosis induction
in Jurkat T cells.
AB - Lectins are a class of carbohydrate-binding proteins or glycoproteins and used in
the purification and characterization of glycoproteins according to their
specificity to carbohydrates. In the present study, the mitogenic activity of
Artocarpus lingnanensis lectin (ALL) and its apoptosis induction in Jurkat T
cells were explored. MTT assay revealed strong mitogenic potential of ALL.
Meanwhile, the anti-cancer activity of ALL was also explored using the human
leukemic Jurkat T cell line. ALL exhibited strong binding affinity (97%) to the
cell membrane, which could be effectively inhibited by N-acetyl-D-galactosaminide
(NAD). ALL induced time- and dose-dependent growth inhibition in Jurkat T cells.
ALL could induce morphologic change and increase the hypodiploid cell population
with the decreased population of S and G2/M phases. The induction of
phosphatidylserine externalization and PARP cleavage further confirmed its
apoptosis-inducing activity due to the activation of caspase-8 and -9. The
inhibition of caspase-9 but not caspase-8 could rescue ALL-induced apoptotic
cells. Further studies showed that ALL enhanced the cleavage of Bid, the release
of cytochrome C, the depolarization of mitochondria and the activation of caspase
3. ALL downregulated the expression of Bcl-xl and Bcl-2 without impact on Bax and
Bad. In addition, the activation of p38/JNK MAPK signaling pathways was observed
to be a requisite for ALL apoptotic activity. In contrast, ALL could not induce
apoptosis of normal T cells. These findings present the differential effect of
ALL on Jurkat and normal T lymphocytes, suggesting its therapeutic value in
leukemia.
PMID- 29651699
TI - RETRACTED ARTICLE: Direct healthcare costs of spinal disorders in Brazil.
PMID- 29651700
TI - Engineering Pichia pastoris for Efficient Production of a Novel Bifunctional
Strongylocentrotus purpuratus Invertebrate-Type Lysozyme.
AB - Lysozymes are known as ubiquitously distributed immune effectors with hydrolytic
activity against peptidoglycan, the major bacterial cell wall polymer, to trigger
cell lysis. In the present study, the full-length cDNA sequence of a novel sea
urchin Strongylocentrotus purpuratus invertebrate-type lysozyme (sp-iLys) was
synthesized according to the codon usage bias of Pichia pastoris and was cloned
into a constitutive expression plasmid pPIC9K. The resulting plasmid, pPIC9K-sp
iLys, was integrated into the genome of P. pastoris strain GS115. The bioactive
recombinant sp-iLys was successfully secreted into the culture broth by positive
transformants. The highest lytic activity of 960 U/mL of culture supernatant was
reached in fed-batch fermentation. Using chitin affinity chromatography and gel
filtration chromatography, recombinant sp-iLys was produced with a yield of 94.5
mg/L and purity of > 99%. Recombinant sp-iLys reached its peak lytic activity of
8560 U/mg at pH 6.0 and 30 degrees C and showed antimicrobial activities against
Gram-negative bacteria (Vibrio vulnificus, Vibrio parahemolyticus, and Aeromonas
hydrophila) and Gram-positive bacteria (Staphylococcus aureus and Bacillus
subtilis). In addition, recombinant sp-iLys displayed isopeptidase activity which
reached the peak at pH 7.5 and 37 degrees C with the presence of 0.05 M Na+. In
conclusion, this report describes the heterologous expression of recombinant sp
iLys in P. pastoris on a preparative-scale, which possesses lytic activity and
isopeptidase activity. This suggests that sp-iLys might play an important role in
the innate immunity of S. purpuratus.
PMID- 29651701
TI - The preS deletion of hepatitis B virus (HBV) is associated with liver fibrosis
progression in patients with chronic HBV infection.
AB - BACKGROUND AND AIMS: Limited data are available regarding the association of
hepatitis B virus (HBV) mutations with liver fibrosis in HBV infection. The study
aimed to clarify whether HBV preS deletion mutation is associated with liver
fibrosis progression. METHODS: A total of 469 patients were enrolled, including
324 with chronic hepatitis B (CHB), 28 with HBV-related compensated liver
cirrhosis (LC), and 117 with HBV-related decompensated LC. All CHB and
compensated LC patients received liver biopsy. Fibrosis grade was assessed using
METAVIR score. HBV preS deletion was determined by direct sequencing and verified
by clonal sequencing. RESULTS: Overall preS deletion was detected in 12.6%
(59/469) patients, specifically, in 7.51% (13/173), 10.60% (16/151), and 20.69%
(30/145) of patients with no-to-mild liver fibrosis (F0-1), moderate-to-severe
liver fibrosis (F2-3), and cirrhosis (F4), respectively (p < 0.01). Patients with
preS-deleted HBV had lower serum HBV DNA and albumin levels compared to patients
with wild-type HBV. The median length of preS deletion was 39-base pairs (bp) (3
204 bp) and the deletion most frequently emerged in preS2 initial region.
Multivariate analysis identified the preS2 deletion rather than preS1 deletion to
be an independent risk factor of significant fibrosis, i.e., METAVIR F >= 2 (p =
0.007). In addition, preS-deleted viral sequences were detected in the pool of
intrahepatic HBV covalently closed circular DNA. CONCLUSIONS: HBV preS deletion
is positively associated with liver fibrosis progression in chronic HBV-infected
patients. HBV preS2 deletion may serve as a warning indicator for liver fibrosis
progression.
PMID- 29651702
TI - Tsumura-Suzuki obese diabetic mice-derived hepatic tumors closely resemble human
hepatocellular carcinomas in metabolism-related genes expression and bile acid
accumulation.
AB - BACKGROUND AND AIMS: Tsumura-Suzuki obese diabetic (TSOD) is a good model of
metabolic syndrome showing typical lesions found in nonalcoholic fatty liver
disease and nonalcoholic steatohepatitis, and develops spontaneous hepatic tumors
with a high frequency. Majority of the developing tumors overexpress glutamine
synthetase (GS), which is used as a marker of hepatocellular carcinoma (HCC). The
aim of this study is to assess the status of expression of metabolism-related
genes and the level of bile acids in the TSOD mice-derived tumors and to
determine the association with metabolic dysregulation between human HCC and TSOD
mice-derived tumors. METHODS: GS-positive hepatic tumors or adjacent normal
tissues from 71-week-old male TSOD mice were subjected to immunohistochemical
staining, quantitative RT-PCR (qRT-PCR), quantitation of cholic acid and
taurocholic acid. RESULTS: We found that downregulation of the rate-limiting
enzyme for betaine synthesis (BADH), at both mRNA and protein levels in GS
positive TSOD mice-derived tumors. Furthermore, the bile acid receptor FXR and
the bile acid excretion pump BSEP (Abcb11) were found to be downregulated,
whereas BAAT and Akr1c14, involved in primary bile acid synthesis and bile acid
conjugation, were found to be upregulated at mRNA level in GS-positive TSOD mice
derived tumors. BAAT and Akr1c14 were also overexpressed at protein levels. Total
cholic acid was found to be increased in GS-positive TSOD mice-derived tumors.
CONCLUSION: Our results strongly support the significance of TSOD mice as a model
of spontaneously developing HCC.
PMID- 29651703
TI - Spectrum of histopathological changes in patients with non-cirrhotic portal
fibrosis.
AB - BACKGROUND AND AIMS: Non-cirrhotic portal fibrosis (NCPF) is a clinical disorder
characterized by features of portal hypertension in the absence of significant
fibrosis. It is one of the commonest causes of portal hypertension in India. This
study aimed to analyze histomorphological spectrum of NCPF in detail. METHODS AND
RESULTS: There were 67 specimens from 66 patients which included 43 (65.2%) male
and 23 (34.8%) female patients with a mean age of 31 years (range: 7-61 years).
The liver function tests showed only a mild derangement. The average length of
biopsy was 1.4 cm (median: 1.2 cm, range: 0.8-3.4 cm) and the mean number of
portal tracts per biopsy was 11.1 (median: 10, range: 5-30). Most cases showed a
combination of histological features; the mean number of histological features
per biopsy was 7.4 (median: 7, range: 3-12). Obliterative portal venopathy was
seen in 47.8% cases. Portal angiomatosis (61.2%), paraportal shunt vessels
(61.2%), portal vein dilatation (74.6%), hypoplastic portal tracts (56.7%),
megasinusoids (64.1%), and abnormally dilated central veins (64.1%) were other
prevalent features. Portal/periportal fibrosis and perisinusoidal fibrosis were
seen in 77.6% and 61.2% cases; none showed bridging fibrosis or cirrhosis. The
median hepatic venous pressure gradient (HVPG) and liver stiffness (LS) values
were 8 mm of Hg (range: 5-20 mm of Hg) and 9.2 kPa (range: 4.4-26.3 kPa). There
was no correlation of HVPG or LS with either portal/periportal fibrosis or
perisinusoidal fibrosis. CONCLUSION: Due to relatively non-specific and non
pathognomonic nature, a combination of different histological features in the
absence of significant fibrosis and appropriate clinico-radiological background
is needed for diagnosing NCPF.
PMID- 29651704
TI - HDAC9 Polymorphism Alters Blood Gene Expression in Patients with Large Vessel
Atherosclerotic Stroke.
AB - The histone deacetylase 9 (HDAC9) polymorphism rs2107595 is associated with an
increased risk for large vessel atherosclerotic stroke (LVAS). In humans, there
remains a need to better understand this HDAC9 polymorphism's contribution to
large vessel stroke. In this pilot study, we evaluated whether the HDAC9
polymorphism rs2107595 is associated with differences in leukocyte gene
expression in patients with LVAS. HDAC9 SNP rs2107595 was genotyped in 155
patients (43 LVAS and 112 vascular risk factor controls). RNA isolated from blood
was processed on whole genome microarrays. Gene expression was compared between
HDAC9 risk allele-positive and risk allele-negative LVAS patients and controls.
Functional analysis identified canonical pathways and molecular functions
associated with rs2107595 in LVAS. In HDAC9 SNP rs2107595 risk allele-positive
LVAS patients, there were 155 genes differentially expressed compared to risk
allele-negative patients (fold change > |1.2|, p < 0.05). The 155 genes separated
the risk allele-positive and risk allele-negative LVAS patients on a principal
component analysis. Pathways associated with HDAC9 risk allele-positive status
involved IL-6 signaling, cholesterol efflux, and platelet aggregation. These
preliminary data suggest an association with the HDAC9 rs2107595 risk allele and
peripheral immune, lipid, and clotting systems in LVAS. Further study is required
to evaluate whether these differences are related to large vessel atherosclerosis
and stroke risk.
PMID- 29651706
TI - A randomized trial of three lubrication strategies on sore throat after insertion
of the LMA(r) ClassicTM supraglottic airway.
PMID- 29651705
TI - Rat Cerebrospinal Fluid Treatment Method through Cisterna Cerebellomedullaris
Injection.
AB - Drugs that lack the ability to cross the blood-brain barrier (BBB) need to be
placed directly into the central nervous system. Our laboratory studies the
involvement of the glutamatergic system in the aggressiveness of glioma, and some
ligands of glutamate receptors cannot permeate the BBB. Here, glioma-implanted
rats were treated by a technique that delivers ligands directly into the
cerebrospinal fluid by puncture into the cisterna cerebellomedullaris. Rats were
anesthetized and fixed in a rodent stereotactic device. The head was gently
tilted downwards at an angle that allowed exposure of the cisterna. Injection
into the cisterna was done freehand using a gingival needle coupled to a
microsyringe. The efficiency of intracisternal injection was demonstrated using a
methylene blue solution. This type of injection is adaptable for any rodent model
using small volumes of a variety of other drugs, and is an interesting method for
neuroscience studies.
PMID- 29651707
TI - [Radiochemotherapy for invasive bladder cancer : An update].
AB - BACKGROUND: The standard treatment for muscle-invasive bladder cancer is radical
cystectomy with pelvic lymphadenectomy. Primary organ-preservation by means of
multimodal therapy, however, can be a viable alternative to radical surgery.
OBJECTIVES: The concept and results of multimodal therapy, consisting of initial
transurethral resection of the bladder tumor (TUR-B), followed by simultaneous
radiochemotherapy (RCT), are presented. MATERIALS AND METHODS: Evaluation of
retrospective cohorts and prospective therapy optimization studies on organ
preservation treatment regimens. Comparative meta-analyses comparing cystectomy
with multimodal treatment are presented. RESULTS: Complete TUR-B, including
bladder mapping and tumor biopsy, should precede simultaneous RCT.
Radiosensitization should be cisplatin-based or consist of a combination of 5
fluorouracil and mitomycin C. Complete response rates after TUR-B plus RCT are
generated in 60-90% of patients along with 5-year survival rates of 40-75% and
preservation of the bladder in approximately 80% of surviving patients.
CONCLUSIONS: Multimodal therapy by means of TUR-B followed by simultaneous RCT is
a viable alternative to radical cystectomy for patients with muscle-invasive
urinary bladder carcinoma. Patients with early tumors (cT2/3N0) are particularly
suitable in whom initial TUR-B leads to complete tumor resection (R0).
PMID- 29651708
TI - [En bloc resection and vaporization techniques for the treatment of bladder
cancer].
AB - BACKGROUND: Modifications in resection techniques may overcome obvious
limitations of conventionally performed transurethral resection (e. g., tumor
fragmentation) of bladder tumors or provide an easier patient treatment algorithm
(e. g., tumor vaporization). OBJECTIVES: The present review article summarizes
the current literature in terms of en bloc resection techniques,
histopathological quality, complication rates, and oncological outcomes.
MATERIALS AND METHODS: A separate data search was performed for en bloc resection
(ERBT, n = 27) and vaporization (n = 15) of bladder tumors. RESULTS: In most
cases, ERBT is performed in a circumferential fashion. Alternatively, ERBT may be
performed by undermining the tumor base via antegrade application of short energy
impulses. Based on high rates of detrusor in specimens of ERBT (90-100%), a
better histopathological quality is assumed. Significant differences in
perioperative complication rates have not been observed, although obturator-nerve
based bladder perforations are not seen when laser energy is used. There is a
nonstatistically significant trend towards lower recurrence rates in ERBT groups.
Tumor vaporization may provide a less invasive technique for older patients with
recurrences of low-risk bladder cancer. It can be performed in an outpatient
setting. CONCLUSIONS: ERBT may provide better histopathological quality. Tumor
vaporization is performed in health care systems where reimbursement is adequate.
PMID- 29651709
TI - [Cannabinoid therapy in practice].
AB - BACKGROUND: In recent years, the media and scientists have shown increased
interest in cannabis-based drugs. OBJECTIVES: Background information about
cannabis-based drugs and their mechanism of action as well as discussion of
possible applications as supportive therapy or in palliative medicine,
respectively, are presented. MATERIALS AND METHODS: The recent literature was
examined and evaluated. RESULTS: In many medical fields, we do not have
sufficient evidence for the efficacy of cannabinoids. In German pharmaceutical
legislation, the use of nabiximols for the treatment of intermediate to severe,
therapy-resistant spasticity in multiple sclerosis is the only approved
indication for cannabis-based drugs. Furthermore, in view of the current evidence
cannabinoids, combined with established treatments and as part of an individual
therapeutic attempt, can be used for neuropathic pain, cancer-associated pain and
human immunodeficiency virus (HIV)-related cachexia. CONCLUSIONS: In most cases,
today's assessment of cannabinoids relies on studies that are classified as low
evidence. Therefore, further studies which involve more participants and evaluate
long-term effects are needed.
PMID- 29651710
TI - [Strategies to reduce patient loss during the follow-up period of randomized
trials].
PMID- 29651711
TI - Baclofen to Prevent Relapse in Gamma-Hydroxybutyrate (GHB)-Dependent Patients: A
Multicentre, Open-Label, Non-Randomized, Controlled Trial.
AB - BACKGROUND: Gamma-hydroxybutyrate (GHB) dependence is associated with a severe,
potentially lethal, withdrawal syndrome and relapse rates as high as 60% within 3
months of detoxification. Baclofen has been shown to decrease self-administration
of GHB in mice and reduce relapse in a case series of GHB-dependent patients.
Controlled studies on the effectiveness of baclofen to prevent relapse in GHB
dependent patients are lacking. AIM: The aim of this study was to assess
effectiveness of baclofen in preventing relapse in GHB-dependent patients.
METHODS: This was an out-patient, multicentre, open-label, non-randomized,
controlled trial in GHB-dependent patients (n = 107) in the Netherlands.
Treatment as usual (TAU, n = 70) was compared with TAU plus baclofen 45-60 mg/day
for 3 months (n = 37). Outcome measures were rates of lapse (any use) and relapse
(using GHB on average once a week or more), based on self-report. Side effects
were monitored with a baclofen side-effects questionnaire. Treatment groups were
compared using Chi square analyses, with both per-protocol (PP) and intention-to
treat (ITT) analyses. RESULTS: GHB-dependent patients treated with baclofen after
detoxification showed no reduced lapse rates, but reduced relapse and dropout
rates, compared with patients receiving TAU only (24 vs 50%). While both ITT and
PP analyses revealed similar results, the effectiveness of baclofen prescribed PP
was slightly higher than in ITT analysis. Patients reported overall limited side
effects, with the most frequently reported being feeling tired (28%), sleepiness
(14%) and feeling depressed (14%). No serious adverse events were reported.
CONCLUSIONS: This study showed potential effectiveness of baclofen in preventing
relapse in patients with GHB dependence after detoxification. Though promising,
future studies with longer follow-up and a randomized double-blind design should
confirm these findings before recommendations for clinical practice can be made.
CLINICAL TRIAL REGISTRATION: Netherlands Trial Register with number NTR4528.
PMID- 29651712
TI - Prognostic impact of nodal relapse in definitive prostate-only irradiation.
AB - BACKGROUND: Whole pelvic irradiation in prostate cancer patients might prevent
metastatic spread of cancer cells through lymphatic drainages in patients
eligible for definitive radiotherapy, but its use has declined in the last
decades in favor of prostate-only irradiation (POI). The aim of our study is to
assess the incidence of pelvic lymph nodal relapse and outcome in prostate cancer
patients receiving POI. MATERIALS AND METHODS: Data from 207 consecutive patients
were collected. Clinical and treatment variables were collected. Biochemical
relapse-free survival (BRFS), pelvic nodal relapse-free survival (PNRFS), distant
metastasis-free survival (DMFS), disease-specific survival (DSS) and overall
survival (OS) were calculated; analysis of prognostic variables was performed.
RESULTS: Five-year BRFS, PNRFS, DMFS, DSS and OS were, respectively, 90, 98, 96,
97 and 91%. On multivariate analysis, independent negative predictors of BRFS
were Gleason score >= 7 (HR: 3.25) and PSA nadir >= 0.08 (HR: 4.86). Pelvic nodal
relapse was not correlated to impaired outcome. CONCLUSIONS: Lymph nodal pelvic
relapse occurs in 2% of patients at 5 years and does not correlate with impaired
outcome, suggesting the lack of theoretical benefit of a prophylactic nodal
irradiation. Tumor biology and response to treatment are the main determinants of
outcome.
PMID- 29651713
TI - Correlation of listhesis on upright radiographs and central lumbar spinal canal
stenosis on supine MRI: is it possible to predict lumbar spinal canal stenosis?
AB - OBJECTIVE: To investigate whether upright radiographs can predict lumbar spinal
canal stenosis using supine lumbar magnetic resonance imaging (MRI) and to
investigate the detection performance for spondylolisthesis on upright
radiographs compared with supine MRI in patients with suspected lumbar spinal
canal stenosis (LSS). MATERIALS AND METHODS: In this retrospective study,
conventional radiographs and MR images of 143 consecutive patients with suspected
LSS (75 female, mean age 72 years) were evaluated. The presence and extent of
listhesis (median +/- interquartile range) were assessed on upright radiographs
and supine MRI of L4/5. In addition, the grade of central spinal stenosis of the
same level was evaluated on MRI according to the classification of Schizas and
correlated with the severity/grading of anterolisthesis on radiographs. RESULTS:
Anterolisthesis was detected in significantly more patients on radiographs (n =
54; 38%) compared with MRI (n = 28; 20%), p < 0.001. Pairwise comparison
demonstrated a significantly larger extent of anterolisthesis on radiographs (9
+/- 5 mm) compared with MRI (5 +/- 3 mm), p < 0.001. A positive correlation was
found regarding the extent of anterolisthesis measured on radiographs and the
grade of stenosis on MRI (r = 0.563, p < 0.001). Applying a cutoff value of >=5
mm anterolisthesis on radiographs results in a specificity of 90% and a positive
predictive value of 78% for the detection of patients with LSS, as defined by the
Schizas classification. CONCLUSION: Upright radiographs demonstrated more and
larger extents of anterolisthesis compared with supine MRI. In addition, in
patients with suspected LSS, the extent of anterolisthesis on radiographs
(particularly >=5 mm) is indicative of LSS and warrants lumbar spine MRI.
PMID- 29651714
TI - Learning-based endovascular navigation through the use of non-rigid registration
for collaborative robotic catheterization.
AB - PURPOSE: Endovascular intervention is limited by two-dimensional intraoperative
imaging and prolonged procedure times in the presence of complex anatomies.
Robotic catheter technology could offer benefits such as reduced radiation
exposure to the clinician and improved intravascular navigation. Incorporating
three-dimensional preoperative imaging into a semiautonomous robotic
catheterization platform has the potential for safer and more precise navigation.
This paper discusses a semiautonomous robotic catheter platform based on previous
work (Rafii-Tari et al., in: MICCAI2013, pp 369-377. https://doi.org/10.1007/978
3-642-40763-5_46 , 2013) by proposing a method to address anatomical variability
among aortic arches. It incorporates anatomical information in the process of
catheter trajectories optimization, hence can adapt to the scale and orientation
differences among patient-specific anatomies. METHODS: Statistical modeling is
implemented to encode the catheter motions of both proximal and distal sites
based on cannulation data obtained from a single phantom by an expert operator.
Non-rigid registration is applied to obtain a warping function to map catheter
tip trajectories into other anatomically similar but shape/scale/orientation
different models. The remapped trajectories were used to generate robot
trajectories to conduct a collaborative cannulation task under flow simulations.
Cross-validations were performed to test the performance of the non-rigid
registration. Success rates of the cannulation task executed by the robotic
platform were measured. The quality of the catheterization was also assessed
using performance metrics for manual and robotic approaches. Furthermore, the
contact forces between the instruments and the phantoms were measured and
compared for both approaches. RESULTS: The success rate for semiautomatic
cannulation is 98.1% under dry simulation and 94.4% under continuous flow
simulation. The proposed robotic approach achieved smoother catheter paths than
manual approach. The mean contact forces have been reduced by 33.3% with the
robotic approach, and 70.6% less STDEV forces were observed with the robot.
CONCLUSIONS: This work provides insights into catheter task planning and an
improved design of hands-on ergonomic catheter navigation robots.
PMID- 29651715
TI - Can tumor coverage evaluated 24 h post-radiofrequency ablation predict local
tumor progression of liver metastases?
AB - PURPOSE: To assess the predictive value for local tumor progression (LTP) of
geometrical tumor coverage using the contrast-enhanced (ce-)CT images acquired
before and within 24 h after radiofrequency (RF) ablation. METHODS: Twenty
patients (6 male and 14 female, median age 62 years) with 45 focal hypovascular
liver metastases (16 colorectal carcinoma, 3 melanoma and 1 breast carcinoma)
underwent RF ablation under CT-guidance and received a ce-PET/CT scan within 24 h
post-procedure. Pre- and post-ablation ce-CT-images were aligned using an
interactive procedure and used to verify the tumor coverage of the RF ablation.
Results were correlated to LTP as recorded during follow-up performed every 2-3
months after the intervention (mean follow-up of 110 weeks) and compared to
standard reading performed by three readers of the ce-CT images. RESULTS: Eleven
tumors (25%) showed LTP during the follow-up period. One lesion, which did not
show LTP, was excluded from analysis due to the poor quality of the alignment.
For the remaining, 29 (66%) tumors were completely covered by the ablation zone,
9 (20%) were not, and for 6 (14%) tumors the edges coincided with the edge of the
ablation zone. The sensitivity, specificity, PPV and NPV for LTP of having
incomplete tumor coverage or no apparent ablative margin versus standard reading
of ce-CT were 100, 88, 73 and 100% versus 42, 88, 58 and 82%, respectively.
CONCLUSIONS: Verifying the tumor coverage of liver metastases by an ablation zone
through alignment of pre- and early post-ablation ce-CT images has a high
predictive value for LTP.
PMID- 29651716
TI - Super Secondary Structure Consisting of a Polyproline II Helix and a beta-Turn in
Leucine Rich Repeats in Bacterial Type III Secretion System Effectors.
AB - Leucine rich repeats (LRRs) are present in over 100,000 proteins from viruses to
eukaryotes. The LRRs are 20-30 residues long and occur in tandem. LRRs form
parallel stacks of short beta-strands and then assume a super helical arrangement
called a solenoid structure. Individual LRRs are separated into highly conserved
segment (HCS) with the consensus of LxxLxLxxNxL and variable segment (VS). Eight
classes have been recognized. Bacterial LRRs are short and characterized by two
prolines in the VS; the consensus is xxLPxLPxx with Nine residues (N-subtype) and
xxLPxxLPxx with Ten residues (T-subtype). Bacterial LRRs are contained in type
III secretion system effectors such as YopM, IpaH3/9.8, SspH1/2, and SlrP from
bacteria. Some LRRs in decorin, fribromodulin, TLR8/9, and FLRT2/3 from
vertebrate also contain the motifs. In order to understand structural features of
bacterial LRRs, we performed both secondary structures assignments using four
programs-DSSP-PPII, PROSS, SEGNO, and XTLSSTR-and HELFIT analyses (calculating
helix axis, pitch, radius, residues per turn, and handedness), based on the
atomic coordinates of their crystal structures. The N-subtype VS adopts a left
handed polyproline II helix (PPII) with four, five or six residues and a type I
beta-turn at the C-terminal side. Thus, the N-subtype is characterized by a super
secondary structure consisting of a PPII and a beta-turn. In contrast, the T
subtype VS prefers two separate PPIIs with two or three and two residues. The
HELFIT analysis indicates that the type I beta-turn is a right handed helix. The
HELFIT analysis determines three unit vectors of the helix axes of PPII (P), beta
turn (B), and LRR domain (A). Three structural parameters using these three helix
axes are suggested to characterize the super secondary structure and the LRR
domain.
PMID- 29651717
TI - Alcohol Metabolic Inefficiency: Structural Characterization of Polymorphism
Induced ALDH2 Dysfunctionality and Allosteric Site Identification for Design of
Potential Wildtype Reactivators.
AB - Liver mitochondrial aldehyde dehydrogenase 2 (ALDH2) enzyme is responsible for
the rapid conversion of acetaldehyde to acetic acid. ALDH2 (E487K) polymorphism
results in an inactive allele (ALDH2*2) which cause dysfunctional acetaldehyde
metabolism. The 3D structure of an enzyme is crucial to its functionality and a
disruption in its structural integrity could result in its metabolic inefficiency
and dysfunctionality. Allosteric targeting of polymorphs could facilitate the
restoration of wildtype functionalities in ALDH2 polymorphs and serve as an
advancement in the treatment of associated diseases. Therefore, structural
insights into ALDH2*2 polymorph could reveal the varying degree of alterations
which occur at its critical domains and accounts for enzymatic dysfunctionality.
In this study, we report the structural characterization of ALDH2*2 polymorph and
its critical domains using computational tools. Our findings revealed that the
polymorph exhibited significant alterations in stability and flexibility at the
catalytic and co-enzyme-binding domain. Moreover, there was an increase in the
solvent-exposed surface residues and this indicates structural perturbations.
Analysis of the interaction network at ALDH2*2 catalytic domain revealed residual
displacement and interaction loss when compared to the wildtype thereby providing
insight into the catalytic inefficiency of the polymorph. Interestingly,
perturbations induced by ALDH2 polymorphism involves the re-orientation of
surface residues, which resulted in the formation of surface exposed pockets.
These identified pockets could be potential sites for allosteric targeting. The
findings from this study will aid the design of novel site-specific small
molecule reactivators with the propensity of restoring wildtype activities for
treatment of polymorphic ALDH2 related diseases.
PMID- 29651719
TI - Epilepsy in popular Medicine from the Classic Age to the Modern Age: a study on
elk hoof as an original treatment.
AB - European people believed that epilepsy was both a sacred and demoniac disease in
the pre- and post-Hippocratic Age, and this belief continued into the Christian
era. Epilepsy was wrapped in mystery. The present work shows an epileptic
treatment using elk (Alces alces) hoof, which was better known among Northern
European people, and explains its historical and popular origins that lead to its
importance and success within the Official Medicine in the sixteenth and
seventeenth centuries until its gradual decline as a specific treatment in the
subsequent centuries. We study authors from both Antiquity and the Modern Age.
The present work concludes by highlighting the relationship between epilepsy and
its magic-religious inheritance. It could be considered a valid example showing
how a popular treatment can earn honors in the Official Pharmacopoeia, but later
be excluded.
PMID- 29651718
TI - The clinicopathological and prognostic significance of TP53 alteration in K27M
mutated gliomas: an individual-participant data meta-analysis.
AB - This study aimed to investigate the impact of TP53 alteration on survival and
clinicopathological features of glioma patients with H3K27M mutations. An
individual-participant-data (IPD) meta-analysis was performed to investigate the
impact of TP53 alteration on survival and clinicopathological features of
patients with H3K27M mutations. Three hundred thirty-one individual records from
12 eligible glioma studies involving the H3K27M mutation were finally included in
our meta-analysis, and a pooled hazard ratio (HR) of 1.53 (95%CI, 1.10-2.11; P =
0.01) indicated that TP53 alterations were associated with a shorter overall
survival. The pooled odds ratios (ORs) indicated that TP53 alterations were
significantly associated with the age at diagnosis >= 7 years (OR = 1.97, 95%CI =
1.15-3.38, P = 0.01), the status of histone H3.3 mutations (OR = 9.15, 95%CI =
4.18-20.06, P < 0.00001), and high WHO grade histology (III + IV) (OR = 2.70,
95%CI = 1.33-5.48, P = 0.006). However, no association was found between TP53
alterations and gender or tumor location. This IPD meta-analysis suggests that
TP53 alteration is a valuable predictor for the prognosis of patients with H3K27M
mutated gliomas. TP53 alteration may be used for identifying a subset of patients
who potentially benefit from targeted reactivation of TP53 activity.
PMID- 29651720
TI - Biomarkers study in atypical dementia: proof of a diagnostic work-up.
AB - BACKGROUND: An early differentiation between Alzheimer's Disease (AD) and other
dementias is crucial for an adequate patients' management, albeit it may result
difficult for the occurrence of "atypical presentations." Current diagnostic
criteria recognize the importance of biomarkers for AD diagnosis, but still an
optimal diagnostic work-up isn't available. OBJECTIVE: Evaluate the utility and
reproducibility of biomarkers and propose an "optimal" diagnostic work-up in
atypical dementia. METHODS: (1) a retrospective selection of "atypical dementia
cases"; (2) a repetition of diagnostic assessment by two neurologists following
two different diagnostic work-ups, each consisting of multiple steps; (3) a
comparison between diagnostic accuracy and confidence reached at each step by
both neurologists and evaluation of the inter-rater agreement. RESULTS: In AD,
regardless of the undertaken diagnostic work-up, a significant gain in accuracy
was reached by both neurologists after the second step, whereas in frontotemporal
dementia (FTD), adding subsequent steps was not always sufficient to increase
significantly the baseline accuracy. A relevant increment in diagnostic
confidence was detectable after studying pathophysiological markers in AD, and
after assessing brain metabolism in FTD. The inter-rater agreement was higher at
the second step for the AD group when the pathophysiological markers were
available and for the FTD group when the results of FDG-PET were accessible.
CONCLUSIONS: In atypical cases of dementia, biomarkers significantly raise
diagnostic accuracy, confidence, and agreement. This study introduces a proof of
diagnostic work-up that combines imaging and CSF biomarkers and suggests distinct
ways to proceed on the basis of a greater diagnostic likelihood.
PMID- 29651722
TI - Correction to: Ultrasound enhanced activation of peroxydisulfate by activated
carbon fiber for decolorization of azo dye.
AB - The correct name of the 5th Author is Jiabin Chen.
PMID- 29651721
TI - The correlation between growth hormone receptor (GHR) polymorphism and
obstructive sleep apnea syndrome among the Han and Hani population in China.
AB - Obstructive sleep apnea syndrome (OSAS) is a common health problem that is
associated with abnormality in craniofacial morphology. The growth hormone
receptor (GHR) belongs to the cytokine receptor superfamily and mediates the
majority of growth hormone signaling, which, among other functions, determines
mandibular growth and development. The aim of this study was to determine if
correlations exist between single nucleotide polymorphisms (SNPs) in the GHR gene
and OSAS in the Han or Hani ethnic groups in China. A total of 274 Han subjects
(106 with OSAS and 168 without OSAS) and a total of 270 Hani subjects (64 with
OSAS and 206 without OSAS) were enrolled in our study. Genomic DNA was extracted
from peripheral blood obtained from all subjects. Genotyping was undertaken for
eight SNPs in the GHR gene (rs3756416, rs7727047, rs2910875, rs12153009,
rs2972781, rs12518414, rs4410646, and rs6451620) using PCR amplification and
Sanger sequencing. The genotype frequency of rs12518414 was associated with OSAS
in both the Han and Hani groups, and the A allele frequency was remarkably lower
in Hani OSAS patients compared with Hani controls (16.7 vs 29.9%). In addition,
the G allele frequency of the rs3756416 SNP was significantly lower in OSAS
patients compared with normal controls in the Hani ethnic group (12.5 vs 24.6%).
In a comparison between ethnic groups, genotype frequencies of four SNPs
(rs2972781, rs6451620, rs12518414, and rs7727047) differed between Han and Hani
OSAS patients, with the A allele frequency of the rs12518414 and G allele
frequency of the rs7727047 were significantly higher in the Han OSAS patients. In
conclusion, significant associations were detected between some SNPs in the GHR
gene and OSAS occurrence while others appeared to be ethnicity-dependent.
PMID- 29651723
TI - Sulfur extraction from liquid fuels using trihexyl(tetradecyl)phosphonium
tetrafluoroborate: as promising solvent.
AB - Sulfur extraction from fuel is essential to be done for environmental and
industrial point of view. Extractive desulfurization (EDS) is one of the most
promising techniques in order to achieve legislative sulfur content requirements.
Among numerous extractants and solvents, ionic liquids (ILs) are more capable due
to their desirable green solvent properties. This work demonstrated that
trihexyl(tetradecyl)phosphonium tetrafluoroborate ([THTDP]BF4) was synthesized,
characterized, and employed as extraction solvent for extraction of
dibenzothiophene (DBT), thiophene, benzothiophene, and other alkyl-substituted
derivatives of sulfur from liquid fuel. Molecular confirmation and purity of
synthesized ([THTDP]BF4) were analyzed with FTIR, Raman, NMR, EPR, UV, TG/DSC,
and XRD analyses. Also, physical properties of ([THTDP]BF4) were carried out. The
effects of extraction time, temperature, sulfur compounds, ultra-sonication, and
([THTDP]BF4) recycling/regeneration on DBT removal from liquid fuel were also
examined. DBT removal in n-dodecane was 92.6% using EDS with mass ratio (1:1) in
30 min at 30 degrees C under the mild reaction conditions. ([THTDP]BF4) could be
reused up to ten cycles for sulfur extraction and regenerated for few more cycles
with good DBT removal ability. Also, the sulfur extraction from real fuels and
multistage extraction performance were tested. The experimental data and results
provided in this article discover the remarkable understandings of
tetrafluoroborate-based phosphonium ionic liquids as promising solvent for EDS.
PMID- 29651724
TI - Serum folate and cobalamin levels and urinary dimethylarsinic acid in US children
and adults.
AB - Nutritional status could affect arsenic metabolism and toxicity in the general
population chronically exposed to low levels of inorganic arsenic. In this study,
we examined the association of serum folate and cobalamin with urinary
concentrations of dimethylarsinic acid (DMA), the most abundant metabolite of
inorganic arsenic measured in urine, in children and adults who participated in
the 2003-2006 US National Health and Nutrition Examination Surveys. A total of
1161 children (aged 6-19 years) and 1938 adults (aged 20-85 years) were analyzed
for the association using multivariate general linear models, adjusting for
potential confounders. We observed a positive association between serum levels of
folate and cobalamin and creatinine-corrected urinary concentrations of DMA in
both children and adults. Furthermore, serum levels of folate and cobalamin were
inversely associated with homocysteine (Hcy). These results suggest that dietary
intake of folate and cobalamin may exhibit protective functions against arsenic
toxicity by increasing arsenic metabolism to the less toxic metabolite DMA and
decreasing serum levels of Hcy.
PMID- 29651725
TI - The heterogeneous effects of urbanization and income inequality on CO2 emissions
in BRICS economies: evidence from panel quantile regression.
AB - This paper empirically examines the effects of urbanization and income inequality
on CO2 emissions in the BRICS economies (i.e., Brazil, Russia, India, China, and
South Africa) during the periods 1994-2013. The method we used is the panel
quantile regression, which takes into account the unobserved individual
heterogeneity and distributional heterogeneity. Our empirical results indicate
that urbanization has a significant and negative impact on carbon emissions,
except in the 80th, 90th, and 95th quantiles. We also quantitatively investigate
the direct and indirect effect of urbanization on carbon emissions, and the
results show that we may underestimate urbanization's effect on carbon emissions
if we ignore its indirect effect. In addition, in middle- and high-emission
countries, income inequality has a significant and positive impact on carbon
emissions. The results of our study indicate that in the BRICS economies, there
is an inverted U-shaped environmental Kuznets curve (EKC) between the GDP per
capita and carbon emissions. The conclusions of this study have important policy
implications for policymakers. Policymakers should try to narrow the income gap
between the rich and the poor to improve environmental quality; the BRICS
economies can speed up urbanization to reduce carbon emissions, but they must
improve energy efficiency and use clean energy to the greatest extent in the
process.
PMID- 29651726
TI - Biosorption of strontium ions from simulated high-level liquid waste by living
Saccharomyces cerevisiae.
AB - In this study, the Saccharomyces cerevisiae (S. cerevisiae) was modified by gamma
ray. The RNA-seq results reflect that the high gamma-ray energies could change
some gene fragments, such as deletion, recombination, and mutation. The
biosorption of strontium ions (Sr2+) to different types of S. cerevisiae (S.
cerevisiae (K-0), modified S. cerevisiae (Y-7), and non-living S. cerevisiae (H
K)) from the simulated high-level liquid waste (S-HLLW) was assessed at different
experimental conditions. The sorption experimental results show that, under an
appropriate condition, gamma-ray radiation can enhance its biosorption capacity
slightly of Sr2+ to S. cerevisiae. The maximum metal uptake and efficiency of Y-7
under S-HLLW were 11.656 mg g-1 and 37.91% at 32 h (wet weight), respectively.
They decreased to 9.46 mg g-1 and 30.76% under radiation conditions. SEM-EDX and
TEM analysis indicates that Sr2+ was adsorbed both on the cellular surface and
the inner parts of the cells. Our experimental results fit well to the Langmuir
and Freundlich model isotherms (r2 > 0.94), and the maximum biosorption capacity
values reached qmax > 24.74 mg g-1 at 32 degrees C. Negative values of DeltaG0
and positive values of DeltaH0 were observed, indicating the spontaneous and
endothermic nature of Sr2+ biosorption on modified S. cerevisiae. The biosorption
kinetics follow a pseudo-second-order equation at 32 degrees C (r2 > 0.94). The
desorption efficiency of Sr2+ adsorbed onto Y-7 was 7.65 +/- 0.52%, 76.51 +/-
2.13%, and 65.62 +/- 2.42% by deionized water, 1 M HCl, and 0.1 M EDTA-Na,
respectively. However, they were lower than H-K (18.82, 83.32, and 73.32%). Our
findings demonstrate that living S. cerevisiae (Y-7) is a promising sorbent
material for the treatment of radioactive process streams.
PMID- 29651727
TI - Biological control of Meloidogyne javanica on tomato with Dazitol(r) and soil
solarization.
AB - Pot and greenhouse trials were conducted for the management of root-knot
nematode, Meloidogyne javanica, infestation in tomato. Growth parameters, gall
index, soil, and root nematode populations were measured to assess the effect of
a novel bio-pesticide (Dazitol(r)), made from mustard oil and oleoresin of
Capsicum, on plant growth and nematode reproduction. Data generated within the
pot experiment showed that the tested bio-pesticide did not improve plant growth,
but it reduced significantly root-knot nematode damage resulting in a decrease in
gall index and root (91%) and soil (62%) population of M. javanica compared with
untreated plants. The greenhouse experiment showed that Mocap(r) and Dazitol(r)
decreased nematode incidence significantly (P < 0.05) on tomato. The result of
this study suggested that the best nematode control was obtained by combining
soil solarization with chemical or botanical nematicides as an integrated pest
management approach.
PMID- 29651728
TI - The ecological competition and grazing reverse the effects of sulfamethoxazole on
plankton: a case study on characterizing community-level effect.
AB - The toxic effects of sulfamethoxazole (SMX) on densities of two algae, Platymonas
helgolandica var. tsingtaoensis, Isochrysis galbana, and of a rotifer, Brachionus
plicatilis, were tested by the population and community experiments. Two
endpoints, the carrying capacity and the densities array of community in steady
state (DACS), were used to characterize the toxic effects at a population level
and a community one, respectively. The results showed that the carrying capacity
of P. helgolandica var. tsingtaoensis and B. plicatilis did not decline
significantly in population test when the concentration of SMX was lower than 6.0
mg L-1 and 12.0 mg L-1, respectively. However, I. galbana was sensitive to SMX
and had presented toxic effect at 3.0 mg L-1. By extrapolation of toxic effect at
a population level to a community one, a derived community-NOEC was 3.0 mg L-1,
representing an inference from data of toxic effects at population level. In
community experiment, when the customized community was in steady state, the
density of I. galbana increased as a whole with SMX concentration in the range of
tested concentration (0-144 mg L-1), while that of P. helgolandica var.
tsingtaoensis assumed the trend of a reversed "v" in this range. Only the density
of B. plicatilis decreased with SMX concentration. With the DACS as endpoint, a
NOEC for the customized community was determined to be 6.0 mg L-1. This indicates
that interspecific interactions can reverse the toxic effects of SMX on
phytoplankton. The DACS was reliable and stable, serving as the endpoints in
assessment of the effects of the pollutants on the ecosystems.
PMID- 29651729
TI - Stochastic convergence of renewable energy consumption in OECD countries: a
fractional integration approach.
AB - In this article, we have examined the hypothesis of convergence of renewable
energy consumption in 27 OECD countries. However, instead of relying on classical
techniques, which are based on the dichotomy between stationarity I(0) and
nonstationarity I(1), we consider a more flexible approach based on fractional
integration. We employ both parametric and semiparametric techniques. Using
parametric methods, evidence of convergence is found in the cases of Mexico,
Switzerland and Sweden along with the USA, Portugal, the Czech Republic, South
Korea and Spain, and employing semiparametric approaches, we found evidence of
convergence in all these eight countries along with Australia, France, Japan,
Greece, Italy and Poland. For the remaining 13 countries, even though the orders
of integration of the series are smaller than one in all cases except Germany,
the confidence intervals are so wide that we cannot reject the hypothesis of unit
roots thus not finding support for the hypothesis of convergence.
PMID- 29651730
TI - Reductive decolorization of azo dyes via in situ generation of green tea extract
iron chelate.
AB - In this study, rapid decolorization of azo dyes was achieved by in situ-generated
green tea extract-iron (GTE-Fe) chelate for the first time. When changing
reaction conditions from the aerobic condition to the anaerobic condition, the
decolorization efficiencies of two azo dyes, i.e., acid orange 7 (AO7) and acid
black 1 (AB1), increased from 46.38 and 83.17 to 90.13 and 95.37%, respectively.
The recalcitrant AO7 was then selected as the targeting pollutant in subsequent
optimization and mechanism studies. Experimental evidences showed that the
initial concentrations of AO7, Fe(III), and GTE are the key factors to optimize
the decolorization efficiency. Further characterization studies by spectroscopic
analysis, including FESEM, FTIR, and XPS, suggested that the major mechanism of
AO7 decolorization is the nucleophilic attack of the oxygen in green tea
polyphenols (GTP), and this attack could be facilitated by the organometal
chelation. This study provided an efficient and environmental friendly strategy
to decolorize azo dyes via in situ generation of the GTE-Fe chelate, as well as
its mechanistic insights, shedding lights on in situ remediation of azo dye
pollution. Graphical abstract ?.
PMID- 29651732
TI - Maternal Cadmium Levels During Pregnancy and the Relationship with Preeclampsia
and Fetal Biometric Parameters.
AB - Preeclampsia, which is caused by multiple factors, still remains one of the most
serious complications of pregnancy. This study was designed to determine cadmium
levels in women with preeclampsia compared to those of normotensive women. In
this case-control study, maternal blood, umbilical cord blood, and placental
cadmium levels were measured by an inductively coupled plasma mass spectrometry
system in 51 women presenting consecutively with preeclampsia and 51 normotensive
pregnant women. Groups were matched for maternal age, parity, and gestational
age. Birth outcomes were recorded, such as gestational age at delivery, birth
weight, and Apgar score. Median (interquartile range [IQR]) blood cadmium
concentration was 1.21 MUg/L (0.76-1.84 MUg/L) and 1.09 MUg/L (0.72-1.31 MUg/L)
in women with preeclampsia and normotensive, respectively; values for placental
cadmium levels of women with preeclampsia and normotensive were 3.61 MUg/kg (2.19
4.37 MUg/kg) and 4.28 MUg/kg (3.06-5.71 MUg/kg), respectively. We observed a
statistically significant increase in blood and placental cadmium levels in women
with preeclampsia compared to healthy pregnant women. After adjusting for pre
pregnancy body mass index, maternal age, parity, gestational age at sample
collection, and maternal calcium and magnesium levels, the odds ratio of having
preeclampsia in the high tertile was markedly increased (odds ratio, 7.83 [95%
CI, 1.64-37.26]) compared with the low tertile. Interestingly, there was no
difference in the cadmium level in umbilical cord blood between the groups.
Within the preeclamptic group, higher cadmium status was significantly associated
with decreased birth weight. Our study suggested that elevated cadmium level in
the maternal circulation could potentially increase the risk of preeclampsia. The
results also demonstrate that higher cadmium status may contribute to fetal
growth restriction in preeclamptic patients.
PMID- 29651731
TI - Identification of Sialic Acid Linkages on Intact Glycopeptides via Differential
Chemical Modification Using IntactGIG-HILIC.
AB - Mass spectrometric analysis of intact glycopeptides can reveal detailed
information about glycosite, glycan structural features, and their heterogeneity.
Sialyl glycopeptides can be positively, negatively, or neutrally charged
depending on pH of their buffer solution and ionization conditions. To detect
sialoglycopeptides, a negative-ion mode mass spectrometry may be applied with a
minimal loss of sialic acids, although the positively charged or neutral
glycopeptides may be excluded. Alternatively, the sialyl glycopeptides can be
identified using positive-ion mode analysis by doping a high concentration of
sodium salts to the analytes. Although manipulation of unmodified
sialoglycopeptides can be useful for analysis of samples, less than optimal
ionization, facile loss of sialyl and unfavorable ionization of accompanying non
sialyl peptides make such strategies suboptimal. Currently available chemical
derivatization methods, while stabilizing for sialic acid, mask sialic acid
linkage configuration. Here, we report the development of a novel approach to
neutralize sialic acids via sequentially chemical modification that also reveals
their linkage configuration, often an important determinant in biological
function. This method utilizes several components to facilitate glycopeptide
identification. These include the following: solid phase derivatization, enhanced
ionization of sialoglycopeptides, differentiation of sialic acid linkage, and
enrichment of the modified glycopeptides by hydrophilic interaction liquid
chromatography. This technology can be used as a tool for quantitative analysis
of protein sialylation in diseases with determination of sialic acid linkage
configuration. Graphical Abstract ?.
PMID- 29651733
TI - Interaction of Zn with Losartan. Activation of Intrinsic Apoptotic Signaling
Pathway in Lung Cancer Cells and Effects on Alkaline and Acid Phosphatases.
AB - A new losartan [2-butyl-5-chloro-3-[[4-[2-(2H-tetrazol-5
yl)phenyl]phenyl]methyl]imidazol-4-yl]methanol zinc(II) complex [Zn(Los)Cl], was
synthesized and characterized. The crystal structure was determined by x-ray
diffraction methods. When aqueous solutions of the ligand and the metal were
mixed, the known and more soluble powder [Zn(Los)2].3H2O (ZnLos) complex has been
obtained. The interactions with phosphatases showed a concerted mechanism
displayed by the Zn ions and ZnLos up to 500 MUM concentration: a decrease of the
acid phosphatase (AcP) associated with an increase in the alkaline phosphatase
(ALP) activities. The complex and ZnSO4 showed a cytotoxic behavior on human lung
A549 cancer cell line at concentrations higher than 75 MUM with reactive oxygen
species (ROS) generation and GSH (and GSH/GSSG ratio) depletion. Apoptotic cells
were observed using terminal deoxynucleotidyl transferase dUTP nick-end labeling
(TUNEL) method, a mechanism accompanied by upregulation of BAX protein,
downregulation of Bcl-XL and release of caspase-3. The BAX/Bcl-XL ratio was found
to be significantly higher in cells exposure to ZnLos than cells treated with
ZnSO4, in agreement with the higher apoptotic percentage of cells found for the
complex. Cell death was found to be produced by apoptosis and no necrosis has
been observed. On the contrary, losartan exerted low effects on phosphatases,
produced some reduction of cancer cell viability (concentrations > 250 MUM,
number of apoptotic cells similar to the basal) with low ROS depletion, without
alteration of the GSH/GSSG and low BAX/Bcl-XL ratios. In the MRC-5, normal lung
fibroblasts cell line only ZnSO4 at concentrations higher than 200 MUM displays
cytotoxic effects. Graphical abstract Interaction of Zn with losartan. Activation
of intrinsic apoptotic signaling pathway in lung cancer cells and effects on
alkaline and acid phosphatases.
PMID- 29651734
TI - Palivizumab use in infants with Down syndrome-report from the German SynagisTM
Registry 2009-2016.
AB - : Infants with Down syndrome (DS) face an increased risk of respiratory tract
infections. Recent studies describe DS as independent risk factor for a
complicated clinical course in infants with respiratory syncytial virus (RSV)
infection. The prospective observational German SynagisTM Registry comprises data
from 249 children below 25 months of age with DS and palivizumab prophylaxis 2009
2016 (1191 administrations; mean 4.8 per patient and season). The median
gestational age and the birth weight in patients without and with DS were 31
versus 37 weeks (P < 0.001) and 1590 versus 2750 g, respectively (P < 0.001).
Patients with DS significantly more often had congenital heart disease (CHD),
siblings in kindergarten or school, treatment with oxygen at home,
immunodeficiency, and neuromuscular impairment. The RSV-related hospitalization
rate in patients with DS was 1.20%; the hospitalization rate in patients without
DS was 0.71%. CONCLUSION: Data from 249 children with DS receiving palivizumab
prophylaxis in seven consecutive RSV seasons (2009-2016) in Germany reveal
important differences between patients with and without DS concerning the main
indication for palivizumab use and additional risk factors. Bearing in mind the
limitations of an uncontrolled postmarketing observational study, the results
confirm the field effectiveness of palivizumab prophylaxis in this special
population. What is Known: * Recent studies describe the Down syndrome as
independent risk factor for a complicated clinical course in infants with RSV
infection. What is New: * Compared with other infants receiving palivizumab
prophylaxis, patients with Down syndrome significantly more often had congenital
heart disease, siblings in kindergarten or school, treatment with oxygen at home,
immunodeficiency, and neuromuscular impairment. * In infants with palivizumab
prophylaxis breakthrough, RSV-related hospitalization rates were not
significantly higher in those with Down syndrome.
PMID- 29651735
TI - Coroners and PTSD: Treatment Implications.
AB - Experimental studies have documented the development of posttraumatic stress
disorder (PTSD) in first responders. These studies have routinely included
police, firefighters, and paramedics. However, there is another group of first
responders that are at risk for PTSD but that has received less research
attention. This group is comprised of coroners. This paper reviewed the published
data concerning coroners and PTSD and outlined various treatments for addressing
coronial PTSD. The findings, the differing treatments, and a detailed
methodological inquiry are presented. The need for a conceptual framework for the
various other professional groups responding to critical incidents and a crisis
intervention approach to address the psychological needs of both family survivors
and coronial personnel are noted.
PMID- 29651736
TI - Nuclear cardiology in the literature: A selection of recent, original research
papers.
PMID- 29651737
TI - Balancing statistical significance and clinical relevance.
PMID- 29651738
TI - Chronic total occlusion without collateral blood flow does not exclude myocardial
viability and subsequent recovery after revascularization.
PMID- 29651739
TI - Prognostic utility of splenic response ratio in dipyridamole PET myocardial
perfusion imaging.
AB - BACKGROUND: Cardiac magnetic resonance perfusion studies with adenosine stress
have shown that splenic response can identify patients with inadequate
pharmacologic stress. We investigate the incremental prognostic impact of splenic
response ratio (SRR) in patients with normal Rubidium (Rb)-82 PET myocardial
perfusion imaging (MPI). METHODS: Consecutive patients undergoing dipyridamole Rb
82 PET MPI for the evaluation of coronary artery disease were screened. Spleen
and liver Rb-82 activity was measured and the SRR was calculated: SRR = (Spleen
stress/Liver stress)/(Spleen rest/Liver rest). Major adverse cardiac events
(MACE) were determined at 1 year of follow-up in patients with normal summed
stress score and normal summed difference score. RESULTS: Of the 839 patients
screened, the spleen was visualized in 703 (84%) of scans. There was
significantly higher MACE observed in splenic non-responders vs splenic
responders in both the normal SSS (7.8% vs 2.9%, P = .027) and the normal SDS
groups (7.4% vs 2.2%, P = .014). In multivariate analysis in patients with normal
SDS, splenic response was a significant, independent predictor of MACE (HR 2.97,
95% CI 1.10 to 8.04, P = .033). CONCLUSIONS: SRR is a novel imaging metric to
identify patients with sub-maximal vasodilator stress and an incremental
prognostic marker in patients with normal SDS and SSS (Clinical Trial
Registration: https://clinicaltrials.gov/ct2/show/NCT01128023 ).
PMID- 29651741
TI - Flash glucose monitoring: objective, not self-referential, outcomes are needed.
PMID- 29651740
TI - The Expanding Role of Ketamine in the Emergency Department.
AB - Patients frequently come to the emergency department for pain. For decades,
ketamine has been used in the emergency department for procedural sedation but is
now receiving attention as a potential alternative to opioids because of its
unique analgesic effects. Additionally, ketamine's dissociative properties have
made it a popular choice for sedating profoundly agitated patients. In this
narrative review, these new roles for ketamine in the emergency department are
discussed.
PMID- 29651742
TI - A scientific approach to the reform of a medical curriculum : A personal account
of the Vienna experience.
AB - Planning and implementing a new curriculum at the Medical Faculty of the
University of Vienna was a bold attempt to use a scientific approach. A
curriculum of sequentially presented and departmentally controlled subject areas
using oral examinations was replaced with horizontally and vertically integrated
organ/function modules. The reform also introduced integrated written year-end
examinations, a required research thesis, stronger clinical orientation starting
already in the 1st semester and more elective components. The starting point,
preparatory work, the legal framework, as well as the formal planning process
from January 1998 until October 2001 are described and explained.
PMID- 29651743
TI - Polypharmacy in palliative care-COPD and multimorbidity : A case report.
AB - This is the case report of an 80-year-old woman with advanced chronic obstructive
pulmonary disease (COPD), multimorbidity and frailty. Despite regular critical re
evaluation of on-going medication, a significant reduction of prescribed
medication was not achieved up until the patient's terminal phase, and this may
have contributed to several episodes of delirium. It was particularly difficult
to reduce the number of prescribed drugs due to cardiovascular, endocrinologic,
orthopaedic, neurologic, psychiatric and pulmonary comorbidities. Probable drug
drug interactions and side effects were repeatedly observed. This case report
aims to describe a dilemma frequently encountered in the care of patients with
progressed pulmonary disease in the context of frailty and multimorbidity.
PMID- 29651744
TI - Prospects of chimeric antigen receptor T cell therapy in ovarian cancer.
AB - Despite advances in various chemotherapy regimens, current therapeutic options
are limited for ovarian cancer patients. Immunotherapy provides a promising and
novel treatment option for ovarian cancer. Recently, chimeric antigen receptor
(CAR) T cell therapy has shown promising results in hematological tumors and
current research is going on in various solid tumors like ovarian cancer. CAR T
cells are genetically engineered T cells with major histocompatibility complex
independent, tumor-specific, immune-mediated cytolytic actions against cancer
cells. Initial studies of CAR T cell therapy have shown promising results in
ovarian cancer, but there are some obstacles like impaired T cell trafficking,
lack of antigenic targets, cytokine release syndrome and most important
immunosuppressive tumor microenvironment. Optimization of design, improving tumor
microenvironment and combinations with other therapies may help us in improving
CAR T cell efficacy. In this review article, we highlight the current knowledge
regarding CAR T cell therapy in ovarian cancer. We have discussed basic
functioning of CAR T cells, their rationale and clinical outcome in ovarian
cancer with limitations.
PMID- 29651745
TI - Correlations between age, functional status, and the senescence-associated
proteins HMGB2 and p16INK4a.
AB - Cellular senescence is a central component of the aging process. This cellular
response has been found to be induced by multiple forms of molecular damage and
senescent cells increase in number with age in all tissues examined to date. We
have examined the correlation with age of two key proteins involved in the
senescence program, p16INK4a and HMGB2. These proteins are involved in cell cycle
arrest and chromatin remodeling during senescence. Circulating levels of these
markers increases with age and correlates with functional status. The levels of
HMGB2 appear to be significantly correlated with functional status, whereas
p16INK4a levels are more weakly associated. Interestingly, there is a strong
correlation between the two proteins independent of age. In particular, a single
high-functioning individual over 90 years of age displays a disproportionately
low level of HGMB2. The results suggest that with improved testing methodology,
it may be possible to monitor circulating protein markers of senescence in human
populations.
PMID- 29651746
TI - Human Neural Stem/Progenitor Cells Derived From Epileptic Human Brain in a Self
Assembling Peptide Nanoscaffold Improve Traumatic Brain Injury in Rats.
AB - Traumatic brain injury (TBI) is a disruption in the brain functions following a
head trauma. Cell therapy may provide a promising treatment for TBI. Among
different cell types, human neural stem cells cultured in self-assembling peptide
scaffolds have been suggested as a potential novel method for cell replacement
treatment after TBI. In the present study, we accessed the effects of human
neural stem/progenitor cells (hNS/PCs) derived from epileptic human brain and
human adipose-derived stromal/stem cells (hADSCs) seeded in PuraMatrix hydrogel
(PM) on brain function after TBI in an animal model of brain injury. hNS/PCs were
isolated from patients with medically intractable epilepsy undergone epilepsy
surgery. hNS/PCs and hADSCs have the potential for proliferation and
differentiation into both neuronal and glial lineages. Assessment of the growth
characteristics of hNS/PCs and hADSCs revealed that the hNS/PCs doubling time was
significantly longer and the growth rate was lower than hADSCs. Transplantation
of hNS/PCs and hADSCs seeded in PM improved functional recovery, decreased lesion
volume, inhibited neuroinflammation, and reduced the reactive gliosis at the
injury site. The data suggest the transplantation of hNS/PCs or hADSCs cultured
in PM as a promising treatment option for cell replacement therapy in TBI.
PMID- 29651748
TI - Improved Reperfusion and Vasculoprotection by the Poly(ADP-Ribose)Polymerase
Inhibitor PJ34 After Stroke and Thrombolysis in Mice.
AB - Benefits from thrombolysis with recombinant tissue plasminogen activator (rt-PA)
after ischemic stroke remain limited due to a narrow therapeutic window, low
reperfusion rates, and increased risk of hemorrhagic transformations (HT).
Experimental data showed that rt-PA enhances the post-ischemic activation of
poly(ADP-ribose)polymerase (PARP) which in turn contributes to blood-brain
barrier injury. The aim of the present study was to evaluate whether PJ34, a
potent PARP inhibitor, improves poor reperfusion induced by delayed rt-PA
administration, exerts vasculoprotective effects, and finally increases the
therapeutic window of rt-PA. Stroke was induced by thrombin injection (0.75 UI in
1 MUl) in the left middle cerebral artery (MCA) of male Swiss mice.
Administration of rt-PA (0.9 mg kg-1) or saline was delayed for 4 h after
ischemia onset. Saline or PJ34 (3 mg kg-1) was given intraperitoneally twice,
just after thrombin injection and 3 h later, or once, 3 h after ischemia onset.
Reperfusion was evaluated by laser Doppler, vascular inflammation by
immunohistochemistry of vascular cell adhesion molecule-1 (VCAM-1) expression,
and vasospasm by morphometric measurement of the MCA. Edema, cortical lesion, and
sensorimotor deficit were evaluated. Treatment with PJ34 improved rt-PA-induced
reperfusion and promoted vascular protection including reduction in vascular
inflammation (decrease in VCAM-1 expression), HT, and MCA vasospasm.
Additionally, the combined treatment significantly reduced brain edema, cortical
lesion, and sensorimotor deficit. In conclusion, the combination of the PARP
inhibitor PJ34 with rt-PA after cerebral ischemia may be of particular interest
in order to improve thrombolysis with an extended therapeutic window.
PMID- 29651747
TI - Tauroursodeoxycholic Acid Improves Motor Symptoms in a Mouse Model of Parkinson's
Disease.
AB - Parkinson's disease (PD) is characterized by severe motor symptoms, and currently
there is no treatment that retards disease progression or reverses damage prior
to the time of clinical diagnosis. Tauroursodeoxycholic acid (TUDCA) is
neuroprotective in the 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) mouse
model of PD; however, its effect in PD motor symptoms has never been addressed.
In the present work, an extensive behavior analysis was performed to better
characterize the MPTP model of PD and to evaluate the effects of TUDCA in the
prevention/improvement of mice phenotype. MPTP induced significant alterations in
general motor performance paradigms, including increased latency in the motor
swimming, adhesive removal and pole tests, as well as altered gait, foot
dragging, and tremors. TUDCA administration, either before or after MPTP,
significantly reduced the swimming latency, improved gait quality, and decreased
foot dragging. Importantly, TUDCA was also effective in the prevention of typical
parkinsonian symptoms such as spontaneous activity, ability to initiate movement
and tremors. Accordingly, TUDCA prevented MPTP-induced decrease of dopaminergic
fibers and ATP levels, mitochondrial dysfunction and neuroinflammation. Overall,
MPTP-injected mice presented motor symptoms that are aggravated throughout time,
resembling human parkinsonism, whereas PD motor symptoms were absent or mild in
TUDCA-treated animals, and no aggravation was observed in any parameter. The
thorough demonstration of improvement of PD symptoms together with the
demonstration of the pathways triggered by TUDCA supports a subsequent clinical
trial in humans and future validation of the application of this bile acid in PD.
PMID- 29651750
TI - Endoscopic transorbital surgery for spheno-orbital lesions: how I do it.
AB - BACKGROUND: The endoscopic transorbital approach has been recently proposed for
the surgical management of spheno-orbital lesions as an alternative to craniotomy
surgeries. METHOD: We analyze the technical nuances and what we have changed as
our experience increased after the first operations performed for 12 different
spheno-orbital lesions. CONCLUSION: The endoscopic transorbital approach is
useful for the treatment of selected spheno-orbital lesions and could represent
an interesting option in experienced hands. More studies are needed to fully
understand its potentialities and limitations.
PMID- 29651749
TI - Medium-chain triglycerides supplement therapy with a low-carbohydrate formula can
supply energy and enhance ammonia detoxification in the hepatocytes of patients
with adult-onset type II citrullinemia.
AB - Citrin, encoded by SLC25A13, constitutes the malate-aspartate shuttle, the main
NADH-shuttle in the liver. Citrin deficiency causes neonatal intrahepatic
cholestasis (NICCD) and adult-onset type II citrullinemia (CTLN2). Citrin
deficiency is predicted to impair hepatic glycolysis and de novo lipogenesis,
resulting in hepatic energy deficit. Secondary decrease in hepatic
argininosuccinate synthetase (ASS1) expression has been considered a cause of
hyperammonemia in CTLN2. We previously reported that medium-chain triglyceride
(MCT) supplement therapy with a low-carbohydrate formula was effective in CTLN2
to prevent a relapse of hyperammonemic encephalopathy. We present the therapy for
six CTLN2 patients. All the patients' general condition steadily improved and
five patients with hyperammonemic encephalopathy recovered from unconsciousness
in a few days. Before the treatment, plasma glutamine levels did not increase
over the normal range and rather decreased to lower than the normal range in some
patients. The treatment promptly decreased the blood ammonia level, which was
accompanied by a decrease in plasma citrulline levels and an increase in plasma
glutamine levels. These findings indicated that hyperammonemia was not only
caused by the impairment of ureagenesis at ASS1 step, but was also associated
with an impairment of glutamine synthetase (GS) ammonia-detoxification system in
the hepatocytes. There was no decrease in the GS expressing hepatocytes. MCT
supplement with a low-carbohydrate formula can supply the energy and/or
substrates for ASS1 and GS, and enhance ammonia detoxification in hepatocytes.
Histological improvement in the hepatic steatosis and ASS1-expression was also
observed in a patient after long-term treatment.
PMID- 29651751
TI - Usefulness of venous catheter as an intraluminal stent for end-to-end venous
anastomosis.
AB - BACKGROUND: Venous anastomosis is one of the most difficult techniques in
vascular neurosurgery. METHOD: We present a simple technique of end-to-end venous
anastomosis for reconstruction of accidentally injured vein. A venous catheter
was used for the stent during the end-to-end anastomosis. RESULTS: The venous
catheter as the stent facilitated confirmation of the ostium of the vein. The
venous end-to-end anastomosis was successfully performed. CONCLUSIONS: Accidental
venous injury can be reconstructed with the present simple technique.
PMID- 29651752
TI - On the limits of statistical learning: Intertrial contextual cueing is confined
to temporally close contingencies.
AB - Since the seminal study by Chun and Jiang (Cognitive Psychology, 36, 28-71,
1998), a large body of research based on the contextual-cueing paradigm has shown
that the cognitive system is capable of extracting statistical contingencies from
visual environments. Most of these studies have focused on how individuals learn
regularities found within an intratrial temporal window: A context predicts the
target position within a given trial. However, Ono, Jiang, and Kawahara (Journal
of Experimental Psychology, 31, 703-712, 2005) provided evidence of an intertrial
implicit-learning effect when a distractor configuration in preceding trials N -
1 predicted the target location in trials N. The aim of the present study was to
gain further insight into this effect by examining whether it occurs when
predictive relationships are impeded by interfering task-relevant noise
(Experiments 2 and 3) or by a long delay (Experiments 1, 4, and 5). Our results
replicated the intertrial contextual-cueing effect, which occurred in the
condition of temporally close contingencies. However, there was no evidence of
integration across long-range spatiotemporal contingencies, suggesting a temporal
limitation of statistical learning.
PMID- 29651753
TI - Numerical distance effect size is a poor metric of approximate number system
acuity.
AB - Individual differences in the ability to compare and evaluate nonsymbolic
numerical magnitudes-approximate number system (ANS) acuity-are emerging as an
important predictor in many research areas. Unfortunately, recent empirical
studies have called into question whether a historically common ANS-acuity metric
the size of the numerical distance effect (NDE size)-is an effective measure of
ANS acuity. NDE size has been shown to frequently yield divergent results from
other ANS-acuity metrics. Given these concerns and the measure's past popularity,
it behooves us to question whether the use of NDE size as an ANS-acuity metric is
theoretically supported. This study seeks to address this gap in the literature
by using modeling to test the basic assumption underpinning use of NDE size as an
ANS-acuity metric: that larger NDE size indicates poorer ANS acuity. This
assumption did not hold up under test. Results demonstrate that the theoretically
ideal relationship between NDE size and ANS acuity is not linear, but rather
resembles an inverted J-shaped distribution, with the inflection points varying
based on precise NDE task methodology. Thus, depending on specific methodology
and the distribution of ANS acuity in the tested population, positive, negative,
or null correlations between NDE size and ANS acuity could be predicted.
Moreover, peak NDE sizes would be found for near-average ANS acuities on common
NDE tasks. This indicates that NDE size has limited and inconsistent utility as
an ANS-acuity metric. Past results should be interpreted on a case-by-case basis,
considering both specifics of the NDE task and expected ANS acuity of the sampled
population.
PMID- 29651754
TI - Learning efficient visual search for stimuli containing diagnostic spatial
configurations and color-shape conjunctions.
AB - Visual search is often slow and difficult for complex stimuli such as feature
conjunctions. Search efficiency, however, can improve with training. Search for
stimuli that can be identified by the spatial configuration of two elements
(e.g., the relative position of two colored shapes) improves dramatically within
a few hundred trials of practice. Several recent imaging studies have identified
neural correlates of this learning, but it remains unclear what stimulus
properties participants learn to use to search efficiently. Influential models,
such as reverse hierarchy theory, propose two major possibilities: learning to
use information contained in low-level image statistics (e.g., single features at
particular retinotopic locations) or in high-level characteristics (e.g., feature
conjunctions) of the task-relevant stimuli. In a series of experiments, we tested
these two hypotheses, which make different predictions about the effect of
various stimulus manipulations after training. We find relatively small effects
of manipulating low-level properties of the stimuli (e.g., changing their
retinotopic location) and some conjunctive properties (e.g., color-position),
whereas the effects of manipulating other conjunctive properties (e.g., color
shape) are larger. Overall, the findings suggest conjunction learning involving
such stimuli might be an emergent phenomenon that reflects multiple different
learning processes, each of which capitalizes on different types of information
contained in the stimuli. We also show that both targets and distractors are
learned, and that reversing learned target and distractor identities impairs
performance. This suggests that participants do not merely learn to discriminate
target and distractor stimuli, they also learn stimulus identity mappings that
contribute to performance improvements.
PMID- 29651755
TI - Sensitivity to stimulus similarity is associated with greater sustained attention
ability.
AB - Sustained attention is critical for tasks where perceptual information must be
continuously processed, like reading or driving; however, the cognitive processes
underlying sustained attention remain incompletely characterized. In the
experiments that follow, we explore the relationship between sustaining attention
and the contents and maintenance of task-relevant features in an attentional
template. Specifically, we administered the gradual onset continuous performance
task (gradCPT), a sensitive measure of sustained attention, to a large web-based
sample (N>20,000) and a smaller laboratory sample for validation and extension.
The gradCPT requires participants to respond to most stimuli (city scenes - 90 %)
and withhold to rare target images (mountain scenes - 10 %). By using stimulus
similarity to probe the representational content of task-relevant features
assuming either exemplar- or category-based feature matching-we predicted that
RTs for city stimuli that were more "mountain-like" would be slower and "city
like" mountain stimuli would elicit more erroneous presses. We found that
exemplar-based target-nontarget (T-N) similarity predicted both RTs and erroneous
button presses, suggesting a stimulus-specific feature matching process was
adopted. Importantly, individual differences in the degree of sensitivity to
these similarity measures correlated with conventional measures of attentional
ability on the gradCPT as well as another CPT that is perceptually less
demanding. In other words, individuals with greater sustained attention ability
(assessed by two tasks) were more likely to be influenced by stimulus similarity
on the gradCPT. These results suggest that sustained attention facilitates the
construction and maintenance of an attentional template that is optimal for a
given task.
PMID- 29651756
TI - Aquatic Training in Upright Position as an Alternative to Improve Blood Pressure
in Adults and Elderly: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Meta-analyses have shown that land training (LT) reduces blood
pressure; however, it is not known whether aquatic training (AT) promotes this
same effect. OBJECTIVE: The aim was to conduct a meta-analysis on the effects of
AT on systolic blood pressure (SBP) and diastolic blood pressure (DBP) in adults
and elderly and compare them to those of LT and no training [control group (CG)].
DATA SOURCES: Embase, PubMed, Cochrane and Scopus were searched up to May 2017.
STUDY ELIGIBILITY CRITERIA: Studies that evaluated the effect of upright AT
(i.e., AT performed in upright position) on the blood pressure of adult
individuals and the elderly who did not present with cardiovascular disease
(other than hypertension) were included. DATA ANALYSIS: Two independent reviewers
screened search results, performed data extraction and assessed risk of bias.
Random effect was used, and the effect size (ES) was calculated by using the
standardized mean difference with a 95% confidence interval. RESULTS: AT promoted
a reduction in SBP (ES - 1.47; 95% CI - 2.23 to - 0.70; p < 0.01) compared to CG.
This effect is maintained with training progression (ES - 1.52; 95% CI - 2.70 to
0.33; p = 0.01) and no progression (ES - 1.43; 95% CI - 2.64 to - 0.23; p =
0.02). These effects were significant only in hypertensive (ES - 2.20; 95% CI -
2.72 to - 1.68; p < 0.01), and not in pre-hypertensive individuals. AT promoted a
decrease in DBP (- 0.92; 95% CI - 1.27 to - 0.57; p < 0.01) after training with
progression (- 0.81; 95% CI - 1.62 to - 0.001; p = 0.04) and no progression (-
1.01; 95% CI - 1.40 to - 0.62; p < 0.01) in pre-hypertensive (- 1.12; 95% CI -
1.53 to - 0.70; p < 0.01) and hypertensive patients (- 0.69; 95% CI - 1.31 to -
0.06; p = 0.03). AT promoted similar reductions in SBP compared to LT; however,
reduction of DBP in hypertensive patients was lower (1.82; 95% CI 0.84 to 2.79; p
< 0.01). CONCLUSION: AT promotes blood pressure reduction in adults and elderly.
The reduction in SBP in those performing AT is similar to those performing LT,
but reduction of DBP is lower in the AT group compared to that in the LT group.
SYSTEMATIC REVIEW REGISTRATION NUMBER: CRD42016049716.
PMID- 29651757
TI - Comparison of 125I- and 111In-labeled peptide probes for in vivo detection of
oxidized low-density lipoprotein in atherosclerotic plaques.
AB - OBJECTIVE: Oxidized low-density lipoprotein (OxLDL) plays a pivotal role in
atherosclerotic plaque destabilization, which suggests its potential as a nuclear
medical imaging target. We previously developed radioiodinated 125I-AHP7, a
peptide probe carrying a 7-residue sequence from the OxLDL-binding protein Asp
hemolysin, for specific OxLDL imaging. Although 125I-AHP7 recognized OxLDL, it
had low stability. Thus, to improve stability, we designed radiolabeled 22
residue peptide probes, 125I-AHP22 and 111In-AHP22, which include the entire AHP7
sequence, and evaluated the stability, activity, and applications of these probes
in vitro and in vivo. METHODS: Probes consisting of a 21-residue peptide derived
from the Asp-hemolysin sequence and an N-terminal Cys or aminohexanoic acid for
labeling with 125I-N-(3-iodophenyl)maleimide or 111In diethylene triamine
pentaacetic acid were termed 125I-AHP22 and 111In-AHP22. An in vitro-binding
inhibition assay with OxLDL was performed using 125I-AHP7 as a radiotracer.
Radioactivity accumulation in the atherosclerotic aorta and plasma intact
fraction was evaluated 30 min after intravenous administration of probes in
myocardial infarction-prone Watanabe heritable hyperlipidemic (WHHLMI) rabbits.
RESULTS: 125I-AHP22 and 111In-AHP22 were synthesized in ~ 360 and 60 min,
respectively, with > 98% radiochemical purities after RP-HPLC purification. An in
vitro-binding assay revealed similar or greater inhibition of OxLDL binding by
both In-AHP22 and I-AHP22 compared to I-AHP7. The fraction of intact 125I-AHP22
and 111In-AHP22 in plasma was estimated to be approximately tenfold higher than
that of 125I-AHP7. Both probes were rapidly cleared from the blood. 111In-AHP22
had a 2.3-fold higher accumulation in WHHLMI rabbit aortas compared to control
rabbits, which was similar to 125I-AHP7. However, 125I-AHP22 accumulated to
similar levels in aortas of WHHLMI and control rabbits due to high nonspecific
accumulation in normal aortas that could be due to high lipophilicity.
CONCLUSIONS: 111In-AHP22, easily prepared within 1 h, showed moderate affinity
for OxLDL, high stability in vivo, and high accumulation in atherosclerotic
aortas. 111In-AHP22 could be a potential lead compound to develop future
effective OxLDL imaging probes.
PMID- 29651759
TI - Investigating the Support Networks for Mothers of a High-density Public Housing
Community and the Effects of Housing Closures on These Support Systems.
AB - Over the past few decades, public housing initiatives have focused on the
decentralization of poverty by replacing high-density public housing (HDPH)
models with lower-density, mixed-income models. This action has resulted in the
displacement of families who had lived in these developments for generations. In
past studies, public housing residents have been shown to have stronger social
ties than those living in other types of assisted housing. Research on the
dismemberment of US public housing has demonstrated a "root shock" or disruption
in the support infrastructure in these resource-limited communities. The purpose
of this study was to use intergenerational analysis to analyze support systems of
mothers in a low-income community and to investigate how the dismemberment of a
Chicago HDPH community, Cabrini Green, affected parenting experiences and support
infrastructure. Two generations of the former HDPH community were interviewed:
(Gen1) mothers who raised their children in Cabrini Green and (Gen2) their
daughter(s) who were raised in Cabrini Green but who now raise their children
elsewhere. Interviews were analyzed for common themes in relation to mental
health, social support networks, and parenting experiences. Four main components
of parenting support were identified: familial support, father of child support,
community support, and institutional support. Interviews suggest that the closing
of Cabrini-Green high-rise buildings impacted relative contributions from
specific components of mothers' support infrastructure, particularly community
and institutional support. Mothers with support void in one component of support
had better outcomes if they had the reserve to compensate by increasing one or
more other areas of support. Programs that foster other sources of parenting
support during and after public housing closures may help to improve outcomes for
mothers and their families. By analyzing the experiences of mothers of both
generations, we also gain insight into how experiences of motherhood and support
systems compared before and after Cabrini Green's dissolution as well as insight
into the participants' views on the impact of the housing closing on the
parenting experience.
PMID- 29651758
TI - The role of emergency medicine clerkship e-Portfolio to monitor the learning
experience of students in different settings: a prospective cohort study.
AB - BACKGROUND: Although emergency departments provide acute care learning
opportunities for medical students, student exposure to recommended curriculum
presentations and procedures are limited. In this perspective, clinical
environments providing learning opportunities for students should be monitored as
part of an ongoing quality improvement process. This study aims to analyze
student exposures and their involvement levels in two different hospitals (Tawam
and Al Ain) so as to improve the teaching and learning activities. METHODS: This
is a prospective study on all 76 final year medical students' electronic logbooks
(e-Portfolio) of the academic year 2016/2017. RESULTS: Students recorded 5087
chief complaints and 3721 procedures. The average patient and procedure exposure
in a shift per student in Al Ain Hospital compared with Tawam Hospital were 7.2
vs 6.4 and 5.8 vs 4.3, respectively. The highest full involvement with
presentations was seen in the pediatric unit (67.1%, P < 0.0001). Urgent care
shifts demonstrated the highest area of "full involvement" with procedures for
our students (73.2%, P < 0.0001). Students' highest involvement with
presentations and procedures were found during the night shifts (P < 0.0001, 66.5
and 75.1%, respectively). CONCLUSIONS: The electronic portfolio has proven to be
a very useful tool in defining the learning activities of final year medical
students during their emergency medicine clerkship and in comparing activities in
two different clinical settings. Data collected and analyzed using this e
Portfolio has the potential to help medical educators and curriculum designers
improve emergency medicine teaching and learning activities.
PMID- 29651760
TI - Stabilization of Cd-, Pb-, Cu- and Zn-contaminated calcareous agricultural soil
using red mud: a field experiment.
AB - Red mud (RM) was used to remediate heavy metal-contaminated soils. Experiments
with two different dosages of RM added to soils were carried out in this study.
It was found that soil pH increased 0.3 and 0.5 unit with the dosage of 3 and 5%
(wt%), respectively. At the dosage of 5%, the highest stabilization efficiencies
for Cd, Pb, Cu and Zn reached 67.95, 64.21, 43.73 and 63.73%, respectively. The
addition of RM obviously transferred Cd from the exchangeable fraction to the
residual fraction. Meanwhile, in comparison with the control (no RM added), it
reduced 24.38, 49.20, 19.42 and 8.89% of Cd, Pb, Cu and Zn in wheat grains at the
RM addition dosage of 5%, respectively. At the same time, the yield of wheat
grains increased 17.81 and 24.66% at the RM addition dosage of 3 and 5%,
respectively. Finally, the addition of RM did not change the soil bacterial
community. These results indicate that RM has a great potential in stabilizing
heavy metals in calcareous agricultural soils.
PMID- 29651761
TI - Computer-Based Readability Testing of Information Booklets for German Cancer
Patients.
AB - Understandable health information is essential for treatment adherence and
improved health outcomes. For readability testing, several instruments analyze
the complexity of sentence structures, e.g., Flesch-Reading Ease (FRE) or Vienna
Formula (WSTF). Moreover, the vocabulary is of high relevance for readers. The
aim of this study is to investigate the agreement of sentence structure and
vocabulary-based (SVM) instruments. A total of 52 freely available German patient
information booklets on cancer were collected from the Internet. The mean
understandability level L was computed for 51 booklets. The resulting values of
FRE, WSTF, and SVM were assessed pairwise for agreement with Bland-Altman plots
and two-sided, paired t tests. For the pairwise comparison, the mean L values are
LFRE = 6.81, LWSTF = 7.39, LSVM = 5.09. The sentence structure-based metrics gave
significantly different scores (P < 0.001) for all assessed booklets, confirmed
by the Bland-Altman analysis. The study findings suggest that vocabulary-based
instruments cannot be interchanged with FRE/WSTF. However, both analytical
aspects should be considered and checked by authors to linguistically refine
texts with respect to the individual target group. Authors of health information
can be supported by automated readability analysis. Health professionals can
benefit by direct booklet comparisons allowing for time-effective selection of
suitable booklets for patients.
PMID- 29651762
TI - Experience of neuroprotective air injection during radiofrequency ablation (RFA)
of spinal osteoid osteoma.
AB - PURPOSE: To assess the usefulness of epidural air injection during the RFA
treatment of spinal osteoid osteoma. METHODS: A retrospective review of 17
patients who underwent RFA for spinal osteoid osteoma between September 2006 and
May 2017 was performed. All the procedures were performed by a single
radiologist. We reviewed the perioperative CT studies to assess the distribution
of air relative to the osteoid osteoma. The clinical outcome of each patient
group was evaluated during routine follow-up. RESULTS: Seventeen patients were
treated for spinal OO (male:female 13:4; mean age was 16, ranging from 4 to 42).
The nidus size ranged from 5.8 to 17.2 mm (mean 11.2). In nine cases epidural air
injection was performed. In three cases the neuroprotective air was deemed
satisfactory with a clear layer of air between the osteoid osteoma and the dural
sac being visualised. In six patients adherence between the cortical bone
immediately adjacent to the osteoid osteoma and the dural sac in contact was
observed. In 15 patients the procedure was successful in terms of pain relief. No
neural damage or other complication was reported in either group. CONCLUSION: RFA
is a safe treatment for spinal osteoid osteoma. Neuroprotective air injection
does not appear to be necessary when performing the treatment in the spine. KEY
POINTS: * Seventeen patients with spinal OO were treated with RFA, nine with air
injection and eight without. Clinically successful treatment was achieved in 15
patients, with 2 subsequently undergoing surgery * In 6/9 cases the injected air
failed to achieve separation between the osteoid osteoma and the thecal sac
because of inflammatory adhesion * No complications were observed, regardless of
whether neuroprotective air was instilled. Neuroprotective air injection appears
unnecessary when treating spinal OO.
PMID- 29651763
TI - Computer-aided diagnosis prior to conventional interpretation of prostate mpMRI:
an international multi-reader study.
AB - OBJECTIVES: To evaluate if computer-aided diagnosis (CAD) prior to prostate multi
parametric MRI (mpMRI) can improve sensitivity and agreement between
radiologists. METHODS: Nine radiologists (three each high, intermediate, low
experience) from eight institutions participated. A total of 163 patients with 3
T mpMRI from 4/2012 to 6/2015 were included: 110 cancer patients with
prostatectomy after mpMRI, 53 patients with no lesions on mpMRI and negative TRUS
guided biopsy. Readers were blinded to all outcomes and detected lesions per PI
RADSv2 on mpMRI. After 5 weeks, readers re-evaluated patients using CAD to detect
lesions. Prostatectomy specimens registered to MRI were ground truth with index
lesions defined on pathology. Sensitivity, specificity and agreement were
calculated per patient, lesion level and zone-peripheral (PZ) and transition
(TZ). RESULTS: Index lesion sensitivity was 78.2% for mpMRI alone and 86.3% for
CAD-assisted mpMRI (p = 0.013). Sensitivity was comparable for TZ lesions (78.7%
vs 78.1%; p = 0.929); CAD improved PZ lesion sensitivity (84% vs 94%; p = 0.003).
Improved sensitivity came from lesions scored PI-RADS < 3 as index lesion
sensitivity was comparable at PI-RADS >= 3 (77.6% vs 78.1%; p = 0.859). Per
patient specificity was 57.1% for CAD and 70.4% for mpMRI (p = 0.003). CAD
improved agreement between all readers (56.9% vs 71.8%; p < 0.001). CONCLUSIONS:
CAD-assisted mpMRI improved sensitivity and agreement, but decreased specificity,
between radiologists of varying experience. KEY POINTS: * Computer-aided
diagnosis (CAD) assists clinicians in detecting prostate cancer on MRI. * CAD
assistance improves agreement between radiologists in detecting prostate cancer
lesions. * However, this CAD system induces more false positives, particularly
for less-experienced clinicians and in the transition zone. * CAD assists
radiologists in detecting cancer missed on MRI, suggesting a path for improved
diagnostic confidence.
PMID- 29651764
TI - Can functional parameters from hepatobiliary phase of gadoxetate MRI predict
clinical outcomes in patients with cirrhosis?
AB - OBJECTIVES: To determine the value of quantitative parameters of gadoxetate
enhanced magnetic resonance imaging (MRI) in predicting prognosis in patients
with cirrhosis. METHODS: A cohort of 63 cirrhotic patients who had gadoxetate MRI
and 2-year clinical follow-up was enrolled. Enhancement ratio (ER), contrast
enhancement index (CEI) and contrast enhancement spleen index (CES) were
calculated. The usefulness of these parameters and clinical scores, such as Child
Pugh score (CPS) and model for end stage liver disease (MELD), in predicting
adverse outcomes, such as variceal bleeding (VB), hepatic encephalopathy (HE) and
mortality at 2 years were evaluated. RESULTS: Fifteen, 31 and 27 patients,
respectively, had VB, HE and mortality within 2 years. The ER at 15 min (ER 15)
and CES at 20 min (CES 20) were found to be the best MRI predictors. Areas under
the receiver operating characteristic curve (AUC) for predicting VB were 0.785,
0.729, 0.673, 0.714, respectively, for ER 15, CES 20, CPS and MELD scores. ER 15
of less than 48 had sensitivity of 96% and specificity of 84% for predicting
onset of HE within 2 years. CONCLUSIONS: In patients with cirrhosis, ER 15 or CES
20 were equivalent or better predictors of major morbidity and mortality compared
with commonly used clinical scores. KEY POINTS: * Gadoxetate parameters may
identify cirrhotic patients at risk of adverse events. * Gadoxetate parameters
usually show superior predictive values compared to clinical scores. * CES 20
score is associated with risk of mortality within 2 years.
PMID- 29651765
TI - T2-weighted balanced steady-state free procession MRI evaluated for diagnosing
placental adhesion disorder in late pregnancy.
AB - OBJECTIVE: This study evaluated the imaging characteristics and accuracy of T2
weighted (T2W) balanced steady-state free procession (b-SSFP) magnetic resonance
imaging, relative to b-SSFP or single-shot fast spin echo (SSFSE), for the
diagnosis of placental adhesion disorder (PAD). METHODS: Fifty-one pregnant
patients suspected of PAD were examined with T2W b-SSFP, b-SSFP and SSFSE. The
image types were independently analysed for signs of PAD: abnormal placental
bulge (APB), dark intraplacental bands (DIB), placental heterogeneity (PH) and
placental protrusion into adjacent structures (PPAS). The sequences were compared
for muscle-to-placenta signal ratio, signs of PAD and area under the receiver
operating characteristic curve (AUC) for diagnostic accuracy of PAD. RESULTS: PAD
was confirmed in 34 women. The muscle-to-placenta signal ratio was highest in the
T2W b-SSFP. The diagnostic rates of APB in T2W b-SSFP were comparable to that of
b-SSFP, but were significantly higher than that of SSFSE. The rates of PH in SSFE
were comparable to that of b-SSFP, but both were significantly lower than that of
T2W b-SSFP. The rates of DIB were significantly higher in T2W b-SSFP images
compared with SSFSE. Rates of PPAS were comparable among three sequences. The
AUCs of the T2W b-SSFP, b-SSFP and SSFSE were 0.966, 0.890 and 0.823,
respectively. CONCLUSION: T2W b-SSFP has high diagnostic accuracy for PAD
relative to SSFSE or b-SSFP, which may be due to its high SNR, T2-weighting and
lack of blur. KEY POINTS: * Signal myometrium-to-placenta ratio was highest in
the T2W b-SSFP images. * Diagnostic rate of APB in T2W b-SSFP was highest. *
Diagnostic rate of DIB was higher in T2W b-SSFP than in SSFSE. * Diagnostic rate
of PH in T2W b-SSFP was highest. * Maximum AUC for diagnostic accuracy of PAD was
in T2W b-SSFP.
PMID- 29651766
TI - Early osteosclerotic changes predict chemotherapy response in non-small-cell lung
cancer patients with bone metastases.
AB - OBJECTIVES: To explore the relationship between osteosclerotic changes and
chemotherapy response in non-small-cell lung cancer (NSCLC) patients with bone
metastases (BM). METHODS: Fifty-two NSCLC patients with BM were enrolled from 1
January 2010-31 June 2015 and divided into two groups based on their CT features:
an osteosclerotic change (OC) group and a no-osteosclerotic change (NOC) group.
The disease control rate (DCR) was evaluated, and progression-free survival (PFS)
was analysed using Kaplan-Meier curves. Univariate and multivariate Cox
regression analyses were performed to analyse the factors that could affect PFS.
RESULTS: Osteosclerotic changes were observed in 35/52 patients. The median
interval when osteosclerotic changes occurred was 2 months (range 1-3 months)
after chemotherapy. The OC group had a significantly higher 3-month DCR than the
NOC group (p < 0.001). The OC group had a higher 1-year PFS rate than the NOC
group (1-year PFS: 74.9% vs. 30.2%, p < 0.001). Univariate Cox regression
analysis indicated that pathological subtype (HR = 4.419; 95% CI = 1.635-11.941,
p = 0.003) and osteosclerotic changes (HR = 0.199; 95% CI = 0.083-0.477, p <
0.001) were significant predictors of PFS. CONCLUSION: Early osteosclerotic
changes predict chemotherapy response in NSCLC patients with BM. KEY POINTS: *
Osteosclerotic changes were prevalent CT features after chemotherapy in NSCLC
patients. * Osteosclerotic changes were positively related to increased 3-month
DCR. * Osteosclerotic changes were positively related to increased 1-year PFS
rate.
PMID- 29651767
TI - Radiation burden and associated cancer risk for a typical population to be
screened for lung cancer with low-dose CT: A phantom study.
AB - OBJECTIVES: To estimate (a) organ doses and organ-specific radiation-induced
cancer risk from a single low-dose CT (LDCT) for lung cancer screening (LCS) and
(b) the theoretical cumulative risk of radiation-induced cancer for a typical
cohort to be subjected to repeated annual LCS LDCT. METHODS: Sex- and body size
specific organ dose data from scan projection radiography (SPR) and helical CT
exposures involved in LCS 256-slice LDCT were determined using Monte Carlo
methods. Theoretical life attributable risk (LAR) of radiogenic cancer from a
single 256-slice chest LDCT at age 55-80 years and the cumulative LAR of cancer
from repeated annual LDCT studies up to age 80 years were estimated and compared
to corresponding nominal lifetime intrinsic risks (LIRs) of being diagnosed with
cancer. RESULTS: The effective dose from LCS 256-slice LDCT was estimated to be
0.71 mSv. SPR was found to contribute 6-12 % to the total effective dose from
chest LDCT. The radiation-cancer LAR from a single LDCT study was found to
increase the nominal LIR of cancer in average-size 55-year-old males and females
by 0.008 % and 0.018 %, respectively. Cumulative radiogenic risk of cancer from
repeated annual scans from the age of 55-80 years was found to increase the
nominal LIR of cancer by 0.13 % in males and 0.30 % in females. CONCLUSION:
Modern scanners may offer sub-millisievert LCS LDCT. Cumulative radiation risk
from repeated annual 256-slice LDCT LCS examinations was found to minimally
aggravate the lifetime intrinsic cancer risk of a typical screening population.
KEY POINTS: * Effective dose from lung cancer screening low-dose CT may be <1
mSv. * Screening with modern low-dose CT minimally aggravates lifetime cancer
induction intrinsic risk. * Dosimetry of lung cancer screening low-dose CT should
encounter the radiation burden from the localizing scan projection radiography. *
DLP method may underestimate effective dose from low-dose chest CT by 27 %.
PMID- 29651768
TI - Minimisation of Signal Intensity Differences in Distortion Correction Approaches
of Brain Magnetic Resonance Diffusion Tensor Imaging.
AB - OBJECTIVES: To evaluate the effects of signal intensity differences between the
b0 image and diffusion tensor imaging (DTI) in the image registration process.
METHODS: To correct signal intensity differences between the b0 image and DTI
data, a simple image intensity compensation (SIMIC) method, which is a b0 image
re-calculation process from DTI data, was applied before the image registration.
The re-calculated b0 image (b0ext) from each diffusion direction was registered
to the b0 image acquired through the MR scanning (b0nd) with two types of cost
functions and their transformation matrices were acquired. These transformation
matrices were then used to register the DTI data. For quantifications, the dice
similarity coefficient (DSC) values, diffusion scalar matrix, and quantified
fibre numbers and lengths were calculated. RESULTS: The combined SIMIC method
with two cost functions showed the highest DSC value (0.802 +/- 0.007). Regarding
diffusion scalar values and numbers and lengths of fibres from the corpus
callosum, superior longitudinal fasciculus, and cortico-spinal tract, only using
normalised cross correlation (NCC) showed a specific tendency toward lower values
in the brain regions. CONCLUSION: Image-based distortion correction with SIMIC
for DTI data would help in image analysis by accounting for signal intensity
differences as one additional option for DTI analysis. KEY POINTS: * We evaluated
the effects of signal intensity differences at DTI registration. * The non
diffusion-weighted image re-calculation process from DTI data was applied. *
SIMIC can minimise the signal intensity differences at DTI registration.
PMID- 29651769
TI - Superolateral Hoffa's fat pad (SHFP) oedema and patellar cartilage volume loss:
quantitative analysis using longitudinal data from the Foundation for the
National Institute of Health (FNIH) Osteoarthritis Biomarkers Consortium.
AB - OBJECTIVES: To determine the association of superolateral Hoffa's fat pad (SHFP)
oedema and patellofemoral joint structural damage in participants of Foundation
for the National Institute of Health Osteoarthritis Biomarkers Consortium study.
METHODS: Baseline and 24-month MRIs of 600 subjects were assessed. The presence
of SHFP oedema (using 0-3 grading scale) and patellar morphology metrics were
determined using baseline MRI. Quantitative patellar cartilage volume and semi
quantitative MRI osteoarthritis knee score (MOAKS) variables were extracted. The
associations between SHFP oedema and patellar cartilage damage, bone marrow
lesion (BML), osteophyte and morphology were evaluated in cross-sectional model.
In longitudinal analysis, the associations between oedema and cartilage volume
loss (defined using reliable change index) and MOAKS worsening were evaluated.
RESULTS: In cross-sectional evaluations, the presence of SHFP oedema was
associated with simultaneous lateral patellar cartilage/BML defects and inferior
medial patellar osteophyte size. A significant positive correlation between the
degree of patella alta and SHFP oedema was detected (r = 0.259, p < 0.001). The
presence of oedema was associated with 24-month cartilage volume loss (odds ratio
(OR) 2.11, 95% confidence interval 1.46-3.06) and medial patellar BML size (OR
1.92 (1.15-3.21)) and number (OR 2.50 (1.29-4.88)) worsening. The optimal cut-off
value for the grade of baseline SHFP oedema regarding both presence and worsening
of patellar structural damage was >= 1 (presence of any SHFP hyperintensity).
CONCLUSIONS: The presence of SHFP oedema could be considered as a predictor of
future patellar cartilage loss and BML worsening, and an indicator of
simultaneous cartilage, BML and osteophyte defects. KEY POINTS: * SHFP oedema was
associated with simultaneous lateral patellar OA-related structural damage. *
SHFP oedema was associated with longitudinal patellar cartilage loss over 24
months. * SHFP oedema could be considered as indicator and predictor of patellar
OA.
PMID- 29651770
TI - The inhibitory effect of gadoxetate disodium on hepatic transporters: a study
using indocyanine green.
AB - OBJECTIVES: To assess the inhibitory effect of gadoxetate disodium on the
transporter system using indocyanine green (ICG). MATERIALS AND METHODS: Groups
of six female B6 Albino mice were injected with the test agent (0.62 mmol/kg
gadoxetate disodium) or phosphate-buffered saline (control) 10 min before
injection of ICG. Identical fluorescence images were subsequently obtained to
create time-efficiency curves of liver parenchymal uptake. The study was
performed on hypothermic and normothermic mice. The logarithms of the absorption
rate constants (logKa values) and of the elimination rate constants (logKe
values) were calculated for each experimental condition, and between-group
differences were compared using Student's t-test. RESULTS: The logKe values of
the test group were lower than those of the control group at both temperatures (
6.52 vs. -5.87 under hypothermic conditions and -4.54 vs. -4.14 under
normothermic conditions), and both differences were statistically significant (p
= 0.037, 0.015 respectively). In terms of the logKa values, although the
difference did not reach statistical significance (p = 0.052), the test group had
lower values than the control group under hypothermic conditions (-0.771 vs.
0.376). In normothermic mice, the logKa values for the test and control groups
were 0.037 and 0.277 respectively, thus not significantly different (p = 0.404).
CONCLUSIONS: Gadoxetate disodium inhibited ICG excretion. Thus, gadoxetate
disodium inhibited the ATP-binding cassette sub-family C member 2 transporter.
KEY POINTS: * Gadoxetate disodium inhibited ICG excretion. * Gadoxetate disodium
tended to inhibit hepatic ICG uptake. * Drug-drug interactions of gadoxetate
disodium need further investigation.
PMID- 29651771
TI - Remote brain microhaemorrhages may predict haematoma in glioma patients treated
with radiation therapy.
AB - OBJECTIVES: To evaluate the prevalence of cerebral remote microhaemorrhages (RMH)
and remote haematomas (RH) using magnetic resonance susceptibility-weighted
imaging (SWI) among patients treated for gliomas during follow-up. METHODS: We
conducted a retrospective single centre longitudinal study on 58 consecutive
patients treated for gliomas from January 2009 through December 2010. Our
institutional review board approved this study. We evaluated the presence and
number of RMH and RH found outside the brain tumour on follow-up MR imaging. We
performed univariate and bivariate analyses to identify predictors for RMH and RH
and Kaplan-Meier survival analysis techniques. RESULTS: Twenty-five (43%) and
four patients (7%) developed at least one RMH or RH, respectively, during follow
up. The risk was significantly higher for patients who received radiation therapy
(49% and 8% versus 0%) (p = 0.02). The risk of developing RH was significantly
higher in patients with at least one RMH and a high burden of RMH. The mean age
of those presenting with at least one RMH or RH was significantly lower.
CONCLUSIONS: RMH were common in adult survivors of gliomas who received radiation
therapy and may predict the onset of RH during follow-up, mainly in younger
patients. KEY POINTS: * Brain RMH and RH are significantly more likely to occur
after RT. * RMH occur in almost half of the patients treated with RT. * RMH and
RH are significantly more frequent in younger patients. * RH occur only in
patients with RMH.
PMID- 29651772
TI - Monitoring neurointerventional radiation doses using dose-tracking software:
implications for the establishment of local diagnostic reference levels.
AB - OBJECTIVES: There is potential for high radiation exposure during
neurointerventional procedures. Increasing regulatory requirements mandate dose
monitoring of patients and staff, and justification of high levels of radiation
exposure. This paper demonstrates the potential to use radiation dose-tracking
software to establish local diagnostic reference levels. METHODS: Consecutive
neurointerventional procedures, performed in a single institution within a one
year period, were retrospectively studied. Dose area product (DAP) data were
collected using dose-tracking software and clinical data obtained from a
prospectively generated patient treatment database. RESULTS: Two hundred and
sixty-four procedures met the selection criteria. Median DAP was 100 Gy.cm2 for
aneurysm coiling procedures, 259 Gy.cm2 for arteriovenous malformation (AVM)
embolisation procedures, 87 Gy.cm2 for stroke thrombolysis/thrombectomy, and 74
Gy.cm2 for four-vessel angiography. One hundred and nine aneurysm coiling
procedures were further studied. Six significant variables were assessed using
stepwise regression analysis to determine effect on DAP. Aneurysm location
(anterior vs posterior circulation) had the single biggest effect (p = 0.004).
CONCLUSIONS: This paper confirms variable radiation exposures during
neurointerventional procedures. The 75th percentile (used to define diagnostic
reference levels) of DAP measurements represents a reasonable guidance metric for
monitoring purposes. Results indicate that aneurysm location has the greatest
impact on dose during coiling procedures and that anterior and posterior
circulation coiling procedures should have separate diagnostic reference levels.
KEY POINTS: * Dose-tracking software is useful for monitoring patient radiation
dose during neurointerventional procedures * This paper provides a template for
methodology applicable to any interventional suite * Local diagnostic reference
levels were defined by using the 75th percentile of DAP as per International
Commission on Radiological Protection recommendations * Aneurysm location is the
biggest determinant of radiation dose during coiling procedures. * Anterior and
posterior circulation coiling procedures should have separate diagnostic
reference levels.
PMID- 29651773
TI - Complete genome sequence of peanut virus C, a putative novel ilarvirus.
AB - We determined the complete genome sequence of a putative novel ilarvirus,
tentatively named "peanut virus C" (PVC), identified in peanut (Arachis
hypogaea). The three segmented genomic RNA molecules of PVC were 3474 (RNA1),
2925 (RNA2), and 2160 (RNA3) nucleotides in length, with five predicted open
reading frames containing conserved domains and motifs that are typical features
of ilarviruses. The three genomic RNAs shared nucleotide sequence similarity (74%
identity and 93% query coverage for RNA1, 75% identity and 85% query coverage for
RNA2, and 72% identity and 70% query coverage for RNA3) with the most closely
related ilarvirus, parietaria mottle virus. These results suggest that PVC is a
novel member of the genus Ilarvirus in the family Bromoviridae.
PMID- 29651774
TI - Genome editing for the treatment of tumorigenic viral infections and virus
related carcinomas.
AB - Viral infections cause at least 10%-15% of all human carcinomas. Over the last
century, the elucidation of viral oncogenic roles in many cancer types has
provided fundamental knowledge on carcinogenetic mechanisms and established a
basis for the early intervention of virus-related cancers. Meanwhile, rapidly
evolving genomeediting techniques targeting viral DNA/RNA have emerged as novel
therapeutic strategies for treating virusrelated carcinogenesis and have begun
showing promising results. This review discusses the recent advances of genome
editing tools for treating tumorigenic viruses and their corresponding cancers,
the challenges that must be overcome before clinically applying such genome
editing technologies, and more importantly, the potential solutions to these
challenges.
PMID- 29651776
TI - Spillover Effects of Long-Term Disabilities on Close Family Members.
AB - BACKGROUND: Care and support of people dealing with long-term disabilities
involves the entire family. OBJECTIVE: This paper evaluates the effect of living
with a relative dealing with a long-term disability on the health status of all
family members in the household. METHODS: Using information from the Swiss
household panel from the year 1999 to 2003 (n = 18,030), a linear regression is
implemented to compare the health status of family members cohabiting with
individuals dealing with a long-term disability with the health status of
individuals of similar characteristics in the general population. Additionally, a
non-parametric graphical analysis estimates the smooth patterns of the results
over time. RESULTS: Family members who cohabit with a person dealing with a long
term disability have a consistently reduced health status. The size of the impact
depends on the sex and the role in the family. In general, women show the most
negative effects. For children, the impact depends on the relationship with the
disabled person. CONCLUSIONS: Recognizing the presence of health spillovers can
help to design policies to better support families. Being the perceived health
status a good indicator of the use of health services and mortality, the health
system should focus on the entire family, and not only on the patient or the main
caregiver.
PMID- 29651775
TI - Characterization of hidden rules linking symptoms and selection of acupoint using
an artificial neural network model.
AB - Comprehension of the medical diagnoses of doctors and treatment of diseases is
important to understand the underlying principle in selecting appropriate
acupoints. The pattern recognition process that pertains to symptoms and diseases
and informs acupuncture treatment in a clinical setting was explored. A total of
232 clinical records were collected using a Charting Language program. The
relationship between symptom information and selected acupoints was trained using
an artificial neural network (ANN). A total of 11 hidden nodes with the highest
average precision score were selected through a tenfold cross-validation. Our ANN
model could predict the selected acupoints based on symptom and disease
information with an average precision score of 0.865 (precision, 0.911; recall,
0.811). This model is a useful tool for diagnostic classification or pattern
recognition and for the prediction and modeling of acupuncture treatment based on
clinical data obtained in a real-world setting. The relationship between symptoms
and selected acupoints could be systematically characterized through knowledge
discovery processes, such as pattern identification.
PMID- 29651777
TI - Cost-effectiveness of Genome and Exome Sequencing in Children Diagnosed with
Autism Spectrum Disorder.
AB - BACKGROUND: Genome (GS) and exome sequencing (ES) could potentially identify
pathogenic variants with greater sensitivity than chromosomal microarray (CMA) in
autism spectrum disorder (ASD) but are costlier and result interpretation can be
uncertain. Study objective was to compare the costs and outcomes of four genetic
testing strategies in children with ASD. METHODS: A microsimulation model
estimated the outcomes and costs (in societal and public payer perspectives in
Ontario, Canada) of four genetic testing strategies: CMA for all, CMA for all
followed by ES for those with negative CMA and syndromic features (CMA+ES), ES or
GS for all. RESULTS: Compared to CMA, the incremental cost-effectiveness ratio
(ICER) per additional child identified with rare pathogenic variants within 18
months of ASD diagnosis was $CAN5997.8 for CMA+ES, $CAN13,504.2 for ES and
$CAN10,784.5 for GS in the societal perspective. ICERs were sensitive to changes
in ES or GS diagnostic yields, wait times for test results or pre-test genetic
counselling, but were robust to changes in the ES or GS costs. CONCLUSION:
Strategic integration of ES into ASD care could be a cost-effective strategy.
Long wait times for genetic services and uncertain utility, both clinical and
personal, of sequencing results could limit broader clinical implementation.
PMID- 29651778
TI - Cost-Consequence Analysis Alongside a Randomised Controlled Trial of Hospital
Versus Telephone Follow-Up after Treatment for Endometrial Cancer.
AB - BACKGROUND: Regular outpatient follow-up programmes are usually offered to
patients following treatment for gynaecological and other cancers. Despite the
substantial resources involved in providing these programmes, there is evidence
that routine follow-up programmes do not affect survival or the likelihood of
detecting recurrence and may not meet patient needs. Alternative follow-up
modalities may offer the same outcomes at lower cost. We examined the costs of
using telephone-based routine follow-up of women treated for endometrial cancer
undertaken by specialist gynaecology oncology nurses in comparison to routine
hospital-based follow-up. METHODS: The ENDCAT trial randomised 259 women at five
centres in the north west of England with a known diagnosis of Stage I
endometrial cancer who had completed primary treatment on a 1:1 basis to receive
either standard hospital outpatient follow-up or a telephone follow-up
intervention administered by specialist nurses. A cost-consequence analysis was
undertaken in which we compared costs to the health system and to individuals
with the trial's co-primary outcomes of psychological morbidity and participant
satisfaction with information received. RESULTS: Psychological morbidity,
psychosocial needs, patient satisfaction and quality of life did not differ
between arms. Patients randomised to telephone follow-up underwent more and
longer consultations. There was no difference in total health service mean per
patient costs at 6 months (mean difference L8, 95% percentile confidence
interval: - L147 to L141) or 12 months (mean difference: - L77, 95% percentile
confidence interval: - L334 to L154). Estimated return journey costs per patient
for hospital consultations were L11.47. Productivity costs were approximately
twice as high under hospital follow-up. CONCLUSION: Telephone follow-up was
estimated to be cost-neutral for the NHS and may free up clinic time for other
patients. There was some evidence that telephone follow-up may be more efficient
for patients and wider society, and is not associated with additional
psychological morbidity, lower patient satisfaction or reduced quality of life.
TRIAL REGISTRATION: ISRCTN: 75220876, prospectively registered 28 October 2011.
PMID- 29651779
TI - Out of Pocket Costs and Health Insurance Take-Up Rates.
AB - BACKGROUND: Over the first ten years of this century, the share of the US
population covered by employer-sponsored health insurance plans experienced a
significant decline. A decrease in the take-up rate accounts for about a quarter
of this decline. Usually, the increasing share of the premium that is paid by
workers is used to explain the decline in the take-up rate. However, in recent
years the increase in copayments, deductible and coinsurance rate has far
outpaced the increase in worker contribution. OBJECTIVE: In this study we analyze
the impact of out-of-pocket (OOP) costs, which consist of both workers'
contribution toward the premium and expected expenditures, on the take-up rate
for firms that offer multiple plan types. METHODS: Using data from the Employer
Health Benefits Survey we estimated a pooled ordinary least squares and a fixed
effects model. Since we have information about different types of health
insurance plans offered by the firm, we derive the cross-price elasticity of
coverage. RESULTS: Our fixed effects estimations suggest that workers respond to
an increase in the out-of-pocket contributions for Health Maintenance
Organization (HMO) plans by switching to PPO plans without impacting the overall
take-up rate, while workers respond to increases in the out-of-pocket
contribution for Preferred Provider Organization (PPO) plans by switching to HMO
plans or dropping out of the group coverage. CONCLUSION: In general, we found
that the estimated elasticities are too small to explain the overall drop in take
up rates even in light of the large increases in required worker contributions
and expected expenditures. Still, we highlight the growing importance of expected
expenditures in explaining take-up rates.
PMID- 29651781
TI - Abuse-Deterrent Opioid Formulations: A Key Ingredient in the Recipe to Prevent
Opioid Disasters?
AB - The US Food and Drug Administration (FDA) is encouraging the innovation of long
acting opioid formulations that are manipulation-resistant. The purpose of this
commentary is to assess the benefits and limitations of abuse-deterrent opioid
formulations (ADFs) and discuss their role in mitigating the current opioid
epidemic. ADFs have been created with chemical properties that make it difficult
for people who non-medically use prescription drugs to crush and dissolve opioid
tablets, as well as by combining opioids with antagonists such as naloxone or
naltrexone, which are released only when the dosage form has been manipulated or
the drug is taken by a non-intended route. Despite these and other technologies,
consensus regarding the effectiveness of these formulations in preventing non
medical use is lacking given the difficulty in obtaining post-marketing data.
Researchers also question if the creation of abuse-deterrent drugs will have a
positive effect on those struggling with a severe opioid-use disorder, fearing
that current opioid users will simply find a new - perhaps more dangerous - drug
of choice. Abuse-deterrent opioids are still opioids, and although they may make
manipulation more difficult than non-ADF formulations, they are not "abuse
proof." The introduction of ADFs could provide a false sense of security among
prescribers and dispensers, and we fear that ADFs may have a minimal impact on
non-medical use of prescription opioids. Further epidemiological studies will be
required to determine the large-scale impact of abuse-deterrent opioids in
preventing opioid use disorder and its downstream consequences.
PMID- 29651780
TI - Sub-cellular markers highlight intracellular dynamics of membrane proteins in
response to abiotic treatments in rice.
AB - BACKGROUND: Cell biology approach using membrane protein markers tagged with
fluorescent proteins highlights the dynamic behaviour of plant cell membranes,
not only in the standard but also in changing environmental conditions. In the
past, this strategy has been extensively developed in plant models such as
Arabidopsis. RESULTS: Here, we generated a set of transgenic lines expressing
membrane protein markers to extend this approach to rice, one of the most
cultivated crop in the world and an emerging plant model. Lines expressing
individually eight membrane protein markers including five aquaporins (OsPIP1;1,
OsPIP2;4, OsPIP2;5, OsTIP1;1, OsTIP2;2) and three endosomal trafficking proteins
(OsRab5a, OsGAP1, OsSCAMP1) were obtained. Importantly, we challenged in roots
the aquaporin-expressing transgenic lines upon salt and osmotic stress and
uncovered a highly dynamic behaviour of cell membrane. CONCLUSION: We have
uncovered the relocalization and dynamics of plasma membrane aquaporins upon salt
and osmotic stresses in rice. Importantly, our data support a model where
relocalization of OsPIPs is concomitant with their high cycling dynamics.
PMID- 29651782
TI - Erratum to: Early Life Hypoxic or Hypoxic/Hypercapnic Stress Alters Acute
Ventilatory Sensitivity in Adult Mice.
PMID- 29651783
TI - Unsolicited information letters to increase awareness of Lynch syndrome and
familial colorectal cancer: reactions and attitudes.
AB - Dissemination of information on a genetically increased risk should according to
guidelines primarily be family-mediated. Incomplete and incorrect information
spread has, however, been documented and implies missed possibilities for
prevention. In Denmark, the national HNPCC register has been granted an exception
to send unsolicited letters with information on hereditary colorectal cancer and
an invitation to genetic counseling to members of families with familial and
hereditary colorectal cancer. To evaluate this approach, we investigated
reactions and attitudes to unsolicited letters in 708 members of families with
genetic predisposition and in 1600 individuals from the general population.
Support for information letters was expressed by 78% of the family members and by
82% of the general population. Regarding route of information, 90% of family
members preferred a letter to no information, 66% preferred information from the
hospital rather than from family members and 40% preferred to obtain information
from a close family member. Our results suggest that use of unsolicited
information letters from the health care system may be a feasible and highly
acceptable strategy to disseminate information to families at high risk of
colorectal cancer.
PMID- 29651784
TI - Are the effects of cognitive behavior therapy for severe fatigue in cancer
survivors sustained up to 14 years after therapy?
AB - PURPOSE: Cognitive behavior therapy (CBT) reduces cancer-related fatigue (CRF) in
cancer survivors in the short term. We examined fatigue levels up to 14 years
after CBT. METHODS: Eligible participants of two randomized controlled trials who
had completed CBT for CRF and a post-treatment assessment were contacted (n =
81). Fatigue was assessed with the subscale "fatigue severity" of the Checklist
Individual Strength (CIS-fatigue). The course of fatigue over time was examined
with linear mixed model analyses. Fatigue levels of participants were compared to
matched population controls at long-term follow-up. We tested with multiple
regression analysis if fatigue at follow-up was predicted by the patients'
fatigue level and fatigue-perpetuating factors directly after CBT (post-CBT).
RESULTS: Seventy-eight persons completed a follow-up assessment (response rate =
96%, mean time after CBT = 10 years). The mean level of fatigue increased from
23.7 (SD = 11.1) at post-CBT to 34.4 (SD = 12.4) at follow-up (p < 0.001).
Population controls (M = 23,9, SD = 11.4) reported lower fatigue levels than
participants. Half of the patients (52%) who were recovered from severe fatigue
at post-CBT (CIS-fatigue < 35) were still recovered at long-term follow-up.
Patients with lower fatigue levels at post-CBT were less likely to show relapse.
CONCLUSION: Despite initial improvement after CBT, levels of fatigue deteriorated
over time. Half of the patients who were recovered from severe fatigue after CBT
still scored within normal ranges of fatigue at long-term follow-up. IMPLICATIONS
FOR CANCER SURVIVORS: It should be explored how to help patients with a relapse
of severe fatigue following an initially successful CBT. They may profit from CBT
again, or another evidence-based intervention for fatigue (like mindfulness or
exercise therapy). Future research to gain insight into reasons for relapse is
warranted.
PMID- 29651785
TI - Predicting Escitalopram Exposure to Breastfeeding Infants: Integrating Analytical
and In Silico Techniques.
AB - BACKGROUND: Escitalopram is used for post-partum depression; however, there are
limited pharmacokinetic data of escitalopram in milk and plasma of infants
breastfed by women taking the drug. OBJECTIVE: The objective of this study was to
apply physiologically-based pharmacokinetic (PBPK) modelling to predict infant
drug exposure (plasma area under the curve from time zero to infinity
[AUCinfinity]) based on drug monitoring data of escitalopram in breast milk.
METHODS: Using a newly developed liquid chromatography-tandem mass spectrometry
(LC-MS/MS) method, we quantified escitalopram concentrations in milk samples of
18 breastfeeding women with escitalopram therapy at steady state, collected at
three to five time points. The escitalopram concentrations in breast milk were
used with infant feeding parameters from the literature to simulate infant daily
dose. We used PK-Sim(r) to develop an adult PBPK model for escitalopram and
extrapolated it to a population of 1600 infants up to 12 months of age. An
integration of the simulated infant daily dose and the virtual infants with
variable physiological-pharmacological parameters was used to predict drug
exposure (plasma AUCinfinity) distribution in the population of infants breastfed
by women receiving escitalopram 20 mg/day. RESULTS: Escitalopram concentrations
in milk were 50 +/- 17 ng/mL (mean +/- standard deviation). The simulated infant
plasma AUCinfinity following escitalopram exposure through breast milk was low,
with a median of 1.7% (range 0.5-5.9%) of the corresponding maternal plasma
AUCinfinity, indicating no substantial exposure. CONCLUSIONS: Infant exposure
levels to escitalopram in breast milk are low. A PBPK modeling approach can be
used to translate data on drug monitoring in milk into a population distribution
of infant plasma levels for drug safety assessment.
PMID- 29651787
TI - Integrating geochemical investigations and geospatial assessment to understand
the evolutionary process of hydrochemistry and groundwater quality in arid areas.
AB - Groundwater is the key for life in arid areas. Aquifer overexploitation and
climatic conditions can significantly deteriorate groundwater quality. The Al
Qassim area in central Saudi Arabia is characterized by dense agricultural use
and is irrigated mainly by fossil groundwater from the Saq Aquifer. Understanding
the area's hydrochemistry, major factors governing groundwater quality, and
alternative uses of the groundwater are the main goals of this study. Groundwater
samples were collected and examined for major, minor, and trace elements. Ionic
relationships, hydrochemical facies, geospatial distributions, and multivariate
analyses were conducted to assess the hydrochemical processes at play. The
salinity and nitrate concentrations of the Saq Aquifer's groundwater were found
to increase in the outcrop areas more than the confined areas. The spatial
distributions were fragmented by three main factors: (i) modern recharge by
relatively brackish water, (ii) irrigation return flow in intensive farming
areas, and (iii) overexploitation and draining of deep and relatively saline
zones of the aquifer. Seven water types were found representing the alkaline
water with a predominance of sulfate-chloride ions and earth alkaline water with
a predominance of sulfate and chloride. Mixing between fresh and brackish water,
dissolution of mineral phases, silicate weathering, and reverse ion exchange were
recognized as the evolutionary processes, while evaporation played a minor role.
Cluster analyses characterized the fresh groundwater zone, modern groundwater
recharge zone, and anthropogenic influence zone. In the confined areas, nearly
all the groundwater was appropriate for domestic use and irrigation. In the
outcrop areas, some limitations were found due to unsuitable conditions.
PMID- 29651788
TI - Sphincter-preserving surgery for recurrent pelvic malignancy using a hybrid
procedure of open laparotomy and transanal endoscopic approach.
AB - BACKGROUND: Surgery for the treatment of recurrent pelvic malignancy is
challenging. Sphincter-preserving surgery (SPS) has been applied in limited
cases. Transanal endoscopic approach (TEA) has been used for primary rectal
cancer, predominantly for hybrid transabdominal-transanal total mesorectal
excision. Here, we describe the use of TEA as a hybrid approach in a case of
recurrent ovarian cancer. CASE PRESENTATION: A 59-year-old woman had recurrence
of serous ovarian adenocarcinoma in the vaginal stump, near the site of
anastomosis from a rectal resection 18 months previously. We used a hybrid
approach comprising conventional open laparotomy and TEA to accomplish sphincter
preservation. In addition to sphincter preservation, TEA allowed for the creation
of a "terminal" space, which was made by anterior dissection between the rectum
and the vagina. We employed TEA to create an opening in the scar tissue along the
sacrum, which was used as a "guide" for pelvic dissection to prevent nerve
injury. After exteriorization of the tumor, bowel continuity was achieved by hand
sewn coloanal anastomosis with a protective diverting ileostomy. Pathological
examination revealed no involvement of the surgical margins. The diverting
ileostomy was taken down 8 months postoperatively. CONCLUSION: A hybrid approach
comprising conventional open laparotomy and TEA allowed for safe and secure SPS
and complete excision of a recurrent pelvic malignancy. This hybrid surgical
approach expands the use of SPS in highly selected cases.
PMID- 29651789
TI - Understanding Prosodic Focus Marking in Mandarin Chinese: Data from Children and
Adults.
AB - This study investigated whether Mandarin speakers interpret prosodic information
as focus markers in a sentence-picture verification task. Previous production
studies have shown that both Mandarin-speaking adults and Mandarin-speaking
children mark focus by prosodic information (Ouyang and Kaiser in Lang Cogn
Neurosc 30(1-2):57-72, 2014; Yang and Chen in Prosodic focus marking in Chinese
four-and eight-year-olds, 2014). However, while prosodic focus marking did not
seem to affect sentence comprehension in adults Mandarin-speaking children showed
enhanced sentence comprehension when the sentence focus was marked by prosodic
information in a previous study (Chen in Appl Psycholinguist 19(4):553-582,
1998). The present study revisited this difference between Mandarin speaking
adults and children by applying a newly designed task that tested the use of
prosodic information to identify the sentence focus. No evidence was obtained
that Mandarin-speaking children (as young as 3 years of age) adhered more
strongly to prosodic information than adults but that word order was the
strongest cue for their focus interpretation. Our findings support the view that
children attune to the specific means of information structure marking in their
ambient language at an early age.
PMID- 29651786
TI - The why, when and how to test for obstructive sleep apnea in patients with atrial
fibrillation.
AB - Sleep apnea is associated with increased cardiovascular risk and may be important
in atrial fibrillation (AF) management. It is present in up to 62% of the AF
population and is highly under-recognized and underdiagnosed. Obstructive sleep
apnea (OSA) is strongly associated with AF and non-randomized trials have shown
that its treatment can help to reduce AF recurrences and maintain sinus rhythm.
The 2016 European Society of Cardiology guidelines for the management of AF
recommend that AF patients should be questioned regarding the symptoms of OSA and
that OSA-treatment should be optimized to improve AF treatment results. However,
strategies on how to implement OSA testing in the standard work-up of AF patients
are not provided in the guidelines. Additionally, overnight OSA monitoring rather
than interrogation for OSA-related clinical signs alone may be necessary to
reliably identify OSA in the majority of AF patients. This review summarizes the
available clinical data on OSA in AF patients, and discusses the following key
questions: Why and When is testing for OSA needed in AF patients? How and Where
should it be performed and coordinated? and Who should test for OSA? To implement
OSA testing in a cardiology or electrophysiology clinic, we propose a
multidisciplinary integrated care approach based on a chronic care model. We
describe the tools, infrastructure and coordination needed to test for OSA in the
standard workup of patients with symptomatic AF prior to the initiation of
directed invasive or pharmacological rhythm control management.
PMID- 29651792
TI - The plantaris muscle - rare relations to the neurovascular bundle in the
popliteal fossa.
AB - The plantaris muscle is characterized by morphological variability, both for
origin and insertion, and may sometimes be absent. Its strength allows the
ligament to be used for reconstruction of other tendons and ligaments. This
report presents the rare placements and course of the plantaris muscle in
relation to the neurovascular bundle. In this case, the hypertrophy of this
muscle might cause pressure on the tibial nerve and produce symptoms similar to
sciatica.
PMID- 29651790
TI - Novel Insights for Inhibiting Mutant Heterodimer IDH1wt-R132H in Cancer: An In
Silico Approach.
AB - BACKGROUND: Isocitrate dehydrogenase 1 (IDH1) is a dimeric enzyme responsible for
supplying the cell's nicotinamide adenine dinucleotide phosphate (NADPH) reserves
via dehydrogenation of isocitrate (ICT) and reduction of NADP+. Mutations in
position R132 trigger cancer by enabling IDH1 to produce D-2-hydroxyglutarate (2
HG) and reduce inhibition by ICT. Mutant IDH1 can be found as a homodimer or a
heterodimer. OBJECTIVE: We propose a novel strategy to inhibit IDH1 R132 variants
as a means not to decrease the concentration of 2-HG but to provoke a cytotoxic
effect, as the cell malignancy at this point no longer depends on 2-HG. We aim to
inhibit the activity of the mutant heterodimer to block the wild-type subunit.
Limiting the NADPH reserves in a cancerous cell will enhance its susceptibility
to the oxidative stress provoked by chemotherapy. METHODS: We performed a virtual
screening using all US FDA-approved drugs to replicate the loss of inhibition of
mutant IDH1 by ICT. We characterized our results based on molecular interactions
and correlated them with the described phenotypes. RESULTS: We replicated the
loss of inhibition by ICT in mutant IDH1. We identified 20 drugs with the
potential to inhibit the heterodimeric isoform. Six of them are used in cancer
treatment. CONCLUSIONS: We present 20 FDA-approved drugs with the potential to
inhibit IDH1 wild-type activity in mutated cells. We believe this work may
provide important insights into current and new approaches to dealing with IDH1
mutations. In addition, it may be used as a basis for additional studies centered
on drugs presenting differential sensitivities to different IDH1 isoforms.
PMID- 29651793
TI - Miratu'lEbdan Fi Tesrih-i Azaul-Insan: first printed illustrated anatomy book in
Ottoman-Turkish medicine.
AB - BACKGROUND: Medical education in Ottoman-Turkish medicine was shaped by the
influence of Claudius Galenus (c.130-c.210) and Ibn-i Sina (c.980-c.1037). These
teachings were performed in madrasahs until the beginning of the 19th century
period. Within the scope of master-apprentice relationship, medical training was
also given in private clinics. As a result of religious and social pressures on
scientific studies human cadavers were never used because they were considered
sacred. For centuries, all books were handwritten during the Ottoman Empire until
the first printing press was established in Istanbul during 1726. However, the
first illustrated book on anatomy was not printed until another 100 years later.
MATERIALS AND METHODS: A comparative study was conducted on the anatomical
drawings in"Miratu'l Ebdan Fi Tesrih-i Azau'l Insan" (Ataullah SM, 17th),and
significance of this book. RESULTS: 46 out of 56 figures were received from the
book of physian and anatomist Jean Palfin (1650-1730). Remaining 9 figures were
cited from author himself as collected from Bernard Siegfried Albinus (1697
1170), Raymond Vieussens (1614- 1715), R. Drake (1667-1707), Clopton Havers (1657
1702), Albrecht von Haller (1708- 1777), Joseph Guichard Duverney (1648-1730).
The figures were drawn exactly the same way with minimal changes. Main text of
the book is mostly translation from Italian edition of Bertin and Palfin's
Works.The book is not only the first printed anatomical book but also the first
printed work in Ottoman-Turkish medicine. Another very significant aspect is the
illustrations are perspective drawing figures which differs from miniature style
drawings of the past. CONCLUSIONS: Sanizade Ataullah's work is a significant
value not only for being the first printed illustrated anatomy book which makes
it more approachable but also gives anatomical drawings as illustrations not as
miniature style painting.
PMID- 29651791
TI - A Discrete Event Simulation Model to Assess the Economic Value of a Hypothetical
Pharmacogenomics Test for Statin-Induced Myopathy in Patients Initiating a Statin
in Secondary Cardiovascular Prevention.
AB - BACKGROUND: Statin (HMG-CoA reductase inhibitor) therapy is the mainstay
dyslipidemia treatment and reduces the risk of a cardiovascular (CV) event (CVE)
by up to 35%. However, adherence to statin therapy is poor. One reason patients
discontinue statin therapy is musculoskeletal pain and the associated risk of
rhabdomyolysis. Research is ongoing to develop a pharmacogenomics (PGx) test for
statin-induced myopathy as an alternative to the current diagnosis method, which
relies on creatine kinase levels. The potential economic value of a PGx test for
statin-induced myopathy is unknown. METHODS: We developed a lifetime discrete
event simulation (DES) model for patients 65 years of age initiating a statin
after a first CVE consisting of either an acute myocardial infarction (AMI) or a
stroke. The model evaluates the potential economic value of a hypothetical PGx
test for diagnosing statin-induced myopathy. We have assessed the model over the
spectrum of test sensitivity and specificity parameters. RESULTS: Our model
showed that a strategy with a perfect PGx test had an incremental cost-utility
ratio of 4273 Canadian dollars ($Can) per quality-adjusted life year (QALY). The
probabilistic sensitivity analysis shows that when the payer willingness-to-pay
per QALY reaches $Can12,000, the PGx strategy is favored in 90% of the model
simulations. CONCLUSION: We found that a strategy favoring patients staying on
statin therapy is cost effective even if patients maintained on statin are at
risk of rhabdomyolysis. Our results are explained by the fact that statins are
highly effective in reducing the CV risk in patients at high CV risk, and this
benefit largely outweighs the risk of rhabdomyolysis.
PMID- 29651794
TI - Celiac trunk and common hepatic artery variations in children: an analysis with
CT angiography.
AB - BACKGROUND: Understanding the celiac trunk and hepatic artery anatomy is
important not only in preventing iatrogenic injuries but also in planning
surgical procedures in children. Therefore, the aim of this study is to analyze
the prevalence of celiac trunk (CeT) and common hepatic artery (CHA) variations
in the pediatric population. MATERIALS AND METHODS: One hundred and seventy-four
children (174) who underwent abdominal multidetector computed tomography (MDCT)
angiography, either because of trauma or liver transplantation, were analyzed
retrospectively. The patterns of CeT, CHA and their variant branches were
revealed and compared with previous studies involving adults. RESULTS: A total of
157 (90.2%) of the 174 patients had normal celiac trunk anatomy, whereas 17
(9.8%) had variations. Five types of CeT variations were identified according to
Song's classification in which 'Hepatosplenic trunk + Left gastric artery +
Superior mesenteric artery' was the most prevalent. One hundred-twelve (64.4%) of
the 174 patients had normal CHA anatomy; however 62 (35.6%) had variations. Six
types of CHA variations were identified according to Michel's and Hiatt's
classification. The most common was 'Replaced left hepatic artery originating
from left gastric artery'. CONCLUSIONS: The prevalence of celiac trunk and
hepatic artery variations are high in children as much as in their elders.
Awareness of these variations by is important in terms of avoiding iatrogenic
injury and in promoting surgical procedure planning for liver transplantation or
abdominal tumor surgery.
PMID- 29651795
TI - Variability of the vertebral artery origin and transverse foramen entrance level
CT angiographic study.
AB - Vertebral artery (VA), the main element of the posterior brain circulation, has
many anatomical variations which generally were widely investigated. However,
available data vary in wide ranges, reflecting very different sample sizes, lack
of data about left-right or sex differences, and about possible ethnic,
regionally specific or genetic differences. Certain new findings suggest possible
involvement of some environmental factors in VA variations. Accurate anatomical
data about VA variations in different regions of the world, including Balkans
countries, are still lacking. Therefore we investigated morphological variability
of VA origin and its entrance level into cervical transverse foramina in
population of Republika Srpska (Bosnia and Herzegovina), including data about the
sex and side. Anatomy of VA was investigated in 112 persons (224 arteries) of
both sexes (58 males, 54 females; age 19 - 83 years), using 64-slice CT scanner.
Origin of VA from subclavian artery (SCA) we found in 95.08% of arteries (52
males, 49 females). Only in one male (0.45 %) left VA and left SCA had an
specific origin from aortic arch (AA), which we named as an "common area of
origin". All other observed variations in origin were only of left VA,
originating from AA in 4.47 % (5 males, 5 females). Left VA Most often (usual)
entrance level into transverse foramen we found at C6 (87.5%), followed by C5
(8.93 %), C4 (3.12 %), and in one case at level C7 (0.45 %). Entry levels at C5
both, on right and on the left side, were 3 times more frequent in males than in
females. Wide ranges of differences between the data we obtained on a sample in
Republika Srpska (Bosnia and Herzegovina) and the data from many other studies
require further and wider investigations.
PMID- 29651796
TI - Oncological impact of neoadjuvant hormonal therapy on permanent iodine-125 seed
brachytherapy in patients with low- and intermediate-risk prostate cancer.
AB - OBJECTIVES: To determine whether neoadjuvant hormonal therapy improves
oncological outcomes of patients with localized prostate cancer treated with
permanent brachytherapy. METHODS: Between January 2004 and November 2014, 564
patients underwent transperineal ultrasonography-guided permanent iodine-125 seed
brachytherapy. We retrospectively analyzed low- or intermediate-risk prostate
cancer based on the National Comprehensive Cancer Network guidelines. The
clinical variables were evaluated for influence on biochemical recurrence-free
survival, progression-free survival, cancer-specific survival and overall
survival. RESULTS: A total of 484 patients with low-risk (259 patients) or
intermediate-risk disease (225 patients) were evaluated. Of these, 188 received
neoadjuvant hormonal therapy. With a median follow up of 71 months, the 5-year
actuarial biochemical recurrence-free survival rates of patients who did and did
not receive neoadjuvant hormonal therapy were 92.9% and 93.6%, respectively (P =
0.2843). When patients were stratified by risk group, neoadjuvant hormonal
therapy did not improve biochemical recurrence-free survival outcomes in low- (P
= 0.8949) or intermediate-risk (P = 0.1989) patients. The duration or type of
hormonal therapy was not significant in predicting biochemical recurrence. In a
multivariate analysis, Gleason score, pretreatment prostate-specific antigen,
clinical T stage, and prostate dosimetry, primary Gleason score and positive core
rate were significant predictive factors of biochemical recurrence-free survival,
whereas neoadjuvant hormonal therapy was insignificant. Furthermore, neoadjuvant
hormonal therapy did not significantly influence progression-free survival,
cancer-specific survival or overall survival. CONCLUSIONS: In patients with low-
or intermediate-risk disease treated with permanent prostate brachytherapy,
neoadjuvant hormonal therapy does not improve oncological outcomes. Its use
should be restricted to patients who require prostate volume reduction.
PMID- 29651797
TI - Pazopanib-induced crystal deposition in intestinal mucosa in a patient with
retroperitoneal liposarcoma.
AB - Pazopanib was administered to a 44-year-old man with local recurrence of
retroperitoneal liposarcoma. Computed tomography showed an intestinal edema,
which gradually progressed 15 months after pazopanib administration although he
had no clinical symptoms. Upper gastrointestinal endoscopy implicated marked
edematous hypertrophy of the Kerkling's fold. Pathological findings showed
crystal deposition and fat accumulation, without a malignant component. All these
abnormal findings resolved after pazopanib discontinuation.
PMID- 29651798
TI - Long-term persistence with mirabegron in a real-world clinical setting.
AB - OBJECTIVES: To examine the long-term persistence rate with mirabegron in a real
world clinical setting. METHODS: We retrospectively collected the data of
patients who were prescribed mirabegron. We investigated the persistence rate and
the reason for the discontinuation. The analysis included patient's age,
diagnosis, Overactive Bladder Symptom Score, prostatic volume, the prescription
by specialists for lower urinary tract dysfunction, drug-naive patients,
replacement of antimuscarinics or add-on therapy to antimuscarinics. RESULTS: A
total of 556 patients were included. Among them, 401 patients (72%) had
overactive bladder and the other 155 (28%) were categorized as having other
storage symptoms. During the observation period, 170 patients (42%) with
overactive bladder discontinued mirabegron. The reasons for discontinuation in
patients with overactive bladder included unmet expectation of treatment (45
patients, 26%), any adverse events (38 patients, 22%) or symptom improvement (37
patients, 22%). The persistence or discontinuation was not related with age,
Overactive Bladder Symptom Score, prostatic volume or the prescription by
specialists, while older male patients tended to continue mirabegron. The 3-year
persistence rates in female and male overactive bladder patients were 46% and
51%, respectively, and these were better than those in patients with storage
symptoms without urgency. In female overactive bladder patients, the persistence
rate with mirabegron used as add-on therapy to antimuscarinics was higher than
that in the drug-naive patients on the Kaplan-Meier curve. CONCLUSIONS: The
present study shows a relatively good long-term persistence rate with mirabegron
in overactive bladder patients, notwithstanding the retrospective study in an
academic hospital. The combined treatment with antimuscarinics could result in a
good persistence rate with mirabegron.
PMID- 29651799
TI - Fatal cervicomediastinal necrotising fasciitis due to cholesteatoma.
PMID- 29651800
TI - Caecal volvulus: a rare complication following a routine laparoscopic
appendicectomy.
PMID- 29651801
TI - Peritoneal encapsulation with an abnormal vessel in a band causing small bowel
obstruction: a rare entity.
PMID- 29651803
TI - Management of fungus balls as a result of Candida albicans.
PMID- 29651802
TI - Three-months optical coherence tomography analysis of a biodegradable polymer,
sirolimus-eluting stent.
AB - OBJECTIVE: We aimed to assess early neointimal healing by optical coherence
tomography (OCT) 3 months after implantation of the ultrathin Orsiro(r) sirolimus
eluting stent with biodegradable polymer. BACKGROUND: New generations of drug
eluting stents with biodegradable polymer have been developed to avoid the
continued vascular irritation of durable polymers. METHODS: In this prospective,
open-label study, 34 patients received an Orsiro(r) sirolimus-eluting stent with
biodegradable polymer. In a subgroup of patients (n = 15), the intervention was
performed under OCT guidance. All patients underwent OCT-examination at three
months. The primary endpoint was 3-month neointimal healing (NIH) score,
calculated by weighing the presence of filling defects, malapposed and uncovered
struts. Secondary endpoint was maturity of tissue coverage at 3 months. RESULTS:
At 3 months, NIH score was 13.7 (5.4-22), covered struts per lesion were 90% (84
97%), malapposed struts were 2.7% (0.8-5.4%) and rate of mature tissue coverage
was 47% (42-53%). No target lesion failure occurred up to 12 months. Patients
with OCT-guided stent implantation demonstrated a trend toward earlier stent
healing as demonstrated by superior NIH scores (angio guided: 17.6% [8.8-26.4];
OCT-guided: 9.8% [4.0-15.5]; mean difference -8, [95%CI: -18.7-2.9], P = 0.123).
This group had significantly more covered struts per lesion (angio-guided: 86%
[82-90]; 95% [92-99]; mean difference 9% [95%CI: 3-15], P = 0.001). CONCLUSION:
The Orsiro(r) sirolimus-eluting stent with biodegradable polymer shows early
vascular healing with a high rate of strut coverage at 3-month follow-up. OCT
guided stent implantation had a positive impact on early vascular healing.
PMID- 29651804
TI - A complex-systems paradigm can lead to evidence-based policymaking and impactful
action in substance misuse prevention-a rejoinder to Purshouse et al. (2018).
PMID- 29651805
TI - Editorial Comment to Non-invasive assessment of kidney allograft fibrosis with
shear wave elastography: A radiological-pathological correlation analysis.
PMID- 29651806
TI - Therapeutic effect of propiverine hydrochloride on mixed-type urinary
incontinence in women: The Female Urgency and Stress Urinary Incontinence Study
of Propiverine Hydrochloride trial.
AB - OBJECTIVES: To show the efficacy of propiverine hydrochloride in the management
of symptoms of stress urinary incontinence in female patients with mixed-type
urinary incontinence. METHODS: The study was carried out as a multicenter single
arm clinical trial at 64 institutions in Japan. The participants were female
patients aged >=20 years with mixed-type urinary incontinence. The frequency of
stress urinary incontinence and urgency urinary incontinence was evaluated at
baseline and 4, 8 and 12 weeks after treatment with propiverine hydrochloride.
Subjective symptoms were evaluated using the Overactive Bladder Symptom Score and
the International Consultation on Incontinence Questionnaire-Short Form.
Functional urethral length and maximum urethral closing pressure were also
measured at baseline and 12 weeks after treatment at the institutions where the
urethral pressure profile was taken. RESULTS: In total, 49 mixed-type urinary
incontinence patients were enrolled in the present study. The number of cases of
urgency urinary incontinence was reduced time-dependently, which showed
statistically significant differences between baseline and 4, 8 and 12 weeks
after treatment. A similar statistically different reduction was also observed
for stress urinary incontinence. The mean reduction rates of urgency urinary
incontinence and stress urinary incontinence at 12 weeks after treatment were
63.9% and 44.3%, respectively. The total scores of International Consultation on
Incontinence Questionnaire-Short Form and Overactive Bladder Symptom Score were
gradually reduced, and the differences were statistically significant. Functional
urethral length and maximum urethral closing pressure at 12 weeks after treatment
did not show any statistical differences compared with those at baseline.
CONCLUSIONS: Propiverine hydrochloride can be an effective therapeutic option for
stress urinary incontinence in patients with mixed-type urinary incontinence.
PMID- 29651807
TI - Editorial Comment to Influence of prior oral ethinylestradiol use on the efficacy
of enzalutamide for the treatment of castration-resistant prostate cancer in men.
PMID- 29651808
TI - Editorial Comment to Prostate-specific antigen screening impacts on biochemical
recurrence in patients with clinically localized prostate cancer.
PMID- 29651809
TI - Development of chemotherapy and significance of conversion surgery after
chemotherapy in unresectable pancreatic cancer.
AB - While surgery currently remains the only potentially curative treatment available
for pancreatic cancer, only 20% to 30% of patients have resectable disease at
diagnosis. Recently, with the introduction of intensive chemotherapy regimens
such as oxaliplatin, irinotecan, fluorouracil plus leucovorin (FOLFIRINOX) and
gemcitabine plus nab-paclitaxel, for the treatment of unresectable pancreatic
cancer, the antitumor activity and overall survival in patients with pancreatic
cancer have dramatically improved. These advances in intensive chemotherapy have
led to the possibility of conversion of unresectable disease to resectable
disease, and it has been reported that more than 20% of pancreatic cancer
patients with unresectable locally advanced disease at diagnosis undergo
successful conversion surgery after FOLFIRINOX therapy. In metastatic pancreatic
cancer, resection for the primary lesion of pancreatic cancer may show some
benefits for some patients with complete resolution of metastases by
chemotherapy. Furthermore, surgical resection in some patients with only a few
metastases, so-called oligometastases, have also been reported. Conversion
surgery is becoming increasingly possible with the introduction of intensive
chemotherapies, however, the actual clinical benefits of resection in such cases
has not yet been sufficiently investigated. The long-term safety, feasibility and
outcomes still need to be investigated in well-designed, multi-institutional
studies on a large number of patients.
PMID- 29651810
TI - Recurrent stone-forming patients have high visceral fat ratio based on computed
tomography images compared to first-time stone-forming patients.
AB - OBJECTIVES: To compare various fat parameters based on computed tomography images
between recurrent stone-forming patients and patients forming stones for the
first time. METHODS: Included in the present study were 300 patients with upper
urinary tract calculi who had undergone active stone removal in our hospital.
Using pretreatment computed tomography images, we measured visceral fat area and
volume, subcutaneous fat area and volume, visceral fat area ratio and visceral
fat volume ratio. We compared patient backgrounds and these fat parameters
between those who recurrently formed stones and those who formed stones for the
first time. We also performed logistic regression analysis to identify factors
that contribute to severe stones. RESULTS: A total of 148 (49.3%) patients were
recurrent stone-forming patients. Recurrent stone-forming patients were
statistically significantly younger (P < 0.01) and there were more male patients
(P < 0.01). In addition, visceral fat area ratio and visceral fat volume ratio in
recurrent stone-forming patients were significantly higher than those in first
time stone-forming patients (P = 0.03 and P = 0.01, respectively). On the other
hand, there was no significant difference in visceral fat area (P = 0.32),
subcutaneous fat area (P = 0.36), visceral fat volume (P = 0.38) or subcutaneous
fat volume (P = 0.23). Receiver operating characteristics analysis showed that
area under the curve of visceral fat volume ratio (0.583) for recurrent stones
was larger than that of visceral fat area ratio (0.571). In multivariate
analysis, increasing visceral fat volume ratio was an independent significant
predictor of recurrent stones (P = 0.04). CONCLUSIONS: Recurrent stone-forming
patients have high visceral fat ratios compared to first-time stone-forming
patients, shown here for the first time.
PMID- 29651811
TI - Acute chylous peritonitis as a result of jejunal volvulus and small bowel
obstruction from a congenital band adhesion.
PMID- 29651812
TI - Editorial Comment to Intensity ratio curve analysis of small renal masses on T2
weighted magnetic resonance imaging: Differentiation of fat-poor angiomyolipoma
from renal cell carcinoma.
PMID- 29651814
TI - Editorial Comment to Evaluating the predictive accuracy and the clinical benefit
of a nomogram aimed to predict survival in node-positive prostate cancer
patients: External validation on a multi-institutional database.
PMID- 29651813
TI - Contralateral adrenal width predicts the duration of prolonged post-surgical
steroid replacement for subclinical Cushing syndrome.
AB - OBJECTIVES: To identify pre-treatment factors affecting the duration of post
surgical steroid replacement in patients undergoing adrenalectomy for subclinical
Cushing syndrome. METHODS: The present retrospective analysis included 64
patients who underwent unilateral laparoscopic adrenalectomy for subclinical
Cushing syndrome. Adrenal tumor and contralateral adrenal sizes together with
various clinical factors were studied in association with the duration of post
surgical steroid replacement. Adrenal tumor and contralateral adrenal size were
measured at the level of the maximum transverse plane of the adrenal glands using
computed tomography scan or magnetic resonance imaging. Cox's proportional
hazards model was used for the statistical analysis. RESULTS: All 64 patients
were treated with post-surgical steroid replacement after adrenalectomy. The
median duration of the steroid treatment was 6 months. When assessing the
duration of post-surgical steroid replacement, contralateral adrenal volume
<0.745 cm3 , contralateral adrenal width <6.15 mm and serum cortisol after a 1-mg
dexamethasone suppression test >2.65 MUg/dL were significant predictors of
prolonged post-surgical steroid treatment on univariate analysis. On multivariate
analysis, contralateral adrenal width <6.15 mm was the only independent
predictive factor for the prolonged post-surgical steroid replacement.
CONCLUSIONS: Contralateral adrenal width seems to represent a significant
predictive factor for the duration of post-surgical steroid replacement in
subclinical Cushing syndrome patients. Pre-surgical assessment of image findings
might help clinicians determine the total duration of steroid therapy after
adrenalectomy.
PMID- 29651815
TI - 23rd Meeting of the ESNCH: Book of Abstracts, Prague, Czech Republic, April 13
16, 2018.
PMID- 29651816
TI - Theoretical and hands-on guidance from dental hygienists promotes good oral
health in elderly people living in nursing homes, a pilot study.
AB - OBJECTIVES: Oral health in nursing homes for elderly is often unsatisfactory, and
oral health education to nursing staff has not shown sufficient results why there
is need for novel approaches. The aim of the study was to trial a new oral
healthcare educational programme and to evaluate the effects on residents' oral
health. In addition, attitudes among the nursing staff in the intervention
nursing home were explored. METHODS: In a controlled clinical trial, two
comparable nursing homes were randomly assigned for intervention or control.
Interventions included weekly theoretical and hands-on guidance from dental
hygienists on oral hygiene procedures and discussions on oral care routines. The
residents' oral health, measured by the Revised Oral Assessment Guide (ROAG),
dental plaque and gingival bleeding were evaluated at baseline and after 3
months. Attitudes among the staff to oral health care were measured at the
intervention nursing home. RESULTS: Revised Oral Assessment Guide gums and lips
scores showed a tendency to decrease in the intervention group, but remained high
in the control group. Plaque levels improved significantly after intervention,
and a trend towards less gingival bleeding was observed. The intervention nursing
staff seemed to be more aware of their own limitations concerning oral health
care after intervention and valued more frequent contact with dental services to
a greater extent. CONCLUSIONS: The oral healthcare situation for elderly people
today is so complex that theoretical education at the group level regarding
different aspects of oral health is not sufficient. Individual hands-on guidance
by dental hygienists on a regular basis in everyday care may be a new approach.
PMID- 29651817
TI - Two Cases of Chloromethylisothiazolinone and Methylisothiazolinone-associated
Toxic Lung Injury.
AB - Previous animal studies have not conclusively determined the association between
exposure to humidifier disinfectants (HDs) containing 5-chloro-2-methyl-4
isothiazolin-3-one (CMIT) and/or 2-methyl-4-isothiazolin-3-one (MIT) and
development of HD-associated lung injuries. Nonetheless, patients exposed to HDs
containing only CMIT and/or MIT showed clinically similar lung injuries to those
exposed to HDs containing polyhexamethylene guanidine (PHMG) or oligo (2-[2
ethoxy]ethoxyethyl) guanidinium chloride (PGH). Here, we report twin sisters with
lung injuries associated with exposure to CMIT/MIT-containing HDs. At 6 months of
age, a younger twin sister presented with the 3-day history of cough, sputum, and
respiratory difficulty. Chest radiography revealed multiple patchy consolidation
and ground-glass opacities with pneumothorax and pneumomediastinum. Thoracostomy
was performed due to pneumothorax at admission and she was discharged at 11 days
of hospitalization. At 5 years of age, multiple tiny nodules and faint
centrilobular ground-glass opacities were observed with the small pneumatocele.
The elder sister visited a tertiary hospital due to dyspnea at 12 months of age.
Chest radiography showed consolidation, pneumomediastinum, and pulmonary
interstitial emphysema. There was no response to the administration of
immunosuppressant drugs and antifibrotic agents. At 5 years of age, chest CT
revealed ground-glass opacity and multiple tiny centrilobular ground-glass
opacities nodules in both lungs with exercise intolerance.
PMID- 29651818
TI - Effects on Periocular Tissues after Proton Beam Radiation Therapy for Intraocular
Tumors.
AB - BACKGROUND: To present our experience on orbital and periorbital tissue changes
after proton beam radiation therapy (PBRT) in patients with intraocular tumors,
apart from treatment outcomes and disease control. METHODS: Medical records of 6
patients with intraocular tumors who had been treated with PBRT and referred to
oculoplasty clinics of two medical centers (Seoul National University Hospital
and Seoul Metropolitan Government-Seoul National University Boramae Medical
Center) from October 2007 to September 2014 were retrospectively reviewed. The
types of adverse effects associated with PBRT, their management, and progression
were analyzed. In anophthalmic patients who eventually underwent enucleation
after PBRT due to disease progression, orbital volume (OV) was assessed from
magnetic resonance (MR) images using the Pinnacle3 program. RESULTS: Among the
six patients with PBRT history, three had uveal melanoma, and three children had
retinoblastoma. Two eyes were treated with PBRT only, while the other four eyes
ultimately underwent enucleation. Two eyes with PBRT only suffered from radiation
dermatitis and intractable epiphora due to canaliculitis or punctal obstruction.
All four anophthalmic patients showed severe enophthalmic features with
periorbital hollowness. OV analysis showed that the difference between both
orbits was less than 0.1 cm before enucleation, but increased to more than 2 cm3
after enucleation. CONCLUSION: PBRT for intraocular tumors can induce various
orbital and periorbital tissue changes. More specifically, when enucleation is
performed after PBRT due to disease progression, significant enophthalmos and OV
decrease can develop and can cause poor facial cosmesis as treatment sequelae.
PMID- 29651819
TI - Biochemical Predictors of Early Onset Non-Alcoholic Fatty Liver Disease in Young
Children with Obesity.
AB - BACKGROUND: The prevalence of metabolic syndrome (MS) and non-alcoholic fatty
liver disease (NAFLD) and their associated risk factors are not well-established
in young children with obesity. The purpose of this study was to evaluate the
prevalence of early onset NAFLD and identify its biochemical predictors in obese
children aged less than 10 years. METHODS: Anthropometric measurements, blood
pressure, laboratory tests, and abdominal ultrasonography (USG) were performed in
all subjects. National Cholesterol Education Program-Adult Treatment Panel III
(NCEP-ATP III) criteria for MS diagnosis and liver enzymes and USG for NAFLD
diagnosis were assessed. RESULTS: A total of 356 children with obesity (233 boys,
123 girls) were included, with 172 children age <= 10 years and 184 adolescents.
The prevalence of MS was 23.3% in young children and 35.3% in adolescents (P =
0.020); while the prevalence of NAFLD was 36.0% and 70.7%, respectively (P =
0.001). In obese children aged 10 years or less, there were significant
differences in levels of serum gamma-glutamyltranspeptidase (gammaGT) (P <
0.001), triglycerides (P = 0.042), and homeostatic model assessment of insulin
resistance (P < 0.001) between the non-NAFLD and the NAFLD group. Multivariate
logistic regression analysis revealed significant increase in serum gammaGT and
uric acid levels in young children. CONCLUSION: Although MS and NAFLD were more
prevalent in adolescents, young children also demonstrated MS and NAFLD as
obesity-related complications. Elevated serum gammaGT and uric acid levels may
serve as biochemical predictors in detecting NAFLD in young children with obesity
before investigation with abdominal USG.
PMID- 29651820
TI - Seroprevalence of Opsonophagocytic Antibodies against Serotype Ia, Ib, II, III,
and V Group B Streptococcus among Korean Population.
AB - BACKGROUND: Invasive Streptococcus agalactiae (group B streptococcus, GBS)
infection most commonly occurs in infants; however, cases of GBS infection in
adults, particularly in the elderly with significant underlying diseases, are
being increasingly reported. We analyzed the serotype specific opsonophagocytic
antibodies (the major mechanism of protection against GBS) in infants, adults,
and the elderly. METHODS: The opsonization indices (OIs) of antibodies against
serotype Ia, Ib, II, III, and V GBS were studied in 89 infants, 35 adults (age,
30-50 years), and 62 elderly individuals (age, 65-85 years) according to the
University of Alabama at Birmingham GBS opsonophagocytic killing assay protocol
(www.vaccine.uab.edu). RESULTS: In infants, adults, and elderly groups
respectively, geometric mean of OI against GBS serotype Ia were 3, 7, and 32;
against GBS serotype Ib were 7, 242, and 252; against serotype II were 93, 363,
and 676; against serotype III were 8, 212, and 609; and against serotype V were
4, 639, and 610. The seropositive rate (% of subjects with OI >= 4) increased
significantly in older age group for all five serotypes. CONCLUSION: During
infancy, only a limited proportion of infants have functional immunity against
serotype Ia, Ib, II, III, and V GBS. Furthermore, a lack of opsonic activities
against GBS observed in some adults and the elderly might predispose such
individuals to the risk of invasive GBS infection. Epidemiological monitoring and
development of suitable vaccine for these populations are needed.
PMID- 29651822
TI - Importance of Functional Assessment of Immunity against Group B Streptococcus.
PMID- 29651821
TI - Exploratory Factor Analysis of the Beck Anxiety Inventory and the Beck Depression
Inventory-II in a Psychiatric Outpatient Population.
AB - BACKGROUND: To further understand the relationship between anxiety and
depression, this study examined the factor structure of the combined items from
two validated measures for anxiety and depression. METHODS: The participants were
406 patients with mixed psychiatric diagnoses including anxiety and depressive
disorders from a psychiatric outpatient unit at a university-affiliated medical
center. Responses of the Beck Anxiety Inventory (BAI), Beck Depression Inventory
(BDI)-II, and Symptom Checklist-90-Revised (SCL-90-R) were analyzed. We conducted
an exploratory factor analysis of 42 items from the BAI and BDI-II. Correlational
analyses were performed between subscale scores of the SCL-90-R and factors
derived from the factor analysis. Scores of individual items of the BAI and BDI
II were also compared between groups of anxiety disorder (n = 185) and depressive
disorder (n = 123). RESULTS: Exploratory factor analysis revealed the following
five factors explaining 56.2% of the total variance: somatic anxiety (factor 1),
cognitive depression (factor 2), somatic depression (factor 3), subjective
anxiety (factor 4), and autonomic anxiety (factor 5). The depression group had
significantly higher scores for 12 items on the BDI while the anxiety group
demonstrated higher scores for six items on the BAI. CONCLUSION: Our results
suggest that anxiety and depressive symptoms as measured by the BAI and BDI-II
can be empirically differentiated and that particularly items of the cognitive
domain in depression and those of physical domain in anxiety are noteworthy.
PMID- 29651823
TI - Weak light emission of soft tissues induced by heating.
AB - The main goal of this work is to show that soft tissue interaction with high
intensity focused ultrasound (HIFU) or direct heating leads to a weak light
emission detectable using a small animal optical imaging system. Our results show
that the luminescence signal is detectable after 30 min of heating, resembling
the time scale of delayed luminescence. The imaging of a soft tissue after
heating it using an HIFU field shows that the luminescence pattern closely
matches the shape of the cone typical of the HIFU beam. We conclude that heating
a soft tissue using two different sources leads to the emission of a weak
luminescence signal from the heated region with a decay half-life of a few
minutes (4 to 6 min). The origin of such light emission needs to be further
investigated.
PMID- 29651824
TI - Effect of optical aberrations on intraocular pressure measurements using a
microscale optical implant in ex vivo rabbit eyes.
AB - Elevated intraocular pressure (IOP) is the only modifiable major risk factor of
glaucoma. Recently, accurate and continuous IOP monitoring has been demonstrated
in vivo using an implantable sensor based on optical resonance with remote
optical readout to improve patient outcomes. Here, we investigate the
relationship between optical aberrations of ex vivo rabbit eyes and the
performance of the IOP sensor using a custom-built setup integrated with a Shack
Hartmann sensor. The sensor readouts became less accurate as the aberrations
increased in magnitude, but they remained within the clinically acceptable range.
For root-mean-square wavefront errors of 0.10 to 0.94 MUm, the accuracy and the
signal-to-noise ratio were 0.58 +/- 0.32 mm Hg and 15.57 +/- 4.85 dB,
respectively.
PMID- 29651826
TI - New percutaneous interventions in heart failure.
AB - Chronic heart failure (HF) is one of the main causes of mortality and morbidity
worldwide and represents a growing challenge in interventional cardiology. Its
prevalence is recently increasing due to the improvements in cardiac care with
subsequent significant reduction in the HF acute mortality. HF may occur with
either a reduced left ventricular ejection fraction (LVEF) (LVEF<40%, HFrEF) or a
preserved EF (typically LVEF>=50%, HFpEF) indicative of diastolic dysfunction.
Despite recent advances in drug therapies as well as in nonpharmacological
strategies, including defibrillators, cardiac resynchronization therapy, LV
assist devices (LVAD), mortality in HF remains high. New transcatheter
implantable devices have been developed to improve the treatment of chronic HF.
In this review we will summarize data on interventional HF devices that are
currently approved or under investigation including treatment alternatives for
mitral (MR) and tricuspid regurgitation (TR), left ventricle restoration through
partitioning devices and left atrial decompression with shunting devices.
PMID- 29651825
TI - Feasibility of using optical coherence tomography to detect acute radiation
induced esophageal damage in small animal models.
AB - Lung cancer survival is poor, and radiation therapy patients often suffer serious
treatment side effects. The esophagus is particularly sensitive leading to acute
radiation-induced esophageal damage (ARIED). We investigated the feasibility of
optical coherence tomography (OCT) for minimally invasive imaging of the
esophagus with high resolution (10 MUm) to detect ARIED in mice. Thirty mice
underwent cone-beam computed tomography imaging for initial setup assessment and
dose planning followed by a single-dose delivery of 4.0, 10.0, 16.0, and 20.0 Gy
on 5.0-mm spots, spaced 10.0 mm apart in the esophagus. They were repeatedly
imaged using OCT up to three months postirradiation. We compared OCT findings
with histopathology obtained three months postirradiation qualitatively and
quantitatively using the contrast-to-background-noise ratio (CNR). Histopathology
mostly showed inflammatory infiltration and edema at higher doses; OCT findings
were in agreement with most of the histopathological reports. We were able to
identify the ARIED on OCT as a change in tissue scattering and layer thickness.
Our statistical analysis showed significant difference between the CNR values of
healthy tissue, edema, and inflammatory infiltration. Overall, the average CNR
for inflammatory infiltration and edema damages was 1.6-fold higher and 1.6-fold
lower than for the healthy esophageal wall, respectively. Our results showed the
potential role of OCT to detect and monitor the ARIED in mice, which may
translate to humans.
PMID- 29651827
TI - Influence of pharmacokinetic/pharmacodynamic ratio on vancomycin treatment
response in paediatric patients with Staphylococcus aureus bacteremia.
AB - BACKGROUND: Staphylococcus aureus is a frequent cause of hospital-acquired
bacteremia in paediatrics patients. Vancomycin is the drug of choice for the
treatment of methicillin-resistant strains, although treatment failure is
frequently observed. Area under the curve (AUC) of plasma concentrations over the
minimum inhibitory concentration (MIC) has been proposed as the best index to
predict treatment response, although information about its clinical impact on
paediatric patients is scarce. The objective of this study is to determine if
early recovery of an AUC/MIC>400 mg*h/L for vancomycin in paediatric patients
with S. aureus bacteremia is associated with clinical and microbiological
treatment response. METHODS: Retrospective observational study. Paediatric
patients younger than 3 years with vancomycin-treated S. aureus bacteremia were
included. The pharmacokinetic parameters were calculated from the vancomycin
value obtained in the first 72 hours of treatment, assuming a bicompartmental
model. A multivariate analysis was performed to analyze factors associated with
early clinical response, treatment failure, microbiological response and 30-day
mortality. RESULTS: 51 patients with S. aureus bacteremia were included in the
study. In 18 patients (35.3%), strains with a MIC higher than 1.0 mg/L were
isolated, being in eight (15.7%) greater than 1.5 mg/L. 22 (43.1%) patients did
not reach an estimated AUC/MIC>400 during the first 72 hours. A significant
association was observed between attainment of an AUC/MIC>400 and early clinical
response [OR:3.23(95%CI:1.07-12.03)]. No significant association was found
between an AUC/MIC>400 and microbiological response or mortality. CONCLUSIONS: An
AUC/MIC>400 is associated with early response to vancomycin in paediatric
patients with S. aureus bacteremia.
PMID- 29651828
TI - Long term survival of a pediatric patient affected with atelosteogenesis type 3
in an adult intensive care unit.
PMID- 29651829
TI - Incidental finding of an Xq microdeletion in a girl with Trichorhinophalangeal
Syndrome Type I harboring a novel TRPS1 Nonsense mutation.
PMID- 29651830
TI - Qualitative and quantitative revaluation of specific learning disabilities: a
multicentric study.
AB - BACKGROUND: Specific learning disabilities are disorders that affect the
instrumental skills of academic learning, leaving intact the general intellectual
functioning. It is possible to distinguish: dyslexia, dysorthography, dysgraphia,
and dyscalculia. The diagnosis is made according to DSMV. The aim of this study
is to evaluate the implementation of Law N degrees 170 following a diagnosis of
specific learning disabilities in children and their evolution over time.
METHODS: The sample under examination consists of 75 children, 56 males and 18
females aged 7,8 to 16 years, with a diagnosis of specific learning disabilities;
a revaluation was carried outthrough the use of standardized instruments
according to age and school attended. A twopart questionnaire was proposed: the
first part turned to the parents/carers of the child and the second part turned
to the boy himself. The improvement parameter has been linked, through a
statistical analysis of univarianza with intelligence quotient, age, application
of the law 10 October 2010 n 170, rehabilitative paths and attending afterschool
program. RESULTS: Most of the guys are followed at school by the application of
the law 170 and, outside school, by attending speech and neuropsychological
therapy and after school. Going to investigate the actual use of the measures put
in place by the school, it is evident a partial and incomplete application of Law
170. CONCLUSIONS: The most suitable measures for these children are pedagogical
measures in order to make them integrate with the group class and strengthen
their capacities through specific measures provided by a specific legislative
decree.
PMID- 29651831
TI - Does age affect outcome in children with clavicle fracture treated
conservatively? QuickDash and MRC evaluation of 131 consecutive cases.
AB - BACKGROUND: Non-operative treatment with immobilization is the gold-standard for
paediatric clavicular fractures. Purpose of this study is to evaluate functional
outcomes and efficacy of non-operative treatment of clavicular fractures in a
succession of 131 children. METHODS: Between 2006 and 2012, we treated non
surgically 131 children for a clavicular fracture. All fractures have been
classified according to Robinson classification. Clavicle shortening, range of
movements and muscular strength through the Medical Research Council (MRC) scale
were evaluated. To assess the outcomes, QuickDASH questionnaire, dividing the
sample in 3 age-related group, was administered. RESULTS: The average follow-up
was 26 months (8-84 months). Clavicle shortening at the time of injury occurred
in 18 cases. All fractures reached union. Average time to union was 34 days. Mean
time return to activity was 12.6 weeks. No cases of nonunion or delayed union
were reported. Complications occurred in 21 cases. A shortening persisted in 2
cases. Only one patient had a slight functional restriction. Average QuickDASH
score was 6.2+/-1.1 (range 4.3-9.4). All patients recovered to a MRC score of 5,
except for one patient with a score of 4. Best QuickDASH scores were observed in
the group aged under 8 years and in non-comminuted and lateral third fractures of
the clavicle. CONCLUSIONS: Observing results, clavicle fractures have a
satisfactory clinical healing as shown by the good scores at QuickDASH and MRC
scale. Younger children under 8 years can achieve the best results with a
conservative treatment in terms of bone healing and activity level.
PMID- 29651832
TI - Psychological wellbeing in parents of children with phenylketonuria and
association with treatment adherence.
AB - BACKGROUND: Phenylketonuria (PKU) is a rare metabolic disorder that leads to
severe neurological abnormalities unless early treated with a strict
phenylalanine (Phe)-restricted diet. The parents' involvement in PKU management
is crucial and could lead to psychological distress; however, few studies have
explored the parents' psychological wellbeing. The study aimed to: 1) evaluate
the presence of psychological distress and impaired quality of life among parents
of children with PKU, assessing the load of the diagnosis and the load of the
treatment management; 2) explore the associations with their children's blood-Phe
levels. METHODS: 138 parents of patients with PKU (who need a Phe-restricted
diet) and with a mild form (mild hyperphenylalaninemia-MHP, with no diet
indication) filled-out self-report psychological questionnaires; Phe-levels of
children with PKU were retrieved. RESULTS: Parents of children with PKU did not
report higher levels of psychological distress neither compared with the
normative scores nor with parents of children with MHP. Optimal Phe- levels were
associated with a higher number of parents' depressive complaints, with a lower
tendency to express anger feelings, with a lower Social functioning, and a higher
Mental health. CONCLUSIONS: Parents of children with PKU showed a good
psychological adaptation to their children's disease and treatment. Findings
highlighted associations between parents' psychological wellbeing and their
children's adherence to diet. Interestingly, an optimal adherence to the diet of
their children was associated with parental low social functioning, a higher
tendency to control the anger expression, and greater somatic depressive
symptoms.
PMID- 29651833
TI - Growth recovery in newly arrived international adoptees in Italy: relation to
parenting stress.
AB - BACKGROUND: Following initial adversities, most internationally adopted children
arrive with significant growth delays. Post-placement recovery has been widely
documented, but research about risk or protective factors is still limited. Even
less is known about the relationship between growth recovery and the quality of
the family environment. METHODS: 28 children in 26 adoptive families were
involved in this longitudinal study. A comprehensive evaluation (including
anthropometry, cognitive assessment [using the Leiter International Performance
Scale-Revised], and completion by both parents of the Parenting Stress Index -
Short Form) was done at arrival of the child, and 1 year later. RESULTS: Results
evidenced that on arrival nearly half of children had growth measurements in the
normal range. All the children showed a significant recovery in height and weight
at 6 and 12 months post-placement. Initial and follow up growth measurements
correlated strongly. Growth recovery was related to the age of the child at
adoption, the proportion of time the child had resided in institutional care, as
well as parenting stress. Results suggested that the higher the parenting stress
experienced the less improvements occurred in children: for mothers for height
and weight, for fathers for all the growth indicators. CONCLUSIONS: Results
suggested the critical importance of family factor in influencing children's
growth recovery.
PMID- 29651835
TI - Use of a probiotic mixture containing Bifidobacterium animalis subsp. lactis BB12
and Enterococcus faecium L3 in atopic children.
AB - BACKGROUND: Imbalance of the human gut microbiota in childhood, mainly due to low
gut biodiversity and a low bifidobacterial load, has been suggested as a risk
factor for atopy. Administration of Enterococcus faecium L3 in infants has been
shown to increase the gut bifidobacterial count. The aim was to verify if a
mixture of Bifidobacterium animalis subsp. lactis BB12 and E. faecium L3 could
reduce the signs, symptoms and need for drugs in atopic children. METHODS: We
retrospectively analyzed, and compared with controls, clinical outcomes following
use of BB12 and L3 strains when administered 3 months before or during the
development of signs and symptoms of atopy. RESULTS: When administered in the 3
months before the development of atopy, the BB12 and L3 strains significantly
reduced (P<0.001) rhinitis, watery eyes and cough/bronchospasm. However, reduced
efficacy was observed when the mixture was given during the 3 months of atopy.
The mixture of strains also significantly reduced the use of oral antihistamines,
inhaled corticosteroids (in the same children in two different years) and oral
corticosteroids (in different children in the same year). CONCLUSIONS: When
administered as a prophylactic, the mixture of BB12 and L3 (iNatal Ped(r))
statistically decreases the signs and symptoms of atopy and reduces the use of
drugs. Administration of the same probiotics as treatment after the appearance of
atopy is less effective.
PMID- 29651834
TI - Efficacy of anthropometric measures for identifying cardiovascular disease risk
in adolescents: review and meta-analysis.
AB - INTRODUCTION: To compare the ability of Body Mass Index (BMI), waist
circumference (WC) and waist to height ratio (WHtR) to estimate cardiovascular
disease (CVD) risk levels in adolescents. EVIDENCE ACQUISITION: A systematic
review and meta-analysis was performed after a database search for relevant
literature (Cochrane, Centre for Review and Dissemination, PubMed, British
Nursing Index, CINAHL, BIOSIS citation index, ChildData, metaRegister). EVIDENCE
SYNTHESIS: The study included 117 records representing 96 studies with 994,595
participants were included in the systematic review, 14 of which (13 studies,
N.=14,610) were eligible for the meta-analysis. The results of the meta-analysis
showed that BMI was a strong indicator of systolic blood pressure, diastolic
blood pressure, triglycerides, high-density lipoprotein cholesterol and insulin;
but not total cholesterol, low-density lipoprotein or glucose. Few studies were
eligible for inclusion in the meta-analysis considering WC or WHtR (N.<=2). The
narrative synthesis found measures of central adiposity to be consistently valid
indicators of the same risk factors as BMI. CONCLUSIONS: BMI was an indicator of
CVD risk. WC and WHtR were efficacious for indicating the same risk factors BMI
performed strongly for, though there was insufficient evidence to judge the
relative strength of each measure possibly due to heterogeneity in the methods
for measuring and classifying WC.
PMID- 29651836
TI - Near-Infrared Light-Activated Thermosensitive Liposomes as Efficient Agents for
Photothermal and Antibiotic Synergistic Therapy of Bacterial Biofilm.
AB - Biofilm is closely related to chronic infections and is difficult to eradicate.
Development of effective therapy strategies to control biofilm infection is still
challenging. Aiming at biofilm architecture, we designed and prepared near
infrared-activated thermosensitive liposomes with photothermal and antibiotic
synergistic therapy capacity to eliminate Pseudomonas aeruginosa biofilm. The
liposomes with positive charge and small size aided to enter the biofilm
microchannels and locally released antibiotics in infection site. The liposomes
could remain stable at 37 degrees C and release about 80% antibiotics over 45
degrees C. The biofilm dispersion rate was up to 80%, which was a 7- to 8-fold
rise compared to excess antibiotic alone, indicating that the localized
antibiotic release and photothermal co-therapy improved the antimicrobial
efficiency. In vivo drug-loaded liposomes in treating P. aeruginosa-induced
abscess exhibited an outstanding therapeutic effect. Furthermore, photothermal
treatment could stimulate the expression of bcl2-associated athanogene 3 to
prevent normal tissue from thermal damage. The near-infrared-activated
nanoparticle carriers had the tremendous therapeutic potential to dramatically
enhance the efficacy of antibiotics through thermos-triggered drug release and
photothermal therapy.
PMID- 29651837
TI - Synthesis of 2-Aminopyridines via a Base-Promoted Cascade Reaction of N
Propargylic beta-Enaminones with Formamides.
AB - N-Substituted formamides as nucleophiles react with in situ-generated 1,4
oxazepines from N-propargylic beta-enaminones followed by spontaneous N
deformylation to deliver densely substituted 2-aminopyridines in good yields (31
88%). The formyl group is found to be a superior traceless activating group of
free amines and would ultimately be removed in situ. This reaction proceeds
smoothly at room temperature, in the presence of NaOH as sole additive, without
protection from the atmosphere and generates H2O and sodium formate as
byproducts.
PMID- 29651839
TI - Functionalization Pattern of Graphene Oxide Sheets Controls Entry or Produces
Lipid Turmoil in Phospholipid Membranes.
AB - Molecular dynamics, coarse-grained to the level of hydrophobic and hydrophilic
interactions, shows that graphene oxide sheets, GOSs, can pierce through the
phospholipid membrane and navigate the double layer only if the hydrophilic
groups are randomly dispersed in the structure. Their behavior resembles that
found in similar calculations for pristine graphene sheets. If the oxidation is
located at the edge of the sheets, GOSs hover over the membrane and trigger a
major reorganization of the lipids. The reorganization is the largest when the
radius of the edge-functionalized sheet is similar to the length of the
lipophilic chain of the lipids. In the reorganization, the heads of the lipid
chains form dynamical structures that pictorially resemble the swirl of water
flowing down a drain. All effects maximize the interaction between hydrophobic
moieties on the one hand and lipophilic fragments on the other and are
accompanied by a large number of lipid flip-flops. Possible biological
consequences are discussed.
PMID- 29651838
TI - Analysis and Identification of 2'-Deoxyadenosine-Derived Adducts in Lung and
Liver DNA of F-344 Rats Treated with the Tobacco-Specific Carcinogen 4
(Methylnitrosamino)-1-(3-pyridyl)-1-butanone and Enantiomers of its Metabolite 4
(Methylnitrosamino)-1-(3-pyridyl)-1-butanol.
AB - 4-(Methylnitrosamino)-1-(3-pyridyl)-1-butanone (NNK) and its metabolite 4
(methylnitrosamino)-1-(3-pyridyl)-1-butanol (NNAL) are carcinogenic in animal
models and are believed to play an important role in human lung carcinogenesis
for cigarette smokers. Cytochrome P450-mediated metabolism of these tobacco
specific nitrosamines produces reactive species that alkylate DNA in the form of
pyridyloxobutyl (POB)- or pyridylhydroxybutyl (PHB)-DNA adducts. Understanding
the formation mechanism and overall levels of these adducts can potentially
enhance cancer prevention methods through the identification of particularly
susceptible smokers. Previous studies have identified and measured a panel of POB
and PHB-DNA base adducts of dGuo, dCyd, and Thd; however, dAdo adducts have yet
to be determined. In this study, we complete this DNA adduct panel by identifying
and quantifying levels of NNK- and NNAL-derived dAdo adducts in vitro and in
vivo. To accomplish this, we synthesized standards for expected dAdo-derived DNA
adducts and used isotope-dilution LC-ESI+-MS/MS to identify POB adducts formed in
vitro from the reaction of 4-(acetoxymethylnitrosamino)-1-(3-pyridyl)-1-butanone
(NNKOAc) with calf thymus DNA. Adduct levels were then quantified in lung and
liver DNA of rats chronically treated with NNK or NNAL for 50 weeks using similar
LC-MS detection methods. The in vitro studies identified N6-POB-dAdo and N1-POB
dIno as products of the reaction of NNKOAc with DNA, which supports our proposed
mechanism of formation. Though both N6-dAdo and N1-dIno adducts were found in
vitro, only N6-dAdo adducts were found in vivo, implying possible intervention by
DNA repair mechanisms. Analogous to previous studies, levels of N6-POB-dAdo and
N6-PHB-dAdo varied both with tissue and treatment type. Despite the adduct levels
being relatively modest compared to most other POB- and PHB-DNA adducts, they may
play a biological role and could be used in future studies as NNK- and NNAL
specific DNA damage biomarkers.
PMID- 29651840
TI - Glucosamine-Based Supramolecular Nanotubes for Human Mesenchymal Cell Therapy.
AB - Herein, we demonstrate an example of glucosamine-based supramolecular hydrogels
that can be used for human mesenchymal cell therapy. We designed and synthesized
a series of amino acid derivatives based on a strategy of capping d-glucosamine
moiety at the C-terminus and fluorinated benzyl group at the N-terminus. From a
systematic study on chemical structures, we discovered that the glucosamine-based
supramolecular hydrogel [pentafluorobenzyl (PFB)-F-Glu] self-assembled with one
dimensional nanotubular structures at physiological pH. The self-assembly of a
newly discovered PFB-F-Glu motif is attributed to the synergistic effect of pi-pi
stacking and extensive intermolecular hydrogen bonding network in aqueous medium.
Notably, PFB-F-Glu nanotubes are proven to be nontoxic to human mesenchymal stem
cells (hMSCs) and have been shown to enhance hMSC proliferation while maintaining
their pluripotency. Retaining of pluripotency capabilities provides potentially
unlimited source of undifferentiated cells for the treatment of future cell
therapies. Furthermore, hMSCs cultured on PFB-F-Glu are able to secrete paracrine
factors that downregulate profibrotic gene expression in lipopolysaccharide
treated human skin fibroblasts, which demonstrates that PFB-F-Glu nanotubes have
the potential to be used for wound healing applications. Overall, this article
addresses the importance of chemical design to generate supramolecular
biomaterials for stem cell therapy.
PMID- 29651841
TI - Flexible and Compressible PEDOT:PSS@Melamine Conductive Sponge Prepared via One
Step Dip Coating as Piezoresistive Pressure Sensor for Human Motion Detection.
AB - Flexible and wearable pressure sensor may offer convenient, timely, and portable
solutions to human motion detection, yet it is a challenge to develop cost
effective materials for pressure sensor with high compressibility and
sensitivity. Herein, a cost-efficient and scalable approach is reported to
prepare a highly flexible and compressible conductive sponge for piezoresistive
pressure sensor. The conductive sponge, poly(3,4
ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)@melamine sponge (MS),
is prepared by one-step dip coating the commercial melamine sponge (MS) in an
aqueous dispersion of poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate)
(PEDOT:PSS). Due to the interconnected porous structure of MS, the conductive
PEDOT:PSS@MS has a high compressibility and a stable piezoresistive response at
the compressive strain up to 80%, as well as good reproducibility over 1000
cycles. Thereafter, versatile pressure sensors fabricated using the conductive
PEDOT:PSS@MS sponges are attached to the different parts of human body; the
capabilities of these devices to detect a variety of human motions including
speaking, finger bending, elbow bending, and walking are evaluated. Furthermore,
prototype tactile sensory array based on these pressure sensors is demonstrated.
PMID- 29651842
TI - Oritavancin Retains a High Affinity for a Vancomycin-Resistant Cell-Wall
Precursor via Its Bivalent Motifs of Interaction.
AB - Despite its potent antibacterial activities against drug-resistant Gram-positive
pathogens, oritavancin remains partially understood with respect to its primary
mode of hydrogen bond interaction with a cell-wall peptide regarding the role of
its lipophilic 4'-chlorobiphenyl moiety. Here we report a surface plasmon
resonance (SPR) study performed in two cell-wall model surfaces, each prepared by
immobilization with a vancomycin-susceptible Lys-d-Ala-d-Ala or vancomycin
resistant Lys-d-Ala-d-Lac peptide. Analysis of binding kinetics performed on the
peptide surface showed that oritavancin bound ~100-1000-fold more tightly than
vancomycin on each model surface. Ligand competition experiments conducted by SPR
and fluorescence spectroscopy provided evidence that such affinity enhancement
can be attributed to its 4'-chlorobiphenyl moiety, possibly through a hydrophobic
interaction that led to a gain of free energy with a contribution from enthalpy
as suggested by a variable-temperature SPR experiment. On the basis of these
findings, we propose a model for the bivalent motifs of interaction of
oritavancin with cell-wall peptides, by which the drug molecule can retain a
strong interaction even with the vancomycin-resistant peptide. In summary, this
study advances our understanding of oritavancin and offers new insight into the
significance of bivalent motifs in the design of glycopeptide antibiotics.
PMID- 29651843
TI - Tunable Resonance Coupling in Single Si Nanoparticle-Monolayer WS2 Structures.
AB - Two-dimensional semiconducting transition metal dichalcogenides (TMDCs) are
extremely attractive materials for optoelectronic applications in the visible and
near-infrared range. Coupling these materials to optical nanocavities enables
advanced quantum optics and nanophotonic devices. Here, we address the issue of
resonance coupling in hybrid exciton-polariton structures based on single Si
nanoparticles (NPs) coupled to monolayer (1L)-WS2. We predict a strong coupling
regime with a Rabi splitting energy exceeding 110 meV for a Si NP covered by 1L
WS2 at the magnetic optical Mie resonance because of the symmetry of the mode.
Further, we achieve a large enhancement in the Rabi splitting energy up to 208
meV by changing the surrounding dielectric material from air to water. The
prediction is based on the experimental estimation of TMDC dipole moment
variation obtained from the measured photoluminescence spectra of 1L-WS2 in
different solvents. An ability of such a system to tune the resonance coupling is
realized experimentally for optically resonant spherical Si NPs placed on 1L-WS2.
The Rabi splitting energy obtained for this scenario increases from 49.6 to 86.6
meV after replacing air by water. Our findings pave the way to develop high
efficiency optoelectronic, nanophotonic, and quantum optical devices.
PMID- 29651844
TI - Strategy for Comprehensive Identification of Acylcarnitines Based on Liquid
Chromatography-High-Resolution Mass Spectrometry.
AB - Carnitines play important roles in fatty acid oxidation and branched chain amino
acid metabolism. The disturbance of acylcarnitines is associated with occurrence
and development of many diseases. Comprehensive acylcarnitine identification can
greatly benefit their targeted detection, following disease differential
diagnosis and possible mechanism study. In this study, we developed a novel
strategy to identify as many acylcarnitines as possible based on liquid
chromatography-high-resolution mass spectrometry (LC-HRMS). The layer-layer
progressive strategy first integrated the initial full scan MS/data-dependent
MS/MS monitoring (ddMS2) acquisition and the following parallel reaction
monitoring (PRM) to analyze a pooled biological sample. Also 733 possible
acylcarnitines were identified containing characteristic high-resolution MS/MS
features. Further, accurate mass, retention rules, and HRMS/MS information were
used to define subclasses and predict undetected acylcarnitine homologues in each
subclass, leading to more acylcarnitines to our newly constructed database. As a
result, 758 acylcarnitines were contained in the database, having exact mass,
retention time, and MS/MS information, which is the most comprehensive list of
acylcarnitines reported to date. Applying this database, 241, 515, and 222
acylcarnitines were rapidly and reliably annotated in human plasma, human urine,
and rat liver tissue. This novel strategy enables large-scale identification of
acylcarnitines, and a similar method can also be used for identification of other
metabolites.
PMID- 29651845
TI - Accurate Measurement of Formaldehyde-Induced DNA-Protein Cross-Links by High
Resolution Orbitrap Mass Spectrometry.
AB - Genomic instability caused by DNA-protein cross-link (DPCs)-induced DNA damage is
implicated in disease pathogenesis, aging, and cancer development. The covalent
linkages between DNA and protein are induced by chemical reactions catalyzed by
the endogenous metabolic intermediates and exogenous agents, such as aldehydes,
chemotherapeutic agents, and ionizing radiation. Formaldehyde has been classified
as a genotoxic carcinogen. In addition, endogenous formaldehyde-induced DPCs may
increase the risks of bone marrow toxicity and leukemia. There is a need to
develop an effective detection method for DPC analysis, including the structural
differentiation of endogenous and exogenous formaldehyde-induced DPCs. To this
end, our group previously reported a useful liquid chromatography-selected
reaction monitoring (LC-SRM) approach coupled with stable isotope labeling and
low mass resolution-triple quadrupole mass spectrometry. In the present work, we
further demonstrate an accurate quantification method using a high-resolution,
accurate-mass Orbitrap mass spectrometer for the measurement of the covalent
linkage between 2'-deoxyguanosine (dG) and cysteine (Cys), specifically termed dG
Me-Cys, one kind of linkages derived from the formaldehyde-induced DPCs. This
quantification method with a wide dynamic range of at least 3 orders generates an
interference-free spectrum for unbiased and unambiguous quantification, resulting
in good intra- and interday precisions and accuracies with less than 10%
variations. The endogenous and exogenous amounts of dG-Me-Cys in a human cell
line treated with formaldehyde are analyzed by our new methodology. The
quantification strategy demonstrated in this study can be widely applied to
characterize and quantify other DPC linkages induced by formaldehyde or other
chemical agents.
PMID- 29651846
TI - pH-Signaling Transcription Factor AopacC Regulates Ochratoxin A Biosynthesis in
Aspergillus ochraceus.
AB - In Aspergillus and Penicillium species, an essential pH-response transcription
factor pacC is involved in growth, pathogenicity, and toxigenicity. To
investigate the connection between ochratoxin A (OTA) biosynthesis and ambient
pH, the AopacC in Aspergillus ochraceus was functionally characterized using a
loss-of-function mutant. The mycelium growth was inhibited under pH 4.5 and 10.0,
while the sporulation increased under alkaline condition. A reduction of mycelium
growth and an elevation of sporulation was observed in Delta AopacC mutant.
Compared to neutral condition, OTA contents were respectively reduced by 71.6 and
79.8% under acidic and alkaline conditions. The expression of AopacC increased
with the elevated pH, and deleting AopacC dramatically decreased OTA production
and biosynthetic genes Aopks expression. Additionally, the Delta AopacC mutant
exhibited attenuated infection ability toward pear fruits. These results suggest
that AopacC is an alkaline-induced regulator responsible for growth and OTA
biosynthesis in A. ochraceus and this regulatory mechanism might be pH-dependent.
PMID- 29651847
TI - Labeling Carboxyl Groups of Surface-Exposed Proteins Provides an Orthogonal
Approach for Cell Surface Isolation.
AB - Quantitative profiling of cell surface proteins is critically important for the
understanding of cell-cell communication, signaling, tissue development, and
homeostasis. Traditional proteomics methods are challenging for cell surface
proteins due to their hydrophobic nature and low abundance, necessitating
alternative methods to efficiently identify and quantify this protein group. Here
we established carboxyl-reactive biotinylation for selective and efficient
biotinylation and isolation of surface-exposed proteins of living cells. We
assessed the efficiency of carboxyl-reactive biotinylation for plasma membrane
proteins by comparing it with a well-established protocol, amine-reactive
biotinylation, using SILAC (stable isotope labeling in cell culture). Our results
show that carboxyl-reactive biotinylation of cell surface proteins is both more
selective and more efficient than amine-reactive biotinylation. We conclude that
it is a useful approach, which is partially orthogonal to amine-reactive
biotinylation, allowing us to cast a wider net for a comprehensive profiling of
cell surface proteins.
PMID- 29651848
TI - Mass-Spectrometry-Based Identification of Cross-Links in Proteins Exposed to
Photo-Oxidation and Peroxyl Radicals Using 18O Labeling and Optimized Tandem Mass
Spectrometry Fragmentation.
AB - Protein cross-links are formed in regulated biochemical processes in many
biological systems, but they are also generated inadvertently via the reactions
of exogenous or endogenous oxidants. Site-specific identification and
characterization of such cross-links is challenging, and the goal was, therefore,
to develop mass-spectrometry-based approaches tailored for proteins subjected to
oxidative challenges that also are applicable for the analysis of complex
samples. Using trypsin-mediated 18O isotopic labeling, different types of data
acquisition workflows, and designated database software tools, we successfully
identified tyrosine-tyrosine, tyrosine-tryptophan, tyrosine-lysine, and histidine
lysine cross-links in proteins subjected to sensitizer-mediated photo-oxidation
with rose bengal or chemical oxidation with peroxyl radicals generated from the
water-soluble compound 2,2'-azobis(2-amidinopropane) dihydrochloride (AAPH).
Subsequently, AAPH was also applied to a protein extract from the Gram-positive
bacterium Lactococcus lactis, demonstrating the feasibility to identify tyrosine
tyrosine, tyrosine-tryptophan, and tryptophan-tryptophan cross-linked peptides in
a complex system. Different fragmentation techniques were evaluated, and it was
observed that higher-energy collisional dissociation (HCD) resulted in a higher
number of identified cross-link peptides, while electron-transfer dissociation
supplemented with HCD (EThcD) generally provides higher fragment ion coverage of
the cross-linked peptides.
PMID- 29651849
TI - Improved Synthesis of 4-Cyanotryptophan and Other Tryptophan Analogues in Aqueous
Solvent Using Variants of TrpB from Thermotoga maritima.
AB - The use of enzymes has become increasingly widespread in synthesis as chemists
strive to reduce their reliance on organic solvents in favor of more
environmentally benign aqueous media. With this in mind, we previously endeavored
to engineer the tryptophan synthase beta-subunit (TrpB) for production of
noncanonical amino acids that had previously been synthesized through multistep
routes involving water-sensitive reagents. This enzymatic platform proved
effective for the synthesis of analogues of the amino acid tryptophan (Trp),
which are frequently used in pharmaceutical synthesis as well as chemical
biology. However, certain valuable compounds, such as the blue fluorescent amino
acid 4-cyanotryptophan (4-CN-Trp), could only be made in low yield, even at
elevated temperature (75 degrees C). Here, we describe the engineering of TrpB
from Thermotoga maritima that improved synthesis of 4-CN-Trp from 24% to 78%
yield. Remarkably, although the final enzyme maintains high thermostability ( T50
= 93 degrees C), its temperature profile is shifted such that high reactivity is
observed at ~37 degrees C (76% yield), creating the possibility for in vivo 4-CN
Trp production. The improvements are not specific to 4-CN-Trp; a boost in
activity at lower temperature is also demonstrated for other Trp analogues.
PMID- 29651850
TI - Exploring the Potential of a Global Emerging Contaminant Early Warning Network
through the Use of Retrospective Suspect Screening with High-Resolution Mass
Spectrometry.
AB - A key challenge in the environmental and exposure sciences is to establish
experimental evidence of the role of chemical exposure in human and environmental
systems. High resolution and accurate tandem mass spectrometry (HRMS) is
increasingly being used for the analysis of environmental samples. One lauded
benefit of HRMS is the possibility to retrospectively process data for
(previously omitted) compounds that has led to the archiving of HRMS data.
Archived HRMS data affords the possibility of exploiting historical data to
rapidly and effectively establish the temporal and spatial occurrence of newly
identified contaminants through retrospective suspect screening. We propose to
establish a global emerging contaminant early warning network to rapidly assess
the spatial and temporal distribution of contaminants of emerging concern in
environmental samples through performing retrospective analysis on HRMS data. The
effectiveness of such a network is demonstrated through a pilot study, where
eight reference laboratories with available archived HRMS data retrospectively
screened data acquired from aqueous environmental samples collected in 14
countries on 3 different continents. The widespread spatial occurrence of several
surfactants (e.g., polyethylene glycols ( PEGs ) and C12AEO-PEGs ),
transformation products of selected drugs (e.g., gabapentin-lactam, metoprolol
acid, carbamazepine-10-hydroxy, omeprazole-4-hydroxy-sulfide, and 2-benzothiazole
sulfonic-acid), and industrial chemicals (3-nitrobenzenesulfonate and bisphenol
S) was revealed. Obtaining identifications of increased reliability through
retrospective suspect screening is challenging, and recommendations for dealing
with issues such as broad chromatographic peaks, data acquisition, and
sensitivity are provided.
PMID- 29651851
TI - PATIENT SATISFACTION AFTER BREAST RECONSTRUCTION: IMPLANTS VS. AUTOLOGOUS
TISSUES.
AB - INTRODUCTION: Breast reconstruction is increasingly present in the treatment of
breast cancer. It may be accomplished with implants or autologous tissues. This
cross-sectional study evaluates patients satisfaction and quality of life in
women after successful autologous or implant breast reconstruction. MATERIAL AND
METHODS: 109 women who successfully underwent breast reconstruction between 2007
and 2016 were included. The patients completed the BREAST-Q questionnaire at
follow-up visits. Additional data were collected retrospectively from the
hospital charts regarding complications, smoking, chemotherapy, radiotherapy,
unilateral or bilateral reconstruction, BMI and comorbidities. Mann-Whitney U
Test was applied to evaluate differences between the autologous breast
reconstruction group (n = 50) and the implant breast reconstruction group (n =
59). RESULTS: Women with a successful autologous reconstruction were
significantly more satisfied with their reconstructed breasts than women with
successful alloplastic breast reconstruction as measured by the BREAST-Q breasts
module (p = 0. 00596), psycho-social well-being module (p=0.04) and sexual well
being module (p=0.00068). Furthermore, there is a higher degree of satisfaction
in patients who have not undergone radiotherapy, with no complications and with a
normal BMI for implant reconstruction group as well as in non-smokers, and
bilateral reconstructions for flap reconstruction group. DISCUSSION: The findings
of our study are in agreement with the data found in the literature, attributing
greater satisfaction with physical, mental and social wellbeing, as well as with
elements having repercussion on sexual wellbeing, to autologous breast
reconstruction. CONCLUSIONS: Autologous breast reconstruction leads to higher
patient satisfaction than implant breast reconstruction. This study may help
patients and medical teams in their decision-making process regarding breast
reconstruction. This pilot study opens several questions that need further
investigations in a larger prospective studyKeywords: Breast reconstruction,
breast-Q, satisfaction, implant, autologous tissue.
PMID- 29651852
TI - CURRENT TREATMENT OPTIONS OF DUPUYTREN'S DISEASE.
AB - Dupuytren's disease (fibromatosis of the palmar fascia, benign neoplastic
fibromatosis, Dupuytren's contracture) is a disease characterized by growth and
successive contracture of single parts of the palmar aponeurosis. This condition
is known and has been treated for several centuries. In the advanced stages of
the disease, it leads to significant limitation of hand function, resulting in
reduced quality of life of the patient. Dupuytren's disease (DD) is a life-long
disease with a variable course and a heterogeneous clinical presentation. Therapy
focuses on the clinical manifestations of the disease as well as on reduction of
the functional limitation of the hand caused by the disease. In addition to
conservative and surgical procedures, there are also some mini-invasive methods
available. Treatment should be reserved for centres and experienced surgeons
specialized in hand surgery.
PMID- 29651853
TI - OLEOGEL-S10 TO ACCELERATE HEALING OF DONOR SITES: MONOCENTRIC RESULTS OF PHASE
III CLINICAL TRIAL.
AB - MATERIAL AND METHODS: Oleogel-S10, an ointment containing betulin-rich triterpene
dry extract from birch bark was tested in an open, blindly evaluated,
prospective, controlled, randomized multicentre study to improve wound healing in
donor sites. The primary endpoint was time to wound closure, and secondary
endpoints were scar related measurements at the time of wound closure, and 3 and
12 months after wound closure (POSAS, laser speckle contrast analysis,
viscoelastic analysis). RESULTS: We report the results from a single centre
(Department of Burns and Reconstructive Surgery, University Hospital Brno) of
this phase III clinical trial. A total of 32 patients (25 men and 7 women) were
included with the mean patient age of 41.8 years (SD, +/-11.66). The mean extent
of patients donor sites in the study was 56.77cm2 (SD, +/-20.39). Median healing
time of the verum group (Oleogel-S10) was 7 days (95% Confidence Interval 7-8
days) and for controls 8 days (95% CI 7-10 days). Comparison of POSAS data from
the verum group revealed significantly lower values at all three time points as
compared to the controls. Perfusion of scars of the verum group reached on
average of 115 perfusion units at the end of treatment; the average was 69.8
perfusion units at the 3-month follow-up and 50.2 perfusion units at the 12-month
follow-up. Control sites displayed significantly higher values at all time points
(122.2 perfusion units, 73.9 perfusion units, 52.2 perfusion units). Significant
differences were detected in the skins viscoelastic properties, with sites
treated with Oleogel-S10 displaying more favourable values. CONCLUSION: In our
results, we demonstrate the significant effectiveness of Oleogel-S10 in donor
sites healingKeywords: Donor site, Triterpenes, Oleogel-S10, wound closure.
PMID- 29651854
TI - THE NASOLABIAL FLAP: THE MOST VERSATILE METHOD IN FACIAL RECONSTRUCTION.
AB - The nasolabial flap was described 170 years ago and still remains one of the most
frequently used methods in facial reconstruction. This technically easy and
maximally effective procedure has become a real workhorse and an integral
instrument for every plastic surgeon. Over time multiple modifications of this
technique have been described. In this article, authors present an overview of
nasolabial flap modalities and discuss advantages and disadvantages of these
techniques.
PMID- 29651855
TI - SURGICAL TREATMENT OF MELANOMA.
AB - Malignant melanoma is a serious disease, the incidence of which rises. Since the
most important treatment method is sufficient wide skin and subcutaneous tissue
excision, plastic surgeon is often the only specialist who is able to close the
resulting defect. This paper deals with recommendations and treatment options for
malignant melanoma from the point of a plastic surgeon. The primary width of
excised safety rim of healthy tissue with regards to the depth of melanoma
invasion differs. Safety margin is 0.5 cm for melanoma in situ, 1 cm in Breslow
up to 2 mm and 2 cm in Breslow over 2 mm. Furthermore, there is indication for
sentinel lymph node biopsy, which should be performed in melanoma with Breslow
over 1 mm, and in risky melanoma in Breslow above 0.75 mm. Every patient with
stage IIB malignant melanoma and above according to TNM classification should
undergo adjuvant therapy in a specialized centre. Ideal condition for the patient
is a permanent and close cooperation between a dermatologist, oncologist and
plastic surgeon, who supplement each other in diagnostics, therapy and follow up
of the patients.
PMID- 29651856
TI - POSTOPERATIVE FIXATION AFTER REPOSITIONING OF PREMAXILLA IN A PATIENT WITH
BILATERAL CLEFT LIP AND PALATE - CASE REPORT.
AB - This article presents orthodontic-surgical treatment in an eight-year-old patient
with bilateral cleft lip and palate where premaxilla was surgically repositioned
in the mixed dentition stage. By cranial and dorsal insertion of the premaxilla
were created satisfactory occlusal relationship for ongoing eruption of permanent
teeth, together with functional lip closure, improved pronunciation and a
positive change in appearance of the centrofacial area. Reposition of premaxilla
was associated with bilateral alveolar bone grafting of the cleft defect with
cancellous bone from the iliac crest. Based on the model surgery were prepared
rigid orthodontic wires, which ensured postoperative fixation of the segments of
the upper jaw. The patient was wearing band fixed appliance for another 6 months
after surgery. Healing was uncomplicated, the new position of the premaxilla was
stable and more physiological. This procedure was friendly for a child patient
and did not require fixation of the jaw segments by Sauer splint.
PMID- 29651857
TI - Physical and Physiological Demands of Elite Rugby Union Officials.
AB - PURPOSE: To examine the movement and physiological demands of rugby union
officiating in elite competition. METHODS: Movement demands of 9 elite officials
across 12 Super Rugby matches were calculated, using global positioning system
devices. Total distance (in m), relative distance (in m.min-1), and percentage
time spent in various speed zones were calculated across a match. Heart-rate (HR)
responses were also recorded throughout each match. Cohen d effect sizes were
reported to examine the within-match variations. RESULTS: The total distance
covered was 8030 (506) m, with a relative distance of 83 (5) m.min-1 and with no
differences observed between halves. Most game time was spent at lower movement
speeds (76% [2%]; <2.0 m.s-1), with large effects for time spent >7.0 m.s-1
between halves (d = 2.85). Mean HR was 154 (10) beats.min-1 (83.8 [2.9]%HRmax),
with no differences observed between the first and second halves. Most game time
was spent between 81%HRmax and 90%HRmax (40.5% [7.5%]) with no observable
differences between halves. Distances covered above 5.1 m.s-1 were highest during
the first 10 min of a match, while distance at speeds 3.7 to 5 m.s-1 decreased
during the final 10 min of play. CONCLUSIONS: These findings highlight the highly
demanding and intermittent nature of rugby union officiating, with only some
minor variations in physical and physiological demands across a match. These
results have implications for the physical preparation of professional rugby
union referees.
PMID- 29651858
TI - Sleep-related Issues for Recovery and Performance in Athletes.
AB - The body of research that reports the relevance of sleep in high-performance
sports is growing steadily. While the identification of sleep cycles and
diagnosis of sleep disorders is limited to lab-based assessment via
polysomnography, the development of activity-based devices estimating sleep
patterns provides greater insight into the sleep behaviour of athletes in
ecological settings. Overall, small sleep quantity and/or poor quality appears to
exist in many athletic populations, though this may be related to training and
competition context. Typical sleep-affecting factors are the scheduling of
training sessions and competitions as well as impaired sleep-onset as a result of
increased arousal prior to competition or due to the use of electronic devices
before bedtime. Further challenges are travel demands which may be accompanied by
jet-lag symptoms and disruption of sleep habits. Promotion of sleep may be
approached via behavioural strategies, such as sleep hygiene, extending night
time sleep or daytime napping. Pharmacological interventions should be limited to
clinically-induced treatments as evidence among healthy and athletic populations
is lacking. To optimise and manage sleep in athletes, it is recommended to
implement routine sleep monitoring on an individual basis.
PMID- 29651859
TI - Effect of amino acid substitution in New Delhi metallo-beta-lactamase on
carbapenem susceptibility.
AB - The aim of this work was investigation of clinically important amino acid
substitutions of NDM-1 variants. A blaNDM-1 gene was cloned into expression
vector pET100/D-TOPO. The sequence of NDM-1 variants with substituted amino acids
was determined by ClustalW program. A pET100/D-TOPO + blaNDM-1 was used to
generate the alanine mutations at different positions, such as NDM-2 (P28A), NDM
3 (D95A), NDM-4 (M154A), NDM-5 (V88A), NDM-7 (D130A), and NDM-9 (E152A). The
mutant variants were transformed into Escherichia coli DH5alpha. Changes in the
activities of alanine mutation variants were determined by E-test. All samples
had 32 MUg/ml MIC values against ampicillin. The 28th amino acid mutation sample
had the highest MIC value against ceftazidime, whereas decreased MIC value for
piperacillin. It was observed that the resistance to imipenem was increased in
mutant variants D95A, M154A, D130A, and E152A, comparing with P28A and V88A. It
was found that NDM-1 has 0.64 MUg/ml and the 130th amino acid mutation sample has
0.75 MUg/ml meropenem MIC value.
PMID- 29651860
TI - Risk factors for Clostridium difficile infections in Baranya County, Southern
Hungary.
AB - In the past decade, Clostridium difficile infections (CDIs) have become a major
public health challenge. Their epidemiology has radically changed with a
significant rise in the number of cases and an increase in severe episodes.
Recurrence and failure of conventional treatments are very common. Furthermore, a
spread of CDI has emerged in general population without the usual risk factors
(unexposed to antibiotic treatment, young people, etc.). The conventional
treatments (metronidazole and vancomycin) are still effective and are the first
line antibiotics with new recommendations. New therapeutic strategies are now
available. Recent studies show a better efficacy of vancomycin compared with
metronidazole for severe episodes. Fidaxomicin is a novel antibiotic drug with an
efficacy similar to vancomycin and a lower risk of recurrence. Finally, for
relapsing forms, fecal microbiota transplantation (FMT) seems to be the best
option. We determined risk factors for CDI among patients treated at the
infectious diseases ward of our hospital in Pecs. The study included 886 patients
with CDI from 2009 to 2014. The average number of recurrent episodes was 2.16 and
the proportion of severe cases was 66%. Among our patients, 726 (82%) had taken
antibiotics and 769 (86.8%) had been hospitalized in the prior 3 months before
developing CDI. We have found that prior statin use could be a significant risk
factor of CDI (OR: 1.7765, 95% CI: 1.3966-2.2597, p < 0.0001). Finally, we
present the comparative efficacy of different types of treatment (metronidazole,
vancomycin, fidaxomicin, and FMT).
PMID- 29651861
TI - The first characterized carbapenem-resistant Bacteroides fragilis strain from
Croatia and the case study for it.
AB - An imipenem-resistant Bacteroides fragilis strain was isolated from the blood of
a 72-year-old male patient with a urinary bladder tumor in Osijek, Croatia. This
strain was also resistant to ampicillin, piperacillin/tazobactam, cefoxitin,
clindamycin, tetracycline, and harbored cfiA, ermF, and tetQ genes where the high
level expression of the cfiA carbapenem-resistant gene was driven by an IS1187
element. Interestingly, despite the carbapenem-resistant feature of the B.
fragilis from blood, the patient relatively easily recovered from the bacteremia.
It was the first characterized imipenem-resistant B. fragilis isolate with its
case report from Croatia, which confirmed the appearance of carbapenem-resistant
B. fragilis strains, that continues worldwide with low incidence and the
molecular characteristics vary temporally and geographically.
PMID- 29651862
TI - beta-Alanine Supplementation's Improvement of High-Intensity Game Activities in
Water Polo.
AB - OBJECTIVES: To investigate whether 4 wk of beta-alanine supplementation improves
total distance covered, distance covered and time spent in different speed zones,
and sprint numbers during a simulated water polo game. DESIGN: Double-blind,
parallel, and placebo controlled. A total of 11 male water polo players
participated in the study, divided randomly into 2 homogeneous groups (placebo
and beta-alanine). METHODS: The participants performed a simulated water polo
game before and after the supplementation period (4 wk). They received 4.8 g.d-1
of dextrose or beta-alanine on the first 10 d and 6.4 g.d-1 on the final 18 d.
RESULTS: Only the beta-alanine group presented a significant improvement in total
sprint numbers compared with the presupplementation moment (PRE = 7.8 [5.2]
arbitrary units [a.u.]; POST = 20.2 [7.8] a.u.; P = .002). Furthermore, beta
alanine supplementation presented a likely beneficial effect in improving total
distance covered (83%) and total time spent (81%) in speed zone 4 (ie, speed >=
1.8 m.s-1). There was no significant interaction effect (group * time) for any
variable. CONCLUSIONS: Four weeks of beta-alanine supplementation slightly
improved sprint numbers and had a likely beneficial effect on improving distance
covered and time spent in speed zone 4 in a simulated water polo game.
PMID- 29651863
TI - Incarnation and the dynamics of medical promises: DHEA as a fountain of youth
hormone.
AB - For more than a decade, the sociology of hope and expectations has gained growing
influence in the social studies of health, medicine, and healthcare. This
literature has stressed the role of representations of the future-through images,
metaphors, theories, or visions-in the medical sector and analyzed the
translation of these discursive contents into social practices and organizations.
This article builds on these results and intends to explore a dimension that has
received less attention: the incarnation of medical promises. Looking at the
evolving case of a particular molecule, dehydroepiandrosterone (DHEA)-which has
been promoted from the mid-1990s onwards as a "fountain of youth" pill-, we
emphasize that testimonies by and portrayals of those who are undergoing a
treatment with uncertain risks and benefits, and representations of their bodies
in the media, can play a key role in the performativity of a medical promise. We
analyze this incarnation as a specific "form of veridiction" and stress its
normative dimension. This leads us to underline how evidence-based medicine and
experiential knowledge-which are often analyzed as two opposite sources of
information-can intricate and give rise to the same incarnation dynamics.
PMID- 29651864
TI - Exogenous skeletal muscle satellite cells promote the repair of levator palpebrae
superioris mechanical damage in rat.
AB - AIM OF THE STUDY: Blepharoptosis is a drooping of the upper eyelid, usually due
to dysfunction of the levator palpebrae superioris (LPS). Recently, skeletal
muscle satellite cells (SSCs) have been reported to promote the repair of damaged
skeletal muscle. This study aims to investigate the potential contribution of
exogenous SSCs to the regeneration of mechanically damaged LPS. MATERIALS AND
METHODS: Thirty-two rats were randomly divided into four groups, including
control group, SSCs-treated group, SSCs-treated injury group and non-treated
injury group. After rats in injury groups were artificially lacerated on both the
left and right LPS, HBBS (Hank's Balanced Salt Solution) containing SSCs was
injected into upper eyelid tissue. After 7 days, the LPS muscle tissues were
excised. In addition, skeletal muscle cells (SMCs) and SSCs were cocultured for
use as an in vitro model, and the protective effects of SSCs on cultured SMCs
were also investigated. RESULTS: Histological staining revealed that exogenous
SSCs repaired the damaged muscle fibers and attenuated the fibrosis of LPS,
possibly due to the increased level of IGF-1. In contrast, the level of IL-1beta,
IL-6, TGF-beta1 and Smad2/3 (phospho-T8) were significantly reduced in the SSCs
treated group. The in vitro model using coculture of skeletal muscle cells (SMCs)
and SSCs also revealed an increased level of IGF-1 and reduced level of
inflammatory factors, resulting in a better cell survival rate. CONCLUSIONS: This
study found that exogenous SSCs can promote the repair of LPS mechanical damage
and provides new insight into the development of novel therapeutic approaches for
blepharoptosis.
PMID- 29651865
TI - Compound Heterozygosity for Hb Alperton (HBB: c.407C>T) and IVS-I-5 (G>C) (HBB:
c.92+5G>C) Mutations Presenting as a Moderate Anemia in an Indian Family.
AB - While knowledge of HBB gene mutations is necessary for offering prenatal
diagnosis (PND) of beta-thalassemia (beta-thal), a genotype-phenotype correlation
may not always be available for rare variants. We present for the first time,
genotype-phenotype correlation for a compound heterozygous status with IVS-I-5
(G>C) (HBB: c.92+5G>C) and HBB: c.407C>T (Hb Alperton) mutations on the HBB gene
in an Indian family. Hb Alperton is a very rare hemoglobin (Hb) variant with
scant published information about its clinical presentation, especially when
accompanied with another HBB gene mutation. Here we provide biochemical as well
as clinical details of this variant.
PMID- 29651866
TI - Emotional distress after myocardial infarction: Importance of cardiorespiratory
fitness.
PMID- 29651868
TI - The mandate and work of ICRP Committee 2 on doses from radiation exposure.
AB - The practical implementation of the International Commission on Radiological
Protection's (ICRP) system of radiological protection requires the availability
of appropriate methodology and data. Over many years, ICRP Committee 2 has
provided sets of dose coefficients to allow users to evaluate equivalent and
effective doses for radiation exposures of workers and members of the public. The
methodology being applied in the calculation of doses is state-of-the-art in
terms of the biokinetic models used to describe the behaviour of inhaled and
ingested radionuclides, and the dosimetric models used to model radiation
transport for external and internal exposures. This overview provides an outline
of recent work and future plans, including publications on dose coefficients for
adults, children, and in-utero exposures, with new dosimetric phantoms in each
case. For the first time, ICRP will publish dose coefficients for intakes of
radon isotopes calculated using dosimetric models. Committee 2 is also working
with Committee 3 on dose coefficients for radiopharmaceuticals, and leading a
cross-committee initiative to provide advice on the use of effective dose. The
remit of Committee 2 has now been widened to include all data requirements for
the assessment of doses to humans and non-human biota.
PMID- 29651867
TI - Molecular modelling insights into a physiologically favourable approach to
eicosanoid biosynthesis inhibition through novel thieno[2,3-b]pyridine
derivatives.
AB - In this research, we exploited derivatives of thieno[2,3-b]pyridine as dual
inhibitors of the key enzymes in eicosanoid biosynthesis, cyclooxygenase (COX,
subtypes 1 and 2) and 5-lipoxygensase (5-LOX). Testing these compounds in a rat
paw oedema model revealed potency higher than ibuprofen. The most active
compounds 7a, 7b, 8b, and 8c were screened against COX-1/2 and 5-LOX enzymes.
Compound 7a was the most powerful inhibitor of 5-LOX with IC50 = 0.15 uM, while
its p-chloro analogue 7b was more active against COX-2 (IC50 = 7.5 uM). The less
desirable target COX-1 was inhibited more potently by 8c with IC50 = 7.7 uM.
Surflex docking programme predicted that the more stable anti- conformer of
compound (7a) formed a favourable complex with the active site of 5-LOX but not
COX-1. This is in contrast to the binding mode of 8c, which resembles the syn
conformer of series 7 and binds favourably to COX-1.
PMID- 29651869
TI - New mesh-type phantoms and their dosimetric applications, including emergencies.
AB - Committee 2 of the International Commission on Radiological Protection (ICRP) has
constructed mesh-type adult reference computational phantoms by converting the
voxel-type ICRP Publication 110 adult reference computational phantoms to a high
quality mesh format, and adding those tissues that were below the image
resolution of the voxel phantoms and therefore not included in the Publication
110 phantoms. The new mesh phantoms include all the necessary source and target
tissues for effective dose calculations, including the 8-40-um-thick target
layers of the alimentary and respiratory tract organs, thereby obviating the need
for supplemental organ-specific stylised models (e.g. respiratory airways,
alimentary tract organ walls and stem cell layers, lens of the eye, and skin
basal layer). To see the impact of the new mesh-type reference phantoms, dose
coefficients for some selected external and internal exposures were calculated
and compared with the current reference values in ICRP Publications 116 and 133,
which were calculated by employing the Publication 110 phantoms and the
supplemental stylised models. The new mesh phantoms were also used to calculate
dose coefficients for industrial radiography sources near the body, which can be
used to estimate the organ doses of the worker who is accidentally exposed by an
industrial radiography source; in these calculations, the mesh phantoms were
deformed to reflect the size of the worker, and also to evaluate the effect of
posture on dose coefficients.
PMID- 29651870
TI - Utilization of Complementary and Alternative Medicine in Multiethnic Population:
The Malaysian Cohort Study.
AB - BACKGROUND: This study aimed to identify the factors of CAM usage for general
health and to determine the factors associated with the usage of different types
of CAM after the diagnosis of chronic diseases among The Malaysian Cohort
participants. METHODS: This was a cross-sectional study derived from The
Malaysian Cohort (TMC) project, a prospective population-based cohort aged
between 35 to 65 years old that recruited from April 2006 to September 2012.
Association between the CAM usage and contributing factors were determined via
logistic regression. RESULTS: The sample were mostly female (58.1%), Malays
(43.1%), came from urban (71.9%), aged 44 years and below (26.8%) and had
secondary education (45.9%). The prevalence of CAM usage varied across diseases;
62.8% in cancer patients, 53.3% in hypercholesterolemia, 49.4% in hypertensives
and 48.6% in diabetics. General CAM usage was greater among female (OR: 1.54, 95%
CI: 1.49, 1.59), Chinese (OR: 1.15, 95% CI: 1.12, 1.19), those with higher
education (OR: 3.12, 95% CI: 3.00, 3.25), urban residents (OR: 1.55, 95% CI:
1.50, 1.61) and older people (OR ranging from 1.15 to 1.75) while for post
diagnosis of chronic diseases usage, the odds were higher among those with lower
education and living in rural areas. CONCLUSION: Health status, educational
level, age, living location and types of chronic diseases were significant
factors that influence CAM usage for the intent of either health maintenance or
disease treatment. Further exploration on CAM safety and benefit are crucial to
minimize the adverse effect and to ensure the efficacy of CAM product.
PMID- 29651871
TI - Outcome of the European initiative for radiation protection research and future
perspectives.
AB - In 2009, the European Commission published the report of the high-level expert
group that had been mandated to consider the scientific challenges posed by the
issues of low dose effects of ionising radiation, and to formulate proposals for
research policy evolution in this field at European level. This report formulated
a first draft of a strategic research agenda. International scientific
cooperation and an integrated approach are essential for the further development
and enhancement of the international framework of radiation protection. This
paper reflects on the results which have been gained through this integration
approach: strategic research agendas have been established, policies and action
plans have been developed for infrastructures and training education, several
ambitious research projects have been launched, and a first draft of a European
'joint road map' for radiation protection research will be published. Reflecting
on the challenges that lie ahead, this paper also presents the initiatives that
the five European research platforms (MELODI: low dose research; ALLIANCE:
radioecology; EURADOS: dosimetry; NERIS: emergency preparedness; EURAMED:
radiation protection in medical applications) have jointly presented to the
European Commission and Euratom member states to further enhance radiation
protection research.
PMID- 29651872
TI - The effectiveness of 12 weeks of Pilates intervention on disability, pain and
kinesiophobia in patients with chronic low back pain: a randomized controlled
trial.
AB - OBJECTIVE: To assess the effectiveness of 12 weeks of Pilates practice on
disability, pain and kinesiophobia in patients with chronic non-specific low back
pain. DESIGN: This is a randomized controlled trial. SETTING: This study was
conducted in the university laboratory. SUBJECTS: A total of 64 participants with
chronic non-specific low back pain were included. INTERVENTIONS: Participants
were randomly allocated to intervention group consisted in Pilates intervention
during 12 weeks ( n = 32) or control group who received no treatment ( n = 32).
MAIN MEASURES: Disability, pain and kinesiophobia were assessed by Roland Morris
Disability Questionnaire, visual analogue scale and Tampa Scale of Kinesiophobia,
respectively. Measurements were performed at baseline, at 6 and 12 weeks after
study completion. RESULTS: There were significant differences between groups with
observed improvement in Pilates intervention group in all variables after
treatment ( P < 0.001). Major changes on disability and kinesiophobia were
observed at six weeks of intervention with no significant difference after 12
weeks ( P < 0.001). Mean changes of the intervention group compared with the
control group were 4.00 (0.45) on the Roland Morris Disability Questionnaire and
5.50 (0.67) in the Tampa Scale of Kinesiophobia. Pain showed better results at
six weeks with a slightly but statistically significant improvement at 12 weeks
with Visual Analogue Scale scores of 2.40 (0.26) ( P < 0.001). CONCLUSION:
Pilates intervention in patients with chronic non-specific low back pain is
effective in the management of disability, pain and kinesiophobia.
PMID- 29651873
TI - Effectiveness of virtual reality training for balance and gait rehabilitation in
people with multiple sclerosis: a systematic review and meta-analysis.
AB - OBJECTIVE: To evaluate the evidence for the use of virtual reality to treat
balance and gait impairments in multiple sclerosis rehabilitation. DESIGN:
Systematic review and meta-analysis of randomized controlled trials and quasi
randomized clinical trials. METHODS: An electronic search was conducted using the
following databases: MEDLINE (PubMed), Physiotherapy Evidence Database (PEDro),
Cochrane Database of Systematic Reviews (CDSR) and (CINHAL). A quality assessment
was performed using the PEDro scale. The data were pooled and a meta-analysis was
completed. This systematic review was conducted in accordance with the (Preferred
Reporting Items for Systematic Reviews and Meta-Analyses) PRISMA guideline
statement. It was registered in the PROSPERO database (CRD42016049360). RESULTS:
A total of 11 studies were included. The data were pooled, allowing meta-analysis
of seven outcomes of interest. A total of 466 participants clinically diagnosed
with multiple sclerosis were analysed. Results showed that virtual reality
balance training is more effective than no intervention for postural control
improvement (standard mean difference (SMD) = -0.64; 95% confidence interval (CI)
= -1.05, -0.24; P = 0.002). However, significant overall effect was not showed
when compared with conventional training (SMD = -0.04; 95% CI = -0.70, 0.62; P =
0.90). Inconclusive results were also observed for gait rehabilitation.
CONCLUSION: Virtual reality training could be considered at least as effective as
conventional training and more effective than no intervention to treat balance
and gait impairments in multiple sclerosis rehabilitation.
PMID- 29651874
TI - In vitro and in vivo evaluation of osteoinductivity and bone fusion ability of an
activin a/BMP2 chimera (AB204): a comparison study between AB204 and rhBMP-2.
AB - This study compared osteoinductivity and osteogenic capacity between AB204 and
rhBMP-2 using hMSCs in vitro and a beagle's posterolateral spinal fusion model.
Cultured hMSCs were treated with AB204 or rhBMP-2 with low to high doses. Three
male beagles were performed posterolateral spinal fusion with biphasic calcium
phosphate (2 ml) + AB204 or rhBMP-2 (20, 50 or 200 ug). They were euthanized
after 8 weeks. The fusion rate and bone formation of spine samples were examined.
AB204 had higher alkaline phosphatase activity, mineralization and osteogenic
related gene expression than rhBMP-2. Fusion rates in all rhBMP-2 groups were 0.
They were 100% for 50 MUg and 200 MUg AB204 groups. Therefore, AB204 showed
higher osteogenicity than rhBMP-2. It could be a better bone graft substitute.
PMID- 29651875
TI - Is severe emphysema, as defined by quantitative CT measurement, a negative risk
factor of radiation fibrosis?
AB - OBJECTIVE: To investigate whether patients with emphysema, as evaluated by
quantitative CT image measurement, are at risk of developing radiation
pneumonitis (RP) after radiotherapy (RT) for non-small cell lung cancer (NSCLC).
METHODS: Between March 2011 and June 2015, 68 consecutive patients with Stage I
NSCLC treated with a RT dose of 75 Gy given in 30 fractions were enrolled. The
median age was 79 years and there were 45 males and 23 females. The number of
patients with T1 and T2 were 49 and 19, respectively. The severity of emphysema
was evaluated by the percentages of the low attenuation area (LAA) of <=-860 or
950 Hounsfield unit (HU) and average HU in the whole lung. RESULTS: The mean
difference percentages of LAA of <=-860 (p = 0.0004) or -950 HU (p = 0.005) and
average HU (p = 0.001) in patients with RP were significantly lower than those in
patients without RP. The area under curve (AUC) of average HU was significantly
higher than AUC of LAA of <=-860 (p < 0.0001) or -950 HU (p < 0.0001). The RP
rate after RT was significantly lower when the average HU values were <=-850 HU
(p = 0.0003). CONCLUSION: Patients with emphysema evaluated by average HU (<=-850
HU) in the whole lung were found to be at low risk of RP after RT. Advances in
Knowledge: Quantitative measurement of average HU from CT images was predicted of
RP after RT.
PMID- 29651877
TI - First, to understand the present situation!: Transcutaneous aortic valve
implantation as a real global treatment for severe aortic stenosis.
PMID- 29651876
TI - Novel hits for acetylcholinesterase inhibition derived by docking-based screening
on ZINC database.
AB - The inhibition of the enzyme acetylcholinesterase (AChE) increases the levels of
the neurotransmitter acetylcholine and symptomatically improves the affected
cognitive function. In the present study, we searched for novel AChE inhibitors
by docking-based virtual screening of the standard lead-like set of ZINC database
containing more than 6 million small molecules using GOLD software. The top 10
best-scored hits were tested in vitro for AChE affinity, neurotoxicity, GIT and
BBB permeability. The main pharmacokinetic parameters like volume of
distribution, free fraction in plasma, total clearance, and half-life were
predicted by previously derived models. Nine of the compounds bind to the enzyme
with affinities from 0.517 to 0.735 uM, eight of them are non-toxic. All hits
permeate GIT and BBB and bind extensively to plasma proteins. Most of them are
low-clearance compounds. In total, seven of the 10 hits are promising for further
lead optimisation. These are structures with ZINC IDs: 00220177, 44455618,
66142300, 71804814, 72065926, 96007907, and 97159977.
PMID- 29651878
TI - In Vivo Hypoglycemic Effect of Kigelia africana (Lam): Studies With Alloxan
Induced Diabetic Mice.
AB - The claims by the traditional herbal medicine practitioners that Kigelia africana
has bioactivity against several diseases, including diabetes mellitus, were
investigated in this study. Type I diabetes mellitus was induced in mice by
intraperitoneal administration of alloxan monohydrate followed by treatment with
the therapeutic doses of the aqueous and ethyl acetate leaf extract of K africana
to the experimentally diabetic mice. The treatment effects were compared with the
normal control, diabetic control, and diabetic control rats treated with a
standard antidiabetic drugs (insulin administered intraperitoneally at 1 IU/kg
body weight in 0.1 mL physiological saline or glibenclamide administered orally
at 3 mg/kg body weight in 0.1 mL physiological saline). Phytochemical composition
of the leaf extract was assessed using standard procedures and mineral elements
assessed using atomic absorption spectrophotometry and total reflection X-ray
fluorescence system. Oral and intraperitoneal administration of the aqueous and
ethyl acetate leaf extract caused a statistically significant dose-independent
reduction in plasma glucose level in alloxan-induced diabetic mice. The observed
hypoglycemic activity of this plant extract could be attributed to the observed
phytochemicals and trace elements, which have been associated with exhibiting
antidiabetic properties. Therefore, the data appear to support the hypoglycemic
effects of K africana validating its folkloric usage.
PMID- 29651879
TI - Src kinase activation by nitric oxide promotes resistance to anoikis in tumour
cell lines.
AB - Tumour progression involves the establishment of tumour metastases at distant
sites. Resistance to anoikis, a form of cell death that occurs when cells lose
contact with the extracellular matrix and with neighbouring cells, is essential
for metastases. NO has been associated with anoikis. NO treated HeLa cells and
murine melanoma cells in suspension triggered a nitric oxide (NO)-Src kinase
signalling circuitry that enabled resistance to anoikis. Two NO donors, sodium
nitroprusside (SNP) (500 uM) and DETANO (125 uM), protected against cell death
derived from detachment of a growth permissive surface (experimental anoikis).
Under conditions of NO-mediated Src activation the following were observed: (a)
down-regulation of the pro-apoptotic proteins Bim and cleaved caspase-3 and the
cell surface protein, E-cadherin, (b) up-regulation of caveolin-1, and (c) the
dissociation of cell aggregates formed when cells are detached from a growth
permissive surface. Efficiency of reattachment of tumour cells in suspension and
treated with different concentrations of an NO donor, was dependent on the NO
concentration. These findings indicate that NO-activated Src kinase triggers a
signalling circuitry that provides resistance to anoikis, and allows for
metastases.
PMID- 29651881
TI - Oxidative stress in epilepsy.
AB - INTRODUCTION: The brain is particularly susceptible to oxidative stress being the
most aerobically active organ in the body due to its high metabolic demands.
There is evidence that neuronal hyperexcitability and oxidative injury produced
by an excessive production of free radicals may play a role in the initiation and
progression of epilepsy. Understanding the role of oxidative stress in
epileptogenesis is essential to delineate appropriate therapeutic strategies.
Neuroprotectant or antioxidant compounds may exert positive effects when
associated with antiepileptic drugs (AEDs). Areas covered: This review aims to
outline the current state of knowledge on the relationship between oxidative
stress and epilepsy. The role of neuroprotectants in the therapeutic strategy to
prevent or treating epilepsy is also discussed. PubMed/Medline database was
searched for relevant articles on the relation between oxidative stress and
epilepsy and on antioxidant strategies for epilepsy management. Expert
commentary: Therapeutic intervention with antioxidants may represent a key
strategy to counteract the epilepsy-related neurodegenerative process. However,
in spite of the incredible development of new drugs for epilepsy treatment,
definitive evidence about the neuroprotective ability of the existing compounds
is still lacking. Therefore, there is great need for clinical trials to evaluate
new antioxidant compounds specifically on epileptic patients.
PMID- 29651882
TI - Ambient air pollution as a new risk factor for cardiovascular diseases: Time to
take action.
PMID- 29651883
TI - LncRNA DANCR Promotes Lung Cancer by Sequestering miR-216a.
AB - BACKGROUND: Long noncoding RNAs (lncRNAs) are a new class of cancer regulators.
Here, we aimed to investigate the diagnostic and therapeutic values of an lncRNA,
differentiation antagonizing noncoding RNA (DANCR), in lung cancer. METHODS: Real
time polymerase chain reaction was used to compare DANCR levels in normal and
cancerous lung tissues as well as lung cancer cells. Lentiviral transduction was
used to induce DANCR overexpression or silencing in vitro, followed by monitoring
cell proliferation, colony formation, and changes in microRNA-216a (miR-216a)
expression. DANCR-specific small hairpin RNA transduction was used to establish
cells with stable DANCR knockdown, and silenced cells were used to initiate lung
tumor xenografts, followed by monitoring tumor growth. RESULTS: DANCR
upregulation was seen in lung cancer, particularly in high-grade lung cancer
tissues and aggressive cancer cells. Ectopic DANCR expression induced lung cancer
cell proliferation and colony formation, whereas DANCR silencing induced opposing
effects. The miR-216a level in cancer cells was negatively correlated with DANCR
expression. The DANCR knockdown reduced the growth of tumor xenografts in vivo.
CONCLUSION: DANCR upregulation is a potential indicator of aggressive lung
cancer. Silencing of DANCR has great potential as a potent therapeutic strategy
in lung cancer.
PMID- 29651880
TI - Small molecule KDM4s inhibitors as anti-cancer agents.
AB - Histone demethylation is a vital process in epigenetic regulation of gene
expression. A number of histone demethylases are present to control the
methylated states of histone. Among these enzymes, KDM4s are one subfamily of
JmjC KDMs and play important roles in both normal and cancer cells. The discovery
of KDM4s inhibitors is a potential therapeutic strategy against different
diseases including cancer. Here, we summarize the development of KDM4s inhibitors
and some related pharmaceutical information to provide an update of recent
progress in KDM4s inhibitors.
PMID- 29651887
TI - Validity and Reliability of the 3-Minute All-Out Test in National and
International Competitive Swimmers.
AB - PURPOSE: To assess the concurrent and predictive validity of the 3-minute all-out
test (3MT) against conventional methods (CM) of determining critical speed (CS)
and curvature constant (D') and to examine the test-retest reliability of the 3MT
in highly trained swimmers. METHODS: Thirteen highly trained swimmers (age 16 [2]
y, weight 64.7 [8.5] kg, height 1.76 [0.07] m) completed 4 time trials and two
3MTs over 2 wk. The distance-time (DT) and speed-1/time (1/T) models were used to
determine CS and D' from 4 time trials. CS3MT and [Formula: see text] were
determined as the mean speed in the final 30 s of 3MT and as the speed-time
integral above CS, respectively. RESULTS: CS3MT (1.33 [0.06] m.s-1) did not
differ from CSCM (1.33 [0.06] m.s-1, P > .05) and correlated nearly perfectly
with CSCM (r = .95, P < .0001). [Formula: see text] (19.50 [3.52] m) was lower
than [Formula: see text] (23.30 [6.24] m, P < .05) and [Formula: see text] (22.15
[5.75] m, P = .09). Correlations between [Formula: see text] and [Formula: see
text] were very large (r = .79, P = .002). CS and D' between the two 3MT trials
were not different (CS mean change = -0.009 m.s-1, P = .102; D' mean change =
0.82 m, P = .221). Correlations between the two 3MT trials were nearly perfect
and very large for CS (r = .97) and D' (r = .87, P < .05), respectively, with
coefficients of variation of 0.9% for CS and 9.1% for D'. CONCLUSION: The 3MT is
a valid protocol for estimation of CS and produces high test-retest reliability
for CS and D' in highly trained swimmers.
PMID- 29651888
TI - Mechanisms of Performance Improvements Due to a Leading Teammate During Uphill
Cycling.
AB - PURPOSE: To identify the impact of a leading teammate in front of a cyclist on
psychological, physiological, biomechanical, and performance parameters during an
uphill maximal effort. METHODS: After familiarization, 12 well-trained
competitive cyclists completed 2 uphill time trials (UTTs, 2.7 km at 7.4%) in
randomized order; that is, 1 performed alone (control condition) and 1 followed a
simulated teammate during the entire UTT (leader condition). Performance (UTT
time) and mean power output (PO) were recorded for each UTT. For physiological
parameters, mean heart rate and postexercise blood lactate concentration were
recorded. Psychological parameters (rating of perceived exertion, pleasure, and
attentional focus) were collected at the end of each trial. RESULTS: Performance
(UTT time) significantly improved by 4.2% (3.1%) in the leader condition, mainly
due to drafting decrease of the aerodynamic drag (58% of total performance gains)
and higher end spurt (+9.1% [9.1%] of mean PO in the last 10% of the UTT).
However, heart rate and postexercise blood lactate concentration were not
significantly different between conditions. From a psychological aspect, higher
pleasure was observed in the leader condition (+41.1% [51.7%]), but attentional
focus was not significantly different. CONCLUSIONS: The presence of a leading
teammate during uphill cycling had a strong impact on performance, enabling
higher speed for the same mean PO and greater end spurt. These results explain
why the best teams competing for the general classification of the most
prestigious and contested races like the Grand Tours tend to always protect their
leader with teammates during decisive ascents.
PMID- 29651884
TI - Kinetic and structural studies on the interactions of Torpedo californica
acetylcholinesterase with two donepezil-like rigid analogues.
AB - Acetylcholinesterase inhibitors were introduced for the symptomatic treatment of
Alzheimer's disease (AD). Among the currently approved inhibitors, donepezil
(DNP) is one of the most preferred choices in AD therapy. The X-ray crystal
structures of Torpedo californica AChE in complex with two novel rigid DNP-like
analogs, compounds 1 and 2, have been determined. Kinetic studies indicated that
compounds 1 and 2 show a mixed-type inhibition against TcAChE, with Ki values of
11.12 +/- 2.88 and 29.86 +/- 1.12 nM, respectively. The DNP rigidification
results in a likely entropy-enthalpy compensation with solvation effects
contributing primarily to AChE binding affinity. Molecular docking evidenced the
molecular basis for the binding of compounds 1 and 2 to the active site of beta
secretase-1. Overall, these simplified DNP derivatives may represent new
structural templates for the design of lead compounds for a more effective
therapeutic strategy against AD by foreseeing a dual AChE and BACE-1 inhibitory
activity.
PMID- 29651889
TI - How to conduct research of rare autoimmune diseases.
AB - Rare autoimmune diseases are difficult to conduct researches in spite of present
era with advanced scientific progress. Research using genetic approach is a
promising way since genetic findings implicate causality of diseases. Still,
there are multiple obstacles preventing genetic studies of rare diseases. Here,
we list up the problems and propose solutions for them with detailed examples.
The biggest problem is that it is difficult to collect a substantial number of
DNA samples from patients with rare diseases. We propose to collaborate not only
with academic institutions and hospitals but with patients' groups. Detailed
examples include studies about Takayasu arteritis (TAK), relapsing
polychondritis, and systemic sclerosis. In TAK, we identified IL12B, a key gene
which seems to play a central role in the disease. After getting evidence of
IL12p40 encoded by IL12B as a possible therapeutic target by showing similarities
of the genetic background between TAK and ulcerative colitis, we performed a
pilot clinical study of ustekinumab, a monoclonal antibody against IL12p40 for
patients with refractory TAK and obtained good response. This is a good example
of how genetic findings in a rare disease lead to development of new therapeutic
option.
PMID- 29651891
TI - Use of Vagus Nerve Stimulator on Children With Primary Generalized Epilepsy.
AB - OBJECTIVE: To describe the response to vagus nerve stimulator (VNS) in otherwise
neurotypical children with medically intractable primary generalized epilepsy.
METHODS: Retrospective chart review of patients who underwent vagus nerve
stimulator surgery between January 2011 and December 2015. RESULTS: Eleven
patients were identified. Median follow-up duration was 2.5 years (1.2-8.4
years). Prior to vagus nerve stimulator surgery, all patients had at least 1
seizure per week, and 7/11 (64%) had daily seizures. At 1-year follow-up after
vagus nerve stimulator, 7/11 (64%) reported improved seizure frequency and 6/11
(55%) reported fewer than 1 seizure per month. Three patients (27%) reported
complications related to vagus nerve stimulator surgery, and no patients required
device removal. SIGNIFICANCE: In children with medically intractable primary
generalized epilepsy, vagus nerve stimulator is well tolerated and appears to
lead to improvement in seizure frequency. Improvement was not attributable to
epilepsy classification, age at vagus nerve stimulator implantation, output
current, duty cycle, or follow-up duration.
PMID- 29651890
TI - Can Postural Control Asymmetry Predict Falls in People With Parkinson's Disease?
AB - This study aimed to determine the relationship between postural asymmetry and
falls in Parkinson's disease (PD). In total, 28 patients with PD were included.
Postural control was analyzed in bipedal, tandem, and unipedal standing. Center
of pressure (CoP) parameters were calculated for both limbs, and asymmetry was
assessed using the asymmetry index. Logistic regression was used to
predict/classify fallers through postural asymmetry. The Spearman correlation was
performed to relate asymmetry and falls number. Poisson regression models were
created to predict the number of falls in each condition. The results
demonstrated that asymmetry can classify 75% of fallers and nonfallers. Asymmetry
in anteroposterior-mean velocity of CoP in unipedal standing was related to the
number of falls. Poisson regression showed that anteroposterior-mean velocity of
CoP predicts falls in PD, indicating that increased asymmetry results in a
greater number of falls. Anteroposterior-mean velocity of CoP seems to be a
sensitive parameter to detect falls in PD, mainly during a postural challenging
task.
PMID- 29651892
TI - The intracerebral hemorrhage blood transcriptome in humans differs from the
ischemic stroke and vascular risk factor control blood transcriptomes.
AB - Understanding how the blood transcriptome of human intracerebral hemorrhage (ICH)
differs from ischemic stroke (IS) and matched controls (CTRL) will improve
understanding of immune and coagulation pathways in both disorders. This study
examined RNA from 99 human whole-blood samples using GeneChip(r) HTA 2.0 arrays
to assess differentially expressed transcripts of alternatively spliced genes
between ICH, IS and CTRL. We used a mixed regression model with FDR-corrected
p(Dx) < 0.2 and p < 0.005 and |FC| > 1.2 for individual comparisons. For time
dependent analyses, subjects were divided into four time-points: 0(CTRL), <24 h,
24-48 h, >48 h; 489 transcripts were differentially expressed between ICH and
CTRL, and 63 between IS and CTRL. ICH had differentially expressed T-cell
receptor and CD36 genes, and iNOS, TLR, macrophage, and T-helper pathways. IS had
more non-coding RNA. ICH and IS both had angiogenesis, CTLA4 in T lymphocytes,
CD28 in T helper cells, NFAT regulation of immune response, and glucocorticoid
receptor signaling pathways. Self-organizing maps revealed 4357 transcripts
changing expression over time in ICH, and 1136 in IS. Understanding ICH and IS
transcriptomes will be useful for biomarker development, treatment and prevention
strategies, and for evaluating how well animal models recapitulate human ICH and
IS.
PMID- 29651893
TI - Differences Among Overhand, 3-Quarter, and Sidearm Pitching Biomechanics in
Professional Baseball Players.
AB - The purpose of this study was to assess biomechanical differences among overhand,
3-quarter, and sidearm arm slot professional baseball pitchers. It was
hypothesized that kinematic and kinetic differences would be found among the 3
groups, with sidearm pitchers demonstrating greater movement along the transverse
plane and overhead pitchers demonstrating greater movement along the sagittal
plane. Based upon arm slot angle at ball release, 30 overhand, 156 three-quarter,
and 21 sidearm pitchers were tested using a 240-Hz motion analysis system, and 37
kinematic and kinetic parameters were calculated. One-way analyses of variance
(alpha = .01) was employed to assess differences among groups. The comparisons
showed the sidearm group had less shoulder anterior force, whereas the overhand
group had the least elbow flexion torque. At ball release, trunk contralateral
tilt and shoulder abduction were greatest for the overhand group and least for
sidearm group. Additionally, the sidearm group demonstrated the lowest peak knee
height, most closed foot angle, greatest pelvis angular velocity, and shoulder
external rotation. The overhand group had the greatest elbow flexion at foot
contact and greatest trunk forward tilt at ball release. The greater elbow
flexion torque and shoulder external rotation exhibited by sidearm pitchers may
increase their risk of labral injury. Conversely, the lower shoulder anterior
force in sidearm pitchers may indicate lower stress on shoulder joint capsule and
rotator cuff.
PMID- 29651894
TI - Roller Massage: A Descriptive Survey of Allied Health Professionals.
AB - BACKGROUND: In sports medicine, the interprofessional care of athletes has become
a frequent practice. This type of care often involves different interventions
used among professionals. One common intervention prescribed is roller massage
(RM) or self-myofascial release. The trends in the use of RM among allied health
professionals are nonexistent. The surveillance of such responses has not been
documented. PURPOSE: To survey and document responses in the knowledge, clinical
application, and use of RM devices among allied health professionals in the
United States. DESIGN: Cross-sectional descriptive survey study. METHODS: A 20
question survey was sent to allied health professionals including physical
therapists, athletic trainers, and fitness professionals. The survey covered
topics such as demographics, beliefs about RM, preferred devices, exercise
prescription, and client education. RESULTS: One thousand and forty-two
professionals (N = 1042) completed the survey. Most respondents believed that RM
decreases pain (82%) and increases mobility (76%). A high percentage use a foam
roller in their practice (81%), recommend a full-size foam roller (49%), and
believe the medium density (48%) is the most effective. A high proportion of
respondents prescribe RM for injury treatment (69%) and for preexercise and
postexercise (61%). They also recommend rolling daily for 30 seconds to 2 minutes
per muscle group (33%) at a self-paced cadence (46%). A high percentage of
respondents use patient-reported outcomes (74%), joint range of motion (49%), and
movement-based testing (48%) to measure effects of RM. Eighty-seven percent use
live instruction to educate clients, and 88% believe there is a gap in the
research. CONCLUSION: The results of this survey document responses in the use of
RM among allied health professionals. The reported responses provide insight into
how professionals are using RM as an intervention and the potential gaps between
the research and professional practice. Future studies are needed to further
validate these findings.
PMID- 29651895
TI - Structural biology of solute carrier (SLC) membrane transport proteins.
AB - The human solute carriers (SLCs) comprise over 400 different transporters,
organized into 65 families ( http://slc.bioparadigms.org/ ) based on their
sequence homology and transport function. SLCs are responsible for transporting
extraordinarily diverse solutes across biological membranes, including inorganic
ions, amino acids, lipids, sugars, neurotransmitters and drugs. Most of these
membrane proteins function as coupled symporters (co-transporters) utilizing
downhill ion (H+ or Na+) gradients as the driving force for the transport of
substrate against its concentration gradient into cells. Other members work as
antiporters (exchangers) that typically contain a single substrate-binding site
with an alternating access mode of transport, while a few members exhibit channel
like properties. Dysfunction of SLCs is correlated with numerous human diseases
and therefore they are potential therapeutic drug targets. In this review, we
identified all of the SLC crystal structures that have been determined, most of
which are from prokaryotic species. We further sorted all the SLC structures into
four main groups with different protein folds and further discuss the well
characterized MFS (major facilitator superfamily) and LeuT (leucine transporter)
folds. This review provides a systematic analysis of the structure, molecular
basis of substrate recognition and mechanism of action in different SLC family
members.
PMID- 29651896
TI - Cerebral serotonin transporter measurements with [11C]DASB: A review on
acquisition and preprocessing across 21 PET centres.
AB - Positron Emission Tomography (PET) imaging has become a prominent tool to capture
the spatiotemporal distribution of neurotransmitters and receptors in the brain.
The outcome of a PET study can, however, potentially be obscured by suboptimal
and/or inconsistent choices made in complex processing pipelines required to
reach a quantitative estimate of radioligand binding. Variations in subject
selection, experimental design, data acquisition, preprocessing, and statistical
analysis may lead to different outcomes and neurobiological interpretations. We
here review the approaches used in 105 original research articles published by 21
different PET centres, using the tracer [11C]DASB for quantification of cerebral
serotonin transporter binding, as an exemplary case. We highlight and quantify
the impact of the remarkable variety of ways in which researchers are currently
conducting their studies, while implicitly expecting generalizable results across
research groups. Our review provides evidence that the foundation for a given
choice of a preprocessing pipeline seems to be an overlooked aspect in modern PET
neuroscience. Furthermore, we believe that a thorough testing of pipeline
performance is necessary to produce reproducible research outcomes, avoiding
biased results and allowing for better understanding of human brain function.
PMID- 29651897
TI - The Effect of Uphill and Downhill Slopes on Weight Transfer, Alignment, and Shot
Outcome in Golf.
AB - The aim of the study was to examine changes in weight transfer, alignment, and
shot outcome during golf shots from flat, uphill, and downhill slopes. Twelve
elite male golfers hit 30 shots with a 6-iron from a computer-assisted
rehabilitation environment used to create 5 degrees slopes while collecting 3
dimensional kinematics and kinetics of the swing. A launch monitor measured
performance outcomes. A shift in the center of pressure was found throughout the
swing when performed on a slope, with the mean position moving approximately 9%
closer to the lower foot. The golfers attempted to remain perpendicular to the
slope, resulting in weight transfer toward the lower foot. The golfers adopted a
wider stance in the sloped conditions and moved the ball toward the higher foot
at address. Ball speed was not significantly affected by the slope, but launch
angle and ball spin were. As the coaching literature predicted, golfers were more
likely to hit shots to the left from an uphill slope and to the right from a
downhill slope. No consistent compensatory adjustments in alignment at address or
azimuth were found, with the change in final shot dispersion resulting from the
lateral spin of the ball.
PMID- 29651899
TI - Caffeine does not affect susceptibility to cortical spreading depolarization in
mice.
AB - Several factors that modulate migraine, a common primary headache disorder, also
affect susceptibility to cortical spreading depolarization (CSD). CSD is a wave
of neuronal and glial depolarization and thought to underlie the migraine aura
and possibly headache. Here, we tested whether caffeine, known to alleviate or
trigger headache after acute exposure or chronic use/withdrawal, respectively,
modulates CSD. We injected C57BL/6J mice with caffeine (30, 60, or 120 mg/kg;
i.p.) once (acute) or twice per day for one or two weeks (chronic).
Susceptibility to CSD was evaluated by measuring the electrical CSD threshold and
by assessing KCl-induced CSD. Simultaneous laser Doppler flowmetry was used to
assess CSD-induced cortical blood flow changes. Recordings were performed 15 min
after caffeine/vehicle administration, or 24 h after the last dose of chronic
caffeine in the withdrawal group. The latter paradigm was also tested in mice
carrying the familial hemiplegic migraine type 1 R192Q missense mutation,
considered a valid migraine model. Neither acute/chronic administration nor
withdrawal of caffeine affected CSD susceptibility or related cortical blood flow
changes, either in WT or R192Q mice. Hence, adverse or beneficial effects of
caffeine on headache seem unrelated to CSD pathophysiology, consistent with the
non-migrainous clinical presentation of caffeine-related headache.
PMID- 29651898
TI - The effectiveness of therapeutic strategies for patients with radiculopathy: A
network meta-analysis.
AB - Objectives The aim of this network meta-analysis is to assess the effectiveness
of therapeutic strategies for patients with radiculopathy, including physical,
medical, surgical, and other therapies. Methods We electronically searched
electronic databases including PubMed and Embase for randomized controlled
trials. The response rate and visual analog scale of pain change were considered
as primary outcomes. The outcomes were measured by odds ratio (OR) value and
corresponding 95% credible intervals (CrIs) or standardized mean difference (MD)
with 95% CrIs. Besides, surface under cumulative ranking curve (SUCRA) were
performed to rank efficacy and safety of treatments on each end points. Results A
total of 16 eligible studies with 1071 subjects were included in this analysis.
Our results showed that corticosteroid was significantly more effective than
control regarding the response rate (OR = 3.86, 95% CrI: 1.16, 12.55). Surgery
had a better performance in pain change compared with control (MD = -1.92, 95%
CrI: -3.58, -0.15). According to the SUCRA results, corticosteroid, collar, and
physiotherapy ranked the highest concerning response rate (SUCRA = 0.656, 0.652,
and 0.610, respectively). Surgery, traction, and corticosteroid were superior to
others in pain change (SUCRA = 0.866, 0.748, and 0.589, respectively). Conclusion
According to the network meta-analysis result, we recommended surgery as the
optimal treatment for radiculopathy patients; traction and corticosteroids were
also recommended for their beneficial interventions.
PMID- 29651900
TI - Encounters With Health-Care Providers and Advance Directive Completion by Older
Adults.
AB - BACKGROUND: The Patient Self-Determination Act (PSDA) requires hospitals, home
health agencies, nursing homes, and hospice providers to offer new patients
information about advance directives. There is little evidence regarding whether
encounters with these health-care providers prompt advance directive completion
by patients. OBJECTIVE: To examine whether encounters with various types of
health-care providers were associated with higher odds of completing advance
directives by older patients. METHOD: Logistic regression using longitudinal data
from the 2012 and 2014 waves of the Health and Retirement Study. Participants
were 3752 US adults aged 65 and older who reported not possessing advance
directives in 2012. Advance directive was defined as a living will and/or durable
power of attorney for health care. Four binary variables measured whether
participants had spent at least 1 night in a hospital, underwent outpatient
surgery, received home health or hospice care, or spent at least one night in a
nursing home between 2012 and 2014. RESULTS: Older adults who received hospital,
nursing home, or home health/hospice care were more likely to complete advance
directives. Outpatient surgery was not associated with advance directive
completion. CONCLUSIONS: Older adults with no advance directive in 2012 who
encountered health-care providers covered by the PSDA were more likely to have
advance directives by 2014. The exception was outpatient surgery which is
frequently provided in freestanding surgery centers not subject to PSDA mandates.
It may be time to consider amending the PSDA to cover freestanding surgery
centers.
PMID- 29651901
TI - [Outpatient care for mentally traumatized children and adolescents in Germany].
PMID- 29651902
TI - Shoulder and Lower Back Joint Reaction Forces in Seated Double Poling.
AB - Overuse injuries in the shoulders and lower back are hypothesized to be common in
cross-country sit-skiing. Athletes with reduced trunk muscle control mainly sit
with the knees higher than the hips (KH). To reduce spinal flexion, a position
with the knees below the hips (KL) was enabled for these athletes using a frontal
trunk support. The aim of the study was to compare the shoulder joint
(glenohumeral joint) and L4-L5 joint reactions of the KL and KH sitting
positions. Five able-bodied female athletes performed submaximal and maximal
exercise tests in the sitting positions KL and KH on a ski ergometer. Measured
pole forces and 3-dimensional kinematics served as input for inverse-dynamics
simulations to compute the muscle forces and joint reactions in the shoulder and
L4-L5 joint. This was the first musculoskeletal simulation study of seated double
poling. The results showed that the KH position was favorable for higher
performance and decreased values of the shoulder joint reactions for female able
bodied athletes with full trunk control. The KL position was favorable for lower
L4-L5 joint reactions and might therefore reduce the risk of lower back injuries.
These results indicate that it is hard to optimize both performance and safety in
the same sit-ski.
PMID- 29651903
TI - The Kinetics of Swinging a Baseball Bat.
AB - The purpose of this study was to compute the 3-dimensional kinetics required to
swing 3 youth baseball bats of varying moments of inertia. The 306 swings by 22
male players (age 13-18 y) were analyzed. Inverse dynamics with respect to the
batter's hands were computed given the known kinematics and physical properties
of the bats. Peak force increased with larger bat moments of inertia and was
strongly correlated with bat tip speed. By contrast, peak moments were weakly
correlated with bat moments of inertia and bat tip speed. Throughout the swing,
the force applied to the bat was dominated by a component aligned with the long
axis of the bat and directed away from the bat knob, whereas the moment applied
to the bat was minimal until just prior to ball impact. These results indicate
that players act to mostly "pull" the bat during their swing until just prior to
ball impact, at which point they rapidly increase the moment on the bat. This
kinetic analysis provides novel insight into the forces and moments used to swing
baseball bats.
PMID- 29651904
TI - Adaptor protein 1 B mu subunit does not contribute to the recycling of kAE1
protein in polarized renal epithelial cells.
AB - Mutations in the gene encoding the kidney anion exchanger 1 (kAE1) can lead to
distal renal tubular acidosis (dRTA). dRTA mutations reported within the carboxyl
(C)-terminal tail of kAE1 result in apical mis-targeting of the exchanger in
polarized renal epithelial cells. As kAE1 physically interacts with the MU
subunit of epithelial adaptor protein 1 B (AP-1B), we investigated the role of
heterologously expressed MU1B subunit of the AP-1B complex for kAE1 retention to
the basolateral membrane in polarized porcine LLC-PK1 renal epithelial cells that
are devoid of endogenous AP-1B. We confirmed the interaction and close proximity
between kAE1 and MU1B using immunoprecipitation and proximity ligation assay,
respectively. Expressing the human MU1B subunit in these cells decreased
significantly the amount of cell surface kAE1 at the steady state, but had no
significant effect on kAE1 recycling and endocytosis. We show that (i)
heterologous expression of MU1B displaces the physical interaction of endogenous
GAPDH with kAE1 WT supporting that both AP-1B and GAPDH proteins bind to an
overlapping site on kAE1 and (ii) phosphorylation of tyrosine 904 within the
potential YDEV interaction motif does not alter the kAE1/AP-1B interaction. We
conclude that MU1B subunit is not involved in recycling of kAE1.
PMID- 29651905
TI - The intracavitary electrocardiography method for tip location of jugular internal
vein access device in infants of less than 5 kg: A pilot study.
AB - PURPOSE:: This is a prospective observational study conducted by neonatologists
in neonatal intensive care unit from Sant'Anna and San Sebastiano Hospital,
Caserta, Italy. The objective of the study is to verify the feasibility of
intracavitary electrocardiography method for tip location of central venous
access device in infants of less than 5 kg and evaluate the accuracy of the
method in comparison with post-procedural echocardiographical verification of the
tip position. PATIENTS AND METHODS:: We enrolled 27 patients weighted between
0.660 and 5 kg, requiring central vascular access. Ultrasound-guided jugular
internal vein access was used and after cannulation, we applied the intracavitary
electrocardiography for tip location as well as post-procedural echocardiography.
RESULTS:: No significant complication related to intracavitary
electrocardiography occurred in the studied infants. The increase in P wave on
intracavitary electrocardiography was detected in all cases (27/27). In only one
case (false positive), the catheter had the tip out of cavoatrial junction-target
zone (to post-procedural echocardiography). CONCLUSION:: The intracavitary
electrocardiography method for tip location of central venous access device is
safe and accurate in infants, as demonstrated by post-procedural comparative
echocardiographic controls. As an alternative to echocardiography, not always
achievable, the diffusion of intracavitary electrocardiography method could
reduce X-ray exposition and complications of a malpositioned tip.
PMID- 29651906
TI - Return to Sport Rates in Physically Active Individuals 6 Months After Arthroscopy
for Femoroacetabular Impingement Syndrome.
AB - CONTEXT: Six months is the expected time frame to return to sport (RTS) after hip
arthroscopy for femoroacetabular impingement syndrome (FAIS). OBJECTIVE: The
primary aims of this study were to (1) report the RTS rate of physically active
individuals 6 months after arthroscopic surgery for FAIS and (2) compare the self
reported hip function between those who were able to RTS against those who had
not. DESIGN: Cohort study. SETTING: The Ohio State University Wexner Medical
Center. PATIENTS: A total of 42 physically active individuals scheduled for hip
arthroscopy for FAIS. MAIN OUTCOME MEASURES: Self-reported function, including
preinjury and current activity levels and ability to participate in sport, were
collected on 42 individuals with FAIS prior to surgery and at 6-month follow-up.
Participants were allocated into 2 groups based on self-reported RTS status at 6
month follow-up. Separate 2-way analyses of variance were used to test the
interaction of groups (those who did/did not RTS). Main effects were reported in
the absence of statistically significant interactions (P <= .05). RESULTS:
Altogether, 28 of 42 participants (66%) returned for 6-month follow-up; 5 of the
14 participants (35.7%) lost to follow-up underwent contralateral hip surgery
within the study time frame. At the 6-month follow-up, 16 of the remaining 28
participants (57.1%) reported that they had returned to sport, 5 of whom returned
at their prior level of participation. There was no statistically significant
interaction of group and time for either hip outcome score subscale (P >= .20).
Self-reported hip function improved over time, regardless of group (P <= .001).
Participants in the yRTS group demonstrated higher hip outcome score-sport scores
than did the nRTS group, regardless of time (P = .04). CONCLUSIONS: Though just
over half of participants returned to sport 6 months after hip arthroscopy for
FAIS, only 18% returned to their previous level of sports participation.
Participants who returned to sport reported better function than those who did
not, but self-reported hip function improved over time regardless of group. The
most commonly reported reasons for not returning to sport were weakness (69.6%),
fear (65.2%), and pain (56.5%).
PMID- 29651907
TI - Evidence-based clinical practice guideline for adult Still's disease.
AB - OBJECTIVES: Using an expert- and data-driven methodology, we have constructed the
first clinical practice guidelines (CPGs) for adult Still's disease (ASD) after
complete systematic review (SR) of the literature based upon the Medical
Information Network Distribution Service (Minds) procedure. METHODS: The CPG
committee for ASD organized by the Research Team for Autoimmune Diseases, the
Research Program for Intractable Disease of the Japanese Ministry of Health,
Labour, and Welfare has developed CPG for ASD 2017, according to the procedure
proposed by Minds. The CPG development process includes (1) clarification of the
purpose of CPG, (2) organization of the steering committee, (3) organization of
the CPG committee and secretariat, (4) defining the scope (setting of clinical
questions (CQs)), (5) SR, (6) development of recommendations, (7) drafting the
CPG, (8) external evaluation and public comments, and (9) release. Because we
wanted to construct CPG for ASD to encompass both adult-onset Still's disease
(AOSD) and adult patients with systemic juvenile idiopathic arthritis (sJIA), we
also included SR data from sJIA in this study. RESULTS: Twenty-six CQs were
selected and roughly divided into the following items: (1) clinical findings (CQs
1-4), (2) laboratory findings (CQs 5-8), (3) complications (CQs 9-13), (4)
treatment with oral medicine (CQs 14-19), (5) treatment with biological reagents
(CQs 20-23), and (6) treatments for sJIA (CQs 25-26). Recommendations and the
strength of the recommendations for these CQs were decided by a modified Delphi
method. CONCLUSION: We have developed the first published CPG for ASD including
AOSD and sJIA, which includes 26 CQs and recommendations. This guideline will
help rheumatologists, non-specialized physicians, other healthcare providers,
medical and health-related students, and patients and their family members to
understand and treat ASD.
PMID- 29651908
TI - Design of Eccentric Training System Based on Multiple-Input Single-Output Wiener
Nonlinear Model.
AB - OBJECTIVES: The efficacy of eccentric cycling has been proved in research,
clinical, and sport training activities. However, several constraints make it
difficult to use commercially available eccentric cycle ergometers. This study
will stimulate the application of eccentric cycling as an exercise modality.
STUDY DESIGN: University research laboratory. METHODS: In this study, the
multiple-input single-output (MISO) Wiener nonlinear model was applied to the
design of the eccentric training system. Particle Swarm Optimization (PSO)
algorithm was then applied to identify the parameters of the MISO Wiener
nonlinear model. The computer software embedded with MISO model was programmed
for not only controlling and monitoring the running status of the eccentric
training system, but also collecting data in a flexible and convenient manner.
RESULTS: The correlation coefficient of 0.9985 and root mean square error of
3.3264 between the collected power value and the estimated power value from the
model shows the good performance of the model. CONCLUSION: The proposed method
for the design of the eccentric training system using MISO Wiener nonlinear model
provides a new way for eccentric research and training.
PMID- 29651909
TI - [Anorexia nervosa in males].
AB - Anorexia nervosa in males Abstract. Anorexia nervosa (AN) is a rare disorder in
boys and men with limited data and studies available. The recent update of the
Diagnostic and Statistical Manual of Mental Disorders, Fifth Edition (DSM-5) will
in all likelihood lead to an increase in the prevalence of AN in boys and men.
This study aims to give an overview of the existing data in regards to gender
differences in epidemiology, etiology, and symptoms of AN. We aim to highlight
the differences in AN between the sexes, from a clinical point of view, and
underline the need for further research on AN in boys.
PMID- 29651910
TI - Head Impact Exposure in Practices Correlates With Exposure in Games for Youth
Football Players.
AB - This study aimed to compare head impact exposures between practices and games in
football players ages 9 to 14 years, who account for approximately 70% of all
football players in the United States. Over a period of 2 seasons, 136 players
were enrolled from 3 youth programs, and 49,847 head impacts were recorded from
345 practices and 137 games. During the study, individual players sustained a
median of 211 impacts per season, with a maximum of 1226 impacts. Players
sustained 50th (95th) percentile peak linear acceleration of 18.3 (46.9) g, peak
rotational acceleration of 1305.4 (3316.6) rad.s-2, and Head Impact Technology
Severity Profile of 13.7 (24.3), respectively. Overall, players with a higher
frequency of head impacts at practices recorded a higher frequency of head
impacts at games (P < .001, r2 = .52), and players who sustained a greater
average magnitude of head impacts during practice also recorded a greater average
magnitude of head impacts during games (P < .001). The youth football head impact
data quantified in this study provide valuable insight into the player exposure
profile, which should serve as a key baseline in efforts to reduce injury.
PMID- 29651911
TI - The Effect of Diacutaneous Fibrolysis on Patellar Position Measured Using
Ultrasound Scanning in Patellofemoral Pain Syndrome Patients.
AB - CONTEXT: Tightness or lack of flexibility of flexibility of several muscles of
the thigh has been associated with patellofemoral-join pain. A tight iliotibial
band can lead to laterally located patella and an abnormal patellar tracking
pattern. Diacutaneous fibrolysis is commonly used to reduce muscle tightness, but
no studies have evaluated the effects of this technique in the treatment of
patellofemoral pain syndrome patients. OBJECTIVE: To assess the effect of
diacutaneous fibrolysis on patellar position in patients with patellofemoral pain
syndrome. DESIGN: A single-group, pretest-posttest clinical trial. SETTING:
University of Zaragoza. PARTICIPANTS: 46 subjects with patellofemoral pain (20
males, 26 females (age 27.8 +/- 6.9 years)). INTERVENTION: 3 sessions of
diacutaneous fibrolysis. MAIN OUTCOME MEASURES: Patellar position measurement
using real time ultrasound scanning, pain intensity measured with visual analogue
scale and function measured with the Anterior Knee Pain Scale. RESULTS: The
application of three sessions of diacutaneous fibrolysis significantly increased
the patellar position at post-treatment evaluation (p<0.001) and at one-week
follow-up (p<0.001). There was not a significance difference on patellar position
between post-treatment and follow-up measurements (p=0.283). There were also a
statistically significant decrease in pain and increase in function at post
treatment and at one-week follow-up measurements (p<0.001). CONCLUSION: This
study found that patellar position, pain intensity and function were
significantly improved after three sessions of diacutaneous fibrolysis and at one
week follow up.
PMID- 29651912
TI - Effects of diclofenac on the pharmacokinetics of celastrol in rats and its
transport.
AB - CONTEXT: Diclofenac and celastrol are always used together for the treatment of
rheumatoid arthritis; the herb-drug interaction potential between diclofenac and
celastrol is still unknown. OBJECTIVE: This study investigates the effects of
diclofenac on the pharmacokinetics of celastrol in rats. MATERIALS AND METHODS:
Twelve male Sprague-Dawley rats were divided into two groups and received
celastrol (1 mg/kg) or both celastrol (1 mg/kg) and diclofenac (10 mg/kg) by oral
gavage, and blood samples were collected via the oculi chorioideae vein and
determined using the LC-MS method developed in this study. Additionally, the
effects of diclofenac on the transport of celastrol were investigated using a
Caco-2 cell transwell model. RESULTS: Diclofenac could significantly (p < 0.05)
decrease the Cmax (from 66.93 +/- 10.28 to 41.25 +/- 8.06 ng/mL) and AUC0-t (from
765.84 +/- 163.61 to 451.33 +/- 110.88 MUg * h/L) of celastrol in rats. The
efflux ratio of celastrol increased significantly (p < 0.05) from 3.12 to 4.55
with the treatment of diclofenac. DISCUSSION AND CONCLUSION: These results
indicated that diclofenac could decrease the system exposure of celastrol in rats
when they are co-administered, and these effects might be exerted via decreasing
its absorption in intestine.
PMID- 29651913
TI - Drug importation into Italy by body packing: An analysis of the UNODC Individual
Drug Seizures Database.
AB - Internal concealment and transportation of illegal substances by body packing is
a major business with very high profits, attracting criminals all over the world.
As body packers are rarely arrested, it is difficult to quantify their proportion
in the general population and, consequently, identify the countries involved in
this kind of drug traffic; as a consequence, the percentage of undetected cases
is undoubtedly high. The aim of this study is to provide useful information
concerning the country of origin of body packers travelling to Italy through the
analysis of the United Nations Office on Drugs and Crime Individual Drug Seizures
Report database in the period 2010-2015. Results of our study highlighted a total
of 85 cases, producing a total of 52.4 kg of drugs transported through body
packing towards Italy. Data obtained from our analysis could represent an useful
starting point to: (a) enhance police controls on people coming from targeted
countries and improve collaborations between Italian Police Forces and those of
other countries; (b) understand the reason why some cities/airports are so
frequently used in drug trafficking through body packing and, as a consequence,
enhance police controls in these places; and
PMID- 29651914
TI - Inflammatory pathways are central to posterior cerebrovascular artery remodelling
prior to the onset of congenital hypertension.
AB - Cerebral artery hypoperfusion may provide the basis for linking ischemic stroke
with hypertension. Brain hypoperfusion may induce hypertension that may serve as
an auto-protective mechanism to prevent ischemic stroke. We hypothesised that
hypertension is caused by remodelling of the cerebral arteries, which is
triggered by inflammation. We used a congenital rat model of hypertension and
examined age-related changes in gene expression of the cerebral arteries using
RNA sequencing. Prior to hypertension, we found changes in signalling pathways
associated with the immune system and fibrosis. Validation studies using second
harmonics generation microscopy revealed upregulation of collagen type I and IV
in both tunica externa and media. These changes in the extracellular matrix of
cerebral arteries pre-empted hypertension accounting for their increased
stiffness and resistance, both potentially conducive to stroke. These data
indicate that inflammatory driven cerebral artery remodelling occurs prior to the
onset of hypertension and may be a trigger elevating systemic blood pressure in
genetically programmed hypertension.
PMID- 29651915
TI - Intravenous radiographic contrast administered prior to high-dose methotrexate
and subsequent toxicity requiring the use of glucarpidase.
AB - Methotrexate is a dihydrofolate reductase inhibitor that interferes with DNA
synthesis, DNA repair, and cellular replication. We present the first adult case
of a patient who received intravenous contrast prior to administration of high
dose methotrexate, who subsequently experienced delayed methotrexate clearance
and renal impairment necessitating the use of glucarpidase. This case displays a
possible correlation between intravenous radiographic contrast administration and
resulting toxicity due to delayed methotrexate clearance.
PMID- 29651916
TI - Assessment of exposure risk of irinotecan and its active metabolite, SN-38,
through perspiration during chemotherapy.
AB - Background Irinotecan (CPT-11) is the key drug used in chemotherapy for many
malignant tumors. CPT-11 has cholinergic activity and induces perspiration during
intravenous administration. In this study, concentrations of CPT-11 and its
active metabolite, SN-38, released during perspiration were measured and risk of
exposure of these drugs was assessed. Method Beads of sweat were collected using
a dropper from four patients undergoing a chemotherapy regimen involving
intravenous administration of CPT-11. The concentrations of CPT-11 and SN-38 in
sweat were measured using liquid chromatography tandem mass spectrometry. Result
Chemotherapy regimens were capecitabine and irinotecan plus bevacizumab (n = 1),
CPT-11 monotherapy (n = 1), and oxaliplatin-irinotecan-leucovorin-5-fluorouracil
(n = 2). Uridine diphosphate-glucuronosyltransferase 1A1 phenotypes were *6 homo
type (n = 1), *6 hetero-type (n = 1), and wild type (n = 2). CPT-11 dose was
292.3 +/- 75.5 mg/body weight (mean +/- standard deviation). CPT-11 was detected
in sweat secreted by all the four patients, and its mean (+/-standard deviation)
concentration was 252.6 (+/-111.9) ng/ml. SN-38 was detected in only one of the
patients who received oxaliplatin-irinotecan-leucovorin-5-fluorouracil treatment
and who had the wild-type uridine diphosphate-glucuronosyltransferase 1A1
phenotype at a concentration of 74.37 ng/ml. Conclusion CPT-11 and SN-38 are
detected in sweat released during intravenous CPT-11 administration. Beads of
sweat or linen clothes that absorb the sweat might be the source of CPT-11 and SN
38 exposure.
PMID- 29651917
TI - Erythematous skin lesions with necrotic centers on lower extremities due to the
use of ruxolitinib for primary myelofibrosis.
AB - Ruxolitinib is a small molecule JAK-2 inhibitor approved for the treatment of
certain myeloproliferative neoplasms. Ruxolitinib-related skin toxicity is
extremely rare. We report herein an unusual erythematous skin eruption with
necrotic centers involving lower extremities in a patient with primary
myelofibrosis treated with ruxolitinib. Awareness of this unusual skin toxicity
with ruxolitinib becomes even more important as JAK-2 inhibition might soon find
clinical applications in dermatology.
PMID- 29651918
TI - Updated report on incidence of infusion-site reactions associated with peripheral
intravenous administration of fosaprepitant.
AB - Purpose Fosaprepitant (Emend(r)) is an antiemetic frequently used for the
prevention of chemotherapy-induced nausea and vomiting. We previously documented
an overall 28.7% incidence of infusion-site reactions in patients receiving
fosaprepitant via peripheral venous access. These data resulted in a practice
change within our institution; fosaprepitant is administered in more dilute
concentrations over 30 min to prevent these adverse events. This retrospective
study explored the impact of this practice change on the incidence of infusion
site reactions. Methods Medical records of patients with cancer receiving
intravenous fosaprepitant through a peripheral intravenous line were reviewed.
The primary objective of this study was to compare the incidence of infusion-site
reactions before the practice change to the incidence after the practice change.
Data collection included demographics, fosaprepitant infusion information, and
grading of reactions. Results Between September 2013 and December of 2013, charts
of 122 patients receiving intravenous fosaprepitant through a peripheral line at
the The Arthur G. James Cancer Hospital at The Ohio State University were
reviewed. We found a 5.74% incidence of infusion-site reactions which is
significantly lower than the prechange incidence of 28.7% (p < 0.001).
Conclusions Infusion-site reactions were significantly reduced when fosaprepitant
was diluted to 150 mg/250 ml and infused over 30 min. We recommend oncology
pharmacists consider using the more dilute fosaprepitant preparation and 30 min
infusion duration when administering via a peripheral intravenous line to improve
patient tolerance.
PMID- 29651919
TI - The incidence and timing of leukocyte overshoot after pegfilgrastim
administration.
AB - Introduction Pegfilgrastim is a PEGylated formulation of filgrastim with a long
half-life. It is highly convenient and less burdensome for patients. However,
white blood cell count may temporarily increase after administration; in
particular, a leukocyte overshoot may be observed. The present study
retrospectively examined the incidence and timing of leukocyte overshoot after
pegfilgrastim administration. Patients and methods Fifty-five patients (118
occasions of pegfilgrastim) were evaluated. Leukocyte overshoot was defined as
white blood cell count >=10,000/mm3 exceeding the reference value. Results
Leukocyte overshoot was observed in 71.2% (84/118) occasions, in 76.4% (42/55)
patients. The maximum white blood cell count >=30,000/mm3 was observed in 30.5%
(36/118) occasions in 45.5% (25/55) patients and was observed in 39.3% (33/84)
occasions on day 1 after pegfilgrastim administration and 26.2% (22/84) on day 2.
Leukocyte overshoot has been observed in only 23.1% (9/39) patients administered
with normal granulocyte colony-stimulating factor. However, there were no
patients with white blood cell counts >=30,000/mm3. Conclusion There was a higher
frequency of occurrence of leukocyte overshoot in response to pegfilgrastim than
in response to normal granulocyte colony-stimulating factor. High incidence of
leukocyte overshoot was observed when blood was collected 1-2 days after
administration of pegfilgrastim. It is important for patients to understand the
characteristics of pegfilgrastim by conducting pharmaceutical guidance.
PMID- 29651920
TI - Systemic Factors Associated With Prosocial Skills and Maladaptive Functioning in
Youth Exposed to Intimate Partner Violence.
AB - Children are frequently present in homes in which intimate partner violence (IPV)
occurs. Following exposure to IPV, children may develop behavioral health
difficulties, struggle with regulating emotions, or exhibit aggression. Despite
the negative outcomes associated with witnessing IPV, many children also display
resilience. Guided by Bronfenbrenner's bioecological model, this study examined
person-level, process-level (microsystem), and context-level (mesosystem) factors
associated with positive and negative functioning among youth exposed to IPV.
Participants were 118 mothers who reported on their 6- to 14-year-old children.
All mothers experienced severe physical, psychological, and/or sexual IPV in the
past 6 months. Linear regression modeling was conducted separately for youth
maladaptive functioning and prosocial skills. The linear regression model for
maladaptive functioning was significant, F(6, 110) = 9.32, p < .001, adj R2 =
27%, with more severe IPV (beta = .18, p < .05) and more negative parenting
practices (beta = .34, p < .001) associated with worse child outcomes. The model
for prosocial skills was also significant, F(6, 110) = 3.34, p < .01, adj. R2 =
14%, with less negative parenting practices (beta = -.26, p < .001) and greater
community connectedness (beta = .17, p < .05) linked to more prosocial skills.
These findings provide critical knowledge on specific mutable factors associated
with positive and negative functioning among children in the context of IPV
exposure. Such factors could be incorporated into strength-based interventions
following family violence.
PMID- 29651921
TI - Jordanian Men's and Women's Attitudes Toward Intimate Partner Violence and Its
Correlates With Family Functioning and Demographics.
AB - Jordan is a developing country in the Middle East and, much like other countries
in the world, has high rates of intimate partner violence (IPV). Little
information is available on Jordanian men's and women's attitudes toward IPV. The
purpose of this study is to examine men's and women's attitudes toward IPV in
Jordan and its relationship with some demographics and family functioning. A
descriptive cross-sectional correlational design with a sample of 401 men and
women was used. Descriptive statistics ( M, SD), Pearson r, t test, and ANOVA
were used. The results indicated that Jordanian men and women have a lower score
of IPVAS, 40.06 ( SD = 8.20), indicating lower acceptance of IPV compared with
the literature. Family functioning was 3.12 ( SD = 0.46), indicating more healthy
families. Family functioning was negatively correlated with IPVAS scores ( r =
.22, p = .00). All demographic variables showed small to moderate correlations
with IPVAS. Education for both study participants and their spouses had a
negative correlation with IPVAS ( r = -.27, p = .00) and ( r = -.20, p = .00),
respectively. Male participants, individuals who were living with extended
family, and those living in rural areas had significantly high IPVAS scores,
indicating more accepting attitudes toward IPV. Practitioners should provide
families with education on the methods of conflict resolution, effective
communication within the family, problem-solving approaches, equal role
distribution, and appropriate styles of establishing a family.
PMID- 29651922
TI - Prior Exposure to Intimate Partner Violence Associated With Less HIV Testing
Among Young Women.
AB - Low-income minority women are disproportionately represented among those living
with HIV in the United States. They are also at risk for the SAVA (substance
abuse, violence, and HIV/AIDS) syndemic issues. Women who have recently given
birth are at high risk for substance use and intimate partner violence (IPV), and
HIV testing is not routinely administered during the postpartum visit. We
explored the relationship between substance use, IPV, and HIV testing among low
income young adult women attending Special Supplemental Nutrition Program for
Women, Infants, and Children (WIC), a federally supported nutrition program. A
survey assessed substance use, relationships in particular with violence
exposure, and HIV testing behavior in the past 6 months among a convenience
sample of 100 women aged 18 to 30. The survey was conducted at several WIC
offices in an urban setting in the Mid-Atlantic region between June and December
2015. Physical violence was the only IPV variable significantly associated ( p =
.022) with not being tested for HIV in the past 6 months, remaining significant
even after adjusting for demographic and other significant variables (adjusted
odds ratio [AOR] = 0.02; 95% confidence interval [CI] = [0.00, 0.41]). Women
exposed to physical IPV or psychological IPV in the past year were significantly
more likely to have ever used an illicit drug (physical IPV: 34% vs. 59%, p =
.052; psychological IPV: 22% vs. 53%. p = .002). These findings between physical
IPV and HIV testing history highlight the need to further understand how the
context of violence affects HIV testing behaviors. Providing convenient, safe,
and accessible HIV testing sites in spaces like WIC may increase HIV testing
rates overall and specifically among women experiencing IPV.
PMID- 29651923
TI - Identification of Domestic Violence Service Needs Among Child Welfare-Involved
Parents With Substance Use Disorders: A Gender-Stratified Analysis.
AB - The current study examined the prevalence and associations of a need for domestic
violence services among child welfare-involved mothers and fathers with substance
use disorders. Data were drawn from 2,231 child welfare-involved parents in
Illinois with an identified substance use disorder. Approximately 42% of mothers
and 33% of fathers with a substance use disorder had a concurrent need for
domestic violence services. The sample was stratified by gender and logistic
regression models were fit to determine the adjusted odds of an identified need
for domestic violence services. For both mothers and fathers, the strongest
association was an additional need for mental health services. Age, education
status, alcohol use, marijuana use, and a reported history of physical violence
victimization were also associated with a need for domestic violence services
among mothers, while race, age, marital status, annual income, alcohol use,
cocaine use, and a reported history of physical violence perpetration were
associated with a need for domestic violence services among fathers. The findings
of this study make clear that domestic violence is a commonly co-occurring
service need for child welfare-involved parents with identified substance use
disorders, and that associations with this need vary by gender.
PMID- 29651924
TI - Abuse of Rural Elders in Mansoura Districts, Dakahlia, Egypt: Prevalence, Types,
Risk Factors, and Lifestyle.
AB - This study was carried out to explore the prevalence of elderly abuse, identify
its types, associated risk factors and determine quality of their life in Rural
Mansoura districts, Egypt. A cross-section study was conducted on a sample of 272
elders recruited from outpatient clinicsFamily Health Centers in Shawa & Berkin
villages from May 1 to September 3, 2016. 200 (73.5%) elders accepted
participation. A previously designed and validated questionnaires were used to
collect data from participants. 46% of the studied elders were abused. The most
frequent forms of abuse were negligence (40%) followed by psychological abuse
(30.5%). Abuse was significantly higher among females (56.5%), farmers (67.2%),
illiterates (55.5%) and living alone elders (68.5%). Elders with chronic diseases
were significantly more abused (54.9%) especially diabetic and hypertensive
elders (71.4%). Concerning lifestyle of the studied elders, 21% of them had bad
health perception generally. The study showed that dependent elders exposed
significantly to higher rates of abuse than independents with highly statistical
significant.Logistic regression analysis revealed that being a female and
previously working as farmer are 2.5 and 4.6 times more likely to be abused. On
the other hand, sharing house with other partner or offspring and absence of
chronic diseases are protective predictors against elder abuse. Governmental and
NGOS support to elders in rural areas especially females and famers is highly
recommended. Health insurance is necessary for health promotion, reduction of
chronic disease and or disability to decrease dependency is crucial.
PMID- 29651925
TI - Heptamer Peptide Disassembles Native Amyloid in Human Plasma Through Heat Shock
Protein 70.
AB - Proteostasis, which includes the repair and disposal of misfolded proteins,
depends, in part, on the activity of heat shock proteins (HSPs), a well-known
class of chaperone molecules. When this process fails, abnormally folded proteins
may accumulate in cells, tissues, and blood. These species are a hallmark of
protein aggregation diseases, but also amass during aging, often in the absence
of an identified clinical disorder. We report that a neuroprotective cyclic
heptapeptide, CHEC-7, which has been applied systemically as a therapeutic in
animal neurodegeneration models, disrupts such aggregates and inhibits
amyloidogenesis when added in nanomolar concentrations to human plasma. This
effect includes aggregates of amyloid beta (Abeta1-40, 1-42), prominent features
of Alzheimer's disease pathology. The activity of endogenous HSP70, a recently
discovered target of the peptide, is required as demonstrated by both antibody
blocking and application of pifithrin-MU, an HSP70 inhibitor. CHEC-7 is the first
high-affinity compound to stimulate HSP70's disaggregase activity and therefore
enable this endogenous mechanism in a human systemic environment, increasing the
likelihood of a convenient therapy for protein aggregate disease, including age
related failures of protein repair.
PMID- 29651926
TI - Nine months a slave: when pregnancy is involuntary servitude to a foetus.
AB - Recent globally compiled evidence suggests that one-quarter of pregnancies end in
abortions. However, abortions remain illegal in many countries, resulting in
unsafe practices. Debates have largely stalled with the pro-life, pro-choice
epithets. To provide further arguments in support of legalising abortion
services, we argue that the state cannot demand of a woman that she maintains an
unwanted pregnancy because that demand places her in a state of involuntary
servitude. Involuntary servitude would put states in breach of international
human rights law (Article 8 of International Covenant on Civil and Political
Rights). Furthermore, we argue that the fact that a life may be forfeit when a
woman withdraws her service is no basis for enforcing the servitude. We draw on
the 13th Amendment of the US Constitution as an example to extend the argument
and highlight the need to test involuntary servitude in international human
rights law through mechanisms offered in the international periodic review of
member states. This could provide a robust approach to support and strengthen
access to safe abortion services.
PMID- 29651927
TI - A two-stage approach to estimate spatial and spatio-temporal disease risks in the
presence of local discontinuities and clusters.
AB - Disease risk maps for areal unit data are often estimated from Poisson mixed
models with local spatial smoothing, for example by incorporating random effects
with a conditional autoregressive prior distribution. However, one of the
limitations is that local discontinuities in the spatial pattern are not usually
modelled, leading to over-smoothing of the risk maps and a masking of clusters of
hot/coldspot areas. In this paper, we propose a novel two-stage approach to
estimate and map disease risk in the presence of such local discontinuities and
clusters. We propose approaches in both spatial and spatio-temporal domains,
where for the latter the clusters can either be fixed or allowed to vary over
time. In the first stage, we apply an agglomerative hierarchical clustering
algorithm to training data to provide sets of potential clusters, and in the
second stage, a two-level spatial or spatio-temporal model is applied to each
potential cluster configuration. The superiority of the proposed approach with
regard to a previous proposal is shown by simulation, and the methodology is
applied to two important public health problems in Spain, namely stomach cancer
mortality across Spain and brain cancer incidence in the Navarre and Basque
Country regions of Spain.
PMID- 29651928
TI - Rural Postpartum Women With Substance Use Disorders.
AB - The incidence of perinatal opioid use and neonatal withdrawal continues to rise
rapidly in the face of the growing opioid addiction epidemic in the United
States, with rural areas more severely affected. Despite decades of research and
development of practice guidelines, maternal and neonatal outcomes have not
improved substantially. This focused ethnography sought to understand the
experience of accessing care necessary for substance use disorder recovery,
pregnancy, and parenting. Personal accounts of 13 rural women, supplemented by
participant observation and media artifacts, uncovered three domains with
underlying themes: challenges of getting treatment and care (service
availability, distance/geographic location, transportation, provider
collaboration/coordination, physical and emotional safety), opportunities to bond
(proximity, information), and importance of relationships (respect, empathy,
familiarity, inclusion, interactions with care providers). Findings highlight the
need for providers and policy makers to reduce barriers to treatment and care
related to logistics, stigma, judgment, and lack of understanding of perinatal
addiction.
PMID- 29651929
TI - Chronic iliofemoral vein obstruction - an under-recognized cause of exercise
limitation.
AB - Local symptoms of chronic venous insufficiency after deep vein thrombosis (DVT)
are well described, but little is known about the effect of residual venous
obstruction on exercise capacity. We tested our hypothesis that chronic residual
iliofemoral vein occlusion (IFVO) after DVT may impair exercise capacity. Nine
post-DVT patients with residual IFVO and effort intolerance were studied; a
comparison cohort consisted of 11 healthy volunteers. Exercise tolerance was
assessed by bimodality incremental symptom-limited cardiopulmonary testing, using
leg and arm ergometers. In healthy subjects, leg vein obstruction was modelled by
application to the thighs of cuff tourniquets inflated to 30-40 mmHg. Leg
exercise tolerance as measured by oxygen uptake at peak exercise (peak ?'O2) was
reduced in patients (median 50% predicted (range 36-83%) vs. 88% predicted (67
129%) in normal subjects, p < 0.001). Arm exercise tolerance was also reduced in
patients, but less severely than in the legs - the median arm: leg ratio of peak
?'O2 was 0.95 (0.77-1.43) in patients vs. a normal ratio of 0.73 (0.6-1.0) in
healthy subjects (p < 0.003). In healthy subjects, bilateral leg vein obstruction
by tourniquets reduced peak ?'O2 in leg exercise to 76% predicted (range 55-108%;
p < 0.001 vs. standard test). In conclusion, the comparison of arm vs. leg
exercise capacity in post-DVT patients with residual IFVO and the effect of
experimental venous obstruction (thigh tourniquets) in healthy subjects suggest
that reduced exercise capacity in patients was at least partially caused by
reduced venous return. Chronic venous obstruction should be recognized as a cause
of exercise limitation.
PMID- 29651930
TI - Molecular insights into the irreversible mechanical behavior of sickle
hemoglobin.
AB - Sickle cell disease is caused by the amino acid substitution of glutamic acid to
valine, which leads to the polymerization of deoxygenated sickle hemoglobin (HbS)
into long strands. These strands are responsible for the sickling of red blood
cells (RBCs), making blood hyper-coagulable leading to an increased chance of
vaso-occlusive crisis. The conformational changes in sickled RBCs traveling
through narrow blood vessels in a highly viscous fluid are critical in
understanding; however, there are few studies that investigate the origins of the
molecular mechanical behavior of sickled RBCs. In this work, we investigate the
molecular mechanical properties of HbS molecules. A mechanical model was used to
estimate the directional stiffness of an HbS molecule and the results were
compared to adult human hemoglobin (HbA). The comparison shows a significant
difference in strength between HbS and HbA, as well as anisotropic behavior of
the hemoglobin molecules. The results also indicated that the HbS molecule
experienced more irreversible mechanical behavior than HbA under compression.
Further, we have characterized the elastic and compressive properties of a double
stranded sickle fiber using six HbS molecules, and it shows that the HbS
molecules are bound to each other through strong inter-molecular forces.
PMID- 29651931
TI - Hypertension.
PMID- 29651932
TI - Alternative Host Models for Testing Anti-Protozoal or Antifungal Compounds and
Fungal Infection.
AB - The neglected tropical diseases (NTDs) are caused by several parasites, fungi,
bacteria and viruses and affect more than one billion people in the world. The
control and prevention against NTDs need implementation of alternative methods
for testing new compounds against these diseases. For the implementation of
alternative methods, it is necessary to apply the principles of replacement,
reduction and refinement (the 3Rs) for the use of laboratory animals.
Accordingly, the present review addressed a variety of alternative models to
study the infections caused by protozoa and fungi. Overall, vertebrate and
invertebrate models of fungal infection have been used to elucidate host-pathogen
interactions. However, until now the insect model has not been used in protozoal
studies as an alternative method, but there is interest in the scientific
community to try new tools to screen alternative drugs to control and prevent
protozoal infections.
PMID- 29651933
TI - Alternative Methods to Animal Studies for the Evaluation of Topical/ Transdermal
Drug Delivery Systems.
AB - It is critical to develop an effective understanding of the interaction between
the drug, delivery system and skin in order to predict and assess skin
penetration and permeation. Experimental models for the assessment of topical and
transdermal delivery systems must permit evaluation of these complex
interactions. Whilst in the past, animal models were commonly used, recent
regulatory guidelines, based on 3R principles (refinement, reduction,
replacement), encourage the rational use of animals. Alternative methods have
been proposed for use in the development of topical and transdermal delivery
systems which are often used in combination. We will review the current state of
the art in alternative methods for topical and transdermal delivery systems
development, including technologies that can assist in the characterization of
skin penetration/permeation studies.
PMID- 29651934
TI - Effects of Curcumin on Squamous Cell Carcinoma of Tongue: An In Vitro Study.
AB - BACKGROUND: The Squamous Cell Carcinoma of the Tongue (TSCC) is the most frequent
cancer of oral cavity often characterized by poor prognosis. Conventional
therapies are not very efficient and often may cause serious side effects. In
this context, introduction of natural substances as possible adjuvant in the
treatment and prevention of cancer is becoming a relevant topic. In fact,
curcumin has been used for decades in Chinese traditional medicine for its
beneficial effects. Curcumin has anticancer properties in many tumors however,
its action on the tongue carcinoma is not entirely clear and many other
investigations are necessary. OBJECTIVE: Curcumin seems to be a good adjuvant in
the treatment of head and neck tumors. However, these studies are generic and
there are not many specific studies on TSCC, the most frequent and most
aggressive cancer of the head-neck region. Our goal is to demonstrate its
effectiveness also for TSCC. METHODS: In this study, we evaluated the effects of
curcumin on TSCC cells using different concentrations (1, 5, 10, 20 and 50 uM)
and 3 different treatment times (24, 48 and 72 hours). The inhibition of
adhesion, proliferation, viability, migration and apoptosis was studied. RESULTS:
IC50 value of curcumin is about 10 uM and there have been inhibitory effects even
for treatments at low concentrations. Curcumin reduces migration and progression
of TSCC cells and it promotes apoptosis and inhibits tumorigenesis. CONCLUSIONS:
These results suggest the possible use of curcumin as an anti-cancer agent in
TSCC. However, in vivo studies are needed to confirm these effects and overcome
its low bioavailability.
PMID- 29651935
TI - Nicotine Receptors as a Possible Marker for Smoking-related Sperm Damage.
AB - BACKGROUND: Several studies demonstrate that cigarette smoking has a negative
effect on the reproductive health of both genders. The mechanisms by which it
alters male gonadic function are not entirely clear. The combustion of cigarette
produces a lot of chemical compounds that may be responsible for the negative
impact of cigarette smoke on sperm parameters. In particular, the effects on
semen of nicotine, a substance present in the tobacco plant and the main
constituent of cigarette smoke, have been studied, showing that this alkaloid
alters sperm parameters. Recently we investigated the mechanism by which nicotine
damages sperm through the evaluation of the expression of nicotinic receptors
subunits in human spermatozoa. CONCLUSION: 8 nAChR subunits found to date in
mammals are expressed in human spermatozoa but, in non-smokers subjects, only
alpha7 subunit is translated. Cigarette smoking may stimulate the expression of
some subunits, not translated in non-smokers. Therefore, the presence in sperm of
other nAChR subunits than alpha7 could represent a marker for smoking-related
sperm damage.
PMID- 29651936
TI - Mammalian Sperm Protamine Extraction and Analysis: A Step-By-Step Detailed
Protocol and Brief Review of Protamine Alterations.
AB - BACKGROUND: Protamines are the most abundant sperm nuclear proteins and pack
approximately the 92-98% of the mammalian sperm DNA. In mammals, two types of
protamines have been described, the Protamine 1 (P1) and the Protamine 2 (P2)
family. The deregulation of the relative P1/P2 ratio has been correlated to DNA
damage, alterations in seminal parameters, and low success rate of assisted
reproduction techniques. Additionally, the extraction and analysis of protamines
have been important to understand the fundamental aspects of the sperm chromatin
structure and function, protamine sequence conservation among species, and sperm
chromatin alterations present in infertile males. However, protamines show a
particular chemical nature due to its special amino acid sequence, extremely rich
in arginine and cysteine residues. Because of these peculiar characteristics of
protamines, their extraction and analysis is not as straightforward as the
analysis of other chromatin-associated proteins, for which many detailed
protocols are already available. CONCLUSION: A step-by-step protocol was needed
to facilitate protamine analysis to researchers interested in their
implementation. Therefore, in order to contribute to fulfill this need, here we
provide a detailed protocol, which should be useful to research teams and
laboratories interested in the protamine field. In addition, we also briefly
review the different studies published so far on protamine alterations and male
infertility.
PMID- 29651937
TI - An Emerging Role of Endometrial Inflammasome in Reproduction: New Therapeutic
Approaches.
AB - BACKGROUND: One of the common complications of pregnancy is spontaneous pregnancy
loss which occurs in an estimated 5- 15% of pregnancies. Of all women 1%-5%
suffer from Recurrent Pregnancy Loss (RPL). Despite the fact that RPL has been
associated to various anatomic, hormonal, immune, hematologic, and genetic
defects, in 30% of the patients, screening tests included in the RPL workup may
have negative results. Recently, we demonstrated a significant increased
activation of endometrial NALP-3 inflammasome, and a caspase-1 dependent
secretion of IL-18 and IL-1beta in the endometrial tissues obtained from RPL
women compared with a fertile women group. The inflammasome has emerged as a key
player in innate immunity and inflammation. An abnormal inflammasome activation,
in absence of detectable infectious causes, might be one of the molecular
mechanisms involved in establishing an unreceptive endometrium, potentially
leading to early fetal loss. Upon activation, this multiprotein complex makes
possible the caspase- 1-mediated proteolytic processing of proinflammatory
cytokines generating their respective mature secretory forms. CONCLUSION: The
understanding of molecular modulation of inflammasome associated pathways is
critical for drug design, development and delivery. To date many promising
inhibitors of inflammasome complex activation have been described, such as
MCC950, beta-Hydroxybutyrate or Micro RNAs that affect NALP3 expression and
activation. Furthermore, several herbal extracts and its bioactive constituents
have shown to be effective in inflammatory response mediated by NLRP3
inflammasome activation. Nevertheless all these molecules represent a significant
progress toward developing therapies that target IL-18 and IL-1beta secretion in
a variety of diseases.
PMID- 29651938
TI - Semen Proteomics Reveals the Impact of Enterococcus faecalis on male Fertility.
AB - BACKGROUND: Infectious etiologies contribute to 15% of male factor infertility.
Enterococcus faecalis (E. faecalis) is commonly identified in semen culture of
infertile men and it is associated with significantly poorer semen quality.
OBJECTIVE: Aim of this study was to identify new seminal biomarkers for the male
tract infection by E. faecalis, using proteomic profiling, in order to understand
the effect of E. faecalis on the physiopathology of male reproduction. METHODS:
We included in the study ten patients seeking medical care for primary
infertility with prostate-vesicular-epidydimitis and with microbiological
analysis on semen and/or prostatic secretions positive for E. faecalis. Ten
fertile men have been enrolled as a control group in the protocol. An aliquot of
each seminal plasma was subjected to an in-solution digestion protocol and
analyzed using an Ultimate 3000 RSLCnano HPLC apparatus coupled to a LTQ Orbitrap
Elite mass spectrometer. RESULTS: Eight proteins have not been identified in the
group of controls and have been observed in a remarkable proportion of patients,
mainly involved in immune system activity (CD177, Swiprosin-1 and 2-oxoglutarate
dehydrogenase). Arylsulfatase has been identified in the group of controls and
was absent in all patients with infection. Three proteins (TIMP-1, WFDC domain
protein 2 and Carboxypeptidase E) have been observed significantly different in
patients versus controls, mainly related with inflammation. CONCLUSIONS: This is
the first application of MS-based proteomics aimed to reveal an array of proteins
in the seminal plasma and reflecting the effect of the infection by E. faecalis
on semen composition.
PMID- 29651939
TI - Role of Sertoli Cell Proteins in Immunomodulation.
AB - BACKGROUND: Sertoli cell, over the past 30 years, have been elevated from simple
mechanical elements to the rank of a "sentinel" in spermatogenesis. By delivering
potent immunomodulatory and trophic proteins, Sertoli cells are unique cell type
with a pivotal role in maintaining testis immune privilege and the immune
protection of the antigenic germ cells. CONCLUSIONS: The findings from SC
transplantation studies utilizing experimental animal models of disease,
demonstrate the presence of the same immuno-modulation properties and mechanisms
at tissue and organ sites far from testis. The complex pathways that generate and
maintain the immune tolerance involve the production of several immunomodulatory
or immune-related proteins such as cytokines, chemokines, growth factors,
mediators of the inflammation, complement inhibitors or adhesion molecules. A
better definition and understanding of these Sertoli cell proteins and the
mechanisms of immunoprotection should help to elucidate their role in the
spermatogenic process. The demonstration of their capabilities in transplantation
experiments suggests that Sertoli cells may be good candidates in cell therapy
for a number of cell-mediated chronic diseases.
PMID- 29651940
TI - Proteomics in Human Reproduction.
AB - BACKGROUND: Assisted Reproduction Techniques (ART) have significantly advanced
since the first successful In Vitro Fertilization (IVF). However, most in vitro
produced embryos fail to implant. Key steps in ART are the correct infertility
diagnosis, in order to manage the individualised treatments, and the assessment
of gamete and embryo viability, to identify the embryo with the best implantation
potential. OBJECTIVE: The goal for this manuscript was to present a brief review
of proteomics in Assisted Reproduction Technologies (ART) and raises the question
of whether proteomics is a good alternative for the future of ART. METHODS: A
literature review concerning proteomics and assisted reproduction was conducted.
RESULTS: Non-invasive approaches to correctly diagnose infertility and to access
embryo development potential have the advantages of increasing our knowledge
about embryo physiology, therefore allowing the development of methods to predict
developmental competence and viability. These approaches include proteomic
profiling and other omics technologies. CONCLUSION: The use of proteomics in
clinical practice goes beyond the identification of the oocytes and embryos with
the best developmental potentials, it may add to the diagnosis of both male and
female infertility and in the future, it may be a laboratory tool that will
contribute to the birth of a healthy child.
PMID- 29651941
TI - Identification of Adjacent NNRTI Binding Pocket in Multi-mutated HIV1- RT Enzyme
Model: An in silico Study.
AB - INTRODUCTION: A possible strategy to combat mutant strains is to have a thorough
structural evaluation before and after mutations to identify the diversity in the
non-nucleoside inhibitor binding pocket and their effects on enzyme-ligand
interactions to generate novel NNRTI's accordingly. OBJECTIVE: The primary
objective of this study was to find effects of multiple point mutations on NNRTI
binding pocket. This study included the contribution of each individual mutation
in NNIBP that propose an adjacent binding pocket which can be used to discover
novel NNRTI derivatives. METHODS: An in Silico model of HIV-1 RT enzyme with
multiple mutations K103N, Y181C and Y188L was developed and evaluated. Two
designed NNRTI pyridinone derivatives were selected as ligands for docking
studies with the homology model through alignment based docking and residue based
docking approaches. Binding pockets of wild type HIV-1 RT and multi-mutated
homology model were compared thoroughly. RESULT AND DISCUSSION: K103N mutation
narrowed the entrance of NNRTI binding pocket and forbade electrostatic
interaction with alpha amino group of LYS103. Mutations Y181C and Y188L prevented
NNRTI binding by eliminating aromatic pi interactions offered by tyrosine rings.
Docking study against new homology model suggested an adjacent binding pocket
with combination of residues in palm and connection domains. This pocket is
approximately 14.46A away from conventional NNRTI binding site. CONCLUSION:
Increased rigidity, steric hindrance and losses of important interactions
cumulatively prompt ligands to adapt adjacent NNRTI binding pocket. The proposed
new and adjacent binding pocket is identified by this study which can further be
evaluated to generate novel derivatives.
PMID- 29651942
TI - An Expedient Synthesis of CMF-019: (S)-5-Methyl-3-{1-(pentan-3-yl)-2- (thiophen-2
ylmethyl)-1H-benzo[d]imidazole-5-carboxamido}hexanoic Acid, a Potent Apelin
Receptor (APJ) Agonist.
AB - BACKGROUND: Apelin receptor (APJ) is a G protein-coupled receptor (GPCR)
activated by the endogenous peptide apelin. The apelin-APJ system has emerged as
an important regulator of cardiovascular homeostasis. Recently, a potent
benzimidazole-derived apelin peptidomimetic, CMF-019, was patented but without a
comprehensive description of its synthesis and a complete spectroscopic
characterization of the intermediates. OBJECTIVE: Here, a detailed preparation of
CMF-019 through a modified and improved synthetic pathway is described. METHOD:
In particular, the benzimidazole ring in 7 was tailored by the condensation of
methyl 3- amino-4-(pentan-3-ylamino)benzoate (4) with (thiophene-2-yl)acetimidate
salt 6. Saponification of 7 and the subsequent condensation of the free acid 8
with the corresponding enantiopure beta-amino acid methyl ester generated methyl
(S)-5-methyl-3-{1-(pentan-3-yl)-2-(thiophen-2-ylmethyl)-1Hbenzo[ d]imidazole-5
carboxamido}hexanoate (9). Hydrolysis of the latter with KOH in THF/water,
followed by HPLC-purification, afforded the desired product, CMF-019 (potassium
salt) 10. RESULTS & CONCLUSION: The approach reported herein enables preparation
of 10 at a total yield of 12% over seven linear steps. Additionally, it does not
require applying expensive designated microwave reactors and high-pressure
hydrogenators. Thus, the elaborate synthesis provides a latent availability of
potent agonist 10 for further exploring the physiologically essential apelin-APJ
system.
PMID- 29651943
TI - Benzoin Schiff Bases: Design, Synthesis, and Biological Evaluation as Potential
Antitumor Agents.
AB - BACKGROUND: Phosphoinositide 3-kinase alpha (PI3Kalpha) is an attractive target
for anticancer drug design. OBJECTIVES: Target compounds were designed to probe
the significance of alcohol and imine moieties tailored on a benzoin scaffold to
better understand the structure activity relation (SAR) and improve their
biological activity as anticancer compounds. METHODS: Chemical synthesis of the
targeted compounds, biological evaluation tests against human colon
adenocarcinoma (HCT-116), breast adenocarcinoma (MCF-7), and breast carcinoma
(T47D) cell lines, as well as Glide docking studies were employed in this
investigation. RESULTS: A new series of 1,2-diphenylimino ethanol was
successfully synthesized and characterized by means of FT-IR, HRMS, NMR, and by
elemental analysis. Biological screening revealed that the newly synthesized
compounds inhibit PI3Kalpha activity in human colon adenocarcinoma (HCT-116),
breast adenocarcinoma (MCF-7), and breast carcinoma (T47D) cell lines. Results
additionally showed that these compounds exhibit selective antiproliferative
activity, induce apoptosis, and suppress the VEGF production. Compounds 2b, 2d,
and 2g displayed promising inhibitory activity in HCT-116 suggesting that
hydrophobic and/or hydrogen bond-acceptor mediate(s) ligand-receptor interaction
on o- and mpositions. Furthermore, compounds 2g, 2i, 2j, and 2h, bearing
hydrophobic moiety on m- and pposition, exerted high antiproliferative activity
in T47D and MCF-7 cells, whereas compound 2e showed selectivity against T47D and
MCF-7. Molecular docking studies against PI3Kalpha and caspase-3 demonstrated a
strong correlation between the predicted binding affinity (DeltaGobsd) and IC50
values of prepared compounds for the caspase-3 model, implying that the cellulous
inhibitory activity was caspase-3-dependent. Moreover, Glide docking against
PI3Kalpha identified Ser774, Lys802, E849, V851, and Asp933 as key binding
residues. CONCLUSION: The series exerted a potential PI3Kalpha inhibitory
activity in human carcinoma cell lines expressing PI3Kalpha.
PMID- 29651944
TI - Role of Point-of-Care Ultrasonography for the Management of Sepsis and Septic
Shock.
AB - BACKGROUND: Sepsis and septic shock remain a major cause of morbidity and
mortality globally. In recent years, the outcome of patients with sepsis and
septic shock has gradually improved, in part due to early recognition and timely
appropriate management. Bedside physical examination can be of limited value to
identify the source of infection and to decide appropriate management. Moreover,
the clinical status of these patients can change rapidly, as a part of disease
progression or in response to treatment or intervention. METHODS: Research
articles, review papers and online contents related to point-of-care ultrasound
for the management of patients with sepsis and septic shock were reviewed.
RESULTS: Point-of-care ultrasonography can be a valuable bedside tool to rapidly
identify the potential source of infection and associated organ dysfunction. It
can also help to guide management to predict fluid responsiveness by assessing
the variation of inferior venacava with respiration, ventricular size and aortic
flow variation. Response to various interventions like a fluid challenge or
administration of inotropes can be assessed at the bedside. Point-of-care
ultrasound can also enhance safety and increase the success of bedside procedures
like central venous cannulation and drainage of pleural effusion. CONCLUSION:
Bedside ultrasound can help to individualize management of patients with sepsis
and septic shock and may potentially improve patient outcome.
PMID- 29651945
TI - Modeling of a Cyclotron Target for the Production of 11C with Geant4.
AB - BACKGROUND: In medical cyclotron facilities, 11C is produced according to the
14N(p,alpha)11C reaction and widely employed in studies of prostate and brain
cancers by Positron Emission Tomography. It is known from literature that the 11C
target assembly shows a reduction in efficiency during time, meaning a decrease
of activity produced at the end of bombardment. This effect might depend on
aspects which are still not completely known. OBJECTIVE: Possible causes of the
loss of performance of the 11C-target assembly were addressed by Monte Carlo
simulations. METHODS: Geant4 was used to model the 11C-target assembly of a GE
PETtrace cyclotron. The physical and transport parameters to be used in the
energy range of medical applications were extracted from literature data and 11C
routine productions. The Monte Carlo assessment of 11C saturation yield was
performed varying several parameters such as the proton energy and the angle of
the target assembly with respect to the proton beam. RESULTS: The estimated 11C
saturation yield is in agreement with IAEA data at the energy of interest, while
it is about 35% greater than the experimental value. A more comprehensive
modeling of the target system, including thermodynamic effect, is required. The
energy absorbed in the inner layer of the target chamber was up to 46.5 J/mm2
under typical irradiation conditions. CONCLUSION: This study shows that Geant4 is
potentially a useful tool to design and optimize targetry for PET radionuclide
productions. Tests to choose the Geant4 physics libraries should be performed
before using this tool with different energies and materials.
PMID- 29651946
TI - Neoadjuvant Therapy Is Essential for Resectable Pancreatic Cancer.
AB - BACKGROUND: Awareness of the benefits of neoadjuvant therapy is increasing, but
its use as an initial therapeutic option for patients with resectable pancreatic
cancer remains controversial, especially for those patients without high-risk
prognostic features. Even for patients with high-risk features who are candidates
to receive neoadjuvant therapy, no standard regimen exists. METHODS: In this
review, we examined available data on neoadjuvant therapy in patients with
resectable pancreatic cancer, including prospective studies, retrospective
studies, and ongoing clinical trials, by searching PubMed/MEDLINE,
ClinicalTrials.gov, Web of Science, and Cochrane Library. The characteristics and
results of screened studies were described. RESULTS: Retrospective and
prospective studies with reported results and ongoing randomized studies were
included. For patients with resectable pancreatic cancer, neoadjuvant therapy
provides benefits such as increased survival, decreased risk of comorbidities and
mortality, and improved cost-effectiveness due to increased completion rate of
multimodal treatment. Highly active regimens such as FOLFIRINOX (folinic acid,
fluorouracil, irinotecan, and oxaliplatin) or gemcitabine plus nab-paclitaxel are
considered acceptable therapeutic regimens. Additionally, platinum-containing
regimens other than FOLFIRINOX are acceptable for select patients. Other
therapies, such as chemoradiation treatment, immuno-oncology agents, and targeted
therapies are being explored and the results are highly anticipated. CONCLUSION:
This review highlights the benefits of neoadjuvant therapy for resectable
pancreatic cancer. Some regimens are currently acceptable, but need more evidence
from well-designed clinical trials or should be used on after being carefully
examined by a multidisciplinary team.
PMID- 29651947
TI - Transplantation of BDNF Gene Recombinant Mesenchymal Stem Cells and Adhesive
Peptide-modified Hydrogel Scaffold for Spinal Cord Repair.
AB - INTRODUCTION: Mesenchymal Stem Cells (MSCs) are promising candidates for nerve
tissue engineering. Brain Derived Neurotrophic Factor (BDNF) secreted by MSCs can
function to increase neural differentiation and relieve inflammation response.
Gene transfection technology is an efficient strategy to increase the secretion
levels of cytokines and enhance cellular functions. However, transfection and in
vivo gene expression of environmentally sensitive stem cells have been one of the
most challenging subjects due to the requirement in both safety and transfection
efficiency. In this study, gene transfection technology was applied to prepare
BDNF gene recombinant MSCs based on our previously reported liposomal vector
ScreenFect(r) A. To improve cellular survival and gene expression after in situ
implantation of MSCs, an adhesive peptide modified hydrogel scaffold was
constructed using hyaluronic acid. The scaffold was optimized and modified with
an adhesive peptide PPFLMLLKGSTR. The transfected MSCs exhibited improved
cellular survival and sustained gene expression in the three-Dimentional (3D)
scaffold in vitro. Compared to untransfected MSCs, gene recombinant MSCs
effectively improved spinal tissue integrity, inhibited glial scar formation and
alleviated inflammatory response. These effects were found discounted when cells
were implanted without the scaffold. CONCLUSION: The study developed a promising
implantation system for therapy of severe spinal cord injury and provided the
first understanding of Screenfect(r) A about its functions on stem cell therapy
for nerve tissue repair as well as three-dimentional gene expression.
PMID- 29651948
TI - Therapeutic potential of multifunctional tacrine analogues.
AB - Tacrine is a potent inhibitor of cholinesterases (acetylcholinesterase and
butyrylcholinesterase) that shows limiting clinical application by liver
toxicity. In spite of this, analogues of tacrine are considered as model
inhibitor of cholinesterases in the therapy of Alzheimer's disease. The interest
in these compounds is mainly related to a high variety of their structure and
biological properties. In the present review, we have described the role of
cholinergic transmission and treatment strategies in Alzheimer's disease as well
as the synthesis and biological activity of several recently developed classes of
multifunctional tacrine analogues and hybrids, which consist a new paradigm to
treat Alzheimer's disease. We have also reported potential of these analogues in
the treatment of Alzheimer's diseases in various experimental systems.
PMID- 29651949
TI - Nerve Growth Factor: Early Studies and Recent Clinical Trials.
AB - Since its discovery, nerve growth factor (NGF) has long occupied a critical role
in developmental and adult neurobiology for its many important regulatory
functions on the survival, growth and differentiation of nerve cells in the
peripheral and central nervous system. NGF is the first discovered member of a
family of neurotrophic factors, collectively indicated as neurotrophins, (which
include brain-derived neurotrophic factor, neurotrophin-3 and neurotrophin 4/5).
NGF was discovered for its action on the survival and differentiation of selected
populations of peripheral neurons. Since then, an enormous number of basic and
human studies were undertaken to explore the role of purified NGF to prevent the
death of NGF-receptive cells. These studies revealed that NGF possesses important
therapeutic properties, after topical administration, on human cutaneous pressure
ulcer, corneal ulcers, glaucoma, retinal maculopathy, Retinitis Pigmentosa and in
pediatric optic gliomas and brain traumas. The aim of this review is to present
our previous, recent and ongoing clinical studies on the therapeutic properties
of NGF.
PMID- 29651950
TI - Roles of TRAF6 in Central Nervous System.
AB - Tumor necrosis factor receptor-associated factor (TRAF) is an important binding
protein of tumor necrosis factor (TNF) superfamily and the toll/IL-1 receptor
(TIR) superfamily, which play an important role in innate immunity and acquired
immunity. TRAFs family have 7 members (TRAF1-7), and TRAF6 has its special
facture and biological function. TRAF6 has two special domains: C-terminal domain
and N-terminal domain, which could integrate with multiple kinases and regulate
signaling pathway function as an E3 ubiquitin ligase. Studies have increasingly
found that TRAF6 is closely related to central nervous system diseases, such as
stroke, Traumatic brain injury, neurodegenerative diseases and neuropathic pain.
Further research on the pathophysiological mechanism may be expected to become
the new targets for the treatment of central nervous system diseases.
PMID- 29651951
TI - Inflammatory Events Following Subarachnoid Hemorrhage (SAH).
AB - Acute SAH from a ruptured intracranial aneurysm contributes for 30% of all
hemorrhagic strokes. The bleeding itself occurs in the subarachnoid space.
Nevertheless, injury to the brain parenchyma occurs as a consequence of the
bleeding, directly, via several well-defined mechanisms and pathways, but also
indirectly, or secondarily. This secondary brain injury following SAH has a
variety of causes and possible mechanisms. Amongst others, inflammatory events
have been shown to occur in parallel to, contribute to, or even to initiate
programmed cell death (PCD) within the central nervous system (CNS) in human and
animal studies alike. Mechanisms of secondary brain injury are of utmost interest
not only to scientists, but also to clinicians, as they often provide
possibilities for translational approaches as well as distinct time windows for
tailored treatment options. In this article, we review secondary brain injury due
to inflammatory changes, that occur on cellular, as well as on molecular level in
the various different compartments of the CNS: the brain vessels, the
subarachnoid space, and the brain parenchyma itself and hypothesize about
possible signaling mechanisms between these compartments.
PMID- 29651952
TI - Special Traumatized Populations: Accidental Hypothermia in Children.
AB - Physicians caring for children in trauma settings must consider and treat
hypothermia as a cause for morbidity and mortality. Knowledge of treatment of
accidental hypothermia with and without an asphyxial event is paramount.
Clinicians need to identify hypothermia immediately and be aware of the overall
management utilizing aggressive rewarming and cardiopulmonary resuscitation to
help improve the survival of these critically ill children. This section reviews
the epidemiology, clinical effects, and management options for accidental
hypothermia in children.
PMID- 29651953
TI - Comparison Between Supervised and Partly Supervised Cardiac Rehabilitation
Protocols in Hypertensive Patients: A Randomized Controlled Trial.
AB - BACKGROUND: Hypertension (HTN) is the main cause of cardiovascular diseases
accounting for one-third of global mortality. Physical exercise reduces the
incidence and prevalence of HTN and cardiovascular morbidity and mortality.
Exercises recommended for hypertensive patients include supervised cardiac
rehabilitation, which occurs in rehabilitation centers, and partly supervised
rehabilitation, with the individual prescription of exercises conducted at
patients' residences. OBJECTIVE: Compare clinical and functional parameters of
hypertensive patients subjected to two cardiac rehabilitation protocols:
supervised and partly supervised. METHOD: Hypertensive patients stage I or II
were randomly divided into group one (G1) (partly supervised cardiac
rehabilitation) and group two (G2) (supervised cardiac rehabilitation). All
patients performed a warm-up, aerobic exercise, strength training and cool-down.
Participants' assessments conducted before and after intervention included:
physical examination, six-minute walk test, cardiac stress test, metabolic tests,
and central and peripheral blood pressure measurements. RESULTS: A total of 61
patients (mean age 60.3+/-11.3 years, 78.7% women) were randomized (30 in G1 and
31 in G2). At the end of the intervention, G1 increased 30.6 meters (p=0.004) and
G2 increased 55.0 meters (p>0.001) the distance covered in the six-minute walk
test. G2 showed an increase in the maximum oxygen consumption from 24.7+/-8.6
mlO2/Kg/min to 28.4+/-7.5 mlO2/Kg/min (p=0.003). Compliance with the intervention
was similar in G1 and G2 (77.5+/-11% x 82+/-10%; p=0.654). CONCLUSION:
Participants from both groups improved their physical fitness and showed
satisfactory compliance and tolerability to the interventions. The supervised
exercise was more effective in improving muscle strength and some physical
fitness parameters.
PMID- 29651954
TI - Forward and Backward Aortic Components and Reflection Indexes in Children and
Adolescents: Determinants and Role in High Pressure States.
AB - BACKGROUND: High blood pressure states (HBP) would differ in wave components and
reflections indexes, which could associate clinical and prognostic implications.
The study aims: 1) to characterize the association of aortic wave components and
reflection parameters (backward [Pb], forward [Pf], Pb/Pf ratio and augmentation
index [AIx]) with demographic, anthropometric, hemodynamic and arterial
parameters in healthy children and adolescents; 2) to generate multivariate
prediction models for the associations, to contribute to understand the main
determinants of Pf, Pb, Pb/Pf and AIx; 3) to identify if differences in wave
reflection indexes observed in HBP could be explained by differences in the
analyzed parameters. METHODS: Healthy children and adolescents (n=816, females:
386; Age: 3-20 years) were studied. EVALUATIONS: central aortic pressure and wave
components (Pb, Pf, Pb/Pf and AIx determination with SphygmoCor [SCOR] and Mobil
o-Graph [MOG]); anthropometric assessment; regional arterial stiffness (carotid
femoral, carotid-radial pulse wave velocity [PWV] and PWV ratio); carotid intima
media thickness; carotid and femoral distensbility; cardiac output; systemic
vascular resistances (SVR). Simple and multiple regression models were
constructed to determine aortic wave parameters; the main explanatory variables.
Normotensive and HBP groups were compared. Differences in wave reflection indexes
were analyzed before and after controlling for explanatory variables.
Equivalences between SphygmoCor and Mobil-O-Graph data were assessed (correlation
and Bland-Altman analyses). RESULTS AND CONCLUSION: There were systematic and
proportional differences between the data obtained with SphygmoCor and Mobil-O
Graph devices. Heart rate (HR), peripheral pulse pressure, height and weight were
the variables that isolated (simple associations) or combined (multiple
associations), showed the major capability to explain interindividual differences
in Pf, Pb, Pb/Pf and AIx. Arterial stiffness also showed explanatory capacity,
being the carotid the artery with the major contribution. HBP associated higher
Pf, Pb, AIx and lower Pb/Pf ratio. Those findings were observed together with
higher weight, arterial stiffness and HR. After adjusting for anthropometric
characteristics, HR, cardiac output and SVR, the HBP group showed greater Pf and
Pb. Then, Pf and Pb characteristics associated with HBP would not be explained by
anthropometric or hemodynamic factors. Evaluating wave components and reflection
parameters could contribute to improve the comprehension and management of HBP
states.
PMID- 29651955
TI - Increases in Peripheral Systolic Pressure Levels and Z-score Associate Gradual
Aortic Pressure Increase and Functional Arterial Impairment in Children and
Adolescents.
AB - BACKGROUND: Arterial changes associated with children and adolescents high blood
pressure (HBP) states would vary depending on the arterial type, arterial indexes
considered and/or on blood pressure (BP) levels. AIMS: To determine in children
and adolescents: 1) if there is gradual structural-functional arterial impairment
associated with gradual peripheral (brachial) systolic BP (pSBP) level or z-score
increases, and 2) whether subjects with HBP levels and those with normal BP
differ in the profiles of arterial changes associated with pSBP deviations.
METHODS: 1005 asymptomatic children and adolescents were included. Clinical,
anthropometric and arterial non-invasive evaluations were performed. Heart rate,
brachial BP, aortic BP and wavederived parameters (i.e. augmentation index),
carotid and femoral diameters, blood velocities and elastic modulus, carotid
intima-media thickness and aortic pulse wave velocity, were obtained. Two groups
were assembled: Reference (without cardiovascular risk factors (CVRFs); n=379)
and HBP (n=175). Additionally, subjects were ascribed to groups according to
their pSBP z-scores (z-score <= 0, 0< z-score < 1 or z-score >= 1). Age and sex
related mean and standard deviation equations were obtained for each variable
(Reference group). Using those equations, data (entire population) were converted
into z-scores. Groups were compared (absolute and z-scored variables) before and
after adjusting for cofactors (ANOVA/ANCOVA). Linear regression analyses were
done considering: pSBP and z-pSBP (independent) and absolute levels and z-scores
for hemodynamic and arterial indexes (dependent variables). Differences in
hemodynamic and arterial levels and z-scores variations (dependent) associated
with variations in pSBP and z-pSBP (independent variable) were assessed. The
slopes of the models for Reference and HBP groups were compared. CONCLUSION: HBP
states associate hemodynamic and arterial changes not explained by exposure to
other CVRFs, anthropometric or demographic factors. The higher the pSBP
deviations from ageand sex-expected mean value in the Reference group, the higher
the hemodynamic and arterial indexes deviation. The pSBP-related variations in
hemodynamic and arterial indexes would not differ depending on whether HBP states
are present or not.
PMID- 29651956
TI - Quantitative Vascular Evaluation: From Laboratory Experiments to Point-of-Care
Patient (Clinical Approach).
AB - To enhance the efficiency of patient-specific risk stratification and diagnosis,
an assessment of arterial structural and functional changes associated to a
vascular disease in both early and advanced stages have been proposed, with the
objective of limiting the progression or revert vascular alterations. In this
connection, an interdisciplinary international partnership made up by research
institutions from France, Argentina, Uruguay and Spain was established, with the
objective of contributing to the evaluation and follow-up of factors involved in
the physiopathology of cardiometabolic diseases and human aging. Several studies,
such as the effect of hypertension in large arteries, alterations in arterial
wall viscosity, stiffness and inertia, endothelial function and vascular
reactivity, cardiovascular risk improvement, vascular age assessment and
cryografts vascular response evaluation were carried out as a result of this
international collaboration during the last twenty-five years.
PMID- 29651957
TI - Serum Uric Acid Elevation is Associated to Arterial Stiffness in Hypertensive
Patients with Metabolic Disturbances.
AB - INTRODUCTION: Chronic serum uric acid elevation (SUA) is known to be induced by
dyslipidemia, hypertension, inflammation, and insulin resistance. Therefore, it
has been associated with higher risk for coronary artery disease and
cardiovascular mortality. Also, increased levels of SUA have been associated with
regional arterial stiffness, assessed by pulse wave velocity (PWV). AIMS: To
evaluate the relationships of PWV, SUA and different metabolic parameters in
essential hypertensive patients. MATERIAL AND METHODS: We evaluated 445 essential
hypertensive patients, by measuring office blood pressure (BP), weight, height,
and waist circumference. In each patient, blood samples were drawn for
biochemical evaluations and 24h urine collection. Body Mass Index (BMI) and
Glomerular Filtration Rate (GFR) were calculated. Carotid-Femoral PWV and Left
Ventricular Mass Index (LVMI) were measured in all patients. RESULTS: All
subjects (n=402), 242 males (55+/-0.9 yrs.; BMI: 28.9+/-0.3 Kg/m2) and 160
females (58+/-1 yrs.; BMI: 28.1+/-0.4 Kg/m2) had normal renal function. PWV
values showed a significant association with SUA (p<0.001), Systolic BP (p<0.025)
and LVMI (p<0.05). SUA showed a significant association, p<0.025: with BMI, Waist
Circumference, and HDL-C; p<0.05: with Glycaemia at 120 min, Insulin at 120 min,
TG, and LVMI; and p<0.001: with serum Creatinine. Backward Stepwise Regression
showed that PWV could be predicted from SUA (p<0.001) and Systolic BP (p<0.05).
BMI, Waist Circumference, DBP and HR did not significantly add to the ability of
the equation to predict PWV. CONCLUSIONS: In this population of essential
hypertensive patients, SUA was associated to increased arterial stiffness and to
components of the Metabolic Syndrome. These results raise the possibility that a
new approach to the role of SUA, linked to cardiovascular stratification, and a
most appropriate treatment might be considered.
PMID- 29651958
TI - Arterial Stiffness in Haemodialyzed Patients: Findings and Controversies.
AB - Vascular research in end-stage renal diseases is an interesting field in which
the characterization of arterial stiffness proved to be valuable to predict
morbidity and mortality. Particularly, patients on renal replacement therapy have
been reported to have significant increases in arterial stiffness and
cardiovascular mortality. The clinical relevance of the measurement of arterial
stiffness is linked to therapeutical and preventive interventions. The purpose of
this work is to analyze the results of the scientific research in the field of
arterial stiffness, in which hemodialyzed patients were involved, emphasizing on
clinical and in-vitro research carried out by our group compared to contributions
previously reported in the specialized literature. These investigations are
necessary to improve diagnostic strategies and monitor the arterial response to
therapeutical interventions in chronic kidney disease.
PMID- 29651959
TI - Central-To-Peripheral Arterial Stiffness Gradient in Hemodialyzed Patients
Depends on the Location of the Upper-limb Vascular Access.
AB - BACKGROUND: Pulse wave velocity ratio (PWV-ratio), a measure of central-to
peripheral arterial stiffness gradient, is calculated as a quotient between
carotid-femoral and carotid-radial PWV (cf-PWV/cr-PWV). This new index has been
reported to be significantly associated with increased mortality in hemodialyzed
patients. Since several reports showed differences in arterial stiffness
regarding the pathway where the vascular access (VA) is, the purpose of this
research was: a) to compare arterial stiffness values obtained in the left and
right sides of the body in hemodialyzed and non-hemodialyzed patients, and b) to
analyze PWV-ratio values obtained on the side of the body where the VA was placed
and compare them to its contralateral intact side. Since it is difficult to
adequately measure cr-PWV in patients with a VA in the forearm, we measured the
carotid- brachial PWV (cb-PWV) and used it to calculate PWV-ratio (cf-PWV/cb
PWV). METHODS: A Pearson's correlation and Bland & Altman analysis were performed
in hemodialyzed (n=135) and non-hemodialyzed (n=77) patients, to quantify the
equivalence between arterial stiffness parameters (cf-PWV, cb-PWV, PWV-ratio)
obtained on each side of the body with respect to its contralateral side.
RESULTS: We conclude that PWV-ratio values measured on the side where the VA is
placed were significantly higher than those obtained in its contralateral side,
in hemodialyzed patients included in this research. Moreover, cf-PWV, cb-PWV and
PWV-ratio values obtained on one side of the body were always highly correlated
with its contralateral side. CONCLUSION: According to this research, any research
involving PWV-ratio should always consider the observed territory.
PMID- 29651960
TI - Targeting Brain Tumors with Nanomedicines: Overcoming Blood Brain Barrier
Challenges.
AB - BACKGROUND: This review elucidates ongoing research, which show improved delivery
of anticancer drugs alone and/ or enclosed in carriers collectively called
nanomedicines to cross the BBB/ BTB to kill tumor cells and impact patient
survival. We highlighted various advances in understanding the mechanism of BTB
function that has an impact on anticancer therapeutics delivery. We discussed
latest breakthroughs in developing pharmaceutical strategies, including
nanomedicines and delivering them across BTB for brain tumor management and
treatment. METHODS: We performed an extensive literature search and highlighted
important studies on the regulation of BTB permeability with respect to nanotech
based nanomedicines for targeted treatment of brain tumors. We have reviewed
research articles that describe the development of specialized molecules and
nanospheres, which carry payload of anticancer agents to brain tumor cells across
the BBB/ BTB and avoid drug efflux systems. We highlighted research on the
identification and development of targeted anti-cancer drug delivery to brain
tumors. In addition, we discussed multimeric molecular therapeutics and
nanomedicines that were encapsulated in nanospheres for treatment and monitoring
of brain tumors. RESULTS: In this context, we quoted our research on large
conductance calcium-activated potassium channels (BKCa) and ATP-dependent
potassium channels (KATP) as portals of enhanced antineoplastic drugs delivery.
We showed that several innovative drug delivery agents such as liposomes,
polymeric nanoparticles, dendrimers and many such tools can be utilized to
improve anticancer drugs and nanomedicines across the BTB to reach brain tumor
cells. CONCLUSION: This review might interest both academic and drug company
scientists involved in drug delivery to brain tumors. We further seek to present
evidence that BTB modulators can be clinically developed as combination drug or/
and as stand-alone anticancer drugs. Eventually, it is expected that unrelenting
effort from the scientific community in developing novel drug delivery methods
should increase the survival rate of brain tumor patients, which is dismally low
presently.
PMID- 29651961
TI - The Benefit of Prescribing Vitamin D as Add on Therapy on the
Electrocardiographic Changes in Epileptic Patients.
AB - BACKGROUND: Epileptic children and adolescent have a significantly low serum
level of vitamin D due to the effect of antiepileptic drugs on the vitamin D
metabolism. Those patients are at risk of cardiovascular events. OBJECTIVE: This
study aimed to show that epileptic patients who treated with antiepileptic drugs
supplemented with vitamin D are free from the electrocardiograph changes compared
with those treated with antiepileptic drugs. METHOD: This cross-sectional study
included, 121 epileptic patients aged < 18 years of both genders with a history
of idiopathic generalized tonic clonic seizure. They grouped into Group I (n=20)
patients without medical treatment, Group II (n=76) patients treated with
antiepileptic drugs, and vitamin D Group III (n=25) patients treated with
antiepileptic drugs supplemented with vitamin D3 vitamin D. Each participant
subjected to the electrocardiogram investigation at the time of entry into the
study. RESULTS: Group III patients had a significant decrease of QRS complex, QRS
dispersion QTcorrected, and TQ duration compared with Group II. Group I patients,
had a significant increase of QRS complex duration, compared with Group II
patients. Four patients of Group II showed a significant prolonged QT-interval in
the QT nomogram. Three patients had a JT index (an indicator of ventricular
hyperpolarization) more than the normal cutoff level of 112. The area under the
curve of receiving operating characteristics showed significant favorable effects
of vitamin D supplementation on the different variables of electrocardiograph.
CONCLUSION: Vitamin D supplementation may correct the changes in the
electrocardiograph observed in idiopathic generalized tonic clonic seizure
treated with antiepileptic medicines, and our observations warrant larger
studies.
PMID- 29651962
TI - Phase IV Studies: Some Insights, Clarifications, and Issues.
AB - BACKGROUND: There is an increasing need to face regulatory aspects as well as
ethics and scientific ones in the pharmaceutical research phase after the
authorization of a drug. Traditionally, Phase IV studies, after the authorization
of a drug to be marketed by the Competent Authority like the Food and Drug
Administration (FDA) (in Europe, European Medicine Agency - EMA- through National
Procedures or Community Procedures) have been considered mainly aimed to the
assessment of the new drug safety profile. However, the sample size calculation
for such aim is still an open issue. Moreover, the benefit/risk assessment is a
compelling global need. METHODS: This editorial aims to give a fairly exhaustive
overview of the main topics currently present in the pharmaceutical research
phase after the authorization of a drug. FDA and EMA guidelines are considered
under a comparative perspective with a focus on the perspective of "Post
Authorization Safety Studies (PASS)" and "Post Authorization Efficacy Studies
(PAES)" with critical considerations. Then, the approach of "Explanatory Trials"
and "Pragmatic Trials" is proposed under the horizon of Health Technology
Assessment (HTA). CONCLUSION: Critical remarks are raised against the pure
commercial perspective in the proposal of PASS and PAES and on the design of
registries which should be planned with relevant objectives to be pursued by
appropriate statistical analyses reported in the Statistical Analysis Plan (SAP)
of the study protocol. Finally, a particular focus is placed on the work of the
Ethical Committees regarding the approval of the observational studies on the
safety and the efficacy of the drugs in their pragmatic clinical use.
PMID- 29651963
TI - Physicochemical characterization of curcumin loaded chitosan nanoparticles:
Implications in cervical cancer.
AB - Curcumin is a potent anticancer agent and has great potential efficacy against
different types of cancers. A major disadvantage of curcumin, however, is its
poor solubility and bioavailability. The aim of the present work is to synthesize
chitosan and curcumin-loaded chitosan nanoparticles and their characterization
through various physicochemical methods and cellular uptake in cervical cancer
cell line SiHa. The studies presented demonstrate that curcumin-loaded chitosan
nanoparticles showed increased uptake in the SiHa cells as compared to free
curcumin and chitosan nanoparticles did not show any significant uptake in SiHa
cell line. The curcumin-loaded chitosan nanoparticles released more lactate and
lower ATP as compared to native curcumin in cervical cancer lines such as SiHa,
CaSki and HeLa. Thus, chitosan based curcumin nanoparticles could be used as a
potent vector / delivery agent for drug targeting in the treatment of cervical
cancer.
PMID- 29651964
TI - Poly-L-arginine: Enhancing Cytotoxicity and Cellular Uptake of Doxorubicin and
Necrotic Cell Death.
AB - OBJECTIVE: Cell resistance to doxorubicin and its toxicity to healthy tissue
reduce its efficiency. The use of cell penetrating peptides as drug delivery
system along with doxorubicin is a strategy to reduce its side effects. In this
study, the influence of poly-L-arginine on doxorubicin cytotoxicity, its cellular
uptake and doxorubicin-induced apoptosis on human prostate cancer DU145 cells are
assessed. METHODS: The cytotoxicity of doxorubicin and poly-L-arginine, alone and
in combination, in DU145 cells was evaluated at different exposure times using
MTT assay. The influence of poly-L-arginine on doxorubicin delivery into cells
was evaluated by fluorescence microscopy and ultraviolet spectroscopy. DAPI and
ethidium bromide-acridine orange stainings, flow cytometry using annexin
V/propidium iodide, western blot analysis with anti-p21 antibody and caspase-3
activity were used to examine the influence of poly-L-arginine on doxorubicin
induced cell death. RESULTS: Poly-L-arginine had no cytotoxicity at low
concentrations and short exposure times. Poly-L-arginine increased the cytotoxic
effect of doxorubicin in DU145 cells in a time-dependent manner. But no
significant reduction was found in HFF cell viability. Poly-L-arginine seems to
facilitate doxorubicin uptake and increase its intracellular concentration. 24 h
combined treatment of cells with doxorubicin (0.5 MUM) and poly-L-arginine (1 MUg
ml-1) caused a small increase in doxorubicin-induced apoptosis and significant
elevated necrosis in DU145 cells as compared to each agent alone. Conlusion: Our
results indicate that poly-L-arginine at lowest and highest concentrations act as
proliferation-inducing and antiproliferative agents, respectively. Between these
concentrations, poly-L-arginine increases the cellular uptake of doxorubicin and
its cytotoxicity through induction of necrosis.
PMID- 29651965
TI - Antitumor Effect Of Pomolic Acid In Acute Myeloid Leukemia Cells Involves Cell
Death, Decreased Cell Growth And Topoisomerases Inhibition.
AB - BACKGROUND: Acute myeloid leukemia (AML) represent the largest number of annual
deaths from hematologic malignancy. In the United-States, it is estimated that
21.380 individuals will be diagnosed with AML and 49.5% of patients will die in
2017. Therefore, the searching for novel compounds capable of increasing the
overall survival rate to the treatment of AML cells is urgent. OBJECTIVES: To
investigate the cytotoxicity effect of the natural compound PA and to explore the
mechanism of action of PA in AML cell lines with different phenotypes. METHODS:
It was used three different AML cell lines, HL60, U937 and Kasumi-1 cells with
different mechanisms of resistance to analyze the effect of PA on the cell cycle
progression, on DNA intercalation and on human DNA topoisomerases (hTopo I and
IIalpha) in vitro studies. Theoretical experiments of the inhibition of hTopo I
and IIalpha were done to explore the binding modes of PA. RESULTS: PA reduced
cell viability, induced cell death, increased sub-G0/G1 accumulation and
activated caspases pathway in all cell lines, altered the cell cycle distribution
and inhibited the catalytic activity of both human DNA topoisomerases.
CONCLUSION: Finally, this study showed that PA has powerful antitumor activity
against AML cells suggesting that this natural compound might be a potent
antineoplastic agent to improve the treatment scheme of this neoplasm.
PMID- 29651966
TI - Specific cytostatic and cytotoxic effect of dihydrochelerythrine in glioblastoma
cells: role of NF-kB/b-catenin and STAT3/IL-6 pathways.
AB - : A glioblastoma is a primary CNS tumor that is more aggressive and lethal than
other brain tumors. Its location, rapid proliferation, invasive growth,
angiogenesis and immunosuppression are the main factors that limit its treatment,
making it a major challenge to neuro-oncology. OBJECTIVE: This study investigated
the in vitro effects of the alkaloid dihydrochelerythrine (DHC), which is
extracted from Zanthoxylum stelligerum, on the viability, proliferation, cell
death and b-catenin, NFkB, STAT3/pSTAT3 and interleukins roles. METHOD: In vitro
experimental models of human (U251 and GL-15) and murine (C6) glioblastoma cells
were cultured in the presence of DHC at increasing concentrations for MTT assay
and exclusion trypan blue dye to determine EC50. Afterwards, C6 and U251 cells
were treated with 100 MUM DHC or DMSO 0.1% for cell cycle, annexin and expression
of b-catenin/NFkB/STAT3/pSTAT3 by flow cytometry or immunofluorescence.
Interleukin quantification was made by Cytometric Bead Array. RESULTS: A
significant decrease was observed in C6 and U251 cell viability in a time and
dose-dependent manner. GL-15 cell viability decreased only when treated with 200
MUM DHC. This maximum concentration affected neither astrocytes nor microglia
viability. A cytostatic effect of DHC was observed in C6 and U251 cells after 48
h of 100 mM DHC treatment. After 72 h of DHC treatment, C6 presented 80% of
annexin-V+ cells compared to 10% of annexin-V+ U251 cells. C6 cells demonstrated
significant high levels of NFk B and b-catenin cytoplasmic fraction.
Additionally, DHC treatment resulted in higher significant levels of IL-6 than
did other interleukins and STAT3 up regulation in U251 cells. CONCLUSION: These
results demonstrate that DHC acts as a chemosensitizing agent selective for
glioma cells not affecting non-tumor cells. Considering tumor heterogeneity, DHC
demonstrated an anti-cancer potential to activate different cell death pathways.
DHC demonstrated could be used for chemotherapy and immunotherapy applications in
glioblastomas in the future.
PMID- 29651967
TI - Design, synthesis, in vitro anti-cancer activity, ADMET profile and molecular
docking of novel triazolo[3,4-a]phthalazine derivatives targeting VEGFR-2 enzyme.
AB - In view of their expected anticancer activity, novel triazolo[3,4-a]phthalazine
derivatives 5-6a-o and 3-substituted-bis([1,2,4]triazolo)[3,4-a:4',3'
c]phthalazines 9a-b were designed, synthesized and evaluated for their anti
proliferative activity against two human tumor cell lines HCT-116 human colon
adenocarcinoma and MCF-7 breast cancer. It was found that, compound 6o the most
potent derivative against both HCT116 and MCF-7 cancer cell lines. Compounds 6o,
6m, 6d and 9b showed the highest anticancer activities against HCT116 human colon
adenocarcinoma with IC50 of 7+/-0.06, 13+/-0.11, 15+/-0.14 and 23+/-0.22 uM
respectively while compounds 6o, 6d, 6a and 6n showed the highest anticancer
activities against MCF-7 breast cancer with IC50 of 16.98+/-0.15, 18.2+/-0.17,
57.54+/-0.53 and 66.45+/-0.67 uM respectively. Sorafenib as a highly potent VEGFR
2 inhibitor was used as a reference drug with IC50 of 5.47+/-0.3 and 7.26+/-0.3
uM respectively. Nine compounds were further evaluated for their VEGFR-2
inhibitory activity. Compounds 6o, 6m, 6d and 9b emerged as the most active
counterparts against VEGFR-2 with IC50 values of 0.1+/-0.01, 0.15+/-0.02, 0.28+/
0.03 and 0.38+/-0.04 uM, respectively comparable to that of sorafenib (IC50 =
0.1+/-0.02) uM. Furthermore, molecular docking studies were carried out for all
synthesized compounds to investigate their binding pattern and predict their
binding affinities towards VEGFR-2 active site. In silico ADMET studies were
calculated for the tested compounds. Most of our designed compounds exhibited
good ADMET profile.
PMID- 29651968
TI - Design, Synthesis, Characterization, QSAR, Docking, Anti-inflammatory and
Analgesic Evaluation of Some New Phthalazinediones.
AB - BACKGROUND: phthalazine derivatives were reported to possess anticonvulsant ,
cardiotonic , antibacterial, analgesic , anti-inflammatory, and anti-microbial
activity. In the current study, we applied the QSAR for prediction of newly
phthalazinediones incorporating thioamide moiety aiming to reach a more potent
anti-inflammatory and Analgesic agent. METHODS: Phthalazinediones 10-15 have been
synthesized through condensation of dibenzobarallene 3 with thiosemicarbazides 4
8. One equation was predicted using quantitative structure activity relationship
(QSAR) and regression analysis for the anti-inflammatory activity with a
regression correlation (R) close to unity. The docking studies were performed to
investigate the biological trends of the organic compounds (thiol form) against
cyclooxygenas- 2 enzyme, which is a responsible inflammation mediator by using
Molgro Virtual Docker (MVD) software. The anti-inflammatory activity and
analgesic effect of the thioamides 10-15 were determined by collagen II-adjuvant
induced paw edema test in rats. RESULTS: Compounds 10, 11, 12, and 14, exhibited
promising anti-inflammatory activity. Furthermore, in the pain scoring, compounds
10, 11 and 12 were found to be more effective than piroxicam and the order of the
analgesic effect of the investigated compounds is as followed 14 >12 > 10 > 11 >
15. CONCLUSION: It is clear from the foregoing that the compound 14 is a
promising compound if future pharmacological detailed studies. This is consistent
with what has been predictable equation 1 in this study.
PMID- 29651969
TI - Synthesis, Characterization of Ethyl 5-(substituted)-1H-pyrazole- 3-carboxylate
Derivative as Potent Anti-inflammatory Agents.
AB - BACKGROUND: Recently, pyrazole derivatives have shown significant
antiinflammatory activity. Non-steroidal anti-inflammatory drugs have some side
effects, mainly gastric irritation and gastric ulceration during the treatment of
inflammation. So the current study deals with the synthesis and pharmacological
evaluation of a series of novel pyrazole derivatives as anti-inflammatory agents.
METHODS: A series of novel ethyl 5-(substituted)-1H-pyrazole-3-carboxylate (2a-j)
were synthesized and evaluated for anti-inflammatory activity using carrageenan
induced inflammation in rat paw edema model. In the first step, diethyl oxalate
react with acetophenone derivatives in presense of sodium ethoxide to form
substituted ethyl-2,4-dioxo-4- phenyl butanoate derivatives as intermediate (1a
j). Further the suspension was prepared from dioxo-esters with hydrazine hydrate
in glacial acetic acid yielded novel ethyl 5- (substituted)-1H-pyrazole-3
carboxylate (2a-j) derivatives. The structure of the final analogues (2a-j) has
been confirmed on the basis of elemental analysis, IR, 1 H NMR and mass spectra.
RESULTS: All the values of elemental analysis, FTIR, 1H NMR, and mass spectra
were found to be prominent. The anti-inflammatory activity test revealed that
Ethyl 5-(3,4- dimethoxyphenyl)-1H-pyrazole-3-carboxylate (2f) and ethyl 5-(2,3
dimethoxyphenyl)-1Hpyrazole- 3-carboxylate (2e) exhibited significant anti
inflammatory activity as compared to control group. CONCLUSION: The results of
the current study indicate that the substitution at pyrazole scaffold could
improve anti-inflammatory activity.
PMID- 29651970
TI - Randomized Double-blind Placebo-controlled Trial of Celecoxib for the Prevention
of Skin Toxicity in Patients Receiving Radiation Therapy for Breast Cancer.
AB - BACKGROUND: The skin toxicity-induced by ionizing radiation may limit the
duration of treatment and may lead to discomfort in quality of life of patients
during radiotherapy. OBJECTIVE: The purpose of this randomized, placebo
controlled, double-blind study was to investigate the preventive effect of oral
administration of celecoxib (CLX) on the acute radiation- induced skin toxicity
in patients with breast cancer. METHODS: Sixty breast cancer patients were
randomly assigned to use CLX (400 mg per day) or placebo capsules during
radiotherapy. Radiation-induced dermatitis was classified according to the
radiation therapy oncology group (RTOG) criteria, as well as pain and itching
were scored according to the VAS (Visual Analogue Scale) for six weeks of
treatment. Breast swelling was evaluated through increase in the size of the
breast during radiotherapy. RESULTS: Oral administration of CLX capsule during
and after radiotherapy reduced significantly radiation-induced itching and pain
in patients with breast cancer. CLX reduced the frequency of increased breast
size caused by radiotherapy in patients as compared with placebo; however, this
difference was statistically not significant. Patients who received CLX had
insignificantly skin dermatitis when compared with placebo group. CONCLUSION:
However, CLX was unable to reduce the dermatitis caused by ionizing radiation; it
significantly reduced itching and pain in patients during radiotherapy. CLX may
have beneficial effects in the quality life of breast cancer patients for
treatment.
PMID- 29651971
TI - Commentary: Stephen William Hawking (8 January 1942 - 14 March 2018).
PMID- 29651972
TI - N-3 (Omega-3) Fatty Acids: Effects on Brain Dopamine Systems and Potential Role
in the Etiology and Treatment of Neuropsychiatric Disorders.
AB - BACKGROUND & OBJECTIVE: A number of neuropsychiatric disorders, including
Parkinson's disease, schizophrenia, attention deficit hyperactivity disorder,
and, to some extent, depression, involve dysregulation of the brain dopamine
systems. The etiology of these diseases is multifactorial, involving genetic and
environmental factors. Evidence suggests that inadequate levels of n-3 (omega- 3)
polyunsaturated fatty acids (PUFA) in the brain may represent a risk factor for
these disorders. These fatty acids, which are derived from the diet, are a major
component of neuronal membranes and are of particular importance in brain
development and function. Low levels of n-3 PUFAs in the brain affect the brain
dopamine systems and, when combined with appropriate genetic and other factors,
increase the risk of developing these disorders and/or the severity of the
disease. This article reviews the neurobiology of n-3 PUFAs and their effects on
dopaminergic function. CONCLUSION: Clinical studies supporting their role in the
etiologies of diseases involving the brain dopamine systems and the potential of
n-3 PUFAs in the treatment of these disorders are discussed.
PMID- 29651973
TI - The Clinical and Immunological Features of Patients with Primary Antibody
Deficiencies.
AB - BACKGROUND: Primary antibody deficiency (PAD) comprises a range of diseases from
early to late terminal B cells defects and is associated with the various
clinical complications. METHODS: A total of 461 patients (311 males and 150
females) with PADs enrolled in the retrospective cohort study and for all
patients' demographic information, clinical records and laboratory data were
collected to investigate clinical complications. RESULTS: The most prevalent
first presentations of immunodeficiency were respiratory tract infections in
63.5% and chronic diarrhea in 17.2%. Common variable immune deficiency (CVID)
patients had a higher diagnostic delay than class switching defect (CSD), and
agammaglobulinemia. Among the noninfectious complications, autoimmunity (26.2%),
and splenomegaly (23.4%) were the most common. Lymphadenopathy was higher in CSD
patients than other PADs, while splenomegaly, hepatomegaly, autoimmunity and
bronchiectasis were more common in CVID patients than others. Atopic
manifestations were mostly recorded in patients with selective IgA deficiency.
Malignancy was only reported in 5.8% of patients with CVID. There was a higher
prevalence of autoimmune manifestations in CVID comparing to other PADs.
CONCLUSION: PADs are relatively rare diseases and these patients have a variety
of first clinical manifestations, such as diverse infections, autoimmunity,
lymphoproliferation, allergy, enteropathy and malignancy. Practitioner's
awareness about the heterogeneous presentations of PAD disorders is poor,
therefore patients often are lately diagnosed, and they are complicated with
several clinical complications before the certain diagnosis.
PMID- 29651974
TI - Preparation and Dosimetry Evaluation of a Carrier- Free 90Y Labeled DOTMP as a
Promising Agent for Bone Marrow Ablation.
AB - BACKGROUND AND OBJECTIVE: Skeletal uptake of 90Y-1,4,7,10-tetraazacyclododecane
1,4,7,10- tetramethylene-phosphonate (DOTMP) is used to deliver high doses of
this radiopharmaceutical to the bone marrow. METHODS: In this research, carrier
free (c.f.) 90Y was obtained from an electrochemical 90Sr/90Y generator. The c.f.
90Y was mixed with 300 uL of DOTMP (20 mg/mL) and incubated under stirring
conditions at room temperature for 45 min. RESULTS: The [90Y]Y-DOTMP that was
obtained under optimized reaction conditions had high radiochemical purities
(>98%). Moreover, the radiolabeled complex exhibited excellent stability at room
temperature, as well as in human serum. The biodistribution studies in rats
showed the favorable selective skeletal uptake with rapid clearance from the
blood, albeit with insignificant accumulation of activity in other non-target
organs for the radiolabeled complex. Also, the present work has utilized the
Monte Carlo codes MCNP-4C to simulate the depth dose profile for 90Y in a mice
femur bone and compared with that produced by 153Sm and 177Lu. CONCLUSION: The
results show that the absorbed dose produced by 90Y in the bone marrow is higher
than 153Sm and 177Lu per 1MBq of the injected activity.
PMID- 29651975
TI - Re-evaluating classical body type theories: genetic correlation between
psychiatric disorders and body mass index.
PMID- 29651976
TI - Screening for Anxiety and Depression in Epilepsy.
PMID- 29651977
TI - Antimicrobial Resistance in Chlamydiales, Rickettsia, Coxiella, and Other
Intracellular Pathogens.
AB - This article will provide current insights into antimicrobial susceptibilities
and resistance of an important group of bacterial pathogens that are not
phylogenetically related but share lifestyle similarities in that they are
generally considered to be obligate intracellular microbes. As such, there are
shared challenges regarding methods for their detection and subsequent clinical
management. Similarly, from the laboratory perspective, susceptibility testing is
rarely undertaken, though molecular approaches might provide new insights. One
should also bear in mind that the highly specialized microbial lifestyle
restricts the opportunity for lateral gene transfer and, consequently,
acquisition of resistance.
PMID- 29651978
TI - Tracking the Rules of Transmission and Introgression with Networks.
AB - Understanding how an animal organism and its gut microbes form an integrated
biological organization, known as a holobiont, is becoming a central issue in
biological studies. Such an organization inevitably involves a complex web of
transmission processes that occur on different scales in time and space, across
microbes and hosts. Network-based models are introduced in this chapter to tackle
aspects of this complexity and to better take into account vertical and
horizontal dimensions of transmission. Two types of network-based models are
presented, sequence similarity networks and bipartite graphs. One interest of
these networks is that they can consider a rich diversity of important players in
microbial evolution that are usually excluded from evolutionary studies, like
plasmids and viruses. These methods bring forward the notion of "gene
externalization," which is defined as the presence of redundant copies of
prokaryotic genes on mobile genetic elements (MGEs), and therefore emphasizes a
related although distinct process from lateral gene transfer between microbial
cells. This chapter introduces guidelines to the construction of these networks,
reviews their analysis, and illustrates their possible biological interpretations
and uses. The application to human gut microbiomes shows that sequences present
in a higher diversity of MGEs have both biased functions and a broader microbial
and human host range. These results suggest that an "externalized gut metagenome"
is partly common to humans and benefits the gut microbial community. We conclude
that testing relationships between microbial genes, microbes, and their animal
hosts, using network-based methods, could help to unravel additional mechanisms
of transmission in holobionts.
PMID- 29651979
TI - RNases and Helicases in Gram-Positive Bacteria.
AB - RNases are key enzymes involved in RNA maturation and degradation. Although they
play a crucial role in all domains of life, bacteria, archaea, and eukaryotes
have evolved with their own sets of RNases and proteins modulating their
activities. In bacteria, these enzymes allow modulation of gene expression to
adapt to rapidly changing environments. Today, >20 RNases have been identified in
both Escherichia coli and Bacillus subtilis, the paradigms of the Gram-negative
and Gram-positive bacteria, respectively. However, only a handful of these
enzymes are common to these two organisms and some of them are essential to only
one. Moreover, although sets of RNases can be very similar in closely related
bacteria such as the Firmicutes Staphylococcus aureus and B. subtilis, the
relative importance of individual enzymes in posttranscriptional regulation in
these organisms varies. In this review, we detail the role of the main RNases
involved in RNA maturation and degradation in Gram-positive bacteria, with an
emphasis on the roles of RNase J1, RNase III, and RNase Y. We also discuss how
other proteins such as helicases can modulate the RNA-degradation activities of
these enzymes.
PMID- 29651980
TI - Local Food Systems Food Safety Concerns.
AB - Foodborne disease causes an estimated 48 million illnesses and 3,000 deaths
annually (Scallan E, et al., Emerg Infect Dis 17:7-15, 2011), with U.S. economic
costs estimated at $152 billion to $1.4 trillion annually (Roberts T, Am J Agric
Econ 89:1183-1188, 2007; Scharff RL, http://www.pewtrusts.org/en/research-and
analysis/reports/0001/01/01/healthrelated-costs-from-foodborne-illness-in-the
united-states, 2010). An increasing number of these illnesses are associated with
fresh fruits and vegetables. An analysis of outbreaks from 1990 to 2003 found
that 12% of outbreaks and 20% of outbreak-related illnesses were associated with
produce (Klein S, Smith DeWaal CS, Center for Science in the Public Interest,
https://cspinet.org/sites/default/files/attachment/ddreport.pdf, June 2008; Lynch
M, Tauxe R, Hedberg C, Epidemiol Infect 137:307-315, 2009). These food safety
problems have resulted in various stakeholders recommending the shift to a more
preventative and risk-based food safety system. A modern risk-based food safety
system takes a farm-to-fork preventative approach to food safety and relies on
the proactive collection and analysis of data to better understand potential
hazards and risk factors, to design and evaluate interventions, and to prioritize
prevention efforts. Such a system focuses limited resources at the points in the
food system with the likelihood of having greatest benefit to public health. As
shared kitchens, food hubs, and local food systems such as community supported
agriculture are becoming more prevalent throughout the United States, so are
foodborne illness outbreaks at these locations. At these locations, many with
limited resources, food safety methods of prevention are rarely the main focus.
This lack of focus on food safety knowledge is why a growing number of foodborne
illness outbreaks are occurring at these locations.
PMID- 29651981
TI - Lamotrigine for people with borderline personality disorder: a RCT.
AB - BACKGROUND: No drug treatments are currently licensed for the treatment of
borderline personality disorder (BPD). Despite this, people with this condition
are frequently prescribed psychotropic medications and often with considerable
polypharmacy. Preliminary studies have indicated that mood stabilisers may be of
benefit to people with BPD. OBJECTIVE: To examine the clinical effectiveness and
cost-effectiveness of lamotrigine for people with BPD. DESIGN: A two-arm, double
blind, placebo-controlled individually randomised trial of lamotrigine versus
placebo. Participants were randomised via an independent and remote web-based
service using permuted blocks and stratified by study centre, the severity of
personality disorder and the extent of hypomanic symptoms. SETTING: Secondary
care NHS mental health services in six centres in England. PARTICIPANTS:
Potential participants had to be aged >= 18 years, meet diagnostic criteria for
BPD and provide written informed consent. We excluded people with coexisting
psychosis or bipolar affective disorder, those already taking a mood stabiliser,
those who spoke insufficient English to complete the baseline assessment and
women who were pregnant or contemplating becoming pregnant. INTERVENTIONS: Up to
200 mg of lamotrigine per day or an inert placebo. Women taking combined oral
contraceptives were prescribed up to 400 mg of trial medication per day. MAIN
OUTCOME MEASURES: Outcomes were assessed at 12, 24 and 52 weeks after
randomisation. The primary outcome was the total score on the Zanarini Rating
Scale for Borderline Personality Disorder (ZAN-BPD) at 52 weeks. The secondary
outcomes were depressive symptoms, deliberate self-harm, social functioning,
health-related quality of life, resource use and costs, side effects of treatment
and adverse events. Higher scores on all measures indicate poorer outcomes.
RESULTS: Between July 2013 and October 2015 we randomised 276 participants, of
whom 195 (70.6%) were followed up 52 weeks later. At 52 weeks, 49 (36%) of those
participants prescribed lamotrigine and 58 (42%) of those prescribed placebo were
taking it. At 52 weeks, the mean total ZAN-BPD score was 11.3 [standard deviation
(SD) 6.6] among those participants randomised to lamotrigine and 11.5 (SD 7.7)
among those participants randomised to placebo (adjusted mean difference 0.1, 95%
CI -1.8 to 2.0; p = 0.91). No statistically significant differences in secondary
outcomes were seen at any time. Adjusted costs of direct care for those
prescribed lamotrigine were similar to those prescribed placebo. LIMITATIONS:
Levels of adherence in this pragmatic trial were low, but greater adherence was
not associated with better mental health. CONCLUSIONS: The addition of
lamotrigine to the usual care of people with BPD was not found to be clinically
effective or provide a cost-effective use of resources. FUTURE WORK: Future
research into the treatment of BPD should focus on improving the evidence base
for the clinical effectiveness and cost-effectiveness of non-pharmacological
treatments to help policy-makers make better decisions about investing in
specialist treatment services. TRIAL REGISTRATION: Current Controlled Trials
ISRCTN90916365. FUNDING: Funding for this trial was provided by the Health
Technology Assessment programme of the National Institute for Health Research
(NIHR) and will be published in full in Health Technology Assessment; Vol. 22,
No. 17. See the NIHR Journals Library website for further project information.
The Imperial Biomedical Research Centre Facility, which is funded by NIHR, also
provided support that has contributed to the research results reported within
this paper. Part of Richard Morriss' salary during the project was paid by NIHR
Collaboration for Leadership in Applied Health Research and Care East Midlands.
PMID- 29651982
TI - Response to comment on "Magnetosensitive neurons mediate geomagnetic orientation
in Caenorhabditis elegans".
AB - Many animals can orient using the earth's magnetic field. In a recent study, we
performed three distinct behavioral assays providing evidence that the nematode
Caenorhabditis elegans orients to earth-strength magnetic fields (Vidal-Gadea et al., 2015). A new study by Landler
et al. suggests that C. elegans does not orient to magnetic fields (Landler et al., 2018). They also raise conceptual
issues that cast doubt on our study. Here, we explain how they appear to have
missed positive results in part by omitting controls and running assays longer
than prescribed, so that worms switched their preferred migratory direction
within single tests. We also highlight differences in experimental methods and
interpretations that may explain our different results and conclusions. Together,
these findings provide guidance on how to achieve robust magnetotaxis and
reinforce our original finding that C. elegans is a suitable model system to
study magnetoreception.
PMID- 29651983
TI - Comment on "Magnetosensitive neurons mediate geomagnetic orientation in
Caenorhabditis elegans".
AB - A diverse array of species on the planet employ the Earth's magnetic field as a
navigational aid. As the majority of these animals are migratory, their utility
to interrogate the molecular and cellular basis of the magnetic sense is limited.
Vidal-Gadea and colleagues recently argued that the worm Caenorhabditis elegans
possesses a magnetic sense that guides their vertical movement in soil. In making
this claim, they relied on three different behavioral assays that involved
magnetic stimuli. Here, we set out to replicate their results employing blinded
protocols and double wrapped coils that control for heat generation. We find no
evidence supporting the existence of a magnetic sense in C. elegans. We further
show that the Vidal-Gadea hypothesis is problematic as the adoption of a
correction angle and a fixed trajectory relative to the Earth's magnetic
inclination does not necessarily result in vertical movement.
PMID- 29651985
TI - Smart bats click twice.
AB - The acoustic representation of the outside world in the midbrain of a bat becomes
more precise as it uses double clicks to locate closer objects.
PMID- 29651984
TI - IFN-lambda prevents influenza virus spread from the upper airways to the lungs
and limits virus transmission.
AB - Host factors restricting the transmission of respiratory viruses are poorly
characterized. We analyzed the contribution of type I and type III interferon
(IFN) using a mouse model in which the virus is selectively administered to the
upper airways, mimicking a natural respiratory virus infection. Mice lacking
functional IFN-lambda receptors (Ifnlr1-/-) no longer restricted virus
dissemination from the upper airways to the lungs. Ifnlr1-/- mice shed
significantly more infectious virus particles via the nostrils and transmitted
the virus much more efficiently to naive contacts compared with wild-type mice or
mice lacking functional type I IFN receptors. Prophylactic treatment with IFN
alpha or IFN-lambda inhibited initial virus replication in all parts of the
respiratory tract, but only IFN-lambda conferred long-lasting antiviral
protection in the upper airways and blocked virus transmission. Thus, IFN-lambda
has a decisive and non-redundant function in the upper airways that greatly
limits transmission of respiratory viruses to naive contacts.
PMID- 29651986
TI - A pyrene formulation for fluorometric visualization of latent fingermarks.
AB - Present work is conducted to demonstrate the use of pyrene for the development of
latent fingermarks. Pyrene formulation with binders can be efficiently used for
developing latent fingermarks on porous, non-porous and semi-porous surfaces. The
effectiveness of pyrene formulation for the detection of latent fingermarks
present on a large variety of objects was systematically and comparatively
carried out. To optimize the working formulation, studies were carried out using
different pyrene concentrations followed by various substrate study, time
dependent study, temperature study, depleted fingermark development and the
stability of the proposed formulation. When illuminated at 366 nm, the developed
fingermarks showed clear, high contrast primary, secondary and tertiary level
ridge details. This work reveals that the fluorescent molecules having high
quantum yield are a versatile fluorescent label and can find their applications
in forensic latent fingermark development.
PMID- 29651987
TI - Measuring the excitations in a new S = 1/2 quantum spin chain material with
competing interactions.
AB - Recently a new one-dimensional (1D) quantum spin chain system has been reported:
catena-dichloro(2-Cl-3Mpy)copper(II), (where 2-Cl-3Mpy=2-chloro-3
methylpyridine). Preliminary calculations and bulk magnetic property measurements
indicate that this system does not undergo magnetic ordering down to 1.8 K and is
a prime candidate for investigating frustration in a J 1/J 2 system (where the
nearest neighbour interactions, J 1, are ferromagnetic and the next nearest
neighbour interactions, J 2, are antiferromagnetic). Calculations predicted three
possible magnetic interaction strengths for J 1 below 6 meV depending on the
orientation of the ligand. For one of the predicted J 1 values, the existence of
a quantum critical point is implied. A deuterated sample of catena-dichloro(2-Cl
3Mpy)copper(II) was synthesised and the excitations measured using inelastic
neutron scattering. Scattering indicated the most likely scenario involves spin
chains where each chain consists of only one of the three possible magnetic
excitations in this material, rather than the completely random array of exchange
interactions within each chain as predicted by Herringer et al (2014 Chem. Eur.
J. 20 8355-62). This indicates the possibility of tuning the chemical structure
to favour a system which may exhibit a quantum critical point.
PMID- 29651988
TI - Evaluation of a new method for the collection and measurement of 8-isoprostane in
exhaled breath for future application in nanoparticle exposure biomonitoring.
AB - BACKGROUND: In the field of nanoparticle exposure biomonitoring, oxidative stress
biomarkers measured in exhaled breath condensate appear promising to detect early
respiratory effects in workers handling nanomaterials. However, condensation is
known for its poor efficiency in collecting non-volatiles in exhaled breath,
leading to the low sensitivity of such measurements. Moreover, to be easily used
in field studies on large groups of workers, the collection device must be
disposable and convenient. OBJECTIVES: In this study, we have tested a totally
disposable commercial device that allows for the easy dry collection of exhaled
air after filtration on a patented filter. The suitability and efficiency of the
SensAbues (SB) device for collecting 8-isoprostane were evaluated and compared to
the RTube (RT). METHODS: Seven healthy volunteers performed two 15 min
collections of exhaled breath, one with the SB and one with the RT. Blank devices
were used to determine the background levels induced by each device. 8
isoprostane was measured in all samples using an EIA technique. RESULTS: The
levels of 8-isoprostane in the exhaled breath of volunteers after collection with
the SB were significantly higher than those after collection with the RT.
Moreover, the levels obtained in volunteers with the SB were significantly higher
than background levels obtained in blank devices, which was not the case for the
RT. CONCLUSIONS: This is the first study to report the ability of the SB device
to collect and measure 8-isoprostane in exhaled breath. The proposed method
offers better sensitivity than a classical collection with the RT device and
should be further explored before future application in biomonitoring studies.
PMID- 29651989
TI - Review of Saturn's icy moons following the Cassini mission.
AB - We review our knowledge of the icy moons of Saturn prior to the Cassini orbital
mission, describe the discoveries made by the instrumentation onboard the Cassini
spacecraft.
PMID- 29651990
TI - Non-Fermi liquids in oxide heterostructures.
AB - Understanding the anomalous transport properties of strongly correlated materials
is one of the most formidable challenges in condensed matter physics. For
example, one encounters metal-insulator transitions, deviations from Landau Fermi
liquid behavior, longitudinal and Hall scattering rate separation, a pseudogap
phase, and bad metal behavior. These properties have been studied extensively in
bulk materials, such as the unconventional superconductors and heavy fermion
systems. Oxide heterostructures have recently emerged as new platforms to probe,
control, and understand strong correlation phenomena. This article focuses on
unconventional transport phenomena in oxide thin film systems. We use specific
systems as examples, namely charge carriers in SrTiO3 layers and interfaces with
SrTiO3, and strained rare earth nickelate thin films. While doped SrTiO3 layers
appear to be a well behaved, though complex, electron gas or Fermi liquid, the
rare earth nickelates are a highly correlated electron system that may be
classified as a non-Fermi liquid. We discuss insights into the underlying physics
that can be gained from studying the emergence of non-Fermi liquid behavior as a
function of the heterostructure parameters. We also discuss the role of lattice
symmetry and disorder in phenomena such as metal-insulator transitions in
strongly correlated heterostructures.
PMID- 29651991
TI - Ab initio effective deformation potentials of phosphorene and consistency checks.
AB - Electron-phonon interaction in single-layer phosphorene is studied from first
principles based on the density functional theory, using finite displacement
method. Scattering rates and mobility are numerically evaluated for carriers in
the conduction and valence bands. A criterion for the selection of phonon wave
vector in scatterings is proposed. Scattering selection rules are studied,
utilizing group theory for the structure with [Formula: see text] space group
symmetry. Approximate analytical formulas for scattering rates, adopting the
anisotropy intrinsic to phosphorene, are derived, and effective deformation
potentials are evaluated by fitting the formulas to numerical scattering rates
extracted from ab initio calculations.
PMID- 29651992
TI - Two-photon oxygen nanosensors based on a conjugated fluorescent polymer doped
with platinum porphyrins.
AB - Ratiometric fluorescent nanoparticles (NPs) under two-photon excitation are
successfully developed for sensing dissolved oxygen. The NPs comprise the oxygen
probe Pt(II)-porphyrins (PtTFPP) and fluorescent organic semiconducting polymer
(PFO). PFO polymer acts as both a two-photon antenna and a reference dye, while
PtTFPP absorbs the photonic energy transferred by the PFO under two-photon
excitation at 740 nm to sense oxygen. The red fluorescence of PtTFPP is sensitive
to oxygen with a quenching response of 88% from nitrogen saturation to oxygen
saturation, and PFO gives oxygen-insensitive referenced blue fluorescence. The
fluorescence quenching of the NPs against oxygen at two-photon excitation follows
a linear Stern-Volmer behavior. The nanosensors exhibit low cytotoxic effects as
well as effortless cellular uptake. When incorporated into cells, the ratio of
the signals increases up to about 500% from oxygen-saturated to oxygen-free
environment.
PMID- 29651993
TI - Effective field theory of chiral spin liquid between ordered phases in a kagome
antiferromagnet.
AB - We propose in this work an effective field theory description of the chiral spin
liquid state in a Heisenberg spin system on a kagome lattice. To this end, we
derive the low-energy effective theory of a kagome (isotropic) Heisenberg
antiferromagnet around its ordered ground states found numerically and show that
quantum fluctuations induced by further neighbor spin exchanges are equally
strong as those from the first neighbor. We use a chiral order parameter theory
to argue for the occurrence of finite temperature chiral symmetry breaking
transition into a chiral ordered state in a kagome antiferromagnet with further
neighbor spin exchange interactions. We compute the chiral symmetry breaking term
in the effective ground state energy and show that chiral spin liquid necessarily
occurs in the ground state of a kagome antiferromagnet with the first three
nearest-neighbor spin exchange interactions. Finally, we consider the quantum
criticality of the kagome antiferromagnet and show that a Chern-Simons term
emerges naturally at the transition between the two ordered states that satisfy
an appropriate 'matching condition' that we derive, providing an explanation for
why chiral spin liquid could occur at the transition between appropriate ordered
states. This emergent Chern-Simons term is the low energy effective theory of the
chiral spin liquid state, where the chirality is the immediate consequence of the
breaking of discrete symmetries by this topological field theory.
PMID- 29651994
TI - Accurate quasiparticle calculation of x-ray photoelectron spectra of solids.
AB - It has been highly desired to provide an accurate and reliable method to
calculate core electron binding energies (CEBEs) of crystals and to understand
the final state screening effect on a core hole in high resolution x-ray
photoelectron spectroscopy (XPS), because the DeltaSCF method cannot be simply
used for bulk systems. We propose to use the quasiparticle calculation based on
many-body perturbation theory for this problem. In this study, CEBEs of band
gapped crystals, silicon, diamond, beta-SiC, BN, and AlP, are investigated by
means of the GW approximation (GWA) using the full omega integration and compared
with the preexisting XPS data. The screening effect on a deep core hole is also
investigated in detail by evaluating the relaxation energy (RE) from the core and
valence contributions separately. Calculated results show that not only the
valence electrons but also the core electrons have an important contribution to
the RE, and the GWA have a tendency to underestimate CEBEs due to the excess RE.
This underestimation can be improved by introducing the self-screening correction
to the GWA. The resulting C1s, B1s, N1s, Si2p, and Al2p CEBEs are in excellent
agreement with the experiments within 1 eV absolute error range. The present self
screening corrected GW approach has the capability to achieve the highly accurate
prediction of CEBEs without any empirical parameter for band-gapped crystals, and
provide a more reliable theoretical approach than the conventional DeltaSCF-DFT
method.
PMID- 29651995
TI - Investigation of TbMn2O5 by polarized neutron diffraction.
AB - In order to make a new approach to the elucidation of the microscopic mechanisms
of multiferroicity in the RMn2O5 family, experiments with different methods of
polarized neutrons scattering were performed on a TbMn2O5 single crystal. We
employed three different techniques of polarized neutron diffraction without the
analysis after scattering, the XYZ-polarization analysis, and technique of
spherical neutron polarimetry (SNP). Measurements with SNP were undertaken both
with and without external electric field. A characteristic difference in the
population of 'right' and 'left' helix domains in all magnetically ordered phases
of TbMn2O5, was observed. This difference can be controlled by an external
electric field in the field-cooled mode. The analysis of the results gives an
evidence that antisymmetric Dzyaloshinsky-Moria exchange is effective in all the
magnetic phases in TbMn2O5.
PMID- 29651996
TI - Superconductivity switch from spin-singlet to -triplet pairing in a topological
superconducting junction.
AB - The interedge coupling is the cardinal characteristic of the narrow quantum spin
Hall (QSH) insulator, and thus could bring about exotic transport phenomena.
Herein, we present a theoretical investigation of the spin-resolved Andreev
reflection (AR) in a QSH insulator strip touching on two neighbouring
ferromagnetic insulators and one s-wave superconductor. It is demonstrated that,
due to the interplay of the interedge coupling and ferromagnetic configuration,
there could be not only usual local ARs leading to the spin-singlet pairing with
the incident electron and Andreev-reflected hole from different spin subbands,
but also novel local ARs giving rise to the spin-triplet pairing from the same
spin subband. However, only the latter exists in the absence of the interedge
coupling, and therefore the two pairings in turn testify the helical spin texture
of the edge states. By proper tuning of the band structures of the ferromagnetic
layers, under the resonance bias voltage, the usual and novel local ARs of
[Formula: see text] can be all exhibited, resulting in fully spin-polarized pure
spin-singlet superconductivity and pure spin-triplet superconductivity,
respectively, which suggests a superconductivity switch from spin-singlet to
triplet pairing by electrical control. The results can be experimentally
confirmed by the tunneling conductance and the noise power.
PMID- 29651997
TI - Ba9V3Se15: a novel compound with spin chains.
AB - In this work, a novel compound Ba9V3Se15 with one-dimensional (1D) spin chains
was synthesized under high-pressure and high-temperature conditions. It was
systematically characterized via structural, magnetic, thermodynamic and
transport measurements. Ba9V3Se15 crystallizes into a hexagonal structure with a
space group of P-6c2 (188) and the lattice constants of a = b = 9.5745(7) A
and c = 18.7814(4) A. The crystal structure consists of face-sharing octahedral
VSe6 chains along c axis, which are trimeric and arranged in a triangular lattice
in ab-plane. Ba9V3Se15 is a semiconductor and undergoes complex magnetic
transitions. In the zero-field-cooled (ZFC) process with magnetic field of 10 Oe,
Ba9V3Se15 sequentially undergoes ferrimagnetic and spin cluster glass transition
at 2.5 K and 3.3 K, respectively. When the magnetic field exceeds 50 Oe, only the
ferrimagnetic transition can be observed. Above the transition temperature, the
specific heat contains a significant magnetic contribution that is proportional
to T 1/2. The calculation suggests that the nearest neighbor (NN) intra-chain
antiferromagnetic exchange J 1 is much larger than the next nearest neighbor
(NNN) intra-chain ferromagnetic exchange J 2. Therefore, Ba9V3Se15 can be
regarded as an effective ferromagnetic chains with effective spin-1/2 by the
formation of the V(2)(?) V(1)(?) V(2)(?) cluster.
PMID- 29651998
TI - High-resolution local field potentials measured with deep brain stimulation
arrays.
AB - OBJECTIVE: Local field potential (LFP) recordings along a deep brain stimulation
(DBS) lead can provide useful feedback for titrating DBS therapy. However,
conventional DBS leads with four cylindrical macroelectrodes likely undersample
the spatial distribution of sinks and sources in a given brain region. In this
study, we investigated the spectral power and spatial feature sizes of LFP
activity in non-human primate subthalamic nucleus and globus pallidus using
chronically implanted 32-channel directional DBS arrays. APPROACH: Subthalamic
nucleus and globus pallidus LFP signals were recorded from directional DBS arrays
in the resting state and during a reach-and-retrieval task in two non-human
primates in naive and parkinsonian conditions. LFP recordings were compared
amongst bipolar pairs of electrodes using individual and grouped electrode
configurations, with the latter mimicking the cylindrical macroelectrode
configurations used in current clinical LFP recordings. MAIN RESULTS: Recordings
from these DBS arrays showed that (1) beta oscillations have spatial
'fingerprints' in the subthalamic nucleus and globus pallidus, and (2) that these
oscillations were muted when grouping electrode contacts together to create
cylindrical macroelectrodes similar in relative dimension to those used
clinically. Further, these maps depended on parkinsonian condition and whether
the subject was resting or performing a motor task. SIGNIFICANCE: Development of
future closed-loop DBS therapies that rely on LFP feedback will benefit from
implanting DBS arrays with electrode sizes and spacings that are more consistent
with the dimensions of oscillatory sinks and sources within the brain.
PMID- 29652000
TI - Exciting times.
PMID- 29651999
TI - Photolithographic-stereolithographic-tandem fabrication of 4D smart scaffolds for
improved stem cell cardiomyogenic differentiation.
AB - 4D printing is a highly innovative additive manufacturing process for fabricating
smart structures with the ability to transform over time. Significantly different
from regular 4D printing techniques, this study focuses on creating novel 4D
hierarchical micropatterns using a unique photolithographic-stereolithographic
tandem strategy (PSTS) with smart soybean oil epoxidized acrylate (SOEA) inks for
effectively regulating human bone marrow mesenchymal stem cell (hMSC)
cardiomyogenic behaviors. The 4D effect refers to autonomous conversion of the
surficial-patterned scaffold into a predesigned construct through an external
stimulus delivered immediately after printing. Our results show that hMSCs
actively grew and were highly aligned along the micropatterns, forming an
uninterrupted cellular sheet. The generation of complex patterns was evident by
triangular and circular outlines appearing in the scaffolds. This simple, yet
efficient, technique was validated by rapid printing of scaffolds with well
defined and consistent micro-surface features. A 4D dynamic shape change
transforming a 2-D design into flower-like structures was observed. The printed
scaffolds possessed a shape memory effect beyond the 4D features. The advanced 4D
dynamic feature may provide seamless integration with damaged tissues or organs,
and a proof of concept 4D patch for cardiac regeneration was demonstrated for the
first time. The 4D-fabricated cardiac patch showed significant cardiomyogenesis
confirmed by immunofluorescence staining and qRT-PCR analysis, indicating its
promising potential in future tissue and organ regeneration applications.
PMID- 29652001
TI - Economic impact of dental caries in India.
PMID- 29652002
TI - Evaluation of bioflavonoids on the immediate and delayed microtensile bond
strength of self-etch and total-etch adhesive systems to sound dentin.
AB - Aim: This study aims to evaluate the effect of two bioflavonoids
(epigallocatechin-3-gallate [EGCG] and catechin) and a protein inhibitor
(chlorhexidine [CHX]) on the immediate and delayed microtensile bond strength of
self-etch and total-etch adhesive systems to sound dentin. Materials and Methods:
The occlusal surfaces of 96 mandibular human third molar teeth specimens were
ground after removal of the excess tissues, to expose the middle dentin. The
dentin specimens were randomly allocated into four groups, each consisting of 24
teeth (n = 24) according to the application of the enzyme inhibitor. The adhesive
system used in this study was Adper easy bond, a self-etch adhesive system, and
Adper Single Bond 2, a total-etch adhesive system. Microtensile bond strength
testing was conducted using thermocycler 2000, Heto-Holten A/S. Results: All the
three enzyme inhibitors increase the bond strength values of the resin-dentin
interphase when used during dentin bonding. The EGCG enzyme inhibitor has shown
the highest immediate bond strength to dentin when used with both the adhesive
systems.
PMID- 29652003
TI - Angular photogrammetric analysis of the soft-tissue facial profile of Indian
adults.
AB - Introduction:: Soft-tissue analysis has become an important component of
orthodontic diagnosis and treatment planning. Photographic evaluation of an
orthodontic patient is a very close representation of the appearance of the
person. The previously established norms for soft-tissue analysis will vary for
different ethnic groups. Thus, there is a need to develop soft-tissue facial
profile norms pertaining to Indian ethnic groups. Aim and Objectives:: The aim of
this study is to establish the angular photogrammetric standards of soft-tissue
facial profile for Indian males and females and also to compare sexual dimorphism
present between them. Materials and Methods: The lateral profile photographs of
300 random participants (150 males and 150 females) between ages 18 and 25 years
were taken and analyzed using FACAD tracing software. Inclusion criteria were
angles Class I molar occlusion with acceptable crowding and proclination, normal
growth and development with well-aligned dental arches, and full complements of
permanent teeth irrespective of third molar status. This study was conducted in
Indian population, and samples were taken from various cities across India.
Descriptive statistical analysis was carried out, and sexual dimorphism was
evaluated by Student's t-test between males and females. Results: The results of
the present study showed statistically significant (P < 0.05) gender difference
in 5 parameters out of 12 parameters in Indian population. Conclusion: In the
present study, soft-tissue facial measurements were established by means of
photogrammetric analysis to facilitate orthodontists to carry out more
quantitative evaluation and make disciplined decisions. The mean values obtained
can be used for comparison with records of participants with the same
characteristics by following this photogrammetric technique.
PMID- 29652004
TI - Factors associated with patients' satisfaction of rubber dam use during root
canal treatment.
AB - Background: The use of rubber dam (RD) has been recommended in dental procedures
including root canal treatment. The aim of the study was to identify factors that
were associated with patients' satisfaction and acceptance of RD. Setting and
Design:: This was a cross-sectional study, conducted from January 2015 to
December 2016 at Kuwait University School of Dentistry. Materials and Methods: A
pretested questionnaire was used by a face-to-face interview of patients after
taking informed consent. The study was approved by the Institutional Ethics
Review Board. The providers/operators who applied RD were grouped into three
categories: undergraduate final year (7th year) dental students; general dentists
and postgraduate students; and specialists. Results: Mean age of the patients (n
= 175) was 31.6 +/- 13.0 years. About 55% had past experience, and 52% expressed
a better experience during the current procedure compared with the previous one.
A positive experience during the current procedure correlated significantly with
the future intention of RD use (r = 0.244, P = 0.001). Time needed for RD
application was short (4 min), irrespective of the operators. The duration of RD
use during the procedure was significantly shorter among dental specialists
compared with the other groups. Time for RD application was the only significant
predictor for patient satisfaction, after controlling for other independent
variables. Conclusion: Based on the positive influence of current RD use on the
future intention, dentists should spend time needed to explain the importance,
safety and effectiveness of RD use with their patients.
PMID- 29652005
TI - Association between traditional oral hygiene methods with tooth wear, gingival
bleeding, and recession: A descriptive cross-sectional study.
AB - Background: Oral hygiene maintenance is crucial for prevention of various oral
diseases. Oral hygiene practices across the country vary largely and people in
peri-urban and rural areas use traditional methods of oral hygiene like powders,
bark, oil and salt etc. Their effect on oral soft and hard tissues need to be
studied to understand their beneficial and/ or harmful effects on maintenance of
oral hygiene and prevention or causation of oral diseases. Objectives: This study
aimed to assess the plaque-cleaning efficacy, gingival bleeding, recession and
tooth wear with different traditional oral hygiene methods as compared to use of
toothpaste-toothbrush, the most accepted method of oral hygiene practice. Study
Design: Hospital based cross sectional analytical study. Results: Total 1062
traditional oral hygiene method users were compared with same number of
toothpaste-brush users. The maximum number in the former group used tooth powder
(76%) as compared to other indigenous methods, such as use of bark of trees etc
and out of tooth powder users; almost 75% reported using red toothpowder. The
plaque scores and gingival bleeding & recession were found to be more in
traditional oral hygiene method users. The toothwear was also more severe among
the toothpowder users. Conclusions: Traditional methods were found to be inferior
in plaque control as was documented by increased bleeding and gingival recession.
Its effect on hard tissues of teeth was very damaging with higher tooth wear
scores on all surfaces.
PMID- 29652006
TI - Comparison of interpupillary distance and combined mesiodistal width of maxillary
central incisor teeth in two ethnic groups of Northeast India: An in vivo study.
AB - Background: Anthropometric measurements of the face can be used as a guide in
selecting proper sized anterior teeth. Aim: The aim of this study is to evaluate
the relationship between the interpupillary distance (IPD) and the combined
mesiodistal width of maxillary central incisors (MDW of MCIs) to establish their
morphometric criterion and their significance in two ethnic groups of Northeast
India. Methodology: A total of 120 participants consisting of 60 indigenous
students each from Assam and Meghalaya in the age group of 18-25 years were
selected after taking their written consent. Standardized facial frontal
photographs of all the participants were taken using a digital camera in such a
manner that maxillary anterior teeth were visible. The photographs were uploaded
onto the computer and saved in a file. Anthropometric measurements of IPD and
combined MDW of MCIs in centimeters were made using both Adobe Photoshop(r) 7.0
software program and manually using a digital vernier caliper on the developed
photographs to a same size of 15 cm * 10 cm. Data obtained were tabulated and
analyzed using Student "t"-test and Pearson correlation test. Results: The
present study reveals a positive correlation with a high degree of statistical
significance between IPD and combined mesiodistal width of maxillary central
incisors among all the samples irrespective of gender and ethnicity where P <
0.01. Conclusion: IPD can be used as a guide in determining the suitable
mesiodistal dimension of the maxillary central incisors.
PMID- 29652007
TI - Objective and subjective parameters of oral health in South Indian children: A
cross-sectional study.
AB - Background: Oral health of schoolchildren is a strong predictor of their overall
well-being. This study was planned to assess and compare the objective and
subjective parameters of oral health of South Indian school children.
Methodology: Three hundred and sixty school children participated in this cross
sectional study. Their oral hygiene status, dental caries status, and treatment
needs were assessed. Two questionnaires were filled by these children, to assess
their oral health-related quality of life (OHRQoL) and their knowledge on oral
health. Their academic scores were collected from the schools. Statistical
analysis was done using Kruskal-Wallis ANOVA test and Spearman correlation test
appropriately. Results: Children with no caries had better OHRQoL (P = 0.02).
There was a negative correlation between dental caries status and OHRQoL score (P
= 0.003) and dental caries treatment needs and OHRQoL score (P = 0.01). There was
a positive correlation between knowledge on oral health and OHRQoL score (P =
0.02). Conclusion: Children with no caries had a better OHRQoL when compared to
children with caries. Children with caries had more treatment needs, poor oral
hygiene, low quality of life, and performed lesser in academics. However, they
had adequate knowledge on oral health. Hence, both objective and subjective
parameters of oral health should be given importance while treating children.
PMID- 29652008
TI - Efficacy of autogenous fascia lata and silicone aurosling in correction of
congenital blepharoptosis by frontalis suspension.
AB - Purpose: The purpose of this study is to assess the outcomes of frontalis sling
using fascia lata and silicone aurosling for congenital unilateral ptosis
patients with poor levator function. Material and Methods: Retrospective study of
archival cases from 22 patients from author's center. All the patients with
unilateral ptosis with poor levator function were included excluding those having
poor Bell's phenomenon and associated pathology like jaw winking, 3rd nerve
misdirection, squint, impaired corneal sensitivity, and neoplastic lesions.
Patients were diagnosed based on the history and clinical examination including
measurements. Corrections were performed by single surgeon. Marginal reflex
distance-1 (MRD1) values observed preoperatively, immediate postoperatively, and
late postoperatively in both groups. Results: : Of the 22 cases, 12 were treated
with fascia while the remaining 10 were treated with silicone aurosling material.
The mean age of the fascia group was 11.42 +/- 3.55 years while it was 14 +/- 4.2
years for the silicone group. There were 10 males and 12 females in the study
group. The mean follow-up in the study group was 20.14 +/- 2.05 months, and the
difference between the groups was not statistically significant. The difference
between the two groups at the preoperative and immediate postoperative did not
vary with statistical significance (P = 0.926 and P = 0.242, respectively). The
late postoperative MRD1 did vary significantly between the two groups. The mean
late postoperative MRD1 for fascia group was 3.67 +/- 0.32 with a range of 3.1
4.15 while for the silicone group was 3.2 +/- 0.46 with a range of 2.5-4. The
difference was statistically significant (P = 0.023). Discussion and Conclusion:
The stability of the change in silicone aurosling group was relatively less as
compared to the fascia lata. The recent material aspect study of such silicone
aurosling material indicates that they are susceptible to damage and that cause
the loss of stability. More studies with larger sample size and longer follow-up
are needed.
PMID- 29652009
TI - Immunohistochemical expression of polo-like kinase 1 in oral squamous cell
carcinoma and oral submucous fibrosis.
AB - Context: Polo-like kinase 1 (PLK1) is a critical molecule in the proliferation of
several human cancers. Overexpression of PLK1 has been correlated with cancer
cell proliferation and lower overall survival rates. Although PLK1 has been
studied in various tumors, information regarding its expression in oral cancer
and precancer is limited. Aims: This study is aimed at evaluating the expression
of PLK1 in a potentially malignant and malignant disorder of the oral cavity,
namely, oral submucous fibrosis (OSMF) and oral squamous cell carcinoma (OSCC),
respectively, using the immunohistochemistry technique. It also intended to
evaluate the association of the various histological grades of OSCC with the
intensity of PLK1 expression. Subjects and Methods: Thirty OSMF, thirty OSCC
tissues, and thirty control tissues were obtained, and the expression of PLK1 was
detected by immunohistochemistry using rabbit antihuman PLK1 polyclonal
antibodies (Abcam Ab47867). The association between staining intensity and
histological grade of OSCC was evaluated. Statistical Analysis Used: Using SPSS
20 version, a test for proportions, nonparametric Chi-square/correlation analysis
was used to compare differences in proportions of categorical variables of
interest between groups. Results: PLK1 was positively expressed in 27 (90%) OSCC
tissues. OSMF showed no detectable staining in 27 (90%) tissues and positive
staining in 3 (10%) tissues. PLK1 showed no staining (0%) in normal tissues.
Statistically significant associations were not found between staining intensity
and histological grade of OSCC. Conclusions: PLK1 could be a promising
progression marker for OSCC. Therapeutically, targeting PLK1 may be a new
approach to fight oral cancer.
PMID- 29652010
TI - A survey on the use of various gingival displacement techniques in fixed partial
denture by the prosthodontists in vadodara city.
AB - Aims: To evaluate the use of various gingival displacement techniques prior to
impression making in fixed partial dentures by the Prosthodontists in Vadodara.
Settings and Design: Questionnaire based survey among prosthodontists in Vadodara
city. Methods and Material: All the prosthodontists practitioners and those
prosthodontists in academic institutes in Vadodara City, Gujarat, were surveyed
through a questionnaire regarding their usage of gingival displacement technique
and their reasons and methods of using gingival displacement technique for fixed
partial denture. The results were analysed through discriminant statistical
analysis. Results: Among all the Prosthodontists in Vadodara city, 62% prefer the
use of gingival displacement technique for successful clinical practice while 38
% of them do not follow the procedure believing it does not make major difference
in clinical practice. Conclusions: Those Prosthodontists who preferred the use of
gingival displacement technique were able to detect many advantages of using it
in their daily fixed partial denture practice and the percentage of
prosthodontists not following gingival displacement technique blamed it as a time
consuming affair and was not feasible on economic grounds for the class of
patient they treated.
PMID- 29652011
TI - Quest for haller cells: A digital orthopantomography study.
AB - Aims: Haller Cells refer to the ethmoidal pneumatization and are the extensions
of anterior ethmoid sinus into the floor of the orbit and superior aspect of the
maxillary sinus, basically an anatomic variation. They may be associated with
orofacial pain, sinusitis, nasal obstruction, impaired nasal breathing, headache,
chronic cough, and mucocele. The aim of the present study was to identify,
determine the prevalence and characteristics of Haller's cells on Digital
orthopantomographs in patient's reporting to a dental institution in Chennai.
Settings and Design:: This was a retrospective, cross-sectional study. Subjects
and Methods: The study group comprised 600 radiographs inclusive of both genders
(379 females and 221 males) with an age range of 20-80 years. Retrospectively
panoramic radiograph for each of the patients was viewed and interpreted for the
presence of Haller's cells. The data collected was subjected to statistical
analysis: frequencies/percentages, descriptive statistics to obtain the results.
Statistical Analysis Used: Frequencies/percentages, descriptive statistics using
SPSS for Windows Version 20 (SPSS Inc., Chicago, IL, USA), to obtain the results.
Results: Haller's cells were noted in patients, accounting for a prevalence of
23.61%. The majority of the cells were circular, ovoid, and irregular in shape.
Conclusions: This study has attempted to explore the characteristics of Haller's
cells on panoramic radiographs. A description of Haller's cells on these
radiographs may prove vital in enumerating the differential diagnosis for
patients afflicted with intractable orofacial pain and reduce the risk of
untoward intraoperative complications during endonasal procedures.
PMID- 29652012
TI - Nature and pattern of primary teeth extractions in a tertiary care hospital
setting in South India.
AB - Background: Many studies have been carried out on the prevalence of dental
diseases in children although not much information is available regarding its
outcome among Indian children. Aim: The aim of the present study was to analyze
the type of primary tooth extracted and the reasons for the extraction among
children attending a tertiary care hospital in the Southern part of India.
Materials and Methods: The dental records of pediatric patients who had visited
the dental clinic of a tertiary care hospital located in Tamil Nadu, South India
from December 2013 to November 2016 were reviewed. Patients who underwent
extraction of at least one primary tooth under local or general anesthesia were
included in the study. Results: A total of 943 primary teeth were extracted from
447 patients over 3 years. The most commonly extracted tooth type was the first
primary molar followed by the primary central incisor. Grouping by age, the most
frequently extracted tooth type between 2 and 5 years was the primary central
incisor, the first primary molar among the 6-9-year-old and the second primary
molar among 10-15-year-old. The majority of primary teeth extractions were
performed in the age group of 6-9 years. No significant gender differences were
noted. The most common reason for extraction of primary teeth in children was
dental caries. Conclusions: This study demonstrates a high prevalence of untimely
primary teeth extractions in young children and dental caries continues to be the
leading cause. It clearly reflects on the lack of infant oral health care, the
inadequacy of awareness and underutilization of oral health services among
children in India.
PMID- 29652013
TI - Changing trends in maxillofacial trauma: A 15 years retrospective study in the
Southern Part of Haryana, India.
AB - Objective: The aim is to analyze the pattern of maxillofacial injuries and
treatment outcomes in the past 15 years (2002-2016). Materials and Methods:: One
thousand eight hundred and fifty patients from two tertiary referral center
hospitals were studied retrospectively in which the age, sex, etiology, site of
fracture, and treatment modality was recorded. Results: One thousand two hundred
and twenty-eight males and 622 females were operated between 2002 and 2016. Mean
age was 29 +/- 17.2 years. Maximum incidence was seen in the age group of 16-30
years in males, whereas in females, the predominance of trauma was seen in both
16-30 and 31-45 age groups. Road traffic accidents were responsible for the
majority of fractures (42.2%), followed by assaults (26.4), sports injuries
(17.6%), and fall (10.7%). Maximum fractures were of the mandible (53.5%)
followed by midface (25.6%) and panfacial trauma (20.8%). Nearly 53.6% of
patients underwent open reduction, and internal fixation (ORIF), 34.2% managed by
the closed method and 12.1% were kept under observation. Conclusion: This study
verified a young male predominance, a shift toward more assault related
fractures, especially in females. Mandibular fractures were the most common of
all. Moreover, the changing trend toward ORIF in the past 15 years.
PMID- 29652014
TI - BCL-X expression in oral cancer: Comparison between oral squamous cell carcinoma
and verrucous carcinoma.
AB - Background: Verrucous carcinoma (VC) should be considered a distinct
clinicopathologic entity different from the more common oral squamous cell
carcinoma (OSCC) because of its unique biological behavior. Best way to
understand the behavior of these carcinomas is to study them by means of
molecular methods, especially in tumor progression tests and Bcl-X is an
important antiapoptotic member of the Bcl-2 family and is one of the newest and
most useful markers to determine the aggressiveness of many carcinomas. The
relationship between this Bcl-X protein and carcinomatous behavior toward it is
not studied extensively, which we attempted to evaluate using immunohistochemical
analysis in selected carcinomas of the head and neck region. Method: We studied
Bcl-X protein expression in sections of thirty OSCC and ten VC samples and
correlated this with tumor differentiation. Results: There was a significant
difference in cytoplasmic staining of Bcl-X expression with statistical analysis
(P < 0.005) for VC and OSCC when compared as a group. No significance was seen
among the different histological grades of OSCC and when compared with VC
individually. Conclusion: The significant result between OSCC and VC suggests
that their biologic course is comparable and can be helpful in differentiating
them with each other for establishment of a better treatment protocol.
PMID- 29652015
TI - A comparative evaluation of the staining capacity of microhybrid and nanohybrid
resin-based composite to indian spices and food colorants: An In vitro study.
AB - Introduction: Resin composite restorative materials can mimic the natural color
and shade of the tooth. However, exogenous colorants from food and drinks can
stain them due to adsorption. The influence of Indian food colorants and spices
on resin composite restorations has not been evaluated extensively. Aim: This
study aims to evaluate the staining capacity of microhybrid and nanohybrid resin
based composites, to saffron extract, tandoori powder, and turmeric powder.
Subjects and Methods: Forty samples of microhybrid (Kulzer Charisma) and
nanohybrid (3M Filtek Z350) resin composites were prepared using an acrylic
template of dimension 5 mm * 3 mm. They were randomly divided into four groups
and immersed into solutions of saffron extract, tandoori powder, and turmeric
powder. Distilled water was used as the control group. Color values (LFNx01,
aFNx01, bFNx01) were measured by colorimeter using the CIE LFNx01aFNx01bFNx01
system before and after 72 h of immersion. Color differences DeltaEFNx01ab were
statistically analyzed. Statistical Analysis Used: Two-way ANOVA and post-hoc
Tukey (honest significant difference) test were done using IBM SPSS Statistics
for Windows, Version 19.0. Armonk, NY: IBM Corp. Results and Discussion: : All
the immersion media changed the color of the resin composites to varying degrees.
However, turmeric solution showed the maximum mean color variation DeltaEFNx01ab
of 14.8 +/- 2.57 in microhybrid resin composites and 16.8 +/- 3.50 in nanohybrid
resin composites. Conclusion: Microhybrid and nanohybrid resin composites tend to
stain to Indian food colorants, especially to turmeric powder.
PMID- 29652016
TI - Effect of inadequate ferrule segment location on fracture resistance of
endodontically treated teeth.
AB - Introduction: The circumferential 2 mm ferrule during the fabrication of the
crown is strongly advocated for the long-term clinical success. During the
routine clinical practice, the dentist encounters the endodontically treated
tooth (ETT) with inadequacy of the ferrule in some segment due to caries,
abrasion, and erosions. The aim of this in vitro study was to investigate the
consequence of inadequate segmental ferrule location on fracture strength of the
root canal-treated anterior and posterior teeth. Materials and Methods: Fifty
each maxillary canine and mandibular premolar intact human teeth were root canal
treated and sectioned at 2 mm above the cementum-enamel junction. The teeth
samples were divided into 5 groups of 10 each. The G-I and G-V samples had the
360 degrees ferrule and complete absence of the ferrule, respectively. The G-II
had the inadequate ferrule on the palatal surface, while G-III and G-IV had
inadequate ferrule at buccal and proximal area. Teeth samples were subsequently
restored with glass-reinforced fiber post, composite core, and full veneer metal
crown. The samples were tested with universal testing machine under static load
to record the fracture resistance. The acquired data were subjected to ANOVA and
Tukey's post hoc statistical analysis. Results: The G-I with circumferential
ferrule showed the higher fracture resistance. The teeth samples with lack of the
ferrule had the least fracture resistance. Among the segmental absence of
ferrule, teeth samples with lack of the proximal ferrule were least affected.
Deficiency of a ferrule on the lingual wall significantly affected the fracture
strength in both anterior and posterior ETT. Conclusions: The ETT with sectional
inadequacy of the ferrule is significantly more effective in resisting the
fracture in comparison to the complete absence of the ferrule.
PMID- 29652017
TI - Evaluation of kinetic friction between regular and colored titanium molybdenum
alloy archwires.
AB - Aim: The aim of this study was to evaluate the kinetic frictional properties of
colored titanium molybdenum alloy (TMA) archwires (purple-coated TMA and honey
dew-coated TMA) and the regular TMA archwires. Materials and Methods: The
experiment comprised of three groups, Group I - Regular TMA archwires, Group II -
Purple-coated TMA archwires, Group III - Honey dew-coated TMA wires involving 21
samples each that were evaluated for their frictional properties using Instron
Universal Testing Machine. Results: The results were subjected to statistical
analysis using analysis of variance wherein Group I Regular TMA wires revealed
mean kinetic frictional value of 8.236 N and a standard deviation of 0.4038 N,
while Group II (purple-coated TMA wires) showed a mean value of 5.297 N, standard
deviation of 0.3128 N and Group III (honey dew-coated TMA wires) showed a mean
value of 4.206 N and a standard deviation of 0.5353 N. Conclusion: The kinetic
frictional values are higher for regular TMA wire exhibiting superior
characteristic of color-coated TMA. Wires exhibiting superior characteristics are
color-coated TMA wires, especially honey dew-coated TMA wires over the regular
and purple-coated TMA wires. These superior properties of newly introduced wires
can be considered for its application in both details friction and frictionless
mechanics in retraction phase of fixed orthodontic treatment.
PMID- 29652018
TI - Restricted mouth opening and its definitive management: A literature review.
AB - Purpose: This review was intended to discuss the various possible modifications
suggested in the literature for prosthetic steps and surgical corrective
procedures in nonresponding or complicated cases during rehabilitation of
patients with restricted mouth opening. Material and Methods:: Medline, PubMed,
and Google were searched electronically for articles using keywords: microstomia
and treatment options for restricted mouth opening. The various articles on
prosthodontic rehabilitation in microstomia were segregated. From these, various
modifications in the prosthetic steps were reviewed. Results:: Oral hygiene
maintenance is difficult for patient either due to limited access or due to
associated lack of manual dexterity, so dental decay and periodontal problems are
more extensive in such patients; hence, tooth loss is a common finding. All
prosthetic procedures require wide mouth opening to carry out various steps,
starting from tray placement during impression making to the final prosthesis
insertion, especially removable prosthesis. Various prosthetic modifications
given by authors are included in this review for each step in prosthodontic
management. A total of eight stock tray designs, 12 custom tray designs, and 17
removable prosthesis designs are discussed along with fixed (either tooth
supported or implant-supported) and maxillofacial prosthesis. However, some
patients require surgical intervention also for the correction of microstomia
either for function or for esthetic purpose before prosthetic rehabilitation and
are also enumerated here. Conclusion: Among all prosthetic restorative options,
removable prosthesis is most difficult for dentist to fabricate as conventional
methods are either very difficult or impossible to apply. To get a more accurate
final prosthesis, we need to modify these steps according to the existing case.
Several modifications available are discussed here which can help while managing
these patients.
PMID- 29652019
TI - Efficacy of green tea-based mouthwashes on dental plaque and gingival
inflammation: A systematic review and meta-analysis.
AB - Objectives: The purpose of this study was to conduct a systematic review and if
appropriate a meta-analysis of the efficacy of daily rinsing with green tea-based
mouthwashes in terms of plaque index (PI) and/or gingival index (GI) as compared
to other mouthwashes in plaque-induced gingivitis patients. Materials and
Methods: MEDLINE, Cochrane Central Register of Controlled Trials, IndMed, Google
Scholar, and major journals were searched for studies up to December 2016. A
comprehensive search strategy was designed, and the eligible articles were
independently screened for eligibility by two reviewers. Randomized controlled
trials in which individuals were intervened with oral mouthwashes of interest
were included. Where appropriate, a meta-analysis was performed and standardized
mean differences (SMDs) for GI and PI were calculated. Results: A total of 9
articles out of the 311 titles met the eligibility criteria. A meta-analysis was
performed for five studies that compared green tea-based mouthwashes with
chlorhexidine (CHX). The SMD for PI was -0.14 (95% CI: -1.70, 1.43; P = 0.86 and
I2 = 94%), while that for GI was 0.43 ((95% CI: -0.63, 1.49; P = 0.43, I2 = 89%).
Both these estimates suffered from significant heterogeneity. For both PI and GI,
two studies were in favor of green tea while three studies were in favor of CHX.
Conclusions: Green tea-based mouthwashes can be considered an alternative to CHX
mouthwashes in sustaining oral hygiene, especially because of the added
advantages provided by such herbal preparations.
PMID- 29652020
TI - Classification systems for gingival recession and suggestion of a new
classification system.
AB - Background: Gingival recession is one of the most usual esthetic concerns
associated with the periodontal tissues. Classification of such condition is
important to diagnose, determine the prognosis, and frame the treatment plan.
Various classifications have been put forward since decades to classify gingival
recession. Miller's classification is the widely used classification among all
classifications, but certain drawbacks have been noted in this classification.
Therefore, an effort is made to review most commonly used classification systems
for gingival recession, and their drawbacks further come up with a proposal of
new classification system for gingival recession.
PMID- 29652021
TI - An unusual presentation of ghost cell odontogenic carcinoma: A case report with
review of literature.
AB - Ghost cell odontogenic carcinoma (GCOC) is a malignant odontogenic epithelial
tumor which is an exceedingly rare, highly aggressive, rapidly growing, and
infiltrative tumor forming the malignant counterpart of long-standing benign
cystic lesions coming in the spectrum of calcifying odontogenic cysts. To date,
only a few cases have been reported in the medical literature. A case of unusual
presentation of GCOC is presented and the clinical, histopathological, and
immunohistochemical features are discussed along with a literature review. Our
case report further emphasizes the bizarre biological behavior of this tumor and
the need for strict long-term surveillance of the patients as metastasis to
distant sites has been reported.
PMID- 29652022
TI - Permanent mandibular protostylid: A rare developmental anomaly and its overview.
AB - Developmental malformations of the teeth might result in alterations of their
size, shape, and structure. An accessory cusp is a developmental alteration to
the shape of the teeth and is more commonly found in anterior teeth, and its
occurrence in permanent molars is quite rare. Accessory cusps occurring in
permanent mandibular molars are termed as protostylids. Although they do not pose
any significant problem with respect to the function and occlusion, it is of
tremendous importance in forensic odontology. This case report presents a rare
finding of protostylid on the permanent mandibular molar, and its clinical
implications are illustrated.
PMID- 29652023
TI - Actinomycotic osteomyelitis of palate masquerading periapical pathology: A rare
case report.
AB - Osteomyelitis is an infection that is challenging to manage due to the poor
vascularization of bone that favors the proliferation of microorganisms. We
report a case of osteomyelitis occurring in endodontically treated teeth in the
maxillary palatal region. Clinically and radiographically, it was initially
diagnosed as osteomyelitis and was treated accordingly with antibiotics for 1
year with no reported healing. Later, biopsy was done and the findings were
consistent with that of chronic osteomyelitis in association with infection by
Actinomyces organisms. Thus, the case highlights the rare occurrence of
actinomycotic osteomyelitis in maxilla and the importance of biopsy and
histopathology which will help in correct diagnosis and rapid resolution through
appropriate antibiotic therapy.
PMID- 29652024
TI - Intra-alveolar extraction of impacted distoangular mandibular third molars: A
novel technique.
AB - Recent trends in maxillofacial surgery are to reduce the trauma to the adjacent
soft tissue. The distoangular impaction presents a challenge to the maxillofacial
surgeon and also results in more surgical morbidity. Here, we present a minimally
invasive extraction technique for the distoangular mandibular third molar
impaction.
PMID- 29652025
TI - Chronic periodontitis prevalence and the inflammatory burden in a sample
population from South India.
AB - Context: Periodontal diseases are among the most prevalent oral diseases in the
world. Apart from repercussions in the oral cavity, there is evidence that
periodontitis contributes to systemic damage in chronic diseases such as
cardiovascular disease, diabetes, and preterm low birth weight. Aims:: The aims
of this study were to estimate the prevalence of chronic periodontitis in a
sample urban population (<18 years) in Tamil Nadu and to estimate the
inflammatory burden posed by chronic periodontitis by calculating the periodontal
inflammatory surface area. Settings and Design:: This was a population-based
study and cross-sectional design. Subjects and Methods:: A total of 1000
individuals (<18 years) were selected and screened for their periodontal status,
oral hygiene status (OHI), and the periodontal inflamed surface area (PISA) in an
outreach center located in Chennai, India. Statistical Analysis Used:: The
proportion of individuals with different periodontal states (health, gingivitis,
and periodontitis) was determined. A multivariate logistic regression analysis
was performed to assess the influence of the individual risk factors such as
habits (tobacco use), systemic conditions (diabetes), and oral hygiene
maintenance on periodontitis prevalence in the sample population. Results:: A
high prevalence of periodontal disease was observed in the study population
(42.3%). Among the urban participants, age, cigarette smoking, pan chewing,
decayed, missing, and filled teeth scores, OHI scores, and PISA scores were found
to be significantly associated with periodontitis (P < 0.05). Conclusions::
Periodontitis prevalence appears to be high even in areas with adequate access to
oral health care and an inflammatory burden risk exists in a definitive manner.
PMID- 29652026
TI - Preoperative biliary drainage using a fully covered self-expandable metallic
stent for pancreatic head cancer: A prospective feasibility study.
AB - Background/Aims: The role of endoscopic preoperative biliary drainage (PBD) for
pancreatic head cancer is controversial because of the high incidence of stent
occlusion before surgery. This study was performed to evaluate the feasibility
and safety of PBD using a fully covered self-expandable metallic stent (FCSEMS).
Patients and Methods: This multicenter prospective study involved 26 patients
treated for pancreatic head cancer with distal bile duct obstruction from April
2011 to March 2013. An FCSEMS was endoscopically placed in 24 patients. Among
these, 7 patients were diagnosed with unresectable cancer, and 17 underwent
surgery at a median of 18 days after FCSEMS placement. The main outcome measure
was preoperative and postoperative adverse events. Results: Two adverse events
(cholecystitis and insufficient resolution of jaundice) occurred between FCSEMS
placement and surgery (12%). Postoperative adverse events occurred in eight
patients (47%). The cumulative incidence of stent-related adverse events 4 and 8
weeks after FCSEMS placement among the 24 patients who underwent this procedure
were 19%. Conclusions: PBD using an FCSEMS is feasible in patients with
resectable pancreatic head cancer. Placement of an FCSEMS can be an alternative
PBD technique when surgery without delay is impossible. A larger randomized
controlled trial is warranted.
PMID- 29652027
TI - Pokeweed antiviral protein attenuates liver fibrosis in mice through regulating
Wnt/Jnk mediated glucose metabolism.
AB - Background/Aims: Pokeweed antiviral protein (PAP) has been reported to
downregulate Wnt/Jnk pathway and attenuate liver fibrosis. This study was
designed to intensively explore the mechanism of anti-fibrosis effect of PAP.
Materials and Methods: Hepatic stellate cell (HSC) activation was induced by high
concentration of glucose. Cell viability was detected at different time points
after PAP treatment. Meanwhile, hepatic fibrosis models in mice were induced by
CCl4 injection. In the end, liver pathology was observed and contents of alanine
transaminase, aspartate transaminase, lactic dehydrogenase, hyaluronic acid (HA),
and laminin (LN) in serum together with hydroxyproline (Hyp) in liver were
measured. The mRNA and protein expressions of HK2, PFKP, PCK1, and FBP1 as well
as Jnk expression in HSC-T6 cells and liver tissue were detected by qPCR and
western-blot, respectively. Results: Compared with high glucose, PAP reduced
viability and expressions of HK2, PFKP, alpha-SMA, and Col1A1, where as enhanced
the expressions of PCK1 and FBP1 in HSC-T6 cells (P < 0.05) respectively. PAP
attenuated liver pathology, improved liver function, and reduced collagen
deposition in liver tissue compared with the model group (P < 0.05) respectively.
Moreover, PAP reduced expressions of HK2, PFKP, alpha-SMA, and Col1A1 where as
increased the expression of PCK1 and FBP1 in the liver of mice compared with the
model group (P < 0.05) respectively. Most importantly, PAP reduced the
phosphorylation of Jnk both in cells and liver tissue compared with the model
group (P < 0.05) respectively. Conclusions: Our results demonstrated that PAP
attenuated liver fibrosis by regulating Wnt/Jnk-mediated glucose metabolism. It
provided us a new target for the treatment of liver fibrosis.
PMID- 29652028
TI - Risk factors of in-hospital mortality among patients with upper gastrointestinal
bleeding and acute myocardial infarction.
AB - Background/Aims: Patients with simultaneous upper gastrointestinal bleeding
(UGIB) and acute myocardial infarction (AMI) have higher mortality than patients
with either GIB or AMI. We aimed to assess the incidence and risk factors of in
hospital mortality in patients with UGIB and AMI. Patients and Methods: A total
of 243 patients with UGIB and AMI were enrolled during 2012-2017. Clinical and
laboratory data were collected and analyzed for clinical characteristics and
potential risk factors of in-hospital mortality. Results: Among the 243 patients,
60 in-hospital deaths were observed (in-hospital mortality rate of 24.7%).
Patients who died were older than the survivors (78.7 +/- 6.6 vs. 72.6 +/- 10.5
years, P < 0.001). Compared with survivors, patients who died showed increased
peak white blood cell (WBC) count (9.74 +/- 4.72 vs. 7.60 +/- 2.91 * 109/L, P=
0.002), serum creatinine levels [134 (106, 190) vs. 97 (79, 125) mmol/L, P=
0.014], peak blood urine nitrogen levels (16.31 +/- 8.48 mmol/L vs. 9.86 +/- 6.33
mmol/L, P < 0.001), and peak brain natriuretic peptide (BNP) amounts [13,250
(6071, 30,000) vs. 3598 (728, 12,842) pg/mL, P < 0.001]. Meanwhile, patients who
died also displayed lower minimum hemoglobin levels (78.3 +/- 21.1 vs. 86.3 +/-
22.3 g/L, P= 0.018) and minimum platelet counts (184.3 +/- 79.1 vs. 214.6 +/-
80.1 * 109/L, P= 0.013). In multivariable logistic analysis, age [OR (95% CI)
=1.118 (1.053-1.186), P < 0.001], peak WBC count [OR (95% CI) =1.252 (1.113
1.407), P < 0.001], minimum platelet count [OR (95% CI) = 0.994 (0.989-1.000), P=
0.032], and peak BNP levels [OR (95% CI) =3.880 (1.761-8.550), P= 0.001] were
independent predictors of in-hospital mortality. Conclusions: Patients with UGIB
and AMI had a high in-hospital mortality, which was independently associated with
age, peak WBC count, minimum platelet count, and peak BNP levels.
PMID- 29652030
TI - Endoscopic ultrasound-guided gallbladder drainage: Results of long-term follow
up.
AB - Background/Aim: Recently, endoscopic ultrasound-guided gallbladder drainage (EUS
GBD) has emerged using a self-expandable metallic stent (SEMS). The aim of the
study was to evaluate the long-term outcomes of this procedure. In addition, the
efficacy and safety of EUS-GBD with SEMS were assessed. Patients and Methods:
Thirteen consecutive patients who underwent EUS-GBD for acute cholecystitis
between February 2014 and September 2016 were included in this retrospective
study. EUS-GBD was performed under the guidance of EUS and fluoroscopy, through
puncturing the gallbladder with a needle, inserting a guidewire, dilating the
puncture hole, and placing a SEMS. Results: The rates of technical success,
functional success, and adverse events were 100%, 92.3% and 7.7%, respectively.
The median procedure time was 26.9 min (range 19-42 min). The median follow-up
time was 240 days (range 14-945 days) and during this follow-up period recurrence
of cholecystitis was observed in one patient (7.7%). Conclusion: EUS-GBD with a
SEMS is a possible alternative treatment for acute cholecystitis in high surgical
risk patients. Long-term outcomes after EUS-GBD were promising.
PMID- 29652029
TI - Evaluation of a modified rat model for functional dyspepsia.
AB - Background/Aim: The purpose of this study was to establish a modified rat model
with functional dyspepsia (FD) and analyze the changes in gastrointestinal
motility and brain-gut peptide levels in serum and brain-gut axis. Materials and
Methods: Male Wistar rats were divided into control group (Con) and FD model
group. FD model was established by stimulating semi-starvation rats via tail
damping, provocation, and forced exercise fatigue until gastrointestinal motility
disorder appeared, and then levels of motilin, leptin, cholecystokinin (CCK), and
vasoactive intestinal peptide (VIP) were detected in serum by enzyme linked
immunosorbent assay and in duodenum, antrum, and hypothalamus by
immunohistochemistry, reverse transcriptase-polymerase chain reaction, and
Western blot. Results: The results showed rates of intestinal propulsion and
gastric emptying slowed down markedly compared to Con (P < 0.05), the
gastrointestinal electric activity attenuated, and migrating motor complex (MMC)
interrupted in the model group. The levels of leptin and VIP markedly increased,
but motilin decreased as compared to the Con (P < 0.05) in serum and in the above
tissues. It is interesting that the level of CCK decreased in the antrum and
duodenum but increased in the hypothalamus as compared to Con (P < 0.05).
Conclusions: The modified rat model meets the diagnostic criteria of FD and can
be used as a method for studying FD in animals.
PMID- 29652031
TI - Association between patient characteristics and magnetically controlled capsule
endoscopy findings.
AB - Background/Aim: Magnetically-controlled capsule endoscopy (MCE) is a potential
option for the evaluation of gastric diseases in cases that are unsuited for
conventional endoscopy, avoiding discomfort, sedation, and related complications.
This retrospective study investigated associations between MCE findings and
patient gender, age, and inpatient/outpatient status. Patients and Methods: The
data of 580 consecutive patients who underwent MCE from 2015 to 2016 were
analyzed. Data included age, gender, indication for MCE, inpatient/outpatient
status, overall coverage of gastric anatomical landmarks, and comorbid
conditions. Results: Compared with outpatients, inpatients had a higher rate of
overall significant MCE findings (P = 0.014), polyp (P = 0.03), and ulceration (P
= 0.003). MCE findings of the inpatient men and women were similar. Considering
all patients, the percentage with ulceration was significantly higher in men than
in women (P = 0.004), and men were younger (P < 0.001). Compared with younger
patients, those aged >=60 years had significantly higher rates of overall
significant findings, mainly polyp and angiodysplasia. Conclusions: Compared with
outpatients, the inpatients showed higher overall significant findings. Men
undergoing MCE were younger than the women, and more likely to have ulcerations.
Older patients, whether outpatient or inpatient, had higher rates of significant
findings, mainly polyp and angiodysplasia.
PMID- 29652032
TI - Prevalence and clinical predictors of LPR among patients diagnosed with GERD
according to the reflux symptom index questionnaire.
AB - Background/Aims: Gastroesophageal reflux disease (GERD) is a common condition
that can lead to significant morbidity. Laryngopharyngeal reflux (LPR) is a
distinct clinical entity that can occur simultaneously with GERD, necessitating
additional treatment measures. The degree of overlap and clinical predictors of
LPR among patients with GERD remains unknown. We aim to measure the prevalence of
LPR in patients with GERD and identify clinical predictors. Patients and Methods:
We performed a cross-sectional study involving patients with confirmed GERD
according to the GERD questionnaire (GerdQ) using the reflux symptom index (RSI).
Data on demographics, comorbidities, past and current medications, and GERD
related lifestyle measures were documented. The prevalence of LPR was calculated.
Linear and logistic regression analyses were conducted to correlate GerdQ and
RSI, and to identify clinical predictors of LPR, respectively. Results: A total
of 80 patients with confirmed GERD were consecutively recruited and surveyed.
Mean age was 43 (+/-16) and 60% were females. The majority of patients were
Saudis (51%) and only 24% were smokers. The mean duration of GERD was 7 (+/-4.4)
years and the average body mass index (BMI) was 36 +/- 22. Sixty-six percent of
the patients consumed coffee on regular basis. On simple and multiple linear
regression analyses, a strong, positive correlation was observed between the
GerdQ and RSI scores (coefficient = 1.13, 95%CI = 0.39-1.86), and ipratropium
bromide inhaler was positively associated with RSI scores (coefficient = 13.12,
95%CI = 0.16-26.09). LPR was identified in 57 patients (71%). On simple and
multiple logistic regression analyses, GerdQ scores (OR = 1.78, 95%CI = 1.13
2.80), BMI (OR = 1.07, 95%CI = 1.01-1.14), duration of GERD in years (OR = 1.42,
95%CI = 1.04-1.93), and the type of gender (OR = 49.67, 95%CI = 1.32-1870)
appeared to increase the risk of LPR, whereas coffee consumption (OR = 0.0005,
95%CI = 1.82e-06, 0.13) appeared to be negatively associated with LPR.
Conclusions: Contradictory to what is frequently reported, LPR commonly occurs
and positively correlates with GERD. Several modifiable clinical predictors of
LPR might exist, which highlight the importance of performing a complete clinical
assessment of the patients with reflux symptoms.
PMID- 29652033
TI - The effect of virulence genotypes of Helicobacter pylori on eradication therapy
in children.
AB - Background/Aim: It is important to eradicate Helicobacter pylori at an early
stage in patients during childhood to potentially prevent the development of H.
pylori-related diseases. Studies have demonstrated that the virulence genotype of
H. pylori influences the efficacy of eradication therapy. The efficacy of triple
therapy has decreased significantly, which has seriously affected the clinical
outcome of children with H. pylori infection. In this study we aimed to
investigate the influence of virulence genotypes of H. pylori on triple
eradication therapy in children. Patients and Methods: H. pylori strains were
isolated from the gastric antrum mucosa in children with upper gastrointestinal
symptoms. Polymerase chain reaction (PCR) was conducted to determine the H.
pylori cagA, vacA, and iceA genotypes. All patients with H. pylori infection were
administered 14-day triple therapy. After drug withdrawal for at least 4 weeks,
the 13C-urea breath test (13C-UBT) was used to observe the therapeutic effect of
H. pylori eradication. The eradication rates were evaluated by intention-to-treat
(ITT) and per-protocol (PP) analyses. Results: A total of 107 patients were
enrolled in this study. Nine patients were lost to follow-up, and 98 patients
were administered eradication therapy. Based on ITT and PP analyses, the H.
pylori eradication rate was 64.5% (69/107) and 70.4% (69/98), respectively. Among
the successful eradication groups, the cagA-positive, vacA s1a, vacA s1c, vacA
m1, vacA m2, iceA 1, and iceA 2 genes were identified in 72.8%, 68.1%, 76.9%,
60.0%, 74.6%, 71.8%, and 75.0% of strains, respectively. Of the unsuccessful
eradication groups, the cagA-positive, vacA s1a, vacA s1c, vacA m1, vacA m2, iceA
1, and iceA 2 genes were identified in 27.2%, 31.9%, 23.1%, 40.0%, 25.4%, 28.2%,
and 25.0% of strains, respectively. No statistically significant differences were
noted in the detection rate of the H. pylori genotypes between the H. pylori
successful and unsuccessful eradication groups (P > 0.05). Conclusions: The cagA,
vacA, and iceA genotypes of H. pylori are not associated with the efficacy of
omeprazole-based triple therapy on the eradication of H. pylori infection in
children.
PMID- 29652035
TI - Preoperative biliary drainage in pancreatic head cancer patients.
PMID- 29652034
TI - Prevalence of sleep disorder in irritable bowel syndrome: A systematic review
with meta-analysis.
AB - Background/Aims: We conducted this meta-analysis to evaluate the prevalence of
sleep disorder in irritable bowel syndrome (IBS) patients and study the
association between IBS and sleep disorder. Materials and Methods: A systematic
search was conducted by searching PubMed, Embase, and Cochrane library databases
using the following search terms: "functional gastrointestinal disorders," "Sleep
disturbance," "Sleep disorder," "insomnia," "Dysomnias," "irritable bowel
syndrome," and "IBS." Studies evaluating the association between IBS and sleep
disorder were identified. Data analysis was conducted using meta-analysis
software Comprehensive Meta-Analysis (CMA) 2.0. Heterogeneity across studies was
evaluated by chi2and I2statistics. Publication bias was evaluated by funnel plot,
Begg's test, and Egger's test. Sensitivity analysis was also performed by
removing each single study separately. Results: The bibliographical search
yielded a total of 2866 studies. Finally, 36 studies including 63620 participants
were identified. The prevalence of sleep disorder in IBS was 37.6% (95% CI: 31.4%
to 44.3%) based on this meta-analysis. The pooled odds ratio was 2.618 (95% CI:
2.052% to 3.341). Publication bias was not determined. Regarding the sensitivity
analysis, the outcome was stable regardless of which study was removed.
Conclusions: The prevalence of sleep disorder was higher in IBS compared to
healthy controls and may be associated with the pathogenesis of IBS. The
prevalence of sleep disorder in IBS may differ according to different areas, age,
gender, occupation, and IBS diagnostic criteria. Further studies are needed to
investigate any possible causal relationship between sleep disorder and IBS.
PMID- 29652037
TI - Warning for cardiologists: alcohol is not suitable for cardiovascular prevention.
PMID- 29652036
TI - Clinical Effects of "Selective Drug" Regulating Vagus Nerve Signal Pathway in
Vagally-Mediated Atrial Fibrillation.
AB - BACKGROUND The cardiac autonomic nervous system plays a crucial role in genesis
and development of atrial fibrillation (AF) through the G protein signal
transduction pathway. Therefore, intervening in the G protein signal transduction
pathway may be a new "selective drug" method to regulate autonomic nerve activity
to prevent vagally-mediated AF. MATERIAL AND METHODS Seventeen adult beagles were
randomized into 3 groups: shame-operation control group (group A, n=5), empty
vector gene control group (group B, n=6), and Galphai2ctp gene experimental group
(group C, n=6). Group A was injected with normal saline into the anterior atrial
wall, and group B and group C animals were injected with recombinant adenovirus
with empty vector or Galphai2ctp vector in the same region. AF was induced by the
method of rapid atrial pacing in groups B and C. To determine the clinical effect
of vagal modulation, the effective refractory periods (ERP) and field action
potential duration (FAPD) were evaluated by electrophysiological study. The
expression levels of tyrosine hydroxylase (TH) and choline acetyl transferase
(CHAT) in different parts were determined with immunohistochemistry. RESULTS
After successful Gai2ctp gene transfer, in group B, the ERP and FAPD
significantly decreased (P<0.05), and TH and CHAT expression observably increased
(P<0.05), while those differences were absent between groups A and C (P>0.05).
CONCLUSIONS Recombinant adenovirus-mediated overexpression of Galphai2ctp in
canine myocardial cells can interfere with the activity of the vagus nerve,
reverse the development and progression of electrical remodeling, and reduce the
incidence of AF.
PMID- 29652038
TI - Analysis of novel cardiovascular biomarkers in patients with peripheral artery
disease (PAD).
AB - BACKGROUND: Peripheral artery disease (PAD) is a common form of manifestation of
atherosclerosis. PAD has a considerable impact on morbidity, hospitalisation
rates and health- care costs. Biomarkers have been introduced in many
cardiovascular disease entities over the last years. However, an analysis on the
correlation of biomarker levels and PAD is still lacking. METHODS: A total of 106
patients were enrolled in this current study, 51 that were diagnosed with PAD and
55 with excluded coronary and peripheral artery disease as controls. During
outpatient visits, plasma samples of all patients were obtained and analyzed for
sST2 (hemodynamics and inflammation), Galectin-3 (fibrosis and remodeling), GDF
15 (remodeling and inflammation), suPAR (inflammation), and Fetuin-A (vascular
calcification) by use of ELISA after informed consent. RESULTS: Compared with
controls, patients with PAD showed significantly higher levels of sST2 (5248 vs.
7503 pg/ml, p<0.001), suPAR (2267 vs. 2414 pg/ml, p=0.02), Galectin-3 (2795 vs.
4494 pg/ml, p<0.001), and GDF-15 (549 vs. 767 pg/ml, p<0.001). Fetuin-A showed a
trend towards lower levels in patients with PAD (117 vs. 100 ng/ml, p=0.119).
CONCLUSIONS: Circulating levels of sST2, suPAR, Galectin-3, and GDF-15 were
significantly elevated in PAD patients. In contrast, Fetuin-A levels showed a
decrease in PAD patients indicating increased vascular calcification. Thus, by
incorporating different pathophysiological processes present in PAD, tested novel
biomarkers facilitate a more precise diagnosis as well as a more accurate
evaluation of disease severity and progression.
PMID- 29652039
TI - Changes in interleukin-10 and apoptotic genes in rats with myocardial.
PMID- 29652040
TI - [The physical and chemical properties of the skin and the action of therapeutic
physical factors].
AB - This article discusses the importance of the physical and chemical properties of
the skin subjected to the action of the therapeutic physical factors. The author
presents the data illustrating the influence of alterations in skin permeability
on the penetration of medicinal substances into the organs and tissues of the
body under conditions of application of various physico-pharmacological methods
based on the use of chemical ingredients of peloid therapy and other
balneotherapeutic technologies. The effectiveness of skin permeability is known
to be of special importance in the context of physical therapy since it
determines the action of its individual components. The influence of skin pH
values as the parameters determining the peculiar features of percutaneous
electrophoresis of cations and anions is demonstrated. It is shown that the
reduction of electrical resistance of the skin barrier significantly improves the
electrophoretic propagation of medicinal substances; therefore, such alteration
determines, in many respects, the choice of the dosimetric parameters for
electropuncture and electro acupuncture. Special attention is given to the
discussion of the opportunities through which the variation of the skin optical
properties could be applied to enhance the efficiency of phototherapy. The need
to continue research on this problem topical for physiotherapy is emphasized.
PMID- 29652041
TI - [Alternating pneumocompression - effective physiotherapeutic method for the
prevention of venous thromboembolic complications in patients of medium and high
risk in the acute period of the stroke].
AB - BACKGROUND: the patients presenting with severe post-stroke in the acute period
of this condition are characterized by the high risk of venous thromboembolic
complications (VTEC), with the most dangerous of them being pulmonary embolism
that makes an appreciable contribution to the mortality rate of such patients at
the hospital stage of their treatment. Among the physical methods for the
prevention of VTE, such as wearing graduated compression stockings (GCS),
myoelectrostimulation (MES), pneumatic intermittent compression (PIC), only the
latter technique has been shown to be efficient when applied for the treatment of
surgical patients during the post-stroke period with the level of evidence 2B and
the very uncertain parameters of the impact. AIM: The objective of the present
study was to evaluate the advantages of the PIC in comparison with that of GCS
and MES, substantiate the choice of the former method for the management of the
high-risk post-stroke patients, and determine the impact parameters of PIC during
the peracute and acute periods of the disease. MATERIAL AND METHODS: We conducted
a series of analyses of the results of several controlled randomized studies and
relevant reviews of the literature with a view to determining the feasibility and
effectiveness of application of one or another approach and clarifying the
parameters of the exposure to GCS, MES, and PIC for the prevention of VTEC.
RESULTS AND DISCUSSION: The results of these studies gave evidence that the
effectiveness of the GCS and MES is insufficient in contrast to that of PIC that
was found to decrease the relative risk of deep vein thrombosis (DVT) by 62%
compared with placebo and by 47% in comparison with GCS. The low risk of DVT
associated with the application of PIC was apparent from the low OR value of 0.45
and the absolute reduction in the risk of DVT by 3.6%. The analysis of the
parameters being used made it possible to identify side effects and thereby
allowed to formulate the optimal method for the application of PIC for the
purpose of prevention of VTEC in the post-stroke patients during the peracute and
acute periods of the disease. CONCLUSION: Only PIC of all the currently available
methods for the physical prevention of VTEC in the group of high risk post-stroke
patients during the peracute and acute periods of this condition can be practiced
in the reliable evidence-based manner. The most rational PIC modality consists of
exerting the external pressure on the lower extremities within the first 12-24
hours after stroke with the use of the cuffs of the "lower leg" type (i.e. at a
pressure of 40-50 mm Hg in the wave mode with memorization for the multi
sectional cuffs, daily in the continuous manner throughout the daytime till the
patient is downgraded to the group at lower risk of VTEC or actually till the
discharge from the hospital.
PMID- 29652042
TI - [Motor learning of the post-stroke patients presenting with upper limb paresis on
the mechanotherapeutic system].
AB - BACKGROUND: the relevance of this study arises from the high prevalence of upper
limb motor impairment and pathological synergy in the post-stroke patients; these
conditions are very difficult to correct with the use of the traditional
rehabilitation methods. A promising but insufficiently studied approaches are the
virtual reality (VR) technology as well as its combination with other techniques.
AIM: The objective of the present study was to evaluate the influence of the
training making use of the mechanotherapeutic system on the motor function of the
paretic hand. MATERIAL AND METHODS: A total of 30 patients were enrolled in this
study. The main group comprised 20 of them who completed the training course on
the mechanotherapeutic system allowing for separate adjustment of weight support
for the shoulder and the forearm, VR feedback with individual setting of the
active working space, and augmented functional exercises. The control group
consisted of the patients (n=10) who performed the task-oriented motor training
course of an equal duration with arm weight support and visual feedback. RESULTS
AND DISCUSSION: The assessment based on the Fugl-Meyer scale (FMA) showed the
statistically significant changes in the passive motion range in the patients of
both groups, but only those comprising the main group were found to experience
the improvement of the major movements of the arm, wrist, and hand as well as
movements outside synergy (p<0.005). Fine motor skills estimated from the results
of the Action Research Arm test (ARAT) improved only in the main group due to the
cylindrical and pinch grip (p<0.005). Also, only patients of the main group,
improved daily living skills evaluated based on the Frenchay Arm test (FAT)
(p<0.005). CONCLUSION: The results of the present study give evidence that the
use of combined training with arm weight support and VR feedback contributes to a
more complete recovery of motor and daily living skills in the upper limb of post
stroke patients, compared to the classical task-oriented training with visual
feedback.
PMID- 29652043
TI - [The hardware techniques for the restoration of the gait stereotype in the
patients following total hip replacement: the personalized approach].
AB - BACKGROUND: total hip replacement has long ago become the "golden standard" for
the treatment of dysplastic coxarthrosis in thousands of the patients receiving
it every year. In the meantime, the analysis of the specialized literature gives
evidence of the lack of a systematic and personified approach to the
rehabilitation treatment. AIM: The objective of the present study was to improve
medical rehabilitation of the patients following the total hip replacement and to
develop the personalized programs for walking modality reconstruction taking into
consideration the age and the body weight of the patients. PATIENTS AND METHODS:
A total of 240 patients were available for the observation including 184 women
and 56 men. They were divided into three study groups and one control group, with
the differentiation into the following three subgroups: one comprised of the
patients of moderate acerage age and body weight, the other containing the obese
patients (BMI>35), and the third one involving the elderly patients (age >70
years); each subgroup consisted of 20 patients. All the patients received the
early basic rehabilitation treatment, those in the study groups had to perform in
addition the robotic training based on the use of hardware techniques
supplemented by passive mechanotherapy and electromyostimulation designed to
restore the walking stereotype with three types of devices: body weight
unloading, video-reconstruction associated with biological feedback and
robototherapy. RESULTS: The comparative analysis of the effectiveness of various
methods of gait reconstruction has demonstrated the high effectiveness of the
application of the hardware technique in the patients of moderate acerage age and
body weight. At the same time, the elderly patients had a significantly higher
rate of successful walking reconstruction efficiency under the influence of the
video-associated training with biological feedback (3 times that achieved with
training using the device for unloading the body weight and 4 times compared with
the result of a course of robotic walk. The evaluation of the application of the
techniques for the gait stereotype reconstruction in the obese patients gave
evidence of the advantage of the Lokomat robotic trainings that produced 6 times
better results than unloading of the body weight and 5 times better ones than the
video-associated training with biological feedback. DISCUSSION: The results of
the present study are on the whole comparable with the data reported by other
authors although its design was different from that of the majority of the
published studies in that our patients were allocated to different subgroups for
the further personalization of the methods applied to restore the gait
stereotype. The factors limiting the use of the results of this study include the
medium-high level of the patients' welfare most of whom are residents of the city
of Moscow and Moscow region characterized by a relatively high quality and
accessibility of health care. It means that the results of such studies as the
one described in the present article are directly related to the quality and
accessibility of health care and can be extrapolated only to the socially safe
and well-to-do patients. CONCLUSIONS: All the hardware techniques are equally
effective in the patients of moderate average age and body weight. The elderly
patients showed the best results using the video-reconstruction associated with
biological feedback, while the Locomat technique was especially useful for the
obese patients.
PMID- 29652044
TI - [The ultrastructure of Sertoli cells and spermatogonia in the rats exposed to
radiation under conditions of therapeutic and prophylactic application of low
intensity electromagnetic emission].
AB - BACKGROUND: it has been demonstrated in various experimental studies that
radiation exposure produces a negative impact on the processes of spermatogenesis
associated with the disturbances of the microcirculation processes in the testes
and the development of cellular and intracellular disintegration expressed as
destructive changes in the cells leading to their death. AIM: The objective of
the present study was to detect the ultrastructural abnormalities in the cells of
Sertoli and spermatogonia under conditions of their exposure to radiation and to
identify the peculiarities of their regeneration under the influence of the
therapeutic and prophylactic application of low-intensity ultra-high frequency
(UHF) electromagnetic radiation (EMR) and low-intensity low-frequency magnetic
field (MF). MATERIAL AND METHODS: The experiments were carried out on 28 non
pedigree mature male rats with the body weight 180-220 g that were divided into
four groups. The first study group was comprised of the animals exposed to
radiation followed by the application of low-intensity ultra-high frequency UHF
electromagnetic radiation EMR. The rats in the second study group experienced
effects of radiation and low-intensity low-frequency MF. The animals of the third
(control) group were exposed to radiation alone, and those comprising the fourth
group 1 (only radiation exposure) were considered to be intact. RESULTS: The
studies with the use of electron microscopy showed that the therapeutic and
prophylactic application of low-intensity ultra-high frequency (UHF)
electromagnetic radiation and low-intensity low-frequency magnetic field caused
the decrease in the number and the severity of post-radiation defects in the
treated cells together with the increase of the number and size of mitochondria
as well as hyperplasia of ribosomes; moreover, it promoted cellular and
intracellular regeneration. UHF electromagnetic radiation had a more pronounced
stimulating effect on the regeneration processes as compared with low-frequency
MF. Particularly active processes of intracellular regeneration evolved in
Sertoli cells; they were manifested as the increase in the number and size of
mitochondria, enhanced hyperplasia of ribosomes, and formation of polysomes and
new membranes of the granular endoplasmic reticulum. In spermatogonia,
intracellular regeneration was less pronounced than in the Sertoli cells but was
accompanied by enhanced cell regeneration and a greater number of reserve
stem/progenitor cells. CONCLUSIONS: The results of the present study provide a
rationale for the possibility of the application of a low-frequency magnetic
field and especially UHF electromagnetic radiation for the further development of
the promising therapeutic and preventive technologies with a view to their
introduction into routine clinical practice dealing with radiation-induced
pathology.
PMID- 29652045
TI - [The medical-recreational and balneotherapeutic regions of the Krasnoyarsk
Territory].
AB - BACKGROUND: the creation of the efficient system of the spa and health resort
based health care for the population of the entire territory of the Russian
Federation requires the systematic evaluation and protection of the natural
resources of country as a basis for the further development of the health resort
and recreation facilities in different regions. AIM: The objective of the present
study was to estimate the possibilities of expansion of the health resort and
recreational activities based on the rational exploitation of the natural
resources of the medical-recreational and balneotherapeutic areas of the
Krasnoyarsk Territory. METHODS: The inspection of the medical-recreational and
balneotherapeutic regions of the southern part of the Krasnoyarsk Territory with
the evaluation of the medicinal and raw materials resources of the spa and health
resort facilities located in this area. The comprehensive assessment of the
landscape and climatic conditions of the territory was performed in compliance
with the methodological recommendations No 96/226 "The bioclimatic passport of
the medical-recreational and balneotherapeutic region" approved by the Ministry
of Health of the Russian Federation as of 7 February 1997. The quality of water
bodies/sources was carried out in conformity with the criteria envisaged by the
methodological recommendations No 96/225 "Quality and safety control of mineral
waters based on their chemical and microbiological characteristics" approved by
the Ministry of Health of the Russian Federation as of 7 April 1997. Water typing
was performed in accordance with GOST R 54316-2011 "Natural drinking mineral
waters". RESULTS: The medical-recreational and balneotherapeutic regions in
southern part of the Krasnoyarsk Territory are notable for the great variety of
mountainous, forest and steppe landscape forms with their favorable bioclimatic
conditions and unique resources of therapeutic muds and mineral carbonic waters.
The area is characterized by the great potential for the further development of
the climatic spa and health resort facilities, and the improvement of the health
care service for both children's and adult populations based on the rational use
of the local landscape and climatic factors available at the territories occupied
by the spa and health resort facilities of the balneotherapeutic profile. The
prospects for the further expansion of medical and recreational tourism are
discussed. CONCLUSIONS: This article was designed to systematize the available
data characterizing the balneotherapeutic and recreational conditions of the
medical-recreational regions of the southern part of the Krasnoyarsk Territory
with special reference to the value of their natural resources, such as
bioclimate, mineral waters, therapeutic muds, etc., for their inclusion in the
registry of the areas possessed of the great health-improving potential based on
the rational nature use and environmental management programs.
PMID- 29652046
TI - [Hydrogalvanic baths as a method of medical rehabilitation: a literature review
and the prospects for the clinical application].
AB - The hydrogalvanic baths provide a tool for the combined application of warm fresh
water and low frequency electric current. These procedures are suitable for both
systemic and local treatments. The mechanism behind their therapeutic action is
based on synergistic effect of two therapeutic agents. This article presents the
literature and historical review of the method as well as the current concept of
hydrohalvanic therapy. The history of its development is associated with the
improvement of the technical equipment and accumulation of clinical experience.
Numerous studies carried out up to the present time have demonstrated that
hydrohalvanic therapy can be effectively applied for the treatment of diabetic
angiopathy, rheumatoid and gouty arthritis, fibromyalgia, ankylosing spondylitis.
At the same time, the high potential of this method remains underestimated. At
present, one of the most promising approaches to its clinical applications is the
treatment of lumbosacral radiculopathy caused by degenerative vertebral disk
lesions. The hydrogalvanic baths have analgesic, anti-inflammatory, and
decongestant effects, improve microcirculation, and reduce sensory impairment. It
is necessary to continue clinical investigations to obtain further evidence of
the effectiveness of the method under consideration for the treatment of
lumbosacral radiculopathy and to evaluate its short- and long-term effects. Their
results will hopefully provide an opportunity to include the hydrogalvanic baths
in the programs of medical rehabilitation of the patients presenting with
lumbosacral radiculopathy.
PMID- 29652047
TI - [Physical therapy of psoriasis. The modern methodological approaches].
AB - This article describes the modern approaches to the application of physical
factors for the treatment of various forms of psoriasis taking into consideration
the severity of clinical manifestations and the phase of the disease, the extent
of disturbances of the functional state of different organs. The principles of
prescription of physiotherapeutictreatmnt are formulated taking account of the
stages and forms of the disease. Special attention is paid to the high
therapeutic effectiveness of ultraviolet irradiation of the skin including narrow
band UVV (311 nm) as well as to photodynamic therapy (PUVA), intravenous laser
irradiation of blood, and ozone therapy. Also considered is the spa and health
resort-based treatment and peloid therapy at various stages of the pathological
process. The application of the combined techniques is described with special
reference to radon and hydrogen sulfide baths and microwave therapy in the
patients presenting with psoriatic arthritis. Much attention is given to the
effectiveness of the prescription of the spa and health resort-based treatment at
the stationary and regressive stages of psoriasis. The pathogenetic mechanisms
underlying the therapeutic action of the physical factors used for the treatment
of patients suffering from psoriasis are discussed.
PMID- 29652048
TI - [Should a doctor take into consideration the influence of climatic factors?
Deliberations on the occasion of the publication of a new monograph (the book of
essays of arid medicine by Z.R. Zununov, I.H. Nurov, and S.Z. Zununova)].
AB - The authors analyze the monograph of the Uzbek scientists professor Z.R. Zununov,
I.H. Nurov, and S.Z. Zununova "Essays of arid medicine" (Tashkent: "KAMALAK
PRESS" publishing house, 2016;540). The book presents the results of the
comprehensive bioclimatic assessment of the arid zones of Uzbekistan, their
extreme climatic conditions (such as high intensity and solar radiation and the
considerable duration of its period, dry air and summer heat, sandstorms (the so
called "Afghans"), and the great variety of the natural health-improving factors
including mineral waters, microclimate of the speleotherapeutic cave, the desert
dune sand, etc. The work is based on the authors' conceptual theory of
"arid/meteorological stress syndrome", underlain by the hypothesis of the
predominant role of hyperthermal weather hypoxia. A wide range of issues id
discussed related to weather and climate adaptation of the healthy subjects (both
indigenous and non-indigenous residents) and the patients suffering from ischemic
heart disease, chronic obstructive pulmonary disease, and rheumatoid arthritis.
Of special interest are the methods proposed for the correction of dysadaptive
changes including the application of the natural balneotherapeutic factors
existing in the arid zone (hydrogen sulphide and iodine-bromine balneotherapy,
climatic therapy, speleotherapy, and psammotherapy (arenation). An important
definitive conclusion at which the authors arrive is the necessity of the
experimental observations in agreement with the requirements of the medico
biological ethics.
PMID- 29652049
TI - [The Patriarch (in memory of prof. V.S. Ulashchik)].
AB - The Patriarch (in memory of prof. V.S. Ulashchik).
PMID- 29652050
TI - Long-term C. elegans immobilization enables high resolution developmental studies
in vivo.
AB - Live-imaging of C. elegans is essential for the study of conserved cellular
pathways (e.g. EGFR/Wnt signaling) and morphogenesis in vivo. However, the
usefulness of live imaging as a research tool has been severely limited by the
need to immobilize worms prior to and during imaging. Conventionally,
immobilization is achieved by employing both physical and chemical interventions.
These are known to significantly affect many physiological processes, and thus
limit our understanding of dynamic developmental processes. Herein we present a
novel, easy-to-use microfluidic platform for the long-term immobilization of
viable, normally developing C. elegans, compatible with image acquisition at high
resolution, thereby overcoming the limitations associated with conventional worm
immobilization. The capabilities of the platform are demonstrated through the
continuous assessment of anchor cell (AC) invasion and distal tip cell (DTC)
migration in larval C. elegans and germ cell apoptosis in adult C. elegans in
vivo for the first time.
PMID- 29652051
TI - Stable and oxidant responsive zwitterionic nanoclusters.
AB - Zwitterions are promising ligands for the fabrication of non-toxic and non
interacting biomaterials. Sulfonamide-based monothiol zwitterionic ligands on
gold nanocluster (AuNC) surfaces provide nanomaterials with stable colloidal
properties and intense red emission in biological environments. The fluorescence
intensity of the nanocluster can be modulated by reactive oxygen species (e.g.
OH), allowing for quantitative and selective sensing of antioxidants (e.g.
ascorbic acid) in real time.
PMID- 29652052
TI - Conformational control over an aldehyde fragment by selective vibrational
excitation of interchangeable remote antennas.
AB - We apply interchangeable vibrational antennas (OH or NH2 group) to achieve
unprecedented conformational control over the heavy aldehyde fragment in 2-formyl
2H-azirine. The two aldehyde conformers were manipulated bi-directionally, using
selective vibrational excitation with narrowband near-infrared (NIR) light tuned
at the wavenumbers corresponding to OH and NH2 stretching overtones and
combination modes.
PMID- 29652053
TI - The interplay of nanointerface curvature and calcium binding in weak
polyelectrolyte-coated nanoparticles.
AB - When engineering nanomaterials for application in biological systems, it is
important to understand how multivalent ions, such as calcium, affect the
structural and chemical properties of polymer-modified nanoconstructs. In this
work, a recently developed molecular theory was employed to study the effect of
surface curvature on the calcium-induced collapse of end-tethered weak
polyelectrolytes. In particular, we focused on cylindrical and spherical
nanoparticles coated with poly(acrylic acid) in the presence of different amounts
of Ca2+ ions. We describe the structural changes that grafted polyelectrolytes
undergo as a function of calcium concentration, surface curvature, and
morphology. The polymer layers collapse in aqueous solutions that contain
sufficient amounts of Ca2+ ions. This collapse, due to the formation of calcium
bridges, is not only controlled by the calcium ion concentration but also
strongly influenced by the curvature of the tethering surface. The transition
from a swollen to a collapsed layer as a function of calcium concentration
broadens and shifts to lower amounts of calcium ions as a function of the radius
of cylindrical and spherical nanoparticles. The results show how the interplay
between calcium binding and surface curvature governs the structural and
functional properties of the polymer molecules. This would directly impact the
fate of weak polyelectrolyte-coated nanoparticles in biological environments, in
which calcium levels are tightly regulated. Understanding such interplay would
also contribute to the rational design and optimization of smart interfaces with
applications in, e.g., salt-sensitive and ion-responsive materials and devices.
PMID- 29652056
TI - Poster list.
PMID- 29652059
TI - Targeted delivery of tungsten oxide nanoparticles for multifunctional anti-tumor
therapy via macrophages.
AB - Tumor-associated macrophages are highly versatile effector cells that have been
used to kill tumor cells. Herein, the macrophages as cell-based biocarriers are
used for the targeted delivery of photothermal reagents for promoting the
efficiency of killing tumor cells by activating the anti-tumor immune response
and photothermal therapy (PTT). In this design, macrophages cause the
phagocytosis of tumor cells and activate the anti-tumor immune response by
secreting plenty of cytokines. Meanwhile, to improve the tumor-killing effect and
track the collaborative therapy system in vivo, a novel nanoplatform based on
tungsten oxide (W18O49, WO) nanoparticles and fluorescent dyes loaded in
polylactic-co-glycolic acid (PLGA) for PTT has been successfully constructed.
Subsequently, the nanoparticles are swallowed by macrophages acting as cell-based
biocarriers to target the tumor and promote solid tumor ablation in vivo in
animal experiments. This system is expected to bring a huge application potential
in the visually guided dual-modal therapeutic platform for tumor targeting
therapy in vivo.
PMID- 29652060
TI - Redox deracemization of 1,3,4,9-tetrahydropyrano[3,4-b]indoles.
AB - The existing asymmetric synthesis of enantiopure alpha-substituted cyclic ethers
predominantly relies on the enantioselective C-C bond formation involving a
prochiral oxocarbenium ion intermediate. In such a strategy, enantioselectivity
and efficiency are typically susceptible to the electronic and substituent
effects of either nucleophile or electrophile partners. Here, we describe a
strategically different redox deracemization of alpha-substituted 1,3,4,9
tetrahydropyrano[3,4-b]indoles. This method exhibits good compatibility with the
regional variation of the electronic or substituent effect of substrates, thus
providing a practical and efficient supplement to the traditional strategy.
PMID- 29652061
TI - Bevacizumab and near infrared probe conjugated iron oxide nanoparticles for
vascular endothelial growth factor targeted MR and optical imaging.
AB - Vascular endothelial growth factor (VEGF) plays a pivotal role in the cascade of
development and progression of cancers. Targeting this cancer hallmark is a
logical strategy for imaging based cancer detection and monitoring the anti
angiogenesis treatment. Using Bevacizumab (Avastin(r)), which is a recombinant
humanized monoclonal antibody directly against VEGF and an angiogenesis
inhibitor, as a targeting ligand, a multimodal VEGF targeted molecular imaging
probe was developed by conjugating near infrared dye (NIR830) labeled bevacizumab
to magnetic iron oxide nanoparticles (IONP) for optical and magnetic resonance
(MR) imaging of cancers over-expressing VEGF. The targeting effect of NIR830
bevacizumab-IONPs on VEGF over-expressing cells was investigated by receptor
mediated cell uptake experiments and a blocking assay using VEGF over-expressing
4T1 breast cancer cells. Systemic administration of VEGF-targeted NIR830
bevacizumab-IONPs into mice bearing 4T1 breast tumors resulted in higher
accumulation of targeting IONPs in tumors compared to non-targeted IONPs.
Quantitative analysis of T2-weighted MRI at 48 h post-injection revealed that the
averaged percentage of signal intensity change in tumors treated with NIR830
bevacizumab-IONPs was 52.4 +/- 11.0% compared to 26.9 +/- 12.4% in controls
treated with non-targeted IONPs. The results demonstrated the feasibility and
efficacy of NIR830-bevacizumab-IONPs as a VEGF targeting dual-modality molecular
imaging probe that can be potentially used for imaging of cancers with VEGF over
expression and delivery of bevacizumab for imaging guided anti-cancer treatment.
PMID- 29652062
TI - A highly concentrated phosphate-based electrolyte for high-safety rechargeable
lithium batteries.
AB - We prepare a totally nonflammable phosphate-based electrolyte composed of 5 mol L
1 (M) Li bis(fluorosulfonyl) imide (LiFSI) in a trimethyl phosphate (TMP)
solvent. The concentrated 5 M LiFSI/TMP electrolyte shows good compatibility with
graphite and no Al corrosion. More attractively, such a concentrated electrolyte
can effectively suppress the growth of Li dendrites in Li metal batteries because
of a stable LiF-rich SEI layer. Therefore, this highly concentrated electrolyte
is promising for safe Li batteries.
PMID- 29652066
TI - Investigation of V2O5 as a low-cost rechargeable aqueous zinc ion battery
cathode.
AB - Rechargeable aqueous zinc ion batteries (ZIBs) are highly desirable for large
scale energy storage due to their advantages of safety and low-cost. Development
of advanced cathodes for use in aqueous ZIBs is urgently needed. Herein, we
report a low-cost rechargeable aqueous Zn-V2O5 cell with 3 M ZnSO4 electrolyte
that demonstrates high zinc storage capability. We also investigated the effect
of different types/concentrations of the aqueous electrolytes on the performance
of the Zn-V2O5 cells.
PMID- 29652063
TI - Genetic encoding of 2-aryl-5-carboxytetrazole-based protein photo-cross-linkers.
AB - Three gamma-heteroatom-substituted N-methylpyrroletetrazole-lysines (mPyTXKs)
were synthesized and subsequently incorporated into proteins site-specifically
via genetic code expansion. The gamma-seleno-substituted derivative, mPyTSeK,
showed excellent incorporation efficiency in Escherichia coli and allowed site
selective photo-cross-linking of the GST dimer. Furthermore, the mPyTSeK-cross
linked GST dimer can be cleaved under mild oxidative conditions. The
incorporation of mPyTXKs into proteins in mammalian cells was also demonstrated.
Lastly, the recombinantly expressed mPyTSeK-encoded Grb2 was shown to covalently
capture its interaction partner, EGFR, in mammalian cell lysate, which was
subsequently released after treatment with H2O2.
PMID- 29652068
TI - Poster list.
PMID- 29652069
TI - Silver(i) dithiocarboxylate complexes - clustering and aggregation.
AB - The neutral silver(i) dithiocarboxylate complexes silver(i) dithioacetate,
silver(i) pentafluorodithiobenzoate, silver(i) 2,4,6-trimethyldithiobenzoate,
silver(i) 2,4,6-tri-iso-propyldithiobenzoate and silver(i) 2,6-dimesityl
dithiobenzoate were synthesized and characterized by IR spectroscopy, elemental
analyses and single crystal X-ray diffraction. All complexes form primarily
dinuclear units with eight-membered rings [Ag2S4C2], which in turn aggregate by
argentophilic interactions and additional AgS contacts in their crystal
structures. The molecular structures and shapes of aggregation in the solid state
are presented and discussed. The layer-like and chain-like aggregates as well as
the [Ag] clusters formed are compared with the (almost) analogous gold(i)
complexes.
PMID- 29652071
TI - A thermostated cell for electrochemistry: minimising natural convection and
investigating the role of evaporation and radiation.
AB - An optimised thermostated electrochemical cell is designed and implemented. This
is informed by experimental and computational studies characterizing the extent
to which the thermostating of an electrochemical cell via a heated bath can be
realised, both with the cell closed and open to the environment. The heat
transfer in the system is simulated and probed experimentally; special emphasis
is put on heat loss due to radiation and evaporation. Experiments and simulations
demonstrate that these two mechanisms of heat transfer lead to a steady
temperature in the cell that differs from that of the thermostat by ~0.1 K.
Simulations indicate that spatial inhomogeneities in the stationary temperature
drive natural convective flows with a significant velocity. These new physical
insights inform the optimization of a new electrochemical cell and its
application in measurements of the impact frequency of silver nanoparticles as a
function of temperature.
PMID- 29652074
TI - Outstanding Reviewers for Soft Matter in 2017.
PMID- 29652073
TI - The iron regulatory proteins are defective in repressing translation via
exogenous 5' iron responsive elements despite their relative abundance in
leukemic cellular models.
AB - In animal cells the specific translational control of proteins contributing to
iron homeostasis is mediated by the interaction between the Iron Regulatory
Proteins (IRP1 and IRP2) and the Iron Responsive Elements (IRE) located in the
untranslated regions (UTR) of regulated messengers, such as those encoding
ferritin or the transferrin receptor. The absolute concentrations of the
components of this regulatory system in hematopoietic cells and the ability of
the endogenous IRP to regulate exogenous IRE have been measured. The IRP
concentration is in the low MUM (10-6 M) range, whereas the most abundant IRE
containing messenger RNA (mRNA), i.e. those of the ferritin subunits, do not
exceed 100 nM (10-7 M). Most other IRP mRNA targets are around or below 1 nM. The
distribution of the mRNA belonging to the cellular iron network is similar in
human leukemic cell lines and in normal cord blood progenitors, with differences
among the cellular models only associated with their different propensities to
synthesize hemoglobin. Thus, the IRP regulator is in large excess over its
presently identified regulated mRNA targets. Yet, despite this excess, endogenous
IRP poorly represses translation of transfected luciferase cDNA engineered with a
series of IRE sequences in the 5' UTR. The cellular concentrations of the central
hubs of the mammalian translational iron network will have to be included in the
description of the proliferative phenotype of leukemic cells and in assessing any
therapeutic action targeting iron provision.
PMID- 29652075
TI - Impact of TGF-beta family-related growth factors on chondrogenic differentiation
of adipose-derived stem cells isolated from lipoaspirates and infrapatellar fat
pads of osteoarthritic patients.
AB - The success of cell-based approaches for the treatment of cartilage defects
requires an optimal autologous cell source with chondrogenic differentiation
ability that maintains its differentiated properties and stability following
implantation. The objective of this study was to compare the chondrogenic
capacity of mesenchymal stem cells (MSCs) isolated from lipoaspirates (ASCs) and
the infrapatellar fat pad (IFPSCs) of osteoarthritic patients and treated with
transforming growth factor (TGF)-beta family-related growth factors. Cells were
cultured for 6 weeks in a 3D pellet culture system with the chimeric activin
A/bone morphogenic protein (BMP)-2 ligand (AB235), the chimeric nodal/BMP-2
ligand (NB260) or BMP-2. To investigate the stability of the new cartilage, ASCs
treated pellets were transplanted subcutaneously into severe combined
immunodeficiency (SCID) mice. Histological and immunohistochemical assessment
confirmed that the growth factors induced cartilage differentiation in both
isolated cell types. However, reverse transcription-quantitative PCR results
showed that ASCs presented a higher chondrogenic potential than IFPSCs. In vivo
results revealed that AB235-treated ASCs pellets were larger in size and could
form stable cartilage-like tissue as compared to NB260-treated pellets, while BMP
2-treated pellets underwent calcification. The chondrogenic induction of ASCs by
AB235 treatment was mediated by SMAD2/3 activation, as proved by
immunofluorescence analysis. The results of this study indicated that the
combination of ASCs and AB235 might lead to a cell-based cartilage regeneration
treatment.
PMID- 29652076
TI - Systematic reanalysis of genomic data improves quality of variant interpretation.
AB - As genomic sequencing expands, so does our knowledge of the link between genetic
variation and disease. Deeper catalogs of variant frequencies improve
identification of benign variants, while sequencing affected individuals reveals
disease-associated variation. Accumulation of human genetic data thus makes
reanalysis a means to maximize the benefits of clinical sequencing. We
implemented pipelines to systematically reassess sequencing data from 494
individuals with developmental disability. Reanalysis yielded pathogenic or
likely pathogenic (P/LP) variants that were not initially reported in 23
individuals, 6 described here, comprising a 16% increase in P/LP yield. We also
downgraded 3 LP and 6 variants of uncertain significance (VUS) due to updated
population frequency data. The likelihood of identifying a new P/LP variant
increased over time, as ~22% of individuals who did not receive a P/LP variant at
their original analysis subsequently did after 3 years. We show here that
reanalysis and data sharing increase the diagnostic yield and accuracy of
clinical sequencing.
PMID- 29652077
TI - The implications of cocaine use and associated behaviors on adverse
cardiovascular outcomes among veterans: Insights from the VA Clinical Assessment,
Reporting, and Tracking (CART) Program.
AB - BACKGROUND: Cocaine use has been associated with adverse cardiovascular outcomes
in patients with coronary artery disease (CAD). It is unclear whether this is due
to direct effects of cocaine or other factors. HYPOTHESIS: Cocaine use is
associated with worse outcomes in patients undergoing cardiac catheterization
METHODS: We used the Veterans Affairs database to identify veterans undergoing
coronary catheterization between 2007 and 2014. We analyzed association between
cocaine use and 1-year all-cause mortality, myocardial infarction (MI), and
cerebrovascular accident (CVA) among veterans with obstructive CAD (N = 122 035).
To explore factors contributing to these associations, we sequentially adjusted
for cardiac risk factors, risky behaviors, and clinical conditions directly
affected by cocaine. RESULTS: 3082 (2.5%) veterans were cocaine users. Cocaine
users were younger (median 58.2 vs 65.3 years; P < 0.001), more likely to be
African American (58.9% vs 10.6%; P < 0.001), and had fewer traditional cardiac
risk factors. After adjustment for cardiac risk factors, cocaine was associated
with increased risk of mortality (HR: 1.23, 95% CI: 1.08-1.39), MI (HR: 1.40, 95%
CI: 1.07-1.83), and CVA (HR: 1.88, 95% CI: 1.38-2.57). With continued adjustment,
increased CVA risk remained significantly associated with cocaine use, whereas MI
risk was mediated by risky behaviors and mortality was fully explained by
conditions directly affected by cocaine. CONCLUSIONS: Cocaine use is associated
with adverse cardiac events in veterans with CAD. Contributors to this
association are multifaceted and specific to individual cardiovascular outcomes,
including associated risky behaviors and direct effects of cocaine. Effective
intervention programs to reduce cardiac events in this population will require
multiple components addressing these factors.
PMID- 29652078
TI - Total cardiovascular events analysis of the EXAMINE trial in patients with type 2
diabetes and recent acute coronary syndrome.
AB - Alogliptin, a dipeptidyl peptidase-4 inhibitor, is approved for the treatment of
patients with type 2 diabetes (T2DM). EXAMINE was a randomized controlled
clinical trial designed to demonstrate the cardiovascular (CV) safety of
alogliptin. In the trial, 5380 patients with established T2DM who had a recent
acute coronary syndrome event (between 15 and 90 days) were randomized to
treatment with either alogliptin or placebo. To better understand and describe
the CV safety of alogliptin, we analyzed data from the EXAMINE trial to determine
whether treatment with alogliptin affected recurrent and total CV events. Poisson
regression analysis compared the total number of occurrences of CV death, MI,
stroke, unstable angina, and coronary revascularization between all patients
randomized to alogliptin vs placebo groups. Patients with recurrent CV events
were older and more likely to have renal disease and history of heart failure.
There were 1100 first CV events and an additional 666 recurrent events over a
median of 18 months of follow-up. There were no significant differences with
regard to total number of events in patients treated with alogliptin (n = 873) or
placebo (n = 893; P = 0.52). Furthermore, there were no differences in the types
of events seen in patients treated with alogliptin or placebo. Alogliptin did not
increase the risk of either first or recurrent CV events when compared with
placebo in patients with T2DM and recent acute coronary syndrome. These data
support the CV safety of alogliptin in patients who are at increased risk of
future CV events.
PMID- 29652079
TI - Meta-analysis of an artery-first approach versus standard pancreatoduodenectomy
on perioperative outcomes and survival.
AB - BACKGROUND: The aim of this systematic review and meta-analysis was to evaluate
perioperative outcomes and survival in patients undergoing an artery-first
approach to pancreatoduodenectomy in comparison with those having standard
pancreatoduodenectomy. METHODS: A systematic search of PubMed, MEDLINE, Embase
and the Cochrane Database of Systematic Reviews was performed in accordance with
PRISMA guidelines. Comparative studies including patients who underwent artery
first pancreatoduodenectomy and standard pancreatoduodenectomy were analysed.
RESULTS: Seventeen studies were included in the final analysis. There were 16
retrospective cohort or case-control studies and one RCT. A total of 1472
patients were included in the meta-analysis, of whom 771 underwent artery-first
pancreatoduodenectomy and 701 had standard pancreatoduodenectomy. Intraoperative
blood loss (mean difference -389 ml; P < 0.001) and the proportion of patients
requiring intraoperative transfusion (10.6 per cent (54 of 508) versus 40.1 per
cent (186 of 464); P < 0.001) were significantly lower in the artery-first group.
Although rates of perioperative mortality were comparable between the two groups,
perioperative morbidity (35.5 per cent (263 of 741) versus 44.3 per cent (277 of
625); P = 0.002), and the incidence of grade B/C pancreatic fistula (7.4 per cent
(26 of 353) versus 12.8 per cent (42 of 327); P = 0.031) were significantly lower
in the artery-first group. The R0 resection rate (75.8 per cent (269 of 355)
versus 67.0 per cent (280 of 418); P < 0.001) and overall survival (hazard ratio
0.72, 95 per cent c.i. 0.60 to 0.87; P < 0.001) were significantly higher in the
artery-first group. CONCLUSION: The artery-first approach to
pancreatoduodenectomy may be associated with improved perioperative outcomes and
survival.
PMID- 29652080
TI - Multicentre observational study of adherence to Sepsis Six guidelines in
emergency general surgery.
PMID- 29652081
TI - Eight-year follow-up of a randomized clinical trial comparing ultrasound-guided
foam sclerotherapy with surgical stripping of the great saphenous vein.
AB - BACKGROUND: This was an 8-year follow-up of an RCT comparing ultrasound-guided
foam sclerotherapy (UGFS) with high ligation and surgical stripping (HL/S) of the
great saphenous vein (GSV). METHODS: Patients were randomized to UGFS or HL/S of
the GSV. The primary outcome was the recurrence of symptomatic GSV reflux.
Secondary outcomes were patterns of reflux according to recurrent varices after
surgery, Clinical Etiologic Anatomic Pathophysiologic (CEAP) classification,
Venous Clinical Severity Score (VCSS) and EuroQol Five Dimensions (EQ-5DTM)
quality-of-life scores. RESULTS: Of 430 patients originally randomized (230 UGFS,
200 HL/S), 227 (52.8 per cent; 123 UGFS, 103 HL/S) were available for analysis
after 8 years. The proportion of patients free from symptomatic GSV reflux at 8
years was lower after UGFS than HL/S (55.1 versus 72.1 per cent; P = 0.024). The
rate of absence of GSV reflux, irrespective of venous symptoms, at 8 years was
33.1 and 49.7 per cent respectively (P = 0.009). More saphenofemoral junction
(SFJ) failure (65.8 versus 41.7 per cent; P = 0.001) and recurrent reflux in the
above-knee GSV (72.5 versus 20.4 per cent; P = 0.001) was evident in the UGFS
group. The VCSS was worse than preoperative scores in both groups after 8 years;
CEAP classification and EQ-5D(r) scores were similar in the two groups.
CONCLUSION: Surgical stripping had a technically better outcome in terms of
recurrence of GSV and SFJ reflux than UGFS in the long term. Long-term follow-up
suggests significant clinical progression of venous disease measured by VCSS in
both groups, but less after surgery. Registration number: NCT02304146
(http://www.clinicaltrials.gov).
PMID- 29652082
TI - Association between hospital volume and quality of gastric cancer surgery in the
CRITICS trial.
AB - BACKGROUND: Studies investigating the association between hospital volume and
quality of gastric cancer surgery are lacking. In the present study, the effect
of hospital volume on quality of gastric cancer surgery was evaluated by
analysing data from the CRITICS (ChemoRadiotherapy after Induction chemotherapy
In Cancer of the Stomach) trial. METHODS: Patients who underwent gastrectomy with
curative intent in the Netherlands were selected from the CRITICS trial database.
Annual hospital volume of participating centres was derived from the Netherlands
Cancer Registry. Hospital volume was categorized into very low (1-10
gastrectomies per year per institution), low (11-20), medium (21-30) and high (31
or more), and linked to the CRITICS database. Quality of surgery was analysed by
surgicopathological compliance (removal of at least 15 lymph nodes), surgical
compliance (removal of indicated lymph node stations) and the Maruyama Index.
Postoperative morbidity and mortality were also compared between hospital
categories. RESULTS: Between 2007 and 2015, 788 patients were included in the
CRITICS study, of whom 494 were analysed. Surgicopathological compliance was
higher (86.7 versus 50.4 per cent; P < 0.001), surgical compliance was greater
(52.9 versus 19.8 per cent; P < 0.001) and median Maruyama Index was lower (0
versus 6; P = 0.006) in high-volume hospitals compared with very low-volume
hospitals. There was no statistically significant difference in postoperative
complications or mortality between the hospital volume categories. CONCLUSION:
Surgery performed in high-volume hospitals was associated with better surgical
quality than surgery carried out in lower-volume hospitals.
PMID- 29652083
TI - Progress and future direction in the management of advanced colorectal cancer.
PMID- 29652085
TI - Advanced colorectal cancer issue.
PMID- 29652086
TI - Five-year follow-up of a randomized clinical trial comparing open surgery, foam
sclerotherapy and endovenous laser ablation for great saphenous varicose veins.
AB - BACKGROUND: New treatment methods have challenged open surgery as a treatment for
great saphenous vein (GSV) insufficiency, the most common being ultrasound-guided
foam sclerotherapy (UGFS) and endovenous laser ablation (EVLA). This study
evaluated the long-term results of surgery, EVLA and UGFS in the treatment of GSV
reflux. METHODS: Patients with symptomatic GSV reflux were randomized to undergo
either open surgery, EVLA or UGFS. The main outcome measure was the occlusion
rate of the GSV at 5 years after operation. RESULTS: The study included 196
patients treated during 2008-2010; of these, 166 (84.7 per cent) participated in
the 5-year follow-up. At 5 years, the GSV occlusion rate was 96 (95 per cent c.i.
91 to 100) per cent in the open surgery group, 89 (82 to 98) per cent after EVLA
and 51 (38 to 64) per cent after UGFS (P < 0.001). For patients who had received
no additional treatment during follow-up, the occlusion rates were 96 per cent
(46 of 48), 89 per cent (51 of 57) and 41 per cent (16 of 39) respectively. UGFS
without further GSV treatment was successful in only 16 of 59 patients (27 per
cent) at 5 years. CONCLUSION: UGFS has significantly inferior occlusion rates
compared with open surgery or EVLA, and results in additional treatments.
PMID- 29652087
TI - Expanding the clinical spectrum of biallelic ZNF335 variants.
AB - ZNF335 plays an essential role in neurogenesis and biallelic variants in ZNF335
have been identified as the cause of severe primary autosomal recessive
microcephaly in 2 unrelated families. We describe, herein, 2 additional affected
individuals with biallelic ZNF335 variants, 1 individual with a homozygous c.1399
T > C, p.(Cys467Arg) variant, and a second individual with compound heterozygous
c.2171_2173delTCT, p.(Phe724del) and c.3998A > G, p.(Glu1333Gly) variants with
the latter variant predicted to affect splicing. Whereas the first case presented
with early death and a severe phenotype characterized by anterior agyria with
prominent extra-axial spaces, absent basal ganglia, and hypoplasia of the
brainstem and cerebellum, the second case had a milder clinical presentation with
hypomyelination and otherwise preserved brain structures on MRI. Our findings
expand the clinical spectrum of ZNF335-associated microcephaly.
PMID- 29652088
TI - Non-invasive brain stimulation techniques for chronic pain.
AB - BACKGROUND: This is an updated version of the original Cochrane Review published
in 2010, Issue 9, and last updated in 2014, Issue 4. Non-invasive brain
stimulation techniques aim to induce an electrical stimulation of the brain in an
attempt to reduce chronic pain by directly altering brain activity. They include
repetitive transcranial magnetic stimulation (rTMS), cranial electrotherapy
stimulation (CES), transcranial direct current stimulation (tDCS), transcranial
random noise stimulation (tRNS) and reduced impedance non-invasive cortical
electrostimulation (RINCE). OBJECTIVES: To evaluate the efficacy of non-invasive
cortical stimulation techniques in the treatment of chronic pain. SEARCH METHODS:
For this update we searched CENTRAL, MEDLINE, Embase, CINAHL, PsycINFO, LILACS
and clinical trials registers from July 2013 to October 2017. SELECTION CRITERIA:
Randomised and quasi-randomised studies of rTMS, CES, tDCS, RINCE and tRNS if
they employed a sham stimulation control group, recruited patients over the age
of 18 years with pain of three months' duration or more, and measured pain as an
outcome. Outcomes of interest were pain intensity measured using visual analogue
scales or numerical rating scales, disability, quality of life and adverse
events. DATA COLLECTION AND ANALYSIS: Two review authors independently extracted
and verified data. Where possible we entered data into meta-analyses, excluding
studies judged as high risk of bias. We used the GRADE system to assess the
quality of evidence for core comparisons, and created three 'Summary of findings'
tables. MAIN RESULTS: We included an additional 38 trials (involving 1225
randomised participants) in this update, making a total of 94 trials in the
review (involving 2983 randomised participants). This update included a total of
42 rTMS studies, 11 CES, 36 tDCS, two RINCE and two tRNS. One study evaluated
both rTMS and tDCS. We judged only four studies as low risk of bias across all
key criteria. Using the GRADE criteria we judged the quality of evidence for each
outcome, and for all comparisons as low or very low; in large part this was due
to issues of blinding and of precision.rTMSMeta-analysis of rTMS studies versus
sham for pain intensity at short-term follow-up (0 to < 1 week postintervention),
(27 studies, involving 655 participants), demonstrated a small effect with
heterogeneity (standardised mean difference (SMD) -0.22, 95% confidence interval
(CI) -0.29 to -0.16, low-quality evidence). This equates to a 7% (95% CI 5% to
9%) reduction in pain, or a 0.40 (95% CI 0.53 to 0.32) point reduction on a 0 to
10 pain intensity scale, which does not meet the minimum clinically important
difference threshold of 15% or greater. Pre-specified subgroup analyses did not
find a difference between low-frequency stimulation (low-quality evidence) and
rTMS applied to the prefrontal cortex compared to sham for reducing pain
intensity at short-term follow-up (very low-quality evidence). High-frequency
stimulation of the motor cortex in single-dose studies was associated with a
small short-term reduction in pain intensity at short-term follow-up (low-quality
evidence, pooled n = 249, SMD -0.38 95% CI -0.49 to -0.27). This equates to a 12%
(95% CI 9% to 16%) reduction in pain, or a 0.77 (95% CI 0.55 to 0.99) point
change on a 0 to 10 pain intensity scale, which does not achieve the minimum
clinically important difference threshold of 15% or greater. The results from
multiple-dose studies were heterogeneous and there was no evidence of an effect
in this subgroup (very low-quality evidence). We did not find evidence that rTMS
improved disability. Meta-analysis of studies of rTMS versus sham for quality of
life (measured using the Fibromyalgia Impact Questionnaire (FIQ) at short-term
follow-up demonstrated a positive effect (MD -10.80 95% CI -15.04 to -6.55, low
quality evidence).CESFor CES (five studies, 270 participants) we found no
evidence of a difference between active stimulation and sham (SMD -0.24, 95% CI
0.48 to 0.01, low-quality evidence) for pain intensity. We found no evidence
relating to the effectiveness of CES on disability. One study (36 participants)
of CES versus sham for quality of life (measured using the FIQ) at short-term
follow-up demonstrated a positive effect (MD -25.05 95% CI -37.82 to -12.28, very
low-quality evidence).tDCSAnalysis of tDCS studies (27 studies, 747 participants)
showed heterogeneity and a difference between active and sham stimulation (SMD
0.43 95% CI -0.63 to -0.22, very low-quality evidence) for pain intensity. This
equates to a reduction of 0.82 (95% CI 0.42 to 1.2) points, or a percentage
change of 17% (95% CI 9% to 25%) of the control group outcome. This point
estimate meets our threshold for a minimum clinically important difference,
though the lower confidence interval is substantially below that threshold. We
found evidence of small study bias in the tDCS analyses. We did not find evidence
that tDCS improved disability. Meta-analysis of studies of tDCS versus sham for
quality of life (measured using different scales across studies) at short-term
follow-up demonstrated a positive effect (SMD 0.66 95% CI 0.21 to 1.11, low
quality evidence).Adverse eventsAll forms of non-invasive brain stimulation and
sham stimulation appear to be frequently associated with minor or transient side
effects and there were two reported incidences of seizure, both related to the
active rTMS intervention in the included studies. However many studies did not
adequately report adverse events. AUTHORS' CONCLUSIONS: There is very low-quality
evidence that single doses of high-frequency rTMS of the motor cortex and tDCS
may have short-term effects on chronic pain and quality of life but multiple
sources of bias exist that may have influenced the observed effects. We did not
find evidence that low-frequency rTMS, rTMS applied to the dorsolateral
prefrontal cortex and CES are effective for reducing pain intensity in chronic
pain. The broad conclusions of this review have not changed substantially for
this update. There remains a need for substantially larger, rigorously designed
studies, particularly of longer courses of stimulation. Future evidence may
substantially impact upon the presented results.
PMID- 29652090
TI - Erratum.
PMID- 29652089
TI - Crises and Population Health.
PMID- 29652091
TI - Hunger makes apex predators do risky things.
AB - In Focus: Blecha, K. A., Boone, R. B., & Alldredge, M. W. (2018). Hunger mediates
apex predator's risk avoidance response in wildland-urban interface. Journal of
Animal Ecology, 87, 609-622. https://doi.org/10.1111/1365-2656.12801 Puma (Puma
concolor), an apex predator, can live at the edge of cities where pockets of low
density human dwellings form residential patches in the wildland-urban interface.
Blecha, Boone, and Alldredge () tracked puma via global positioning system (GPS)
telemetry collars to determine when and where they hunted and made kills. Well
fed puma (1-2 days between kills) strongly avoided residential patches despite
these areas having higher mule deer (Odocoileus hemionus) densities and higher
kill success for puma. However, the strong avoidance of residential patches
completely disappeared as puma became hungrier (4-10 days since last kill) making
it more likely that hungry individuals hunted in residential areas and ultimately
increasing the likelihood of puma-human conflict.
PMID- 29652092
TI - Erratum.
PMID- 29652093
TI - And the winner of the inaugural Sidnie Manton Award is....
PMID- 29652094
TI - From in vivo to in vitro: How the Guatemala STD Experiments Transformed Bodies
Into Biospecimens.
AB - : Policy Points: While most scholarship regarding the US Public Health Service's
STD experiments in Guatemala during the 1940s has focused on the intentional
exposure experiments, secondary research was also conducted on biospecimens
collected from these subjects. These biospecimen experiments continued after the
Guatemala grant ended, and the specimens were used in conjunction with those from
the Tuskegee syphilis experiments for ongoing research. We argue there should be
a public accounting of whether there are still biospecimens from the Guatemala
and Tuskegee experiments held in US government biorepositories today. If such
specimens exist, they should be retired from US government research archives
because they were collected unethically as understood at the time. CONTEXT: The
US Public Health Service's Guatemala STD experiments (1946-1948) included
intentional exposure to pathogens and testing of postexposure prophylaxis methods
for syphilis, gonorrhea, and chancroid in over 1,300 soldiers, commercial sex
workers, prison inmates, and psychiatric patients. Though the experiments had
officially ended, the biospecimens collected from these subjects continued to be
used for research at least into the 1950s. METHODS: We analyzed historical
documents-including clinical and laboratory records, correspondence, final
reports, and medical records-for information relevant to these biospecimen
experiments from the US National Archives. In addition, we researched material
from past governmental investigations into the Guatemala STD experiments,
including those of the US Presidential Commission for the Study of Bioethical
Issues and the Guatemalan Comision Presidencial para el Esclarecimiento de los
Experimentos Practicados con Humanos en Guatemala. FINDINGS: Identified spinal
fluid, blood specimens, and tissue collected during the Guatemala diagnostic
methodology and intentional exposure experiments were subsequently distributed to
laboratories throughout the United States for use in ongoing research until at
least 1957. Five psychiatric patient subjects involved in these biospecimen
experiments died soon after experimental exposure to STDs. The same US government
researchers working with the Guatemala biospecimens after the exposure
experiments ended were also working with specimens taken from the Tuskegee
syphilis study. CONCLUSIONS: There should be a complete public accounting of
whether biospecimens from the Guatemala and Tuskegee experiments are held in US
government biorepositories today. If they still exist, these specimens should be
retired from such biorepositories and their future disposition determined by
stakeholders, including representatives from the communities from which they were
derived.
PMID- 29652095
TI - Decreases in neprilysin and vasoconstrictors and increases in vasodilators
following bariatric surgery.
AB - The aim of this study was to determine if weight loss following Roux-en-Y gastric
bypass (RYGB) surgery in morbidly obese patients is associated with a decrease in
plasma concentrations of neprilysin, mediators of the renin angiotensin system
(RAS), catecholamines and endothelin-1, and also with an increase in the
concentrations of vasodilators. Fasting blood samples were obtained from 15
patients with morbid obesity and diabetes prior to and 6 months after RYGB
surgery. Circulating levels of neprilysin, vasoconstrictors, vasodilators, and
the mRNA expression of related genes in circulating mononuclear cells (MNC) were
measured. Six months after RYGB surgery the concentrations of neprilysin,
angiotensinogen, angiotensin II, renin and endothelin-1 fell significantly by 27
+/-16%, 22 +/-10%, 22 +/-8%, 35 +/-13% and 17 +/-6% (P < .05 for all),
respectively, while ANP concentrations increased significantly by 24 +/-13%.
There was no significant change in aldosterone, BNP, cAMP or cGMP concentrations,
or angiotensin converting enzyme (ACE) expression. These changes may contribute
to the reduction of congestive cardiac failure and blood pressure risks after
RYGB surgery.
PMID- 29652096
TI - Rapid reconstitution of CMV-specific T-cells after stem-cell transplantation.
AB - OBJECTIVE: As reconstitution of virus-specific T-cells is critical to control
cytomegalovirus (CMV)-viremia following stem-cell transplantation (SCT), we
characterized the dynamics in CMV-specific T-cell reconstitution after SCT.
METHODS: Cytomegalovirus-specific T-cells from 51 SCT-recipients were
prospectively quantified and phenotypically characterised by intracellular
cytokine-staining after specific stimulation and HLA class-I-specific pentamers
using flow cytometry. RESULTS: Cytomegalovirus-specific CD4 T-cells reconstituted
after a median of 2.3 (IQR, 2.0-3.0) weeks following autografting, and 4.0 (IQR,
3.0-5.6) weeks after allografting, with CMV-specific T-cells originating from
donors and/or recipients. The time for reconstitution of CMV-specific CD4 and CD8
T-cells did not differ (P = .58). Factors delaying the time to initial
reconstitution of CMV-specific CD4 T-cells included a negative recipient
serostatus (P = .016) and CMV-viremia (P = .026). Percentages of CMV-specific CD4
T-cells significantly increased over time and reached a plateau after 90 days (P
= .043). Relative CMV-specific CD4 T-cell levels remained higher in long-term
transplant recipients compared with those in controls (P < .0001). However, due
to persisting lymphopenia, absolute numbers of CMV-specific T-cells were similar
as in controls. CONCLUSION: Cytomegalovirus-specific T-cells rapidly reconstitute
after SCT and their percentages remain high in the long term. In the face of
persistent lymphopenia, this results in similar absolute numbers of CMV-specific
T-cells as in controls to ensure sufficient pathogen control.
PMID- 29652097
TI - Outside-in control - does plant cell wall integrity regulate cell cycle
progression?
AB - During recent years it has become accepted that plant cell walls are not inert
objects surrounding all plant cells but are instead highly dynamic, plastic
structures. They are involved in a large number of cell biological processes and
contribute actively to plant growth, development and interaction with
environment. Therefore, it is not surprising that cellular processes can control
plant cell wall integrity (CWI) while, simultaneously, CWI can influence cellular
processes. In yeast and animal cells such a bidirectional relationship also
exists between the yeast/animal extracellular matrices and the cell cycle. In
yeast, the CWI maintenance mechanism and a dedicated plasma membrane integrity
checkpoint are mediating this relationship. Recent research has yielded insights
into the mechanism controlling plant cell wall metabolism during cytokinesis.
However, the knowledge regarding putative regulatory pathways controlling
adaptive modifications in plant cell cycle activity in response to changes in the
state of the plant cell wall are not yet identified. In this review, we summarize
similarities and differences in regulatory mechanisms coordinating extracellular
matrices and cell cycle activity in animal and yeast cells, discuss the available
evidence supporting the existence of such a mechanism in plants and suggest that
the plant CWI maintenance mechanism might also control cell cycle activity in
plant cells.
PMID- 29652098
TI - Monitoring progress towards the first UNAIDS target: understanding the impact of
people living with HIV who re-test during HIV-testing campaigns in rural
Mozambique.
AB - INTRODUCTION: Awareness of HIV-infection goes beyond diagnosis, and encompasses
understanding, acceptance, disclosure and initiation of the HIV-care. We aimed to
characterize the HIV-positive population that underwent repeat HIV-testing
without disclosing their serostatus and the impact on estimates of the first
UNAIDS 90 target. METHODS: This analysis was nested in a prospective cohort
established in southern Mozambique which conducted three HIV-testing modalities:
voluntary counselling and testing (VCT), provider-initiated counselling and
testing (PICT) and home-based testing (HBT). Participants were given the
opportunity to self-report their status to lay counsellors and HIV-positive
diagnoses were verified for previous enrolment in care. This study included 1955
individuals diagnosed with HIV through VCT/PICT and 11,746 participants of a HBT
campaign. Those who did not report their serostatus prior to testing, and were
found to have a previous HIV-diagnosis, were defined as non-disclosures. Venue
stratified descriptive analyses were performed and factors associated with non
disclosure were estimated through log-binomial regression. RESULTS: In the first
round of 2500 adults randomized for HBT, 1725 were eligible for testing and 18.7%
self-reported their HIV-positivity. Of those tested with a positive result, 38.9%
were found to be non-disclosures. Similar prevalence of non-disclosures was found
in clinical-testing modalities, 29.4% (95% CI 26.7 to 32.3) for PICT strategy and
13.0% (95% CI 10.9 to 15.3) for VCT. Prior history of missed visits (adjusted
prevalence ratio (APR) 4.2, 95% CI 2.6 to 6.8), younger age (APR 2.5, 95% CI 1.4
to 4.4) and no prior history of treatment ((APR) 1.4, 95% CI 1.0 to 2.1) were
significantly associated with non-disclosure as compared to patients who self
reported. When considering non-disclosures as people living with HIV (PLWHIV)
aware of their HIV-status, the proportion of PLWHIV aware increased from 78.3%
(95% CI 74.2 to 81.6) to 86.8% (95% CI 83.4 to 89.6). CONCLUSION: More than one
third of individuals testing HIV-positive did not disclose their previous
positive HIV-diagnosis to counsellors. This proportion varied according to
testing modality and age. In the absence of an efficient and non-anonymous
tracking system for HIV-testers, repeat testing of non-disclosures leads to
wasted resources and may distort programmatic indicators. Developing
interventions that ensure appropriate psychosocial support are needed to
encourage this population to disclose their status and optimize scarce resources.
PMID- 29652099
TI - A novel dynamic opto-thermo-mechanical stress testing device 1: Design of the
device.
AB - This article presents a dynamic opto-thermo-mechanical stress testing device to
characterize fiber properties. The device has multi-modes and consists of many
functions. These modes include stretching, bending, rotating, twisting, and
heating processes. Every process can be controlled by micro-controller unit via
software programs specially designed for this purpose. The micro-controller unit
can execute two different processes at the same time. Such as, dynamic stretching
process under the effect of thermal treatment, dynamic stretching process and
relaxation, bending process under the effect of thermal treatment, and so forth.
Software programs with their flow charts are designed for the application of
these processes. The advantage of this device is that it can be done statically
and dynamically to characterize all types of fibers (polymer and optical). The
device is designed to be attached with two-beam polarizing interference
microscope to investigate the dynamic opto-thermo-mechanical properties of the
tested fiber under the effect of different applied stresses. Isotactic
polypropylene, iPP, fiber is used for some applications of this device, as
examples, in stretching, rotation, and twisting modes. Interferograms and graphs
are given for illustration.
PMID- 29652101
TI - Switching from sitagliptin to liraglutide to manage patients with type 2 diabetes
in the UK: A long-term cost-effectiveness analysis.
AB - AIMS: The recent LIRA-SWITCH trial showed that switching from sitagliptin 100 mg
to liraglutide 1.8 mg led to statistically significant and clinically relevant
improvements in glycated haemoglobin (HbA1C) and body mass index (BMI). Based on
these findings, the aim of the present study was to assess the long-term cost
effectiveness of switching from sitagliptin to liraglutide in patients with type
2 diabetes in the UK. MATERIALS AND METHODS: The IQVIA CORE Diabetes Model
Version 8.5+ was used to project costs and clinical outcomes over patients'
lifetimes. Baseline cohort characteristics and treatment effects were derived
from the LIRA-SWITCH trial. Future costs and clinical benefits were discounted at
3.5% annually. Costs were accounted in pounds sterling (GBP) and expressed in
2016 values. One-way and probabilistic sensitivity analyses were performed.
RESULTS: Model projections showed improved quality-adjusted life expectancy for
patients with poorly controlled HbA1c upon switching from sitagliptin to
liraglutide, compared with continuing sitagliptin treatment (9.18 vs 9.02 quality
adjusted life years [QALYs]). Treatment switching was associated with increased
overall costs (GBP 24737 vs GBP 22362). Higher pharmacy costs were partially
offset by reduced diabetes-related complication costs in patients who switched to
liraglutide. Switching to liraglutide was associated with an incremental cost
effectiveness ratio of GBP 15423 per QALY gained vs continuing with sitagliptin
treatment. CONCLUSIONS: Switching from sitagliptin 100 mg to liraglutide 1.8 mg
in patients with poor glycaemic control was projected to improve clinical
outcomes and is likely to be considered cost-effective in the UK setting and,
therefore, a good use of limited NHS resources.
PMID- 29652100
TI - How should HIV resources be allocated? Lessons learnt from applying Optima HIV in
23 countries.
AB - INTRODUCTION: With limited funds available, meeting global health targets
requires countries to both mobilize and prioritize their health spending. Within
this context, countries have recognized the importance of allocating funds for
HIV as efficiently as possible to maximize impact. Over the past six years, the
governments of 23 countries in Africa, Asia, Eastern Europe and Latin America
have used the Optima HIV tool to estimate the optimal allocation of HIV
resources. METHODS: Each study commenced with a request by the national
government for technical assistance in conducting an HIV allocative efficiency
study using Optima HIV. Each study team validated the required data, calibrated
the Optima HIV epidemic model to produce HIV epidemic projections, agreed on cost
functions for interventions, and used the model to calculate the optimal
allocation of available funds to best address national strategic plan targets.
From a review and analysis of these 23 country studies, we extract common themes
around the optimal allocation of HIV funding in different epidemiological
contexts. RESULTS AND DISCUSSION: The optimal distribution of HIV resources
depends on the amount of funding available and the characteristics of each
country's epidemic, response and targets. Universally, the modelling results
indicated that scaling up treatment coverage is an efficient use of resources.
There is scope for efficiency gains by targeting the HIV response towards the
populations and geographical regions where HIV incidence is highest. Across a
range of countries, the model results indicate that a more efficient allocation
of HIV resources could reduce cumulative new HIV infections by an average of 18%
over the years to 2020 and 25% over the years to 2030, along with an
approximately 25% reduction in deaths for both timelines. However, in most
countries this would still not be sufficient to meet the targets of the national
strategic plan, with modelling results indicating that budget increases of up to
185% would be required. CONCLUSIONS: Greater epidemiological impact would be
possible through better targeting of existing resources, but additional resources
would still be required to meet targets. Allocative efficiency models have proven
valuable in improving the HIV planning and budgeting process.
PMID- 29652102
TI - Drought reduces floral resources for pollinators.
AB - Climate change is predicted to result in increased occurrence and intensity of
drought in many regions worldwide. By increasing plant physiological stress,
drought is likely to affect the floral resources (flowers, nectar and pollen)
that are available to pollinators. However, little is known about impacts of
drought at the community level, nor whether plant community functional
composition influences these impacts. To address these knowledge gaps, we
investigated the impacts of drought on floral resources in calcareous grassland.
Drought was simulated using rain shelters and the impacts were explored at
multiple scales and on four different experimental plant communities varying in
functional trait composition. First, we investigated the effects of drought on
nectar production of three common wildflower species (Lathyrus pratensis,
Onobrychis viciifolia and Prunella vulgaris). In the drought treatment, L.
pratensis and P. vulgaris had a lower proportion of flowers containing nectar and
O. viciifolia had fewer flowers per raceme. Second, we measured the effects of
drought on the diversity and abundance of floral resources across plant
communities. Drought reduced the abundance of floral units for all plant
communities, irrespective of functional composition, and reduced floral species
richness for two of the communities. Functional diversity did not confer greater
resistance to drought in terms of maintaining floral resources, probably because
the effects of drought were ubiquitous across component plant communities. The
findings indicate that drought has a substantial impact on the availability of
floral resources in calcareous grassland, which will have consequences for
pollinator behaviour and populations.
PMID- 29652103
TI - Ten-year follow-up study of Japanese patients with obsessive-compulsive disorder.
AB - AIM: Obsessive-compulsive disorder (OCD) is a well-known chronic illness. This
study retrospectively investigated 10-year outcomes and associated clinical
factors in Japanese OCD patients. We focused on the impact of several
sociocultural factors, including medical expenses and insurance systems specific
to each country, on the differences or biases in follow-up procedures of OCD.
METHODS: Seventy-nine patients diagnosed with OCD who received a standardized
combination of treatments for 10 continuous years were divided into three groups
according to their improvement rates on the Yale-Brown Obsessive-Compulsive Scale
after 10 years of treatment. RESULTS: A survival analysis revealed that the rate
of patients achieving full remission increased every year. Following 10 years of
treatment, 56% of OCD patients experienced 'full remission' for at least 1 year.
Consequently, 48% exhibited full remission, and 37% exhibited partial remission
at the end-point of this study. We identified several factors that were
predictive of poorer outcomes, including lower Global Assessment of Functioning
Scale scores and the presence of hoarding symptoms or involvement behaviors. In
addition, improvement rates after 1 year significantly predicted better 10-year
outcomes. CONCLUSION: Our findings highlight the transcultural nature of long
term outcomes of OCD treatment, which appear to be independent of sociocultural
differences.
PMID- 29652104
TI - The influence of lead nanoparticles on the morpho-functional changes of rat liver
during the postexposure period.
AB - Lead as any heavy metals may be found in soil, water, air, and is used in
everyday life. Once in the body, it causes toxic effect, making the liver, which
is one of the main organs of detoxification, suffer. Recently, the study of the
action of not only ionic forms of lead, but also its nanoparticles, has become
topical. The study aims at determining changes in the liver of rats and
biochemical changes in their blood both at late term of exposure to nanoparticles
of lead compounds and in the post-exposure period. The study was performed on 120
male rats of Wistar line, which were divided into two series, each series
containing four groups. The first and the second groups of animals were
intraperitoneally injected with colloidal solution of nanoparticles of lead
sulfide of 10 and 30 nm in size, and the third group were intraperitoneally
injected with a solution of lead nitrate. The fourth group of animals served as
control. In the first series, the investigated substances were administered 60
times within 12 weeks. In the second series, after 60-fold administration of the
investigated substances, the exposure was discontibued and animals were observed
for 6 weeks-overall duration of 18 weeks. Histological, morphometrical and
biochemical methods were used. The body weight was reduced in the rats exposed to
PbSnano1 at week 12 of experiment and in rats exposed to both PbSnano1 and Pb(NO3
)2 in the second series. Absolute liver weight increased at week 12 of experiment
in all experimental groups. In the second series this value almost reached that
of the control level. Relative liver weight in the animals of all experimental
groups was higher than that in the control at week 12 of experiment. In the
second series this value remained higher in rats exposed to PbSnano1 . After 12
weeks of exposure dystrophic changes in the liver were found in all experimental
groups. At week 6 after the exposure (the second series) destructive changes in
the liver decreased. Total protein, albumin, glucose, total lipids, cholesterol,
triglycerides content in blood serum corresponded with morphological data. The
experiment has demonstrated that the 12 weeks long exposure to lead nanoparticles
had harmful effect on the liver. Within the postexposure 6-weeks period
structural changes in the liver and biochemical changes in blood serum decreased.
Biochemical changes in blood serum corresponded to the morphological data. By
many parameters PbSnano1 had more pronounced harmful effect. Toxicity of PbSnano2
and Pb(NO3 )2 were comparable.
PMID- 29652105
TI - Associations between problematic Internet use and psychiatric symptoms among
university students in Japan.
AB - AIM: Research on the adverse effects of Internet use has gained importance
recently. However, there is currently insufficient data on Japanese young adults'
Internet use, so we conducted a survey targeting Japanese university students to
research problematic Internet use (PIU). We also investigated the relationship
between PIU and multiple psychiatric symptoms. METHODS: A paper-based survey was
conducted at five universities in Japan. Respondents were asked to fill out self
report scales regarding their Internet dependency using the Internet Addiction
Test (IAT). Sleep quality, attention-deficit hyperactivity disorder (ADHD)
tendency, depression, and anxiety symptom data were also collected based on
respective self-reports. RESULTS: There were 1336 responses and 1258 were
included in the analysis. The mean IAT score (+/- SD) was 37.87 +/- 12.59; and
38.2% of participants were classified as PIU, and 61.8% as non-PIU. The trend
level for young women showed that they were more likely to be classified as PIU
than young men (40.6% and 35.2% respectively, P = 0.05). Compared to the non-PIU
group, the PIU group used the Internet longer (P < 0.001), had significantly
lower sleep quality (P < 0.001), had stronger ADHD tendencies (P < 0.001), had
higher Depression scores (P < 0.001), and had higher Trait-Anxiety scores (P <
0.001). Based on multiple logistic regression analyses, the factors that
contributed to an increased risk of PIU were: being female (odds ratio [OR] =
1.52), being older (OR = 1.17), having poor sleep quality (OR = 1.52), having
ADHD tendencies (OR = 2.70), having depression (OR = 2.24), and having anxiety
tendencies (OR = 1.43). CONCLUSION: We found a high PIU prevalence among Japanese
young adults. The factors that predicted PIU were: female sex, older age, poor
sleep quality, ADHD tendencies, depression, and anxiety.
PMID- 29652106
TI - Anxious distress in monopolar and bipolar depression: Clinical characteristics
and relation with mixed depression in Japan.
PMID- 29652107
TI - Developing a Dental Scrubs Ceremony to define professional identity and teach
professionalism to dental undergraduates; lessons learned.
AB - INTRODUCTION: The UK General Dental Council stipulates that professionalism is a
key aspect of the teaching and training of dental students. However, dental
educators highlight that teaching dental student's professionalism can be
challenging and students often rate this teaching activity negatively. This
article documents a teaching initiative at one UK Dental School that aimed to
strengthen the professionalism curriculum by introducing a Dental Scrubs Ceremony
for second-year students. MATERIALS AND METHOD: The Dental Scrubs Ceremony is
inspired by the White Coat Ceremonies that medical students have in universities
in North America. It marks the students' first step in their professional and
clinical development, with the signing of a pledge of conduct and public
presentation of dental scrubs to each student. We report student feedback
questionnaire data over a three-year period together with reflections on the
merits and limitations of this alternative approach to teaching professionalism.
RESULTS: Student evaluation data show that the perceived usefulness and
worthwhileness of a Dental Scrubs Ceremony have grown since its inception in
2015. Most students enjoyed the symbolism of the ceremony, receiving their dental
scrubs in a formal event. However, there was mixed evaluation for its
effectiveness to teach professionalism. CONCLUSION: A Dental Scrubs Ceremony is a
useful addition to the formal curriculum of professionalism in a dental school.
It provides dental students with an opportunity to be inducted into the dental
profession as novice dental students starting out on their professional career.
PMID- 29652108
TI - Skeletal muscle-specific overexpression of heat shock protein 72 improves
skeletal muscle insulin-stimulated glucose uptake but does not alter whole body
metabolism.
AB - AIMS: The induction of heat shock protein 72 (Hsp72) via heating, genetic
manipulation or pharmacological activation is metabolically protective in the
setting of obesity-induced insulin resistance across mammalian species. In this
study, we set out to determine whether the overexpression of Hsp72, specifically
in skeletal muscle, can protect against high-fat diet (HFD)-induced obesity and
insulin resistance. MATERIALS AND METHODS: An Adeno-Associated Viral vector
(AAV), designed to overexpress Hsp72 in skeletal muscle only, was used to study
the effects of increasing Hsp72 levels on various metabolic parameters. Two
studies were conducted, the first with direct intramuscular (IM) injection of the
AAV:Hsp72 into the tibialis anterior hind-limb muscle and the second with a
systemic injection to enable body-wide skeletal muscle transduction. RESULTS: IM
injection of the AAV:Hsp72 significantly improved skeletal muscle insulin
stimulated glucose clearance in treated hind-limb muscles, as compared with
untreated muscles of the contralateral leg when mice were fed an HFD. Despite
this finding, systemic administration of AAV:Hsp72 did not improve body
composition parameters such as body weight, fat mass or percentage body fat, nor
did it lead to an improvement in fasting glucose levels or glucose tolerance.
Furthermore, no differences were observed for other metabolic parameters such as
whole-body oxygen consumption, energy expenditure or physical activity levels.
CONCLUSIONS: At the levels of Hsp72 over-expression reported herein, skeletal
muscle-specific Hsp72 overexpression via IM injection has the capacity to
increase insulin-stimulated glucose clearance in this muscle. However, upon
systemic injection, which results in lower muscle Hsp72 overexpression, no
beneficial effects on whole-body metabolism are observed.
PMID- 29652109
TI - An aspirational diet for dialysis patients: Evidence and theory.
AB - Dialytic renal replacement therapy is now entering into its sixth decade in the
United States. Despite major advances in dialysis technology, regimens and
protocols, and pharmacological treatments, patients' restricted dietary intake
remains the lynchpin to their well-being and success. Herein, we review current
guidelines that limit dietary intake of nutrients and minerals in dialysis
patients and explore potential ways to use them in designing a daily food intake
that is not only healthy, but also easy to implement and therefore patient
friendly. The main theme behind this review is attempting to emphasize that
dietary restriction guidelines can be used in such a way that dialysis patients
can eat things they really enjoy as long as they follow some relatively simple
general rules.
PMID- 29652110
TI - Intranasal glucagon for hypoglycaemia in diabetic patients. An old dream is
becoming reality?
AB - In 1983 it was shown that glucagon administered intranasally (IN) was absorbed
through the nasal mucosa and increased blood glucose in healthy subjects. Shortly
thereafter, it was shown that IN glucagon counteracts with hypoglycaemia in
insulin-treated diabetic patients. In spite of this evidence, IN glucagon was not
developed by any pharmaceutical company before 2010, when renewed interest led to
intensive evaluation of a possible remedy for hypoglycaemia in insulin-treated
diabetic adults and children. IN glucagon is now being developed as a needle-free
device that delivers glucagon powder for treatment of severe hypoglycaemia; the
ease of using this device stands in stark contrast to the difficulties
encountered in use of the current intramuscular glucagon emergency kits. Studies
have demonstrated the efficacy, safety and ease-of-use of this IN glucagon
preparation, and suggest IN glucagon as a promising alternative to injectable
glucagon for treating severe hypoglycaemia in children and adults who use
insulin. This would meet the unmet medical need for an easily administered
glucagon preparation.
PMID- 29652111
TI - Actual diagnosis of Helicobacter pylori infection.
AB - Helicobacter pylori colonizes the stomach of half of humanity. The prevalence of
this infection is higher among people of low socioeconomic status and from
developing countries. In the absence of effective antibiotic treatment, the
infection persists decades or even lifetime. The diagnosis of H. pylori can be
made by invasive methods when biopsies are obtained: culture, histological
examination, polymerase chain reaction or rapid urease test. Non-invasive methods
have been developed, including the urea breath test, a test of high reliability,
particularly suitable for post-treatment monitoring, but also the fecal antigen
test, as well as the detection of antibodies in the serum. Culture is important
for studying sensitivity to antibiotics, in particular clarithromycin and
fluoroquinolones. The present paper presents the main diagnostic tests available
today for a modern diagnosis of this bacterial infection discovered over thirty
years ago.
PMID- 29652112
TI - Mucopexy-recto anal lifting: a standardized minimally invasive method of managing
symptomatic hemorrhoids, with an innovative suturing technique and the HemorPex
System(r).
AB - BACKGROUND: Conservative surgery of hemorrhoidal disease is less painful than
traditional hemorrhoidectomy, and mucopexy has less risk of serious postoperative
complications than stapled hemorrhoidopexy. The aim of this study was to evaluate
the safety and effectiveness of a standardized, modified hemorrhoidopexy, named
Mucopexy-Recto Anal Lifting (MuRAL) with the HemorPex System (HPS) in patients
with symptomatic III and IV degree hemorrhoids. METHODS: Patients were enrolled
from May 2013 to Dec 2015 and operated on with the MuRAL technique, based on
arterial ligation and mucopexy at 6 locations, using a standardized
clockwise/anti-clockwise rotation sequence of the HPS anoscope. Follow-up
controls were carried out by independent observers, as follows: a digital
exploration 3 weeks after the intervention, digital exploration plus proctoscopy
at 3 and 12 months and repeated at a 12 months interval. Patients who did not
strictly follow the postoperative controls were excluded from the study. Primary
outcome measurement was the recurrence rate. Secondary measurements were:
operative time, hospital stay, postoperative pain, postoperative symptoms and
satisfaction score. RESULTS: We operated on 126 patients (72 males, mean age
53.9, range 29-83): 87 (69.6%) with III degree and 39 with IV degree hemorrhoids;
13 patients had a MuRAL as a revisional procedure of a previous operation for
hemorrhoids. Mean duration of follow-up was 554 days (range 281-1219). Four
patients were excluded from the study. One-year recurrence rate was 4.1%. The
mean duration of the intervention was 29.5 minutes (range 23-60) and 92 patients
(73%) were discharged during the same day of the operation. Pain VAS Score in the
first, second and third postoperative day was 3.9, 2.5, and 1.9, respectively.
Twenty-two patients (18%), all submitted to spinal anesthesia, had postoperative
acute urinary retention. Fecal urgency, observed in 18.8% of patients at the
first control, disappeared within one year after the operation. Mean time to
return to normal activity was 8 days (range 5 -10). The patient satisfaction
scores at one-year follow up were 31.1% excellent, 57.4% good, 7.4% fairly good
and 4.1% poor. In patients with III degree hemorrhoids operative time was
significantly shorter, postoperative pain better and transient fecal urgency
lower than in IV degree patients. In our experience the standardization of MuRAL
operation with HPS, turned out to be a safe and effective minimally invasive
approach in managing symptomatic III and IV degree hemorrhoids, avoiding the risk
of severe complications, with the possibility to perform a redo-MuRAL in the
event of recurrence. CONCLUSIONS: In our series up to 88% of the patients
reported a good, or excellent one-year satisfaction score. Further comparative
randomized studies with longer follow-up period are needed.
PMID- 29652113
TI - Neutrophil to lymphocyte ratio predicts risk of nodal involvement in T1
colorectal cancer patients.
AB - BACKGROUND: Risk of nodal involvement in T1 colorectal cancer is assessed by
tumor histological features. In several tumors, the ratio between neutrophils and
lymphocytes (NLR) or platelets and lymphocytes (PLR) have been applied to lymph
node metastases prediction. The aim of this study was to evaluate the role of
NLR, derived NLR (dNLR) and PLR in predicting nodal involvement in T1 colorectal
cancers. METHODS: NLR, dNLR and PLR in surgical resected T1 colorectal cancers
were retrospectively calculated and analysed in nodal positive and negative
cases. RESULTS: Data regarding 102 patients were considered. Nodal involvement
rate was 10.8%. NLR values were higher in node positive patients (P=0.04). A
trend toward significance (P=0.05) was found for higher dNLR values and positive
nodal status. For NLR, ROC curve analysis allowed to choose a predictive cut-off
value of 3.7 (AUC of 0.69; 95% CI: 0.48-0.89). Nodal positivity was reported in
71.5% of high NLR patients; only two N0 cases (28.5%) were registered in high NLR
group (P<0.001). The logistic regression analysis aimed to evidence the
predictive role of high NLR in node positivity resulted in a significant OR of
37.1 (P<0.0001; 95% CI: 0.48-0.89). NLR allowed to distinguish N0 from N1
patients in 99.4% of cases. CONCLUSIONS: NLR<3.7 was associated with lower risk
of lymph-node metastases in T1 colorectal cancer patients. NLR could be used with
histopathological data to identify patients at lower risk of nodal metastases.
PMID- 29652114
TI - Associations between vitamin D levels and polycystic ovary syndrome (PCOS)
phenotypes.
AB - BACKGROUND: Studies comparing serum 25-hydroxyvitamin D concentrations in women
with and without PCOS have produced inconsistent results. Additionally, no
previous studies have evaluated associations between vitamin D and specific PCOS
phenotypes. METHODS: This case-control study was conducted among women undergoing
intrauterine insemination. Cases (n=137) were diagnosed with PCOS and then
further classified into 3 diagnostic phenotypes based on combinations of the
Rotterdam criteria [ovulatory dysfunction +polycystic ovaries (n=55); ovulatory
dysfunction +androgen excess (n=15); and ovulatory dysfunction, +polycystic
ovaries, +androgen excess (n=67)]. Controls (n=103) were ovulatory women without
PCOS who were undergoing IUI. Serum total 25-hydroxyvitamin D concentrations were
categorized as deficient (<=20 ng/ml), insufficient (21-29 ng/ml), and sufficient
(>=30 ng/ml). Prevalence odds ratios (PORs) were calculated using logistic
regression. RESULTS: A higher proportion (59.9%) of PCOS cases lacked sufficient
vitamin D levels compared to controls (47.6%; p-value=0.06). The odds of vitamin
D deficiency in all PCOS cases were twice that of controls (POR=2.03, 95% CI 0.97
4.26); however, the association was attenuated after adjusting for body mass
index (BMI) and race/ethnicity (adjPOR=1.43,95% CI 0.62, 3.26). When examining
PCOS phenotypes exhibiting androgen excess, crude associations were observed for
deficient vitamin D levels (unadjPOR=2.93, 95% CI: 1.27, 6.77); however, the
association decreased after adjustment for BMI and race/ethnicity (adjPOR=2.03,
95% CI: 0.79, 5.19). CONCLUSIONS: Vitamin D deficiency occurred more frequently
in PCOS cases with androgen excess, but associations were attenuated after
adjusting for BMI and race/ethnicity. Combining etiologically distinct PCOS
subgroups may obscure associations with lower vitamin D levels and other
potential risk factors.
PMID- 29652115
TI - Analysis on influencing factors of abnormal renal function in elderly patients
with type 2 diabetes mellitus.
AB - BACKGROUND: To investigate the related influencing factors of abnormal renal
function in elderly in patients with type 2 diabetes mellitus (T2DM) and their
clinical significance. METHODS: The clinical data of elderly T2DM patients
hospitalized in Beijing Luhe Hospital from January 2013 to June2016 were
retrospectively analyzed. According to their glomerular filtration rate (GFR)
levels, these patients were divided into GFR >=90 mL/min/1.73m2 group (Group A),
GFR =60-90 mL/min/1.73m2 group (Group B), and GFR <60 mL/min/1.73m2 group (Group
C, i.e., abnormal renal function group). Clinical and laboratory indicators were
compared among each group. RESULTS: A total of 614 elderly T2DM patients were
collected and divided into Group A (n=186), Group B (n=280) and Group C (n=148,
24.10%). Among them, patients clinically diagnosed with diabetic nephropathy (DN)
accounted for 13.68%, and those complicated with high blood pressure (HBP)
accounted for 61.40%. In Group C, DN accounted for only 29.73%. In elderly T2DM
patients, HBP course, systolic blood pressure (SBP), diastolic blood pressure
(DBP), 2h postprandial blood glucose (2hPBG), serum total cholesterol (TC) and
blood uric acid (BUA) were independent influencing factors associated with
abnormal renal function, among which HBP had a more significant impact on
abnormal renal function. With the increase of blood pressure (BP) level, the
extension in the course of DM, the increase in urinary albumin/creatinine
(Alb/Cr) and the decrease in GFR, the incidence rate of abnormal renal function
was increased. CONCLUSIONS: HBP course, SBP, DBP, 2hPBG, TC and BUA are
independent risk factors for abnormal renal function in elderly patients with
T2DM. Well-controlled BP and blood glucose are protective factors, and a
comprehensive treatment targeting to the above influencing factors has important
clinical significance in preventing and delaying the occurrence and development
of abnormal renal function.
PMID- 29652116
TI - 'Left out in the Cold: Village Women and Agricultural Labour in England and Wales
during the First World War'.
AB - The Women's Land Army (WLA), formed in 1917, has featured prominently in recent
academic and popular account of First World War Britain. This interest reflects
the attention the WLA drew from politicians, government reporters and
contemporary commentators during and immediately after the war itself. Yet, the
WLA, which at its peak had 16,000 women working on the land, was just one strand
of wartime female agricultural labour, an auxiliary to the thousands of village
women who worked throughout the war. Whilst the WLA received numerous plaudits
for their participation, village women were 'left out in the cold', as one
correspondent to The Times put it, in recognition of their wartime service. This
article will place the rural woman worker back to centre stage. It will revisit
the often-contradictory wartime estimates of the number of women working in
agriculture in England and Wales before moving on to examine how regional farming
structures and seasonal demands for labour shaped the use of women workers. It
will show that even at the very local level, here utilizing records from the
Bedfordshire Women's War Agricultural Committee, demand and supply issues
produced a fractured pattern. It will show that concentrating exclusively on the
WLA leads to a distorted picture of women's work on the land during the First
World War.
PMID- 29652117
TI - Ocular surface disease incidence in patients with open-angle glaucoma.
AB - Introduction: Ocular surface disease (OSD) is a multifactorial disease of the
tears and ocular surface thatresults in symptoms of discomfort, visual
disturbances, tear film instability with potential damage to theocular surface,
accompanied by increased tear film osmolarity and inflammation of the ocular
surface. It isa consequence of disrupted homeostasis of lacrimal functional unit.
The main pathogenetic mechanismstems from tear hyperosmolarity and tear film
instability. The etiological classification is hyposecretory(Sy-Sjogren and non
Sjogren) and evaporative (extrinsic and intrinsic) form. Delphi panel
classificationgrades disease stages. Antiglaucoma topical therapy causes
exacerbation or occurrence of symptomsof dry eye due to main ingredients or
preservatives (benzalkonium chloride - BAK), which are dose- andtime-dependent.
BAK reduces the stability of the lipid layer of tears, the number of goblet
cells, inducesapoptosis and inflammatory infiltration. Objective: The aim of this
study was the analysis of the OSD incidence in open-angle glaucoma patientscaused
by topical medicamentous therapy. Methods: Retrospective analysis of examined
patients with open-angle glaucoma was used. Results: Increased incidence of
moderate and advanced OSD Index degrees in the group of primaryopen-angle
glaucoma (POAG) and pseudoexfoliative glaucoma. According to the Delphi Panel
Scale themost common grade is IIb (POAG and pseudoexfoliative glaucoma).
Evaporative form of OSD prevailedin all treatment groups. High percentage of dry
eye in patients with higher concentrations of preservativesapplied was noticed.
Conclusion: OSD should be timely diagnosed and treated. Dry eye has an impact on
surgical outcomeand postoperative visual acuity, and in order to improve patient
compliance and quality of life, symptomsof dry eye should be addressed and
medications with lower concentrations of preservatives should beapplied.
PMID- 29652118
TI - Graphitic Carbon Nitride (g-C3N4) Nanosheets/Graphene Composites: In Situ
Synthesis and Enhanced Photocatalytic Performance.
AB - A facile In Situ growth method was presented here for the preparation of
graphitic carbon nitride (g-C3N4)/graphene composites, in which the direct growth
and deposition of g-C3N4 nanosheets from organic N and C sources on the graphene
surfaces was achieved to form the 3D contacted structure. The resulting 3D
architecture possessed multilevel porous structure and efficient g-C3N4/graphene
interfaces, which facilitated the fast electron transfer at the interfaces.
Photoluminescence spectra showed that the recombination of photogenerated
electrons and holes in the g-C3N4/graphene composites was greatly inhibited by
the introduction of graphene, indicating the more efficient separation of
electrons and hole in the g-C3N4/graphene composites than in pure g-C3N4. The
catalytic activity of g-C3N4/graphene composite photocatalyst was enhanced by
over two fold compared to pure g-C3N4 for removal of Rhodamine B under simulated
sun light irradiation. This work indicates that the metal-free g-C3N4/graphene
composite photocatalyst is a promising nanomaterial for further applications in
water treatment.
PMID- 29652119
TI - Solvent-Mediated Preparation of Zinc Ferrite-Reduced Graphene Oxide
Nanocomposites and Its Application in Removal of Methylene Blue.
AB - Zinc ferrite-reduced graphene oxide composites, which could effectively remove
the methylene blue from aqueous solution, were prepared via a facile solvothermal
process. These as-prepared samples were characterized by X-ray diffraction,
transmission electron microscopy, Fourier transform infrared spectroscopy,
vibration sample magnetometer and UV-vis diffuse reflectance spectroscopy.
Experimental results showed that solvents played an important role in the
electron structure of the final samples. Moreover, they influenced the
photocatalytic performance as well. Among all the samples prepared in different
solvents, those composites prepared in N-N-dimethylformamide showed the greatest
performance. They could effectively remove more than 90% of the methylene blue
from the solution in about 180 min. The efficient removal of target dye turned
out to be the result of the combination of physical adsorption and photocatalytic
degradation under visible light irritation. These catalysts showed remarkable
stability, which could be effectively reused for three times. In addition, all
these samples showed a certain magnetic response, which was beneficial to
recycle.
PMID- 29652120
TI - Guanidino-Graphene Catalysed Synthesis of Flavones via Aldol-Michael-Oxidation.
AB - Guanidino-graphene has been synthesized by the reaction of bromoamine with
reduced graphene oxide and characterized by FT-IR, Raman, TGA, powder XRD, TEM,
SEM, and zeta potential. It is a cheap, heterogeneous and environmentally benign
solid base catalyst used for cascade Aldol-Michael-oxidation in the synthesis of
chalcone, flavonoids.
PMID- 29652121
TI - Electrospun Nanofibers of Poly(lactic acid)/Graphene Nanocomposites .
AB - Multi-layer graphene (MLG) sheets were obtained by exfoliation of natural
graphite flakes in chloroform. Dispersions with concentration up to 19 mg/mL were
prepared. Statistical measurements of MLG sheet sizes by transmission electron
microscopy showed average width and length of ~340 nm and 860 nm, respectively.
MLG/chloroform dispersions were used to prepare poly(lactic acid) (PLA)/MLG
composite fibrillar membranes by electrospinning. A homogeneous distribution of
MLG into the fibers was observed by optical and scanning electron microscopies.
The presence of MLG leads to the decrease in the diameter distribution of the
fibers, which presented average diameter values below 500 nm. Isothermal kinetic
crystallization of PLA showed to be influenced by the electrospinning process and
the content of MLG sheets, which acts as nucleating agents.
PMID- 29652122
TI - PU-Graphene Oxide Composite; Effect of Various Chain Extender on Properties.
AB - High integration of electronic chip not only improved the electronic device's
efficiency, but also left the need to stop emitting heat. Thermal interface
material (TIM) has been proposed to solve this problem. Traditionally, a large
amount of high thermal conductive materials (such as Cu, Al or AlN) was added for
better thermal conductivity, which caused undesirable weight-increasing and
property-sacrificing. Graphene has great thermal conductivity and mechanical
properties. Furthermore, owing to its low density, it is possible to make a lot
of TIM even with a small amount. Being composited with PU, it can be an enhanced
thermal conductive adhesive. Otherwise, amine compound is considered to be
applicable as both a chain extender of PU and a reducing agent of Graphene oxide
(GO). In this work, we synthesized PU-GO composite by chemical reaction of NCO
and GO. We examined the effects of various amine compounds on chemical and
physical properties of GO and PU-GO composite. Adhesion and thermal properties
were also studied.
PMID- 29652123
TI - Nickel Supported on Mesoporous Alumina for Dry Reforming of Methane: Combustion
Method.
AB - Ni catalysts supported on ordered mesoporous alumina (OMA) were prepared by EISA
method and calcined under air and Ar atmospheres. Both catalysts showed stable
performance for the dry reforming of methane for 24 h, however the catalytic
activity of Ar calcined catalyst was relatively lower than that in the air
calcined one. It was found that the carbon (C beta ) layer around nickel
particles was observed for the Ar calcined catalyst after dry reforming of
methane. The encapsulating carbon species in the Ar calcined catalyst lowering
the mass transfer rate of feeds led to lower performance, but no whisker carbon
was observed. In the case of the air calcined catalyst, whisker carbon (C(v))
which is inactive during dry reforming was accumulated on the catalyst, and it
resulted in catalyst breakdown and pressure drop during the reaction.
PMID- 29652124
TI - Fabrication of Bi-Sb-Te Thermoelectric by Cold-Pressed Sintering for Motorcycle
Exhaust.
AB - This study was conducted on the Bi-Sb-Te thermoelectric material which is cold
pressed Sintering under 750 Mpa to make square thermoelectric pairs with size 8.2
mm * 8.2 mm and thicknesses 0.8 mm and 1.5 mm. The zone melting method was used
to acquire P-type thermoelectric material Bi0.4Sb1.6Te3 and N-type thermoelectric
material Bi2Te2.5Se0.5. At temperature 383 K, the measured Seebeck coefficient of
Bi0.4Sb1.6Te3 is 222 MUV/K, and its thermoelectric figure of merit ZT is 1.35. At
temperature 400 K, the measured Seebeck coefficient of Bi2Te2.5Se0.5 is 210
MUV/K, and its thermoelectric figure of merit ZT is 1.13. Using Solder paste
Sn42Bi58 and copper electrode plate are in series connection with 16 pieces of
P/N thermoelectric material to form thermoelectric modules. The thermoelectric
module is actually pasted on the motorcycle waste heat source to be evaluated the
performance, making the cold-end temperature dissipation heat can enhance the
temperature difference between it so as to increase the output power. Increasing
the leg thickness of thermoelectric module and making the about 35 degrees C
temperature-difference of those can obviously enhance the performance of in terms
of its voltage, its thermoelectric figure of merit ZT and output power of the
thermoelectric modules.
PMID- 29652125
TI - The Synthesis and Photoluminescent Properties of CaMoO4:Eu3+ Nanocrystals by a
Soft Chemical Route.
AB - In this paper, the CaMoO4:Eu3+ phosphors were prepared by a simple hydrothermal
method assisted by the citric acid as the surfactant, and characterized by X-ray
diffraction (XRD), field emission scanning electron microscopy (FE-SEM), and
fluorescent spectrophotometry. The results of XRD show that the as-prepared
samples are single phase. The process of the Ostwald ripening is controlled by
the content of the citric acid in the hydrothermal reaction. The pH value of the
precursor affects the shift of the charge transition band (CTB) in the excitation
spectra. The reaction condition can strongly affect the luminescent intensity of
the samples.
PMID- 29652126
TI - Initial Cell Adhesion onto a Phospholipid Polymer Brush Surface Modified with a
Terminal Cell Adhesion Peptide.
AB - Dynamic changes in the properties of adsorbed protein layers at material surfaces
make it difficult to analyze a cell adhesion behavior. Adhesion is affected by
the ligand molecules in the adsorbed protein layers on the material's surface.
This study aimed to quantitatively analyze the initial cell adhesion onto a
polymeric surface modified with immobilized cell adhesion molecules with a well
defined structure. Peptides containing an arginine-glycine-aspartic acid (RGD)
sequence were introduced at almost all the termini of the grafted poly(2
methacryloyloxyethyl phosphorylcholine) [poly(MPC)] chains using a click reaction
at a highly protein-resistant poly(MPC) brush layer. Thus, the surface could bind
to the cell membrane proteins only through the immobilized RGD. Furthermore, the
degree of polymerization of the grafted poly(MPC) chains could control the
hydrated poly(MPC) brush layer softness, as determined by measuring the
dissipation energy loss using a quartz crystal microbalance. At the initial stage
of cell adhesion, the density of cells adhering to the RGD-immobilized poly(MPC)
brush layers did not depend on the poly(MPC) brush layer softness. However,
spreading of the adherent cells was inhibited on the RGD-immobilized poly(MPC)
brush layers with a higher softness. Hence, the results suggested that the layer
softness did not affect the binding number between the RGD and cell membrane
protein during initial cell adhesion; however, the intracellular signaling
triggered by the RGD-receptor interaction was inhibited. The poly(MPC) brush
surface carrying immobilized cell adhesion molecules has the potential to analyze
precisely the effect of the properties of cell adhesion molecules on initial cell
adhesion.
PMID- 29652128
TI - Self-Healing Organic Fluorophore of Cyanine-Conjugated Amphiphilic Polypeptide
for Near-Infrared Photostable Bioimaging.
AB - Photobleaching and biotoxicity are the main bottlenecks for organic fluorescent
dyes applied in real-time dynamic monitoring of living cells. Here, an unnatural
amino acid, 4-nitro-3-phenyl-l-alanine (NPA), was used as a scaffold to
covalently link a near-infrared fluorophore Cy5.5 and an amphiphilic polypeptide,
poly[oligo(ethylene glycol) methyl ether methacrylate]- block-poly[2-amino-N4-(2
diisopropylamino-ethyl)-l-aspartic acid] (P(OEGMA)21-P(Asp)16-iPr), was then
conjugated for increasing the photostability and improving the biocompatibility
simultaneously. The protective agent of NPA can service as an effective triplet
state quenching by intramolecular electron transfer between Cy5.5 and NPA. The
less sensitivity of the electron-transfer process for molecular oxygen makes it
an ideal photostabilized strategy for fluorophores applied in live-cell imaging.
Bonding to copolymer is a common way for hydrophobic dyes to expand their
application in biomedical imaging and increase their functionality, depending on
the delivery system. The results indicate that Cy5.5-NPA-linked polypeptide
copolymer exhibited an enhanced photostability and an excellent biocompatibility,
which means this scaffolding strategy has a potential application in fluorescence
guided surgery, lived-cell imaging, and super-resolution microscopy.
PMID- 29652127
TI - Optical Probes for Neurobiological Sensing and Imaging.
AB - Fluorescent nanosensors and molecular probes are next-generation tools for
imaging chemical signaling inside and between cells. Electrophysiology has long
been considered the gold standard in elucidating neural dynamics with high
temporal resolution and precision, particularly on the single-cell level.
However, electrode-based techniques face challenges in illuminating the specific
chemicals involved in neural cell activation with adequate spatial information.
Measuring chemical dynamics is of fundamental importance to better understand
synergistic interactions between neurons as well as interactions between neurons
and non-neuronal cells. Over the past decade, significant technological advances
in optical probes and imaging methods have enabled entirely new possibilities for
studying neural cells and circuits at the chemical level. These optical imaging
modalities have shown promise for combining chemical, temporal, and spatial
information. This potential makes them ideal candidates to unravel the complex
neural interactions at multiple scales in the brain, which could be complemented
by traditional electrophysiological methods to obtain a full spatiotemporal
picture of neurochemical dynamics. Despite the potential, only a handful of probe
candidates have been utilized to provide detailed chemical information in the
brain. To date, most live imaging and chemical mapping studies rely on
fluorescent molecular indicators to report intracellular calcium (Ca2+) dynamics,
which correlates with neuronal activity. Methodological advances for monitoring a
full array of chemicals in the brain with improved spatial, temporal, and
chemical resolution will thus enable mapping of neurochemical circuits with finer
precision. On the basis of numerous studies in this exciting field, we review the
current efforts to develop and apply a palette of optical probes and nanosensors
for chemical sensing in the brain. There is a strong impetus to further develop
technologies capable of probing entire neurobiological units with high
spatiotemporal resolution. Thus, we introduce selected applications for ion and
neurotransmitter detection to investigate both neurons and non-neuronal brain
cells. We focus on families of optical probes because of their ability to sense a
wide array of molecules and convey spatial information with minimal damage to
tissue. We start with a discussion of currently available molecular probes,
highlight recent advances in genetically modified fluorescent probes for ions and
small molecules, and end with the latest research in nanosensors for biological
imaging. Customizable, nanoscale optical sensors that accurately and dynamically
monitor the local environment with high spatiotemporal resolution could lead to
not only new insights into the function of all cell types but also a broader
understanding of how diverse neural signaling systems act in conjunction with
neighboring cells in a spatially relevant manner.
PMID- 29652129
TI - Decarbonylative Cross-Couplings: Nickel Catalyzed Functional Group
Interconversion Strategies for the Construction of Complex Organic Molecules.
AB - The utilization of carboxylic acid esters as electrophiles in metal-catalyzed
cross-coupling reactions is increasingly popular, as environmentally friendly and
readily available ester derivatives can be powerful alternatives to the commonly
used organohalides. However, key challenges associated with the use of these
chemicals remain to be addressed, including the stability of ester substrates and
the high energy barrier associated with their oxidative addition to low-valent
metal species. Due to recent developments in nickel catalysis that make it easier
to perform oxidative additions, chemists have become interested in applying less
reactive electrophiles as coupling counterparts in nickel-catalyzed
transformations. Hence, our group and others have independently investigated
various ester group substitutions and functionalizations enabled by nickel
catalysis. Such methods are of great interest as they enable the exchange of
ester groups, which can be used as directing groups in metal-catalyzed C-H
functionalizations prior to their replacement. Here, we summarize our recent
efforts toward the development of nickel-catalyzed decarbonylative cross-coupling
reactions of carboxylic esters. Achievements accomplished by other groups in this
area are also included. To this day, a number of new transformations have been
successfully developed, including decarbonylative arylations, alkylations,
cyanations, silylations, borylations, aminations, thioetherifications,
stannylations, and hydrogenolysis reactions. These transformations proceed via a
nickel-catalyzed decarbonylative pathway and have shown a high degree of
reactivity and chemoselectivity, as well as several other unique advantages in
terms of substrate availability, due to the use of esters as coupling partners.
Although the mechanisms of these reactions have not yet been fully understood,
chemists have already provided some important insights. For example, Yamamoto
explored the stoichiometric nickel-mediated decarbonylation process of esters and
proposed a reaction mechanism involving a C(acyl)-O bond cleavage and a CO
extrusion. Key nickel intermediates were isolated and characterized by Shi and co
workers, supporting the assumption of a nickel/ N-heterocyclic carbene-promoted
C(acyl)-O bond activation and functionalization. Our combined experimental and
computational study of a ligand-controlled chemoselective nickel-catalyzed cross
coupling of aromatic esters with alkylboron reagents provided further insight
into the reaction mechanism. We demonstrated that nickel complexes with bidentate
ligands favor the C(aryl)-C bond cleavage in the oxidative addition step,
resulting in decarbonylative alkylations, while nickel complexes with monodentate
phosphorus ligands promote the activation of the C(acyl)-O bond, leading to the
production of ketone products. Although more detailed mechanistic investigations
need to be undertaken, the successful development of decarbonylative cross
coupling reactions can serve as a solid foundation for future studies. We believe
that this type of decarbonylative cross-coupling reactions will be of significant
value, in particularly in combination with the retrosynthetic analysis and
synthesis of natural products and biologically active molecules. Thus, the
presented ester substitution methods will pave the way for successful
applications in the construction of complex frameworks by late-stage modification
and functionalization of carboxylic acid derivatives.
PMID- 29652130
TI - In Vivo Targeted, Responsive, and Synergistic Cancer Nanotheranostics by Magnetic
Resonance Imaging-Guided Synergistic High-Intensity Focused Ultrasound Ablation
and Chemotherapy.
AB - As one of the most representative noninvasive therapeutic modalities, high
intensity focused ultrasound (HIFU) has shown great promise for cancer therapy,
but its low therapeutic efficacy and biosafety significantly hinder further
extensive clinical translation and application. In this work, we report on the
construction of a multifunctional theranostic nanoplatform to synergistically
enhance the HIFU-therapeutic efficacy based on nanomedicine. A targeted and
temperature-responsive theranostic nanoplatform (PFH/DOX@PLGA/Fe3O4-FA) has been
designed and fabricated for efficient ultrasound/magnetic resonance dual-modality
imaging-guided HIFU/chemo synergistic therapy. Especially, the folate was
conjugated onto the surface of the nanoplatform for achieving active targeting to
hepatoma cells by receptor-ligand interaction, which facilitates accumulation of
the nanoplatforms into the tumor site. The integrated superparamagnetic iron
oxide nanoparticles could generate the contrast enhancement in T2-weighted
magnetic resonance imaging. By virtue of the thermal effect as generated by HIFU,
liquid-gas phase transition of perfluorohexane (PFH) in nanocomposites was
induced to generate PFH microbubbles, which achieved the contrast-enhanced
ultrasound imaging and significantly improved the HIFU ablation efficacy. The
loaded anticancer drugs could be released from the nanocomposites in a
controllable manner (both pH and HIFU responsiveness). These multifunctional
nanocomposites have been demonstrated to efficiently suppress the tumor growth
based on the enhanced and synergistic chemotherapy and HIFU ablation, providing
an efficient theranostic nanoplatform for cancer treatment.
PMID- 29652131
TI - Thioflavin T Interaction with Acetylcholinesterase: New Evidence of 1:1 Binding
Stoichiometry Obtained with Samples Prepared by Equilibrium Microdialysis.
AB - The aim of the present work was investigation of the fluorescent dye thioflavin T
(ThT) binding to acetylcholinesterase (AChE). ThT is an effective test for
protease activity, as well as a probe for amyloid fibril formation. Despite the
extended and active investigation of ThT-AChE binding, there is still no common
view on the stoichiometry of this interaction. In particular, there is a
hypothesis explaining the spectral properties of bound to AChE dye and high
quantum yield of its fluorescence by formation of dimers or excimers of ThT. In
order to confirm or deny this hypothesis, we proposed a new experimental approach
for examination of ThT-AChE interaction based on spectroscopic investigation of
samples prepared by equilibrium microdialysis. This approach allowed us to prove
1/1 ThT/AChE binding stoichiometry. The increase of ThT fluorescence quantum
yield and lifetime accompanying its binding to AChE can be explained by the
molecular rotor nature of this dye. Together with the coincidence of the
positions of free and AChE-bound ThT fluorescence spectra, the obtained results
prove the groundlessness of the hypotheses about ThT aggregation while binding to
AChE. The model of ThT localization in the active site of AChE was proposed by
using molecular docking simulations. These results also allowed us to suggest the
key role of aromatic residues in ThT-AChE interaction, as observed for some
amyloid fibrils.
PMID- 29652132
TI - Trimetallic Hybrid Nanoflower-Decorated MoS2 Nanosheet Sensor for Direct in Situ
Monitoring of H2O2 Secreted from Live Cancer Cells.
AB - In situ monitoring of hydrogen peroxide (H2O2) secreted from live cells plays a
critical role in elucidating many cellular signaling pathways, and it is a
significant challenge to selectively detect these low levels of endogenous H2O2.
To address this challenge, we report the establishment of a trimetallic hybrid
nanoflower-decorated MoS2 nanosheet-modified sensor for in situ monitoring of
H2O2 secreted from live MCF-7 cancer cells. The Au-Pd-Pt nanoflower-dispersed
MoS2 nanosheets are synthesized by a simple wet-chemistry method, and the
resulting nanosheet composites exhibit significantly enhanced catalytic activity
toward electrochemical reduction of H2O2, due to the synergistic effect of the
highly dispersed trimetallic hybrid nanoflowers and the MoS2 nanosheets, thereby
resulting in ultrasensitive detection of H2O2 with a subnanomolar level detection
limit in vitro. Also the immobilization of the laminin glycoproteins on the
surface of the nanocomposites increases its biocompatibility for cell adhesion
and growth, which enables in situ electrochemical monitoring of H2O2 directly
secreted from live cells for potential application of such sensor in cellular
biology, clinical diagnosis, and pathophysiology.
PMID- 29652133
TI - Ultrasensitive Single Fluorescence-Labeled Probe-Mediated Single Universal Primer
Multiplex-Droplet Digital Polymerase Chain Reaction for High-Throughput
Genetically Modified Organism Screening.
AB - As genetically modified (GM) technology develops and genetically modified
organisms (GMOs) become more available, GMOs face increasing regulations and
pressure to adhere to strict labeling guidelines. A singleplex detection method
cannot perform the high-throughput analysis necessary for optimal GMO detection.
Combining the advantages of multiplex detection and droplet digital polymerase
chain reaction (ddPCR), a single universal primer-multiplex-ddPCR (SUP-M-ddPCR)
strategy was proposed for accurate broad-spectrum screening and quantification.
The SUP increases efficiency of the primers in PCR and plays an important role in
establishing a high-throughput, multiplex detection method. Emerging ddPCR
technology has been used for accurate quantification of nucleic acid molecules
without a standard curve. Using maize as a reference point, four heterologous
sequences ( 35S, NOS, NPTII, and PAT) were selected to evaluate the feasibility
and applicability of this strategy. Surprisingly, these four genes cover more
than 93% of the transgenic maize lines and serve as preliminary screening
sequences. All screening probes were labeled with FAM fluorescence, which allows
the signals from the samples with GMO content and those without to be easily
differentiated. This fiveplex screening method is a new development in GMO
screening. Utilizing an optimal amplification assay, the specificity, limit of
detection (LOD), and limit of quantitation (LOQ) were validated. The LOD and LOQ
of this GMO screening method were 0.1% and 0.01%, respectively, with a relative
standard deviation (RSD) < 25%. This method could serve as an important tool for
the detection of GM maize from different processed, commercially available
products. Further, this screening method could be applied to other fields that
require reliable and sensitive detection of DNA targets.
PMID- 29652134
TI - Heterobimetallic Lantern Complexes and Their Novel Structural and Magnetic
Properties.
AB - As the scale of microelectronic circuit devices approaches the atomic limit, the
study of molecular-based wires and magnets has become more prevalent. Compounds
with quasi-1D geometries have been investigated for their electronic conductivity
and magnetic properties with potential use as nanoscale circuit components and
information storage devices. To increase the number of compositionally tailored
molecular systems available to study, we have taken a building-block, bottom-up
approach to the development of improved electronic structure and magnetic
properties of quasi-1D arrays. Over the past decade, a large family of asymmetric
complexes that can assemble into extended arrays has resulted. Lantern (or paddle
wheel) complexes with conventional {O, O} donor carboxylates are legion, but by
the use of monothiocarboxylate ligands and hard-soft Lewis acid-base principles,
dozens of new lantern complexes of the form [PtM(SOCR)4(L)] (M = Mg, Ca, Cr, Mn,
Fe, Co, Ni, Zn; R = Ph (tba = thiobenzoate), CH3 (SAc = thioacetate); L = neutral
or anionic ligand) have been prepared. Depending on M and L, new intermolecular
arrangements have resulted, and the magnetic properties have proven particularly
interesting. In the solid state, the [PtM(SOCR)4(L)] building blocks are
sometimes isolated, sometimes form dimers, and can be induced to form infinite
chains. The versatility of the lantern motif was demonstrated with a range of
axial ligands to form both terminal and bridged complexes with various 3d metals
and two different substituted thiocarboxylate backbone ligands. Within the dozens
of crystallographically characterized compounds that make up this family of
lanterns, several different structural motifs of solid-state dimerization were
observed and divided into four distinct categories on the basis of their Pt...Pt
and Pt...S distances and relative monomer orientations. Among all of these
compounds, three novel magnetic phenomena were observed. Initially, long-range
antiferromagnetic coupling between two metals more than 8 A apart was observed in
solid-state dimers formed via metallophilic Pt...Pt interactions and could
induced by choice of the terminal L group. An infinite chain was prepared in
[PtCr(tba)4(NCS)]infinity that displays ferromagnetic coupling between Cr centers
with J/ kB = 1.7(4) K. Homobimetallic quasi-1D chains of the form
[Ni2(SOCR)4(L)]infinity (R = Ph, CH3; L = DABCO, pyz) were also prepared with S =
1 {Ni2} building blocks in which the Ni centers have two different spin states
with weak antiferromagnetic coupling along the chain, such that -0.18 > J/ kB >
0.24 K. In the [Ni2(tba)4(quin)] derivative, a solid-state dimer forms with a
bridging square conformation by interlantern Ni2S2 interactions and displays
unusual S = 1 configurations on both Ni centers and weak antiferromagnetic
coupling between them.
PMID- 29652135
TI - Low-Cost and Simple Fabrication of Nanoplasmonic Paper for Coupled Chromatography
Separation and Surface Enhanced Raman Detection.
AB - Surface-enhanced Raman scattering (SERS) is a powerful analytical tool which
enables the detection and identification of analytes adsorbed on nanostructured
noble metals. However, SERS analysis of complex mixtures can be challenging due
to spectral overlap and interference. In this report, we demonstrate a method to
simplify the identification of mixed-analyte samples by coupling SERS detection
with chromatographic separation on a nanoplasmonic paper substrate. This
"nanopaper" substrate is a silver coated glass microfiber filter paper which
possesses large SERS enhancement and can serve as a stationary phase in paper
chromatography. Nanopaper is easily synthesized using the silver mirror reaction,
making it a highly accessible technology. Nanopaper was successfully used as a
combined paper chromatography-SERS (PC-SERS) substrate in the separation and
identification of mixed organic dyes. It was further employed to separate and
identify lycopene and beta-carotene in commercial food products, demonstrating
the versatility and utility of nanopaper in the identification of complex
mixtures.
PMID- 29652136
TI - Bridging the Gap between tRNA Modifications and the Respiratory Chain.
PMID- 29652138
TI - Development of a Selective Labeling Probe for Bruton's Tyrosine Kinase
Quantification in Live Cells.
AB - As a key regulator of the B-cell receptor signaling pathway, Bruton's tyrosine
kinase (Btk) has emerged as an important therapeutic target for various
malignancies and autoimmune disorders. However, data on the expression profiles
of Btk are lacking. Here, we report the discovery of a new, selective Btk probe
and of a sandwich-type ELISA quantification method to detect endogenous Btk in
live cells. We achieved selective labeling of Btk in vivo and quantified Btk
levels in seven types of human lymphoma cell lines. This quantification method
provides a powerful tool to study Btk in live cells that may also be useful in
clinical settings.
PMID- 29652139
TI - Estimated Emissions from the Prime-Movers of Unconventional Natural Gas Well
Development Using Recently Collected In-Use Data in the United States.
AB - Natural gas from shale plays dominates new production and growth. However,
unconventional well development is an energy intensive process. The prime movers,
which include over-the-road service trucks, horizontal drilling rigs, and
hydraulic fracturing pumps, are predominately powered by diesel engines that
impact air quality. Instead of relying on certification data or outdated emission
factors, this model uses new in-use emissions and activity data combined with
historical literature to develop a national emissions inventory. For the diesel
only case, hydraulic fracturing engines produced the most NO x emissions, while
drilling engines produced the most CO emissions, and truck engines produced the
most THC emissions. By implementing dual-fuel and dedicated natural gas engines,
total fuel energy consumed, CO2, CO, THC, and CH4 emissions would increase, while
NO x emissions, diesel fuel consumption, and fuel costs would decrease. Dedicated
natural gas engines offered significant reductions in NO x emissions. Additional
scenarios examined extreme cases of full fleet conversions. While deep market
penetrations could reduce fuel costs, both technologies could significantly
increase CH4 emissions. While this model is based on a small sample size of
engine configurations, data were collected during real in-use activity and is
representative of real world activity.
PMID- 29652140
TI - Intra- versus Intermolecular Carbon-to-Carbon Proton Transfers in the Reactions
of Arynes with Nitrogen Nucleophiles: A DFT Study.
AB - Carbon-to-carbon proton transfer (PT) is an elementary reaction in organic
chemistry. Herein we report a systematic DFT study on the carbon-to-carbon proton
transfers in the reactions of arynes with nitrogen nucleophiles, including
tertiary amines, aziridines, imines, and N-heteroarenes. DFT calculations
indicated that the Gibbs energies of activation for the 1,4- and 1,5-proton
transfers involved are lower than 20 kcal/mol in most cases. We also found that
the Bell-Evans-Polanyi principle applies to the 1,4-proton transfers, indicating
that the more exergonic an intramolecular proton transfer is (the more stable a
carbanion is generated), the faster it will be. Previous experimental studies
have shown that, in the presence of a carbon nucleophile (NuH; e.g., CHCl3 and
MeCN) as the third component (also as the solvent), intermolecular proton
abstractions may compete with the intramolecular proton transfers and lead to
different products. Our theoretical rationalization of these competitions is that
the introduction of an electron-withdrawing group at the acidic site can
accelerate the intramolecular proton transfer dramatically (owing to the
generation of a more stable carbanion), making it compete with the intermolecular
processes. In addition, we have also discussed the competition between intra- and
intermolecular proton transfers when NuH is used as the reactant rather than the
solvent.
PMID- 29652141
TI - Insights into 2- and 4(5)-Nitroimidazole Decomposition into Relevant Ions and
Molecules Induced by VUV Ionization.
AB - Nitromidazoles are relevant compounds of multidisciplinary interest, and
knowledge of their physical-chemical parameters as well as their decomposition
under photon irradiation is needed. Here we report an experimental and
theoretical study of the mechanisms of VUV photofragmentation of 2- and 4(5)
nitromidazoles, compounds used as radiosensitizers in conjunction with
radiotherapy as well as high-energy density materials. Photoelectron-photoion
coincidence experiments, measurements of the appearance energies of the most
important ionic fragments, density functional theory, and single-point coupled
cluster calculations have been used to provide an overall insight into the
energetics and structure of the different ionic/neutral products of the
fragmentation processes. The results show that these compounds can be an
efficient source of relevant CO, HCN, NO, and NO2 molecules and produce ions of
particular astrophysical interest, like the isomers of azirinyl cation ( m/ z
40), predicted to exist in the interstellar medium, and protonated hydrogen
cyanide ( m/ z 28).
PMID- 29652142
TI - Enantioselective Bioaccumulation and Toxicity of the Neonicotinoid Insecticide
Dinotefuran in Earthworms ( Eisenia fetida).
AB - The enantioselective bioaccumulation and toxicity of dinotefuran in earthworms
were studied in this study. The results showed that S-dinotefuran accumulated
faster than Rac-dinotefuran and R-dinotefuran in earthworms. The acute toxicity
of S-dinotefuran was 1.49 and 2.67 times that of the Rac-dinotefuran and R
dinotefuran in artificial soil during 14 days of exposure. At 1.0 mg/kg, the
three tested chemicals inhibited the growth and reproduction as well as induced
oxidative stress effects in earthworms; however, the toxic effects induced by S
dinotefuran were the most serious. The transcriptome sequencing results showed
that S-dinotefuran had stronger interactions to biomacromolecules and influences
on the endoplasmic reticulum (ER) than R-dinotefuran, which may be the main
reason for enantioselectivities between the two enantiomers. The present results
indicated that the risk of S-dinotefuran was higher than that of Rac-dinotefuran
and R-dinotefuran in the soil environment to earthworms. Risk assessment of
dinotefuran should be evaluated at the enantiomer level.
PMID- 29652143
TI - Reviewing Hit Discovery Literature for Difficult Targets: Glutathione Transferase
Omega-1 as an Example.
AB - Early stage drug discovery reporting on relatively new or difficult targets is
often associated with insufficient hit triage. Literature reviews of such targets
seldom delve into the detail required to critically analyze the associated
screening hits reported. Here we take the enzyme glutathione transferase omega-1
(GSTO1-1) as an example of a relatively difficult target and review the
associated literature involving small-molecule inhibitors. As part of this
process we deliberately pay closer-than-usual attention to assay interference and
hit quality aspects. We believe this Perspective will be a useful guide for
future development of GSTO1-1 inhibitors, as well serving as a template for
future review formats of new or difficult targets.
PMID- 29652144
TI - Assessment of the Minor-Component Transformations in Fat during the Green Spanish
Style Table Olive Processing.
AB - There is an increasing interest of consumers for natural and healthy products.
This work assesses the transformations that green Spanish-style processing of
Manzanilla and Hojiblanca table olives produces on the minor components of their
fat. Discriminant analysis showed that most of the variability was not due to
processing (24.4%) but to differences between cultivars (59.2%). Therefore, the
final products have a similar quality to the original olive fat; that is, the
quality of the fat was scarcely affected. The only systematic trends observed
were the decrease in hexacosanol, tetracosanol, and octacosanol (fatty alcohols)
and C46 (wax), after lye treatment, and the high levels of alkyl esters in the
packaged product. Thus, minor-component levels in green table olives are, in
general, within the limits established for extra virgin olive oil since the alkyl
esters should be considered habitual products of fermentation and not as an
alteration as in olive oil.
PMID- 29652145
TI - Michael Additions Catalyzed by a beta-Diketiminate-Supported Aluminum Complex.
AB - A beta-diketiminate-supported aluminum bistriflate complex
(DipLAl(OTf)2.Na[BArCl4]; DipL = CH(CMe)2(N-C6H3-iPr2)2; Tf = O2SCF3; ArCl = 3,5
Cl2-C6H3) has been identified as an efficient Lewis acid catalyst for Michael
additions involving numerous electron-rich (hetero)aromatic substrates and
several alpha,beta-unsaturated carbonyl compounds. In a vast majority of the
attempted Michael reactions our catalytic system was significantly superior over
the currently used methods for the same transformations in terms of reaction
times and temperatures, catalyst loadings, isolated product yields, and/or
selectivity.
PMID- 29652146
TI - Dissolution Dynamic Nuclear Polarization at Room Temperature Using Photoexcited
Triplet Electrons.
AB - Dissolution dynamic nuclear polarization (DNP) has recently gained attention as a
method to enhance the sensitivity of liquid NMR spectroscopy and MRI. We
demonstrate dissolution of the sample hyperpolarized by DNP using photoexcited
triplet electrons in 0.38 T at room temperature. The achieved polarization of
0.8% is 6100 times as high as that at thermal equilibrium under the condition.
The result is an important step for DNP using photoexcited triplet electrons to
become widely used in chemical and biomedical research.
PMID- 29652147
TI - Generation and Reactions of an Octacyclic Hindered Pyramidalized Alkene.
AB - Octacyclo[10.6.1.01,10.03,7.04,9.08,19.011,16.013,17]nonadeca-5,8,14-triene (27),
a hindered pyramidalized alkene, has been generated from a diiodide precursor.
Contrary to the usual behavior of known pyramidalized alkenes, no Diels-Alder
adducts were obtained from the present alkene when it was generated by different
standard procedures in the presence of different dienes. However, products
derived from the reduction, t-BuLi addition, condensation with the solvent, or
dimerization were isolated from these reactions, depending on the conditions used
to generate it. No [2 + 2] cross product among this pyramidalized alkene and
tricyclo[3.3.1.03,7]non-3(7)-ene was formed when a mixture of the corresponding
precursor diiodides was reacted with sodium amalgam. The analysis of selected
geometrical and orbital parameters determined from quantum mechanical
calculations indicates that the degree of pyramidalization of this alkene and its
higher steric hindrance compared with other polycyclic pyramidalized alkenes may
explain its peculiar reactivity.
PMID- 29652148
TI - Organic-Inorganic Hybrid Ruddlesden-Popper Perovskites: An Emerging Paradigm for
High-Performance Light-Emitting Diodes.
AB - Recently, lead halide perovskite materials have attracted extensive interest, in
particular, in the research field of solar cells. These materials are fascinating
"soft" materials with semiconducting properties comparable to the best inorganic
semiconductors like silicon and gallium arsenide. As one of the most promising
perovskite family members, organic-inorganic hybrid Ruddlesden-Popper perovskites
(HRPPs) offer rich chemical and structural flexibility for exploring excellent
properties for optoelectronic devices, such as solar cells and light-emitting
diodes (LEDs). In this Perspective, we present an overview of HRPPs on their
structural characteristics, synthesis of pure HRPP compounds and thin films,
control of their preferential orientations, and investigations of heterogeneous
HRPP thin films. Based on these recent advances, future directions and prospects
have been proposed. HRPPs are promising to open up a new paradigm for high
performance LEDs.
PMID- 29652150
TI - Tunable Synthesis of Functionalized Cyclohexa-1,3-dienes and 2
Aminobenzophenones/Benzoate from the Cascade Reactions of Allenic
Ketones/Allenoate with Amines and Enones.
AB - A TEMPO-dependent tunable synthesis of functionalized cyclohexa-1,3-dienes and 2
aminobenzophenones/benzoate from the one-pot cascade reactions of allenic
ketones/allenoate with amines and enones is presented. Mechanistically, the
construction of the entitled six-membered carbocycles involves the in situ
generation of an enaminone intermediate via the conjugate addition of allenic
ketone with amine followed by its catalyst- and base-free [3+3] annulation with
enone along with the simultaneous introduction of the valuable amino and carbonyl
groups.
PMID- 29652149
TI - Zero-Dimensional Cesium Lead Halides: History, Properties, and Challenges.
AB - Over the past decade, lead halide perovskites (LHPs) have emerged as new
promising materials in the fields of photovoltaics and light emission due to
their facile syntheses and exciting optical properties. The enthusiasm generated
by LHPs has inspired research in perovskite-related materials, including the so
called "zero-dimensional cesium lead halides", which will be the focus of this
Perspective. The structure of these materials is formed of disconnected lead
halide octahedra that are stabilized by cesium ions. Their optical properties are
dominated by optical transitions that are localized within the individual
octahedra, hence the title "'zero-dimensional perovskites". Controversial results
on their physical properties have recently been reported, and the true nature of
their photoluminescence is still unclear. In this Perspective, we will take a
close look at these materials, both as nanocrystals and as bulk crystals/thin
films, discuss the contrasting opinions on their properties, propose potential
applications, and provide an outlook on future experiments.
PMID- 29652151
TI - EPR Spectroscopy of Radical Ions of a 2,3-Diamino-1,4-naphthoquinone Derivative.
AB - We report the electron paramagnetic resonance spectra of the radical cation and
radical anion of 1,2,2,3-tetramethyl-2,3-dihydro-1 H-naphtho[2,3- d]imidazole-4,9
dione (1) and its doubly 13C labeled analogue 2, of interest for singlet fission.
The hyperfine coupling constants are in excellent agreement with density
functional theory calculations and establish the structures beyond doubt. Unlike
the radical cation 1*+, the radical anion 1*- and its parent 1 have pyramidalized
nitrogen atoms and inequivalent methyl groups 15 and 16, in agreement with the
calculations. The distinction is particularly clear with the labeled analogue 2*
.
PMID- 29652152
TI - Surface Separation and in Situ Structural Regulation of Photosensitive Oligomer
in a Flexible Template.
AB - Surface-selective adsorption and separation are very important for the
application of surface functional materials. In this study, a photosensitive
diazo-macrocycle has been synthesized by the solvent method with a very low
yield, which can adsorb onto the substrate surface modified with a template
molecule. By using this flexible template on the graphite surface, a simple
separation strategy for the macrocyclic molecule with specific shape and size
from reaction mixtures was developed. Additionally, one of the two azo units in
this trapped photosensitive macrocycle could convert from trans to cis
conformation under UV irradiation due to the steric effect. Our results provide a
new way to construct functional nanodevices using a surface flexible template as
the separation and regulation medium.
PMID- 29652153
TI - Petasis-Type gem-Difluoroallylation Reactions Assisted by the Neighboring
Hydroxyl Group in Amines.
AB - A three-component Petasis-type gem-difluoroallylation reaction of using pinacol
gem-difluoroallylboronates, aldehydes or isatin, and beta-amino alcohols enabled
by the neighboring hydroxyl group in amine is reported, affording various racemic
and chiral gem-difluorohomoallylamine derivatives with good to excellent results.
Based on the control experiment and stereochemistry of the product, a proposed
reaction pathway is illustrated to clarify the origin of regio- and
stereoselectivity under protic solvent conditions.
PMID- 29652154
TI - Angular-Shaped Naphthalene Bis(1,5-diamide-2,6-diylidene)malononitrile for High
Performance, Air-Stable N-Type Organic Field-Effect Transistors.
AB - The synthesis, characterization, and application of two angular-shaped
naphthalene bis(1,5-diamide-2,6-diylidene)malononitriles (NBAMs) as high
performance air-stable n-type organic field effect transistor (OFET) materials
are reported. NBAM derivatives exhibit deep lowest-unoccupied molecular orbital
(LUMO) levels, suitable for air-stable n-type OFETs. The OFET device based on
NBAM-EH fabricated by vapor deposition exhibits a maximum electron mobility of
0.63 cm2 V-1 s-1 in air with an on/off current ratio ( Ion/ Ioff) of 105.
PMID- 29652155
TI - Development of Planar Chiral Iodoarenes Based on [2.2]Paracyclophane and Their
Application in Catalytic Enantioselective Fluorination of beta-Ketoesters.
AB - The design and synthesis of novel planar chiral iodoarenes based on
[2.2]paracyclophane is reported. A process of highly enantioselective oxidative
fluorination of a beta-ketoester with 3HF-Et3N as a nucleophilic fluoride source
mediated by these new hypervalent iodine catalysts has been developed. This
represents the first highly enantioselective reaction catalyzed by planar chiral
hypervalent iodine.
PMID- 29652156
TI - Zipping-Depinning: Dissolution of Droplets on Micropatterned Concentric Rings.
AB - The control of the surface wettability is of great interest for technological
applications as well as for the fundamental understanding of surface phenomena.
In this article, we describe the dissolution behavior of droplets wetting a
micropatterned surface consisting of smooth concentric circular grooves. In the
experiments, a droplet of alcohol (1-pentanol) is placed onto water-immersed
micropatterns. When the drops dissolve, the dynamics of the receding contact line
occurs in two different modes. In addition to the stick-jump mode with jumps from
one ring to the next inner one, our study reveals a second dissolution mode,
which we refer to as zipping-depinning. The velocity of the zipping-depinning
fronts is governed by the dissolution rate. At the early stage of the droplet
dissolution, our experimental results are in good agreement with the theoretical
predictions by Debuisson et al. [ Appl. Phys. Lett. 2011 , 99 , 184101 ]. With an
extended model, we can accurately describe the dissolution dynamics in both stick
jump and zipping-depinning modes.
PMID- 29652157
TI - Asymmetric Reaction of p-Quinone Diimide: Organocatalyzed Michael Addition of
alpha-Cyanoacetates.
AB - Hitherto unknown catalytic enantioselective transformation of p-quinone diimides
is achieved using chiral bifunctional organic molecules. Bifunctional thiourea
compounds catalyze the Michael addition of cyanoacetates with excellent yields
and enantioselectivities. The initially formed Michael adducts undergo
cyclization to yield functionally rich, fused cyclic imidines bearing a
quaternary benzylic chiral center. Density functional theory calculations of the
competing transition states (TSs) were carried out to explain the observed
stereochemical outcome.
PMID- 29652158
TI - Unveiling Charge-Density Wave, Superconductivity, and Their Competitive Nature in
Two-Dimensional NbSe2.
AB - Recently, charge-density wave (CDW) and superconductivity are observed to coexist
in atomically thin metallic NbSe2. Lacking of knowledge on the structural details
of CDW, however, prevents us to explore its interplay with superconductivity.
Using first-principles calculations, we identify the ground state 3 * 3 CDW
atomic structure of monolayer NbSe2, which is characterized by the formation of
triangular Nb clusters and shows a scanning tunnelling microscopy (STM) image and
Raman CDW modes in good agreement with experiments. We further demonstrate that
from bulk to monolayer NbSe2, as the layer thickness decreases, the CDW order is
gradually enhanced with rising energy gain and strengthened Fermi surface
gapping, while superconductivity is weakened due to the increasingly reduced
Fermi level density of states in the CDW state. These results well explain the
observed opposite thickness dependencies of CDW and superconducting transition
temperatures and uncover the nature of competitive interaction between the two
collective orders in two-dimensional NbSe2.
PMID- 29652159
TI - Correction for Rapid Decoherence Suppresses Charge Recombination in Multilayer 2D
Halide Perovskites: Time-Domain Ab Initio Analysis.
PMID- 29652161
TI - Synthesis of Side-Chain Locked Analogs of 1alpha,25-Dihydroxyvitamin D3 Bearing a
C17 Methyl Group.
AB - A convergent synthesis of side-chain locked vitamin D analogs 3 and 4, which bind
strongly in silico to the vitamin D receptor (VDR), is described. The synthetic
approach features an SN2'- syn displacement of carbamates by cuprates to set the
challenging quaternary stereogenic center at C17 and a Pd-catalyzed construction
of the triene system in the presence of a diyne moiety.
PMID- 29652160
TI - Photoredox-catalyzed Direct Reductive Amination of Aldehydes without an External
Hydrogen/Hydride Source.
AB - The direct reductive amination of aromatic aldehydes has been realized using a
photocatalyst under visible light irradiation. The single electron oxidation of
an in situ formed aminal species generates the putative alpha-amino radical that
eventually delivers the reductive amination product. This method is operationally
simple, highly selective, and functional group tolerant, which allows the direct
synthesis of benzylic amines by a unique mechanistic pathway.
PMID- 29652162
TI - pHP-Tethered N-Acyl Carbamate: A Photocage for Nicotinamide.
AB - The synthesis of a new photocaged nicotinamide having an N-acyl carbamate linker
and a p-hydroxyphenacyl (pHP) chromophore is described. The photophysical and
photochemical studies showed an absorption maximum at lambda = 330 nm and a
quantum yield for release of 11% that are dependent upon both pH and solvent.
While the acyl carbamate releases nicotinamide efficiently, a simpler amide
linker was inert to photocleavage. This photocaged nicotinamide has significant
advantages with respect to quantum yield, absorbance wavelength, rate of release,
and solubility that make it the first practical example of a photocaged amide.
PMID- 29652163
TI - Gold-catalyzed Bicyclization of Diaryl Alkynes: Synthesis of Polycyclic Fused
Indole and Spirooxindole Derivatives.
AB - An unprecedented gold-catalyzed bicyclization reaction of diaryl alkynes has been
developed for the synthesis of indoles in good to high yields. Mechanistically,
this alkyne bifunctionalization transformation was terminated by a stepwise
formal X-H insertion reaction to furnish the corresponding polycyclic-frameworks
with structural diversity, and the key intermediate 3 H-indole was isolated and
characterized for the first time. In addition, further transformation of these
generated tetracyclic-indoles with PCC as the oxidant provided straightforward
access to the spirooxindoles in high yields.
PMID- 29652164
TI - alpha-Ketocarbenium Ions Derived from Orthoquinone-Containing Polycyclic Aromatic
Compounds.
AB - alpha-Ketocarbenium ions derived from synthesized orthoquinone-containing
polycyclic aromatic compounds were generated in the presence of Bronsted acids
such as sulfuric acid, trifluoromethanesulfonic acid, and fluorosulfonic acid.
The prepared alpha-ketocarbenium ions were stabilized by conjugation of the
aromatic moiety. In addition, unique absorption properties of the alpha
ketocarbenium ions were observed and identified on the basis of the calculated
absorption spectra. It was suggested that the zigzag-shaped architecture
stabilizes the newly discovered alpha-ketocarbenium ions derived from
orthoquinone-containing polycyclic aromatic compounds.
PMID- 29652165
TI - Exploring the Predictors of Emergency Department Triage Acuity Assignment in
Patients With Sepsis.
AB - Background and purpose Evidence suggests that septic patients, who require prompt
medical attention, may be undertriaged, resulting in delayed treatment. The
purpose of this study was to examine patient and contextual variables that
contribute to high- versus low-acuity triage classification of patients with
sepsis. Methods Data were abstracted from the medical records of 154 adult
patients with sepsis admitted to hospital through a Canadian Emergency
Department. Logistic regression was used to explore the predictors of triage
classification. Results Language barriers or chronic cognitive impairment (odds
ratio 5.7; 95% confidence interval 2.15, 15.01), acute confusion (odds ratio 3.4;
confidence interval 1.3, 8.2), unwell appearance (odds ratio 3.4; 95% confidence
interval 1.7, 7.0), and hypotension (odds ratio 0.98; confidence interval 0.96,
1.0) were predictive of higher acuity classification. Temperature, heart rate,
respiratory rate, and contextual factors were not related to triage
classification. Conclusions Several patient-related factors were related to
triage classification. However, the finding that temperature and heart and
respiratory rates were not related to triage classification was troubling. Our
findings point to a need for enhanced education for triage nurses regarding the
physiological indices of sepsis. The sensitivity of the Canadian Triage
Assessment Scale, used in Canadian Emergency Rooms, also needs to be examined.
PMID- 29652166
TI - Ambulance use, distance and outcomes in patients with suspected cardiovascular
disease: a registry-based geographic information system study.
AB - BACKGROUND: Despite guideline recommendations, the majority of patients with
symptoms suggestive of acute coronary syndrome do not use emergency medical
services to reach the emergency department (ED). The aim of this study was to
investigate the factors associated with EMS utilisation and subsequent patient
outcomes. METHODS: Using administrative data, all patients who presented to an ED
in the metropolitan areas of Edmonton and Calgary in the years of 2007-2013 with
main ED diagnosis of acute coronary syndrome, stable angina or chest pain were
included. The travel distance was estimated using the geographic information
system method to approximate the distance between the ED and patient home. The
clinical endpoints were the 7-day and 30-day all-cause events (death, re
hospitalisation and repeat ED visit). RESULTS: Of 50,881 patients, 30.5%
presented by emergency medical services. Patients with older age, female sex, ED
diagnosis of acute coronary syndrome, more comorbidities and lower household
income were more likely to use emergency medical services to reach the hospital.
Longer travel distance was associated with higher emergency medical services use
(odds ratio 1.09, 95% confidence interval 1.09-1.10), but it was not a predictor
of clinical events. After adjustment for covariates and inverse propensity score
weighting, emergency medical services use was associated with a higher risk of 7
day and 30-day clinical events. CONCLUSION: Several demographic and clinical
features were associated with higher emergency medical services use including
geographical variation. Although longer travel distance was shown to be linked to
higher emergency medical services use, it was not an independent predictor of
patient outcome. This has implications for the design of emergency medical
services systems, triage and early diagnosis and treatment options.
PMID- 29652167
TI - Computational phantoms, ICRP/ICRU, and further developments.
AB - Phantoms simulating the human body play a central role in radiation dosimetry.
The first computational body phantoms were based upon mathematical expressions
describing idealised body organs. With the advent of more powerful computers in
the 1980s, voxel phantoms have been developed. Being based on three-dimensional
images of individuals, they offer a more realistic anatomy. Hence, the
International Commission on Radiological Protection (ICRP) decided to construct
voxel phantoms representative of the adult Reference Male and Reference Female
for the update of organ dose coefficients. Further work on phantom development
has focused on phantoms that combine the realism of patient-based voxel phantoms
with the flexibility of mathematical phantoms, so-called 'boundary
representation' (BREP) phantoms. This phantom type has been chosen for the ICRP
family of paediatric reference phantoms. Due to the limited voxel resolution of
the adult reference computational phantoms, smaller tissues, such as the lens of
the eye, skin, and micron-thick target tissues in the respiratory and alimentary
tract regions, could not be segmented properly. In this context, ICRP Committee 2
initiated a research project with the goal of producing replicas of the ICRP
Publication 110 phantoms in polygon mesh format, including all source and target
regions, even those with micron resolution. BREP phantoms of the fetus and the
pregnant female at various stages of gestation complete the phantoms available
for radiation protection computations.
PMID- 29652168
TI - Evidence for dose and dose rate effects in human and animal radiation studies.
AB - For stochastic effects such as cancer, linear-quadratic models of dose are often
used to extrapolate from the experience of the Japanese atomic bomb survivors to
estimate risks from low doses and low dose rates. The low dose extrapolation
factor (LDEF), which consists of the ratio of the low dose slope (as derived via
fitting a linear-quadratic model) to the slope of the straight line fitted to a
specific dose range, is used to derive the degree of overestimation (if LDEF > 1)
or underestimation (if LDEF < 1) of low dose risk by linear extrapolation from
effects at higher doses. Likewise, a dose rate extrapolation factor (DREF) can be
defined, consisting of the ratio of the low dose slopes at high and low dose
rates. This paper reviews a variety of human and animal data for cancer and non
cancer endpoints to assess evidence for curvature in the dose response (i.e.
LDEF) and modifications of the dose response by dose rate (i.e. DREF). The JANUS
mouse data imply that LDEF is approximately 0.2-0.8 and DREF is approximately 1.2
2.3 for many tumours following gamma exposure, with corresponding figures of
approximately 0.1-0.9 and 0.0-0.2 following neutron exposure. This paper also
cursorily reviews human data which allow direct estimates of low dose and low
dose rate risk.
PMID- 29652169
TI - Contribution of Neuromuscular Factors to Quadriceps Asymmetry After Anterior
Cruciate Ligament Reconstruction.
AB - CONTEXT: To quantify quadriceps weakness after anterior cruciate ligament
reconstruction (ACLR), researchers have often analyzed only peak torque. However,
analyzing other characteristics of the waveform, such as the rate of torque
development (RTD), time to peak torque (TTP), and central activation ratio (CAR),
can lend insight into the underlying neuromuscular factors that regulate torque
development. OBJECTIVE: To determine if interlimb neuromuscular asymmetry was
present in patients with ACLR at the time of clearance to return to activity.
DESIGN: Cross-sectional study. SETTING: Laboratory. PATIENTS OR OTHER
PARTICIPANTS: A total of 10 individuals serving as controls (6 men, 4 women;
age = 23.50 +/- 3.44 years, height = 1.73 +/- 0.09 m, mass = 71.79 +/- 9.91 kg)
and 67 patients with ACLR (43 men, 24 women; age = 21.34 +/- 5.73 years, height =
1.74 +/- 0.11 m, mass = 77.85 +/- 16.03 kg, time postsurgery = 7.52 +/- 1.36
months) participated. MAIN OUTCOME MEASURE(S): Isokinetic (60 degrees /s) and
isometric quadriceps strength were measured. Peak torque, TTP, and RTD were
calculated across isometric and isokinetic trials, and CAR was calculated from
the isometric trials via the superimposed burst. Repeated-measures analyses of
variance were used to compare limbs in the ACLR and control groups. RESULTS: No
between-limbs differences were detected in the control group ( P > .05). In the
ACLR group, the involved limb demonstrated a longer TTP for isokinetic strength (
P = .04; Cohen d effect size [ES] = 0.18; 95% confidence interval [CI] = -0.16,
0.52), lower RTD for isometric ( P < .001; Cohen d ES = 0.73; 95% CI = 0.38,
1.08) and isokinetic ( P < .001; Cohen d ES = 0.84; 95% CI = 0.49, 1.19)
strength, lower CAR ( P < .001; Cohen d ES = 0.37; 95% CI = 0.03, 0.71), and
lower peak torque for isometric ( P < .001; Cohen d ES = 1.28; 95% CI = 0.91,
1.65) and isokinetic ( P < .001; Cohen d ES = 1.15; 95% CI = 0.78, 1.52)
strength. CONCLUSIONS: Interlimb asymmetries at return to activity after ACLR
appeared to be regulated by several underlying neuromuscular factors. We theorize
that interlimb asymmetries in isometric and isokinetic quadriceps strength were
associated with changes in muscle architecture. Reduced CAR, TTP, and RTD were
also present, indicating a loss of motor-unit recruitment or decrease in firing
rate.
PMID- 29652170
TI - Pulmonary Transplantation of Human Induced Pluripotent Stem Cell-derived
Macrophages Ameliorates Pulmonary Alveolar Proteinosis.
AB - RATIONALE: Although the transplantation of induced pluripotent stem cell (iPSC)
derived cells harbors enormous potential for the treatment of pulmonary diseases,
in vivo data demonstrating clear therapeutic benefits of human iPSC-derived cells
in lung disease models are missing. OBJECTIVES: We have tested the therapeutic
potential of iPSC-derived macrophages in a humanized disease model of hereditary
pulmonary alveolar proteinosis (PAP). Hereditary PAP is caused by a genetic
defect of the GM-CSF (granulocyte-macrophage colony-stimulating factor) receptor,
which leads to disturbed macrophage differentiation and protein/surfactant
degradation in the lungs, subsequently resulting in severe respiratory
insufficiency. METHODS: Macrophages derived from human iPSCs underwent
intrapulmonary transplantation into humanized PAP mice, and engraftment, in vivo
differentiation, and therapeutic efficacy of the transplanted cells were
analyzed. MEASUREMENTS AND MAIN RESULTS: On intratracheal application, iPSC
derived macrophages engrafted in the lungs of humanized PAP mice. After 2 months,
transplanted cells displayed the typical morphology, surface markers,
functionality, and transcription profile of primary human alveolar macrophages.
Alveolar proteinosis was significantly reduced as demonstrated by diminished
protein content and surfactant protein D levels, decreased turbidity of the BAL
fluid, and reduced surfactant deposition in the lungs of transplanted mice.
CONCLUSIONS: We here demonstrate for the first time that pulmonary
transplantation of human iPSC-derived macrophages leads to pulmonary engraftment,
their in situ differentiation to an alveolar macrophage phenotype, and a
reduction of alveolar proteinosis in a humanized PAP model. To our knowledge,
this finding presents the first proof-of-concept for the therapeutic potential of
human iPSC-derived cells in a pulmonary disease and may have profound
implications beyond the rare disease of PAP.
PMID- 29652171
TI - Polarization-Dependent Atomic Force Microscopy-Infrared Spectroscopy (AFM-IR):
Infrared Nanopolarimetric Analysis of Structure and Anisotropy of Thin Films and
Surfaces.
AB - Infrared techniques enable nondestructive and label-free studies of thin films
with high chemical and structural contrast. In this work, we review recent
progress and perspectives in the nanoscale analysis of anisotropic materials
using an extended version of the atomic force microscopy-infrared (AFM-IR)
technique. This advanced photothermal technique, includes polarization control of
the incoming light and bridges the gap in IR spectroscopic analysis of local
anisotropic material properties. Such local anisotropy occurs in a wide range of
materials during molecular nucleation, aggregation, and crystallization
processes. However, analysis of the anisotropy in morphology and structure can be
experimentally and theoretically demanding as it is related to order and disorder
processes in ranges from nanoscopic to macroscopic length scales, depending on
preparation and environmental conditions. In this context IR techniques can
significantly assist as IR spectra can be interpreted in the framework of optical
models and numerical calculations with respect to both, the present chemical
conditions as well as the micro- and nanostructure. With these extraordinary
analytic possibilities, the advanced AFM-IR approach is an essential puzzle piece
in direction to connect nanoscale and macroscale anisotropic thin film properties
experimentally. In this review, we highlight the analytic possibilities of AFM-IR
for studies on nanoscale anisotropy with a set of examples for polymer,
plasmonic, and polaritonic films, as well as aggregates of large molecules and
proteins.
PMID- 29652172
TI - Air Pollution and Respiratory Infection: An Emerging and Troubling Association.
PMID- 29652173
TI - A Review of Commercially Available Point-of-Care Devices to Concentrate Bone
Marrow for the Treatment of Osteoarthritis and Focal Cartilage Lesions.
AB - Objective Mesenchymal stem cells (MSCs) are a promising cell-based therapy
treatment option for several orthopedic indications. Because culture expansion of
MSC is time and cost intensive, a bedside concentration of bone marrow (BM)
aspirate is used as an alternative. Many commercial systems are available but the
available literature and knowledge regarding these systems is limited. We
compared different point-of-care devices that concentrate BM (BMC) by focusing on
technical features and quality parameters to help surgeons make informed
decisions while selecting the appropriate device. Methods We compared published
data on the BMC devices of Arteriocyte, Arthrex, Celling Biosciences, EmCyte,
Exactech, ISTO Tech, Harvest Tech/Terumo BCT, and Zimmer/BIOMET regarding
technical features (centrifugation speed/time, input/output volume, kit
components, type of aspiration syringes, filter usage) and quality parameters of
their final BMC product (hematocrit, concentration of platelets and total
nucleated cells, concentration of MSC and connective tissue progenitor cells).
Results The systems differ significantly in their technical features and
centrifugation parameters. Only the fully automated systems use universal kits,
which allow processing different volumes of BM. Only the Arthrex system allows
selection of final hematocrit. There was no standardized reporting method to
describe biologic potency. Conclusions Based on the data obtained in this review,
recommending a single device is not possible because the reported data could not
be compared between devices. A standardized reporting method is needed for valid
comparisons. Furthermore, clinical outcomes are required to establish the true
efficacy of these systems. We are conducting additional studies for more careful
comparison among the devices.
PMID- 29652174
TI - Short-Term Elevation of Fine Particulate Matter Air Pollution and Acute Lower
Respiratory Infection.
AB - RATIONALE: Nearly 60% of U.S. children live in counties with particulate matter
less than or equal to 2.5 MUm in aerodynamic diameter (PM2.5) concentrations
above air quality standards. Understanding the relationship between ambient air
pollution exposure and health outcomes informs actions to reduce exposure and
disease risk. OBJECTIVES: To evaluate the association between ambient PM2.5
levels and healthcare encounters for acute lower respiratory infection (ALRI).
METHODS: Using an observational case-crossover design, subjects (n = 146,397)
were studied if they had an ALRI diagnosis and resided on Utah's Wasatch Front.
PM2.5 air pollution concentrations were measured using community-based air
quality monitors between 1999 and 2016. Odds ratios for ALRI healthcare
encounters were calculated after stratification by ages 0-2, 3-17, and 18 or more
years. MEASUREMENTS AND MAIN RESULTS: Approximately 77% (n = 112,467) of subjects
were 0-2 years of age. The odds of ALRI encounter for these young children
increased within 1 week of elevated PM2.5 and peaked after 3 weeks with a
cumulative 28-day odds ratio of 1.15 per +10 MUg/m3 (95% confidence interval,
1.12-1.19). ALRI encounters with diagnosed and laboratory-confirmed respiratory
syncytial virus and influenza increased following elevated ambient PM2.5 levels.
Similar elevated odds for ALRI were also observed for older children, although
the number of events and precision of estimates were much lower. CONCLUSIONS: In
this large sample of urban/suburban patients, short-term exposure to elevated
PM2.5 air pollution was associated with greater healthcare use for ALRI in young
children, older children, and adults. Further exploration is needed of causal
interactions between PM2.5 and ALRI.
PMID- 29652175
TI - The work programme of NERIS in post-accident recovery.
AB - NERIS is the European platform on preparedness for nuclear and radiological
emergency response and recovery. Created in 2010 with 57 organisations from 28
different countries, the objectives of the platform are to: improve the
effectiveness and coherency of current approaches to preparedness; identify
further development needs; improve 'know how' and technical expertise; and
establish a forum for dialogue and methodological development. The NERIS
Strategic Research Agenda is now structured with three main challenges: (i)
radiological impact assessments during all phases of nuclear and radiological
events; (ii) countermeasures and countermeasure strategies in emergency and
recovery, decision support, and disaster informatics; and (iii) setting up a
multi-faceted framework for preparedness for emergency response and recovery. The
Fukushima accident has highlighted some key issues for further consideration in
NERIS research activities, including: the importance of transparency of decision
making processes at local, regional, and national levels; the key role of access
to environmental monitoring; the importance of dealing with uncertainties in
assessment and management of the different phases of the accident; the use of
modern social media in the exchange of information; the role of stakeholder
involvement processes in both emergency and recovery situations; considerations
of societal, ethical, and economic aspects; and the reinforcement of education
and training for various actors. This paper emphasises the main issues at stake
for NERIS for post-accident management.
PMID- 29652176
TI - Medication Use in Women and Men With Sudden Unexpected Death.
AB - BACKGROUND: In Wake County, NC, sudden unexpected death accounts for 10% to 15%
of all natural deaths in individuals 18 to 64 years old. Medications such as
aspirin, angiotensin-converting enzyme inhibitors, angiotensin receptor blockers,
statins, and beta-blockers are recommended in guidelines to reduce cardiovascular
events and even sudden death (beta-blockers). However, guidelines are often
underpracticed, even in high-risk patients, with noted disparities in women.
OBJECTIVE: We assessed the relation between prescription of evidence-based
medications and sudden unexpected death in Wake County, NC. METHODS: We analyzed
399 cases of sudden unexpected death for the time period March 1, 2013 to
February 28, 2015 in Wake County, NC. Medications were assessed from available
medical examiner reports and medical records and grouped using the third level of
the Anatomical Therapeutic Chemical Classification System (ATC) codes. This study
was reviewed and exempt by the University of North Carolina's institutional
review board. RESULTS: Among 126 female and 273 male victims, women were
prescribed more medications overall than men (6.5 vs 4.3, P = 0.001); however,
the use of guideline-directed therapies was not different between genders in the
chronic conditions associated with sudden death. Overall, there was remarkably
low use of evidence-based medications. CONCLUSIONS: Our findings highlight the
need to improve prescribing of evidence-based medications and to further explore
the relationship between undertreatment and sudden unexpected death.
PMID- 29652177
TI - Bronchial Epithelial IgA Secretion Is Impaired in Asthma. Role of IL-4/IL-13.
AB - RATIONALE: Asthma is associated with increased lung IgE production, but whether
the secretory IgA system is affected in this disease remains unknown. OBJECTIVES:
We explored mucosal IgA transport in human asthma and its potential regulation by
T-helper cell type 2 inflammation. METHODS: Bronchial biopsies from asthma and
control subjects were assayed for bronchial epithelial polymeric immunoglobulin
receptor (pIgR) expression and correlated to T-helper cell type 2 biomarkers.
Bronchial epithelium reconstituted in vitro from these subjects, on culture in
air-liquid interface, was assayed for pIgR expression and regulation by IL-4/IL
13. MEASUREMENTS AND MAIN RESULTS: Downregulation of pIgR protein was observed in
the bronchial epithelium from patients with asthma (P = 0.0002 vs. control
subjects). This epithelial defect was not observed ex vivo in the cultured
epithelium from patients with asthma. Exogenous IL-13 and IL-4 could inhibit pIgR
expression and IgA transcytosis. Mechanistic experiments showed that autocrine
transforming growth factor-beta mediates the IL-4/IL-13 effect on the pIgR, with
a partial contribution of upregulated transforming growth factor-alpha/epidermal
growth factor receptor. CONCLUSIONS: This study shows impaired bronchial
epithelial pIgR expression in asthma, presumably affecting secretory IgA-mediated
frontline defense as a result of type 2 immune activation of the transforming
growth factor pathway.
PMID- 29652178
TI - Multi-modal imaging for dose planning and its benefits: the paradigm of head and
neck tumours.
AB - The ultimate goal of any radiotherapy is to eradicate the disease without
inflicting damage on the normal tissues surrounding the tumours, which could be
responsible for late treatment morbidity. To achieve this objective, the first
step is to precisely select and delineate the target volumes to which a given
dose will be prescribed. This step requires the use of multi-modal images from
clinical examination to anatomical and molecular images. Imaging examination will
be used not only to delineate the boundaries of the tumour volume, but also to
assess tumour heterogeneity and, possibly, to guide a heterogeneous dose
prescription (i.e. the so-called 'dose painting' approach). Last, re-imaging the
patient during treatment to assess variation of the tumour volume during
radiotherapy may also be performed in the framework of adaptive treatment. Over
the last decade, a lot of information has been gathered on the use of multi-modal
imaging for dose planning, and its potential and technical difficulties have been
identified. During the lecture, the speaker will review the state-of-the-art of
multi-imaging for treatment, using head and neck tumours as a paradigm,
emphasising what should be considered as routine practice and what should still
be viewed as research questions. (c) 2018 ICRP. Published by SAGE.
PMID- 29652179
TI - Associations Between Maternal Nutrition Knowledge, Attitude, and Practice and 25
Hydroxyvitamin D Levels and Rickets in Children in Xinjiang Province, People's
Republic of China.
AB - We explored the association between maternal nutrition knowledge, attitude, and
practice (KAP) and 25-hydroxyvitamin D (25(OH)D) values in children with rickets
in Xinjiang, People's Republic of China. We recruited 514 mothers with children
(<2 years) from pediatric outpatient departments. We determined general status of
families, maternal nutrition KAP, and 25(OH)D values in 212 children with
rickets, with doctors using "Prevention and Treatment Program for Infant and
Young Children Rickets" and "Application Guideline for Vitamin D and Bone Health
in Adult Chinese (2014 Standard Edition)" to identify. We then assessed the
associations between nutrition KAP and 25(OH)D values. The 25(OH)D values were
positively correlated with maternal nutrition KAP scores. Factors influencing
rickets included per-capita monthly dietary expenditure and spousal education
level. Factors associated with nutrition practice included maternal education
level, gestational vitamin D level, and nutrition knowledge. Augmented maternal
nutrition KAP may improve 25(OH)D levels in children with rickets to a normal
range.
PMID- 29652180
TI - Evidence-Based Management of In-Toeing in Children.
PMID- 29652181
TI - Trends and Substance Use Associations With E-Cigarette Use in US Adolescents.
AB - Concerns exist that e-cigarette use may introduce adolescents to drugs. This
study explores trends and associations of inhaled tobacco use with drug use. We
performed a secondary data analysis on the National Youth Risk Behavior Survey
examining the inhaled tobacco and drug use patterns among US teens. Adjusted odds
ratios were calculated using bivariate and multivariate analyses between inhaled
tobacco use with other drug use, adjusting for age, race/ethnicity, and gender.
When adjusting for gender, age, and race/ethnicity, teens who use only e
cigarettes had a higher odds than peers who do not use any inhaled tobacco
products to have ever tried marijuana or alcohol, currently use marijuana or
alcohol, have lifetime drug use, and misused prescription medications. Drug risk
behavior appears stratified with type of inhaled tobacco used, with generally
exclusive e-cigarette use linked to lowest risk and conventional and e-cigarette
use associated with highest risk of drug use.
PMID- 29652182
TI - Risk assessment practice within primary mental health care: A logics perspective.
AB - From the 1980s onwards, discourses of risk have continued to grow, almost in
ubiquity. Ideas and practices of risk and risk aversion have extended to UK
mental health care where services are expected to assess and manage risks, and
high-quality clinical assessment has been revised to incorporate risk assessment.
This article problematises practices of risk assessment in mental health
provision, focussing on the base-rate problem. It presents an analysis of audio
recordings of risk assessments completed within a primary care mental health
service. The analysis is informed by a critical logics approach which, using
ideas from discourse theory as well as Lacanian psychoanalysis, involves
developing a set of logics to describe, analyse and explain social phenomena. We
characterise the assessments as functioning according to social logics of well
oiled administration and preservation, whereby bureaucratic processes are
prioritised, contingency ironed out or ignored, and a need to manage potential
risks to the service are the dominant operational frames. These logics are
considered in terms of their beatific and horrific fantasmatic dimensions,
whereby risk assessment is enacted as infallible (beatific) until clients become
threats (horrific), creating a range of potential false negatives, false
positives and so forth. These processes function to obscure or background
problems with risk assessment, by generating practices that favour and offer
protection to assessors, at the expense of those being assessed, thus presenting
a challenge to the stated aim of risk assessment practice.
PMID- 29652183
TI - Effects of sunitinib on immunoreactivity of vimentin, E-cadherin and S100 in
kidneys of streptozotocin induced diabetic mice.
AB - Diabetes mellitus (DM) affects many organs including kidney. Tyrosine kinase can
cause hypoglycemia and sunitinib is an inhibitor of tyrosine kinase. We
investigated the possible effects of sunitinib on the kidney of streptozotocin
(STZ) induced type 1 diabetic mice. We used 28 CD 1 type male mice divided into
four groups of seven. Type 1 diabetes was induced by injection of STZ. Group 1
was the untreated control. Group 2 comprised non-diabetic mice + sunitinib. Both
groups 1 and 2 exhibited normal blood glucose levels. Group 3 comprised STZ
treated diabetic mice + saline. Group 4 were diabetic mice + sunitinib treatment.
Kidneys were removed after 8 weeks. The immunoreactivities of vimentin, E
cadherin and S100 were assessed. Immunostaining of vimentin, E-cadherin and S100
was located in both the glomeruli and tubules of the kidney. We found that the
number of vimentin and E-cadherin positive glomeruli and tubules were increased
after sunitinib treatment compared to saline treated diabetic mice. The number of
vimentin labeled tubules was decreased in the sunitinib treated group compared to
diabetic + saline groups. Differences in the number of S100 positive tubules and
glomeruli between groups 3 and 4 were not statistically significant. The effect
of sunitinib on experimental diabetic mice appears to be related to levels of
vimentin, E-cadherin and S100 in the glomeruli and tubules of the kidney, and
sunitinib may protect against renal damage from DM.
PMID- 29652184
TI - Dioxin-like Compounds in Lake Fish Species: Evaluation by DR-CALUX Bioassay.
AB - Fish consumption is the principal source of intake of organochlorinated compounds
in humans. Compared with other types of foods of animal origin, fish contain the
highest levels of polychlorinated biphenyls (PCBs), polychlorinated dibenzo- p
dioxins, and polychlorinated dibenzofurans, all of which are classified as highly
toxic organochlorine compounds. Currently, lakes and fish farms in northern Italy
are not regularly monitored for PCBs and dioxins in areas contaminated by
industrial sources, partially because of the high costs of traditional analytical
methods that limit the number of samples to be analyzed. The DR-CALUX cell
bioassay is based on the uptake of the cellular aryl hydrocarbon receptor (AhR)
for dioxins and dioxin-like compounds. The aim of this study was to assess the
levels of dioxins and dioxin-like PCB contamination in Lake Maggiore and Lake
Como, two lakes in northwestern Italy, and in nearby areas. The levels were
quantified using the cell bioassay DR-CALUX and reference controls in two wild
fish species, perch ( Perca fluviatilis) and roach ( Rutilus rutilus), and in a
farmed species, rainbow trout ( Oncorhynchus mykiss). Tissue samples collected
from the farmed rainbow trout were also submitted to immunohistochemical analysis
of CYP1A expression as a marker for environmental pollutant-induced liver damage.
The levels of dioxins, furans, and dioxin-like PCBs were all below the maximum
levels and action limits set by European Union Regulation, suggesting no risk for
human health associated with the consumption of the fish species caught or farmed
in these areas.
PMID- 29652185
TI - The a' velocity in the tissue Doppler predicts S/D ratio <1 in patients with a
normal ejection fraction.
AB - OBJECTIVES: To compare the ability of the a' velocity and the e' velocity in
predicting a dominant diastolic pulmonary vein flow (S/D ratio <1) in patients
with normal ejection fraction. DESIGN: We retrospectively evaluated the diastolic
function according to the ASE/EACVI guidelines, the S/D ratio and the septal,
lateral and average a' velocity in 293 unselected in-hospital patients, aged 39
86 years, in sinus rhythm and with no or mild valve disease, having a normal
systolic function (EF >50%). RESULTS: There was a good linear correlation between
the S/D ratio and the a' velocity, but a tendency towards a negative correlation
between the S/D ratio and the e' velocity. S/D ratio <1 was seen in 43 patients.
These patients had a significantly lower a' velocity compared to those with S/D
ratio >=1, septal (6,1 +/- 2,4 v 8,8 +/- 2,1 cm/s; p < .001) and lateral (6,0 +/-
2,6 v 9,3 +/- 2,5 cm/s; p < .001) No significant difference was seen in the
septal e' velocity (6,8 +/- 2,4 v 6,8 +/- 2,1 cm/s), lateral e' velocity (9,4 +/-
2,6 v 9,1 +/- 2,8 cm/s) or in the ejection fraction (58,6 +/- 4,4% v 58,7 +/-
4,0%). A diastolic dysfunction was present in 62 patients, normal diastolic
function in 231 patients. The a' velocity was significantly lower in patients
with S/D ratio <1 in both groups (p < .01). CONCLUSION: The a' velocity is
superior to the e' velocity in predicting S/D ratio <1 in patients with normal
ejection fraction regardless the ASE/EACVI diastolic classification. A failing
left atrium seems to be the explanation.
PMID- 29652186
TI - Surgical Treatment of Mild to Severe Hallux Valgus Deformities With a
Percutaneous Subcapital Osteotomy Combined With a Lateral Soft Tissue Procedure.
AB - : Distal metatarsal (MT) osteotomies have been used in mild or moderate cases of
hallux valgus (HV) and proximal MT osteotomy has been considered the treatment of
choice for severe deformities. A distal osteotomy could achieve a greater degree
of correction by the addition of a distal soft-tissue procedure and be used to
treat also severe deformity. Limited evidence about the use of the percutaneous
subcapital osteotomy (SCOT); a type of MT osteotomy, in combination of a soft
tissue procedure, is available. We evaluated this procedure routinely used in our
clinic. A total of 30 consecutive patients treated in our hospital from September
2012 to April 2015 with SCOT combined with lateral soft tissue release were
included in this retrospective review. Outcomes assessed included radiological
parameters: HV angle (HVA) and intermetatarsal angle (IMA), clinical evaluation
using the American Orthopaedic Foot and Ankle Society (AOFAS) score, and
complication rate. In 12 of the 30 patients included, the pathology was
bilateral, comprising a total of 42 cases. The overall correction of the angles
was statistically significant (P < .001), changing from a HVA of 28.2 degrees
and IMA of 13.5 degrees preoperatively to 8.0 degrees and 6.0 degrees
postoperatively, respectively. The cases were divided into mild-moderate (34/42)
and severe (8/42). Both groups showed a statistically significant correction in
the angles, 3 months after surgery (P < .001). The AOFAS score showed a median of
49 points (n = 24) preoperatively and of 95 points (n = 40) at the end of follow
up. The complication rate at end of follow-up was 19% (8/42). After a minimum
follow-up of 1 year, our technique for HV correction results in a clinically
relevant improvement of the radiological parameters and AOFAS score in mild to
severe deformities. Combination with lateral release could be a meaningful
surgical alternative for the treatment of severe cases to help decrease the risk
of recurrence. LEVELS OF EVIDENCE: Level IV.
PMID- 29652187
TI - Blood Transfusion During Total Ankle Arthroplasty Is Associated With Increased In
Hospital Complications and Costs.
AB - INTRODUCTION: Total ankle arthroplasty (TAA) is an increasingly used, effective
treatment for end-stage ankle arthritis. Although numerous studies have
associated blood transfusion with complications following hip and knee
arthroplasty, its effects following TAA are largely unknown. This study uses data
from a large, nationally representative database to estimate the association
between blood transfusion and inpatient complications and hospital costs
following TAA. METHODS: Using the Nationwide Inpatient Sample (NIS) database from
2004 to 2014, 25 412 patients who underwent TAA were identified, with 286 (1.1%)
receiving a blood transfusion. Univariate analysis assessed patient and hospital
factors associated with blood transfusion following TAA. RESULTS: Patients
requiring blood transfusion were more likely to be female, African American,
Medicare recipients, and treated in nonteaching hospitals. Average length of stay
for patients following transfusion was 3.0 days longer, while average inpatient
cost was increased by approximately 50%. Patients who received blood transfusion
were significantly more likely to suffer from congestive heart failure,
peripheral vascular disease, hypothyroidism, coagulation disorder, or anemia.
Acute renal failure was significantly more common among patients receiving blood
transfusion ( P < .001). CONCLUSION: Blood transfusions following TAA are
infrequent and are associated with multiple medical comorbidities, increased
complications, longer hospital stays, and increased overall cost. LEVELS OF
EVIDENCE: Level III: Retrospective, comparative study.
PMID- 29652188
TI - Serum concentrations of apelin-17 isoform vary in accordance to blood pressure
categories in individuals with obesity class 3.
AB - BACKGROUND: The aim of this study was to investigate if serum concentrations of
apelin-36, apelin-17, apelin-13 or apelin-12 were different in obesity class 3
individuals with hypertension, when compared to those without hypertension
(normal or high-normal). SUBJECTS AND METHODS: Twenty six individuals with
obesity class 3-related hypertension and thirty three individuals without
hypertension, who were divided in individuals with normal (n = 23) or with high
normal (n = 10) blood pressure (BP) were analyzed. All individuals presented
obesity class 3, without diabetes mellitus. Measurements of all apelin isoforms
were performed using enzyme-linked immunosorbent assay kits. Analysis of
differences between groups of Apelin isoform concentrations was performed by a
One-way ANOVA, with a Tukey test post hoc. RESULTS: The individuals of the
hypertensive group presented a slightly lower serum concentration of all apelin
isoforms, but these differences were not statistically significant. These results
were more evident when the group of patients without hypertension were divided
based in normal and high-normal BP, observing that apelin-17 isoform were higher
in individuals with high-normal BP in comparison to subjects with normal BP (P =
0.018); concentrations were also higher when compared to subjects with
hypertension (P = 0.004). CONCLUSIONS: To our knowledge, this is the first study
regarding the differences of apelin-17 isoform concentrations in individuals
pertaining to different categories of BP, who presented obesity class 3. The
group of patients that presented hypertension showed a lower concentration of all
isoforms. This observation could be due to the fact that these patients were
taking antihypertensive medication.
PMID- 29652189
TI - A Review and Update on Papillary Immature Metaplasia of the Uterine Cervix: A
Distinct Subset of Low-Grade Squamous Intraepithelial Lesion, Proposing a
Possible Cell of Origin.
AB - CONTEXT: - Papillary immature metaplasia (PIM) is a known papillary cervical
lesion associated with low-risk human papillomavirus (LR-HPV). OBJECTIVE: - To
evaluate additional clinicopathologic features and the HPV genotypes of PIM and
discuss the presumptive cell of origin. DESIGN: - A total of 26 PIM cases were
evaluated by p16INK4a, cytokeratin (CK) 7, and CK17 immunohistochemical
stainings. Human papillomavirus genotyping was performed, by using HPV DNA Chip,
HPV polymerase chain reaction (PCR), and real-time PCR. RESULTS: -
Histologically, PIM forms either a papillary mass (n = 21 of 26, 81%) or a
slightly elevated/flat plaque (n = 5, 19%). All cases contain variable amounts of
mucinous epithelia within the lesions. Koilocytosis was identified in 15 of the
26 cases (58%). Sixteen cases (61%) were associated with LR-HPV (types 6, 11, or
42), but 3 cases (12%) with high-risk (HR) HPV (16, 16/18, and 33), 2 cases (8%)
with mixed LR- and HR-HPV (6/16 and 11/58), while 2 cases (8%) were negative, but
p16INK4a immunostaining showed nonblock positivity in all cases. Eight (31%) had
high-grade squamous intraepithelial lesion (HSIL) in the adjacent mucosa, 4 (50%)
of which showed direct continuity. Identical HPV subtypes were confirmed in
separately microdissected cases from PIM and adjacent HSIL. Most lesions (n = 24,
92%) expressed CK17 (reserve cell marker) in a bottom-heavy pattern and CK7
(squamocolumnar junction [SCJ] marker) in a top-heavy pattern, while most cases
of low-grade squamous intraepithelial lesion (LSIL) were negative for both
markers. CONCLUSIONS: - Our results suggest that PIM is a distinct subset of LSIL
showing a productive HPV infection, but PIM involves the transformation zone and
is proximal to SCJ, while LSIL is mostly from ectocervix or distal to the SCJ.
PMID- 29652190
TI - Anal Cytology: Institutional Statistics, Correlation With Histology, and
Development of Multidisciplinary Screening Program With Review of the Current
Literature.
AB - CONTEXT: - The incidence of anal cancer in the United States is on the rise in
high-risk populations. The anal Papanicolaou test (APT) is advocated as a
screening tool, in addition to digital rectal examination and high-resolution
anoscopy. OBJECTIVE: - To review our experience and the current literature to
create, in cooperation with clinicians, a standardized screening and treatment
algorithm given our large volume of APTs. DATA SOURCES: - All APTs collected
between January 2013 and June 2015 were reviewed and correlated with follow
up/concurrent biopsy diagnoses, and clinical and social history. In total, 1417
APTs were performed on 1185 patients and APT results were as follows: 17.4% (247
of 1417) unsatisfactory; 27.9% (395 of 1417) negative; 19.5% (276 of 1417)
atypical squamous cells of undetermined significance (ASC-US); 24.1% (342 of
1417) low-grade squamous intraepithelial lesion (LSIL); 3.6% (51 of 1417)
atypical squamous cells, cannot exclude high-grade squamous intraepithelial
lesion (HSIL) (ASC-H); and 7.5% (106 of 1417) HSIL. In total 376 cases (26.5%)
had concurrent/follow-up biopsy. Review of all unsatisfactory cases with squamous
intraepithelial lesion (SIL) on biopsy showed LSIL in 19.2% (5 of 26). Anal
Papanicolaou test with cytologic abnormality (ASC-US+) had an 83.8% (315 of 376)
rate of biopsy-proven disease, and sensitivity was higher (92%) for high-grade
anal intraepithelial neoplasia or worse (AIN2+). Overall detection of AIN2+ using
ASC-US+ showed specificity of 26%, negative predictive value of 92%, and positive
predictive value of 26%. CONCLUSIONS: - Anal cytology has a high abnormal rate
(54.7%) and sensitivity but poor correlation with histologic grade. High
unsatisfactory rate indicates need for improvement in sampling with 68.4% of
cases having SIL on biopsy. Multidisciplinary effort led to improvements in
sampling, cytologic interpretation, and development of a standardized management
algorithm.
PMID- 29652191
TI - Evaluation and Significance of Mortise Instability in Supination External
Rotation Fibula Fractures: A Review Article.
AB - : Evaluation and management of ankle fractures has progressed in parallel to an
evolving understanding of ankle stability. While stability of the mortise had
historically been attributed to the lateral malleolus, Lauge-Hansen's
contributions followed by multiple other investigations increased the emphasis on
the significance of medial-sided injury in destabilizing the mortise. As the
importance of the deltoid ligament has been elucidated, the means of assessing
ligamentous incompetence and the prognostic significance of an unstable mortise
continue to be defined. LEVEL OF EVIDENCE: Level V, expert opinion.
PMID- 29652192
TI - Achilles Pullout Strength After Open Calcaneoplasty for Haglund's Syndrome.
AB - BACKGROUND: Haglund's syndrome involves a prominent posterior superior prominence
of the calcaneus. If nonoperative management fails, operative management with
calcaneoplasty is often needed. No study has assessed Achilles tendon pullout
strength after an open calcaneoplasty for Haglund's syndrome. The purpose of this
study was to investigate those changes in a cadaveric model and provide objective
data upon which to base postoperative recovery. METHODS: Seven matched pairs of
cadaveric specimens (mid-tibia to toes) were divided into 2 cohorts: (1)
intact/untreated and (2) open resection. The open resection group was treated
with an open calcaneoplasty through a posterior approach using a microsagittal
saw. We compared Achilles pullout strength between the 2 groups through the use
of a mechanical testing system. Specimens were then loaded to failure. Lateral
radiographs were obtained before and after surgery to quantify bone removal.
Outcome measures included height of bony resection, angle of bone resection, and
load to failure. RESULTS: The mean maximum pullout strength was significantly
higher in the intact specimens (1300 +/- 500 N) compared to the open resection
group (740 +/- 180 N) ( P < .01), representing a 45% reduction in pullout force
in the open resection group. Pullout force was significantly correlated to bone
mineral density (BMD) ( P < .05). Pullout force was negatively correlated to both
radiographic measures of resection level, angle, and height, but neither of these
were significant. CONCLUSION: Open calcaneoplasty demonstrated a significant
weakness of the Achilles tendon insertion. Pullout strength of the Achilles was
also positively correlated with BMD. CLINICAL RELEVANCE: Biomechanical evidence
presented above supports the practice of protected weightbearing and cautious
return to activity after open calcaneoplasty for Haglund's syndrome.
PMID- 29652193
TI - Relationship Between Bone Marrow Lesions on MRI and Cartilage Degeneration in
Osteochondral Lesions of the Talar Dome.
AB - BACKGROUND: In the evaluation of osteochondral lesions of the talar dome (OLT),
bone marrow lesions (BML) are commonly observed in the subchondral bone on
magnetic resonance imaging (MRI). However, the significance of BML, such as the
histology of the overlying cartilage, is still unclear. The purpose of this study
was to investigate the relationship between the BML and cartilage degeneration in
OLT. METHODS: Thirty-three ankles with OLT were included in this study. All
ankles underwent CT and MRI and had operative treatment. The ankles were divided
into 2 groups, depending on the presence of bone sclerosis (ie, with or without)
in the host bone just below the osteochondral fragment (nonsclerosis group and
sclerosis group). The area of BML was compared between the 2 groups. Biopsies of
the osteochondral fragment from 20 ankles were performed during surgery, and the
correlation between the BML and cartilage degeneration was analyzed. The
remaining 13 ankles had the CT and MRI compared with the arthroscopic findings.
RESULTS: The mean area of BML in the nonsclerosis group was significantly larger
than that in the sclerosis group. In the histologic analysis, there was a
significant and moderate correlation between the Mankin score and the area of
BML. The mean Mankin score in the nonsclerosis group was significantly lower than
that in the sclerosis group. CONCLUSIONS: This study revealed that a large area
of BML on MRI exhibited low degeneration of cartilage of the osteochondral
fragment, while a small area of BML indicated sclerosis of the subchondral bone
with severe degeneration of cartilage. The evaluation of BML may predict the
cartilage condition of the osteochondral fragment. LEVEL OF EVIDENCE: Level III,
comparative series.
PMID- 29652194
TI - Molecular docking revealed the binding of nucleotide/side inhibitors to Zika
viral polymerase solved structures.
AB - A new Zika virus (ZIKV) outbreak started in 2015. According to the World Health
Organization, 84 countries confirmed ZIKV infection. RNA-dependent RNA polymerase
(RdRp) was an appealing target for drug designers during the last two decades.
Through molecular docking, we screened 16 nucleotide/side inhibitors against ZIKV
RdRp. While the mode of interaction with ZIKV is different from that in the
hepatitis C virus (HCV), nucleotide/side inhibitors in this study (mostly anti
HCV) showed promising binding affinities (-6.2 to -9.7 kcal/mol calculated by
AutoDock Vina) to ZIKV RdRp. Setrobuvir, YAK and, to a lesser extent, IDX-184
reveal promising results compared to other inhibitors in terms of binding ZIKV
RdRp. These candidates would be powerful anti-ZIKV drugs.
PMID- 29652195
TI - A Meta-Analysis of Major Foodborne Pathogens in Chinese Food Commodities Between
2006 and 2016.
AB - Prevalence of pathogenic bacteria in food commodities in China have been reported
in numerous publications over time. However, the results are scattered and
varied. To calculate a robust point estimate with a higher statistical power, we
applied meta-analytic approach for investigating the prevalence of common
foodborne pathogens in major food items in China. Data, on prevalence of bacteria
in various food commodities were extracted and analyzed from 361 (132 English and
229 Chinese) publications. Prevalence of eight most frequently reported pathogens
on six broad food categories was used for pooled and subgroup meta-analysis by
DerSimonian-Laird method in random-effects model. The estimated overall
prevalence of pathogens in the foods was 8.5% (95% CI 8.2-8.7). The highest
prevalence, irrespective of the pathogen type, was in the aquatic produce at
12.8% (12.0-13.5), while the least was in the vegetables at 3.0% (2.6-3.4). Among
the pathogens, the most prevalent was Vibrio at 21.3% (19.6-23.1), whereas the
least was pathogenic Escherichia coli at 4.3% (3.3-5.2). The major food pathogens
in Chinese foods in decreasing order of prevalence were Vibrio parahaemolyticus,
Campylobacter, Bacillus cereus, Staphylococcus aureus, Salmonella, Enterobacter,
Listeria monocytogenes, and pathogenic E. coli. Presence of these organisms in
foods equates the risk of microbiological food safety in China with other
developed countries rather than the developing countries. This justifies the need
of novel perspectives for formulating policies on microbiological food safety and
risk mitigation.
PMID- 29652196
TI - Sleep and Performance.
PMID- 29652197
TI - Relationship between locomotive syndrome and body composition among community
dwelling middle-age and elderly individuals in Japan: The Yakumo study.
AB - OBJECTIVES: Locomotive syndrome (LS) is a condition requiring nursing care for
musculoskeletal disorders. Our aim was to evaluate the correlation between body
composition, measured by bioelectrical impedance analysis (BIA), and LS, measured
using the 25-question Geriatric Locomotive Functional Scale (GLFS-25). METHODS:
We enrolled 286 individuals who were undergoing regular health follow-up. All
individuals completed the GLFS-25, body composition analysis by BIA, and five
physical performance tests related to LS: timed up-and-go test, 10-m gait time,
maximum stride length, back strength, and grip strength. Measured variables and
demographic data were compared between individuals with and without LS. RESULTS:
The extracellular water ratio, the extracellular water/total body water (ECW/TBW)
ratio differentiated the LS and non-LS groups (p=.005), with all physical
function measures being lower among individuals with a high, compared to low,
ECW/TBW ratio. The risk ratio for LS among individuals with a high ECW/TBW ratio
was 2.31, with an odds ratio of 2.67. CONCLUSION: The ECW/TBW ratio is predictive
of LS. Based on our results, we propose that BIA should routinely be performed,
with follow-up and intervention, as needed, for individuals with a high ECW/TBW
ratio, as they are at risk for developing LS.
PMID- 29652198
TI - Efficacy and Safety of Mycophenolate Mofetil in the Treatment of Recalcitrant
Intermediate Uveitis.
AB - PURPOSE: To evaluate the efficacy and safety of mycophenolate mofetil (MMF) in
the treatment of recalcitrant intermediate uveitis in a tertiary referral eye
care center over a 1-year period. METHODS: Patients with at least three
recurrences of intermediate uveitis per year, defined as relapse of disease after
quiescent phase for >3 months after discontinuing all treatments and those with a
minimum follow-up of 1 year, were analyzed retrospectively. MMF was initiated
with oral steroids and the Standardization of Uveitis Nomenclature guidelines
were used to evaluate patients at every visit. Response to treatment, worsening
of uveitis, visual benefit, steroid withdrawal rates, and side-effect due to MMF
were monitored. RESULTS: Data from 30 eyes of 19 patients were analyzed, of which
10 were men and 11 has bilateral disease. The mean age of presentation was 32.6
+/- 15.4 years and the commonest presenting complaints were floaters (26 eyes).
The mean vitreous haze was 3+ at presentation and reduced to 0 at last follow-up
(24 eyes). Out of the 30 eyes, 80% had complete resolution of vitreous haze at
the end of one year. Worsening intermediate uveitis was seen in six eyes
(15.78%). Steroid withdrawal was successful in 85% of eyes. Vision improved in
56% of eyes. None of the patient developed serious systemic complications
warranting withdrawal of MMF. CONCLUSION: MMF in our series was a safe and
effective drug in controlling disease and preventing worsening in majority eyes
with the most severe forms of intermediate uveitis. Larger studies are required
before MMF is widely adopted for the management of recalcitrant intermediate
uveitis.
PMID- 29652199
TI - The Role and Mechanism of Borneol to Open the Blood-Brain Barrier.
AB - BACKGROUND: The blood-brain barrier (BBB) is the greatest challenge in the
treatment of intracranial malignant tumors. OBJECTIVE: The aim of this study is
to determine the role of borneol in opening the BBB and elucidate the underlying
mechanisms. MATERIALS AND METHODS: Twenty Sprague-Dawley (SD) rats were
randomized into borneol group intragastrically administered with 10% borneol corn
oil (2 mL/kg) and control group. After 30 minutes, 2% Evans blue (4 mL/kg) was
injected. Thirty minutes later, brain tissue was analyzed using the Evans blue
standard curve. Another 40 SD rats were randomized into high-, medium-, and low
dose borneol groups and a control group. Each rat in the experimental groups was
intragastrically administered with 10% borneol corn oil (2 mL/kg, 1.25 mL/kg, and
0.5 mL/kg, respectively). The control group was injected with corn oil of 1.25
mL/kg. After 30 minutes, the rats were killed, and the brain tissues were
collected. The expression of occludin, occludens-1, nitric oxide synthase, P
glycoprotein, and intercellular cell adhesion molecule-1 (ICAM-1) was detected by
immunohistochemy. RESULTS: The concentration of Evans blue in the borneol group
was higher than in the control group ( P < .05). The mean density of ICAM-1
expression was higher in the experimental group than in the control group ( P <
.05). In contrast, significant differences of positive area and total density of
ICAM-1 were shown only between the high-dose group and the control group ( P <
.05). CONCLUSION: Borneol can open the BBB, which might be related with the
increased expression of ICAM-1.
PMID- 29652201
TI - Trunk control and functionality in children with spastic cerebral palsy.
AB - PURPOSE: To investigate the relationship between trunk control in sitting and
functionality in children with spastic cerebral palsy (CP). METHODS: Fifty-eight
children with spastic CP were included in the study. Functional abilities were
evaluated with the Gross Motor Function Measurement-88 (GMFM-88) and the
Pediatric Evaluation of Disability Inventory Functional Skills domain (PEDI-FSD).
Trunk control in sitting was tested with the Trunk Control Measurement Scale
(TCMS) and the Trunk Impairment Scale (TIS). The scores of the TCMS and TIS were
compared with GMFM-88 and PEDI-FSD with Spearman correlation analysis. RESULTS:
The total score of GMFM-88 was significantly correlated with the total score of
TCMS (rho = 0.90, p < 0.01) and TIS (rho = 0.78, p < 0.01). The total score of
PEDI-FSD was also significantly correlated with the total score of TCMS (rho =
0.76, p < 0.01) and TIS (rho = 0.72, p < 0.01). CONCLUSIONS: The evaluation of
trunk control can provide valuable information for functional abilities of
children with spastic CP.
PMID- 29652200
TI - Bursera copallifera Extracts Have Cytotoxic and Migration-Inhibitory Effects in
Breast Cancer Cell Lines.
AB - Plants from the Bursera genus are widely distributed in the tropical dry forests
of Mexico. In traditional medicine, extracts from different species of Bursera
have been used for a wide range of biological activities, including the treatment
of cancer-related symptoms. Compounds present in the Bursera genus include
lignans, flavonoids, steroids, short-chain aliphatic alkanes, acetates, alcohols,
ketones, and terpenoids. In some instances, secondary metabolites of these
classes of compounds may induce cytotoxicity, and therefore we sought to
investigate the effects of B. copallifera leaf extracts in breast cancer cell
lines to evaluate their potential therapeutic value for the treatment of breast
cancer, one of the most prevalent types of cancer in women worldwide. Two B.
copallifera leaf extracts exerted cytotoxic effects on both the MCF7 and MDA-MB
231 breast cancer cell line models. The cytotoxic effect was more evident in the
MDA-MB-231 triple negative cell line inhibiting also the migration of these
cells. We identified hydroxycinnamic acid and flavonol derivatives as major
phenolic components of the extracts. Our results strongly suggest a potential use
of the Bursera leaf extracts rich in phenolic compounds, their individual
phenolic compounds, or their combinations for the treatment of breast cancer.
PMID- 29652202
TI - Effect of sulfasalazine use on the presence of Pneumocystis organisms in the lung
among patients with rheumatoid arthritis: A test-negative design case-control
study with PCR tests.
AB - OBJECTIVE: To evaluate the effect of sulfasalazine (SSZ) on the presence of
Pneumocystis jirovecii (P. jirovecii) in the lungs of rheumatoid arthritis (RA)
patients. METHODS: We retrospectively studied episodes of suspected P. jirovecii
pneumonia (PJP) which were examined for P. jirovecii with polymerase chain
reaction (PCR). We employed a test negative design case-control study; the cases
were episodes of suspected PJP that were positive for PCR, and the controls were
episodes of suspected PJP that were negative for PCR. The odds ratio for the
positive PCR result associated with SSZ use was estimated by Firth's logistic
regression. RESULTS: Between 2003 and 2017, 36 cases and 83 controls were
identified. While none of the cases received SSZ before the episode, 18 of the
controls received the drug. In the primary analysis involving all the episodes,
SSZ use was negatively associated with PCR positivity (adjusted odds ratio,
0.087; confidence interval, <0.001-0.789). The sensitivity analysis, excluding
those who received PJP prophylaxis, showed the same association as the primary
analysis (adjusted odds ratio 0.085, 95% CI <0.001-0.790). CONCLUSION: This study
demonstrated that SSZ use is associated with the absence of P. jirovecii in the
lung, suggesting the preventive efficacy of the drug against PJP.
PMID- 29652203
TI - Clinical Features of Toxocara-Seropositive Optic Neuritis in Korea.
AB - PURPOSE: This study was undertaken to analyze the characteristics of optic
neuritis in Korean patients seropositive for Toxocara. METHODS: We
retrospectively reviewed data from patients diagnosed with optic neuritis and
followed up for at least one month between 2012 and 2016. Patients were grouped
according to Toxocara serological testing outcomes (positive or negative) and
clinical characteristics were compared. RESULTS: The seropositive and
seronegative groups comprised 13 and 12 patients, respectively. The seropositive
patients were older (56.8 years versus 34.5 years), reported ocular pain less
frequently (30.8% versus 91.7%), and showed more frequent asymmetric optic disc
swelling (72.7% versus 22.2%). During follow-up, visual acuity of all
seronegative patients improved to 20/40 or better, compared with 38.5% of the
seropositive group. CONCLUSION: Atypical features such as painless, older age, or
asymmetric disc swelling in optic neuritis may be related to seropositivity for
Toxocara, suggesting the possibility of undiagnosed Toxocara optic neuropathy.
PMID- 29652204
TI - Low-Dose Rituximab for Active Moderate-to-Severe Graves' Orbitopathy Resistant to
Conventional Treatment.
AB - PURPOSE: To report low-dose rituximab effect in Graves' orbitopathy (GO)
resistant to conventional treatment. METHODS: Retrospective analysis of medical
records, filled in according to the European Group on Graves' Orbitopathy
(EUGOGO) standards, of patients with active moderate-to-severe GO who received
low-dose rituximab after failure of conventional treatment. Efficacy was defined
by a decrease of the clinical activity score of 2 points or <4/10. RESULTS: Among
219 patients treated between 2012 and 2016 by pulse methylprednisolone, possibly
followed by oral steroids and/or orbital radiotherapy, 15 (6.8%) finally received
100 mg rituximab doses (cumulative dose, 100-400 mg) owing to persistent active
disease. Rituximab showed efficacy within 2 months in 13 of 15 (87%), stable at 1
year. No significant effect was observed on proptosis, lid fissure width, and eye
motility. CONCLUSION: Low-dose rituximab showed sustained anti-inflammatory
effect in most patients with active GO resistant to conventional treatment.
PMID- 29652205
TI - Environmental impact of biogas: A short review of current knowledge.
AB - The social acceptance of biogas is often hampered by environmental and health
concerns. In this study, the current knowledge about the impact of biogas
technology is presented and discussed. The survey reports the emission rate
estimates of the main greenhouse gases (GHG), namely CO2, CH4 and N2O, according
to several case studies conducted over the world. Direct emissions of gaseous
pollutants are then discussed, with a focus on nitrogen oxides (NOx); evidences
of the importance of suitable biomass and digestate storages are also reported.
The current knowledge on the environmental impact induced by final use of
digestate is critically discussed, considering both soil fertility and nitrogen
release into atmosphere and groundwater; several case studies are reported,
showing the importance of NH3 emissions with regards to secondary aerosol
formation. The biogas upgrading to biomethane is also included in the study: with
this regard, the methane slip in the off-gas can significantly reduce the
environmental benefits.
PMID- 29652206
TI - Posterior auricular muscle patch graft for exposed orbital implant.
AB - The purpose of this article is to describe a surgical technique to repair an
exposed orbital implant by posterior auricular muscle autograft. A retrospective
review was conducted of four patients with an exposed orbital implant that were
treated with a posterior auricular muscle graft. Four patients received posterior
auricular muscle patch graft to the exposed orbital implant. The donor site
healed with minimal scarring and remained well hidden. The graft incorporated
fully into surrounding orbital tissue with no recurrent exposure at average of 13
month follow-up. The posterior auricular muscle autograft is a viable technique
for repairing an exposed orbital implant.
PMID- 29652207
TI - A consecutive case series analysis of a behavioral intervention for enuresis in
children with developmental disabilities.
AB - Children with developmental disabilities (DD) are more likely than typically
developing peers to have issues with enuresis. Past research has shown the
success of behavioral treatments consisting of scheduled sits and reinforcement
for continent voids. However, this research has included small sample sizes,
while studies with larger numbers have lacked key information (i.e., baseline
rates and follow-up data to evaluate maintenance and generalization). The current
study conducted a consecutive case series analysis of 44 individuals with
developmental disabilities who completed a 2-week program for enuresis. Results
showed significant improvement in continent voids and follow-up data suggested
positive results maintained when treatment was implemented by caregivers in a
home environment.
PMID- 29652208
TI - Age-based ultrasonographic criteria for diagnosis of autosomal dominant
polycystic kidney disease in Persian cats.
AB - Objectives The aim of this study was to establish ultrasound criteria for the
diagnosis of autosomal dominant polycystic kidney disease (ADPKD) in Persian
cats. Methods Eighty-two Persian cats were assessed using renal ultrasound and
genotyped for the C->A transversion in exon 29 of PKD1. The animals were also
submitted to hematological characterization, serum biochemistry analyses and
urinalysis. Results Age, sex and neutering status did not differ between ADPKD (n
= 12) and non-ADPKD (n = 70) cats. After integrated molecular
genetics/ultrasonographic analysis, the presence of at least one renal cyst was
sufficient to establish a diagnosis of ADPKD in animals up to 15 months of age.
Two or more cysts were required for diagnosis in cats aged 16-32 months, and at
least three cysts warranted diagnosis of ADPKD in animals aged 33-49 months.
Finally, four or more cysts led to diagnosis in cats aged 50-66 months. Although
cats with ADPKD exhibited higher serum calcium levels than non-affected cats,
hematological, urinalysis and other biochemical parameters did not differ between
the two groups. Conclusions and relevance Integrated analyses of imaging and
molecular genetics data enabled, for the first time, the establishment of age
based ultrasonographic criteria for the diagnosis of ADPKD in Persian cats. The
development of imaging criteria is particularly relevant and useful in the
clinical setting given the current limitations to access and the cost of
molecular genetics-based diagnostic tests.
PMID- 29652209
TI - Neurological outcome in children and youth with acquired brain injury 2-year post
injury.
AB - OBJECTIVE: To determine neurological outcome in children and youth with acquired
brain injury (ABI) and explore associated factors. DESIGN: Cross-sectional study,
two-years post-injury. PATIENTS: Hospital-based sample (n=112) aged 6-22 years.
METHODS: Neurological outcome and participation were assessed with a
multidimensional neurological examination and the Child and Adolescent Scale of
Participation. Logistic regression analyses were used to explore the
relationships. RESULTS: Both sensorimotor and cognitive deficits were found in 30
31%, language deficits and behavioural deficits in 10-17%. Non-traumatic injury
had a negative impact on neurological outcome, specifically regarding
sensorimotor and language deficits. Lower education level showed a significantly
poorer neurological outcome. High levels of age-expected participation were
reported, with a significant relation between deficits and participation
restrictions, especially at school. CONCLUSION: One out of three have a poor
neurological outcome, related to type of injury and lower level of education. The
amount of deficits is associated with participation restrictions.
PMID- 29652210
TI - Idiopathic Central Nervous System Inflammatory Disease in the Setting of HLA-B27
Uveitis.
AB - PURPOSE: The purpose of the article is to describe a novel case of idiopathic
central nervous system inflammatory disease with bilateral human leukocyte
antigen (HLA)-B27-positive anterior uveitis. METHODS/RESULTS: A 15-year-old
African American boy with bilateral HLA-B27-positive anterior uveitis controlled
with topical and oral steroids for 8 months acutely developed headaches, left
eyelid ptosis, and binocular diplopia. Imaging showed lesions in the right
midbrain, superior colliculus, cerebellar peduncles, and cerebellar vermis and
leptomeningeal enhancement along the vermian foliae. Cerebral spinal fluid tests
showed mild lymphohistiocytic pleocytosis with negative cytology; inflammatory
and infectious workup were negative. He received intravenous methylprednisolone
without initial symptomatic improvement; repeat magnetic resonance imaging (MRI)
showed reduced lesion burden. Oral steroids were continued; his symptoms resolved
in 1 month. Repeat MRI 2 months after presentation showed almost complete lesion
resolution. CONCLUSIONS: Chronic lymphocytic inflammation with pontine
perivascular enhancement responsive to steroids (CLIPPERS) was diagnosed. HLA-B27
positivity may represent a novel association with CLIPPERS.
PMID- 29652211
TI - Simultaneous quantification by HPLC of purines in umami soup stock and evaluation
of their effects on extracellular and intracellular purine metabolism.
AB - Ribonucleotide flavor enhancers such as inosine monophosphate (IMP) and guanosine
monophosphate (GMP) provide umami taste, similarly to glutamine. Japanese cuisine
frequently uses soup stocks containing these nucleotides to enhance umami. We
quantified 18 types of purines (nucleotides, nucleosides, and purine bases) in
three soup stocks (chicken, consomme, and dried bonito soup). IMP was the most
abundant purine in all umami soup stocks, followed by hypoxanthine, inosine, and
GMP. The IMP content of dried bonito soup was the highest of the three soup
stocks. We also evaluated the effects of these purines on extracellular and
intracellular purine metabolism in HepG2 cells after adding each umami soup stock
to the cells. An increase in inosine and hypoxanthine was evident 1 h and 4 h
after soup stock addition, and a low amount of xanthine and guanosine was
observed in the extracellular medium. The addition of chicken soup stock resulted
in increased intracellular and extracellular levels of uric acid and guanosine.
Purine metabolism may be affected by ingredients present in soups.
PMID- 29652212
TI - Development of a normal tissue complication probability model for late
unfavourable aesthetic outcome after breast-conserving therapy.
AB - PURPOSE/OBJECTIVES: To develop a normal tissue complication probability (NTCP)
model for late unfavourable aesthetic outcome (AO) after breast-conserving
therapy. MATERIAL AND METHODS: The BCCT.core software evaluated the AO using
standardized photographs of patients treated between 2009 and 2014. Dose maps in
2 Gy equivalents were calculated assuming alpha/beta = 3.6 Gy. Uni- and
multivariable logistic regression analysis was performed to study the predictive
value of clinicopathological and dosimetric variables for unfavourable AO. The
Lyman Kutcher Burman (LKB) model was fit to the data with dose modifying factors
(dmf). Model performance was assessed with the area under the curve (AUC) of the
receiver operating characteristic curve and bootstrap sampling. RESULTS: Forty
four of the 121 analysed patients (36%) developed unfavourable AO. In the optimal
multivariable logistic regression model, a larger breast volume receiving >=55 Gy
(V55), a seroma and an axillary lymph node dissection (ALND) were independently
associated with an unfavourable AO, AUC = 0.75 (95%CI 0.64;0.85). Beta-estimates
were -2.68 for beta0, 0.057 for V55, 1.55 for seroma and 1.20 for ALND. The
optimal LKB model parameters were EUD3.6(50) = 63.3 Gy, n = 1.00, m = 0.23,
dmf(seroma) = 0.83 and dmf(ALND) = 0.84, AUC = 0.74 (95%CI 0.61;0.83).
CONCLUSIONS: An NTCP model for late unfavourable AO after breast-conserving
therapy was developed including seroma, axillary lymphadenectomy and V55.
PMID- 29652213
TI - Ovarian reserve in young juvenile idiopathic arthritis patients.
AB - OBJECTIVES: Juvenile idiopathic arthritis (JIA) occurs during reproductive age,
however, there are no systematic data regarding ovarian function in this disease.
METHODS: Twenty-eight post-pubertal JIA patients and age-matched 28 healthy
controls were studied. Complete ovarian function was assessed during the early
follicular phase of the menstrual cycle including anti-Mullerian hormone (AMH),
estradiol, luteinizing hormone (LH), follicle-stimulating hormone (FSH) and
antral follicle count (AFC) by ovarian ultrasound, and anti-corpus lutheum
antibodies (anti-CoL). Demographic data, menstrual abnormalities, disease
parameters and treatment were also evaluated. RESULTS: The mean current age (22.6
+/- 6.59 vs. 22.5 +/- 6.59 years, p = .952) was similar in JIA patients and
healthy controls with a higher median menarche age [13(8-16) vs. 12(8-14) years,
p = .029]. A lower median AMH levels [2.65(0.47-9.08) vs. 4.83(0.74-17.24) ng/mL,
p = .029] with a higher LH [8.44 +/- 4.14 vs. 6.03 +/- 2.80 IU/L, p = .014] and
estradiol levels [52.3(25.8-227.4) vs. 38.9(26.2-133.6) pg/mL, p = .008] were
observed in JIA compared to control group. Anti-CoL and AFC were similar in both
groups (p > .05). Further analysis of JIA patients revealed that current age,
disease duration, number of active/limited joints, ESR, CRP, patient/physician
VAS, JADAS 71, DAS 28, CHAQ, HAQ, patient/parents PedsQL, PF-SF 36, cumulative
glucocorticoid and cumulative methotrexate doses were not correlated with AMH,
FSH, estradiol levels or AFC (p > .05). CONCLUSION: The present study was the
first to suggest diminished ovarian reserve, not associated to hypothalamic
pituitary gonadal axis, in JIA patients during reproductive age. The impact of
this dysfunction in future fertility of these patients needs to be evaluated in
prospective studies.
PMID- 29652214
TI - Staff Perceptions of Symptoms, Approaches to Assessment, and Challenges to
Assessment Among Children With Cancer.
AB - Nurses are often the first to recognize and respond to children's symptoms. This
descriptive, exploratory study characterized how pediatric oncology health care
providers characterize and assess children's cancer-related symptoms. The study
also explored challenges associated with symptom assessment and information
perceived as helpful in planning interventions. The setting was a Children's
Oncology Group-affiliated hospital in the Intermountain West of the United
States. Twenty-two pediatric oncology health care providers (95% female; 68%
nurses) participated in one of four focus group sessions. Sessions were
facilitated by two individuals and included six open-ended questions addressing
participants' perspectives of cancer-related symptoms, approaches to symptom
assessment, challenges and frustrations encountered when assessing symptoms, and
information needed to plan interventions. Participants identified 75 physical and
psychosocial responses that included both subjectively experienced symptoms and
other consequences of the cancer experience. Qualitative content analysis
procedures organized other responses into categories and subcategories.
Participants most frequently reported using observational approaches including
physical assessment findings and observation of the child's behavior to identify
symptoms. Strategies that sought the child's input such as the use of a rating
scale or seeking the child's verbal description were less frequently named.
Participants related discerning and interpreting the child's behaviors as a
challenge to symptom assessment. They also reported attention to symptom
characteristics as important to planning interventions. Future directions include
building capacity to support child-centric symptom assessment. Development of
reliable and valid resources for use in clinical settings may support a more
child-centric approach to symptom assessment.
PMID- 29652216
TI - Psychophysiological measures of stress in caregivers of individuals with autism
spectrum disorder: a systematic review.
AB - PURPOSE: Parents of children with autism spectrum disorder (ASD) often self
report heightened levels of stress and physical health problems. This paper
reviewed studies assessing physiological measures of stress among parents of
children with ASD. METHODS: Systematic database searches identified 15 studies
meeting inclusion criteria. Studies were reviewed to determine: (a) control group
characteristics; (b) caregiver and care recipient characteristics; (c) setting;
(d) physiological measures employed; (e) physiological outcomes; and (f) stressor
type. A measure of methodological quality was also applied. RESULTS: Salivary
cortisol was the most common physiological measure employed. A pattern of blunted
physiological activity emerged within the reviewed studies, though some studies
reported normal or even higher physiological activity among this population.
CONCLUSIONS: Findings suggested dysfunction of the hypothalamic-pituitary-adrenal
axis and autonomic nervous system for some, but not all, parents of children with
ASD. Further research is warranted.
PMID- 29652215
TI - Stigma of Sickle Cell Disease: A Systematic Review.
AB - The aim of this systematic review was to synthesize the literature regarding
health-related stigma in adolescents and adults living with sickle cell disease
(SCD). Four domains were identified from 27 studies: 1) social consequences of
stigma, 2) the effect of stigma on psychological well-being, 3) the effect of
stigma on physiological well-being, and 4) the impact of stigma on patient
provider relationships and care-seeking behaviors. Current literature revealed
that SCD stigma has detrimental consequences. Methodological issues as well as
research and practice implications were identified. Future research should
further examine the impact of health-related stigma on self-management of SCD.
PMID- 29652217
TI - Forecasting air quality time series using deep learning.
AB - : This paper presents one of the first applications of deep learning (DL)
techniques to predict air pollution time series. Air quality management relies
extensively on time series data captured at air monitoring stations as the basis
of identifying population exposure to airborne pollutants and determining
compliance with local ambient air standards. In this paper, 8 hr averaged surface
ozone (O3) concentrations were predicted using deep learning consisting of a
recurrent neural network (RNN) with long short-term memory (LSTM). Hourly air
quality and meteorological data were used to train and forecast values up to 72
hours with low error rates. The LSTM was able to forecast the duration of
continuous O3 exceedances as well. Prior to training the network, the dataset was
reviewed for missing data and outliers. Missing data were imputed using a novel
technique that averaged gaps less than eight time steps with incremental steps
based on first-order differences of neighboring time periods. Data were then used
to train decision trees to evaluate input feature importance over different time
prediction horizons. The number of features used to train the LSTM model was
reduced from 25 features to 5 features, resulting in improved accuracy as
measured by Mean Absolute Error (MAE). Parameter sensitivity analysis identified
look-back nodes associated with the RNN proved to be a significant source of
error if not aligned with the prediction horizon. Overall, MAE's less than 2 were
calculated for predictions out to 72 hours. IMPLICATIONS: Novel deep learning
techniques were used to train an 8-hour averaged ozone forecast model. Missing
data and outliers within the captured data set were replaced using a new
imputation method that generated calculated values closer to the expected value
based on the time and season. Decision trees were used to identify input
variables with the greatest importance. The methods presented in this paper allow
air managers to forecast long range air pollution concentration while only
monitoring key parameters and without transforming the data set in its entirety,
thus allowing real time inputs and continuous prediction.
PMID- 29652218
TI - Adsorptive conversion of nitrogen dioxide from etching vent gases over activated
carbon.
AB - : Some metal etching operations emit limited flow rates of waste gases with
reddish-brown NO2 fume, which may cause visual and acidic-odor complaints, as
well as negative health effects. In this study, tests were performed by passing
caustic-treated waste gases vented from Al-etching operations through columns
packed either with virgin or regenerated granular activated carbon (GAC) to test
their adsorptive conversion performance of NO2 in the gases. The gases contained
5-55 ppm NO2 and acetic and nitric acids of below 3 ppm. Exhausted carbon was
regenerated by scrubbing it with caustic solution and water, and dried for
further adsorption tests. Results indicate that with an (empty bed residence time
(EBRT) of 0.15 sec for the gas through the GAC-packed space, around 60% of the
influent NO2 of 54 ppm could be removed, and 47% of the removed NO2 was converted
by and desorbed from the carbon as NO. GAC used in the present study could be
regenerated at least twice to restore its capacity for NO2 adsorption. Within
EBRTs of 0.076-0.18 sec, the adsorptive conversion capacity was linearly varied
with EBRT. In practice, with an EBRT of 0.20 sec, a conversion capacity of 0.80
kg NO2 (kg GAC)-1 with an influent NO2 of 40 ppm can be used as a basis for
system design. IMPLICATIONS: Some metal etching operations emit waste gases with
reddish-brown (yellow when diluted) NO2 fume which may cause visual and acidic
odor complaints, as well as negative health effects. This study provides a simple
process for the adsorptive conversion of NO2 in caustic-treated waste gases
vented from metal-etching operations through a GAC column. With an EBRT of 0.20
sec, a conversion capacity of 0.80 kg NO2 (kg GAC)-1 with an influent NO2 of 40
ppm can be used as a basis for system design. Saturated GAC can be regenerated at
least twice by simply scrubbing it with aqueous caustic solution.
PMID- 29652219
TI - Organic compound emissions from a landfarm used for oil and gas solid waste
disposal.
AB - : Solid or sludgy hydrocarbon waste is a by-product of oil and gas exploration
and production. One commonly used method of disposing of this waste is
landfarming. Landfarming involves spreading hydrocarbon waste on soils, tilling
it into the soil, and allowing it to biodegrade. We used a dynamic flux chamber
to measure fluxes of methane, a suite of 54 nonmethane hydrocarbons, and light
alcohols from an active and a remediated landfarm in eastern Utah. Fluxes from
the remediated landfarm were not different from a polytetrafluoroethylene (PTFE)
sheet or from undisturbed soils in the region. Fluxes of methane, total
nonmethane hydrocarbons, and alcohols from the landfarm in active use were 1.41
(0.37, 4.19) (mean and 95% confidence limits), 197.90 (114.72, 370.46), and 4.17
(0.03, 15.89) mg m-2 hr-1, respectively. Hydrocarbon fluxes were dominated by
alkanes, especially those with six or more carbons. A 2-ha landfarm with fluxes
of the magnitude we observed in this study would emit 95.3 (54.3, 179.7) kg day-1
of total hydrocarbons, including 11.2 (4.3, 33.9) kg day-1 of BTEX (benzene,
toluene, ethylbenzene, and xylenes). IMPLICATIONS: Solid and sludgy hydrocarbon
waste from the oil and gas industry is often disposed of by landfarming, in which
wastes are tilled into soil and allowed to decompose. We show that a land farm in
Utah emitted a variety of organic compounds into the atmosphere, including
hazardous air pollutants and compounds that form ozone. We calculate that a 2-ha
landfarm facility would emit 95.0 +/- 66.0 kg day-1 of total hydrocarbons,
including 11.1 +/- 1.5 kg day-1 of BTEX (benzene, toluene, ethylbenzene, and
xylenes).
PMID- 29652220
TI - Meeting the Needs of Young Adolescents: ABBL Anti-Bullying Program During Middle
School Transition.
AB - This article introduces ABBL, the anti-bullying program for the transition to
middle school, which was designed as a free, feasible, and easily applicable
solution for teachers who, for any reason, cannot take advantage of comprehensive
anti-bullying school-based programs. The ABBL, based on the identification of the
causal risk factors and mechanisms that lead to bullying, aims to influence the
peer group in such a way that students do not reward antisocial behaviors and
are, instead, able to create supportive class atmospheres and strong networks of
personal attachments. The evaluation of the program effectiveness showed that it
reduced bullying in the classroom. Indications for wider implementations are
presented together with the ready-to-use ABBL anti-bullying program (see
http://blizej.org/abbl-antibullying-program/ ).
PMID- 29652221
TI - When do the 'dark personalities' become less counterproductive? The moderating
role of job control and social support.
AB - INTRODUCTION: The objective of the study is to examine how job resources modify
the relationship between the Dark Triad (DT) and counterproductive work behavior
(CWB). Specifically the study examined: (a) the direct link between DT and CWB;
(b) the moderation effects of two kinds of job resources (job control and social
support); (c) the moderated moderation effect of the job resources (job control *
social support) on the DT-CWB link. Moreover, the effect of social approval on
CWB was controlled. METHOD: Data were collected among 659 white-collar and blue
collar workers. The hypotheses were tested by means of the PROCESS method.
RESULTS: As expected in the hypotheses, a high DT level was found to be directly
related to high CWB, and job control moderated (intensified) the link. Social
support did not moderate the DT-CWB link. The moderated moderation effect was
supported. Social support increases the moderation effect of job control on the
DT-CWB link. The lowest level of CWB is observed when job control was low and
social support was high.
PMID- 29652222
TI - Novel carbonized bone meal for defluoridation of groundwater: Batch and column
study.
AB - Low cost naturally available bone meal was carbonized and its fluoride adsorption
capacity was explored. Carbonized bone meal (CBM) produced at 550 degrees C, 4 h
carbonization time and a heating rate of 60 degrees C/min, showed fluoride
adsorption capacity of 14 mg g-1. Adsorbent was characterized using scanning
electron microscopy, X-ray diffraction, X-ray fluoroscence, thermogravimetric
analysis and Fourier transform infrared spectroscopy to highlight its physical
and chemical properties. Best fluoride uptake capacity was observed for 0.2 mm
particle size, 7 g L-1 adsorbent concentration and at pH 6.5. Fluoride uptake was
endothermic and chemisorption in nature. Effective diffusivity and mass transfer
coefficient were obtained as 6 * 10-11 m2 s-1 and 9 * 10-5 m s-1 from shrinking
core model. Sulphate and carbonate showed the highest interference effect on
adsorption of fluoride by CBM. Maximum desorption was observed at basic pH (pH
12). Fixed bed study was performed and effect of different parameters (bed
height, inlet flow rate and initial concentration) was investigated. Efficiency
of the adsorbent using real life fluoride contaminated groundwater solution was
also observed.
PMID- 29652223
TI - Preferences for the format of text messages containing results of online
screening for sexually transmitted infections: a service evaluation.
AB - We conducted an online survey to examine the preferences for receiving a text
message with results of a test for sexually transmitted infections (STIs) as part
of online self-sampling service at Solent NHS Trust. Findings showed that if all
tests were negative, participants would prefer to receive one text message.
However, in the event of a positive result, a large majority (86%) would prefer
to receive a separate text with the name of the STI for which the test was
positive. Similarly, three-quarters (74%) of participants would prefer to receive
multiple texts indicating which test was inconclusive and required an additional
sample. The results of this survey support a change of practice to sending
multiple text messages with the results of online self-sampling that include the
names of STIs.
PMID- 29652224
TI - In vitro functional analysis of human cytochrome P450 2A13 genetic variants: P450
2A13*2, *3, *4, and *10.
AB - Humans possess three cytochrome P450 enzymes in the 2A subfamily (2A6, 2A7, and
2A13). P450 2A13 is mainly expressed in the human trachea and lung, whereas P450
2A6 is found in human liver. The P450 2A13 enzyme may be considered as the
primary enzyme responsible for metabolic activation of many tobacco-specific
carcinogens. Genetic variations significantly influence the toxicological
consequences attributed to tobacco smoking. The aim of this study was to examine
the in vitro functional activities of five P450 2A13 genetic variations (R257C,
133_134insT, R101Q, I331T, and R257C/I331T) in P450 2A13*2, *3, *4, and *10
alleles. Mutant clones were constructed and their recombinant enzymes were
expressed in Escherichia coli. P450 2A13 mutants containing R257C, 133_134insT,
I331T, and R257C/I331T displayed P450 holoenzyme spectra. The R101Q mutant was
not apparently expressed. P450 2A13 enzymes displayed the typical type I binding
spectra to coumarin and the calculated binding affinities of R257C, R257C/I331T,
and 133_134insT mutants were decreased approximately three- to sevenfold. In
catalytic analyses of purified mutant enzymes for coumarin and nicotine, the
R257C and I331T mutants exhibited lower kcat values with catalytic efficiencies
reduced up to approximately 20%. The double mutation of R257C/I331T induced
increased Km values and diminished kcat values that resulted in >50% decrease in
catalytic efficiencies. For 133_134insT mutant, catalytic activities were not
markedly saturated but the measured rates at the highest concentrations were
significantly lower than those of the wild-type or other mutant enzymes.
Functional analysis of these variations in P450 2A13 allelic variants may help to
understand the consequences of P450 2A13 polymorphism in bioactivation of many
tobacco-derived carcinogens.
PMID- 29652225
TI - Emission factors of atmospheric and climatic pollutants from crop residues
burning.
AB - : Biomass burning is a common agricultural practice, because it allows
elimination of postharvesting residues; nevertheless, it involves an inefficient
combustion process that generates atmospheric pollutants emission, which has
implications on health and climate change. This work focuses on the estimation of
emission factors (EFs) of PM2.5, PM10, organic carbon (OC), elemental carbon
(EC), carbon monoxide (CO), carbon dioxide (CO2), and methane (CH4) of residues
from burning alfalfa, barley, beans, cotton, maize, rice, sorghum, and wheat in
Mexico. Chemical characteristics of the residues were determined to establish
their relationship with EFs, as well as with the modified combustion efficiency
(MCE). Essays were carried out in an open combustion chamber with isokinetic
sampling, following modified EPA 201-A method. EFs did not present statistical
differences among different varieties of the same crop, but were statistically
different among different crops, showing that generic values of EFs for all the
agricultural residues can introduce significant uncertainties when used for
climatic and atmospheric pollutant inventories. EFs of PM2.5 ranged from 1.19 to
11.30 g kg-1, and of PM10 from 1.77 to 21.56 g kg-1. EFs of EC correlated with
lignin content, whereas EFs of OC correlated inversely with carbon content. EFs
of EC and OC in PM2.5 ranged from 0.15 to 0.41 g kg-1 and from 0.33 to 5.29 g kg
1, respectively, and in PM10, from 0.17 to 0.43 g kg-1 and from 0.54 to 11.06 g
kg-1. CO2 represented the largest gaseous emissions volume with 1053.35-1850.82 g
kg-1, whereas the lowest was CH4 with 1.61-5.59 g kg-1. CO ranged from 28.85 to
155.71 g kg-1, correlating inversely with carbon content and MCE. EFs were used
to calculate emissions from eight agricultural residues burning in the country
during 2016, to know the potential mitigation of climatic and atmospheric
pollutants, provided this practice was banned. IMPLICATIONS: The emission factors
of particles, short-lived climatic pollutants, and atmospheric pollutants from
the crop residues burning of eight agricultural wastes crops, determined in this
study using a standardized method, provides better knowledge of the emissions of
those species in Latin America and other developing countries, and can be used as
inputs in air quality models and climatic studies. The EFs will allow the
development of more accurate inventories of aerosols and gaseous pollutants,
which will lead to the design of effective mitigation strategies and planning
processes for sustainable agriculture.
PMID- 29652226
TI - Purification characteristics of fine particulate matter treated by a self
flushing wet electrostatic precipitator equipped with a flexible electrode.
AB - : A self-flushing wet electrostatic precipitator was developed to investigate the
removal performance for fine particles. Flexible material (polypropylene, 840A)
and carbon steel in the form of a spiked band were adopted as the collection
plate and discharge electrode, respectively. The particle concentration,
morphology, and trace-element content were measured by electric low-pressure
impactor, scanning electron microscope, and energy-dispersive x-ray spectroscopy,
respectively, before and after the electrostatic precipitator. With increasing
gas velocity, the collection efficiency of fine particles (up to 0.8 MUm in
diameter) increased, while it decreased for particles with diameters larger than
0.8 MUm. Increasing the dust inlet concentration increased the collection
efficiency up to a point, from which it then declined gradually with further
increases in the inlet concentration. The particulate matter after the wet
electrostatic precipitator showed different degrees of agglomeration. The
collection efficiency of trace elements within PM10 was less than that of the
PM10 itself. Notably, the water consumption in the current setup was
significantly lower than for other treatment processes of comparable collection
efficiencies. IMPLICATIONS: Wet electrostatic precipitators, as fine filtration
equipment, were generally applicable to coal-fired plants to reduce PM2.5
emissions in China. However, high energy consumption and unstable operation, such
as water usage and spray washing directly in the electric field, seriously
restricted the further development. The utilization of self-flushing wet
electrostatic precipitator can solve these problems to some extent.
PMID- 29652227
TI - Former suicidal inpatients' experiences of treatment and care in psychiatric
wards in Norway.
AB - PURPOSE: The purpose of this study is to explore how former suicidal inpatients
experienced treatment and care in psychiatric wards in Norway following the
implementation of the National guidelines for prevention of suicide in mental
health care. The focus of the analysis was on aspects of treatment and care with
potential for improvement. METHOD: We interviewed five former inpatients and
analysed the data by means of Interpretative Phenomenological Analysis. RESULTS:
Experiencing a sense of companionship with the staff and receiving individualized
treatment and care was important for the participants. This involved establishing
trusting connections with mental health workers who treated them with respect,
made them feel valued, and who recognized their suffering and needs. The formerly
suicidal patients experienced being in a recovery process, which was promoted by
the support of mental health workers. Although the participants reported mostly
positive experiences, there were examples of insufficient care. Sometimes, they
felt that their suffering and suicidality were not sufficiently recognized.
CONCLUSION: Our study indicates that although there has been increased focus on
suicidality in the mental health services, among other through clinical
guidelines, some mental health workers still lack competence and should focus
more fully on how to provide individualized care for suicidal inpatients.
PMID- 29652228
TI - Prediction of clinical outcome in subacute subarachnoid hemorrhage using
diffusion tensor imaging.
AB - OBJECTIVE Clinical outcome in nontraumatic subarachnoid hemorrhage (SAH) is
multifactorial and difficult to predict. Diffusion tensor imaging (DTI) findings
are a prognostic marker in some diseases such as traumatic brain injury. The
authors hypothesized that DTI parameters measured in the subacute phase of SAH
can be associated with a poor clinical outcome. METHODS Diffusion tensor imaging
was prospectively performed in 54 patients at 8-10 days after nontraumatic SAH.
Logistic regression analysis was performed to evaluate the association of
fractional anisotropy (FA) and apparent diffusion coefficient (ADC) values with a
poor clinical outcome (modified Rankin Scale score >= 3) at 3 months. RESULTS At
8-10 days post-SAH, after adjusting for other variables associated with a poor
outcome, an increased ADC at the frontal centrum semiovale was associated with a
poor prognosis (OR estimate 1.29, 95% CI 1.04-1.60, p = 0.020). Moreover, an
increase of 0.1 in the FA value at the corpus callosum at 8-10 days after SAH
corresponded to 66% lower odds of having a poor outcome (p = 0.002). CONCLUSIONS
Decreased FA and increased ADC values in specific brain regions were
independently associated with a poor clinical outcome after SAH. This preliminary
exploratory study supports a potential role for DTI in predicting the outcome of
SAH.
PMID- 29652229
TI - A useful diagnostic method to reduce the in-hospital time delay for mechanical
thrombectomy: volume perfusion computed tomography with added vessel
reconstruction.
AB - OBJECTIVE Volume perfusion CT (VPCT) with added CT angiography (CTA)-like
reconstruction from VPCT source data (VPCTA) can reveal multiple intracranial
parameters. The authors examined the usefulness of VPCTA in terms of reducing the
in-hospital time delay for mechanical thrombectomy. METHODS A total of 180
patients who underwent mechanical thrombectomy at the authors' institution
between January 2014 and March 2017 were divided into 2 groups: a CTA-based
thrombectomy decision group (group 1: CTA) and a VPCTA-based decision group
(group 2: VPCTA). Multiple time interval categories (from symptom onset to groin
puncture, from hospital arrival to groin puncture, procedure time, from symptom
onset to reperfusion, and from hospital arrival to reperfusion) were reviewed.
All patients underwent clinical assessment with the National Institutes of Health
Stroke Scale score and the modified Rankin Scale, and radiological results were
evaluated by the Thrombolysis in Cerebral Infarction score. RESULTS In all of the
time interval categories except for procedure time, the VPCTA group showed a
significantly shorter in-hospital time delay during the prethrombectomy period
than did the CTA group. The 3-month modified Rankin Scale score was significantly
lower in the VPCTA group (2.8) compared with the CTA group (3.5) (p = 0.003) .
However, there were no statistically significant differences between the 2 groups
in the other clinical and radiological outcomes. CONCLUSIONS Compared with CTA,
VPCTA significantly reduced the in-hospital time delay during the prethrombectomy
period.
PMID- 29652230
TI - Transposition of the lateral femoral cutaneous nerve.
AB - OBJECTIVE Meralgia paresthetica causes pain, burning, and loss of sensation in
the anterolateral thigh. Surgical treatment traditionally involves neurolysis or
neurectomy of the lateral femoral cutaneous nerve (LFCN). After studying and
publishing data on the anatomical feasibility of LFCN transposition, the author
presents here the first case series of patients who underwent LFCN transposition.
METHODS Nineteen patients with meralgia paresthetica were treated in the
Department of Neurological Surgery at University of Wisconsin between 2011 and
2016; 4 patients underwent simple decompression, 5 deep decompression, and 10
medial transposition. Data were collected prospectively and analyzed
retrospectively. No randomization was performed. The groups were compared in
terms of pain scores (based on a numeric rating scale) and reoperation rates.
RESULTS The numeric rating scale scores dropped significantly in the deep
decompression (p = 0.148) and transposition (p < 0.0001) groups at both the 3-
and 12-month follow-up. The reoperation rates were significantly lower in the
deep-decompression and transposition groups (p = 0.0454) than in the medial
transposition group. CONCLUSIONS Both deep decompression and transposition of the
LFCN provide better results than simple decompression. Medial transposition
confers the advantage of mobilizing the nerve away from the anterior superior
iliac spine, giving it a straighter and more relaxed course in a softer muscle
bed.
PMID- 29652231
TI - Endoscopic endonasal transoculomotor triangle approach for adenomas invading the
parapeduncular space: surgical anatomy, technical nuances, and case series.
AB - OBJECTIVE Pituitary adenomas may extend into the parapeduncular space by invading
through the roof of the cavernous sinus. Currently, a transcranial approach is
the preferred choice, with or without the combination of an endonasal approach.
In this paper the authors present a novel surgical approach that takes advantage
of the natural corridor provided by the tumor to further open the oculomotor
triangle and resect tumor extension into the parapeduncular space. METHODS Six
injected specimens were used to demonstrate in detail the surgical anatomy
related to the approach. Four cases in which the proposed approach was used were
retrospectively reviewed. RESULTS From a technical perspective, the first step
involves accessing the superior compartment of the cavernous sinus. The
interclinoid ligament should be identified and the dura forming the oculomotor
triangle exposed. The oculomotor dural opening may be then extended posteriorly
toward the posterior petroclinoidal ligament and inferolaterally toward the
anterior petroclinoidal ligament. The oculomotor nerve should then be identified;
in this series it was displaced superomedially in all 4 cases. The posterior
communicating artery should also be identified to avoid its injury. In all 4
cases, the tumor invading the parapeduncular space was completely removed. There
were no vascular injuries and only 1 patient had a partial oculomotor nerve palsy
that completely resolved in 2 weeks. CONCLUSIONS The endoscopic endonasal
transoculomotor approach is an original alternative for removal of tumor
extension into the parapeduncular space in a single procedure. The surgical
corridor is increased by opening the dura of the oculomotor triangle and by
working below and lateral to the cisternal segment of the oculomotor nerve.
PMID- 29652232
TI - Long-term tumor control following stereotactic radiosurgery for jugular
paraganglioma using 3D volumetric segmentation.
AB - OBJECTIVE The morbidity of gross-total resection of jugular paraganglioma (JP) is
often unacceptable due to the potential for irreversible lower cranial
neuropathy. Stereotactic radiosurgery (SRS) has been used at the authors'
institution since 1990 for the treatment of JP and other benign intracranial
tumors. Conventional means of assessing tumor progression using linear
measurements or elliptical approximations are imprecise due to the irregular
shape and insinuating growth pattern of JP. The objective of this study was to
assess long-term tumor control in these patients by using slice-by-slice 3D
volumetric segmentation of serial MRI data. METHODS Radiographic data and
clinical records were reviewed retrospectively at a single, tertiary-care
academic referral center for patients treated from 1990 to 2017. Volumetric
analyses by integration of consecutive tumor cross-sectional areas (tumor
segmentation) of serial MRI data were performed. Tumor progression was defined as
volumetric growth of 15% or greater over the imaging interval. Primary outcomes
analyzed included survival free of radiographic and clinical progression.
Secondary outcomes included new or worsened cranial neuropathy. RESULTS A total
of 85 patients were treated with Gamma Knife radiosurgery (GKRS) for JP at the
authors' institution over the last 27 years. Sixty patients had pretreatment and
serial posttreatment contrast-enhanced MRI follow-up suitable for volumetric
analysis. A total of 214 MR images were analyzed to segment tumor images in a
slice-by-slice fashion to calculate integral tumor volume. The median follow-up
duration was 66 months (range 7-202 months). At 5 years the tumor progression
free survival rate was 98%. Three tumors exhibited progression more than 10 years
after GKRS. Estimated survival free of radiographic progression rates (95%
confidence interval [CI]; n = number still at risk) at 5, 10, and 15 years
following radiosurgery were 98% (95% CI 94%-100%; n = 34), 94% (95% CI 85%-100%;
n = 16), and 74% (95% CI 56%-98%; n = 6), respectively. One patient with tumor
progression required treatment intervention using external beam radiation
therapy, constituting the only case of clinical progression. Two patients (3%)
without preexisting lower cranial nerve dysfunction developed new ipsilateral
vocal fold paralysis following radiosurgery. CONCLUSIONS SRS achieves excellent
long-term tumor control for JP without a high risk for new or worsened cranial
neuropathy when used in primary, combined modality, or recurrent settings. Long
term follow-up is critical due to the potential for late radiographic progression
(i.e., more than 10 years after SRS). As none of the patients with late
progression have required salvage therapy, the clinical implications of this
degree of tumor growth have yet to be determined.
PMID- 29652233
TI - First-in-human evaluation of the Cleveland Multiport Catheter for convection
enhanced delivery of topotecan in recurrent high-grade glioma: results of pilot
trial 1.
AB - OBJECTIVE Progress in management of high-grade gliomas (HGGs) has been hampered
by poor access of potential therapeutics to the CNS. The Cleveland Multiport
Catheter (CMC), which deploys 4 independent delivery microcatheters, was
developed to be a reliable, high-volume delivery device for delivery of
therapeutic agents to the brain and other solid organs. The authors undertook
this first-in-human clinical trial effort to evaluate the delivery
characteristics of the CMC in patients with HGGs. METHODS A series of pilot
studies were launched after approval of a sponsor-investigator IND
(investigational new drug) application to evaluate the delivery of topotecan and
gadolinium-DTPA (Gd-DTPA) via the CMC in patients with recurrent HGG. The first
pilot trial evaluated delivery into enhancing tumor and nonenhancing, tumor
infiltrated brain. Two catheters were placed with the use of a conventional
frameless stereotactic technique following a biopsy to confirm tumor recurrence,
and drug infusion was performed both intraoperatively and postoperatively for a
total of 96 hours with the same rate for all microcatheters. Delivery was
assessed by intermittent MRI. RESULTS Three patients were enrolled in the first
pilot study. MRI demonstrated delivery from all 6 catheters (24 microcatheters).
The volume of distribution (Vd) of Gd-DTPA was heavily dependent upon CMC
location (enhancing vs nonenhancing) with an approximately 10-fold difference in
Vd observed (p = 0.005). There were no hemorrhages related to catheter placement
or removal, and all 3 patients completed the protocol-defined treatment.
CONCLUSIONS The CMC is capable of providing backflow-resistant drug delivery to
the brain and brain tumors. The volume of distribution is heavily dependent upon
the integrity of the blood-brain barrier. Assessment of delivery is essential for
development of loco-regionally applied therapeutics in the CNS. Clinical trial
registration no.: NCT02278510 (clinicaltrials.gov).
PMID- 29652235
TI - Harvey Cushing's management of neurogenic thoracic outlet syndrome.
AB - Harvey Cushing is widely regarded as one of the forefathers of neurosurgery, and
is primarily associated with his work on intracranial pathology. However, he had
a clinical and academic interest in peripheral nerve surgery. Through the
courtesy of the Alan Mason Chesney Medical Archives, the surgical records of the
Johns Hopkins Hospital from 1896 to 1912 were reviewed. The records of a single
patient undergoing brachial plexus exploration and cervical rib resection were
selected for detailed review. The operative report and accompanying illustrations
demonstrate Cushing's interest in adding approaches to the pathology of the
brachial plexus to his operative armamentarium.
PMID- 29652234
TI - Computer-assisted planning for the insertion of stereoelectroencephalography
electrodes for the investigation of drug-resistant focal epilepsy: an external
validation study.
AB - OBJECTIVE One-third of cases of focal epilepsy are drug refractory, and surgery
might provide a cure. Seizure-free outcome after surgery depends on the correct
identification and resection of the epileptogenic zone. In patients with no
visible abnormality on MRI, or in cases in which presurgical evaluation yields
discordant data, invasive stereoelectroencephalography (SEEG) recordings might be
necessary. SEEG is a procedure in which multiple electrodes are placed
stereotactically in key targets within the brain to record interictal and ictal
electrophysiological activity. Correlating this activity with seizure semiology
enables identification of the seizure-onset zone and key structures within the
ictal network. The main risk related to electrode placement is hemorrhage, which
occurs in 1% of patients who undergo the procedure. Planning safe electrode
placement for SEEG requires meticulous adherence to the following: 1) maximize
the distance from cerebral vasculature, 2) avoid crossing sulcal pial boundaries
(sulci), 3) maximize gray matter sampling, 4) minimize electrode length, 5) drill
at an angle orthogonal to the skull, and 6) avoid critical neurological
structures. The authors provide a validation of surgical strategizing and
planning with EpiNav, a multimodal platform that enables automated computer
assisted planning (CAP) for electrode placement with user-defined regions of
interest. METHODS Thirteen consecutive patients who underwent implantation of a
total 116 electrodes over a 15-month period were studied retrospectively. Models
of the cortex, gray matter, and sulci were generated from patient-specific whole
brain parcellation, and vascular segmentation was performed on the basis of
preoperative MR venography. Then, the multidisciplinary implantation strategy and
precise trajectory planning were reconstructed using CAP and compared with the
implemented manually determined plans. Paired results for safety metric
comparisons were available for 104 electrodes. External validity of the
suitability and safety of electrode entry points, trajectories, and target-point
feasibility was sought from 5 independent, blinded experts from outside
institutions. RESULTS CAP-generated electrode trajectories resulted in a
statistically significant improvement in electrode length, drilling angle, gray
matter-sampling ratio, minimum distance from segmented vasculature, and risk (p <
0.05). The blinded external raters had various opinions of trajectory feasibility
that were not statistically significant, and they considered a mean of 69.4% of
manually determined trajectories and 62.2% of CAP-generated trajectories
feasible; 19.4% of the CAP-generated electrode-placement plans were deemed
feasible when the manually determined plans were not, whereas 26.5% of the
manually determined electrode-placement plans were rated feasible when CAP
determined plans were not (no significant difference). CONCLUSIONS CAP generates
clinically feasible electrode-placement plans and results in statistically
improved safety metrics. CAP is a useful tool for automating the placement of
electrodes for SEEG; however, it requires the operating surgeon to review the
results before implantation, because only 62% of electrode-placement plans were
rated feasible, compared with 69% of the manually determined placement plans,
mainly because of proximity of the electrodes to unsegmented vasculature.
Improved vascular segmentation and sulcal modeling could lead to further
improvements in the feasibility of CAP-generated trajectories.
PMID- 29652236
TI - Validity of PROMIS in minimally invasive transforaminal lumbar interbody fusion:
a preliminary evaluation.
AB - OBJECTIVE Patient-reported outcomes are commonly used to evaluate treatment
efficacy. Inefficiencies in standard measurement tools often prove to be a
barrier to data collection. The Patient-Reported Outcomes Measurement Information
System (PROMIS) was developed to overcome these limitations. This tool implements
computer-adaptive testing, which enables the assessment of physical function in
fewer questions than those required for "static" metrics. In spine surgery
patients, moderate to strong correlations with Oswestry Disability Index (ODI)
and the 36-Item Short Form Survey (SF-36) scores have been reported for PROMIS.
However, to date, data regarding the efficacy of this tool for patients
undergoing minimally invasive (MIS) transforaminal lumbar interbody fusion (TLIF)
have been limited. METHODS A prospectively maintained registry of patients who
have undergone primary 1- or 2-level MIS TLIF was reviewed retrospectively.
Patients with incomplete PROMIS data were excluded. Changes in PROMIS physical
function scores 6 weeks, 12 weeks, and 6 months after surgery were analyzed using
paired t-tests. PROMIS scores were compared with traditional outcome measures,
including SF-12 physical function, ODI, and visual analog scale (VAS) back and
leg scores. Correlations were tested using the Pearson correlation coefficient,
and the strength of association was interpreted as follows: small, 0.1 <= |r| <
0.3; moderate, 0.3 <= |r| < 0.5; and large, |r| >= 0.5. Statistical significance
was set at p < 0.05. RESULTS Seventy-four patients were included in this analysis
after the exclusion of those without PROMIS scores. The mean preoperative PROMIS
score was 35.92 +/- 6.98. Significant improvements were demonstrated in PROMIS
scores 12 weeks (41.33, p < 0.001) and 6 months (43.58, p < 0.001) after surgery.
PROMIS scores demonstrated a significant correlation with SF-12, ODI, and VAS leg
scores (p < 0.05). Strong associations with PROMIS scores were observed for SF-12
(r = 0.650 to 0.854), ODI (r = -0.525 to -0.831), and 6-month VAS back (r =
0.693) scores. CONCLUSIONS Physical function as measured by PROMIS improves
significantly 12 weeks and 6 months after MIS TLIF. In addition, PROMIS scores
have strong correlations with SF-12 and ODI scores. These results suggest that
PROMIS scores can be used as a valid assessment of physical function in MIS TLIF
patients. Further work is required to determine the full benefits of this measure
in other spine populations.
PMID- 29652237
TI - Failure of facet replacement system with metal-on-metal bearing surface and
subsequent discovery of cobalt allergy: report of 2 cases.
AB - The aim of this study was to report on 2 patients in whom metal-on-metal (MOM)
facet replacements failed, with subsequent positive findings on allergy testing.
Motion-preserving devices have been used with limited success when
instrumentation is indicated in the mobile spine. MOM-bearing surfaces in
orthopedics were developed to increase implant longevity, yet have been
associated with numerous adverse outcomes, including local tissue reactions,
pseudotumors, metallosis, and the need for revision surgery. Five patients with
spinal stenosis and low-grade spondylolisthesis were randomized to undergo facet
replacement surgery with the ACADIA facet replacement system at the authors'
institution. Two patients experienced a return of neurological symptoms after a
pain-free interval (< 2 years) with development of local tissue reaction and
positive findings on allergy testing to cobalt, the metal in the MOM-bearing
surface. Both patients underwent successful removal of the implant and revision
to titanium posterior spinal fusion and interbody fusion without further
complication. Motion-preserving devices have been designed and trialed for
specific indications in the mobile spine. Given the adverse results from MOM
devices in hip arthroplasty and now the early reports with MOM facet
replacements, caution is warranted when moving forward with any MOM joint-bearing
surface. Both patients presented here had an unusual tissue reaction locally and
subsequent positive allergy testing results to cobalt. These 2 patients appear to
have developed a delayed hypersensitivity reaction to the metal, likely from fine
debris at the MOM interface.
PMID- 29652238
TI - Challenge of handling a Charcot spinal arthropathy with a novel hybrid fibular
autograft and expandable cage.
AB - A 26-year-old man, who was paraplegic for 6 years due to a motor vehicle
accident, presented to the authors' clinic following his incapacity to withstand
a sitting posture, the frequent sensation of "clicks" in his back, and a
complaint of back pain while in his wheelchair. On imaging, his dorsal spine
showed a complete arthrodesis of the primarily fused vertebrae. However, distal
to this segment, a Charcot spinal arthropathy with subluxation of T12-L1 was
evident. Repair of this complex, uncommon, late complication of his paraplegia by
the frequently used fusion techniques was shown to be inappropriate. A novel and
elaborate surgical procedure is presented by which a complete fusion of the
affected spine was secured. A left retrodiaphragmatic approach was used. Complete
corpectomy of both the T-12 and L-1 vertebrae to the preserved endplates was
performed. Most of the patient's fibula was resected and shaped for engrafting.
The segment of the fibula was introduced into a mesh cage, before its
intramedullary implantation into the T-12 and L-1 vertebrae. This 2-step
procedure combined the hybrid use of a fibular autograft and an expandable mesh
cage, incorporated one into the other, in an innovative intramedullary position.
This intervention allowed the patient to resume his former condition as an
extremely physically active patient with paraplegia. Nine years later, an
asymptomatic early-stage Charcot spine was found at L5-S1, but no treatment is
planned at this point.
PMID- 29652239
TI - Ovotesticular Disorder of Sex Development (Ovotestis) in Simpson-Golabi-Behmel
Syndrome: Expansion of the Clinical Spectrum.
AB - Simpson-Golabi-Behmel syndrome type I (SGBS, OMIM312870), caused by defects of
the GPC3 and GPC4 genes on chromosome Xq26, is an X-linked recessive
macrosomia/multiple congenital anomaly disorder characterized by somatic
overgrowth, coarse facial features, variable congenital anomalies, increased
tumor risk, and mild-to-moderate neurodevelopmental anomalies. We report the
postmortem findings in 3 second-trimester male siblings with SGBS who displayed
ambiguous genitalia (in all 3) and gonadal dysgenesis (ovotestis) (in 1), thus
expanding the SGBS spectrum to include these disorders of sex development.
PMID- 29652240
TI - Umbilical Cord Coiling in High-risk Pregnancies: Associations With Determinants
of Adverse Birth Outcomes and Iron Status.
AB - Abnormal umbilical cord coiling has been associated with adverse neonatal
outcomes, but the etiology of these findings remains poorly characterized. This
study was undertaken to examine associations between cord coiling and maternal
iron (Fe) status and to identify potential determinants of hypo- and hypercoiling
in 2 higher risk obstetric groups: pregnant adolescents (<=18 years, n = 92) and
adult women carrying twins (n = 49), triplets (n = 11), or quadruplets (n = 1).
Umbilical cords were classified as hypo-, normo-, or hypercoiled using digital
photographs to assess gross appearance. Hypocoiling and hypercoiling were
observed in 44% (n = 86/195) and 13% (n = 26/195) of the combined study
population. The prevalence of hypocoiling among women carrying multiples was over
3-fold higher than the prevalence in singleton pregnancies based on the published
data. Within the entire study population, hypocoiling was associated with a lower
gestational age at birth when compared to normocoiling and hypercoiling (36.3 +/-
3.6 weeks [n = 86] vs 37.8 +/- 2.7 [n = 83], P < .01, and 38.2 +/- 2.6 [n = 26],
P < .01, respectively), whereas hypercoiling was associated with significantly
lower serum ferritin when compared to normocoiling ( P < .01) and hypocoiling ( P
< .001). In the multiples cohort only, hypercoiling was significantly associated
with multiparity ( P < .01) and lower birth weight ( P < .05). Further studies
are needed to identify the determinants and consequences of cord coiling.
PMID- 29652241
TI - Bacterial Burden in Critically Injured Ventilated Patients Does Not Correlate
with Progression to Pneumonia.
AB - BACKGROUND: Ventilator-associated pneumonia (VAP) is common in critically injured
patients. The pathogenesis of VAP is not completely understood. We hypothesized
that mechanically ventilated trauma patients who develop pneumonia have a
progressive increase in pathogen burden over the course of ventilation until a
threshold for symptomatic pneumonia is reached, leading to clinical suspicion of
VAP. METHODS: Critically injured adults ventilated for more than two successive
days were enrolled. Patients underwent daily surveillance mini-bronchoscopic
alveolar lavage (mBAL) while ventilated for 14 days or until extubation. Standard
semi-quantitative cultures were performed, and the investigators were blinded to
the results. Standard patient management was performed by the clinical team.
Patients suspected of having VAP by the clinical team underwent bronchoscopic
bronchoalveolar lavage (bBAL) and semi-quantitative culture, with VAP defined as
clinical symptoms plus >104 colony-forming units (CFU) of bacteria. Standard
statistical analysis for non-parametric data was performed. RESULTS: The 37
patients enrolled were ventilated for a median of nine days. While ventilated, 23
patients met the criteria for a clinical suspicion of VAP, of which two were too
ill for bronchoscopy. Thus, 21 patients underwent bBALs because of a suspicion of
VAP, and 13 (35%) were positive, with >104 CFU of one or more pathogens, and were
treated for pneumonia. The bacterial burden on mBAL remained <104 CFU during
ventilation for 32% of patients. None developed clinical symptoms of VAP. Two
thirds (67%) had an mBAL bacterial burden of >104 CFU without clinical suspicion
of VAP. Half (56%) of positive surveillance cultures were followed by clinical
VAP, confirmed by bBAL, all of which had identical pathogens on mBAL and bBAL.
Almost half (44%) of the patients with positive surveillance mBALs never
developed clinical VAP. CONCLUSION: A significant percentage of critically
injured, ventilated adults develop high bacterial burdens in the lungs early in
their course, and many clear these bacteria without developing VAP. Further study
is needed to identify the factors causing progression to VAP.
PMID- 29652242
TI - Chiari decompression outcomes using ligamentum nuchae harvest and duraplasty in
pediatric patients with Chiari malformation type I.
AB - OBJECTIVE The choice of graft material for duraplasty in decompressions of Chiari
malformations remains a matter of debate. The authors present a detailed
technique for harvesting ligamenta nuchae, as well as the clinical and
radiographic outcomes of this technique, in a case series. METHODS The authors
conducted a retrospective study evaluating the outcomes of Chiari malformation
type I decompression and duraplasty in children aged 0-18 years at a single
institution from 2013 to 2016. They collected both intraoperative and
postoperative variables and compared them qualitatively to published data.
RESULTS During the study period, the authors performed 25 Chiari malformation
decompressions with ligamentum nuchae graft duraplasties. Of the 25 patients, 10
were females, and the mean age at surgery was 8.6 years (range 13 months to 18
years). The median operative time was 163 minutes (IQR 152-187 minutes), with
approximately 10 minutes needed by a resident surgeon to harvest the graft. The
mean length of stay was 3 nights (range 2-6 nights), and the mean follow-up was
12.6 months (range 0.5-43.5 months). One patient (4%) developed a CSF leak that
was repaired using an oversewing patch. There were no postoperative
pseudomeningoceles or infections. Of the 19 patients presenting with a syrinx,
imaging showed improvement in 10 (53%) and 8 (42%) had stable syrinx size on
imaging. Of 16 patients presenting with a symptomatic Chiari malformation, 14
(87.5%) experienced resolution of symptoms and in 1 (4%) symptoms remained the
same. One patient (4%) presented with worsening syrinx and symptoms 1.5 months
after initial surgery and underwent repeat decompression. CONCLUSIONS The authors
describe a series of clinical and imaging outcomes of patients who underwent
Chiari malformation decompression and duraplasty with a harvested ligamentum
nuchae. The rates of postoperative CSF leak are similar to established techniques
of autologous and artificial grafts, with similarly successful outcomes. Further
study will be needed with larger patient cohorts to more directly compare
duraplasty graft outcomes.
PMID- 29652243
TI - Dorsal rhizotomy for children with spastic diplegia of cerebral palsy origin:
usefulness of intraoperative monitoring.
AB - OBJECTIVE The utility of intraoperative neuromonitoring (ION), namely the study
of muscle responses to radicular stimulation, remains controversial. The authors
performed a prospective study combining ventral root (VR) stimulation for mapping
anatomical levels and dorsal root (DR) stimulation as physiological testing of
metameric excitability. The purpose was to evaluate to what extent the
intraoperative data led to modifications in the initial decisions for surgical
sectioning established by the pediatric multidisciplinary team (i.e.,
preoperative chart), and thus estimate its practical usefulness. METHODS Thirteen
children with spastic diplegia underwent the following surgical protocol. First,
a bilateral intradural approach was made to the L2-S2 VRs and DRs at the exit
from or entry to their respective dural sheaths, through multilevel interlaminar
enlarged openings. Second, stimulation-just above the threshold-of the VR at 2 Hz
to establish topography of radicular myotome distribution, and then of the DR at
50 Hz as an excitability test of root circuitry, with independent identification
of muscle responses by the physiotherapist and by electromyographic recordings.
The study aimed to compare the final amounts of root sectioning-per radicular
level, established after intraoperative neuromonitoring guidance-with those
determined by the multidisciplinary team in the presurgical chart. RESULTS The
use of ION resulted in differences in the final percentage of root sectioning for
all root levels. The root levels corresponding to the upper lumbar segments were
modestly excitable under DR stimulation, whereas progressively lower root levels
displayed higher excitability. The difference between root levels was highly
significant, as evaluated by electromyography (p = 0.00004) as well as by the
physiotherapist (p = 0.00001). Modifications were decided in 11 of the 13
patients (84%), and the mean absolute difference in the percentage of sectioning
quantity per radicular level was 8.4% for L-2 (p = 0.004), 6.4% for L-3 (p =
0.0004), 19.6% for L-4 (p = 0.00003), 16.5% for L-5 (p = 0.00006), and 3.2% for S
1 roots (p = 0.016). Decreases were most frequently decided for roots L-2 and L
3, whereas increases most frequently involved roots L-4 and L-5, with the largest
changes in terms of percentage of sectioning. CONCLUSIONS The use of ION during
dorsal rhizotomy led to modifications regarding which DRs to section and to what
extent. This was especially true for L-4 and L-5 roots, which are known to be
involved in antigravity and pelvic stability functions. In this series, ION
contributed significantly to further adjust the patient-tailored dorsal rhizotomy
procedure to the clinical presentation and the therapeutic goals of each patient.
PMID- 29652244
TI - Deriving fuel-based emission factor thresholds to interpret heavy-duty vehicle
roadside plume measurements.
AB - : Remote sensing devices have been used for decades to measure gaseous emissions
from individual vehicles at the roadside. Systems have also been developed that
entrain diluted exhaust and can also measure particulate matter (PM) emissions.
In 2015, the California Air Resources Board (CARB) reported that 8% of in-field
diesel particulate filters (DPF) on heavy-duty (HD) vehicles were malfunctioning
and emitted about 70% of total diesel PM emissions from the DPF-equipped fleet. A
new high-emitter problem in the heavy-duty vehicle fleet had emerged. Roadside
exhaust plume measurements reflect a snapshot of real-world operation, typically
lasting several seconds. In order to relate roadside plume measurements to
laboratory emission tests, we analyzed carbon dioxide (CO2), oxides of nitrogen
(NOX), and PM emissions collected from four HD vehicles during several driving
cycles on a chassis dynamometer. We examined the fuel-based emission factors
corresponding to possible exceedances of emission standards as a function of
vehicle power. Our analysis suggests that a typical HD vehicle will exceed the
model year (MY) 2010 emission standards (of 0.2 g NOX/bhp-hr and 0.01 g PM/bhp
hr) by three times when fuel-based emission factors are 9.3 g NOX/kg fuel and
0.11 g PM/kg using the roadside plume measurement approach. Reported limits
correspond to 99% confidence levels, which were calculated using the detection
uncertainty of emissions analyzers, accuracy of vehicle power calculations, and
actual emissions variability of fixed operational parameters. The PM threshold
was determined for acceleration events between 0.47 and 1.4 mph/sec only, and the
NOX threshold was derived from measurements where after-treatment temperature was
above 200 degrees C. Anticipating a growing interest in real-world driving
emissions, widespread implementation of roadside exhaust plume measurements as a
compliment to in-use vehicle programs may benefit from expanding this analysis to
a larger sample of in-use HD vehicles. IMPLICATIONS: Regulatory agencies, civil
society, and the public at large have a growing interest in vehicle emission
compliance in the real world. Leveraging roadside plume measurements to identify
vehicles with malfunctioning emission control systems is emerging as a viable new
and useful method to assess in-use performance. This work proposes fuel-based
emission factor thresholds for PM and NOx that signify exceedances of emission
standards on a work-specific basis by analyzing real-time emissions in the
laboratory. These thresholds could be used to prescreen vehicles before roadside
enforcement inspection or other inquiry, enhance and further develop emission
inventories, and potentially develop new requirements for heavy-duty inspection
and maintenance (I/M) programs, including but not limited to identifying vehicles
for further testing.
PMID- 29652245
TI - Prion Disease in Dromedary Camels, Algeria.
AB - Prions cause fatal and transmissible neurodegenerative diseases, including
Creutzfeldt-Jakob disease in humans, scrapie in small ruminants, and bovine
spongiform encephalopathy (BSE). After the BSE epidemic, and the associated human
infections, began in 1996 in the United Kingdom, general concerns have been
raised about animal prions. We detected a prion disease in dromedary camels
(Camelus dromedarius) in Algeria. Symptoms suggesting prion disease occurred in
3.1% of dromedaries brought for slaughter to the Ouargla abattoir in 2015-2016.
We confirmed diagnosis by detecting pathognomonic neurodegeneration and disease
specific prion protein (PrPSc) in brain tissues from 3 symptomatic animals. Prion
detection in lymphoid tissues is suggestive of the infectious nature of the
disease. PrPSc biochemical characterization showed differences with BSE and
scrapie. Our identification of this prion disease in a geographically widespread
livestock species requires urgent enforcement of surveillance and assessment of
the potential risks to human and animal health.
PMID- 29652246
TI - Metformin in non-diabetic hyperglycaemia: the GLINT feasibility RCT.
AB - BACKGROUND: The treatment of people with diabetes with metformin can reduce
cardiovascular disease (CVD) and may reduce the risk of cancer. However, it is
unknown whether or not metformin can reduce the risk of these outcomes in people
with elevated blood glucose levels below the threshold for diabetes [i.e. non
diabetic hyperglycaemia (NDH)]. OBJECTIVE: To assess the feasibility of the
Glucose Lowering In Non-diabetic hyperglycaemia Trial (GLINT) and to estimate the
key parameters to inform the design of the full trial. These parameters include
the recruitment strategy, randomisation, electronic data capture, postal drug
distribution, retention, study medication adherence, safety monitoring and remote
collection of outcome data. DESIGN: A multicentre, individually randomised,
double-blind, parallel-group, pragmatic, primary prevention trial. Participants
were individually randomised on a 1 : 1 basis, blocked within each site. SETTING:
General practices and clinical research facilities in Cambridgeshire, Norfolk and
Leicestershire. PARTICIPANTS: Males and females aged >= 40 years with NDH who had
a high risk of CVD. INTERVENTIONS: Prolonged-release metformin (500 mg)
(Glucophage(r) SR, Merck KGaA, Bedfont Cross, Middlesex, UK) or the matched
placebo, up to three tablets per day, distributed by post. MAIN OUTCOME MEASURES:
Recruitment rates; adherence to study medication; laboratory results at baseline
and 3 and 6 months; reliability and acceptability of study drug delivery;
questionnaire return rates; and quality of life. RESULTS: We sent 5251
invitations, with 511 individuals consenting to participate. Of these, 249 were
eligible and were randomised between March and November 2015 (125 to the
metformin group and 124 to the placebo group). Participants were followed up for
0.99 years [standard deviation (SD) 0.30 years]. The use of electronic medical
records to identify potentially eligible individuals in individual practices was
resource intensive. Participants were generally elderly [mean age 70 years (SD
6.7 years)], overweight [mean body mass index 30.1 kg/m2 (SD 4.5 kg/m2)] and male
(88%), and the mean modelled 10-year CVD risk was 28.8% (SD 8.5%). Randomisation,
postal delivery of the study drug and outcome assessment using registers/medical
records were feasible and acceptable to participants. Most participants were able
to take three tablets per day, but premature discontinuation of the study drug
was common (~30% of participants by 6 months), although there were no differences
between the groups. All randomised participants returned questionnaires at
baseline and 67% of participants returned questionnaires by the end of the study.
There was no between-group difference in Short Form questionnaire-8 items or
EuroQol-5 Dimensions scores. Compared with placebo, metformin was associated with
small improvements in the mean glycated haemoglobin level [-0.82 mmol/mol, 95%
confidence interval (CI) -1.39 to -0.24 mmol/mol], mean estimated glomerular
filtration rate (2.31 ml/minute/1.73 m2, 95% CI -0.2 to 4.81 ml/minute/1.73 m2)
and mean low-density lipoprotein cholesterol level (-0.11 mmol/l, 95% CI -0.25 to
0.02 mmol/l) and a reduction in mean plasma vitamin B12 level (-16.4 ng/l, 95% CI
-32.9 to -0.01 ng/l). There were 35 serious adverse events (13 in the placebo
group, 22 in the metformin group), with none deemed to be treatment related.
LIMITATIONS: Changes to sponsorship reduced the study duration, the limited
availability of information in medical records reduced recruitment efficiency and
discontinuation of study medication exceeded forecasts. CONCLUSIONS: A large,
pragmatic trial comparing the effects of prolonged-release metformin and placebo
on the risk of CVD events is potentially feasible. However, changes to the study
design and conduct are recommended to enable an efficient scaling up of the
trial. Recommendations include changing the inclusion criteria to recruit people
with pre-existing CVD to increase the recruitment and event rates, using large
primary/secondary care databases to increase recruitment rates, conducting follow
up remotely to improve efficiency and including a run-in period prior to
randomisation to optimise trial adherence. TRIAL REGISTRATION: Current Controlled
Trials ISRCTN34875079. FUNDING: The project was funded by the National Institute
for Health Research (NIHR) Health Technology Assessment programme and will be
published in full in Health Technology Assessment; Vol. 22, No. 18. See the NIHR
Journals Library website for further project information. Merck KGaA provided
metformin and matching placebo.
PMID- 29652247
TI - Motor selection dynamics in FEF explain the reaction time variance of saccades to
single targets.
AB - In studies of voluntary movement, a most elemental quantity is the reaction time
(RT) between the onset of a visual stimulus and a saccade toward it. However,
this RT demonstrates extremely high variability which, in spite of extensive
research, remains unexplained. It is well established that, when a visual target
appears, oculomotor activity gradually builds up until a critical level is
reached, at which point a saccade is triggered. Here, based on computational work
and single-neuron recordings from monkey frontal eye field (FEF), we show that
this rise-to-threshold process starts from a dynamic initial state that already
contains other incipient, internally driven motor plans, which compete with the
target-driven activity to varying degrees. The ensuing conflict resolution
process, which manifests in subtle covariations between baseline activity, build
up rate, and threshold, consists of fundamentally deterministic interactions, and
explains the observed RT distributions while invoking only a small amount of
intrinsic randomness.
PMID- 29652248
TI - Microtubule assembly governed by tubulin allosteric gain in flexibility and
lattice induced fit.
AB - Microtubules (MTs) are key components of the cytoskeleton and play a central role
in cell division and development. MT assembly is known to be associated with a
structural change in [Formula: see text]-tubulin dimers from kinked to straight
conformations. How GTP binding renders individual dimers polymerization
competent, however, is still unclear. Here, we have characterized the
conformational dynamics and energetics of unassembled tubulin using atomistic
molecular dynamics and free energy calculations. Contrary to existing allosteric
and lattice models, we find that GTP-tubulin favors a broad range of almost
isoenergetic curvatures, whereas GDP-tubulin has a much lower bending
flexibility. Moreover, irrespective of the bound nucleotide and curvature, two
conformational states exist differing in location of the anchor point connecting
the monomers that affects tubulin bending, with one state being strongly favored
in solution. Our findings suggest a new combined model in which MTs incorporate
and stabilize flexible GTP-dimers with a specific anchor point state.
PMID- 29652250
TI - Design and modelling of an engineered bacteria-based, pressure-sensitive soil.
AB - In this paper, we describe the first steps in the design of a synthetic
biological system based on the use of genetically modified bacteria to detect
elevated pressures in soils and respond by cementing soil particles. Such a
system might, for example, enable a self- constructed foundation to form in
response to load using engineered bacteria which could be seeded and grown in the
soils. This process would reduce the need for large-scale excavations and may be
the basis for a new generation of self-assembling and responsive bio-based
materials. A prototype computational model is presented which integrates
experimental data from a pressure sensitive gene within Escherichia coli bacteria
with geotechnical models of soil loading and pore water pressure. The results
from the integrated model are visualised by mapping expected gene expression
values onto the soil volume. We also use our experimental data to design a two
component system where one type of bacteria acts as a sensor and signals to
another material synthesis bacteria. The simulation demonstrates the potential of
computational models which integrate multiple scales from macro stresses in soils
to the expression of individual genes to inform new types of design process. The
work also illustrates the combination of in silico (silicon based computing)
computation with in vivo (in the living) computation.
PMID- 29652249
TI - Clathrin coat controls synaptic vesicle acidification by blocking vacuolar ATPase
activity.
AB - Newly-formed synaptic vesicles (SVs) are rapidly acidified by vacuolar adenosine
triphosphatases (vATPases), generating a proton electrochemical gradient that
drives neurotransmitter loading. Clathrin-mediated endocytosis is needed for the
formation of new SVs, yet it is unclear when endocytosed vesicles acidify and
refill at the synapse. Here, we isolated clathrin-coated vesicles (CCVs) from
mouse brain to measure their acidification directly at the single vesicle level.
We observed that the ATP-induced acidification of CCVs was strikingly reduced in
comparison to SVs. Remarkably, when the coat was removed from CCVs, uncoated
vesicles regained ATP-dependent acidification, demonstrating that CCVs contain
the functional vATPase, yet its function is inhibited by the clathrin coat.
Considering the known structures of the vATPase and clathrin coat, we propose a
model in which the formation of the coat surrounds the vATPase and blocks its
activity. Such inhibition is likely fundamental for the proper timing of SV
refilling.
PMID- 29652251
TI - Force and light tuning vertical tunneling current in the atomic layered MoS2.
AB - In this work, the vertical electrical transport behavior of bilayer MoS2 under
the coupling of force and light was explored by the use of conductive atomic
force microscopy. We found that the current-voltage behavior across the tip-MoS2
Pt junction is a tunneling current that can be well fitted by a Simmons
approximation. The transport behavior is direct tunneling at low bias and Fowler
Nordheim tunneling at high bias, and the transition voltage and tunnel barrier
height are extracted. The effect of force and light on the effective band gap of
the junction is investigated. Furthermore, the source-drain current drops
surprisingly when we continually increase the force, and the dropping point is
altered by the provided light. This mechanism is responsible for the tuning of
tunneling barrier height and width by force and light. These results provide a
new way to design devices that take advantage of ultrathin two-dimensional
materials. Ultrashort channel length electronic components that possess tunneling
current are important for establishing high-efficiency electronic and
optoelectronic systems.
PMID- 29652252
TI - On the application of the expected log-likelihood gain to decision making in
molecular replacement.
AB - Molecular-replacement phasing of macromolecular crystal structures is often fast,
but if a molecular-replacement solution is not immediately obtained the
crystallographer must judge whether to pursue molecular replacement or to attempt
experimental phasing as the quickest path to structure solution. The introduction
of the expected log-likelihood gain [eLLG; McCoy et al. (2017), Proc. Natl Acad.
Sci. USA, 114, 3637-3641] has given the crystallographer a powerful new tool to
aid in making this decision. The eLLG is the log-likelihood gain on intensity
[LLGI; Read & McCoy (2016), Acta Cryst. D72, 375-387] expected from a correctly
placed model. It is calculated as a sum over the reflections of a function
dependent on the fraction of the scattering for which the model accounts, the
estimated model coordinate error and the measurement errors in the data. It is
shown how the eLLG may be used to answer the question 'can I solve my structure
by molecular replacement?'. However, this is only the most obvious of the
applications of the eLLG. It is also discussed how the eLLG may be used to
determine the search order and minimal data requirements for obtaining a
molecular-replacement solution using a given model, and for decision making in
fragment-based molecular replacement, single-atom molecular replacement and
likelihood-guided model pruning.
PMID- 29652253
TI - Structural analysis of glycoproteins: building N-linked glycans with Coot.
AB - Coot is a graphics application that is used to build or manipulate macromolecular
models; its particular forte is manipulation of the model at the residue level.
The model-building tools of Coot have been combined and extended to assist or
automate the building of N-linked glycans. The model is built by the addition of
monosaccharides, placed by variation of internal coordinates. The subsequent
model is refined by real-space refinement, which is stabilized with modified and
additional restraints. It is hoped that these enhanced building tools will help
to reduce building errors of N-linked glycans and improve our knowledge of the
structures of glycoproteins.
PMID- 29652254
TI - Effects of protein-crystal hydration and temperature on side-chain conformational
heterogeneity in monoclinic lysozyme crystals.
AB - The modulation of main-chain and side-chain conformational heterogeneity and
solvent structure in monoclinic lysozyme crystals by dehydration (related to
water activity) and temperature is examined. Decreasing the relative humidity
(from 99 to 11%) and decreasing the temperature both lead to contraction of the
unit cell, to an increased area of crystal contacts and to remodeling of
primarily contact and solvent-exposed residues. Both lead to the depopulation of
some minor side-chain conformers and to the generation of new conformations. Side
chain modifications and main-chain r.m.s.d.s associated with cooling from 298 to
100 K depend on relative humidity and are minimized at 85% relative humidity
(r.h.). Dehydration from 99 to 93% r.h. and cooling from 298 to 100 K result in a
comparable number of remodeled residues, with dehydration-induced remodeling
somewhat more likely to arise from contact interactions. When scaled to
equivalent temperatures based on unit-cell contraction, the evolution of side
chain order parameters with dehydration shows generally similar features to those
observed on cooling to T = 100 K. These results illuminate the qualitative and
quantitative similarities between structural perturbations induced by modest
dehydration, which routinely occurs in samples prepared for 298 and 100 K data
collection, and cryocooling. Differences between these perturbations in terms of
energy landscapes and occupancies, and implications for variable-temperature
crystallography between 180 and 298 K, are discussed. It is also noted that
remodeling of a key lysozyme active-site residue by dehydration, which is
associated with a radical decrease in the enzymatic activity of lysozyme powder,
arises due to a steric clash with the residue of a symmetry mate.
PMID- 29652255
TI - Gyre and gimble: a maximum-likelihood replacement for Patterson correlation
refinement.
AB - Descriptions are given of the maximum-likelihood gyre method implemented in
Phaser for optimizing the orientation and relative position of rigid-body
fragments of a model after the orientation of the model has been identified, but
before the model has been positioned in the unit cell, and also the related
gimble method for the refinement of rigid-body fragments of the model after
positioning. Gyre refinement helps to lower the root-mean-square atomic
displacements between model and target molecular-replacement solutions for the
test case of antibody Fab(26-10) and improves structure solution with
ARCIMBOLDO_SHREDDER.
PMID- 29652257
TI - Structure and function of the type III pullulan hydrolase from Thermococcus
kodakarensis.
AB - Pullulan-hydrolysing enzymes, more commonly known as debranching enzymes for
starch and other polysaccharides, are of great interest and have been widely used
in the starch-saccharification industry. Type III pullulan hydrolase from
Thermococcus kodakarensis (TK-PUL) possesses both pullulanase and alpha-amylase
activities. Until now, only two enzymes in this class, which are capable of
hydrolysing both alpha-1,4- and alpha-1,6-glycosidic bonds in pullulan to produce
a mixture of maltose, panose and maltotriose, have been described. TK-PUL shows
highest activity in the temperature range 95-100 degrees C and has a pH optimum
in the range 3.5-4.2. Its unique ability to hydrolyse maltotriose into maltose
and glucose has not been reported for other homologous enzymes. The crystal
structure of TK-PUL has been determined at a resolution of 2.8 A and represents
the first analysis of a type III pullulan hydrolyse. The structure reveals that
the last part of the N-terminal domain and the C-terminal domain are
significantly different from homologous structures. In addition, the loop regions
at the active-site end of the central catalytic domain are quite different. The
enzyme has a well defined calcium-binding site and possesses a rare vicinal
disulfide bridge. The thermostability of TK-PUL and its homologues may be
attributable to several factors, including the increased content of salt bridges,
helical segments, Pro, Arg and Tyr residues and the decreased content of serine.
PMID- 29652256
TI - Exploiting distant homologues for phasing through the generation of compact
fragments, local fold refinement and partial solution combination.
AB - Macromolecular structures can be solved by molecular replacement provided that
suitable search models are available. Models from distant homologues may deviate
too much from the target structure to succeed, notwithstanding an overall similar
fold or even their featuring areas of very close geometry. Successful methods to
make the most of such templates usually rely on the degree of conservation to
select and improve search models. ARCIMBOLDO_SHREDDER uses fragments derived from
distant homologues in a brute-force approach driven by the experimental data,
instead of by sequence similarity. The new algorithms implemented in
ARCIMBOLDO_SHREDDER are described in detail, illustrating its characteristic
aspects in the solution of new and test structures. In an advance from the
previously published algorithm, which was based on omitting or extracting
contiguous polypeptide spans, model generation now uses three-dimensional volumes
respecting structural units. The optimal fragment size is estimated from the
expected log-likelihood gain (LLG) values computed assuming that a substructure
can be found with a level of accuracy near that required for successful extension
of the structure, typically below 0.6 A root-mean-square deviation (r.m.s.d.)
from the target. Better sampling is attempted through model trimming or
decomposition into rigid groups and optimization through Phaser's gyre
refinement. Also, after model translation, packing filtering and refinement,
models are either disassembled into predetermined rigid groups and refined
(gimble refinement) or Phaser's LLG-guided pruning is used to trim the model of
residues that are not contributing signal to the LLG at the target r.m.s.d.
value. Phase combination among consistent partial solutions is performed in
reciprocal space with ALIXE. Finally, density modification and main-chain
autotracing in SHELXE serve to expand to the full structure and identify
successful solutions. The performance on test data and the solution of new
structures are described.
PMID- 29652258
TI - The crystal structure of the lipoaminopeptaibol helioferin, an antibiotic peptide
from Mycogone rosea.
AB - The crystal structure of the natural nonapeptide antibiotic helioferin has been
determined and refined to 0.9 A resolution. Helioferin consists of helioferin A
and B, which contain 2-(2'-aminopropyl)aminoethanol (Apae) and 2-[(2'
aminopropyl)methylamino]ethanol (Amae) at their respective alkanolamine termini.
In addition, helioferin contains the unusual amino-acid residues alpha
aminoisobutyric acid (Aib) and (2S,4S,6S)-2-amino-6-hydroxy-4-methyl-8
oxodecanoic acid (Ahmod). The amino-terminus is capped with 2-methyl-n-1-octanoic
acid (M8a). The peptide crystallizes with a 1:1 molar ratio of helioferin A and B
in the monoclinic space group C2, with unit-cell parameters a = 34.711, b =
10.886, c = 17.150 A, beta = 93.05 degrees . The peptide backbone folds in a
regular right-handed alpha-helical conformation, with eight intramolecular
hydrogen bonds, all but one forming 5->1 interactions. The two aliphatic chains
of the fatty-acyl (M8a) and the second residue (Ahmod) extend out of the alpha
helical structure in opposite directions and lead to a corkscrew-like shape of
the peptide molecule. Halogen anions (Cl- and F-) have been co-crystallized with
the peptide molecules, implying a positive charge at the aminoalcohol end of the
peptide. In the tightly packed crystal the helices are linked head to tail via
the anions by electrostatic, hydrogen-bond and van der Waals interactions,
forming continuous helical rods. Two nonparallel rods (forming an angle of 118
degrees ) interact directly via hydrogen bonds and via the anions, forming a
double layer. Successive double layers are held together only via van der Waals
contacts. The helical axes of successive double layers are also related by an
angle of 118 degrees . The structure of helioferin reported here and the
previously determined structure of the homologous leucinostatin A have a total
straight length of about 21 A, indicating a different membrane-modifying
bioactivity from that of long-chain, amphiphilic peptaibols.
PMID- 29652259
TI - Structures of the Mycobacterium tuberculosis GlpX protein (class II fructose-1,6
bisphosphatase): implications for the active oligomeric state, catalytic
mechanism and citrate inhibition.
AB - The crystal structures of native class II fructose-1,6-bisphosphatase (FBPaseII)
from Mycobacterium tuberculosis at 2.6 A resolution and two active-site protein
variants are presented. The variants were complexed with the reaction product
fructose 6-phosphate (F6P). The Thr84Ala mutant is inactive, while the Thr84Ser
mutant has a lower catalytic activity. The structures reveal the presence of a
222 tetramer, similar to those described for fructose-1,6/sedoheptulose-1,7
bisphosphatase from Synechocystis (strain 6803) as well as the equivalent enzyme
from Thermosynechococcus elongatus. This homotetramer corresponds to a homologous
oligomer that is present but not described in the crystal structure of FBPaseII
from Escherichia coli and is probably conserved in all FBPaseIIs. The
constellation of amino-acid residues in the active site of FBPaseII from M.
tuberculosis (MtFBPaseII) is conserved and is analogous to that described
previously for the E. coli enzyme. Moreover, the structure of the active site of
the partially active (Thr84Ser) variant and the analysis of the kinetics are
consistent with the previously proposed catalytic mechanism. The presence of
metabolites in the crystallization medium (for example citrate and malonate) and
in the corresponding crystal structures of MtFBPaseII, combined with their
observed inhibitory effect, could suggest the existence of an uncharacterized
inhibition of this class of enzymes besides the allosteric inhibition by
adenosine monophosphate observed for the Synechocystis enzyme. The structural and
functional insights derived from the structure of MtFBPaseII will provide
critical information for the design of lead inhibitors, which will be used to
validate this target for future chemical intervention.
PMID- 29652260
TI - The crystal structure of the malic enzyme from Candidatus Phytoplasma reveals the
minimal structural determinants for a malic enzyme.
AB - Phytoplasmas are wall-less phytopathogenic bacteria that produce devastating
effects in a wide variety of plants. Reductive evolution has shaped their genome,
with the loss of many genes, limiting their metabolic capacities. Owing to the
high concentration of C4 compounds in plants, and the presence of malic enzyme
(ME) in all phytoplasma genomes so far sequenced, the oxidative decarboxylation
of L-malate might represent an adaptation to generate energy. Aster yellows
witches'-broom (Candidatus Phytoplasma) ME (AYWB-ME) is one of the smallest of
all characterized MEs, yet retains full enzymatic activity. Here, the crystal
structure of AYWB-ME is reported, revealing a unique fold that differs from those
of 'canonical' MEs. AYWB-ME is organized as a dimeric species formed by
intertwining of the N-terminal domains of the protomers. As a consequence of such
structural differences, key catalytic residues such as Tyr36 are positioned in
the active site of each protomer but are provided by the other protomer of the
dimer. A Tyr36Ala mutation abolishes the catalytic activity, indicating the key
importance of this residue in the catalytic process but not in the dimeric
assembly. Phylogenetic analyses suggest that larger MEs (large-subunit or
chimeric MEs) might have evolved from this type of smaller scaffold by gaining
small sequence cassettes or an entire functional domain. The Candidatus
Phytoplasma AYWB-ME structure showcases a novel minimal structure design
comprising a fully functional active site, making this enzyme an attractive
starting point for rational genetic design.
PMID- 29652261
TI - Structural and functional roles of dynamically correlated residues in thymidylate
kinase.
AB - Thymidylate kinase is an important enzyme in DNA synthesis. It catalyzes the
conversion of thymidine monophosphate to thymidine diphosphate, with ATP as the
preferred phosphoryl donor, in the presence of Mg2+. In this study, the dynamics
of the active site and the communication paths between the substrates, ATP and
TMP, are reported for thymidylate kinase from Thermus thermophilus.
Conformational changes upon ligand binding and the path for communication between
the substrates and the protein are important in understanding the catalytic
mechanism of the enzyme. High-resolution X-ray crystal structures of thymidylate
kinase in apo and ligand-bound states were solved. This is the first report of
structures of binary and ternary complexes of thymidylate kinase with its natural
substrates ATP and ATP-TMP, respectively. Distinct conformations of the active
site residues, the P-loop and the LID region observed in the apo and ligand-bound
structures revealed that their concerted motion is required for the binding and
proper positioning of the substrate TMP. Structural analyses provide an insight
into the mode of substrate binding at the active site. The residues involved in
communication between the substrates were identified through network analysis
using molecular-dynamics simulations. The residues identified showed high
sequence conservation across species. Biochemical analyses show that mutations of
these residues either resulted in a loss of activity or affected the thermal
stability of the protein. Further, molecular-dynamics analyses of mutants suggest
that the proper positioning of TMP is important for catalysis. These data also
provide an insight into the phosphoryl-transfer mechanism.
PMID- 29652262
TI - The complex analysis of X-ray mesh scans for macromolecular crystallography.
AB - In macromolecular crystallography, mesh (raster) scans are carried out either as
part of X-ray-based crystal-centring routines or to identify positions on the
sample holder from which diffraction images can be collected. Here, the methods
used in MeshBest, software which automatically analyses diffraction images
collected during a mesh scan and produces a two-dimensional crystal map showing
estimates of the dimensions, centre positions and diffraction qualities of each
crystal contained in the mesh area, are presented. Sample regions producing
diffraction images resulting from the superposition of more than one crystal are
also distinguished from regions with single-crystal diffraction. The
applicability of the method is demonstrated using several cases.
PMID- 29652263
TI - X-ray and UV radiation-damage-induced phasing using synchrotron serial
crystallography.
AB - Specific radiation damage can be used to determine phases de novo from
macromolecular crystals. This method is known as radiation-damage-induced phasing
(RIP). One limitation of the method is that the dose of individual data sets must
be minimized, which in turn leads to data sets with low multiplicity. A solution
to this problem is to use data from multiple crystals. However, the resulting
signal can be degraded by a lack of isomorphism between crystals. Here, it is
shown that serial synchrotron crystallography in combination with selective
merging of data sets can be used to determine high-quality phases for insulin and
thaumatin, and that the increased multiplicity can greatly enhance the success
rate of the experiment.
PMID- 29652264
TI - The oxygenating constituent of 3,6-diketocamphane monooxygenase from the CAM
plasmid of Pseudomonas putida: the first crystal structure of a type II Baeyer
Villiger monooxygenase. Corrigendum.
AB - A statement is amended in the article by Isupov et al. [(2015). Acta Cryst. D71,
2344-2353].
PMID- 29652265
TI - Hydrogen bonds are a primary driving force for de novo protein folding.
Corrigendum.
AB - The paper by Lee et al. [(2017). Acta Cryst. D73, 955-969] is withdrawn.
PMID- 29652267
TI - View point: Retraction is a pain but scientific misconduct is a crime!
PMID- 29652268
TI - Dishonesty in medical research and publication and the remedial measures.
PMID- 29652269
TI - Immediate extubation after cardiac surgery should be part of routine anesthesia
practice for selected patients.
PMID- 29652270
TI - Pulmonary hypertension and cardiac anesthesia: Anesthesiologist's perspective.
AB - Perioperative management of pulmonary hypertension remains one of the most
challenging scenarios during cardiac surgery. It is associated with high
morbidity and mortality due to right ventricular failure, arrhythmias, myocardial
ischemia, and intractable hypoxia. Therefore, this review article is intended
toward the anesthetic considerations in the perioperative period, with particular
emphasis on the selection of technique and choice of anesthesia with maintenance,
anesthetic drugs, and the recent intraoperative recommendations for prevention
and treatment of pulmonary hypertensive crisis.
PMID- 29652272
TI - Comparison of immediate extubation versus ultrafast tracking strategy in the
management of off-pump coronary artery bypass surgery.
AB - Introduction: Ultrafast tracking of anesthesia (UFTA) is practiced routinely,
whereas immediate on-table extubation after off-pump coronary artery bypass
(OPCAB) grafting surgery has many concerns. The purpose of our study was to
evaluate the safety and feasibility of immediate extubation (IE) versus UFTA.
Methods: Sixty patients were enrolled who underwent OPCAB surgery. The two groups
IE and UFTA had thirty patients each. Inclusion criteria were patients for OPCAB
surgery including left main stenosis. Exclusion criteria were patients with
Ejection Fraction(EF) <30%, with unstable hemodynamics, on intra-aortic balloon
pump (IABP), with renal dysfunction, with associated valvular heart diseases, on
inotropes, on temporary pacemaker, with intraoperative conversion to on-pump
coronary artery bypass grafting (CABG), who are chronic smokers, and with chronic
obstructive pulmonary disease. Statistical analysis was done with Minitab 15
software. Descriptive statistics were summarized as mean, standard deviation, and
percentage. Student's t-test was used to determine the significance of normally
distributed parametric values. Z-test was used for proportion. Statistical
significance was accepted at P < 0.05. Results: OT extubation was found to be
safe as no patient had reintubation or respiratory insufficiency. None of the
patients in either group had postoperative myocardial infarction, stroke, low
cardiac output, mediastinitis, and renal failure. Hypothermia, blood transfusion,
atrial fibrillation, and re-exploration did not occur. Intensive Care Unit length
of stay was similar in the two groups. Discharge day is statistically significant
(P = 0.001), with 5.66 days in the IE group and 6.36 days in the UFTA group. Time
spent in the operating room at the end of surgery is statistically significant,
with 14.03 min in UFTA group and 33.9 min in IE group. Conclusion: IE appears to
be safe and effective in OPCAB patients without any major complications. It can
be achieved after fulfilling traditional extubation criteria but is confined to
highly selective group of patients.
PMID- 29652271
TI - Prophylactic preoperative levosimendan for off-pump coronary artery bypass
grafting in patients with left ventricular dysfunction: Single-centered
randomized prospective study.
AB - Background: Off-pump coronary artery bypass surgery (OPCAB) is often complicated
by hemodynamic instability, especially in patients with prior left ventricular
(LV) dysfunction and appropriate choice of inotrope plays a vital role in
perioperative management of these patients. Aim and Objective: To study
hemodynamic effects and immediate outcome of prophylactic infusion of
levosimendan in patients with the LV dysfunction undergoing OPCAB surgery and
whether this strategy helps in successful conduct of OPCAB surgery. Materials and
Methods: After Institutional Ethics Committee approval, 60 patients posted for
elective OPCAB surgery were randomly divided into two groups (n = 30 each).
Patients with the LV ejection fraction <30% were included. Study group was
started on injection levosimendan (@ 0.1 MUg/kg/min) in the previous night before
surgery and continued for 24 h including intraoperative period. Hemodynamic
monitoring included heart rate, invasive blood pressure, cardiac index (CI),
pulmonary capillary wedge pressure (PCWP), pulse oximetry, and arterial blood
gases with serum lactates at as T0 (baseline), T1 (15 min after obtuse marginal
and/or PDA anastomoses), T2 (at end of surgery), T3 (6 h after surgery in
Intensive Care Unit [ICU]), T4 (12 h after surgery), and T5 (24 h after surgery
in ICU). Vasopressor was added to maintain mean arterial pressure >60 mmHg. Chi
square/Fisher's exact/Mid P exact test and Student's t-tests were applied for
categorical and continuous data. Results: CI was greater and PCWP reduced
significantly in Group L during intraoperative and early postoperative period.
Serum lactate concentration was lower in patients pretreated with levosimendan.
Incidence of postoperative atrial fibrillation (POAF) (36.6 vs. 6.6%; P = 0.01),
low cardiac output syndrome (LCOS) (30% vs. 6%; P = 0.02), and acute kidney
injury (23.3% vs. 6.7%; P = 0.04) was less in Group L. Three patients (10%) in
control group required conversion to cardiopulmonary bypass (CPB) as compared to
none in the study group. There was no difference regarding ICU or hospital stay
and mortality in both groups. Conclusion: Preoperative levosimendan helps in
successful conduct of OPCAB and reduces the incidence of LCOS, POAF, conversion
to CPB, and requirement of intra-aortic balloon pump.
PMID- 29652274
TI - Milrinone: is bolus bad?
PMID- 29652273
TI - Assessment of the effect of two regimens of milrinone infusion in pediatric
patients undergoing fontan procedure: A randomized study.
AB - Objective: The aim of the study was to compare the effect of two different
regimens of milrinone on hemodynamics and oxygen saturation in pediatric patients
undergoing Fontan procedure. Design: This was a randomized study. Setting:
Cardiac centers. Patients: This study included 116 patients undergoing Fontan
procedure. Material and Methods: Group E: Milrinone was started as infusion 0.5
MUg/kg/min without a loading dose at the beginning of cardiopulmonary bypass
(CPB) followed by infusion 0.5-0.75 MUg/kg/min in the pediatric cardiac surgical
intensive care unit (PSICU). Group L: Milrinone was started as a loading dose 50
MUg/kg over 10 min before weaning from CPB followed by infusion 0.5-0.75
MUg/kg/min in the PSICU. Measurements: Heart rate, mean arterial blood pressure,
central venous pressure, transpulmonary pressure, cardiac index, pharmacological
support, lactate level, urine output, oxygen saturation, ICU, and hospital length
of stay. Main Results: There were no changes in the heart rate and mean arterial
blood pressure (P > 0.05). The increase in the postoperative central venous
pressure, transpulmonary pressure and lactate level was lower in Group E than
Group L (P < 0.05). The increase in the postoperative cardiac index, oxygen
saturation, and urine output was higher in Group E than Group L (P < 0.05). The
requirement for pharmacological support was lower in the Group E (P < 0.05). The
ICU and hospital length of stay were shorter in the Group E than Group L (P <
0.05). Conclusion: Early use of milrinone during Fontan procedure facilitated the
weaning from CPB, decreased the elevation in the central venous pressure,
transpulmonary gradient pressure, and the requirement for pharmacological
support. Furthermore, it increased the cardiac index and arterial oxygen
saturation.
PMID- 29652275
TI - Dexmedetomidine versus ketofol sedation for outpatient diagnostic transesophageal
echocardiography: A randomized controlled study.
AB - Background: Moderate sedation is required for out-patient transesophageal
echocardiography (TEE). Our objective was to compare the effect of Ketofol and
dexmedetomidine for outpatient procedural sedation in diagnostic TEE with a
hypothesis that Ketofol would be as effective as dexmedetomidine. Patients and.
Methods: Fifty adult patients of age group 18-60 years with atrial septal defect,
rheumatic valvular heart disease undergoing diagnostic TEE in the outpatient
echocardiography laboratory were randomized into two groups, group D and group
KF. GROUP D: Dexmedetomidine infusion -200 MUg in 20 ml normal saline. GROUP KF:
Ketofol infusion: (ketamine: propofol, 1mg: 3 mg in 20 ml syringe). Loading dose
of drug at 1ml/kg/hour IV till Ramsay sedation score (RSS) >= 3 achieved followed
by maintenance infusion at 0.05 ml/kg/hour till end of procedure. Results: The
primary outcome - time to achieve Ramsay sedation score >= 3 was significantly
lesser with Ketofol as compared to Dexmedetomidine 260[69] seconds vs 460 [137],
(p value<0.05). Conclusion: In out-patient setting, ketofol is favourable over
dexmedetomidine for sedation regimen for diagnostic TEE as lesser time is taken
to achieve optimal sedation with lesser hemodynamic perturbations, post procedure
complications and better cardiologist satisfaction.
PMID- 29652276
TI - Utility of thromboelastography versus routine coagulation tests for assessment of
hypocoagulable state in patients undergoing cardiac bypass surgery.
AB - Introduction: Peri-operative monitoring of coagulation is important to diagnose
potential cause of hemorrhage, to manage coagulopathy and guide treatment with
blood products in patients undergoing cardiac surgery with cardiopulmonary
bypass. This study was done to evaluate usefulness of Thromboelastography (TEG)
and routine coagulation tests (RCT) in assessing hemostatic changes and
predicting postoperative bleeding in patients undergoing cardiac surgery with
cardiopulmonary bypass. Methods: Fifty adult patients undergoing cardiac surgery
with cardiopulmonary bypass were enrolled in this prospective study. Preoperative
and post-operative samples were collected for routine coagulation tests and TEG.
Regression analysis and test of significance using Pearson's correlation
coefficient was performed to assess correlation between routine coagulation tests
and corresponding TEG parameters .Regression analysis was done to study relation
between blood loss at 24 hours and various coagulation parameters. Results: : The
Routine coagulation test i.e. PT, INR, APTT showed no significant correlation
with corresponding TEG parameters in pre-operative samples. However platelet
count significantly correlated (p = 0.004) with MA values in postoperative
samples. A significant correlation (p = 0.001) was seen between fibrinogen levels
and alpha angles as well as with MA in both baseline preoperative and
postoperative samples. TEG parameters R time and MA in postoperative samples were
the only parameters that predicted bleeders with fair accuracy. Conclusion:
Though the techniques of RCT and TEG are different, a few RCT e.g. platelet count
and fibrinogen correlated with corresponding TEG parameters i.e. MA and Alpha
angle. TEG parameters (R time and MA in postoperative samples) were able to
predict blood loss better than RCT.
PMID- 29652277
TI - Factors associated with delayed cardiac tamponade after cardiac surgery.
AB - Context: Cardiac tamponade (CT) following cardiac surgery is a potentially fatal
complication and the cause of surgical reintervention in 0.1%-6% of cases. There
are two types of CT: acute, occurring within the first 48 h postoperatively, and
subacute or delayed, which occurs more than 48 h postoperatively. The latter does
not show specific clinical signs, which makes it more difficult to diagnose. The
factors associated with acute CT (aCT) are related to coagulopathy or surgical
bleeding, while the variables associated with subacute tamponade have not been
well defined. Aims: The primary objective of this study was to identify the
factors associated with the development of subacute CT (sCT). Settings and
Design: This report describes a case (n = 80) and control (n = 160) study nested
in a historic cohort made up of adult patients who underwent any type of urgent
or elective cardiac surgery in a tertiary cardiovascular hospital. Methods: The
occurrence of sCT was defined as the presence of a compatible clinical picture,
pericardial effusion and confirmation of cardiac tamponade during the required
emergency intervention at any point between 48 hours and 30 days after surgery.
All factors potentially related to the development of sCT were taken into
account. Statistical Analysis Used: For the adjusted analysis, a logistical
regression was constructed with 55 variables, including pre-, intra-, and post
operative data. Results: The mortality of patients with sCT was 11% versus 0% in
the controls. Five variables were identified as independently and significantly
associated with the outcome: pre- or post-operative anticoagulation,
reintervention in the first 48 h, surgery other than coronary artery bypass
graft, and red blood cell transfusion. Conclusions: Our study identified five
variables associated with sCT and established that this complication has a high
mortality rate. These findings may allow the implementation of standardized
follow-up measures for patients identified as higher risk, leading to either
early detection or prevention.
PMID- 29652279
TI - A Commentary: effects on glargine insulin on glycemic control in patients with
diabetes mellitus type II undergoing off-pump coronary artery bypass graft.
PMID- 29652278
TI - Effects of glargine insulin on glycemic control in patients with diabetes
mellitus type II undergoing off-pump coronary artery bypass graft.
AB - Background: The prevalence of diabetes mellitus in patients requiring coronary
artery bypass grafting (CABG) is noticeably high (20%-30%). These patients have
inferior perioperative outcome, reduced long-term survival, and high risk of
recurrent episodes of angina. To improve perioperative outcome surgical unit
defined satisfactory glycemic control is desired during this period. Hence, the
aim of our study is to compare the efficacy of glargine insulin combination with
continuous human insulin infusion for perioperative glycemic control in patients
with diabetes undergoing CABG. Materials and Methods: Fifty Patients, who were
posted for off-pump CABG with diabetes mellitus type II, were randomized in two
group, Group I normal saline + human insulin infusion during the perioperative
period, Group II (glargine group): Glargine + human insulin infusion during
perioperative period. Results: During surgery and in the postoperative period,
random blood sugar and human insulin requirement are significantly higher in
control group than glargine group. Other infection, step-up antibiotics,
intensive care unit (ICU) stay, and hospital stay were significantly higher in
control groups in postoperative period. Conclusion: Our study results suggest
that glargine effectively manages blood glucose level with significantly greater
control over postoperative morbidity.
PMID- 29652280
TI - Relationship between maximum clot firmness in ROTEM(r) and postoperative bleeding
after coronary artery bypass graft surgery in patients using clopidogrel.
AB - Background: The aim of the present study was to investigate the relationship
between maximum clot firmness (MCF) in rotational thromboelastometry (ROTEM(r))
and postoperative bleeding in patients on clopidogrel after emergency coronary
artery bypass graft surgery (CABG). Methods: This observational study recruited
60 patients posted for emergency CABG following unsuccessful primary percutaneous
coronary intervention (PCI) while on 600 mg of clopidogrel. The study population
was divided into 2 groups on the basis of their MCF in the extrinsically
activated thromboelastometric (EXTEM) component of the (preoperative) ROTEM(r)
test: patients with MCF <50 mm (n = 16) and those with MCF >=50 mm (n = 44).
Postoperative chest tube drainage amount, need for blood product transfusion,
postoperative complications, and duration of mechanical ventilation after CABG
were recorded. Results: No significant differences were observed between the two
groups regarding duration of surgery, cardiopulmonary bypass, and aortic cross
clamp time. Chest tube drainage at 6, 12, and 24 h after Intensive Care Unit
admission were significantly higher in the patients with MCF below 50 mm. The
need for blood product transfusion was higher in the group with MCF <50 mm. In
patients who experienced postoperative bleeding of 1000 mL or more, the ROTEM(r)
parameters of INTEM (Intrinsically activated thromboelastomery) alpha and MCF,
EXTEM alpha and MCF, and HEPTEM (INTEM assay performed in the presence of
heparinase) MCF (but not FIBTEM (Thromboelastometric assay for the fibrin part of
the clot) values) were significantly lower than those with postoperative bleeding
<1000 mL (P <= 0.05). Conclusions: When platelet aggregometry is not available,
the ROTEM(r) test could be useful for the prediction of increased risk bleeding
after emergency CABG in patients who have received a loading dose of clopidogrel.
PMID- 29652281
TI - Indications and perioperative outcomes of extracorporeal life support in clermont
ferrand.
AB - Objectives: To report the epidemiological profile of the patients who underwent
extracorporeal life support (ECLS) and then analyze the indications and outcomes
of this procedure. Methods: It consisted of a retrospective and descriptive study
based on the database from the department of cardiovascular surgery. Setting:
University hospital clinic. Patients: One hundred and sixty-one patients have
participated in the study. Included were all patients who presented with left
sided heart or biventricular failure. Those who were suffering from either
isolate respiratory failure or isolate right ventricle failure were excluded.
Interventions: Participants underwent ECLS: central ECLS or peripheral ECLS.
Results: : The mean age of the patients was 54 years; there were 73% of male
patients and the mean duration of ECLS was 5.3 days. There were two types of
ECLS: central (71%) and peripheral (29%). Indications for support were dominated
by cardiogenic shock in 69%. Bleeding was the most frequent complication (23.5%).
The overall in-hospital mortality of patients who underwent ECLS was 60%.
Conclusion: The number of ECLS performed increases in proportion to mastery of
surgical technique. There is a high rate of mortality and morbidity with ECLS.
However, it remains a lifesaving therapy for many clinically urgent situations.
PMID- 29652282
TI - Perioperative management of transcatheter, aortic and mitral, double valve-in
valve implantation during pregnancy through left ventricular apical approach.
AB - Pregnant women with stenotic degeneration of bioprosthetic cardiac valves may
require another valve replacement procedure when their symptoms deteriorate with
progression of pregnancy, but fetal mortality is higher with cardiac surgery done
on cardiopulmonary bypass. Transcatheter valve-in-valve implantation may help to
improve the fetal and maternal outcomes in these situations. Double valve-in
valve implantation is rare and has not been reported in a pregnant patient. We
report, for the first time, the case of a pregnant woman with stenotic
bioprosthetic valves in the mitral and aortic positions, who underwent a
successful concomitant, transcatheter, double valve-in-valve implantation through
the left ventricular apical route during the second trimester of her precious
pregnancy.
PMID- 29652283
TI - Mitral valve repair in infective endocarditis during pregnancy.
AB - Infective endocarditis (IE) during pregnancy and subsequent cardiac surgery are
rare and associated with a high risk of mortality for the mother and fetus. It is
difficult to determine the right time for cardiac intervention when IE is
diagnosed early in pregnancy. A 33-year-old previously healthy woman in the 11th
week of pregnancy was diagnosed with IE and underwent surgical intervention. The
cardiopulmonary bypass settings and the anesthetic drugs were carefully chosen.
Although she was in good health, while being discharged, the fetus did not
survive. Anesthesiologists prioritizing the mother's survival should aim to
improve fetal outcomes in such cases.
PMID- 29652284
TI - Inverted left atrial appendage during minimally invasive mitral valve repair.
AB - Inverted left atrial appendage (LAA) is a rare complication in cardiac surgery.
The echocardiographic appearance often leads to misdiagnosis of thrombus or some
other cardiac mass. Patients misdiagnosed in this way often undergo unnecessary
anticoagulation or surgical treatment. Recently, minimally invasive mitral valve
surgery (MIMVS) has become more widespread. However, as the incision for MIMVS
through the right thoracotomy is very small, the inverted LAA is not within the
surgical field of the cardiac surgeon. We present a case of inverted LAA during
MIMVS and provide images from transesophageal echocardiography.
PMID- 29652285
TI - Tricuspid stenosis: A rare and potential complication of ventricular septal
occluder device.
AB - Asymmetrical septal occluder device (ASOD) has made percutaneous closure of
ventricular septal defect an easy and effective management option. Although there
are reports of aortic and tricuspid valvular regurgitation after deployment of
ASOD, only few cases of tricuspid stenosis (TS) has been reported so far in the
literature. We report a case of malaligned ASOD that occurred after successful
device closure resulting in TS along with mild tricuspid and aortic regurgitation
requiring surgical retrieval. Transesophageal echocardiography played crucial
role in detecting the cause of tricuspid valve dysfunction besides providing
continuous monitoring during the procedure. We intend to emphasize the need of
echocardiographic evaluation of the tricuspid valvular apparatus and aortic valve
during and after the device deployment even after the successful device closure
to prevent this rare complication.
PMID- 29652286
TI - Anesthetic challenges of a patient with the communicating bulla coming for
nonthoracic surgery.
AB - Management of a patient with a giant bulla coming for a nonthoracic surgery is
rare, and its anesthetic management is very challenging. It is imperative to
isolate only the subsegmental bronchus, in which the bulla communicates to avoid
respiratory morbidities such as pneumothorax, emphysema or atelectasis of the
surrounding lung parenchyma, and postoperative respiratory failure. Herewith, we
want to report the anesthetic challenges of a patient with giant bulla
communicating into one of the subsegmental right upper lobe bronchus for
splenectomy.
PMID- 29652287
TI - A new right atrial mass following cardiopulmonary bypass mimicking a thrombus.
AB - This report describes a patient with severe mitral stenosis who underwent mitral
valve replacement. After completion of cardiopulmonary bypass, an unexpected
finding of a right atrial mass was noticed on transesophageal echocardiography.
The actual finding, possible differential diagnosis, and the management strategy
are discussed.
PMID- 29652288
TI - Absent superior vena cava in tetralogy of fallot.
AB - Absent superior vena cava (SVC) is an asymptomatic congenital systemic venous
anomaly which is rarely detected and compatible with normal life. Undiagnosed
absent SVC may cause problems during cardiac catheterization or cardiac surgery.
We present our surgical experience in a patient with tetralogy of Fallot who had
undiagnosed absent SVC.
PMID- 29652289
TI - Iatrogenic atrio-esophageal fistula following a video-assisted thoracoscopic maze
procedure: Is esophageal instrumentation justified even when the diagnosis is
equivocal?
AB - A 74-year-old female underwent an uneventful bilateral thoracoscopic maze
procedure for persistent atrial fibrillation with continuous transesophageal
echocardiographic (TEE) guidance. She presented six weeks later with persistent
fever and focal neurological signs. Computed tomography of the thorax revealed
air in the posterior LA, raising suspicion for an abscess versus an
atrioesophageal fistula (AEF). Before undergoing an exploratory median
sternotomy, an esophagogastroduodenoscopy (EGD) was performed by the surgeon to
check for any esophageal pathology. This however, resulted in sudden hemodynamic
compromise that required intensive treatment with vasopressors and inotropes. In
this case-report, we review the various intraoperative risk factors associated
with the development of AEF during cardiac ablation procedures as well as the
potential hazards of esophageal instrumentation with TEE, naso- or oro- gastric
devices, and/or an EGD when an AEF is suspected.
PMID- 29652290
TI - Absent right superior vena cava and persistent left superior vena cava in a
patient with bicuspid aortic valve with aortic stenosis.
AB - Persistent left superior vena cava (LSVC) with absent right SVC (RSVC) is a rare
congenital anomaly. If undetected, the condition may pose difficulties in central
venous catheter insertion, pacemaker electrode insertion, and cannulation during
cardiopulmonary bypass. We describe a case of persistent LSVC with absent RSVC,
who was diagnosed to have bicuspid aortic valve with aortic stenosis.
PMID- 29652291
TI - Whole-lung lavage in a patient with pulmonary alveolar proteinosis.
AB - Pulmonary alveolar proteinosis (PAP) is a rare syndrome in which
phospholipoproteinaceous matter accumulates in the alveoli leading to compromised
gas exchange. Whole-lung lavage is considered the gold standard for severe
autoimmune PAP and offers favorable long-term outcomes. In this case report, we
describe the perioperative management and procedural specifics of a patient
undergoing WLL for PAP in which an anesthesiologist serves as the proceduralist
and a separate anesthesiologist provides anesthesia care for the patient.
PMID- 29652292
TI - Radio-opaque tricuspid aortic valve seen in X-Ray chest as mercedes-benz sign.
AB - We are presenting a very interesting X-ray image of the calcific aortic valve in
a septuagenarian male patient who underwent successful aortic valve replacement.
PMID- 29652293
TI - Pediatric transesophageal echocardiography probe holder.
PMID- 29652294
TI - Retraction: Annals of cardiac anesthesia: Beacon journey toward excellence: 2015
2017.
AB - [This retracts the article DOI: 10.4103/0971-9784.197801].
PMID- 29652295
TI - Retraction: Is Endothelin Gene Polymorphism Associated with Postoperative Atrial
Fibrillation in Patients Undergoing Coronary Artery Bypass Grafting?
AB - [This retracts the article DOI: 10.4103/aca.ACA_264_16].
PMID- 29652296
TI - Validation of the AAST EGS grade for Skin and Soft Tissue Infection.
AB - INTRODUCTION: Skin and soft tissue infections (SSTI) present with variable
severity. The American Association for the Surgery of Trauma (AAST) developed an
emergency general surgery (EGS) grading system for several diseases. We aimed to
determine whether the AAST EGS grade corresponds with key clinical outcomes.
METHODS: Single institution retrospective review of patients (>=18 years)
admitted with SSTI during 2012-2016 was performed. Patients with surgical site
infections or <18 years were excluded. Laboratory Risk Indicator for Necrotizing
Fasciitis (LRINEC) score and AAST EGS grade were assigned. The primary outcome
was association of AAST EGS grade with complication development, duration of
stay, and interventions. Secondary predictors of severity included tissue
cultures, cross-sectional imaging, and duration of inpatient antibiotic therapy.
Summary and univariate analyses were performed. RESULTS: A total of 223 patients
were included (mean +/-SD age of 55.1+/-17.0 years, 55% male). The majority of
patients received cross sectional imaging (169, 76%), or an operative procedure
(155, 70%). SSTI tissue culture results included no growth (51, 24.5%),
monomicrobial (83, 39.9%), and polymicrobial (74, 35.6%). Increased AAST EGS
grade was associated with operative interventions, ICU utilization, complication
severity (Clavien-Dindo index), duration of hospital stay, inpatient antibiotic
therapy, mortality and hospital readmission. CONCLUSION: The AAST EGS grade for
SSTI demonstrates the ability to correspond with several important outcomes.
Prospective multi-institutional study is required to determine its broad
generalizability in several populations. STUDY TYPE: Single institution
retrospective review LEVEL OF EVIDENCE: IV.
PMID- 29652297
TI - Carbapenemase producing Klebsiella pneumoniae in Peru: a case report and
antimicrobial resistance discussion.
AB - Carbapenemases are one of the major mechanisms of antimicrobial resistance,
usually due to the indiscriminate use of antibiotics. The expansion of this
mechanism of resistance at world level is imminent and control measures are
limited. In the region of the Central Sierra of Peru - Huancayo, we report a case
of carbapenemase-producing Klebsiella pneumoniae, with the purpose of discussing
the problems related to this emerging mechanism of antibiotic resistance.
PMID- 29652298
TI - [Cognitive processes in patients with different structure of anxiety disorders].
AB - AIM: To study the relationship between cognitive functions and psychopathological
disturbances in anxiety disorders. MATERIAL AND METHODS: WAIS, the modified
Witkin's Embedded figures test, a symptom scale (Yu.A. Aleksandrovsky et al.
1984) were administered to 111 patients, including 37 with generalized anxiety
disorders (GAD, ICD-10 F41.1), 36 with anxiety-phobic disorders (AFD, ICD-10 F40)
and 38 with anxiety-hypochondriac disorders (AHD, ICD-10 F45.2), and to 114
healthy people. RESULTS AND CONCLUSION: A distinct trend towards an increase of
cognitive impairment depending on an increase in the complicity of
psychopathological picture was observed as follows: GAD - AFD - AHD. A decrease
in visual-motor coordination and visual attention was found in patients with GAD.
In AFD patients, besides disturbances characteristic of GAD, there was a decrease
on the WAIS Vocabulary subtest. In AHD patients, there was a decrease in analytic
and synthetic abilities. The heterogeneity of changes in cognitive processes and
the structure of their correlations with psychopathological symptoms in anxiety
disorders was revealed as follows: a decrease in some parameters of cognitive
processes in anxiety disorders with mild structure, an increase in cognitive
dysfunction and in a number of correlations in anxiety disorders with more
complex psychopathological picture, higher scores on the WAIS Block design task.
PMID- 29652299
TI - [Ataxia with oculomotor apraxia type 4 detected by next-generation sequencing].
AB - Ataxias with oculomotor apraxia (AOA) belong to autosomal recessive ataxias.
Their common feature is oculomotor apraxia: inability to coordinate eye movements
not due to muscle weakness. Next-generation sequencing (NGS) gives unique
opportunities of rare disorders diagnostics and discovering of new forms,
including AOA. In 2015, AOA type 4 produced by PNKP mutations was delineated in a
group of Portuguese patients. We diagnosed AOA4 in a 9-year-old boy from
Byelorussian family. He presented with ataxia since 2 years and deterioration in
8 years, oculomotor apraxia, dystonic hyperkinesia, dysarthria, polyneuropathy,
borderline/mildly impaired intelligence, cerebellar atrophy on MRI and moderate
hypercholesterolemia. Panel NGS detected two PNKP mutations: c.1123G>T
(p.Gly375Trp) common in Portuguese patients, and novel
c.1270_1283dupACAAACCCAGACGC (p.Ala429fs). This is one of a few world AOA4 cases
and first non-Portuguese case with 'Portuguese' common mutation. The case
illustrates NGS diagnostic value, particularly in rare heterogeneous disorders
like AOA.
PMID- 29652300
TI - [Predictors of remote outcomes of brain injury].
AB - AIM: To determine predictors of remote outcomes of complex combat brain injury.
MATERIAL AND METHODS: A survey of 145 combatants with long-term combat injury
(from 1 to 10 years) was conducted. Responses were received from 30 people from
18 regions of the Russian Federation. Data analysis included nonparametric
correlation analysis using Spearman coefficient. RESULTS AND CONCLUSION:
Significant correlations were between the remote functional outcome and the
following predictors: the severity of injury at admission (r=0.527; p<0,01),
leukocyte level at admission (r=0.594; p<0,01), presence and severity of pelvic
disturbances (r=0.574; p<0,01), the duration of staying in intensive care
(r=0.476; p<0,01), neurological deficit severity and scores on the Glasgow
Outcome Scale (r=0.469; p<0,01). Character of remote outcome was associated with
posttraumatic amnesia (r=0.491; p<0,05), concomitant injuries of abdominal cavity
organs, kidney, bladder, time of starting peroral feeding (r=0.377; p<0,05), mean
heart rhythm rate during the first 14 days of treatment, pneumonia (r=0.377;
p<0,05) and episodes of psychomotor agitation (r=0.381; p<0,05) within a period
of hospital treatment. These results need confirmation in a larger study.
PMID- 29652301
TI - [The results of an open comparative retrospective trial of the course of multiple
sclerosis during treatment with infibeta and other interferon-beta bioanalogues
and glatiramer acetate].
AB - AIM: To evaluate the efficacy and safety of the interferon beta-1b bioanalogue
'infibeta' in the treatment of multiple sclerosis (MS) in comparison with other
interferon beta bioanalogues and the generic drug glatiramer acetate. MATERIAL
AND METHODS: The data of 500 patients with MS treated with different disease
modifying drugs were analyzed. Patients of group 1 (n=95) received infibeta;
group 2 (n=108) interferon beta-1b; group 3 (n=83) genfaxon-44; group 4 (n=109)
sinnovex; group 5 (n=105) aksoglatiran FS. RESULTS: In all groups, there was a
significant decrease in the AARR and an increase in the EDSS score (p<0,05) after
12 and 24 months of treatment (p<0,05) with the best indicators in groups 1-3.
After 12 months of treatment, the number of patients without signs of MRI
activity was higher in groups 1-3 (48-61%) than in groups 4 and 5 (47, 43%,
respectively) (p>0,05). After 24 months of treatment, the number of patients
without signs of MRI activity decreased to 41-46% in groups 1-3, and more
significantly in group 4 (27%). The percentage of NEDA-3 achieving patients did
not significantly differ in the groups (23-32%) after 12 months of treatment.
After 24 months of treatment the NEDA-3 declined more in group 4 (19%), least of
all in groups 1 and 2 (27, 25%, respectively) (p>0,05). In most cases, the
observed adverse events were mild or moderate. Flu-like syndrome was observed
rarely in groups 1 and 4 (p<0,05). Injection reactions were observed most
commonly in groups 3 and 5 (p<0,05). CONCLUSION: Infibeta, while retaining all
the advantages of high-dose interferon beta, has the best tolerability profile,
which makes it one of the optimal first line disease-modifying therapy for
treatment of patients with MS.
PMID- 29652302
TI - [Results of a multicenter study on the efficacy of cortexin in treatment of
cognitive dysfunction in children].
AB - AIM: To study the efficacy and tolerability of cortexin in the treatment of
cognitive dysfunction in children. MATERIAL AND METHODS: The study included 635
patients, aged 3-7 years. Patients were divided into 4 clinical groups: group 1
(269 children with attention deficit hyperactivity disorder (ADHD)), group 2 (215
children with speech delay), group 3 (82 patients with the consequences of a
perinatal lesion of the central nervous system), group 4 (69 patients with
asthenic/neurotic syndrome). Attention, visual memory and thinking were assessed
before and after treatment. Standard treatment of cortexin, including 10
intramuscular injections, was used. RESULTS AND CONCLUSION: The reliable effect
of cortexin on cognitive impairment was shown. The best response to treatment was
observed in patients with ADHD, aged 3-4 years, in particular on the tests
measuring thinking. The tolerability of cortexin was good. It has been concluded
that cortexin is an effective drug for treatment of children with ADHD, speech
delay and consequences of a perinatal lesion of the central nervous system.
PMID- 29652303
TI - [Respiratory hypercapnic-hypoxic training is an effective component of complex
therapy of polyneuropathy in children with diabetes type 1].
AB - AIM: To study the effectiveness of respiratory hypercapnic-hypoxic training in
complex treatment of neuropathy due to diabetes type 1. MATERIAL AND METHODS:
Fifty children, 31 girls and 19 boys, were examined. The inclusion criteria were
the presence of polyneuropathy, verified on the basis of clinical data and
electromyographic changes. The patients were divided into 2 groups: the main
group (n=25, 15 girls and 10 boys, mean age 12.9+/-1.8 years (M+/-SD) and the
comparison group (n=25, 16 girls and 9 boys, mean age 13.2+/-2.0 years). Patients
of the main group, along with standard therapy received respiratory hypercapnic
hypoxic training. RESULTS: The positive clinical and neurophysiological dynamics
was noted in both groups, with more significant changes in children after
respiratory training. CONCLUSION: Hypercapnic exercises significantly contribute
to the pathogenetic therapy of diabetes mellitus and polyneuropathy in this
disease, have a significant clinical effects reducing serum concentrations of
fasting glucose and severity of neurological deficit scores on the NIS-LL,
increasing the speed of conduction of excitation through the nerves, reducing the
residual latency of EMG activity.
PMID- 29652304
TI - [Approach for identifying of treatment option for pediatric patients in Guillain
Barre syndrome considering results of pharmacoeconomic analysis].
AB - AIM: A pharmacoeconomic analysis of direct costs on treatment with high dose
intravenous immunoglobulins (IVIG) and plasmapheresis (PP) in children. MATERIAL
AND METHODS: Literature data on the pathogenesis of Guillain-Barre syndrome (GBS)
were analyzed. The results of pharmacoeconomic analysis of direct costs on
treatment of GBS using IVIG and PP are presented. Risks for complications during
treatment with IVIG and PP are calculated. RESULTS AND CONCLUSION: The
pharmacoeconomic analysis demonstrates comparable costs of treatment with IVIG or
PP in the Russian Federation. Nevertheless, a less number of complications,
convenience in use and the good safety and tolerability profile make it more
preferable to this group of patients. In a clinical case of a 7-year child
described in the article, treatment with 10% IVIG - privigen in dose 2 g/kg
during 5 days started in the 3rd week of disease showed a marked positive effect.
PMID- 29652305
TI - [Efficiency of neuromultivit in complex therapy of patients with rheumatoid
arthritis associated with diabetes mellitus].
AB - AIM: To examine the dysregulation of the autonomic nervous system and
manifestations of pain syndrome in patients with rheumatoid arthritis combined
with diabetes mellitus and the possibility of their correction in patients who
receive complex therapy including neurotropic vitamins (neuromultivitis).
MATERIAL AND METHODS: One hundred and forty-six patients with rheumatoid disease
were examined including 59 patients with diabetes mellitus. RESULTS AND
CONCLUSION: When testing for the presence of neuropathic pain syndrome using the
diagnostic questionnaire of neuropathic pain (DN4), a greater prevalence of these
features was revealed, with a high correlation with the duration of rheumatoid
arthritis (r=0,56) and duration of diabetes mellitus (r=0,82). A positive effect
of combined therapy with neuromultivitis on the daily rhythm of arterial pressure
with its normalization and correction of autonomic disorders was noted. There
were a more pronounced decrease in the frequency and severity of parasympathetic
and sympathetic failure, as well as an improvement in the adaptation of the
peripheral vascular system to orthostatic changes. Improvement of quality of life
is, to some extent, due to the more pronounced positive effect of combined
therapy with neuromultivitis on such autonomic disorders as palpitations, heart
rhythm disturbances, manifestations of lipotymic disorders and even panic
attacks.
PMID- 29652306
TI - [Assessment of clinical manifestations and treatment of post-stroke depression in
young patients with ischemic stroke].
AB - AIM: To consider clinical manifestations and treatment of post-stroke depression
in young patients with carotid ischemic stroke. MATERIAL AND METHODS: Forty
patients, 24 women and 16 men, aged from 18 to 45 years old, diagnosed with ICD
10 post-stroke depression were examined. All patients underwent neurological
examination, brain MRI and MR-angiography of cerebral vessels. The following
psychometric tests were used: MMSE, Hamilton depression scale, CES-D depression
scale, a questionnaire on nocturnal sleep assessment, and Epworth Sleepiness
Scale. The Recovery Locus of Control Questionnaire was administered to evaluate
motivation level for the post-stroke recovery. Patients were divided into two
groups: group 1 (n=22) received basic treatment including milnacipran
hydrochloride (ixel) in dose 1 capsule twice a day during 3 months, group 2
received only basic treatment. Psychometric testing was performed 2 month after
stroke before the treatment and 3 month after the end of treatment. RESULTS AND
CONCLUSION: Patients demonstrated positive (melancholy, anxiety, intellectual and
motor inhibition) and negative affectation (apathy, dysphoria) accompanied by
somatic/autonomic disorders, in particular, sleep deficiency. A marked
therapeutic effect of ixel was shown in group 1. The number of patients with
depression decreased significantly after treatment compared to group 2. Sleep
improvement and increased motivation levels for recovery were observed as well.
PMID- 29652307
TI - [Therapy of asthenoneurotic syndrome in patients with focal muscular dystonia].
AB - AIM: To analyze the prevalence and structure of asthenoneurotic disorders in
patients with focal muscular dystonia and determine an effect of the anxiolytic
adaptol (tetramethyltetraazabicyclooctandion) on asthenic syndrome in muscular
dystonia. MATERIAL AND METHODS: Forty patients with focal muscular dystonia were
examined. The severity of asthenic syndrome (MFI-20), anxiety (the Hospital scale
of anxiety and depression) and depression (the Hamilton Depression Rating Scale)
were evaluated. RESULTS AND CONCLUSION: Asthenic syndrome and anxiety disorder
are independent non-motor manifestations of focal muscular dystonia. Adaptol has
a positive effect, significantly reducing anxiety and asthenia in patients with
focal muscular dystonia.
PMID- 29652308
TI - [Clinical-psychopathological aspects of therapeutic effects of agomelatine
(valdoxan) and its place in perfection of methods of therapy of postschizophrenic
depressive disorders].
AB - AIM: To determine a therapeutic effect of agomelatine (valdoxan) on post
schizophrenic depression (PSD), taking into account its psychopathological
structure. MATERIAL AND METHODS: A total of 33 patients of both sexes (average
age was 32.1 yrs) with symptoms of post-schizophrenic depression (F20.4 according
to ICD-10) were examined by using clinical and psychometric methods in the
dynamics of shift-like schizophrenia. HAMD-17, PANSS and CGI-S scales were
applied. All the patients were subdivided into groups: mild, moderate and severe
depressions. Valdoxan was used at a dose of 25-50 mg/day for 28 days along with
preceding antipsychotic therapy at maintenance doses. Evaluation of the efficacy
of treatment was carried out according to percentage reduction of average total
score (ATS) in dynamics. RESULTS: A significant effect of valdoxan, with 73.5% of
PANSS score reduction and quicker response to treatment, was identified.
Therapeutic effect of valdoxan on separate components of PSD was uneven. The
decrease of depressive disorders per se assessed by HAMD-17 and PANSS G-subscale
was equally high (78.4 and 78.2%, respectively). Therapeutic reduction of
negative disorders according to PANSS N-subscale was the lowest, at the level of
'good' effect (53.6%). In 27.3%, negative disorders were irreversible and were
assessed as schizophrenic defect; in 72.7% of patients they were diagnosed as
'secondary' negative symptoms in atypical depression. In the subgroups of mild,
moderate, and severe depression, the reduction of negative disorders was 62.4;
44.2 and 60.8%, respectively, and that of total PANSS score were 81.5; 66.1, and
78.6%, i.e. there was no correlation between these variables. CONCLUSION:
Agomelatin (valdoxan) is an effective medication for optimization of methods of
PSD treatment, providing the therapeutic effect at the level of significant or
complete reduction of symptoms. The quality (depth) and rate of formation of
response in the dynamics of course treatment are determined not by the severity
of depressive disorders in PSD structure, but by the ratio between negative
(deficit) symptoms and 'secondary' symptoms, reflecting the degree of progression
of the main disease.
PMID- 29652309
TI - [Dynamics of clinical and biological indices of the asthenic symptom-complex
during immunotropic therapy of patients with schizophrenia].
AB - AIM: To identify clinical, psychopathological, and immunological features of the
asthenic symptom-complex in patients with schizophrenia and to analyze the
possibility of optimizing complex therapy of these conditions using the
immunotropic drug bestim. MATERIAL AND METHODS: Forty-three male patients, aged
20-55 years, were examined. Clinical examination of patients (PANSS, MFI-20) was
performed before, and 5, 30 days after the end of treatment. The activity of
inflammatory markers (leukocyte elastase (LE) and a1-proteinase inhibitor (a1
PI)) was determined in blood serum. RESULTS: The affective-asthenic (32.5%) and
asthenic-negative (67.5%) variants of the asthenic symptom-complex in
schizophrenia characterized by different immune reactions (depending on LE
activity) were revealed. Complex therapy with bestim contributed to a
statistically significant reduction in the main clinical manifestations of
endogenous asthenia in the majority of patients. More significant regression at a
remote stage of the study was observed in the astheno-negative group of patients
(p<0.001). CONCLUSION: LE and a1-PI reflect the clinical and biological features
of the asthenic symptom-complex which develops within the endogenous process.
Normal/reduced activity of LE accompanied by the increased activity of a1-PI is
the best predictor of bestim efficacy in terms of reduction of asthenic symptoms.
PMID- 29652310
TI - [Latent dysphoria: an instrument of screening and diagnosis (preliminary
results)].
AB - AIM: Latent dysphoria is a kind of emotional disorder. Its key features are
floating feeling of dissatisfaction and irritability accompanied with suppression
of expression of these emotions. The article is devoted to the development of a
screening diagnostic tool (checklist) to screen and evaluate patients with this
emotional problem. MATERIAL AND METHODS: Three hundred and ninety-four
neurologists (outpatient segment) were surveyed to assess the prevalence of an
emotional disorder that met criteria of latent dysphoria suggested by the
authors. To develop a diagnostic tool, expert judgement method, with the
involvement of 19 experts in different medical fields (psychiatry, psychology,
gastroenterology, neurology), was used. RESULTS AND CONCLUSION: The final
checklist contained the most typical signs of this disorder according to the
opinion of the experts. The procedure of evaluation of these signs was developed.
However, the results should be considered as preliminary and clinical psychiatric
validation of the tool is needed.
PMID- 29652311
TI - [Inflammatory factors and immunophenotypes in adjustment disorders].
AB - AIM: To identify inflammatory and autoimmune markers (enzymatic activity of
leukocyte elastase (LE), functional alpha1-proteinase inhibitor (alpha1-PI), the
level of autoantibodies to neurospecific antigens S100b and myelin basic protein
(MBP)) as well as phagocytic activity of blood neutrophils of patients with
disorders of adaptation, to determine certain immunophenotypes and analyze their
possible relationships with disease characteristics. MATERIAL AND METHODS: The
study included 40 patients with adaptation disorders, mostly women. Diagnostic
evaluation and clinical qualification of patients was carried out in accordance
with ICD-10: 'Adjustment disorder' (F43.2). The control group consisted of 23
individuals matched for age and sex with patients. The activity of LE and alpha1
PI was determined by spectrophotometry, and the levels of autoantibodies to S100b
and MBP by ELISA, phagocytic activity by the absorptive capacity of neutrophils
of peripheral blood of melamine-formaldehyde latex particles. RESULTS: In the
total group of patients with adaptation disorders, increased enzymatic activity
of LE and functional alpha1-PI was shown compared to controls (p<0.001 and
p<0.0001, respectively). There were no differences in the level of autoantibodies
to neuroantigens, and changes in phagocytic index (PhN) compared with the
control, however the tendency to reduction of phagocytic number (PhN) was
observed. Patients were stratified by leading psychopathological symptoms
(predominance of asthenic-depressive or anxious-depressive symptoms, polymorphic
symptomatology) and by immunophenotype: (A) inflammatory markers - in the range
of control values, (B) - the increase compared to the control activity of both LE
and alpha1-PI, (C) preferential increase in the activity of alpha1-PI only. The
frequency of these immunophenotypes was similar within each of the clinical
subgroups. CONCLUSION: The results suggest the involvement of inflammation in the
pathogenesis of adjustment disorders due to stress factors. Various immunological
variants differed by proportion of inflammatory markers were not associated with
clinical symptoms.
PMID- 29652312
TI - [A study on the association of genes for pro-inflammatory cytokines and
depression].
AB - AIM: To study the association between proinflammatory cytokine genes and
depression. MATERIAL AND METHODS: IL-1B S-511T and TNF-a G-308A gene
polymorphisms were studied in patients diagnosed with depression and age and sex
matched healthy controls. RESULTS AND CONCLUSION: The IL-1B S-511T and TNF-a G
308A polymorphisms were associated with depression; CC genotype (r=0,001, OR=1.9
CI 1,3-2,7) and GG genotype (r=0,001, OR=3,0 CI 1,8-4,9) were the risk factors.
The results suggest that immune factors may play a role in the development of
depression. The authors highlight the role of clinical polymorphism of depression
that makes it difficult to form homogenous groups of patients and to select
phenotypes for biological studies.
PMID- 29652313
TI - [A short history of the united department of neurology named after academician
S.N. Davidenkov (Dedicated to the 5 anniversary of I.I. Mechnikov North-Western
State Medical University foundation)].
PMID- 29652314
TI - [Cervical vertigo: a neurologist's point of view].
AB - Cervical vertigo (CV) hasn't yet been recognized by many neurologists, as it has
no specific clinical symptoms and reliable diagnostic criteria. However, over the
past 150 years, there has been accumulated numerous experimental and clinical
evidence to support the legitimacy of CV allocation as a separate nosology. This
review presents data regarding the vertigo associated with neck pathology:
possible evidence of CV existence and the description of its type as well. The
principles of CV diagnosis and treatment are considered, an attempt to create a
unified concept is proposed.
PMID- 29652315
TI - [Music therapy procedures controlled by the brain potentials in treatment of
functional disorders].
AB - Twenty years ago, the Korsakov's Journal of Neurology and Psychiatry has
published the article of Ya.I. Levin 'Brain music in the treatment of patients
with insomnia'. This publication was the starting point for an innovative
approach to preventing and correcting functional disorders of a person via
musical or music-like stimuli that are controlled by the brain potentials of
patient's. This approach called 'Music of the brain' is fully consistent with
modern ideas about preventive neuroscience as a new field of scientific research
at the intersection of neuroscience and preventive medicine. In this review, the
authors analyze initial studies on the effects of music on the brain and discuss
their limitations. To increase the effectiveness of the approach, a unique
combination of musical therapy with the neurofeedback method, the technology of
musical neurofeedback, has been developed. Results of the application of
developed technology for treatment of human functional disorders are presented,
and promising directions for further research are outlined.
PMID- 29652316
TI - [Diaphragm relaxation surgery].
AB - AIM: To develop examination algorithm and to determine the indications for
various options for surgical treatment. MATERIAL AND METHODS: It is presented
analysis of surgical treatment of 25 patients with unilateral diaphragm
relaxation for the period from 1963 to 2016. There were 15 men and 10 women aged
from 39 to 65 years. Diagnosis included predominantly radiological methods. All
patients were operated openly through thoracotomy. Procedure consisted of
creation of new diaphragmatic cupola at the usual level with two flaps of
diaphragm and prosthesis between them. In 12 (48%) patients who were operated
before 1990 xenopericardial patch was used. Further, synthetic materials (Teflon,
polypropylene) were preferred. RESULTS: Postoperative morbidity and mortality was
20% (n=6) and 4% (n=1) respectively. Long-term results were followed-up within
terms from 8 months to 12 years. Recurrent relaxation was absent. Most of
patients had improved dyspnea, increased vital capacity and FEV1 in long-term
period. Certain and general values of SF-36 life quality questionnaire were high
in long-term postoperative period and similar to those in general population.
PMID- 29652317
TI - [Video-assisted thoracoscopic thymectomy in myasthenia gravis. New approach].
AB - AIM: To present possibility and technical aspects of anesthetic management during
video-assisted thoracoscopic thymectomy in patients with myasthenia gravis.
MATERIAL AND METHODS: The article describes the proposed modified anesthetic
method that is suitable for video-assisted thoracoscopic thymectomy in patients
with myasthenia gravis. Nine patients with myasthenia underwent VATS-thymectomy.
Anesthesia was performed with artificial airway and auxiliary ventilation without
muscle relaxants administration.
PMID- 29652318
TI - [Pulmonary metastases: classification and the need for staging?]
AB - AIM: To analyze pulmonary metastases classifications which are used in Russian
and foreign literature at present time. MATERIAL AND METHODS: It is proposed the
variant of pulmonary metastases staging depending on quantitative and regional
prevalence of the process. RESULTS: The development of pulmonary metastases
classification is advisable to determine homogeneous subgroups of patients with
metastatic lesion for prospective randomized trials in order to obtain objective
results about the results of surgical or other local treatment of patients with
intrapulmonary metastases.
PMID- 29652319
TI - [Modern approaches to the diagnosis of precancerous pathology and early lung
cancer].
AB - AIM: To assess the possibilities of modern diagnostic techniques to diagnose
precancerous pathology and early central lung cancer. MATERIAL AND METHODS:
Analysis of Russian and foreign publications for precancerous pathology and early
lung cancer, results and comparison of various diagnostic techniques. RESULTS:
Central lung cancer has a stepwise development with transformation of normal
bronchial epithelium to hyperplastic followed by focal metaplasia, dysplasia,
cancer in situ (CIS) and microinvasive cancer. Fluorography, chest X-ray,
computed tomography, magnetic resonance imaging and sputum cytology examination
are used to diagnose lung cancer. However, endoscopy plays a leading role in
diagnosis of early central lung cancer which rapidly and effectively detects
changes of tracheobronchial tree mucosa at the initial stages of carcinogenesis
thanks to the use of modern techniques (spectral, autofluorescent and ZOOM -
endoscopy).
PMID- 29652320
TI - [Bronchial fistula management. Is the exit found?]
AB - AIM: To present treatment of bronchial fistulas by using of occluders. MATERIAL
AND METHODS: For the period from March 2015 to October 2016 Atrial Septal Defect
(ASD) occluders have been used for bronchial fistulas occlusion (Lepu Medical
Technology Co., Ltd.; Lifetech Scientific Co., Ltd.). These are devices designed
to close ventricular and atrial septal defects. The procedure was performed in 8
patients with main bronchus fistula occurred after pulmonectomy for tuberculosis
in 5 patients and lung cancer in 3 patients. Fistulas' dimensions were 6-26 mm.
The procedure was performed with double visualization from pleural cavity
(through the thoracostomy and port-assisted approach) and from bronchial lumen.
RESULTS: Seven out of 8 patients are currently alive (1 patient died from
advanced tuberculosis of single lung). Occlusion is adequate in 6 patients, air
drainage around around the occluder is noted in 1 patient. In 1 patient
esophageal-pleural fistula occurred besides bronchial fistula. There was
significant 2-3-fold decrease of residual pleural cavities volume and output
volume. Patients feel satisfactory.
PMID- 29652321
TI - [Cytokines in surgery for pulmonary tuberculosis].
AB - AIM: To evaluate the effect of various methods of thoracoplasty on local
production of cytokines in patients with pulmonary tuberculosis. MATERIAL AND
METHODS: The main group consisted of patients after traditional thoracoplasty.
Thoracoplasty was compared with endoprosthesis of polypropylene mesh 'Surgipro -
SPMM-149'. TNF-alpha and IL-10 cytokines in exudate from surgical area were
measured by using of solid-phase enzyme immunoassay (OOO 'Vector-Best', Russia).
RESULTS: It was revealed that local production of pro- and anti-inflammatory
cytokines is more pronounced after thoracoplasty with polypropylene mesh
'Surgipro - SPMM-149'. Local cytokine balance changes towards inflammation were
more pronounced after thoracoplasty with mesh implant and did not depend on the
degree of drug sensitivity.
PMID- 29652322
TI - [Treatment of primary choriocarcinoma of lung].
PMID- 29652323
TI - [Minimally invasive surgery for benign esophageal mesenchymal tumors].
PMID- 29652324
TI - [Dangerous complication of vacuum-therapy for post-sternotomy wound infectious
complications].
PMID- 29652325
TI - Twisting partially coherent light.
AB - Twisted Gaussian Schell-model beams were introduced 25 years ago as a celebrated
example of a "genuinely two-dimensional" partially coherent wavefield. Today, a
definite answer about the effect that a twist phase should produce on an
arbitrary cross-spectral density has not yet been reached. In the present Letter,
the necessary and sufficient condition for a typical Schell-model partially
coherent CSD endowed with axial symmetry to be successfully mapped onto a
bonafide twisted CSD is addressed. In particular, it is proved that any shift
invariant degree of coherence of the form MU(|r1-r2|) is "twistable" if and only
if the zeroth-order Hankel transform of the radial function MU(r)exp(ur2/2) (with
u being the twist strength) turns out to be a well-defined, non-negative
function.
PMID- 29652326
TI - Low-power broadband all-optical switching via intermodal cross-phase modulation
in integrated optical waveguides.
AB - We demonstrate the potential of all-optical switches in integrated waveguides
based on intermodal cross-phase modulation between transverse modes. For this
purpose, the differential phase between two transverse modes of a probe beam was
altered by cross-phase modulation with a control beam propagating only in the
fundamental mode. A switching behavior was accomplished by spatially filtering
the resulting multimode interference of the probe modes, which changed depending
on the control beam power. All-optical switching with a contrast of 82% at 1280
nm over a frequency range of 4.4 THz at 1.6 nJ was achieved, representing an
improvement of the product of necessary power and waveguide length by a factor of
nearly 2000 compared to similar experiments in graded-index fibers. Additionally,
we show that the center wavelength of the switch can be tailored by changing the
cross-sectional geometry of the waveguide or the involved probe modes.
PMID- 29652327
TI - Dual-reference digital holographic interferometry for analyzing high-density
gradients in fluid mechanics.
AB - This Letter proposes a dual-reference digital holographic interferometer for
analyzing the high refractive index encountered in transonic and supersonic
flows. For that, a Wollaston prism is inserted in the reference arm in order to
simultaneously generate two orthogonally polarized reference waves. As a
consequence, recorded interferograms contain two crossed and perpendicular
interference patterns that give two orders fully separated in the Fourier
spectrum. It is then possible to analyze a transparent object regardless of the
orientation of the refractive index gradient using the two phase maps
reconstructed with each of the two first interference orders. Fusion of the phase
maps yields a single phase map in which the phase singularities are removed.
Experimental results demonstrate the suitability of the proposed approach for
analyzing shock waves in the unsteady wake flow around a circular cylinder at
Mach 0.75.
PMID- 29652328
TI - Dissipation-induced W state in a Rydberg-atom-cavity system.
AB - A dissipative scheme is proposed to prepare tripartite W state in a Rydberg-atom
cavity system. It is an organic combination of quantum Zeno dynamics, Rydberg
antiblockade, and atomic spontaneous emission to turn the tripartite W state into
the unique steady state of the whole system. The robustness against the loss of
cavity and the feasibility of the scheme are demonstrated thoroughly by the
current experimental parameters, which lead to a high fidelity above 98%.
PMID- 29652329
TI - 940 mW 1564 nm multi-longitudinal-mode and 440 mW 1537 nm single-longitudinal
mode continuous-wave Er:Yb:Lu2Si2O7 microchip lasers.
AB - An Er:Yb:Lu2Si2O7 microchip laser was constructed by placing a 1.2 mm thick, Y
cut Er:Yb:Lu2Si2O7 microchip between two 1.2 mm thick sapphire crystals, in which
input and output mirrors were directly deposited onto one face of each crystal.
End-pumped by a continuous-wave 975.4 nm diode laser, a 1564 nm multi
longitudinal-mode laser with a maximum output power of 940 mW and slope
efficiency of 20% was realized at an absorbed pump power of 5.5 W when the
transmission of output mirror was 2.2%. When the transmission of the output
mirror was increased to 6%, a 1537 nm single-longitudinal-mode laser with a
maximum output power of 440 mW and slope efficiency of 12% was realized at an
absorbed pump power of 4.3 W. The results indicate that the Er:Yb:Lu2Si2O7
crystal is a promising microchip gain medium to realize a single-longitudinal
mode laser.
PMID- 29652330
TI - Graphene-based broadband terahertz detector integrated with a square-spiral
antenna.
AB - Raising interest in terahertz radiation (loosely defined as the 0.1~10 THz
frequency range) for the application-oriented issues in everyday life requires
progressive development of fast, sensitive, and portable photodetectors. In this
Letter, a broadband graphene-based terahertz detector with good integrability and
sensitivity at room temperature is proposed. It is based on the chemical vapor
deposited-grown graphene integrated with a square-spiral metal antenna which, on
one hand, improves the efficiency for electromagnetic coupling and, on the other
hand, facilitates the hot-electron photo-thermoelectric process for
photodetection. Sensitivity over 28 V/W at room temperature and noise-equivalent
power of less than 0.35 nW/Hz0.5 are demonstrated in reference to the incident
power. The presented results appealingly open an alternative way to realize chip
level graphene-based terahertz optoelectronics with good scalability and expected
performance for targeted terahertz applications.
PMID- 29652331
TI - Full-Stokes temporal imaging.
AB - We developed a full-Stokes temporal imaging system which measures the Stokes
vector of ultrafast signals as a function of time. The system is based on a time
lens array where each time-lens in the array projects the signal on a different
state of polarization.
PMID- 29652332
TI - Compact lensless subpixel resolution large field of view microscope.
AB - We report on a method to increase the spatial resolution in a compact lensless
microscope. A compact side illumination is fabricated to illuminate the sample
with a collimated beam by diffraction from a volume phase grating. The wavelength
of a semi-conductor laser source (vertical-cavity surface-emitting laser) is
tuned with the injection current to alter the illumination direction by
wavelength selective diffraction from the volume phase grating. The angle tuning
is such that several subpixel shifted digital inline holograms are obtained. The
stack of holograms is then processed in a pixel super-resolution reconstruction
algorithm. The amplitude of the sample is reconstructed with subpixel resolution
over a large field of view (FOV). The technique is demonstrated on a 1951 USAF
test target. A resolution of ~2.76 MUm, over a FOV of ~28 mm2, is demonstrated
for a device of <2 cm height. The original pixel size was 5.2 MUm demonstrating
the subpixel resolution.
PMID- 29652333
TI - Enhancing terahertz magnetic near field induced by a micro-split-ring resonator
with a tapered waveguide.
AB - Substantial enhancement of terahertz magnetic near field achieved by the
combination of a tapered metallic waveguide and a micro-split-ring resonator is
demonstrated. The magnetic near field is probed directly via the magneto-optic
sampling with a Tb3Ga5O12 crystal. The incident terahertz wave with a half-cycle
waveform is generated by using the pulse-front tilting method. The magnetic near
field at the resonant frequency is enhanced by more than 30 times through the
combination of the waveguide and the resonator. The peak amplitude of the
magnetic field with a damped oscillation waveform in the time domain is up to 0.4
T. The resonant frequency can be tuned by adopting different resonator designs.
The mechanism of the enhancement is analyzed by performing calculations based on
the finite element method. The strong terahertz magnetic near field enables the
excitation of large-amplitude spin dynamics and can be utilized for an ultrafast
spin control.
PMID- 29652334
TI - Microcavity-coupled fiber Bragg grating with tunable reflection spectra and speed
of light.
AB - After a fiber Bragg grating (FBG) is fabricated, the reflection spectrum of the
FBG is generally not tunable without mechanical deformation or temperature
adjustment. Here we present a microcavity-coupled FBG with both a tunable
reflection lineshape and dispersion using electromagnetically induced
transparency. The Fano interference of light in the FBG and the microcavity
allows for dramatic modification of the reflection spectrum. The phase of the
reflected spectrum is continuously tunable between 0 and 2pi to produce various
Fano lineshapes. The dispersion of the output light is adjustable from normal
dispersion to abnormal dispersion, consequently providing an adjustable speed of
light. Additionally, it allows the FBG to switch from a notch filter to a
bandpass filter at the resonant wavelength, which is not possible in a
conventional uniform FBG.
PMID- 29652335
TI - High-power 671 nm laser by second-harmonic generation with 93% efficiency in an
external ring cavity.
AB - Second-harmonic generation (SHG) is useful for obtaining single-frequency
continuous-wave laser sources at various wavelengths for applications ranging
from biology to fundamental physics. Using an external power-enhancement cavity
is an effective approach to improve the frequency conversion efficiency. However,
thermal effects limit the efficiency, particularly, in high-power operation.
Therefore, reducing thermal effects is important when designing a cavity. This
Letter reports the use of an external ring cavity for SHG, yielding a 5.2 W, 671
nm laser light with a conversion efficiency of 93.8+/-0.8% which, to the best of
our knowledge, is a new record of conversion efficiency for an external ring
cavity. It is achieved using a 10 mm length periodically poled potassium titanyl
phosphate crystal and a 65 MUm radius beam waist in the cavity so as to minimize
thermal dephasing and thermal lensing. Furthermore, a method is developed to
determine a conversion efficiency more accurately based on measuring the pump
depletion using a photodiode detector and a maximum pump depletion up to 97% is
recorded. In this method, the uncertainty is much less than that achieved in a
common method by direct measuring with a power meter.
PMID- 29652336
TI - Imaging through scattering layers exceeding memory effect range with spatial
correlation-achieved point-spread-function.
AB - We propose to measure intensity transmission matrices or point-spread-function
(PSF) of diffusers via spatial-correlation, with no scanning or interferometric
detection required. With the measured PSF, we report optical imaging based on the
memory effect that allows tracking of moving objects through a scattering medium.
Our technique enlarges the limited effective range of traditional imaging
techniques based on the memory effect, and substitutes time-consuming iterative
algorithms by a fast cross-correlation deconvolution method to greatly reduce
time consumption for image reconstruction.
PMID- 29652337
TI - Tailoring a nanofiber for enhanced photon emission and coupling efficiency from
single quantum emitters.
AB - We present a novel approach to enhance the spontaneous emission rate of single
quantum emitters in an optical nanofiber-based cavity by introducing a narrow air
filled groove into the cavity. Our results show that the Purcell factor for
single quantum emitters inside the groove of the nanofiber-based cavity can be at
least six times greater than for such an emitter on the fiber surface when using
an optimized cavity mode and groove width. Moreover, the coupling efficiency of
single quantum emitters into the guided mode of this nanofiber-based cavity can
reach up to ~80% with only 35 cavity-grating periods. This new system has the
potential to act as an all-fiber platform to realize efficient coupling of
photons from single emitters into an optical fiber for quantum information
applications.
PMID- 29652338
TI - Mid-infrared frequency comb generation via cascaded quadratic nonlinearities in
quasi-phase-matched waveguides.
AB - We experimentally demonstrate a simple configuration for mid-infrared (MIR)
frequency comb generation in quasi-phase-matched lithium niobate waveguides using
the cascaded-chi(2) nonlinearity. With nanojoule-scale pulses from an Er:fiber
laser, we observe octave-spanning supercontinuum in the near-infrared with
dispersive wave generation in the 2.5-3 MUm region and intrapulse difference
frequency generation in the 4-5 MUm region. By engineering the quasi-phase
matched grating profiles, tunable, narrowband MIR and broadband MIR spectra are
both observed in this geometry. Finally, we perform numerical modeling using a
nonlinear envelope equation, which shows good quantitative agreement with the
experiment-and can be used to inform waveguide designs to tailor the MIR
frequency combs. Our results identify a path to a simple single-branch approach
to mid-infrared frequency comb generation in a compact platform using commercial
Er:fiber technology.
PMID- 29652339
TI - Miniaturized single-fiber-based needle probe for combined imaging and sensing in
deep tissue.
AB - The ability to visualize structure while simultaneously measuring chemical or
physical properties of a biological tissue has the potential to improve our
understanding of complex biological processes. We report the first miniaturized
single-fiber-based imaging+sensing probe capable of simultaneous optical
coherence tomography (OCT) imaging and temperature sensing. An OCT lens is
fabricated at the distal end of a double-clad fiber, including a thin layer of
rare-earth-doped tellurite glass to enable temperature measurements. The high
refractive index of the tellurite glass enables a common-path interferometer
configuration for OCT, allowing easy exchange of probes for biomedical
applications. The simultaneous imaging+sensing capability is demonstrated on rat
brains.
PMID- 29652340
TI - 87-W 1018-nm Yb-fiber ultrafast seeding source for cryogenic Yb: yttrium lithium
fluoride amplifier.
AB - We demonstrate a compact and robust Yb-fiber master-oscillator power-amplifier
system operating at 1018 nm with 2.5-nm bandwidth and 1-ns stretched pulse
duration. It produces 87-W average power and 4.9-MUJ pulse energy, constituting a
powerful seed source for cryogenically cooled ultrafast Yb: yttrium lithium
fluoride (Yb:YLF) amplifiers.
PMID- 29652341
TI - Thermal-noise-limited higher-order mode locking of a reference cavity.
AB - Higher-order mode locking has been proposed to reduce the thermal noise limit of
reference cavities. By locking a laser to the HG02 mode of a 10-cm long all ultra
low expansion (ULE) cavity and measuring its performance with the three-cornered
hat method among three independently stabilized lasers, we demonstrate a thermal
noise-limited performance of a fractional frequency instability of 4.9*10-16. The
results match the theoretical models with higher-order optical modes. The
achieved laser instability improves the all ULE short cavity results to a new low
level.
PMID- 29652342
TI - Enhanced broadband terahertz radiation generation near the reststrahlen band in
sub-wavelength leaky-mode LiNbO3 waveguides.
AB - The generation of terahertz (THz) radiation in a compact geometry is crucial for
the implementation of on-chip, coherent THz radiation sources. Here, via
numerical time-domain simulations, we show that LiNbO3 (LN) waveguides having sub
wavelength core widths can provide highly efficient optical-to-THz radiation
frequency conversion over short lengths. By exploiting the nonlinear
susceptibility, chi33(2), enhancement of LN near its phonon reststrahlen band and
utilizing THz leaky-mode guidance to minimize reststrahlen band absorption and
improve phase matching, we show that broadband (0.2-11.6 THz) electric field
pulses of 3.4 kV/cm can be generated at an optical-to-THz conversion efficiency
of 2.5*10-4. These sub-wavelength leaky-mode waveguides provide a compact
platform for wideband, coherent THz radiation sources.
PMID- 29652343
TI - Single-shot phase-shifting incoherent digital holography with multiplexed
checkerboard phase gratings.
AB - Single-shot phase-shifting incoherent digital holography with multiplexed
checkerboard phase gratings is proposed for acquiring holograms of moving
objects. The gratings presented here play the following three roles: dividing the
beams, modulating the curvature of spherical beams, and introducing different
phase shifts. With the gratings of our proposed method, four individual holograms
of a spatially incoherent light are formed on an image sensor. Therefore, it is
possible to simultaneously capture four holograms and implement a phase-shifting
technique. A proof-of-principle experiment was conducted to show the feasibility
of the proposed method.
PMID- 29652344
TI - Double anisotropic coherent backscattering of light.
AB - A double anisotropic coherent backscattering cone was found. In contrast to the
(single) anisotropic coherent backscattering, which was observed in liquid
crystals, here, the long axis of the elongated structures changes its orientation
with angular distance. We compared our results with the two-dimensional Fourier
transform of spatially resolved reflectance measurements and found good
agreement, which is predicted by the reciprocity thesis. Furthermore, a Monte
Carlo model was applied to reproduce successfully the results of the experiment,
whereas the double anisotropy is not predicted by diffusion models.
PMID- 29652345
TI - Impact of mechanical stress induced in silica vacuum windows on laser-induced
damage.
AB - At the interface between vacuum and air, optical windows must keep their optical
properties, despite being subjected to mechanical stress. In this Letter, we
investigate the impact of such stress on the laser-induced damage of fused silica
windows at the wavelength of 351 nm in the nanosecond regime. Different stress
values, from 1 to 30 MPa, both tensile and compressive, were applied. No effect
of the stress on the laser-induced damage was evidenced.
PMID- 29652346
TI - Sub-bandgap photo-response of non-doped black-silicon fabricated by nanosecond
laser irradiation.
AB - Non-doped black silicon (b-Si) is fabricated on the surface layer of a near
intrinsic Si substrate by nanosecond (ns) laser direct writing in an argon (Ar)
atmosphere. The non-doped samples exhibit a near-unity sub-bandgap (1100~2500
nm) absorptance of more than 50%. Amazingly, the resistivity of the ns laser
irradiated b-Si layer is about five orders of magnitude lower than that of the
unprocessed Si substrate. The carrier density of the b-Si layer is about 1*1018
cm-3, according to the Hall effect measurement. Temperature-dependent Hall effect
measurements show that the non-doped b-Si layer exhibits an energy level of 0.026
eV below the conduction band minimum (CBM). At last, Si infrared photodiodes are
made based on the difference of carrier concentration between the ns laser
processed b-Si layer and the high-resistivity Si substrate. The responsivity of
the b-Si photodiode for 1310 nm is up to 256 mA/W at a 10-V reverse bias, which
is much higher than that of the reported pure Si bulk-structure photodiodes.
PMID- 29652347
TI - High-gain and wide-band optical amplifications induced by a coupled excited state
of organic dye molecules co-doped in polymer waveguide.
AB - We investigated optical gain and lasing characteristics of a polymer thin film co
doped with Rhodamine 6G and 4-(Dicyanomethylene)-2-methyl-6-(4
dimethylaminostyryl)-4H-pyran dye molecules. By the co-doping of these dyes, the
optical gain coefficient and its spectral range were enhanced and widened,
respectively. These results cannot be accounted for by the linear combination of
the optical gain properties of single-doped films. Owing to this high-gain and
wide-band optical amplification property, a distributed feedback lasing with a
low threshold of 70 MUJ/cm2 as well as a widely tunable wavelength range of 587
613 nm was achieved. We attribute this amplification property of the co-doped
film, which cannot be achieved with the single-doped films, to the appearance of
a coupled excited state between the two molecules.
PMID- 29652348
TI - Graphene electrodes for lithium-niobate electro-optic devices.
AB - We propose and demonstrate the use of graphene electrodes for lithium-niobate
electro-optic (EO) devices to exempt the need of incorporating a buffer layer
between the waveguide and the electrodes. Using graphene electrodes, our
experimental mode converter, based on an EO-generated long-period grating in a
LiNbO3 waveguide, shows a reduction in the half-pi voltage by almost three times,
compared with the conventional electrode design using metal. With the buffer
layer exempted, the device fabrication process is also significantly simplified.
The use of graphene electrodes is an effective approach to enhancing the
efficiency of EO devices and, at the same time, reducing their fabrication cost.
PMID- 29652349
TI - Chaotic Brillouin optical correlation-domain analysis.
AB - We propose and experimentally demonstrate a chaotic Brillouin optical correlation
domain analysis system for distributed fiber sensing. The utilization of a
chaotic laser with a low coherence state ensures high spatial resolution. The
experimental results demonstrate a 4 cm spatial resolution over a 906 m
measurement range. The uncertainty in the measurement of the local Brillouin
frequency shift is +/-1.2 MHz. The analysis of the expected spatial resolution
and signal-to-noise ratio is also given.
PMID- 29652350
TI - Continuous wave and ReS2 passively Q-switched Er : SrF2 laser at ~3 MUm.
AB - We report on an efficient Er:SrF2 laser at 2.79 MUm. A continuous wave output
power of 1.06 W was obtained with a slope efficiency of 41%, significantly
exceeding the Stokes efficiency of 35%. Stable Q-switched laser operation was
realized by using an ReS2 saturable absorber, generating an average output power
of 0.58 W with a pulse duration of 508 ns at a repetition rate of 49 kHz,
corresponding to a pulse energy of 12.1 MUJ.
PMID- 29652351
TI - 65-fs Yb-doped all-fiber laser using tapered fiber for nonlinearity and
dispersion management.
AB - We implement an ultrafast Yb-doped all-fiber laser which incorporates tapered
single-mode fibers for managing nonlinearity and dispersion. The tapered fiber
placed in the oscillator cavity aims to broaden the optical spectrum of the
intracavity pulse. At the oscillator output, we use another tapered fiber to
perform pulse compression. The resulting 66.1-MHz Yb-doped all-fiber oscillator
self-starts and generates 0.4-nJ, 65-fs pulses, which can serve as a compact and
robust seed source for subsequent high-power, high-energy amplifiers.
PMID- 29652352
TI - Efficient frequency conversion for cubic harmonic generation at 266 nm in
centrosymmetric alpha-BBO crystal.
AB - Direct third-harmonic generation (THG) is a third-order nonlinear process without
the restriction to the symmetric characteristic of crystals. It is of great
interest for setting up a chi(3) optical parametric oscillator which can be used
in multiphoton quantum correlation. To obtain pure and strong THG, we proposed to
elect the centrosymmetric crystal with delocalized pi bond as the nonlinear media
during the frequency conversion process. An unprecedented cubic harmonic energy
37.6 MUJ (average power ~37.6 mW, conversion efficiency ~2.5%) at 266 nm was
generated in alpha-BBO crystal (not the beta-BBO), indicating direct THG in
practical application. These results also demonstrated a succinct and efficient
way to generate deep-UV laser and another development direction of nonlinear
crystals in UV region.
PMID- 29652353
TI - Side scattering shadow and energy concentration effects of epsilon-near-zero
media.
AB - By analytically and numerically investigating the near-field scattering
properties of particles composed of epsilon-near-zero (ENZ) media, we reveal a
unique scattering phenomenon which is hereby denoted as the side scattering
shadow and energy concentration effect. The scattering of ENZ particles leads to
the emergence of near-field "shadows," where the electric fields are
significantly decreased to zero on two sides of the particle perpendicular to the
incident direction. At the same time, the electric fields inside the particle are
enhanced to a certain extent, indicating concentration of wave energy. These
extraordinary behaviors can be well explained through the Mie scattering theory.
Moreover, such unique effects robustly exist for isotropic and anisotropic ENZ
particles of almost arbitrary shapes and with loss. We further demonstrate
interesting applications of this effect, including the "quenching" of surface
plasmons and the concentration of electromagnetic energy in the deep
subwavelength scale. Our findings may inspire novel applications in
nanophotonics.
PMID- 29652354
TI - Efficient middle-infrared generation in LiGaS2 by simultaneous spectral
broadening and difference-frequency generation.
AB - We report a surprisingly broadband and efficient midinfrared pulse generation in
LiGaS2 (Langasite, LGS) by invoking a simultaneous interplay of intrapulse
difference-frequency generation, self-phase modulation, and dispersion. This
cascaded mechanism expands the output bandwidth and output power at the same
time. With 30-fs driving pulses centered at 1030-nm wavelength we obtain a
broadband middle-infrared spectrum of 8-11 MUm with an LGS crystal as thick as 4
mm, which is eight times longer than the walk-off length.
PMID- 29652355
TI - Tunable dispersion compensation of quantum cascade laser frequency combs.
AB - Compensating for group velocity dispersion is an important challenge to achieve
stable midinfrared quantum cascade laser (QCL) frequency combs with large
spectral coverage. We present a tunable dispersion compensation scheme consisting
of a planar mirror placed behind the back facet of the QCL. Dispersion can be
either enhanced or decreased depending on the position of the mirror. We
demonstrate that the fraction of the comb regime in the dynamic range of the
laser increases considerably when the dispersion induced by the Gires-Tournois
interferometer compensates the intrinsic dispersion of the laser. Furthermore, it
is possible to tune to the offset frequency of the comb with the Gires-Tournois
interferometer while the repetition frequency is almost unaffected.
PMID- 29652356
TI - Compressed supercontinuum probe for transient absorption microscopy.
AB - Here, we combine three optical advancements to transient absorption microscopy in
order to access the photodynamics in systems requiring stringent spatial and
temporal resolution criteria. First, a broadband visible probe is generated by a
commercial photonic crystal fiber. Second, a spatial light modulator-based pulse
shaper is incorporated to reduce the pulse dispersion and improve temporal
resolution. Third, 1.4 numerical aperture objectives for excitation and light
collection provide optimal spatial resolution. The result of these improvements
is a probe beam that spans 115 nm across the visible region yet maintains a ~100
fs instrument response at the sample position. We demonstrate the capabilities of
this microscope by imaging polystyrene beads in a solution of IR-144 dye,
revealing aggregated species at the bead surfaces.
PMID- 29652357
TI - Dynamic mechanical analysis on fused polymer optical fibers: towards sensor
applications.
AB - This Letter presents, for the first time, to the best of our knowledge, the
dynamic mechanical analysis of a polymer optical fiber (POF) that was previously
damaged by the catastrophic fuse effect. The variation of the fiber Young's
modulus was evaluated with respect to the increase of temperature, humidity, and
frequency of strain cycles. The obtained data for the fused POF are compared with
the ones for the same POF without the fuse effect. The results show the
feasibility of the fused POF for sensor applications, such as strain and
acceleration measurement, since it presents temperature sensitivity almost two
times lower in temperatures between 26 degrees C and 90 degrees C and Young's
modulus 2.3 times lower than those obtained with the bare fiber. The Young's
modulus variation with the humidity is 1.5 MPa/%RH in a humidity range of 66-96%.
In addition, the fused POF presented a variation of its dynamic modulus with the
frequency increase four times lower than non-fused POFs on the range of 0.01
100.00 Hz. These results pave the way for future applications of fused POFs as
sensing elements.
PMID- 29652358
TI - High-precision 3D surface topography measurement using high-stable multi
wavelength digital holography referenced by an optical frequency comb.
AB - High-stable, two-wavelength, digital holography referenced by an optical
frequency comb is presented. The technique is demonstrated by using optical waves
from an external cavity laser diode phase-locked to a mode-locked Er-doped fiber
comb laser light. The mixed beat signal is stabilized at 30 MHz by a sensitive
proportional integral derivative controller. The tunable continuous-waves
stabilized with frequency uncertainty of 5*10-11 are employed to investigate
large stepped structures.
PMID- 29652359
TI - Cathodoluminescence hyperspectral analysis of whispering gallery modes in active
semiconductor wedge resonators.
AB - Whispering gallery mode resonators are key devices for integrated photonics.
Despite their generalization in fundamental and applied science, information on
spatial confinement of light in these structures is mostly retrieved from purely
spectral analysis. In this work, we present a detailed spectral and spatial
characterization of whispering gallery modes in active semiconductor microdisk
resonators by use of hyperspectral cathodoluminescence. By comparing our
experimental findings to finite element simulations, we demonstrate that the
combination of spectral and spatial measurements enables unique identification of
the modes and even reveals specific features of the microresonator geometry, such
as a wedge profile.
PMID- 29652360
TI - Gain-switched fiber laser at 3.55 MUm.
AB - We report, to the best of our knowledge, the first demonstration of a gain
switched fiber laser operating near 3.5 MUm. A dual-wavelength pumping scheme
consisting of a 1976 nm Q-switched fiber system and a continuous-wave 976 nm
laser diode were used to gain-switch a monolithic erbium-doped fluorozirconate
fiber laser cavity at 3.552 MUm. Stable pulses were produced for repetition rates
ranging between 15 and 20 kHz, and a record peak power of 204 W was achieved at
15 kHz. A quenching phenomenon was also observed at 15 kHz for 1976 nm pulse
energies beyond 180 MUJ.
PMID- 29652361
TI - All-optical microwave oscillator based on semiconductor optical amplifier and
stimulated Brillouin scattering.
AB - An all-optical microwave oscillator is proposed and experimentally demonstrated.
Based on a pure photonic feedback loop, this system can generate a photonic
microwave signal without optical-electrical-optical conversion or any electrical
microwave devices. A semiconductor optical amplifier implements the functions of
microwave envelope detection and feedback modulation. Meanwhile, Brillouin
selective sideband amplification is employed to lock oscillation frequency. In
experiment, a good quality microwave signal with a frequency of 10.8 GHz is
obtained. The tunability is also demonstrated by adjusting the Brillouin pump
wavelength.
PMID- 29652362
TI - Recording, erasing, and rewriting of ripples on metal surfaces by ultrashort
laser pulses.
AB - Recording, erasing, and rewriting of ripples are achieved by applying femtosecond
laser pulses on tungsten surfaces. Ripples oriented perpendicular to the
polarization direction of the writing beam can be recorded on a metal surface by
exposing the sample to a series of linearly polarized pulses. When applying the
second series of pulses with varied polarization direction on the same place, the
original ripples can be erased, and new ripples are rewritten with the
orientation perpendicular to the polarization of the second group of pulses. The
simulation shows that when original ripples exist, laser intensity is focused
above the grooves with polarization parallel to original ripples, which can erase
the ripples. However, when the polarization is perpendicular to the existing
ripples, laser intensity is almost confined in the grooves, which accelerates the
formation of ripples.
PMID- 29652363
TI - Imaging of cortical structures and microvasculature using extended-focus optical
coherence tomography at 1.3 MUm.
AB - Extended-focus optical coherence tomography (xf-OCT) is a variant of optical
coherence tomography (OCT) wherein the illumination and/or detection modes are
engineered to provide a constant diffractionless lateral resolution over an
extended depth of field (typically 3 to 10* the Rayleigh range). xf-OCT systems
operating at 800 nm have been devised and used in the past to image brain
structures at high-resolution in vivo, but are limited to ~500 MUm in
penetration depth due to their short illumination wavelength. Here we present an
xf-OCT system optimized to an image deeper within the cortex by using a longer
illumination central wavelength of 1310 nm. The system offers a lateral
resolution of 3 and 6.5 MUm, over a depth of 900 MUm and >1.5 mm using a 10* and
5* objective, respectively, in air. We characterize the system's resolution using
microbeads embedded in PDMS and demonstrate its capabilities by imaging the
cortical structure and microvasculature in anesthetized mice to a depth of ~0.8
mm. Finally, we illustrate the difference in penetration depths obtainable with
the new system and an xf-OCT system operating at 800 nm.
PMID- 29652364
TI - High-order orbital angular momentum mode generator based on twisted photonic
crystal fiber.
AB - High-order orbital angular momentum (OAM) modes, namely, OAM+5 and OAM+6, were
generated and demonstrated experimentally by twisting a solid-core hexagonal
photonic crystal fiber (PCF) during hydrogen-oxygen flame heating. Leaky orbital
resonances in the cladding depend strongly on the twist rate and length of the
helical PCF. Moreover, the generated high-order OAM mode could be a polarized
mode. The secret of the successful observation of high-order modes is that leaky
orbital resonances in the twisted PCF cladding have a high coupling efficiency of
more than -20 dB.
PMID- 29652365
TI - Generation of coherent extreme ultraviolet radiation from alpha-quartz using 50
fs laser pulses at a 1030 nm wavelength and high repetition rates.
AB - Coherent extreme ultraviolet (EUV) radiation using high-harmonic generation (HHG)
in alpha-quartz is demonstrated from 10 to 200 kHz, using 50 fs laser pulses at
the center wavelength of 1030 nm. The EUV radiation extends beyond 25 eV in the
nondamaging regime. The number of photons generated in a single harmonic order at
15.6 eV is measured to be ~(3.5+/-2.5)*1010 per second which, to the best of our
knowledge, is a first and record value reported to date using EUV HHG from
solids. This Letter demonstrates one of the first all-solid-state EUV sources
based on industrial-grade fiber lasers, enabling the possibility of bringing
reliable EUV sources to the mass market.
PMID- 29652366
TI - Ultra-low noise dual-frequency VECSEL at telecom wavelength using fully
correlated pumping.
AB - An ultra-low intensity and beatnote phase noise dual-frequency vertical-external
cavity surface-emitting laser is built at telecom wavelength. The pump laser is
realized by polarization combining two single-mode fibered laser diodes in a
single-mode fiber, leading to a 100% in-phase correlation of the pump noises for
the two modes. The relative intensity noise is lower than -140 dB/Hz, and the
beatnote phase noise is suppressed by 30 dB, getting close to the spontaneous
emission limit. The role of the imperfect cancellation of the thermal effect
resulting from unbalanced pumping of the two modes in the residual phase noise is
evidenced.
PMID- 29652367
TI - Reconfigurable all-optical on-chip MIMO three-mode demultiplexing based on multi
plane light conversion.
AB - We present, to the best of our knowledge, the first experimental demonstration of
reconfigurable all-optical on-chip multi-input-multi-output three-mode
demultiplexing based on multi-plane light conversion. The demultiplexer consists
of cascaded phase shifter arrays and multimode interference couplers integrated
on a compact silicon chip. By optimizing the phase shifters, reconfigurable three
mode demultiplexing is experimentally realized with wavelength-dependent loss of
less than 3 dB and modal crosstalk of less than -10 dB over a 23 nm optical
bandwidth. Error-free mode demultiplexing of 40 Gbps non-return-to-zero signal is
also demonstrated.
PMID- 29652368
TI - Ultralow-refractive-index optical thin films built from shape-tunable hollow
silica nanomaterials.
AB - Shape-tunable hollow silica nanomaterials, including hollow silica nano-spheres
and nano-tadpoles, were synthesized with a one-step soft-templating method. A
possible particle growth mechanism was proposed. Films were built from these
shape-tunable hollow silica nanomaterials with refractive indices as low as
1.045, which is, to the best of our knowledge, the third-lowest value ever
reported so far. For the first time, the refractive indices of films were tuned
by changing the morphology of building blocks. These films are intrinsically
hydrophobic. Moreover, the process used to prepare these nanomaterials without
the need for expensive equipment or any post-treatment is well suited for
industrial production on large surfaces.
PMID- 29652369
TI - Tunable nonlinear coherent perfect absorption with epsilon-near-zero plasmonic
waveguides.
AB - We propose a scheme to realize nonlinear coherent perfect absorption (CPA) at the
nanoscale using epsilon-near-zero (ENZ) plasmonic waveguides. The general
conditions to achieve CPA in a linear ENZ plasmonic waveguide are analyzed and
presented. The proposed ENZ waveguides support an effective ENZ response at their
cutoff frequency, where the CPA effect occurs under the illumination of two
counterpropagating plane waves with equal amplitudes and appropriate phase
distributions. In addition, the strong and uniform field enhancement inside the
nanochannels of the waveguides at the ENZ resonance can efficiently boost Kerr
nonlinearities, resulting in a new all-optical switching intensity-dependent CPA
phenomenon that can be tunable with ultrafast speed. The proposed free-standing
ENZ structures combine third-order nonlinear functionality with standing wave CPA
interference effects in a nanoscale plasmonic configuration, thus leading to a
novel degree of tunable light-matter interactions achieved in subwavelength
regions. Our findings provide a new platform to efficiently excite nonlinear
phenomena at the nanoscale and design tunable coherent perfect absorbers.
PMID- 29652370
TI - Enhanced superlens imaging with loss-compensating hyperbolic near-field spatial
filter.
AB - Recently a coherent optical process called plasmon-injection (Pi) scheme, which
employs an auxiliary source, has been introduced as a new technique to compensate
losses in metamaterials. Here, a physical implementation of the Pi scheme is
proposed for enhanced superlens imaging in the presence of absorption losses and
noise. The auxiliary source is constructed by a high-intensity illumination
(above 1 mW/MUm2) of the superlens integrated with a near-field spatial filter.
The integrated system enables reconstruction of an object previously unresolvable
with the superlens alone. This work elevates the viability of the Pi scheme as a
strong candidate for loss compensation in near-field imaging systems without
requiring nonlinear effects or gain media.
PMID- 29652371
TI - Highly coherent free-running dual-comb chip platform.
AB - We characterize the frequency noise performance of a free-running dual-comb
source based on an erbium-doped glass chip running two adjacent mode-locked
waveguide lasers. This compact laser platform, contained only in a 1.2 L volume,
rejects common-mode environmental noise by 20 dB thanks to the proximity of the
two laser cavities. Furthermore, it displays a remarkably low mutual frequency
noise floor around 10 Hz2/Hz, which is enabled by its large-mode-area waveguides
and low Kerr nonlinearity. As a result, it reaches a free-running mutual
coherence time of 1 s since mode-resolved dual-comb spectra are generated even on
this time scale. This design greatly simplifies dual-comb interferometers by
enabling mode-resolved measurements without any phase lock.
PMID- 29652372
TI - Quadratic nonlinear optical properties of the organic N-benzyl-2-methyl-4
nitroaniline (BNA) biaxial crystal.
AB - We performed the direct measurement of second harmonic generation and sum
frequency generation phase-matching directions in the organic N-benzyl-2-methyl-4
nitroaniline crystal over its visible and near-infrared transparency range. The
fit of these data allowed us to refine the Sellmeier equations of the three
principal refractive indices in this range. With these equations, we improved the
calculated tuning curves of terahertz emission from a phase-matched difference
frequency process. We also determined the absolute magnitude of the d24 nonlinear
coefficient.
PMID- 29652373
TI - Microwave-assisted Rydberg electromagnetically induced transparency.
AB - We demonstrate electromagnetically induced transparency (EIT) in a four-level
cascade-like system, where the two upper levels are Rydberg states coupled by a
microwave field. A two-photon transition consisting of an off-resonant microwave
field and an off-resonant optical field forms an effective coupling field to
induce transparency of the probe light. We characterize the Rabi frequency of the
effective coupling field, as well as the EIT microwave spectra. The results show
that microwave-assisted EIT allows us to efficiently access Rydberg states with
relatively high orbital angular momentum l=3, which is promising for the study of
exotic Rydberg molecular states.
PMID- 29652374
TI - Subwavelength light confinement and enhancement enabled by dissipative dielectric
nanostructures.
AB - Dissipative loss in optical materials is considered one of the major challenges
in nano-optics. Here we show that, counter-intuitively, a large imaginary part of
material permittivity contributes positively to subwavelength light enhancement
and confinement. The Purcell factor and the fluorescence enhancement of
dissipative dielectric bowtie nanoantennas, such as Si in ultraviolet (UV), are
demonstrated to be orders of magnitude higher than their lossless dielectric
counterparts, which is particularly favorable in deep UV applications where
metals are plasmonically inactive. The loss-facilitated field enhancement is the
result of a large material property contrast and an electric field discontinuity.
These dissipative dielectric nanostructures can be easily achieved with a great
variety of dielectrics at their Lorentz oscillation frequencies, thus having the
potential to build a completely new material platform boosting light-matter
interaction over broader frequency ranges, with advantages such as bio
compatibility, CMOS compatibility, and harsh environment endurance.
PMID- 29652375
TI - Edge enhancement by negative Poincare-Hopf index filters.
AB - Phase and polarization are interrelated quantities, and hence polarization
elements that perform like phase elements can be designed. In this Letter, we
show that a polarizing element producing a negative Poincare-Hopf (PH) index beam
can be used as a spatial filter to perform edge enhancement. Either isotropic or
anisotropic edge enhancement can be achieved by polarization selection of the
light that illuminates the sample. A conventional microscope imaging system is
modified into a polarization-selective optical Fourier processor. Experimental
results are presented to show that negative PH index filters, producing a set of
orthogonal polarization distribution and their superpositions, can also be used
for edge enhancement in optical signal processing.
PMID- 29652376
TI - Quantized pseudomodes for plasmonic cavity QED.
AB - We present a quantized pseudomode theory for solving system-level cavity quantum
electrodynamics with quantum emitters coupled to plasmonic resonators. Exploiting
the Green function response of a silver nanoparticle and an exact solution for
the input-output coupling, we study the non-Markovian coupling regimes of multi
mode vacuum Rabi oscillations and coherently pumped multi-photon resonances from
the higher lying ladder states of collective plasmon modes. The nonlinear pumping
regime also facilitates pronounced population inversion of a single two-level
atom through multiphoton resonances dominated by dark plasmons.
PMID- 29652377
TI - Single shot multi-wavelength phase retrieval with coherent modulation imaging.
AB - A single shot multi-wavelength phase retrieval method is proposed by combining
common coherent modulation imaging (CMI) and a low rank mixed-state algorithm
together. A radiation beam consisting of multi-wavelength is illuminated on the
sample to be observed, and the exiting field is incident on a random phase plate
to form speckle patterns, which is the incoherent superposition of diffraction
patterns of each wavelength. The exiting complex amplitude of the sample
including both the modulus and phase of each wavelength can be reconstructed
simultaneously from the recorded diffraction intensity using a low rank mixed
state algorithm. The feasibility of this proposed method was verified with
visible light experimentally. This proposed method not only makes CMI realizable
with partially coherent illumination but also can extend its application to
various traditionally unrelated fields, where several wavelengths should be
considered simultaneously.
PMID- 29652378
TI - Giant enhancement of Faraday rotation due to electromagnetically induced
transparency in all-dielectric magneto-optical metasurfaces.
AB - In this Letter we introduce a new class of Fano-resonant all-dielectric
metasurfaces for enhanced, high figure of merit magneto-optical response. The
metasurfaces are formed by an array of magneto-optical bismuth-substituted
yttrium iron garnet nano-disks embedded into a low-index matrix. The strong field
enhancement in the magneto-optical disks, which results in over an order of
magnitude enhancement of Faraday rotation, is achieved by engineering two
(electric and magnetic) resonances. It is shown that while enhancement of
rotation also takes place for spectrally detuned resonances, the resonant
excitation inevitably results in stronger reflection and low figure of merit of
the device. We demonstrate that this can be circumvented by overlapping electric
and magnetic resonances of the nanodisks, yielding a sharp electromagnetically
induced transparency peak in the transmission spectrum, which is accompanied by
gigantic Faraday rotation. Our results show that one can simultaneously obtain a
large Faraday rotation enhancement along with almost 100% transmittance in an all
dielectric metasurface as thin as 300 nm. A simple analytical model based on
coupled-mode theory is introduced to explain the effects observed in first
principle finite element method simulations.
PMID- 29652379
TI - High-quality-factor multiple Fano resonances for refractive index sensing.
AB - We design and numerically analyze a high-quality (Q)-factor, high modulation
depth, multiple Fano resonance device based on periodical asymmetric paired bars
in the near-infrared regime. There are four sharp Fano peaks arising from the
interference between subradiant modes and the magnetic dipole resonance mode that
can be easily tailored by adjusting different geometric parameters. The maximal Q
factor can exceed 105 in magnitude, and the modulation depths DeltaT can reach
nearly 100%. Combining the narrow resonance line-widths with strong near-field
confinement, we demonstrate an optical refractive index sensor with a sensitivity
of 370 nm/RIU and a figure of merit of 2846. This study may provide a further
step in sensing, lasing, and nonlinear optics.
PMID- 29652380
TI - Autofocusing optical-resolution photoacoustic endoscopy.
AB - Photoacoustic (PA) endoscopy has the potential to diagnose early diseases in the
gastrointestinal tract. For the first time, to our knowledge, we developed an
autofocusing PA endoscope (AF-PAE) for the usually irregular gastrointestinal
tract imaging to solve the deterioration of transverse resolution caused by the
defocus scanning of the probe. The 9-mm-diameter AF-PAE probe integrated a 6-mm
aspheric lens and 6-mm liquid lens to automatically adjust the optical focal
length, and an unfocused ultrasonic transducer with a center frequency of 15 MHz
is coaxially set for detecting PA signals. With this probe, the AF-PAE achieved a
focus-shifting range from approximately 2 to 10 mm with high transverse
resolution and image contrast in a 360 degrees field of view. Phantom experiment
and vasculature distribution of a resected rabbit rectum have been performed to
demonstrate the imaging ability of the AF-PAE for potential clinical applications
in colorectal vessel imaging and subsequent diagnosis.
PMID- 29652381
TI - Tuning selective reflection of light by surface anchoring in cholesteric cells
with oblique helicoidal structures.
AB - Selective reflection of light by oblique helicoidal cholesteric (ChOH) can be
tuned in a very broad spectral range by an applied electric field. In this
Letter, we demonstrate that the peak wavelength of the selective reflection can
be controlled by the surface alignment of the director in sandwich cells. The
peak wavelength is blue-shifted when the surface alignment is perpendicular to
the bounding plates and red-shifted when it is planar. The effect is explained by
the electric field redistribution within the cell caused by a spatially varying
heliconical ChOH structure. The observed phenomenon can be used in sensing
applications.
PMID- 29652382
TI - Octupole electrode pattern for tuning forks vibrating at the first overtone mode
in quartz-enhanced photoacoustic spectroscopy.
AB - The design, realization, and performance analysis of an octupole electrode
pattern configuration intended for the optimization of the charge collection
efficiency in quartz tuning forks (QTFs) vibrating at the first overtone in-plane
flexural mode is reported. Two QTFs having the same geometry, but differing in
the electrode pattern deposited on the QTF prongs, have been realized in order to
study the influence of the electrode pattern on the resonance quality factor and
electrical resistance. A standard quadrupole pattern (optimized for the
fundamental mode) and an octupole electrode layout have been implemented.
Although both QTFs show the same resonance quality factor for the first overtone,
the octupole pattern provides a reduction of the QTF electrical resistance by
more than four times. The sensing performance of the two QTFs has been compared
by employing them in a mid-IR quartz-enhanced photoacoustic sensor (QEPAS) system
targeting a water absorption line. When operating at the first overtone mode, the
QTF with an octupole electrode pattern provides a QEPAS signal more than two
times higher with respect to the QTF employing the standard quadrupole
configuration.
PMID- 29652384
TI - Spectral periodicity in soliton explosions on a broadband mode-locked Yb fiber
laser using time-stretch spectroscopy.
AB - Experimentally, we demonstrate, to the best of our knowledge, the first
observation of periodic spectrum changing via soliton explosion in a passively
mode-locked fiber laser by a nonlinear polarization evolution. Using time stretch
to capture 7220 consecutive single-shot spectra over a 100 MUs time window in
real time, the soliton explosions appear in a transition between two different
mode-locking states. Simultaneous measurements of spectrum and pulse energy at
three different output points in the laser cavity show that the soliton
explosion's dynamics are related to residual dispersion. This study improves the
understanding of pulse formation and evolution in the unstable mode-locking
regime of lasers.
PMID- 29652383
TI - Microparticle manipulation using femtosecond photonic nanojet-assisted laser
cavitation.
AB - We report the effect of laser cavitation in water initiated by femtosecond pulses
confined into subwavelength volume of photonic nanojet of spherical
microparticles. The effect of nanoscale optical breakdown was employed for
controllable and nondestructive micromanipulation of silica microspheres. We
combine this technique with optical trapping for cyclic particle movements and
estimate a peak velocity and an acceleration acquired by microspheres propelled
by nanojet cavitation. Our study provides a strategy for nondestructive optical
micromanipulation, cavitation-assisted drug delivery, and laser energy
transduction in microdevices.
PMID- 29652385
TI - Multiple patterning of holographic photopolymers for increased refractive index
contrast.
AB - We demonstrate that multiple exposures of a two-component holographic
photopolymer can quadruple the refractive index contrast of the material beyond
the single-exposure saturation limit. Quantitative phase microscopy of isolated
structures written by laser direct-write lithography is used to characterize the
process. This technique reveals that multiple exposures are made possible by
diffusion of the chemical components consumed during writing into the previously
exposed regions. The ultimate index contrast is shown to be limited by the
solubility of fresh components into the multiply exposed region.
PMID- 29652386
TI - Automatic phase aberration compensation for digital holographic microscopy based
on phase variation minimization.
AB - We propose a numerical and totally automatic phase aberration compensation method
in digital holographic microscopy. The phase aberrations are extracted in a
nonlinear optimization procedure in which the phase variation of the
reconstructed object wave is minimized. Not only phase curvature but also high
order aberrations could be corrected without extra devices. The correction is
directly carried out with the wrapped phase map, which is not affected by phase
unwrapping or fitting errors. Numerical simulation proves that the proposed
method is more accurate than the conventional surface fitting method without
selecting a cell-free background. Experimental results demonstrate the
availability of the proposed method in real-time analysis of living cells.
PMID- 29652387
TI - On-chip multicomponent system made with an InGaN directional coupler.
AB - An on-chip multicomponent system is implemented on a III-nitride-on-silicon
platform by integrating a transmitter, InGaN waveguide, InGaN directional
coupler, and receivers onto a single chip. The transmitter and the receiver share
an identical InGaN/GaN multiple-quantum-well (MQW) diode structure and are
produced by using the same wafer-level process flow. The receiver sensitively
responds to the short-wavelength half of the emission spectrum of the
transmitter, thus realizing the multicomponent system with the capability for
inplane light communication. A SiO2 isolation layer is employed to decrease the p
n junction capacitance, thus improving the modulation rate without modifying the
MQW structure. The wire-bonded monolithic multicomponent system experimentally
demonstrates inplane data transmission at 80 Mbps and spatial light communication
at 100 Mbps, paving the way for diverse applications from on-chip power
monitoring to inplane light communication in the visible light spectrum.
PMID- 29652388
TI - Megahertz-resolution programmable microwave shaper.
AB - A novel microwave shaper is proposed and demonstrated, of which the microwave
spectral transfer function could be fully programmable with high resolution. We
achieve this by bandwidth-compressed mapping a programmable optical wave-shaper,
which has a lower frequency resolution of tens of gigahertz, to a microwave one
with resolution of tens of megahertz. This is based on a novel technology of
"bandwidth scaling," which employs bandwidth-stretched electronic-to-optical
conversion and bandwidth-compressed optical-to-electronic conversion. We
demonstrate the high resolution and full reconfigurability experimentally.
Furthermore, we show the group delay variation could be greatly enlarged after
mapping; this is then verified by the experiment with an enlargement of 194
times. The resolution improvement and group delay magnification significantly
distinguish our proposal from previous optics-to-microwave spectrum mapping.
PMID- 29652389
TI - Time-domain measurement of optical activity by an ultrastable common-path
interferometer.
AB - We introduce a novel configuration for the broadband measurement of the optical
activity of molecules, combining time-domain detection with heterodyne
amplification. A birefringent common-path polarization-division interferometer
creates two phase-locked replicas of the input light with orthogonal
polarization. The more intense replica interacts with the sample, producing a
chiral free-induction decay field, which interferes with the other replica,
acting as a time-delayed phase-coherent local oscillator. By recording the delay
dependent interferogram, we obtain by a Fourier transform both the circular
dichroism and circular birefringence spectra. Our compact, low-cost setup accepts
ultrashort light pulses, making it suitable for measurement of transient optical
activity.
PMID- 29652390
TI - Integrated catheter for simultaneous radio frequency ablation and optoacoustic
monitoring of lesion progression.
AB - Radio frequency (RF) catheter ablation is commonly used to eliminate
dysfunctional cardiac tissue by heating via an alternating current. Clinical
outcomes are highly dependent on careful anatomical guidance,
electrophysiological mapping, and careful RF power titration during the
procedure. Yet, current treatments rely mainly on the expertise of the surgeon to
assess lesion formation, causing large variabilities in the success rate. We
present an integrated catheter design suitable for simultaneous RF ablation and
real-time optoacoustic monitoring of the forming lesion. The catheter design
utilizes copper-coated multimode light guides capable of delivering both ablation
current and near-infrared pulsed-laser illumination to the target tissue. The
generated optoacoustic responses were used to visualize the ablation lesion
formation in an ex-vivo bovine heart specimen in 3D. The presented catheter
design enables the monitoring of ablation lesions with high spatiotemporal
resolution while the overall therapy-monitoring approach remains compatible with
commercially available catheter designs.
PMID- 29652391
TI - 18 km low-crosstalk OAM + WDM transmission with 224 individual channels enabled
by a ring-core fiber with large high-order mode group separation.
AB - The space domain is regarded as the only known physical dimension of lightwaves
left to be exploited for optical communications. Very recently, much research
effort has been devoted to using orbital angular momentum (OAM) spatial modes to
increase the transmission capacity in fiber-optic communications. However, long
distance low-crosstalk high-order OAM multiplexing transmission in fiber is quite
challenging. Here we design and fabricate a graded-index ring-core fiber to
effectively suppress radially high-order modes and greatly separate high-order
OAM mode groups. By exploiting high-order OAM mode group multiplexing, together
with wavelength-division multiplexing (WDM), i.e., 12.5 Gbaud 8-array quadrature
amplitude modulation (8-QAM) signals over OAM+4 and OAM+5 modes on 112 WDM
channels (224 individual channels), we experimentally demonstrate 8.4 Tbit/s data
transmission in an 18 km OAM fiber with low crosstalk. Multiple-input multiple
output digital signal processing is not required in the experiment because of the
large high-order mode group separation of the OAM fiber. The demonstrations may
open a door to find more fiber-optic communication and interconnect applications
exploiting high-order OAM modes.
PMID- 29652392
TI - Orbital angular momentum mode multiplexed transmission in heterogeneous few-mode
and multi-mode fiber network.
AB - Mode-division multiplexing (MDM), which employs the spatial modes of light as
information carriers, has been widely investigated to increase the transmission
capacity. Few-mode fibers (FMFs) and multi-mode fibers (MMFs) have been used for
MDM fiber transmission. One of the MDM techniques known as twisted light
multiplexing using orbital angular momentum (OAM) modes has recently attracted
increasing interest. In this Letter, by splicing two FMFs together with a
conventional OM3 MMF, we propose and demonstrate OAM-based MDM in a heterogeneous
fiber-optic network, i.e., two OAM mode (OAM01 and OAM-11) multiplexing
transmission in the heterogeneous FMFs and MMF network. We transmit 20-Gbit/s
quadrature phase shift keying signals over two OAM modes in different mode groups
without multiple-input multiple-output equalization techniques and achieve less
than 2.8 dB optical signal-to-noise ratio penalties at a bit-error rate of 2*10
3. The experimental results show favorable transmission performance of OAM-based
MDM in heterogeneous FMFs and MMF network compared to the one in FMF.
PMID- 29652393
TI - Compact flexible multifrequency splitter based on plasmonic graded metallic
grating arc waveguide.
AB - A compact flexible multifrequency splitter based on an arc waveguide constructed
of plasmonic metallic grating structures with graded-height T-grooves is proposed
and studied. The dispersion curves and cutoff frequencies of the plasmonic
grating waveguides with different T-groove metallic grating heights are
different. The guided spoof surface plasmonic polariton waves at different
frequencies can be localized at dissimilar angles along the graded grating arc
waveguide. The output flexibility at an arbitrary groove for different
frequencies is realized by introducing an additional symmetrical T-groove
structure as an output. The compact four-, seven-, and eight-output frequency
splitters demonstrate its flexible multifrequency separation capability at
different output angle locations, while the dimensional size of the frequency
splitters is not increased. Measurement results at the microwave frequency
display excellent agreement with numerical simulation results.
PMID- 29652394
TI - Widely tunable, high slope efficiency waveguide lasers in a Yb-doped glass chip
operating at 1 MUm.
AB - Ultrafast laser inscribed waveguide lasers can lead to highly efficient and
compact optical devices. This Letter reports an average lasing efficiency of
65%+/-2.5% from a multi-waveguide 2.5 mol. % ytterbium-doped ZrF4-BaF2-LaF3-AlF3
NaF (Yb:ZBLAN) chip in an extended-cavity configuration. A maximum output power
of 750 mW with a lasing efficiency of 68% is also achieved. A monolithic end
coupled configuration reached a maximum output power of 784 mW with a lasing
efficiency of 70%. The lasing wavelength is tuned from 1001 to 1045 nm in a
Littrow configured cavity. A beam propagation factor of the lowest-order
transverse-mode output was routinely achieved with an M2 of 1.15.
PMID- 29652395
TI - Resolution enhancement for fiber bundle imaging using maximum a posteriori
estimation.
AB - We propose a new framework to jointly improve spatial resolution and remove fixed
structural patterns for coherent fiber bundle imaging systems, based on inverting
a principled forward model. The forward model maps a high-resolution
representation to multiple images modeling random probe motions. We then apply a
point spread function to simulate low-resolution figure bundle image capture. Our
forward model also uses a smoothing prior. We compute a maximum a posteriori
(MAP) estimate of the high-resolution image from one or more low-resolution
images using conjugate gradient descent. Unique aspects of our approach include
(1) supporting a variety of possible applicable transformations; (2) applying
principled forward modeling and MAP estimation to this domain. We test our method
on data synthesized from the USAF target, data captured from a transmissive USAF
target, and data from lens tissue. In the case of the USAF target and 16 low
resolution captures, spatial resolution is enhanced by a factor of 2.8.
PMID- 29652396
TI - Noise-like pulses with a 14.5 fs spike generated in an Yb-doped fiber nonlinear
amplifier.
AB - We demonstrate noise-like pulses with a 14.5 fs spike generated in an Yb-doped
fiber nonlinear amplifier after compression, while the pedestal has a width of
3.70 ps. This Yb-doped fiber nonlinear amplifier is seeded by dissipative
solitons. With an average output power of 5 W, the single pulse energy is
approximately 200 nJ at a repetition rate of 24.65 MHz. Mathematical research
based on the coupled nonlinear Schrodinger equations about the generation and the
compressibility of the noise-like pulses is also carried out.
PMID- 29652398
TI - Spin-orbital angular momentum tomography of a chiral plasmonic lens using leakage
radiation microscopy.
AB - Based on the spin-dependent directional coupling of surface plasmons (SPs) by ?
shaped antennas, ring-shaped structures built with such antennas have potential
applications for optical tweezers and optical switch technology. In this Letter,
we introduce an optical method for realizing a complete polarization tomography
of coupled SP fields by such a chiral-planar structure. We use a far-field
optical approach, namely leakage radiation microscopy (LRM), to map the SPs
propagation and polarization. Here, we fully analyze the polarization state of
the generated SPs inside the vortex lens structure. In addition, we provide a
theoretical model which agrees well with the experimental results.
PMID- 29652397
TI - Three-photon fluorescence microscopy with an axially elongated Bessel focus.
AB - Volumetric imaging tools that are simple to adopt, flexible, and robust are in
high demand in the field of neuroscience, where the ability to image neurons and
their networks with high spatiotemporal resolution is essential. Using an axially
elongated focus approximating a Bessel beam, in combination with two-photon
fluorescence microscopy, has proven successful at such an endeavor. Here, we
demonstrate three-photon fluorescence imaging with an axially extended Bessel
focus. We use an axicon-based module that allowed for the generation of Bessel
foci of varying numerical apertures and axial lengths, and apply this volumetric
imaging tool to image mouse brain slices and for in vivo imaging of the mouse
brain.
PMID- 29652399
TI - Parametric spectrotemporal analyzer based on four-wave mixing Bragg scattering.
AB - A parametric spectro-temporal analyzer (PASTA) has been demonstrated as an
ultrafast single-shot spectral analyzing technique. However, the relatively
complex system configuration and the limited wavelength measurement range limit
its practical application. In this work, a new system design utilizing a four
wave mixing Bragg scattering (FWM-BS) process is presented that significantly
simplifies the implementation. More importantly, owing to the large parametric
conversion bandwidth of the FWM-BS process, the maximum wavelength measurement
range has been expanded to around 30 nm. In addition, the detection sensitivity
is also enhanced by 10 dB. Our work thus represents a critical step in realizing
the practical application of the PASTA technique.
PMID- 29652401
TI - Kramers-Kronig detection with Brillouin-amplified virtual carrier: publisher's
note.
AB - This publisher's note corrects an error in the title in Opt. Lett.43, 1367
(2018)OPLEDP0146-959210.1364/OL.43.001367.
PMID- 29652400
TI - Emission beyond 4 MUm and mid-infrared lasing in a dysprosium-doped indium
fluoride (InF3) fiber.
AB - Optical emission from rare-earth-doped fluoride fibers has thus far been limited
to less than 4 MUm. We extend emission beyond this limit by employing an indium
fluoride (InF3) glass fiber as the host, which exhibits an increased infrared
transparency over commonly used zirconium fluoride (ZBLAN). Near-infrared pumping
of a dysprosium-doped InF3 fiber results in broad emission centered around 4.3
MUm, representing the longest emission yet achieved from a fluoride fiber. The
first laser emission in an InF3 fiber is also demonstrated from the 3 MUm
dysprosium transition. Finally, a frequency domain excited state lifetime
measurement comparison between fluoride hosts suggests that multiphonon effects
are significantly reduced in indium fluoride fiber, paving the way to more
efficient, longer wavelength lasers compared to ZBLAN fibers.
PMID- 29652402
TI - Broadening the optical bandwidth of quantum cascade lasers using RF noise current
perturbations.
AB - We report on the broadening of the optical bandwidth of a distributed feedback
quantum cascade laser (QCL) caused by the application of radio frequency (RF)
noise to the injection current. The broadening is quantified both via Lamb-dip
spectroscopy and the frequency noise power spectral density (PSD). The linewidth
of the unperturbed QCL (emitting at ~5.3 MUm) determined by Lamb-dip
spectroscopy is 680+/-170 kHz, and is in reasonable agreement with the linewidth
of 460+/-40 kHz estimated by integrating the PSD measured under the same laser
operating conditions. Measurements with both techniques reveal that by mixing the
driving current with broadband RF noise the laser lineshape was reproducibly
broadened up to ca 6 MHz with an increasing Gaussian contribution. The effects of
linewidth broadening are then demonstrated in the two-color coherent transient
spectra of nitric oxide.
PMID- 29652403
TI - Ultra-broadband 2 * 2 adiabatic 3 dB coupler using subwavelength-grating
assisted silicon-on-insulator strip waveguides.
AB - We report on a compact, ultra-broadband, 2*2 adiabatic 3 dB coupler using silicon
on-insulator (SOI) strip waveguides assisted by sub-wavelength gratings (SWGs).
In our device, two tapered SWG-assisted SOI strip waveguides achieve an adiabatic
mode evolution of the two lowest-order transverse electric modes, in a two
waveguide system, for broadband 3 dB power splitting. Theory predicts that the
proposed coupler will operate from 1200 nm to 1700 nm. We have been able to
measure the performance of a device with a 15 MUm long mode evolution region that
achieves even, broadband power splitting over the 185 nm wavelength range of our
tunable laser with an imbalance of less than +/-0.3 dB and with low average
excess losses of <0.11 dB.
PMID- 29652404
TI - Terahertz emission from metal nanoparticle array.
AB - We demonstrate theoretically that ultrafast heating of metal nanoparticles by the
laser pulse should lead to the generation of coherent terahertz (THz) radiation
during the heat redistribution process. It is shown that after the femtosecond
laser pulse action, the time-dependent gradient of the electronic temperature
induces low-frequency particle polarization with the characteristic timescale of
about fractions of a picosecond. In the case of the directed metallic pattern,
the THz pulse waveform can be controlled by changing the geometry of the
particle. The proposed THz generation mechanism can be the basis for
interpretation of recent experiments with metallic nanoparticles and
nanostructures.
PMID- 29652405
TI - Quantum annealing versus classical machine learning applied to a simplified
computational biology problem.
AB - Transcription factors regulate gene expression, but how these proteins recognize
and specifically bind to their DNA targets is still debated. Machine learning
models are effective means to reveal interaction mechanisms. Here we studied the
ability of a quantum machine learning approach to predict binding specificity.
Using simplified datasets of a small number of DNA sequences derived from actual
binding affinity experiments, we trained a commercially available quantum
annealer to classify and rank transcription factor binding. The results were
compared to state-of-the-art classical approaches for the same simplified
datasets, including simulated annealing, simulated quantum annealing, multiple
linear regression, LASSO, and extreme gradient boosting. Despite technological
limitations, we find a slight advantage in classification performance and nearly
equal ranking performance using the quantum annealer for these fairly small
training data sets. Thus, we propose that quantum annealing might be an effective
method to implement machine learning for certain computational biology problems.
PMID- 29652406
TI - Individual Importance Weighting of Domain Satisfaction Ratings does Not Increase
Validity.
AB - Bottom-up models of life satisfaction are based on the assumption that
individuals judge the overall quality of their lives by aggregating information
across various life domains, such as health, family, and income. This aggregation
supposedly involves a weighting procedure because individuals care about
different parts of their lives to varying degrees. Thus, composite measures of
well-being should be more accurate if domain satisfaction scores are weighted by
the importance that respondents assign to the respective domains. Previous
studies have arrived at mixed conclusions about whether such a procedure actually
works. In the present study, importance weighting was investigated in the Panel
Study of Income Dynamics (PSID; N = 5,049). Both weighted composite scores and
moderated regression analyses converged in producing the conclusion that
individual importance weights did not result in higher correlations with the
outcome variable, a global measure of life satisfaction. By contrast, using
weights that vary normatively across domains (e.g., assigning a larger weight to
family satisfaction than to housing satisfaction for all respondents)
significantly increased the correlation with global life satisfaction (although
incremental validity was rather humble). These results converge with findings
from other fields such as self-concept research, where evidence for individual
importance weighting seems elusive as best.
PMID- 29652407
TI - Resting-Exercise Salivary Cortisol Responses: Detecting the Magnitude of Hormonal
Change Over Time.
AB - This study investigated the validity of salivary cortisol responses to reflect
blood cortisol responses relative to the magnitude of change observed over time
in the hormone. Male subjects (n=25) conducted four experimental sessions (ES)
where blood (B) and saliva (S) were obtained before (PS) and after (PoS) a 30 min
resting control, 40%, 60%, and 80% of maximal aerobic capacity (VO2max) exercise
ES. B and S specimens were analyzed by standard biochemical procedures. Hormonal
concentrations changes were assessed by using absolute delta (DA) values (PoS -
PS) and percent change (PC) calculations ((PoS-PS)/PS * 100) for each B and S
specimen. Subsequent DA and PC values were correlated (Pearson) for each B-S
specimen pairing (n=100; n=25 * 4 ES). Results indicate the magnitude of change
(PoS vs. PS) in S cortisol is more valid and strongly associated (p<0.001) with
corresponding B changes (the "gold standard") when expressing the data as delta
values using absolute hormonal concentrations as compared to percent change
expression.
PMID- 29652408
TI - Correction to: Physico-chemical oxidative cleavage strategy facilitates the
degradation of recalcitrant crystalline cellulose by cellulases hydrolysis.
AB - [This corrects the article DOI: 10.1186/s13068-018-1016-0.].
PMID- 29652409
TI - Erratum to: Linear Analysis of Autonomic Activity and Its Correlation with
Creatine Kinase-MB in Overt Thyroid Dysfunctions.
AB - [This corrects the article DOI: 10.1007/s12291-017-0659-0.].
PMID- 29652410
TI - Corrigendum: Compound Heterozygous Variants in the Coiled-Coil Domain Containing
40 Gene in a Chinese Family With Primary Ciliary Dyskinesia Cause Extreme
Phenotypic Diversity in Cilia Ultrastructure.
AB - [This corrects the article on p. 23 in vol. 9, PMID: 29456554.].
PMID- 29652411
TI - The implementation of NEMS GFS Aerosol Component (NGAC) Version 1.0 for global
dust forecasting at NOAA/NCEP.
AB - The NOAA National Centers for Environmental Prediction (NCEP) implemented NEMS
GFS Aerosol Component (NGAC) for global dust forecasting in collaboration with
NASA Goddard Space Flight Center (GSFC). NGAC Version 1.0 has been providing 5
day dust forecasts at 1 degrees *1 degrees resolution on a global scale, once
per day at 00:00 Coordinated Universal Time (UTC), since September 2012. This is
the first global system capable of interactive atmosphere aerosol forecasting at
NCEP. The implementation of NGAC V1.0 reflects an effective and efficient
transitioning of NASA research advances to NCEP operations, paving the way for
NCEP to provide global aerosol products serving a wide range of stakeholders as
well as to allow the effects of aerosols on weather forecasts and climate
prediction to be considered.
PMID- 29652412
TI - Correction to: MicroRNA-214-3p inhibits proliferation and cell cycle progression
by targeting MELK in hepatocellular carcinoma and correlates cancer prognosis.
AB - [This corrects the article DOI: 10.1186/s12935-017-0471-1.].
PMID- 29652413
TI - Breaking up with ATM.
AB - ATM kinase is a master regulator of the DNA damage response (DDR). A recently
published report from the d'Adda di Fagagna laboratory1 sheds a light onto our
understanding of ATM activation. In this short-commentary we will expand on this
and other work to perceive better some of the aspects of ATM regulation.
PMID- 29652414
TI - An electron transfer driven magnetic switch: ferromagnetic exchange and spin
delocalization in iron verdazyl complexes.
AB - The verdazyl 'pincer' ligand, 1-isopropyl-3,5-dipyridyl-6-oxoverdazyl (dipyvd),
coordinates iron to form a series of pseudooctahedral coordination compounds
[Fe(dipyvd)2]n+ (n = 0-3). In the case where n = 2, the molecular geometry and
physical and spectral properties are consistent with a low spin (S = 0) iron(ii)
ion coordinated by two ferromagnetically coupled radical ligands. Upon one
electron reduction, the room temperature effective magnetic moment of the complex
jumps from MUeff = 2.64 to MUeff = 5.86 as a result of spin crossover of the iron
atom combined with very strong ferromagnetic coupling of the remaining ligand
centered unpaired electron with the metal center. The sign of the exchange is
opposite to that observed in other high spin iron/radical ligand systems and
appears to be a result of delocalization of the ligand unpaired electron across
the whole molecule. The large change in magnetic properties, combined with a
delocalized electronic structure and accessible redox potentials, suggests the
utility of this and related systems in the development of novel molecular
spintronic devices.
PMID- 29652415
TI - A study of polymer chain diffusion by surface enhanced Raman: effects of
plasmonic substrate topology.
AB - We report on a new methodology to track chain interdiffusion between polymer
slabs based on Raman enhanced by plasmonic substrates. Diffusion is studied in a
deuterated-polystyrene/polystyrene (dPS/PS) polymer pair, designed to provide a
well-characterized diffusion behavior. The bilayer, 160 nm thick in total, is
supported on a plasmonic substrate that provides local amplification of Raman
signals in sample regions of close proximity to it. Gold-based substrates with
structures of inverted pyramids, spherical nanoparticles and tipped pillars were
investigated. Interdiffusion between dPS and PS is promoted upon annealing and
followed in situ by dynamic spectral acquisition. A simple model that describes
the coupling between the sampled region arising from the plasmonic effect and the
diffusion process is employed to interpret spectral evolution data. It is shown
that a highly regular topology and surface continuity are key features of the
plasmonic substrate in order to provide reliable results. With pyramidal
substrates, the most suitable substrates for this application, data are
consistent with diffusion coefficients in the range 10-13-10-15 cm2 s-1 and
dimensions of sampled regions below 40 nm. The strategy provides a reliable
labeling-free technique to investigate polymer interdiffusion on the nanoscale.
PMID- 29652416
TI - Dynamics of liquid crystalline phase transition in sedimenting platelet-like
particles.
AB - When a suspension of platelet-like particles sediment in a closed container, the
particles undergo isotropic-nematic phase transition (I-N transition), and there
appears a clear interface between the isotropic phase and the nematic phase.
Usually the interface moves from bottom to top since the nematic phase appears
and grows at the bottom, but it has been observed that in some situations the
interface moves from top to bottom. Here, we study the dynamics of the interface
by solving the non-equilibrium diffusion equation for the concentration of
platelet-like particles, and show that the I-N interface can move upward (rising
interface) or downward (falling interface) depending on whether the initial
concentration is less than the critical concentration of I-N transition or more
than it. We give a simple analysis theory for the motion of the interface in each
case, which agrees well with the numerical calculations. We also show that the
numerical results are in reasonable agreement with existing experimental
measurements.
PMID- 29652417
TI - Nanoparticle packing within block copolymer micelles prepared by the interfacial
instability method.
AB - The interfacial instability method has emerged as a viable approach for
encapsulating high concentrations of nanoparticles (NPs) within morphologically
diverse micelles. In this method, transient interfacial instabilities at the
surface of an emulsion droplet guide self-assembly of block co-polymers and NP
encapsulants. Although used by many groups, there are no systematic
investigations exploring the relationship between NP properties and micelle
morphology. Here, the effect of quantum dot (QD) and superparamagnetic iron oxide
NP (SPION) concentration on the shape, size, and surface deformation of initially
spherical poly(styrene-b-ethylene oxide) (PS-b-PEO) micelles was examined. Multi
NP encapsulation and uniform dispersion within micelles was obtained even at low
NP concentrations. Increasing NP concentration initially resulted in larger
numbers of elongated micelles and cylinders with tightly-controlled diameters
smaller than those of spherical micelles. Beyond a critical NP concentration,
micelle formation was suppressed; the dominant morphology became densely-loaded
NP structures that were coated with polymer and exhibited increased
polydispersity. Transmission electron microscopy (TEM) and small angle X-ray
scattering (SAXS) revealed that NPs in densely-loaded structures can be well
ordered, with packing volume fractions of up to 24%. These effects were enhanced
in magnetic composites, possibly by dipole interactions. Mechanisms governing
phase transitions triggered by NP loading in the interfacial instability process
were proposed. The current study helps establish and elucidate the active role
played by NPs in directing block copolymer assembly in the interfacial
instability process, and provides important guiding principles for the use of
this approach in generating NP-loaded block copolymer composites.
PMID- 29652418
TI - Go to Facebook! Kardiologia Polska is there.
PMID- 29652419
TI - Tricuspid valve regurgitation: still struggling with the who and when.
PMID- 29652421
TI - Arterial hypertension after age 65: from epidemiology and pathophysiology to
therapy Do we know where we stand?
AB - Arterial hypertension is a prevalent disease with great harming potential. After
the age of 55 years the remaining lifetime risk of hypertension amounts to 90%.
Despite the constant advances some important issues such as the cut-off blood
pressure for the initiation of antihypertensive therapy or the therapeutic goal
are debated. In this review, we present - based on the available literature - the
current concepts concerning the pathophysiology, epi-demiology and
antihypertensive therapy in patients aged 65 years or older. The pathophysiology
of hypertension in older patients in principle rests on stiffening of large
conduit arteries, which leads to greater systolic and lower diastolic blood
pressure. This in most older patients results in isolated systolic hypertension.
Additionally most of these patients have low-renin hypertension. Data from large
scale clinical trials indicate that therapy of such individuals with thiazide
like diuretics and long-acting dihydropiridine calcium channel blockers as first
line medications reduces risk of complications. Based on results of recently
published trials, meta-analyses, and prospective observations, the optimal on
treatment blood pressure values for most older hypertensive patients should be
set within the 130-139 mmHg range. At present, lower values of standard office
blood pressure in this group of patients have not been shown to be associ-ated
with additional benefits, and may be associated with a greater risk of adverse
events. In conclusion, we recommend that for most patients aged 65 years or more,
standard office systolic blood pressure should be cautiously reduced to within
140 and 130 mmHg, preferably with a thiazide-like diuretic, long acting
dihydropiridine calcium channel blocker or their combination.
PMID- 29652420
TI - Bivalirudin bewilderment.
PMID- 29652422
TI - Number of erythrocytes as a prognostic marker in patients undergoing heart valve
surgery.
PMID- 29652423
TI - The influence of metabolic syndrome coexistence on the prognosis of patients with
heart failure without atrial fibrillation. Analysis of Polish data from the pilot
survey for the ESC Heart Failure Registry.
PMID- 29652424
TI - Pre-discharge test may worsen cognitive functioning in patients with implantable
cardioverter-defibrillator.
PMID- 29652425
TI - [Risk factors for cardiac device-related infection during two-year follow-up: a
retrospective analysis].
PMID- 29652426
TI - The effects of a high-fat diet on left ventricular fibrosis.
PMID- 29652427
TI - The network of invasive cardiology facilities in Poland in 2016 (data from the
ORPKI Polish National Registry).
PMID- 29652428
TI - [Heart failure manifestation of carcinoid tricuspid dysfunction].
PMID- 29652429
TI - Successful rotational atherectomy for abdominal aortic stenosis with severe
calcification in a patient treated with transfemoral transcatheter aortic valve
implantation.
PMID- 29652430
TI - Immediate surgical repair of left ventricular wall rupture complicating ST
segment elevation myocardial infarction.
PMID- 29652431
TI - Use of three-dimensional echocardiography for monitoring of tricuspid valve
endocarditis treatment with a novel extracellular matrix cylinder reconstruction.
PMID- 29652432
TI - A rare case of right corkscrew cervical aortic arch associated with retrotracheal
aberrant left brachiocephalic vein.
PMID- 29652433
TI - Vasculitis or coronary atherosclerosis? Optical coherence tomography images in
polyarteritis nodosa.
PMID- 29652434
TI - The Columbus of cardiovascular surgery. A tribute to Francis Fontan (1929-2018).
PMID- 29652435
TI - Mediterranean agro-industrial wastes as valuable substrates for lignocellulolytic
enzymes and protein production by solid-state fermentation.
AB - BACKGROUND: Mediterranean agro-food industries (such as wineries, breweries and
olive mills) dispose of great amounts of waste. This generates environmental
problems, and the waste has a low nutritional value for use as animal feed. In
this sense, solid-state fermentation (SSF) can increase the nutritional value of
these wastes and simultaneously produce lignocellulolytic enzymes. RESULTS: All
fermented wastes were enriched in protein by the three fungi studied. Aspergillus
ibericus was the fungus with the biggest increase of protein, which ranged from
1.4 times to 6.2 times with respect to unfermented wastes. Likewise, A. ibericus
achieved the maximum cellulase and xylanase activities. The relationships among
substrates composition, fungi used and SSF performance were evaluated by
principal components analysis. The high content of cellulose and hemicellulose
favoured lignocellulolytic enzymes production, and the phenolics content was
negatively correlated with enzymes production and with the increase of protein by
SSF. Furthermore, the scanning electron microscopy analysis showed the growth of
fungi over solid wastes, the formation of conidiophores and the changes in their
structures. CONCLUSION: The nutritional value of Mediterranean wastes was
improved and other value-added products such as lignocellulolytic enzymes were
produced in the same process, which could facilitate the efficient reuse of these
wastes. (c) 2018 Society of Chemical Industry.
PMID- 29652436
TI - Quick changes of milk fatty acids after inclusion or suppression of linseed oil
in the diet of goats.
AB - BACKGROUND: Lipid supplementation of ruminant diet is an excellent tool to
improve the nutritional quality of dairy fat. The purpose of this research was to
monitor in detail the goat milk fatty acid (FA) profile during the first 24 h
after linseed oil (LO) supplementation or suppression in the diet. Particular
emphasis was placed in the changes of FA with bioactive properties. Milk fat was
analysed by gas chromatography from milkings at 0, 1, 3, 6, 12 and 24 h after
diet shift. RESULTS: The alpha-linolenic acid levels increased 12 h after LO
incorporation in the diet and decreased 3 h after oil suppression. Most of the
milk 10:0 to 16:0 saturated FA decreased 24 h after LO supplementation, whereas
oil suppression raised their levels after 6 h. Similarly, raising of mono- and
polyunsaturated trans-FA after LO inclusion was delayed in comparison with their
decrease after oil suppression. CONCLUSION: This study supports that ruminal
bacteria and mammary glands would exhibit a fast responsiveness after the
inclusion or suppression of LO in ruminant rations. Milk with an improved FA
profile could be collected between 12 h after LO supplementation and the last
milking before LO suppression in the diet. (c) 2018 Society of Chemical Industry.
PMID- 29652437
TI - Effect of thermal processing towards lipid oxidation and non-enzymatic browning
reactions of Antarctic krill (Euphausia superba) meal.
AB - BACKGROUND: Antarctic krill is a huge source of biomass and prospective high
quality lipid source. Eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA),
nutritionally important lipid components with poor oxidative stability, were used
as markers of oxidation during thermal processing of Antarctic krill (Euphausia
superba) meal by evaluating the lipolysis, lipid oxidation, and non-enzymatic
browning reactions. RESULT: Liquid chromatography-mass spectrometry of the
phospholipids and the main oxidation products of free fatty acids and
phosphatidylcholine (PC) was effective for evaluating the oxidation of EPA and
DHA. During boiling, oxidation of EPA and DHA in the free fatty acid and PC
fractions and hydrolysis of the fatty acids at the sn-2 position of the
phospholipids were predominant. The changes in PC during drying were mainly
attributed to the oxidation of EPA and DHA. Heat treatment increased the
oxidation products and concentration of hydrophobic pyrrole owing to
pyrrolization between phosphatidylethanolamine and the lipid oxidation products.
CONCLUSION: The lipid oxidation level of Antarctic krill increased after drying,
owing to prolonged heating under the severe conditions. (c) 2018 Society of
Chemical Industry.
PMID- 29652438
TI - Individualization of levodopa treatment using a microtablet dispenser and
ambulatory accelerometry.
AB - AIM: This 4-week open-label observational study describes the effect of
introducing a microtablet dose dispenser and adjusting doses based on objective
free-living motor symptom monitoring in individuals with Parkinson's disease
(PD). METHODS: Twenty-eight outpatients with PD on stable levodopa treatment with
dose intervals of <=4 hour had their daytime doses of levodopa replaced with
levodopa/carbidopa microtablets, 5/1.25 mg (LC-5) delivered from a dose dispenser
device with programmable reminders. After 2 weeks, doses were adjusted based on
ambulatory accelerometry and clinical monitoring. RESULTS: Twenty-four
participants completed the study per protocol. The daily levodopa dose was
increased by 15% (112 mg, P < 0.001) from period 1 to 2, and the dose interval
was reduced by 12% (22 minutes, P = 0.003). The treatment adherence to LC-5 was
high in both periods. The MDS-UPDRS parts II and III, disease-specific quality of
life (PDQ-8), wearing-off symptoms (WOQ-19), and nonmotor symptoms (NMS Quest)
improved after dose titration, but the generic quality-of-life measure EQ-5D-5L
did not. Blinded expert evaluation of accelerometry results demonstrated
improvement in 60% of subjects and worsening in 25%. CONCLUSIONS: The
introduction of a levodopa microtablet dispenser and accelerometry aided dose
adjustments improve PD symptoms and quality of life in the short term.
PMID- 29652439
TI - Evaluation of gaseous allyl isothiocyanate against the growth of mycotoxigenic
fungi and mycotoxin production in corn stored for 6 months.
AB - BACKGROUND: Brazil produces approximately 63 million tons of corn kernels
annually, which is commonly contaminated with fungi and mycotoxins. The objective
of this study was to evaluate the efficacy of gaseous allyl isothiocyanate (AITC)
to inhibit the growth of Aspergillus parasiticus and Fusarium verticillioides,
and mycotoxin production (aflatoxins B1 , B2 , G1 and G2 , fumonisins B1 and B2 )
in corn during 180 days of storage. RESULTS: AITC at 50 uL L-1 resulted in a
significant reduction of the fungal population (P < 0.05) after 180 days,
decreasing 3.17 log(CFU g-1 ) and 3.9 log(CFU g-1 ) of A. parasiticus and F.
verticillioides respectively in comparison with the control. In addition, 10 and
50 uL L-1 treatments prevented the production of fumonisin B1 for the whole
period. Aflatoxins were not detected in either control or treated groups.
Residual levels of AITC in corn treated with 10 uL L-1 and 50 uL L-1 were
detected up to 14 days and 30 days respectively. CONCLUSION: Prophylactic
treatment with AITC reduced the fungal population and inhibited fumonisin B1
production in stored corn, exhibiting great potential to be applied in corn silos
to prevent fungi contamination and minimize mycotoxin levels. (c) 2018 Society of
Chemical Industry.
PMID- 29652440
TI - Long-term visual outcomes for treatment of submacular haemorrhage secondary to
polypoidal choroidal vasculopathy.
AB - IMPORTANCE: There is no consensus on the optimal management of submacular
haemorrhage (SMH) secondary to polypoidal choroidal vasculopathy (PCV).
BACKGROUND: To compare the long-term outcome of three treatment strategies for
PCV with SMH. DESIGN: Retrospective case series at two tertiary hospitals.
SAMPLES: A total of 48 consecutive eyes treated between July 2006 and March 2016.
METHODS: Patients were grouped according to the treatment received: 22 eyes with
intravitreal bevacizumab (IVB), 14 with a combination of IVB and pneumatic
displacement (PD) and 12 with IVB and vitrectomy (TPPV). MAIN OUTCOME MEASURES:
Change in best-corrected visual acuity (BCVA) at onset and up to 24 months.
Secondary measures included demographic data, imaging data and complications.
RESULTS: Comparing the mean BCVAs of the groups revealed significant differences
only at month 1 (P = 0.005). Changes in the mean BCVA over time revealed no
significance in the resulting final BCVA (P = 0.062), which was 20 out of 155
(logMAR 0.89 +/- 0.64) for IVB monotherapy, 20 out of 174 (0.94 +/- 1.04) for
combined IVB + PD, and 20 out of 195 (0.99 +/- 0.90) for combined IVB + TPPV
eyes. Sustained long-term improvement of over three Snellen lines was found in
seven (31.82%) IVB monotherapy, 10 (71.43%) combined IVB + PD, and seven (58.33%)
combined IVB + TPPV eyes (P = 0.043). SMH recurrence was observed in two eyes
after IVB monotherapy and one eye after combined IVB + PD (P = 0.786).
CONCLUSIONS AND RELEVANCE: IVB monotherapy appears to be as effective as
combination therapies for treating SMH secondary to PCV with regards to BCVA at
24 months, and may be a cost-effective strategy for long-term management.
PMID- 29652441
TI - Reduction of 5-hydroxymethylfurfural formation by flavan-3-ols in Maillard
reaction models and fried potato chips.
AB - BACKGROUND: 5-Hydroxymethylfurfural (HMF) is regarded as a thermal process
contaminant in foods. Six flavan-3-ol fractions were isolated or semisynthesized
from sorghum, cranberry and grape seed. Their unit compositions, interflavan
linkages and degree of polymerization were characterized. The aim of this study
was to investigate the effect of flavan-3-ols on the formation of HMF in chemical
reaction models and fried potato chips. RESULTS: Results showed that all flavan-3
ols significantly mitigated the HMF formation at concentrations of 50, 100 and
200 ug mL-1 in the chemical model system, and the inhibition was positively
related to dose. Using the food model, HMF content was reduced by about 50% when
potato chips were soaked in an optimal concentration of 0.1 mg mL-1 flavan-3-ol
solutions before frying. Based on the same mass concentration, B-type flavan-3
ols mitigated more HMF than A-type, and oligomeric proanthocyanidins had stronger
inhibitory activity than polymers. At suitable addition levels (0.01-0.1 mg mL-1
), the browning of auto-oxidized flavan-3-ols under high temperature compensated
the anti-browning effect along with the supression of the Maillard reaction;
therefore, the color of fried potato chips was not affected. CONCLUSION: This
study demonstrates that flavan-3-ols could be effective additives for reducing
HMF levels in fried potato chips without changing sensory properties. (c) 2018
Society of Chemical Industry.
PMID- 29652442
TI - Antioxidant hydrolyzed peptides from Manchurian walnut (Juglans mandshurica
Maxim.) attenuate scopolamine-induced memory impairment in mice.
AB - BACKGROUND: Walnut protein, which is obtained as a by-product of oil expression,
has not been used efficiently. Although walnuts are beneficial for cognitive
functioning, the potential of their protein composition in strengthening learning
and memory functions remains unknown. In this study, the inhibition of memory
impairment by the Manchurian walnut hydrolyzed peptide (MWHP) was evaluated.
RESULTS: Small-molecular-weight MWHP (<3 kDa) achieved the optimal antioxidative
activity. Therefore, MWHP (<3 kDa) was subjected to the following mice trials to
evaluate its attenuation effect on memory impairment. In the Morris water maze
test, MWHP shortened the total path for searching the platform, reduced the
escape latency, and increased the dwelling distance and time in the coverage
zone. MWHP also prolonged the latency and diminished errors in the passive
avoidance response tests. These behavioral tests demonstrated that MWHP could
inhibit scopolamine-induced memory impairment. MWHP improved memory by reducing
oxidative stress, inhibiting apoptosis, regulating neurotransmitter functions,
maintaining hippocampal CA3 pyramidal neurons, and increasing calmodulin
dependent protein kinase II levels in brain tissues. CONCLUSION: Experimental
results proved that MWHP exhibits potential in improving memory and should be
used to develop novel functional food. (c) 2018 Society of Chemical Industry.
PMID- 29652443
TI - Identification of key aromatic compounds in Congou black tea by partial least
square regression with variable importance of projection scores and gas
chromatography-mass spectrometry/gas chromatography-olfactometry.
AB - BACKGROUND: Gas chromatography-olfactometry (GC-O) is the most frequently used
method to estimate the sensory contribution of single odorant, but disregards the
interactions between volatiles. In order to select the key volatiles responsible
for the aroma attributes of Congou black tea (Camellia sinensis), instrumental,
sensory and multivariate statistical approaches were applied. RESULTS: Using
sensory analysis, nine panellists developed eight descriptors: floral, sweet,
fruity, green, roasted, oil, spicy, and off-odour. Linalool, (E)-furan linalool
oxide, (Z)-pyran linalool oxide, methyl salicylate, beta-myrcene, and phenylethyl
alcohol, which were identified from the most representative samples by the GC-O
procedure, were the essential aroma-active compounds in the formation of basic
Congou black tea aroma. In addition, 136 volatiles were identified by gas
chromatography-mass spectrometry (GC-MS), among which 55 compounds were
determined as the key factors for six sensory attributes by partial least-square
regression (PLSR) with variable importance of projection scores. CONCLUSION: Our
results demonstrated that headspace solid-phase microextraction/GC-MS/GC-O was a
fast approach for isolation and quantification aroma-active compounds. The PLSR
method was also considered to be a useful tool in selecting important variables
for sensory attributes. These two strategies, which allowed us to comprehensively
evaluate the sensorial contribution of a single volatile from different
perspectives, can be applied to related products for comprehensive quality
control. (c) 2018 Society of Chemical Industry.
PMID- 29652444
TI - Accelerated solvent extraction coupled to high-performance liquid chromatography
tandem mass spectrometry for simultaneous determination of 11 organophosphorus
flame retardants in aquatic products.
AB - BACKGROUND: A new method based on accelerated solvent extraction was developed
for the extraction and determination of 11 organophosphorus flame retardants by
using a high-performance liquid chromatography-tandem mass spectrometry
technique. RESULTS: After optimization of the extraction temperature (80 degrees
C), the extraction solvent (n-hexane), the flush volume (40%) and the static
extraction time (4 min), all 11 organophosphorus flame retardants illustrated
good linearities (R > 0.999). The limits of detection of the method ranged from
0.016 to 26.58 ug kg-1 in the different matrices. The recoveries were 90.4-111.2%
with relative standard deviations 0.21-5.3% for the various aquatic products.
CONCLUSION: The proposed method was applied successfully to detect 11
organophosphorus flame retardants in aquatic products, including grass carp,
ribbon fish, mud fish, common eel, shrimp and frog. (c) 2018 Society of Chemical
Industry.
PMID- 29652445
TI - Useful histological findings in incisional biopsies of oral squamous cell
carcinoma.
AB - Introduction: Oral squamous cell carcinoma (OSCC) is one of the most common head
and neck cancers. Objective: The aim of this study was to investigate the
histopathological features of OSCC specimens obtainedfrom incisional biopsies and
to alert clinicians to the importance of more representative biopsies. Methods:
Forty-eight OSCC samples were obtained from incisional biopsies and classified by
Bryne'sscore. The following morphological features were analyzed: invasive front,
invasiveness, apoptotic cells,atypical mitosis, giant cells, acantholysis,
ulceration, necrosis, calcification, surface epithelium, granulationtissue,
desmoplasia, tissue invasions, inflammatory infiltrate and tumor thickness.
Results: Ten (21%) cases were classified as high grade malignancies and 38 (79%)
as low grade. Apoptoticcells (n = 26), atypical mitosis (1-2/20*; n = 38), giant
cells (n = 8), acantholysis (n = 5), necrosis (n = 5),calcification (n = 1),
granulation tissue (n = 32), desmoplasia (n = 4), perineural invasion (n = 2),
muscularinvasion (n = 8), invasion of salivary gland tissue (n = 3), vascular
invasion (n = 10), and chronic inflammation(n = 33) were observed. Vascular
invasion (p = 0.04, Pearson's chi2 test) and necrosis (p = 0.04, Pearson'schi2
test) were significantly associated with cases of high-grade malignant tumors.
Atypical mitosis wasassociated with a greatest tumor thickness (p = 0.04,
Fischer's exact test). Conclusion: This study suggests that incisional biopsies
may be useful and significant as they can showhistopathological variables that
are important to classify oral squamous cell carcinomas into low gradeand high
grade according to Bryne's score, which was used in this study. Thus, more
representativebiopsies might be useful to achieve this and allow a more accurate
planning.
PMID- 29652446
TI - Modified Risdon approach using periangular incision in surgical treatment of
subcondylar mandibular fractures.
AB - Introduction: No consensus has been reached yet on the surgical approach for
treatment of condylarfractures. Objective: The aim of this study was to present
modified Risdon approach (without facial nerve identification)in the treatment of
subcondylar mandibular fractures. Method: This is a retrospective study of a
period 2005-2012. During this seven-year period, 25 condylarmandibular fractures
in 22 men and three women (19-68 years old) were treated by modified
Risdonapproach without identifying the facial nerve. The main inclusion criterion
was subcondylar fractureaccording to Lindahl classification. Results: No
additional morbidity related to postoperative complications, such as infection or
salivary fistula,was observed in this series. Only two (8%) patients developed
temporary weakness of the marginalbranch of the facial nerve, which resolved six
weeks postoperatively. Each patient achieved good mouthopening postoperatively.
Scar was camouflaged in the first cervical wrinkle. Two patients
developedtemporomandibular joint dysfunction. No patient had postoperative
occlusal disturbance. In all of thepatients good aesthetic result was achieved in
a two-year follow-up. Conclusion: In comparison with techniques described in the
literature, the main advantages of the modifiedRisdon approach are the following:
no need for facial vessels identification; direct, fast, and safeapproach to
mandibular angle and subcondylar region; relatively simple surgical technique and
goodcosmetic result - due to aesthetically placed incision. This approach could
be recommended for subcondylarfracture as a simplified and safe procedure.
PMID- 29652447
TI - Thyroglobulin value in patients surgically treated for differentiated thyroid
carcinoma.
AB - Introduction: Thyroglobulin is composed glycoprotein, and it is synthesized by
follicular cells of thethyroid gland. Treatment of differentiated thyroid
carcinomas involves total thyroidectomy followed byradioiodine ablation of a
potential remaining tissue. The measurement of thyroglobulin in the
postoperativefollow-up can serve as an indicator of tumor growth or recurrence of
the disease. Objective: The aim of this paper is to examine the value of
thyroglobulin in patients surgically treated fordifferentiated thyroid cancer who
had metastases in the lymph nodes of the neck, as well as in operatedon patients
without any evident metastasis. Methods: Thyroglobulin values in the serum of 58
patients were analyzed. Two groups were formed. Thethyroglobulin value was
established with the use of IRMA-hTg (125I) system. Normal levels of
thyroglobulinwere from 2 ng/ml to 65 ng/ml. For all of 58 patients, thyroglobulin
was determined three times. Thefirst, so-called pre-ablation thyroglobulin was
determined immediately before the application of 131Iablation dose. The second
and the third measurements were conducted six to eight months and oneyear,
respectively, after the application of the ablation dose respectively. Results:
The first group consisted of 14 patients with histologically proven metastases in
the lymphnodes of the neck, while the second group consisted of 44 patients
without any evident metastases.The average thyroglobulin value of pre-ablation in
the patients from the first group was 43.45 ng/ml,while in the second was 7.57
ng/ml. Levene's test (with p = 0.00, i.e p < 0.05), demonstrated a
statisticallysignificant difference. Furthermore, in both groups, there was
statistically significant difference betweenpre-ablation and post-ablation
thyroglobulin values (Student's t-test with p < 0.05). Conclusion: It can be
concluded that the average value of thyroglobulin was significantly higher
inpatients with lymph node metastases in the neck. We are of the opinion that the
determination of thyroglobulin,despite individual variations, may serve as a good
indicator to assist in monitoring of patientssurgically treated for
differentiated thyroid cancer.
PMID- 29652449
TI - Rising incidence of childhood type 1 diabetes in Montenegro.
AB - Introduction: The incidence rate of childhood type1 diabetes continues to rise
across Europe by an averageof approximately 3-4% per annum. Objective: The aim of
this study was to examine incidence and trends of type 1 diabetes in children
aged0-14 years in Montenegro from 1997 to 2011. Methods: This was a prospective
study. Primary case ascertainment was from a diabetes register, anda secondary
independent data source was from hospital notifications. Case ascertainment was
100%complete using the capture-recapture method. Standardized incidence rates
were calculated and trendsestimated using the Poisson regression. Results: A
total of 298 children (157 boys and 141 girls) were diagnosed with type 1
diabetes before 15years of age during 1997-2011. The mean age-standardized
incidence was 15.0/100,000 persons (95%CI: 12.3-17.6) during this period,
increasing from 11.7/100,000 in 1997 to 18.8/100,000 in 2011. The agespecific
rates per 100,000 persons per year were 10.7, 17.2, and 18.2 at ages 0-4 years, 5
9 years, and10-14 years, respectively. A significant linear trend in incidence (p
= 0.002) has been observed over time,with an average annual increase of 4.2%. The
increase in incidence was present in both genders, withthe largest relative
increase in the 0-4 years age group for boys (11.0%; p = 0.006). Conclusion: The
incidence of type 1 diabetes in Montenegro children continues to increase. We
needfurther monitoring and additional research in order to explain the cause.
PMID- 29652448
TI - Correlation between the season, temperature and atmospheric pressure with
incidence and pathogenesis of acute appendicitis.
AB - Introduction: There is very little literature data on the correlation between the
seasons, temperature andatmospheric pressure, and pathogenesis of acute
appendicitis (AA). Objective: The aim of this research is to investigate the
association between the seasons, changes inatmospheric temperature and pressure,
and patients' age and severity of the clinical form of AA in thecity of Nis
Methods: This study included 395 patients diagnosed with AA, who, during the two
year period, from July1st 2011 to June 30th 2013, were hospitalized and operated
on at the Department of General Surgery,Clinical Center in Nis, Serbia. Results:
The increased average daily values of barometric pressure by 1 millibar on the
day when theevent took place was associated (p < 0.05) with the decrease of total
risk of the occurrence of appendicitisby 2.2% (0.2-4.1%). In all observed
patients, each increase of the mean daily temperature by 1 degrees C threedays
before the event took place (Lag 3) was associated (p < 0.05) with the increase
of total risk of theoccurrence of appendicitis by 1.3% (0.1-2.5%). Conclusion:
According to the results of this research, we can conclude that patients' sex,
age and severityof the clinical form of AA are not in connection with the
seasons, while there are certain connectionsbetween appendicitis occurrence and
atmospheric temperature and pressure.
PMID- 29652450
TI - Lymphoblastic lymphomas in children - A single-center experience from Serbia.
AB - Introduction: Intensive treatment protocols used for non-Hodgkin lymphoma in
children lead to eventfreesurvival rates ranging from 80% to 90%. However, the
results are less successful in developingcountries. Lymphoblastic lymphoma (LBL)
is the second most frequent type of lymphoma in children,contributing with about
one third to all non-Hodgkin lymphoma in childhood. Objective: The aim of the
study was to evaluate the results of LBL treatment in University
Children'sHospital (UCH), Belgrade. Methods: A retrospective analysis of patient
records at UCH from 1997 to 2015 was carried out in patientsaged 0-18 years, in
whom the diagnosis of LBL had been established. Twenty-two children wereincluded
in the analysis. Results: Mean age at diagnosis was 10 years, with preponderance
of male patients. All patients weretreated according to Berlin-Frankfurt-Munster
based chemotherapy protocols. With median follow-up of91.5 months, five-year
probability of event-free survival was 79.5% for all patients, while overall
survivalwas 81.8%. Conclusion: Our results, although slightly inferior to those
of leading international groups, reflect a goodtreatment outcome in our patients.
PMID- 29652451
TI - Search for the presence of occult hepatitis C in patients with treatment-induced
viral clearance using an ultrasensitive assay.
AB - Introduction: Occult hepatitis C is defined by the presence of virus in the
peripheral blood mononuclearcells (PBMCs) and/or liver cells, in the absence of
serum viremia. Objective: To detect the persistence of occult hepatitis C in
hemodialysis (HD) patients and patientswithout renal disease (non-renal) with
treatment-induced clearance of hepatitis C virus (HCV) infection,using assays
with a very low detection limit of viremia. Methods: A group of 13 HD patients
and a group of 43 non-renal patients, with treatment-induced HCVinfection
clearance were investigated in the study. The HD patients were treated with
pegylated interferonalpha (PEG-IFN-alpha) only, while the non-renal patients were
treated with a combination therapy of PEGIFN-alpha and ribavirin. Detection of a
possible persistence of HCV RNA in the PBMCs and plasma sampleswas assessed by an
ultrasensitive reverse transcription polymerase chain reaction (RT-PCR) assay (2
IU/ml). Results: HCV RNA was not detected in the PBMCs and plasma samples of HD
patients and of non-renalpatients, when assessed by the ultrasensitive RT-PCR
assay. Conclusion: When a sensitive RT-PCR assay was applied, to determine if
treatment induced clearance ofHCV infection had been successful, occult hepatitis
C could not be detected by an ultrasensitive assay,neither in HD nor in non-renal
patients.
PMID- 29652452
TI - Factors associated with inconsistent condom use with clients among female sex
workers in Podgorica, Montenegro.
AB - Introduction: Female sex workers (FSWs) are a group at increased risk for human
immunodeficiency virus(HIV) infection, and inconsistent condom use with clients
is a known risk factor for infection in this group. Objective: The aim of the
study was to determine factors associated with inconsistent condom use
withclients among female sex workers in Podgorica, Montenegro. Methods: We
conducted an HIV bio-behavioral cross-sectional study in a sample of female sex
workersrecruited by snowball sampling. Results: A total of 142 FSWs were
recruited. Eighty-one (57.0%) of them used condoms consistentlywith clients. HIV
prevalence was 0.0%. In the multivariate analysis inconsistent condom use with
clientsin the previous month was associated with clients' negative personal
attitude [age-adjusted odds ratio(AOR) = 22.7, 95% confidence interval (CI) = 2.3
228.0] or client's indifference (AOR = 13.0, 95% CI =1.4-118.9) towards using
condom during sex with sexual workers, decision making by clients or bymutual
agreement with client about using a condom (AOR = 10.2, 95% CI = 3.7-28.0), and
early age offirst sex (AOR = 5.4, 95% CI = 1.6-18.5). Conclusion: Our results
suggest not only the need for further promotion of condom use, informationand
education for FSW but also the need to strengthen negotiation skills of FSWs with
clients on regularuse of condoms, as well as the need to extend prevention
programs to clients of FSWs.
PMID- 29652453
TI - A case of severe type of cerebro-costo-mandibular syndrome.
AB - Introduction: Cerebro-costo-mandibular syndrome (CCMS) is a rare disorder, with
only 75 cases describedin the literature to date. CCMS is characterized by
association of micrognathia and specific multiple ribdefects. It is accompanied
by mental deficiency in considerable number of cases. Sometimes, there
areassociated anomalies and problems, such as spine deformities, brain, heart,
kidney or ear anomalies,feeding difficulties, delayed psychomotor development,
and growth impairment. Depending on severityof deformities and consecutive
respiratory insufficiency, in about 35-50% of CCMS cases, death occursduring the
first year of life. These cases are referred to as severe types of CCMS. Case
Outline: In this paper we present a female infant with severe type of CCMS.
Diagnosis was establishedin the first day of life, based on micrognathia and
findings of posterior rib-gap defects on thechest X-ray, accompanied by dyspnea.
Progressive severe respiratory insufficiency caused by chest andair-way
deformities and exacerbated by episodes of pneumonia, led to respiratory failure
and death atthe age of 7.5 months. Conclusion: CCMS should be considered in every
infant with micrognathia and rib-gap defects on chest X-ray.
PMID- 29652454
TI - Primary reconstruction of neck defect after excision of metastatic melanoma of
unknown primary site with regional pectoral myocutaneous flap.
AB - Introduction: Metastatic melanoma of unknown primary (MMUP) is already a well
described oncologicphenomenon in the literature, whereas tissue defects'
reconstructions on the neck region always presenta challenge for the
reconstructive surgeon. Two cases of giant metastatic, skin infiltrative neck
tumormasses are presented. In both cases MMUP was diagnosed. Both intraoperative
tissue defects werereconstructed using pectoralis major (PM) regional flap.
Outline of cases: The first patient was admitted with giant tumor mass on the
right side of the neck.The fast growing mass appeared two months prior to the
admission. Thorough examination showed nosigns of primary tumor. Removal surgery
was performed and the defect was reconstructed using thePM musculocutaneous flap.
The second patient was admitted with large tumor mass on the left side ofthe
neck. Thorough examination displayed no signs of any primary tumor. After the
excision, the tumormass and subsequent neck dissection, reconstruction followed,
using the pedicled PM muscle flap andpartial thickness skin transplants. There
were no major complications in either case. The histopathologicalexaminations
presented metastatic melanoma diagnoses. Conclusion: Clinical outcome of MMUP
described in literature is rather variable. Different studies haveshown that
prognosis in patients with MMUP is better than that in patients with diagnosed
primarymelanoma with metastatic disease. Therefore, the best initial course of
action in those cases would besurgery, according to oncological principles, if
possible. Neck defects' reconstructions should fulfill bothfunctional and
esthetic demands. Due to the reliability and low cost of the procedure, PM
regional flappresents a very good and trustworthy reconstruction modality.
PMID- 29652455
TI - Subendocardial hemorrhages in a case of extrapercardial cardiac tamponade - A
possible mechanism of appearance.
AB - Introduction: Subendocardial hemorrhages are grossly visible bleedings in the
inner surface of the leftventricle, the interventricular septum, and the opposing
papillary muscles and adjacentcolumnae carneae of the free wall of the ventricle.
These are commonly seen in sudden profound hypotensioneither from severe blood
loss from "shock" in the widest sense and, even more often, in combinationwith
brain injuries. Case Outline: We present a case of a 38-year-old man, injured as
a car driver in a frontal collision, whodied c. 45 minutes after the accident.
The autopsy revealed severe chest trauma, including multipleright-sided direct
rib fractures with the torn parietal pleura and right-sided pneumothorax, several
rightlung ruptures, and a rupture of one of the lobar bronchi with
pneumomediastinum, and prominent subcutaneous emphysema of the trunk, shoulders,
neck and face. The patchy subendocardial hemorrhageof the left ventricle was
observed. The cause of death is attributed to severe blunt force chest trauma.
Conclusion: We postulate pneumomediastinum leading to extrapericardial tamponade
as the underlyingmechanism of this subendocardial hemorrhage.
PMID- 29652456
TI - Glomus tumor - A case report.
AB - Introduction: Glomus tumor is a neuromyoarterial tumor. It is a rare tumor which
accounts for about 2%of all hand tumors. The diagnosis is based on the triad of
symptoms, clinical examination which includesthree tests, magnetic resonance
imaging, and ultrasound imaging. The most common treatment is surgicalexcision,
using transungual or lateral subperiosteal approach. Sclerotherapy and
radiotherapy maybe the treatments of choice, but they are less effective. The
recurrence rate is high - from 5% to 50%. Case Outline: We diagnosed a glomus
tumor of 1 cm in diameter in the distal phalanx of the fourth fingerof the right
hand in a 30-year-old woman. She had been visiting different physicians for more
thantwo years and had been variously diagnosed. We performed a biopsy of the
tumor, which was bleedingprofusely during the procedure. Upon biopsy results, the
tumor was excised with transungual approach.Two and a half months after the
procedure the patient was feeling well. Conclusion: There should be higher
awareness of this tumor in order to diagnose it more easily and treatit
accordingly, and thus alleviate the severe pain which the tumor causes. When it
is considered as thepossible cause of the lesion, the diagnosing is easier and
treatment is immediate.
PMID- 29652457
TI - Allogeneic fetal stem cell transplantation to child with psychomotor retardation
A case report.
AB - Introduction: The consequences of autologous and allogeneic stem cell
transplantation (stem cells ofhematopoiesis), applied in adults and children
suffering from leukemia or some other malignant disease,are well-known and
sufficiently recognizable in pediatric clinical practice regardless of the
indicationfor the treatment. However, the efficacy of fetal stem cell
transplantation is unrecognizable when theindications are psychomotor retardation
and epilepsy. Case Outline: With the exception of neurological psychiatric
problems, a boy aged 9.5 years was in goodgeneral health before transplantation
with allogeneic fetal stem cells. The main aim of allogeneic fetalstem cell
transplantation was treatment of psychomotor retardation and epilepsy. After 13
months oftreatment, he was admitted to hospital in a very serious, life
threatening condition due to sepsis andsevere pleuropneumonia. The humoral
immunity in the boy was adequate, unlike cellular immunity.The immune imbalance
in terms of predominance of T-suppressor lymphocytes contributes to delayedand
late development of sepsis and severe pleuropneumonia. The boy still shows the
same severity ofpsychomotor retardation, dyslalia, epilepsy, strabismus and
amblyopia. Conclusion: Implementation of fetal stem cell therapy for unconfirmed
indications abuses the therapeuticapproach, harms patients, misleads parents, and
brings financial harm to the healthcare system of anycountry, including Serbia.
PMID- 29652458
TI - Capture the fracture - Use of bone turnover markers in clinical practice.
AB - Bone is a living tissue, metabolically very active, with the level of turnover of
about 10% per year. Boneremodeling is a well-balanced process of bone resorption,
induced by osteoclasts and bone formationmaintainedosteoblasts. Loss of bone
remodeling balance, with increased bone resorption, leads toosteoporosis. Bone
turnover markers are classified as markers of bone formation and of bone
resorption.During the growth and development of skeleton, bone turnover markers
show higher levels of activitythan in the adult period. The increase in
biochemical markers peaks again in the postmenopausalperiod, indicating
accelerated bone remodeling. Bone mineral density is an important predictor of
anosteoporotic fracture. Timely assessment of risk factors of osteoporosis and
bone markers can detectsubjects with accelerated bone remodeling and
osteoporosis. This may introduce adequate therapyand prevent fracture.
PMID- 29652459
TI - Can we always take analysis of complete blood count by automated blood cell
analyzer as absolutely correct?
PMID- 29652460
TI - Anterior and middle superior alveolar block is efficient for maxillary premolar
teeth extractions regardless of the injection system or anesthetic with
adrenaline used.
AB - Introduction: The anterior and middle superior alveolar nerve block was claimed
to be unpredictablyefficient for clinical application. Objective: The aim of this
report was to establish the efficacy of the anterior and middle superior
alveolarnerve block, applied with a computer-controlled injection system or a
conventional syringe, for upperpremolars extraction. Methods: Sixty healthy
adults were divided into two groups regarding the device used as follows: the
firstgroup was injected by a computer-controlled injection system, and the second
group by a conventionalsyringe. Pain ratings were obtained via a visual analog
scale (VAS) and a verbal rating scale (VRS). Results: Anterior and middle
superior alveolar injection enabled a painless extraction in all
patients,regardless of the local anesthetic or injection system used. It was
slightly less painful when administeredby a computer-controlled injection system,
but insignificantly when evaluated by VRS. Conclusion: The anterior and middle
superior alveolar nerve block may be recommended if maxillarypermanent premolars
have to be extracted.
PMID- 29652461
TI - Prosthetic treatment after teeth extractions in patients with type 2 diabetes
mellitus.
AB - Introduction: Good and well balanced diet provided by adequate mastication is
part of therapy in patientswith type 2 diabetes mellitus (DM). The critical
period presents the time immediately after teeth extractions;hence, immediate
denture is a rational therapeutical choice for diabetic patients. The presenceof
immediate denture and its compression might compromise wound healing process,
affect chewingability, food intake and consequently blood glucose level in type 2
DM patients. Objective: The objective of this study was to compare socket opening
diameters (SOD), chewing ability,changes in blood glucose level and food intake
in type 2 DM patients with and without maxillary immediatecomplete denture (MICD)
during a three-week wound healing period. Methods: The study comprised 78 type 2
DM partially removable denture wearers (42 candidates for teethextractions and 36
candidates for teeth extractions and insertion of MICDs). During the three-week
periodparticipants were followed for SOD, chewing ability and changes in blood
glucose level and food intake. Results: Patients with MICD showed significantly
lower reduction of SOD (seventh, 14th, 21st day) andhigher chewing ability
(seventh, 14th, 21st day) in comparison to patients without an MICD.
Significantlylower number of patients with an MICD had changes in blood glucose
level and food intake. Conclusion: Maxillary immediate complete denture presents
a good therapeutic choice for type 2 DMpatients, as it provides possibility of
adequate mastication after teeth extractions and maintenance ofnutritional status
and blood glucose level.
PMID- 29652462
TI - Efficiency of photodynamic therapy in the treatment of peri-implantitis - A three
month randomized controlled clinical trial.
AB - Introduction: Peri-implantitis is an inflammatory lesion of peri-implant tissues.
Eradication of the causativebacteria and decontamination of the implant surface
is essential in achieving predictable and stabileclinical results. Photodynamic
therapy (PDT) is non-invasive adjuvant therapeutic method to surgery inthe
treatment of bacterial infection. Objective: The aim of this study was to
evaluate early clinical and microbiological outcomes of periimplantitisafter
surgical therapy with adjuvant PDT. Methods: Fifty-two diagnosed peri-implantitis
sites were divided into two groups. PDT was used fordecontamination of implant
surface in the study group; in the control group, chlorhexidine gel (CHX)followed
by saline irrigation was applied. Several clinical parameters were recorded
before the treatment(baseline values) and three months after surgical treatment.
Samples for microbiological identificationwere collected before therapy, during
the surgical therapy (before and after decontamination of implantsurface), and
three months thereafter, and analyzed with identification systems using
biochemicalanalysis. Results: The use of PDT resulted in significant decrease of
bleeding on probing in comparison to CHX(p < 0.001). It showed significant
decontamination of implant surfaces with complete elimination ofanaerobic
bacteria immediately after surgical procedure and three months later. Conclusion:
The results indicate that PDT can be used as an adjuvant therapy to surgery for
decontaminationof implant surface and surrounding peri-implant tissues within the
treatment of peri-implantitis.
PMID- 29652463
TI - Location of out-of-hospital cardiac arrest as a determinant in the survival of
patients.
AB - Introduction: Cardiac arrest (CA) is defined as a sudden cessation of normal
circulation of blood due tofailure of the heart to contract effectively during
systole. Objective: The aim of this study was to determine the difference in
outcome among patients, dependingon the location of out-of-hospital CA; to
determine the influence of observed determinants on thesurvival rate. Methods:
Observational and retrospective study was conducted in the Institute for
Emergency MedicalService Novi Sad (IEMS NS). It included patients who underwent
cardiopulmonary resuscitation (CPR) bymedical ambulance squads. Patients were
divided into three groups, based on the location of CA: privateplace, public
place, and medical institution. Results: CA occurred in private places in 151
cases (76.26%). The shortest duration of a phone call withthe dispatcher and
Reaction Time I was in the group of patients with CA in a public place (59.1 +/-
36.4seconds and 137.1 +/- 89.8 seconds, respectively). CA was recognized in more
than 80% of cases, butCPR was initiated in only 9.09% of patients in private
places and in 19.35% of patients in public places.Though they initially presented
with shockable rhythm in 57.14% of cases in public places, this grouphas the
worst immediate outcome (11.43%), in contrast to the patients with CA in medical
institutions(58.33%). Factors determining the survival of patients with CA were
CPR attempted immediately aftercollapse, initial rhythm and eyewitnesses of CA.
Conclusion: In order to improve survival of patients with out-of-hospital CA,
both education of laymenand introduction of standard questioning protocol in the
IEMS Call Centre are necessary.
PMID- 29652464
TI - Risk factors for healthcare-acquired urinary tract infections caused by multi
drug resistant microorganisms.
AB - Introduction: Healthcare-acquired urinary tract infections (HAUTI) make up to 40%
of all healthcareacquiredinfections and contribute significantly to hospital
morbidity, mortality, and overall cost oftreatment. Objective: The aim of our
study was to investigate possible risk factors for development of HAUTI causedby
multi-drug resistant pathogens. Methods: The prospective case-control study in a
large tertiary-care hospital was conducted during afive-year period. The cases
were patients with HAUTI caused by multi-drug resistant (MDR) pathogens,and the
controls were patients with HAUTI caused by non-MDR pathogens. Results: There
were 562 (62.6%) patients with MDR isolates and 336 (37.4%) patients with non-MDR
isolatesin the study. There were four significant predictors of HAUTI caused by
MDR pathogens: hospitalizationbefore insertion of urinary catheter for more than
eight days (ORadjusted = 2.763; 95% CI = 1.352-5.647;p = 0.005), hospitalization
for more than 15 days (ORadjusted = 2.144; 95% CI = 1.547-2.970; p <
0.001),previous stay in another department (intensive care units, other wards or
hospitals) (ORadjusted = 2.147; 95%CI = 1.585-2.908; p < 0.001), and cancer of
various localizations (ORadjusted = 2.313; 95% CI = 1.255-4.262;p = 0.007).
Conclusion: Early removal of urinary catheter and reduction of time spent in a
hospital or in an ICU couldcontribute to a decrease in the rate of HAUTI caused
by MDR pathogens.
PMID- 29652465
TI - The effect of combination therapy of insulin glargine, metformin, and sitagliptin
on insulin secretion, insulin resistance, and metabolic parameters in obese
subjects with type 2 diabetes.
AB - Introduction: A combination of drugs is required for treatment of obese subjects
with diabetes, due tomultiple pathogenic mechanisms implicated in the development
of both diabetes and obesity. Objective: Assessment of the effect of sitagliptin
added to insulin glargine and metformin, in obesesubjects with type 2 diabetes.
Methods: A total of 23 obese subjects on metformin and insulin glargine
participated in the study. Titrationof insulin glargine during a one-month period
preceded the addition of 100 mg of sitagliptin daily.Body mass index, waist
circumference, fasting, and prandial glucose were measured monthly, lipids
andhemoglobin A1c (HbA1c) every three months, insulin, c-peptide and glucagon at
the start and after sixmonths of treatment. Homeostatic models for insulin
secretion (HOMA B) and insulin resistance (HOMAIR) were calculated. Results:
Participants were 58.65 +/- 7.62 years of age with a body mass index of 35.06 +/-
5.15 kg/m2, waistcircumference of 115.04 +/- 15.5 cm, and the duration of
diabetes of 4.11 +/- 2.57 years. With the titrationof insulin glargine, target
fasting glucose levels were not achieved. Waist circumference and bodymass index
decreased during three months of sitagliptin treatment, thereafter remaining
stable. HbA1cdecreased significantly after three and six months of therapy. C
peptide increased significantly, whileglucagon level fell. HOMA indexes were
unchanged. Conclusion: Sitagliptin can improve diabetes control and induce modest
weight loss in obese subjectspoorly controlled on insulin glargine and metformin.
Titration of insulin glargine to optimal fasting glucosevalues is a prerequisite
of success of this combination therapy.
PMID- 29652466
TI - Treatment of stump complications after above-knee amputation using negative
pressure wound therapy.
AB - Introduction: The stump wound complications after above-knee amputation lead to
other problems,such as prolonged rehabilitation, delayed prosthetic restoration,
the increase in total treatment costand high mortality rates. Objective: To
evaluate the safety and outcomes of negative-pressure wound therapy (NPWT)
usingVacuum-Assisted Closure (VAC(r)) therapy in patients with stump complication
after above-knee amputation(AKA). Methods: From January 2011 to July 2014, AKA
was performed in 137 patients at the University CardiovascularClinic. Nineteen
(12.4%) of these patients (mean age 69.3 +/- 9.2 years) were treated with
NPWT.The following variables were recorded: wound healing and hospitalization
time, rate of NPWT treatmentfailure, and mortality. Results: AKA was performed in
17 (89.5%) patients after the vascular or endovascular procedures hadbeen
exhausted, while urgent AKA was performed in two (10.5%) patients due to
uncontrolled infection.The time before NPWT application was 3.1 +/- 1.9 days and
the duration of the NPWT use ranged from 15to 54 days (mean 27.95 +/- 12.1 days).
During NPWT treatment, operative debridement was performed in12 patients. All the
patients were kept on culture-directed intravenous antibiotics. The average
hospitallength of stay was 34.7 days (range 21-77 days). There were four (20.9%)
failures during the treatmentwhich required secondary amputation. During the
treatment, one (5.3%) patient died due to multi-organfailure after 27 days.
Conclusions: The use of NPWT therapy in the treatment of AKA stump complication
is a safe and effectiveprocedure associated with low risk and positive outcome in
terms of wound healing time and furthercomplications.
PMID- 29652467
TI - Three-Dimensional Porous Nickel Frameworks Anchored with Cross-Linked Ni(OH)2
Nanosheets as a Highly Sensitive Nonenzymatic Glucose Sensor.
AB - A facile and scalable in situ microelectrolysis nanofabrication technique is
developed for preparing cross-linked Ni(OH)2 nanosheets on a novel three
dimensional porous nickel template (Ni(OH)2@3DPN). For the constructed template,
the porogen of NaCl particles not only induces a self-limiting surficial hot
corrosion to claim the "start engine stop" mechanism but also serves as the
primary battery electrolyte to greatly accelerate the growth of Ni(OH)2. As far
as we know, the microelectrolysis nanofabrication is superior to the other
reported Ni(OH)2 synthesis methods due to the mild condition (60 degrees C, 6 h,
NaCl solution, ambient environment) and without any post-treatment. The
integrated Ni(OH)2@3DPN electrode with a highly suitable microstructure and a
porous architecture implies a potential application in electrochemistry. As a
proof-of-concept demonstration, the electrode was employed for nonenzymatic
glucose sensing, which exhibits an outstanding sensitivity of 2761.6 MUA mM-1 cm
2 ranging from 0.46 to 2100 MUM, a fast response, and a low detection limit. The
microelectrolysis nanofabrication is a one-step, binder-free, entirely green, and
therefore it has a distinct advantage to improve clean production and reduce
energy consumption.
PMID- 29652468
TI - Changes in Cementation of Reef Building Oysters Transitioning from Larvae to
Adults.
AB - Oysters construct extensive reef communities, providing food, protection from
storms, and healthy coastlines. We still do not have a clear picture of how these
animals attach to surfaces. Efforts described herein provide the first
examination of adhesion at the transition from free swimming larvae to initial
substrate attachment, through metamorphosis, and on to adulthood. Two different
bonding systems were found to coexist. Larvae use an organic, hydrated glue that
persists while the animal progresses into the juvenile phase, at which point a
very different adhesive emerges. Juveniles bond with an organic-inorganic
composite system, positioning the organic component for maximum adhesion by
residing between the animal and substrate. Beyond understanding our marine
environment, these insights may aid efforts in aquaculture, reef restoration, and
adhesive design.
PMID- 29652469
TI - Coordination Polymer-Derived Multishelled Mixed Ni-Co Oxide Microspheres for
Robust and Selective Detection of Xylene.
AB - Multishell, stable, porous metal-oxide microspheres (Ni-Co oxides, Co3O4 and NiO)
have been synthesized through the amorphous coordination polymer-based self
templated method. Both oxides of Ni and Co show poor selectivity to xylene, but
the composite phase has substantial selectivity (e.g., Sxylene/ Sethanol = 2.69)
and remarkable sensitivity (11.5-5 ppm xylene at 255 degrees C). The short
response and recovery times (6 and 9 s), excellent humidity-resistance
performance (with coefficient of variation = 11.4%), good cyclability, and long
term stability (sensitivity attenuation of ~9.5% after 30 days and stable
sensitivity thereafter) all show that this composite is a competitive solution to
the problem of xylene sensing. The sensing performances are evidently due to the
high specific surface area and the nano-heterostructure in the composite phase.
PMID- 29652470
TI - Fabrication and Characterization of Hybrid Organic-Inorganic Electron Extraction
Layers for Polymer Solar Cells toward Improved Processing Robustness and Air
Stability.
AB - Organic-inorganic hybrid materials composed of bismuth and diaminopyridine are
studied as novel materials for electron extraction layers in polymer solar cells
using regular device structures. The hybrid materials are solution processed on
top of two different low band gap polymers (PTB7 or PTB7-Th) as donor materials
mixed with fullerene PC70BM as the acceptor. The intercalation of the hybrid
layer between the photoactive layer and the aluminum cathode leads to solar cells
with a power conversion efficiency of 7.8% because of significant improvements in
all photovoltaic parameters, that is, short-circuit current density, fill factor,
and open-circuit voltage, similar to the reference devices using ZnO as the
interfacial layer. However when using thick layers of such hybrid materials for
electron extraction, only small losses in photocurrent density are observed in
contrast to the reference material ZnO of pronounced losses because of optical
spacer effects. Importantly, these hybrid electron extraction layers also
strongly improve the device stability in air compared with solar cells processed
with ZnO interlayers. Both results underline the high potential of this new class
of hybrid materials as electron extraction materials toward robust processing of
air stable organic solar cells.
PMID- 29652471
TI - Influence of the Chalcogen Element on the Filament Stability in
CuIn(Te,Se,S)2/Al2O3 Filamentary Switching Devices.
AB - In this paper, we report on the use of CuInX2 (X = Te, Se, S) as a cation supply
layer in filamentary switching applications. Being used as absorber layers in
solar cells, we take advantage of the reported Cu ionic conductivity of these
materials to investigate the effect of the chalcogen element on filament
stability. In situ X-ray diffraction showed material stability attractive for
back-end-of-line in semiconductor industry. When integrated in 580 MUm diameter
memory cells, more volatile switching was found at low compliance current using
CuInS2 and CuInSe2 compared to CuInTe2, which is ascribed to the natural tendency
for Cu to diffuse back from the switching layer to the cation supply layer
because of the larger difference in electrochemical potential using Se or S. Low
current and scaled behavior was also confirmed using conductive atomic force
microscopy. Hence, by varying the chalcogen element, a method is presented to
modulate the filament stability.
PMID- 29652472
TI - New Class of Hybrid Materials for Detection, Capture, and "On-Demand" Release of
Carbon Monoxide.
AB - Carbon monoxide (CO) is both a substance hazardous to health and a side product
of a number of industrial processes, such as methanol steam reforming and large
scale oxidation reactions. The separation of CO from nitrogen (N2) in industrial
processes is considered to be difficult because of the similarities of their
electronic structures, sizes, and physicochemical properties (e.g., boiling
points). Carbon monoxide is also a major poison in fuel cells because of its
adsorption onto the active sites of the catalysts. It is therefore of the utmost
economic importance to discover new materials that enable effective CO capture
and release under mild conditions. However, methods to specifically absorb and
easily release CO in the presence of contaminants, such as water, nitrogen,
carbon dioxide, and oxygen, at ambient temperature are not available. Here, we
report the simple and versatile fabrication of a new class of hybrid materials
that allows capture and release of carbon monoxide under mild conditions. We
found that carborane-containing metal complexes encapsulated in networks made of
poly(dimethylsiloxane) react with CO, even when immersed in water, leading to
dramatic color and infrared signature changes. Furthermore, we found that the CO
can be easily released from the materials by simply dipping the networks into an
organic solvent for less than 1 min, at ambient temperature and pressure, which
not only offers a straightforward recycling method, but also a new method for the
"on-demand" release of carbon monoxide. We illustrated the utilization of the on
demand release of CO from the networks by carrying out a carbonylation reaction
on an electron-deficient metal complex that led to the formation of the CO
adduct, with concomitant recycling of the gel. We anticipate that our sponge-like
materials and scalable methodology will open up new avenues for the storage,
transport, and controlled release of CO, the silent killer and a major industrial
poison.
PMID- 29652473
TI - Smart Photosensitizer: Tumor-Triggered Oncotherapy by Self-Assembly Photodynamic
Nanodots.
AB - Clinical photosensitizers suffer from the disadvantages of fast photobleaching
and high systemic toxicities because of the off-target photodynamic effects. To
address these problems, we report a self-assembled pentalysine-phthalocyanine
assembly nanodots (PPAN) fabricated by an amphipathic photosensitizer-peptide
conjugate. We triggered the photodynamic therapy effects of photosensitizers by
precisely controlling the assembly and disintegration of the nanodots. In
physiological aqueous conditions, PPAN exhibited a size-tunable spherical
conformation with a highly positive shell of the polypeptides and a hydrophobic
core of the pi-stacking Pc moieties. The assembly conformation suppressed the
fluorescence and the reactive oxygen species generation of the monomeric
photosensitizer molecules (mono-Pc) and thus declined the photobleaching and off
target photodynamic effects. However, tumor cells disintegrated PPAN and released
the mono-Pc molecules, which exhibited fluorescence for detection and the
photodynamic effects for the elimination of the tumor tissues. The molecular
dynamics simulations revealed the various assembly configurations of PPAN and
illustrated the assembly mechanism. At the cellular level, PPAN exhibited a
remarkable phototoxicity to breast cancer cells with the IC50 values in a low
nanomolar range. By using the subcutaneous and orthotopic breast cancer animal
models, we also demonstrated the excellent antitumor efficacies of PPAN in vivo.
PMID- 29652474
TI - Polydopamine Induced in-Situ Formation of Metallic Nanoparticles in Confined
Microchannels of Porous Membrane as Flexible Catalytic Reactor.
AB - Oxidant-regulated polymerization of dopamine was exploited, for the first time,
for effective surface engineering of the well-defined cylindrical pores of
nuclear track-etched membranes (NTEMs) to develop novel catalytic membrane
reactor. First, in the presence of a strong oxidant, controlled synthesis of
polydopamine (PDA) with tunable particle size was achieved, allowing a
homogeneous deposition to the confined pore channels of NTEMs. The PDA interfaces
rich in catechol and amine groups provided enhanced hydrophilicity to promote
mass transport across the membrane and abundant nucleation sites for formation
and stabilization of metallic nanoparticles (NPs). In-situ reductive growth of
multiple metallic NPs, including Pd, Ag, and Au, was then achieved inside the
cylindrical pores of NTEMs. Using the functionalized membrane as a catalytic
reactor, efficient reduction of 4-nitrophenol (4-NP) was demonstrated in a flow
through mode. Moreover, after dissolution removal of the NTEMs, self-sustained
one-dimensional (1D) PDA/M (M = Pd, Ag, or Au) hybrid nanotubes (NTs), with
determined aspect ratio and a length reaching up to 10 MUm, were obtained for
catalysis of 4-NP in a batch reaction mode. This study established a facile and
versatile method, by rational tuning of the polymerization behavior of dopamine,
for effective modification of confined microscale/nanoscale cavities with
different surface characteristics. The integration of PDA chemistry with NTEMs
would provide more opportunities for development of novel catalytic membrane
reactors as well as for the tailored synthesis of functional 1D nanotubes for
broadened applications.
PMID- 29652475
TI - Ultrastable Quantum Dot Composite Films under Severe Environments.
AB - Semiconductor quantum dots (QDs) have attracted extensive attention because of
their remarkable optical and electrical characteristics. However, the practical
application of QDs and further the QD composite films have greatly been hindered
mainly owing to their essential drawbacks of extreme unstability under oxygen and
water environments. Herein, one simple method has been employed to enhance
enormously the stability of Cd xZn1- xSe yS1- y QD composite films by a
combination of Cd xZn1- xSe yS1- y QDs and poly(vinylidene) fluoride (PVDF),
which is characteristic of closely arranged molecular chains and strong hydrogen
bonds. There are many particular advantages in using QD/PVDF composite films such
as easy processing, low cost, large-area fabrication, and especially extreme
stability even in the boiling water for more than 240 min. By employing
K2SiF6:Mn4+ as a red phosphor, a prototype white light-emitting diode (WLED) with
color coordinates of (0.3307, 0.3387), Tc of 5568 K, and color gamut
112.1NTSC(1931)% at 20 mA has been fabricated, and there is little variation
under different excitation currents, indicating that the QD/PVDF composite films
fabricated by this simple blade-coating process make them ideal candidates for
liquid-crystal display backlight utilization via assembling a WLED on a large
scale owing to its ultrahigh stability under severe environments.
PMID- 29652476
TI - Super Tough, Ultrastretchable Hydrogel with Multistimuli Responsiveness.
AB - The research of hydrogels has been increasingly focused on designing an effective
energy dissipation structure in recent years. Here, we report a kind of novel
supramolecular cross-linker, which was formed by self-assembling amphiphilic
block copolymers with guest groups at the end and vinyl-functionalized
cyclodextrin (CD) through host-guest interaction. These cross-linkers could
dissipate energy effectively since they combined multiple sacrificial mechanisms
across multiscales through physical interactions. The resulted hydrogel shows
distinguishing mechanical properties (fracture toughness of 2.68 +/- 0.69 MJ/m3,
tension strength of up to 475 kPa, uniaxial stretch over 2100%), remarkable
fatigue resistance, and thermal- and light-responsive behaviors.
PMID- 29652477
TI - Targeted Nanoparticles for Selective Marking of Neuromuscular Junctions and ex
Vivo Monitoring of Endogenous Acetylcholine Hydrolysis.
AB - The present work for the first time introduces nanosensors for luminescent
monitoring of acetylcholinesterase (AChE)-catalyzed hydrolysis of endogenous
acetylcholine (ACh) released in neuromuscular junctions of isolated muscles. The
sensing function results from the quenching of Tb(III)-centered luminescence due
to proton-induced degradation of luminescent Tb(III) complexes doped into silica
nanoparticles (SNs, 23 nm), when acetic acid is produced from the enzymatic
hydrolysis of ACh. The targeting of the silica nanoparticles by alpha
bungarotoxin was used for selective staining of the synaptic space in the
isolated muscles by the nanosensors. The targeting procedure was optimized for
the high sensing sensitivity. The measuring of the Tb(III)-centered luminescence
intensity of the targeted SNs by fluorescent microscopy enables us to sense a
release of endogenous ACh in neuromuscular junctions of the isolated muscles
under their stimulation by a high-frequency train (20 Hz, for 3 min). The ability
of the targeted SNs to sense an inhibiting effect of paraoxon on enzymatic
activity of AChE in ex vivo conditions provides a way of mimicking external
stimuli effects on enzymatic processes in the isolated muscles.
PMID- 29652478
TI - Isothermal Self-Assembly of Spermidine-DNA Nanostructure Complex as a Functional
Platform for Cancer Therapy.
AB - Programmable DNA nanostructure self-assembly offers great potentials in
nanomedicine, drug delivery, biosensing, and bioimaging. However, due to the
intrinsically negatively charged DNA backbones, the instability of DNA
nanostructures in physiological settings poses serious challenges to their
practical applications. To overcome this challenge, a strategy that combines the
magnesium-free DNA self-assembly and functionalization is proposed in this study.
We hypothesize that naturally abundant spermidine may not only mediate the self
assembly of DNA nanostructures, but also shield them from harsh physiological
environments. As a proof of concept, a DNA nanoprism is designed and synthesized
successfully through spermidine. It is found that spermidine can mediate the
isothermal self-assembly of DNA nanoprisms. Compared to conventional Mg2+
assembled DNA nanostructures, the spermidine-DNA nanoprism complex shows higher
thermal stability and better enzymatic resistance than Mg2+-assembled DNA
nanoprisms, and more importantly, it has a much higher cellular uptake efficacy
in multiple cancerous cell lines. The internalization mechanism is identified as
clathrin-mediated endocytosis. To demonstrate the suitability of this new
nanomaterial for biomedical applications, an mTOR siRNA, after being conjugated
into the complex, is efficiently delivered into cancer cells and shows excellent
gene knockdown efficacy and anticancer capability. These findings indicate that
the spermidine-DNA complex nanomaterials might be a promising platform for
biomedical applications in the future.
PMID- 29652479
TI - A Thermal-, Water-, and Near-Infrared Light-Induced Shape Memory Composite Based
on Polyvinyl Alcohol and Polyaniline Fibers.
AB - A multiresponsive shape memory composite was prepared by incorporating
polyaniline (PAn) fibers into polyvinyl alcohol (PVA), where in situ
polymerization assisted by surfactant was used to homogeneously disperse PAn
fibers in a PVA matrix. The PAn fibers not only increased physical cross-linking
points in the system but also served as photothermal conversion reagents,
resulting in excellent water-, thermal-, and near-infrared (NIR) light-induced
shape memory properties of the composites, where their light-induced shape
recovery ratio and speed could be enhanced via the increase of PAn loading
percentage and light power density. Moreover, the composites possessed high
mechanical properties with tensile strength over 83 MPa. On the basis of these
dramatic mechanical properties and shape memory properties, the composites could
show high recovery stress over 6.0 MPa, which increased with the increase of
temperature and PAn loading percentage. This presented composite could be a great
candidate as actuator element for various applications.
PMID- 29652480
TI - Single-Crystal Antimonene Films Prepared by Molecular Beam Epitaxy: Selective
Growth and Contact Resistance Reduction of the 2D Material Heterostructure.
AB - Single-crystal antimonene flakes are observed on sapphire substrates after the
postgrowth annealing procedure of amorphous antimony (Sb) droplets prepared by
using molecular beam epitaxy at room temperature. The large wetting angles of the
antimonene flakes to the sapphire substrate suggest that an alternate substrate
should be adopted to obtain a continuous antimonene film. By using a bilayer
MoS2/sapphire sample as the new substrate, a continuous and single-crystal
antimonene film is obtained at a low growth temperature of 200 degrees C. The
results are consistent with the theoretical prediction of the lower interface
energy between antimonene and MoS2. The different interface energies of
antimonene between sapphire and MoS2 surfaces lead to the selective growth of
antimonene only atop MoS2 surfaces on a prepatterned MoS2/sapphire substrate.
With similar sheet resistance to graphene, it is possible to use antimonene as
the contact metal of 2D material devices. Compared with Au/Ti electrodes, a
specific contact resistance reduction up to 3 orders of magnitude is observed by
using the multilayer antimonene as the contact metal to MoS2. The lower contact
resistance, the lower growth temperature, and the preferential growth to other 2D
materials have made antimonene a promising candidate as the contact metal for 2D
material devices.
PMID- 29652481
TI - Amplified Sensitivity of Nitrogen-Vacancy Spins in Nanodiamonds Using All-Optical
Charge Readout.
AB - Nanodiamonds containing nitrogen-vacancy (NV) centers offer a versatile platform
for sensing applications spanning from nanomagnetism to in vivo monitoring of
cellular processes. In many cases, however, weak optical signals and poor
contrast demand long acquisition times that prevent the measurement of
environmental dynamics. Here, we demonstrate the ability to perform fast, high
contrast optical measurements of charge distributions in ensembles of NV centers
in nanodiamonds and use the technique to improve the spin-readout signal-to-noise
ratio through spin-to-charge conversion. A study of 38 nanodiamonds with sizes
ranging between 20 and 70 nm, each hosting a small ensemble of NV centers,
uncovers complex, multiple time scale dynamics due to radiative and nonradiative
ionization and recombination processes. Nonetheless, the NV-containing
nanodiamonds universally exhibit charge-dependent photoluminescence contrasts and
the potential for enhanced spin readout using spin-to-charge conversion. We use
the technique to speed up a T1 relaxometry measurement by a factor of 5.
PMID- 29652482
TI - Tunable Free-Standing Core-Shell CNT@MoSe2 Anode for Lithium Storage.
AB - Heterogeneous nanostructuring of MoSe2 over a carbon nanotube (CNT) sponge as a
free-standing electrode not only brings higher performance but also eliminates
the need for dead elements such as a binder, conductive carbon, and supportive
current collectors. Further, the porous CNT sponge can be easily compacted via an
intense densification of the active material MoSe2 to produce an electrode with a
high mass loading for a significantly improved areal capacity. In this work, we
present a tunable coating of MoSe2 on a CNT sponge to fabricate a core-shell
MoSe2@CNT anode. The three-dimensional nanotubular sponge is synthesized via a
solvothermal process, followed by thermal annealing to improve crystallization.
Structural and morphological studies revealed that MoSe2 grew as a layered
structure ( d = 0.66 nm), where numbers of layers can be controlled to yield
optimized results for Li+ storage. We showed that the 10-layer core-shell
CNT@MoSe2 hybrid sponge delivered a discharge capacity of 820.5 mAh g-1 after 100
cycles at 100 mA g-1 with a high cyclic stability and rate capability. Further,
an ex situ structural and morphological analysis revealed that ionic storage
causes a phase change in MoSe2 from a crystalline to a partial amorphous state
for a continuous increase in the capacity with extended cycling. We believe that
the strategy developed here will assist users to tune the electrode materials for
future energy-storage devices, especially how the materials are changing with the
passage of time and their effects on the device performance.
PMID- 29652483
TI - Molecular Bases of PDE4D Inhibition by Memory-Enhancing GEBR Library Compounds.
AB - Selected members of the large rolipram-related GEBR family of type 4
phosphodiesterase (PDE4) inhibitors have been shown to facilitate long-term
potentiation and to improve memory functions without causing emetic-like behavior
in rodents. Despite their micromolar-range binding affinities and their promising
pharmacological and toxicological profiles, few if any structure-activity
relationship studies have been performed to elucidate the molecular bases of
their action. Here, we report the crystal structure of a number of GEBR library
compounds in complex with the catalytic domain of PDE4D as well as their
inhibitory profiles for both the long PDE4D3 isoform and the catalytic domain
alone. Furthermore, we assessed the stability of the observed ligand
conformations in the context of the intact enzyme using molecular dynamics
simulations. The longer and more flexible ligands appear to be capable of forming
contacts with the regulatory portion of the enzyme, thus possibly allowing some
degree of selectivity between the different PDE4 isoforms.
PMID- 29652484
TI - Metabolic Resistance to Acetolactate Synthase Inhibiting Herbicide Tribenuron
Methyl in Descurainia sophia L. Mediated by Cytochrome P450 Enzymes.
AB - Descurainia sophia is one of the most notorious broadleaf weeds in China and has
evolved extremely high resistance to acetolactate synthase (ALS)-inhibiting
herbicide tribenuron-methyl. The target-site resistance due to ALS gene mutations
was well-known, while the non-target-site resistance is not yet well
characterized. Metabolic resistance, which is conferred by enhanced rates of
herbicide metabolism, is the most important NTSR. To explore the mechanism of
metabolic resistance underlying resistant (R) D. sophia plants, tribenuron-methyl
uptake and metabolism levels, qPCR reference gene stability, and candidate P450
genes expression patterns were investigated. The results of liquid chromatography
mass spectrometry (LC-MS) analysis indicated that the metabolic rates of
tribenuron-methyl in R plants was significantly faster than in susceptible (S)
plants, and this metabolism differences can be eliminated by P450 inhibitor
malathion. The genes for 18S rRNA and TIP41-like were identified as the most
suitable reference genes using programs of BestKeeper, NormFinder, and geNorm.
The P450 gene CYP96A146 constitutively overexpressed in R plants compared to S
plants; this overexpression in R plants can be suppressed by malathion. Taken
together, a higher expression level of P450 genes, leading to higher tribenuron
methyl metabolism, appears to be responsible for metabolic resistance to
tribenuron-methyl in R D. sophia plants.
PMID- 29652485
TI - Exploiting Potential Inversion for Photoinduced Multielectron Transfer and
Accumulation of Redox Equivalents in a Molecular Heptad.
AB - Photoinduced multielectron transfer and reversible accumulation of redox
equivalents is accomplished in a fully integrated molecular heptad composed of
four donors, two photosensitizers, and one acceptor. The second reduction of the
dibenzo[1,2]dithiin acceptor occurs more easily than the first by 1.3 V, and this
potential inversion facilitates the light-driven formation of a two-electron
reduced state with a lifetime of 66 ns in deaerated CH3CN. The quantum yield for
formation of this doubly charge-separated photoproduct is 0.5%. In acidic oxygen
free solution, the reduction product is a stable dithiol. Under steady-state
photoirradiation, our heptad catalyzes the two-electron reduction of an aliphatic
disulfide via thiolate-disulfide interchange. Exploitation of potential inversion
for the reversible light-driven accumulation of redox equivalents in artificial
systems is unprecedented and the use of such a charge-accumulated state for
multielectron photoredox catalysis represents an important proof-of-concept.
PMID- 29652487
TI - Nanoparticles Conjugated with Photocleavable Linkers for the Intracellular
Delivery of Biomolecules.
AB - We report the synthesis and characterization of phototriggerable polymeric
nanoparticles (NPs) for the intracellular delivery of small molecules and
proteins to modulate cell activity. For that purpose, several photocleavable
linkers have been prepared providing diverse functional groups as anchoring
points for biomolecules.
PMID- 29652488
TI - Binaphthyl-Bipyridyl Cyclic Dyads as a Chiroptical Switch.
AB - A series of chiral cyclic dyads, axially chiral binaphthyls linked to a 3,3'
bipyridyl, was synthesized. The dyad 2 bearing methoxy groups exhibited ON/OFF
properties in circularly polarized luminescence (CPL), yielding a | glum| of 1.6
* 10-3 or 0 without any change in fluorescence. This type of CPL switch is
unprecedented. Regioisomer 4 exhibited a dextro/ levo rotation switching ability
in [alpha]D. X-ray structures as well as experimental and theoretical analyses
suggested that the switching properties depended on conformational changes.
PMID- 29652489
TI - Predominance of N6-Methyladenine-Specific DNA Fragments Enriched by Multiple
Immunoprecipitation.
AB - N6-methyladenine (6mA) is a rediscovered DNA modification in eukaryotic genomes.
To explore the distribution and functions of 6mA, it is of paramount option to
use immunoprecipitation to select 6mA-containing DNA fragments for genome-wide
sequencing. Presumably, most of the 6mA-free fragments are removed, and the
copulling down of the residual is stochastic and sequence-independent and thus
they should not be called as peaks by computation. Surprisingly, here we show the
predominance of 6mA-free fragments in the pulled-down fractions. By taking
advantage of the submicromolar affinity of the antibodies, we further develop an
elegant, multiple-round immunoprecipitation (MrIP) approach and show that 6mA
containing fragments can be enriched over 9100-fold and dominate in the final
pulled-down fractions. This biochemical approach would greatly reduce the peak
calling bias, which is caused by handling of dominated 6mA-free DNA fragments
with an assumption-based algorithm computation and facilitates 6mA-pertinent data
mining. The MrIP concept is extendable for the genome-wide sequencing of diverse
DNA modifications.
PMID- 29652490
TI - Immunoassay Multiplexing on a Complementary Metal Oxide Semiconductor Photodiode
Array.
AB - Scalable immunoassay multiplexing offers a route to creating rapid point-of-care
(POC) diagnostics. We present a method for multiplexing immunoassays on the
surface of a complementary metal oxide semiconductor (CMOS) sensor array
integrated circuit (IC) without the use of physical separators such as wells or
channels. Major advantages of using a CMOS sensor array include low mass
manufacturing costs, the possibility to multiplex multiple assays on a single IC,
and improved signal when averaging multiple sensors, along with providing a
platform where wash steps can be incorporated to maximize selectivity and
sensitivity compared to paper based lateral flow immunoassay. The device was able
to differentiate between samples containing either, neither, or both rabbit anti
mouse (RAM) antibodies and/or anti-HIV gp120 antibodies in serum using a gold
nanoparticle promoted silver enhancement immunoassay. HIV antibody concentrations
down to 100 MUg/mL were readily detected, which is three times lower than those
typically found in infected humans (300-500 MUg/mL), and the limit of detection
was 10 MUg/mL.
PMID- 29652491
TI - Inhibition of Voltage-Gated K+ Channel Kv1.5 by Antiarrhythmic Drugs.
AB - Molecular dynamics simulations are employed to determine the inhibitory
mechanisms of three drugs, 5-(4-phenoxybutoxy)psoralen (PAP-1), vernakalant, and
flecainide, on the voltage-gated K+ channel Kv1.5, a target for the treatment of
cardiac arrhythmia. At neutral pH, PAP-1 is neutral, whereas the other two
molecules carry one positive charge. We show that PAP-1 forms stable dimers in
water, primarily through hydrophobic interactions between aromatic rings. All
three molecules bind to the cavity between the Ile508 and Val512 residues from
the four subunits of the channel. Once bound, the drug molecules are flexible,
with the average root-mean-square fluctuation being between 2 and 3 A, which is
larger than the radius of gyration of a bulky amino acid. The presence of a
monomeric PAP-1 causes the permeating K+ ion to dehydrate, thereby creating a
significant energy barrier. In contrast, vernakalant blocks the ion permeation
primarily via an electrostatic mechanism and, therefore, must be in the
protonated and charged form to be effective.
PMID- 29652492
TI - Evidence for the Heaviest Expected Halide Species in Aqueous Solution, At-, by
Electromobility Measurements.
AB - At- (astatide) is commonly expected to be the heaviest halide in the halogen
group. However, there is no proof for the existence of this -1 charged species.
Furthermore, investigations with astatine are restricted by its specific
radioactive properties, which entail working at ultratrace concentrations
(typically less than 10-10 M). In this work, an especially built electromigration
device is applied to obtain information about the charge/size ratio
characterizing an ion in aqueous solution. An anionic At species is observed in
reducing conditions. Moreover, we propose the first absolute mobility value for
the astatine species in acidic reducing condition: (-8.26 +/- 0.59) * 10-4 cm2.V
1.s-1. This value appears close to that of I- ((-8.30 +/- 0.33) * 10-4 cm2.V-1.s
1), which is obtained by the same method. The similar absolute mobilities
obtained for both ions are coherent with theoretical calculations indicating
similar diffusion behaviors for At- and I-. This good agreement confirms the
existence of the At- species.
PMID- 29652493
TI - Reprogramming Probiotic Lactobacillus reuteri as a Biosensor for Staphylococcus
aureus Derived AIP-I Detection.
AB - Gram-positive Staphylococcus aureus infection that results in pneumonia, urinary
tract infection, and in severe cases, sepsis, has recently been classified as a
serious threat to public health. Rapid and cost-effective detection of these
infections are costly and time-consuming. Here, we present probiotic lactic acid
bacteria engineered to detect autoinducer peptide-I (AIP-I), a quorum sensing
molecule produced by Staphylococcus sp. during pathogenesis. We achieved this by
adapting the well-characterized agr quorum sensing ( agrQS) from Staphylococcus
aureus into Lactobacillus reuteri. The engineered biosensor is able to detect AIP
I levels in the nanomolar to micromolar range. We further investigated the
function of the biosensor to detect real-time changes in AIP-I levels to
understand the dynamics of Staphylococcus aureus under various strenuous
conditions. The developed sensors would be useful for detection of Staphylococcus
contamination in hospital settings and for high-throughput drug screening.
PMID- 29652494
TI - Ligand-Phospholipid Conjugation: A Versatile Strategy for Developing Long-Acting
Ligands That Bind to Membrane Proteins by Restricting the Subcellular
Localization of the Ligand.
AB - We hypothesized that if drug localization can be restricted to a particular
subcellular domain where their target proteins reside, the drugs could bind to
their target proteins without being metabolized and/or excreted, which would
significantly extend the half-life of the corresponding drug-target complex.
Thus, we designed ligand-phospholipid conjugates in which the ligand is
conjugated with a phospholipid through a polyethylene glycol linker to restrict
the subcellular localization of the ligand in the vicinity of the lipid bilayer.
Here, we present the design, synthesis, pharmacological activity, and binding
mode analysis of ligand-phospholipid conjugates with muscarinic acetylcholine
receptors as the target proteins. These results demonstrate that ligand
phospholipid conjugation can be a versatile strategy for developing long-acting
ligands that bind to membrane proteins in drug discovery.
PMID- 29652495
TI - Peptidic Macrocycles - Conformational Sampling and Thermodynamic
Characterization.
AB - Macrocycles are of considerable interest as highly specific drug candidates, yet
they challenge standard conformer generators with their large number of rotatable
bonds and conformational restrictions. Here, we present a molecular dynamics
based routine that bypasses current limitations in conformational sampling and
extensively profiles the free energy landscape of peptidic macrocycles in
solution. We perform accelerated molecular dynamics simulations to capture a
diverse conformational ensemble. By applying an energetic cutoff, followed by
geometric clustering, we demonstrate the striking robustness and efficiency of
the approach in identifying highly populated conformational states of cyclic
peptides. The resulting structural and thermodynamic information is benchmarked
against interproton distances from NMR experiments and conformational states
identified by X-ray crystallography. Using three different model systems of
varying size and flexibility, we show that the method reliably reproduces
experimentally determined structural ensembles and is capable of identifying key
conformational states that include the bioactive conformation. Thus, the
described approach is a robust method to generate conformations of peptidic
macrocycles and holds promise for structure-based drug design.
PMID- 29652496
TI - Bimolecular Coupling as a Vector for Decomposition of Fast-Initiating Olefin
Metathesis Catalysts.
AB - The correlation between rapid initiation and rapid decomposition in olefin
metathesis is probed for a series of fast-initiating, phosphine-free Ru
catalysts: the Hoveyda catalyst HII, RuCl2(L)(?CHC6H4- o-O iPr); the Grela
catalyst nG (a derivative of HII with a nitro group para to O iPr); the Piers
catalyst PII, [RuCl2(L)(?CHPCy3)]OTf; the third-generation Grubbs catalyst GIII,
RuCl2(L)(py)2(?CHPh); and dianiline catalyst DA, RuCl2(L)( o-dianiline)(?CHPh),
in all of which L = H2IMes = N,N'-bis(mesityl)imidazolin-2-ylidene. Prior studies
of ethylene metathesis have established that various Ru metathesis catalysts can
decompose by beta-elimination of propene from the metallacyclobutane intermediate
RuCl2(H2IMes)(kappa2-C3H6), Ru-2. The present work demonstrates that in
metathesis of terminal olefins, beta-elimination yields only ca. 25-40% propenes
for HII, nG, PII, or DA, and none for GIII. The discrepancy is attributed to
competing decomposition via bimolecular coupling of methylidene intermediate
RuCl2(H2IMes)(?CH2), Ru-1. Direct evidence for methylidene coupling is presented,
via the controlled decomposition of transiently stabilized adducts of Ru-1,
RuCl2(H2IMes)Ln(?CH2) (Ln = py n'; n' = 1, 2, or o-dianiline). These adducts were
synthesized by treating in situ-generated metallacyclobutane Ru-2 with pyridine
or o-dianiline, and were isolated by precipitating at low temperature (-116 or
78 degrees C, respectively). On warming, both undergo methylidene coupling,
liberating ethylene and forming RuCl2(H2IMes)Ln. A mechanism is proposed based on
kinetic studies and molecular-level computational analysis. Bimolecular coupling
emerges as an important contributor to the instability of Ru-1, and a potentially
major pathway for decomposition of fast-initiating, phosphine-free metathesis
catalysts.
PMID- 29652486
TI - Biochemistry and Molecular Biology of Flaviviruses.
AB - Flaviviruses, such as dengue, Japanese encephalitis, tick-borne encephalitis,
West Nile, yellow fever, and Zika viruses, are critically important human
pathogens that sicken a staggeringly high number of humans every year. Most of
these pathogens are transmitted by mosquitos, and not surprisingly, as the earth
warms and human populations grow and move, their geographic reach is increasing.
Flaviviruses are simple RNA-protein machines that carry out protein synthesis,
genome replication, and virion packaging in close association with cellular lipid
membranes. In this review, we examine the molecular biology of flaviviruses
touching on the structure and function of viral components and how these interact
with host factors. The latter are functionally divided into pro-viral and
antiviral factors, both of which, not surprisingly, include many RNA binding
proteins. In the interface between the virus and the hosts we highlight the role
of a noncoding RNA produced by flaviviruses to impair antiviral host immune
responses. Throughout the review, we highlight areas of intense investigation, or
a need for it, and potential targets and tools to consider in the important
battle against pathogenic flaviviruses.
PMID- 29652497
TI - Second-Generation Palladium Catalyst System for Transannular C-H
Functionalization of Azabicycloalkanes.
AB - This article describes the development of a second-generation catalyst system for
the transannular C-H functionalization of alicyclic amines. Pyridine- and
quinoline-carboxylate ligands are shown to be highly effective for increasing the
reaction rate, yield, and scope of Pd-catalyzed transannular C-H arylation
reactions of azabicyclo[3.1.0]hexane, azabicyclo[3.1.1]heptane,
azabicyclo[3.2.1]octane, and piperidine derivatives. Mechanistic studies reveal
that the pyridine/quinoline-carboxylates play a role in impeding both reversible
and irreversible catalyst decomposition pathways. These ligands enable the first
reported examples of the transannular C-H arylation of the ubiquitous tropane, 7
azanorbornane, and homotropane cores. Finally, the pyridine/quinoline
carboxylates are shown to promote both transannular C-H arylation and
transannular C-H dehydrogenation on a homotropane substrate.
PMID- 29652500
TI - Polyrotaxane Brushes Dynamically Formed at a Water/Elastomer Interface.
AB - Dense polymer brushes with closely packed rotaxane structures were formed at the
interface of water and a styrene-butadiene elastomer by spontaneous segregation
of an amphiphilic polyrotaxane (PR), a mechanically interlocked polymer
consisting of hydrophobic polybutadiene threading through multiple hydrophilic
gamma-cyclodextrin (gamma-CD) derivatives. Segregation of PR at the
water/elastomer interface was suggested by X-ray photoelectron spectroscopy. The
polymer brush structure at the water interface was investigated using neutron
reflectometry. Brush structures were found to depend on the number of CDs on the
PRs; the PR with a small number of CDs formed a thinner and homogeneous brush,
whereas the PR with a higher number of CDs formed a thicker and less-ordered
brush. These PR-brushes showed protein repulsion, resulting from the surface
hydrated brush layer preventing direct contact of proteins.
PMID- 29652498
TI - Suzuki-Miyaura Coupling of Simple Ketones via Activation of Unstrained Carbon
Carbon Bonds.
AB - Here, we describe that simple ketones can be efficiently employed as
electrophiles in Suzuki-Miyaura coupling reactions via catalytic activation of
unstrained C-C bonds. A range of common ketones, such as cyclopentanones,
acetophenones, acetone and 1-indanones, could be directly coupled with various
arylboronates in high site-selectivity, which offers a distinct entry to more
functionalized aromatic ketones. Preliminary mechanistic study suggests that the
ketone alpha-C-C bond was cleaved via oxidative addition.
PMID- 29652499
TI - Rapid Sampling of Hydrogen Bond Networks for Computational Protein Design.
AB - Hydrogen bond networks play a critical role in determining the stability and
specificity of biomolecular complexes, and the ability to design such networks is
important for engineering novel structures, interactions, and enzymes. One key
feature of hydrogen bond networks that makes them difficult to rationally
engineer is that they are highly cooperative and are not energetically favorable
until the hydrogen bonding potential has been satisfied for all buried polar
groups in the network. Existing computational methods for protein design are ill
equipped for creating these highly cooperative networks because they rely on
energy functions and sampling strategies that are focused on pairwise
interactions. To enable the design of complex hydrogen bond networks, we have
developed a new sampling protocol in the molecular modeling program Rosetta that
explicitly searches for sets of amino acid mutations that can form self-contained
hydrogen bond networks. For a given set of designable residues, the protocol
often identifies many alternative sets of mutations/networks, and we show that it
can readily be applied to large sets of residues at protein-protein interfaces or
in the interior of proteins. The protocol builds on a recently developed method
in Rosetta for designing hydrogen bond networks that has been experimentally
validated for small symmetric systems but was not extensible to many larger
protein structures and complexes. The sampling protocol we describe here not only
recapitulates previously validated designs with performance improvements but also
yields viable hydrogen bond networks for cases where the previous method fails,
such as the design of large, asymmetric interfaces relevant to engineering
protein-based therapeutics.
PMID- 29652501
TI - From Dot to Ring: The Role of Friction in the Deposition Pattern of a Drying
Colloidal Suspension Droplet.
AB - The deposition of particles on a substrate by drying a colloidal suspension
droplet is at the core of applications ranging from traditional printing on paper
to printable electronics or photovoltaic devices. The self-pinning induced by the
accumulation of particles at the contact line plays an important role in the
formation of a deposit. In this article, we investigate, both numerically and
theoretically, the effect of friction between the particles and the substrate on
the deposition pattern. Without friction, the contact line shows a stick-slip
behavior and a dotlike deposit is left after the droplet is evaporated. By
increasing the friction force, we observe a transition from a dotlike to a
ringlike deposit. We propose a theoretical model to predict the effective radius
of the particle deposit as a function of the friction force. Our theoretical
model predicts a critical friction force when self-pinning happens and the
effective radius of deposit increases with increasing friction force, confirmed
by our simulation results. Our results can find implications for developing
active control strategies for the deposition of drying droplets.
PMID- 29652503
TI - Red/Green Color Tuning of Visual Rhodopsins: Electrostatic Theory Provides a
Quantitative Explanation.
AB - We present a structure-based theory of the long-wavelength (red/green) color
tuning in visual rhodopsins and its application to the analysis of site-directed
mutagenesis experiments. Using a combination of electrostatic and molecular
mechanics methods, we explain the measured mutant-minus-wild-type absorption
shifts and conclude that the dominant mechanism of the color tuning in these
systems is electrostatic pigment-protein coupling. An important element of our
analysis is the independent determination of protonation states of titratable
residues in the wild type and the mutant protein as well as the self-consistent
reoptimization of hydrogen atom positions, which includes the relaxation of the
hydrogen bonding network and the reorientation of water molecules. On the basis
of this analysis, we propose a "dipole-orientation rule" according to which both
the position and the orientation of a polar group introduced in the protein
environment determine the direction of the transition energy shift of the retinal
chromophore.
PMID- 29652502
TI - Ultrafast Photodynamics of Cyano-Functionalized [FeFe] Hydrogenase Model
Compounds.
AB - [FeFe] hydrogenases are efficient enzymes that produce hydrogen gas under mild
conditions. Synthetic model compounds containing all CO or mixed CO/PMe3 ligands
were previously studied by us and others with ultrafast ultraviolet or visible
pump-infrared probe spectroscopy in an effort to better understand the function
and interactions of the active site with light. Studies of anionic species
containing cyano groups, which more closely match the biological active site,
have been elusive. In this work, two model compounds dissolved in room
temperature acetonitrile solution were examined: [Fe2(MU-S2C3H6)(CO)4(CN)2]2- (1)
and [Fe2(MU-S2C2H4)(CO)4(CN)2]2- (2). These species exhibit long-lived transient
signals consistent with loss of one CO ligand with potential isomerization of
newly formed ground electronic state photoproducts, as previously observed with
all-CO and CO/PMe3-containing models. We find no evidence for fast (ca. 150 ps)
relaxation seen in the all-CO and CO/PMe3 compounds because of the absence of the
metal-to-metal charge transfer band in the cyano-functionalized models. These
results indicate that incorporation of cyano ligands may significantly alter the
electronic properties and photoproducts produced immediately after
photoexcitation, which may influence the catalytic activity of model compounds
when attached to photosensitizers.
PMID- 29652504
TI - Role of Vibrational Dynamics on Excited-State Electronic Coherence in a Binuclear
Platinum Complex.
AB - Long-lived quantum coherence between excited electronic states can enable highly
efficient energy and charge transport processes in chemical systems. Recent pump
probe experiments on binuclear platinum complexes identified persistent, periodic
beating of transient absorption anisotropy signals, indicating long excited-state
coherence lifetimes. Our previous simulations of the electronic dynamics of these
complexes indicate that coherence lifetimes are sensitive to the balance between
competitive electronic couplings. The complexes with shorter Pt-Pt distances
underwent no appreciable dephasing in the limit of static nuclei, motivating the
inclusion of nuclear motion into our simulations. The tert-butyl-substituted
complex is studied in this work using the Ehrenfest method for mixed quantum
classical dynamics to investigate the role of vibrational dynamics on a complex
shown to support long coherence lifetimes. Results indicate that the inclusion of
excited-state vibrations drives a rapid collapse of the two-state coherence prior
to the experimentally determined intersystem crossing. This further suggests
singlet excited-state coherences may not be prerequisites for long-lived triplet
coherences.
PMID- 29652505
TI - Dissecting Nanosecond Dynamics in Membrane Proteins with Dipolar Relaxation upon
Tryptophan Photoexcitation.
AB - The structural dynamics of proteins on the nanosecond time scale can be probed
with dipolar relaxation in response to photoexcitation of intrinsic tryptophan
(Trp) residues. For membrane proteins, however, the complexity due to overlapping
contributions from the protein itself, the membrane mimic, and the aqueous
solvent impairs detailed analysis and interpretation. To disentangle these
contributions, we measured time-resolved emission spectra of Trp in the protein
Mistic in detergent micelles of various polarities. By comparison with Trp
analogues in water and micelles, we could dissect the contributions from
hydration, micelle, and protein matrix to dipolar relaxation on the nanosecond
time scale. Our results demonstrate that ultrafast, subnanosecond relaxation
reports on the extent of Trp shielding from water, with micelle and protein
moieties making additive contributions. By contrast, relaxation in the low
nanosecond regime is due to dipolar rearrangement of micelle and protein moieties
upon photoexcitation, thereby probing conformational dynamics around the
intrinsic fluorophore.
PMID- 29652506
TI - On-the-Fly Ab Initio Semiclassical Evaluation of Absorption Spectra of Polyatomic
Molecules beyond the Condon Approximation.
AB - To evaluate vibronic spectra beyond the Condon approximation, we extend the on
the-fly ab initio thawed Gaussian approximation by considering the Herzberg
Teller contribution due to the dependence of the electronic transition dipole
moment on nuclear coordinates. The extended thawed Gaussian approximation is
tested on electronic absorption spectra of the phenyl radical and benzene;
calculated spectra reproduce experimental data and are much more accurate than
standard global harmonic approaches, confirming the significance of
anharmonicity. Moreover, the extended method provides a tool to quantify the
Herzberg-Teller contribution; we show that in the phenyl radical, anharmonicity
outweighs the Herzberg-Teller contribution, whereas in benzene, the Herzberg
Teller contribution is essential because the transition is electronically
forbidden and the Condon approximation yields a zero spectrum. Surprisingly, both
adiabatic harmonic spectra outperform those of the vertical harmonic model, which
describes the Franck-Condon region better. Finally, we provide a simple recipe
for orientationally averaging spectra, valid beyond the Condon approximation, and
a relation among the transition dipole, its gradient, and nonadiabatic coupling
vectors.
PMID- 29652507
TI - Electroactive Ferrocene at or near the Surface of Metal-Organic Framework UiO-66.
AB - Here, we describe the installation of a ferrocene derivative on and within the
archetypal metal-organic framework (MOF), UiO-66, by solvent-assisted ligand
incorporation. Thin films of the resulting material show a redox peak
characteristic of the Fc/Fc+ couple, as measured by cyclic voltammetry.
Consistent with restriction of redox reactivity solely to Fc molecules sited at
or near the external surfaces of MOF crystallites, chronoamperometry measurements
indicate that less than 20% of the installed Fc molecules are electrochemically
active. Charge-transport diffusion coefficients, DCT, of 6.1 +/- 0.8 * 10-11 and
2.6 +/- 0.2 * 10-9 cm2/s were determined from potential step measurements,
stepping oxidatively and reductively, respectively. The 40-fold difference in DCT
values contrasts with the expectation, for simple systems, of identical values
for oxidation-driven versus reduction-driven charge transport. The findings have
implications for the design of MOFs suitable for delivery of redox equivalents to
framework-immobilized electrocatalysts and/or delivery of charges from a
chromophoric MOF film to an underlying electrode, processes that may be central
to MOF-facilitated conversion of solar energy to chemical or electrical energy.
PMID- 29652508
TI - Patterning of Wrinkled Polymer Surfaces by Single-Step Electron Irradiation.
AB - A novel yet simple approach to fabricate and pattern wrinkled surfaces on
polymers is presented. Only by irradiating an electron beam onto a polymer,
wrinkles are created on the polymer surface. Electron irradiation produces a
bilayer polymeric structure comprising a degrading upper layer and a pristine
bottom layer. Electron irradiation also increases the polymer surface temperature
to a point much higher than the glass-transition temperature of the upper layer,
leading to drastic thermal expansion of the upper layer. As a result, significant
compressive force is applied to form surface wrinkles. The mechanism behind the
wrinkle formation and the effects of electron irradiation parameters on the
wrinkle characteristics are discussed. In addition, by this electron irradiation
approach, a patterned wrinkle structure is uniquely prepared.
PMID- 29652509
TI - Exciton-Delocalizing Ligands Can Speed Up Energy Migration in Nanocrystal Solids.
AB - Researchers have long sought to use surface ligands to enhance energy migration
in nanocrystal solids by decreasing the physical separation between nanocrystals
and strengthening their electronic coupling. Exciton-delocalizing ligands, which
possess frontier molecular orbitals that strongly mix with nanocrystal band-edge
states, are well-suited for this role because they can facilitate carrier-wave
function extension beyond the nanocrystal core, reducing barriers for energy
transfer. This report details the use of the exciton-delocalizing ligand
phenyldithiocarbamate (PDTC) to tune the transport rate and diffusion length of
excitons in CdSe nanocrystal solids. A film composed of oleate-terminated CdSe
nanocrystals is subjected to a solid-state ligand exchange to replace oleate with
PDTC. Exciton migration in the films is subsequently investigated by femtosecond
transient absorption. Our experiments indicate that the treatment of nanocrystal
films with PDTC leads to rapid (~400 fs) downhill energy migration (~80 meV),
while no such migration occurs in oleate-capped films. Kinetic Monte Carlo
simulations allow us to extract both rates and length scales for exciton
diffusion in PDTC-treated films. These simulations reproduce dynamics observed in
transient absorption measurements over a range of temperatures and confirm
excitons hop via a Miller-Abrahams mechanism. Importantly, our experiments and
simulations show PDTC treatment increases the exciton hopping rate to 200 fs, an
improvement of 5 orders of magnitude relative to oleate-capped films. This
exciton hopping rate stands as one of the fastest determined for CdSe solids. The
facile, room-temperature processing and improved transport properties offered by
the solid-state exchange of exciton-delocalizing ligands show they offer promise
for the construction of strongly coupled nanocrystal arrays.
PMID- 29652510
TI - Sequential Induction of Chirality in Helical Polymers: From the Stereocenter to
the Achiral Solvent.
AB - Several steps of chiral induction have been detected in poly(phenylacetylene)s
among their different hierarchical levels of chirality by vibrational circular
dichroism, namely, (i) from the stereogenic centers to the innermost
polyacetylene helical covalent backbone (helixint), (ii) from this to the
external helix (helixext) formed by the side phenyl pendants that form a
complementary helix or counter-helix, and (iii) from this pendant helix to the
helical solvation sphere (helixsolv.), the last one being observed along this
work. The pendant to polyene backbone chiral induction determines the helical
structure adopted by the polymer and therefore the solvation helix. This helical
structure is promoted by two mechanisms: steric effects and hydrogen bonding. An
important finding concerns the demonstration by VCD of how an achiral solvent
becomes chirally organized owing to the template effect of the covalent polymer
helices, an effect that is silent to other structural techniques such as ECD or
AFM and that hence significantly broadens the scope of these previous analyses.
PMID- 29652511
TI - Highly Chemoselective NH- and O-Transfer to Thiols Using Hypervalent Iodine
Reagents: Synthesis of Sulfonimidates and Sulfonamides.
AB - Aryl thiols can be selectively converted to sulfonimidates or sulfonamides with
three new S-X connections being made selectively in one pot. Using hypervalent
iodine reagents in the presence of ammonium carbamate, NH- and O-groups are
transferred under mild and practical conditions. Reducing the loading of ammonium
carbamate changed the product distribution, converting the sulfonimidate to the
sulfonamide. Studies into the possible intermediate species are presented,
suggesting that multiple pathways may be possible via sulfinate esters, or
related intermediates, with each species forming the same products.
PMID- 29652513
TI - An Experimental Test of the Roles of Audience Involvement and Message Frame in
Shaping Public Reactions to Celebrity Illness Disclosures.
AB - Much research has investigated what happens when celebrities disclose an illness
(via media) to the public. While audience involvement (i.e., identification and
parasocial relationships) is often the proposed mechanism linking illness
disclosures with audience behavior change, survey designs have prevented
researchers from understanding if audience involvement prior to the illness
disclosure actually predicts post-disclosure emotions, cognitions, and behaviors.
Rooted in previous work on audience involvement as well as the Extended Parallel
Process Model, the present study uses a national online experiment (N = 1,068) to
test how pre-disclosure audience involvement may initiate post-disclosure effects
for the message context of skin cancer. The data demonstrate that pre-disclosure
audience involvement as well as the celebrity's framing of the disclosure can
shape emotional responses (i.e., fear and hope), and that cognitive perceptions
of the illness itself also influence behavioral intentions.
PMID- 29652514
TI - "NQO1 Gene C609T Polymorphism (dbSNP: rs1800566) and Digestive Tract Cancer Risk:
A Meta-Analysis."
AB - Several studies reported that polymorphism C609T (rs1800566) in (NAD(P)H):
quinoneoxidoreductase 1 (NQO1) gene is associated with risk to digestive tract
(DT) cancers, like esophageal cancer (EC), gastric cancer (GC), and colorectal
cancer (CRC). Authors conducted a meta-analysis to investigate association
between C609T polymorphism and DT cancer risk. Eligible studies were extracted
from the databases of PubMed, Google Scholar, Science Direct, and Springer Link.
All retrieved articles were evaluated. All statistical analyses were performed
using Open Meta-Analyst and MIX1.7 programs. A total of 34 studies including
12,043 DT cancer cases and 15,209 healthy controls were included in the present
meta- analysis. Results of meta-analysis revealed a significant association
between NQO1 C609T polymorphism and DT cancer risk adopting all 5 genetic models
(T vs. C: OR = 1.21, 95% CI = 1.11-1.31, p < 0.001; TT vs. CC: OR = 1.48, 95% CI
= 1.22-1.79, p < 0.001; TT + CT vs. CC: OR = 1.23, 95% CI = 1.12-1.35, p < 0.001;
TT vs. CT + CC: OR = 1.36, 95% CI = 1.15-1.60, p < 0.001; CT vs. CC: OR = 1.16,
95% CI = 1.07-1.27, p < 0.001). In the stratified analysis based on cancer types,
significant associations were observed between NQO1 C609T polymorphism and GC (OR
= 1.38, 95% CI = 1.11-1.72, p = 0.003) and CRC (OR = 1.18, 95% CI = 1.06-1.30, p
= 0.001), but not with EC (OR = 1.16, 95% CI = 0.99-1.35, p = 0.06). Furthermore,
stratified analysis based on ethnicity indicated that there was a significant
association between NQO1 C609T polymorphism and DT cancer risk in the Asian (TT
vs. CC: OR = 1.55, 95% CI = 1.21-2.00, p <= 0.001) as well as in Caucasian
populations (TT vs. CC: OR = 1.34, 95% CI = 1.04-1.73, p = 0.02). In conclusion,
the results of meta-analysis suggested that the NQO1 C609T polymorphism is a risk
factor for DT cancers, including GC and CRC.
PMID- 29652515
TI - Structure and Function of the 26S Proteasome.
AB - As the endpoint for the ubiquitin-proteasome system, the 26S proteasome is the
principal proteolytic machine responsible for regulated protein degradation in
eukaryotic cells. The proteasome's cellular functions range from general protein
homeostasis and stress response to the control of vital processes such as cell
division and signal transduction. To reliably process all the proteins presented
to it in the complex cellular environment, the proteasome must combine high
promiscuity with exceptional substrate selectivity. Recent structural and
biochemical studies have shed new light on the many steps involved in proteasomal
substrate processing, including recognition, deubiquitination, and ATP-driven
translocation and unfolding. In addition, these studies revealed a complex
conformational landscape that ensures proper substrate selection before the
proteasome commits to processive degradation. These advances in our understanding
of the proteasome's intricate machinery set the stage for future studies on how
the proteasome functions as a major regulator of the eukaryotic proteome.
PMID- 29652516
TI - Attitudes and Perception Towards Eye Donation in Patients with Corneal Disease: A
Case-controlled Population-based Study.
AB - PURPOSE: To assess awareness, barriers, and misconceptions related to eye
donation in people with corneal disease as compared to controls in a population
setting. MATERIALS AND METHODS: A population-based study was conducted in 25
randomly selected clusters of Rural Gurgaon, Haryana, India, as part of the CORE
(Cornea Opacity Rural Epidemiological) study. In addition to ophthalmic
examination, knowledge and perceptions regarding eye donation were assessed
through a validated questionnaire. The questionnaire captured the
sociodemographic factors influencing awareness regarding eye donation in
participants with corneal disease and twice the number of age- and gender-matched
controls recruited from the same study clusters. Descriptive statistics were
computed along with multivariable logistic regression analysis to determine
associated factors for awareness of eye donation. RESULTS: In the CORE study, 452
participants had corneal opacities on ocular examination. Of these, 442 were
assessed for eye donation awareness. Additionally, 884 age- and gender-matched
controls were recruited. The mean age of cases and controls was 60.9 +/- 15.5 and
59.6 +/- 14.3 years, respectively. Awareness of eye donation in cases and
controls was 46.4% (n = 205 of 442) and 52.3% (n = 462 of 884), respectively (P =
0.044). Educational status was an important factor determining knowledge about
eye donation in both cases and controls (P = < 0.001). Major barriers reported
for not pledging eyes were lack of willingness (36.7%) and ignorance (15.3%).
Common misconceptions like eyes could be donated before death or even after 24 h
of death and that any type of blindness could be treated with corneal
transplantation were prevalent. CONCLUSIONS: The study demonstrated that although
there is substantial awareness about eye donation, there are numerous barriers in
this population that need to be resolved to improve donation rates. Additional
efforts are needed to translate this awareness into actual eye donation in both
cases with corneal disease and controls.
PMID- 29652517
TI - Angiotensin-(1-7) Attenuated Cigarette Smoking-related Pulmonary Fibrosis via
Improving the Impaired Autophagy Caused by Nicotinamide Adenine Dinucleotide
Phosphate Reduced Oxidase 4-Dependent Reactive Oxygen Species.
AB - Cigarette smoking is acknowledged as the major risk factor of pulmonary fibrosis.
Angiotensin (Ang) II has been reported to aggravate smoking-induced lung
fibrosis, whereas the effect of Ang-(1-7) on smoking-related lung fibrosis
remains unknown. The autophagy, being activated by reactive oxygen species (ROS),
is identified as a novel mechanism of pulmonary fibrosis. However, whether
autophagy is involved in regulation of smoking-induced lung fibrosis still needs
investigation. Here, we aim to investigate the effect of Ang-(1-7) on smoking
related lung fibrosis by the regulation of autophagy and ROS. In vivo, Ang-(1-7)
was constantly infused into passive smoking rats for 8 weeks. In vitro, primary
lung fibroblasts were pretreated with antioxidant, nicotinamide adenine
dinucleotide phosphate reduced oxidase (NOX) 4 siRNA, or light chain (LC) 3B
siRNA before exposure to cigarette smoke extract (CSE). GFP-mCherry red
fluorescent protein-LC3 advenovirus was introduced to evaluate the autophagic
flux in cells. We found that Ang-(1-7) reduced hydrogen peroxide (H2O2)
concentration, protein levels of NOX4, and autophagy impairment, as well as
improving lung fibrosis induced by smoking stimulation in vivo. In vitro, CSE
treatment elevated NOX4 protein expression and ROS production, resulting in the
accumulation of impaired autophagosomes in fibroblasts. LC3B depletion enhanced
CSE-induced collagen synthesis. Treatment with antioxidants or NOX4 siRNA
inhibited CSE-induced insufficient autophagic flux and collagen production. In
contrast, the action of Ang-(1-7) opposed the effects of CSE. In conclusion, Ang
(1-7) improves smoking-induced pulmonary fibrosis via attenuating the impaired
autophagy caused by NOX4-dependent ROS in vivo and in vitro.
PMID- 29652519
TI - Drug-Induced Stevens-Johnson Syndrome and Toxic Epidermal Necrolysis Call for
Optimum Patient Stratification and Theranostics via Pharmacogenomics.
AB - The Global Genomic Medicine Collaborative, a multinational coalition of genomic
and policy experts working to implement genomics in clinical care, considers
pharmacogenomics to be among the first areas in genomic medicine that can provide
guidance in routine clinical practice, by linking genetic variation and drug
response. Stevens-Johnson syndrome (SJS) and toxic epidermal necrolysis (TEN) are
severe life-threatening reactions to medications with a high incidence worldwide.
Genomic screening prior to drug administration is a key opportunity and potential
paradigm for using genomic medicine to reduce morbidity and mortality and
ultimately eliminate one of the most devastating adverse drug reactions. This
review focuses on the current understanding of the surveillance, pathogenesis,
and treatment of SJS/TEN, including the role of genomics and pharmacogenomics in
the etiology, treatment, and eradication of preventable causes of drug-induced
SJS/TEN. Gaps, unmet needs, and priorities for future research have been
identified for the optimal management of drug-induced SJS/TEN in various ethnic
populations. Pharmacogenomics holds great promise for optimal patient
stratification and theranostics, yet its clinical implementation needs to be cost
effective and sustainable.
PMID- 29652518
TI - Discoidin Domain Receptor 2 Signaling Regulates Fibroblast Apoptosis through
PDK1/Akt.
AB - Progressive fibrosis is a complication of many chronic diseases, and
collectively, organ fibrosis is the leading cause of death in the United States.
Fibrosis is characterized by accumulation of activated fibroblasts and excessive
deposition of extracellular matrix proteins, especially type I collagen.
Extensive research has supported a role for matrix signaling in propagating
fibrosis, but type I collagen itself is often considered an end product of
fibrosis rather than an important regulator of continued collagen deposition.
Type I collagen can activate several cell surface receptors, including
alpha2beta1 integrin and discoidin domain receptor 2 (DDR2). We have previously
shown that mice deficient in type I collagen have reduced activation of DDR2 and
reduced accumulation of activated myofibroblasts. In the present study, we found
that DDR2-null mice are protected from fibrosis. Surprisingly, DDR2-null
fibroblasts have a normal and possibly exaggerated activation response to
transforming growth factor-beta and do not have diminished proliferation compared
with wild-type fibroblasts. DDR2-null fibroblasts are significantly more prone to
apoptosis, in vitro and in vivo, than wild-type fibroblasts, supporting a
paradigm in which fibroblast resistance to apoptosis is critical for progression
of fibrosis. We have identified a novel molecular mechanism by which DDR2 can
promote the activation of a PDK1 (3-phosphoinositide dependent protein kinase
1)/Akt survival pathway, and we have found that inhibition of PDK1 can augment
fibroblast apoptosis. Furthermore, our studies demonstrate that DDR2 expression
is heavily skewed to mesenchymal cells compared with epithelial cells and that
idiopathic pulmonary fibrosis cells and tissue demonstrate increased activation
of DDR2 and PDK1. Collectively, these findings identify a promising target for
fibrosis therapy.
PMID- 29652521
TI - Social Media Use and Well-Being in People with Physical Disabilities: Influence
of SNS and Online Community Uses on Social Support, Depression, and Psychological
Disposition.
AB - This study examined the relationships across social media use, social support,
depression, and general psychological disposition among people with movement or
mobility disabilities in Korea. First, with survey data (n = 91) collected from
users of social network sites (SNSs) and online communities, hypotheses regarding
positive associations between intensity of an individual's engagement in social
media and four different types of social support-emotional, instrumental,
informational, and appraisal support-were tested as well as hypotheses regarding
mediation effects of the social support variables in the association between
social media use and depression. Second, through focus group interviews (n = 15),
influences of social media use on social support were more thoroughly explored as
well as their influences on general psychological disposition. Results from
hierarchical regression analyses confirmed that both intensity of SNS use and
online community use significantly predicted instrumental, informational, and
appraisal support, while they did not predict emotional support. Further
regression and Sobel tests showed that higher levels of intensity of SNS use and
of online community use both led to lower levels of depression through the
mediation of instrumental and informational support. Analysis of the interviews
further revealed the positive roles of social media use in building social
support and healthy psychological dispositions. However, analysis also revealed
some negative consequences of and limitations to social media use for those with
physical disabilities. These findings expand our knowledge of the context and
implications of engaging in online social activities for people with physical
disabilities.
PMID- 29652520
TI - Hemolysis-induced Lung Vascular Leakage Contributes to the Development of
Pulmonary Hypertension.
AB - Although hemolytic anemia-associated pulmonary hypertension (PH) and pulmonary
arterial hypertension (PAH) are more common than the prevalence of idiopathic PAH
alone, the role of hemolysis in the development of PAH is poorly characterized.
We hypothesized that hemolysis independently contributes to PAH pathogenesis via
endothelial barrier dysfunction with resulting perivascular edema and
inflammation. Plasma samples from patients with and without PAH (both confirmed
by right heart catheterization) were used to measure free hemoglobin (Hb) and its
correlation with PAH severity. A sugen (50 mg/kg)/hypoxia (3 wk)/normoxia (2 wk)
rat model was used to elucidate the role of free Hb/heme pathways in PAH. Human
lung microvascular endothelial cells were used to study heme-mediated endothelial
barrier effects. Our data indicate that patients with PAH have increased levels
of free Hb in plasma that correlate with PAH severity. There is also a
significant accumulation of free Hb and depletion of haptoglobin in the rat
model. In rats, perivascular edema was observed at early time points concomitant
with increased infiltration of inflammatory cells. Heme-induced endothelial
permeability in human lung microvascular endothelial cells involved activation of
the p38/HSP27 pathway. Indeed, the rat model also exhibited increased activation
of p38/HSP27 during the initial phase of PH. Surprisingly, despite the increased
levels of hemolysis and heme-mediated signaling, there was no heme oxygenase-1
activation. This can be explained by observed destabilization of HIF-1a during
the first 2 weeks of PH regardless of hypoxic conditions. Our data suggest that
hemolysis may play a significant role in PAH pathobiology.
PMID- 29652522
TI - Realizing the Paris Climate Agreement to Improve Cardiopulmonary Health. Where
Science Meets Policy.
PMID- 29652524
TI - Smoking, ADHD, and Problematic Video Game Use: A Structural Modeling Approach.
AB - Problematic video game use (PVGU), or addiction-like use of video games, is
associated with physical and mental health problems and problems in social and
occupational functioning. Possible correlates of PVGU include frequency of play,
cigarette smoking, and attention deficit hyperactivity disorder (ADHD). The aim
of the current study was to explore simultaneously the relationships among these
variables as well as test whether two separate measures of PVGU measure the same
construct, using a structural modeling approach. Secondary data analysis was
conducted on 2,801 video game users (Mage = 22.43 years, standard deviation
[SD]age = 4.7; 93 percent male) who completed an online survey. The full model
fit the data well: chi2 (2) = 2.017, p > 0.05; root mean square error of
approximation (RMSEA) = 0.002 (90% CI [0.000-0.038]); comparative fit index (CFI)
= 1.000; standardized root mean square residual (SRMR) = 0.004; and all
standardized residuals <|0.1|. All freely estimated paths were statistically
significant. ADHD symptomatology, smoking behavior, and hours of video game use
explained 41.8 percent of variance in PVGU. Tracking these variables may be
useful for PVGU prevention and assessment. Young's Internet Addiction Scale,
adapted for video game use, and the Problem Videogame Playing Scale both loaded
strongly onto a PVGU factor, suggesting that they measure the same construct,
that studies using either measure may be compared to each other, and that both
measures may be used as a screener of PVGU.
PMID- 29652523
TI - Attitudes on technological, social, and behavioral economic strategies to reduce
cellphone use among teens while driving.
AB - OBJECTIVE: The majority of U.S. teens admit to handheld cellphone use while
driving, an increasingly common cause of crashes. Attitudes toward novel
cellphone applications and settings that block use while driving are poorly
understood, potentially limiting uptake. We examined teens' willingness to reduce
cellphone use while driving and perceptions of potential strategies to limit this
behavior. METHODS: Teen drivers (n = 153) aged 16-17 who owned smartphones and
admitted to texting while driving completed an online survey. Survey instruments
measured willingness to give up cellphone use and perceptions of technological
and behavioral economic strategies to reduce cellphone use while driving. We used
chi-square tests to test the hypothesis that willingness to give up certain types
of cellphone use while driving and the perceptions of strategies to reduce
cellphone use while driving would differ by self-reported frequency of texting
while driving in the past 30 days (low [1-5 days] vs. high [6 or more days]).
RESULTS: Most teens were willing or somewhat willing to give up reading texts
(90%), sending texts (95%), and social media (99%) while driving. However, they
were not willing to give up navigation (59%) and music applications (43%). Those
who engaged in high-frequency texting while driving were more likely to say that
they were not willing to give up navigation applications (73 vs. 44%, P <.001),
music applications (54 vs. 32%, P <.001), and reading texts (15 vs. 4%, P =.029).
Overall, the following strategies where rated as likely to be very effective for
reducing texting while driving: gain-framed financial incentives (75%), loss
framed financial incentives (63%), group-based financial incentives (58%),
insurance discounts (53%), automatic phone locking while driving (54%), e-mail
notifications to parents (47%), automated responses to incoming texts (42%), peer
concern (18%), and parental concern (15%). Those who engaged in high-frequency
texting while driving were less likely to say that following strategies would be
very effective: automated responses to incoming texts (33 vs. 53%, P =.016), peer
concern (9 vs. 29%, P =.002), and parental concern (9 vs. 22%, P =.025). The
strongest perceived benefit of cellphone blocking apps was decreasing distraction
(86%). The predominant reason for not wanting to use this technology was not
wanting parents to monitor their behavior (60%). CONCLUSIONS: Promising
strategies for increasing acceptance of cellphone blocking technology among teen
drivers include automated screen locking and permitting hands-free navigation and
music combined with behavioral economic incentives to sustain engagement.
PMID- 29652525
TI - 'Reported concussion' time trends within two national health surveys over two
decades.
AB - BACKGROUND: An 'epidemic' of concussions has been widely reported. We examined
the annual incidence of reported concussion or other brain injury, over 20 years
within Canada in order to explore the magnitude of this reported epidemic.
METHODS: Two Canadian nationally representative health surveys have serially
collected injury data associated with disability. The National Population Health
Survey (NPHS) collected data on 'concussion' (1994-1999), and the Canadian
Community Health Survey (CCHS) collects data on 'concussion or other brain
injury' (2000-current). Respondents 12 years and older reporting concussion or
other brain injury occurring within the previous year were used to produce serial
incidence data. RESULTS: Nationally representative data were available biennially
from 1994/95 through 2013/14 with the exception of 2007/08 and 2011/12. Reported
incidence of concussions, or concussions and other brain injury has been stable
until 2005/06 when the reported annual incidence started an upward slope to
levels 250% higher without any apparent stabilization by 2013/14, at which time
approximately 1 in 200 Canadians 12 years and older reported concussion or other
brain injury as their most significant injury associated with disability in the
previous year. CONCLUSIONS: The current pandemic of reported brain injury in
Canada will have implications for health-care delivery.
PMID- 29652526
TI - Side Streets and U-Turns: Effects of Context Switching, Direction Switching, and
Factor Switching on Interitem Correlations and Misresponse Rates.
AB - This study tested implications of the context switching perspective proposed by
Hamby, Ickes, and Babcock ( 2016 ). Using trained raters to assess the amount of
reframing required to interpret the meaning of the subsequent (second) item
within all adjacent item pairs, we first established that this process variable
could be measured reliably. Then, in the data for 18 personality measures drawn
from 3 individual-difference domains, we found that the amount of reframing
(i.e., context switching) needed to interpret successive items predicted both
lower interitem correlations and a greater percentage of misresponders.
Similarly, item pairs that were mismatched in "directional" wording also
predicted both lower interitem correlations and more misresponders. Finally, item
pairs representing different factors predicted lower interitem correlations.
Although the effects of direction switching and factor switching were partially
mediated by the amount of reframing required, they remained significant even when
the mediating effect of reframing was statistically controlled. These results
indicate that interpreting the meaning of test items is a task for which the
level of difficulty can vary with each successive item, as a function of how the
current item compares to the previous item in aspects such as its context
generality or specificity, directional wording, and content domain.
PMID- 29652527
TI - Contributions to advances in blend pellet products (BPP) research on molecular
structure and molecular nutrition interaction by advanced synchrotron and globar
molecular (Micro)spectroscopy.
AB - To date, advanced synchrotron-based and globar-sourced techniques are almost
unknown to food and feed scientists. There has been little application of these
advanced techniques to study blend pellet products at a molecular level. This
article aims to provide recent research on advanced synchrotron and globar
vibrational molecular spectroscopy contributions to advances in blend pellet
products research on molecular structure and molecular nutrition interaction. How
processing induced molecular structure changes in relation to nutrient
availability and utilization of the blend pellet products. The study reviews
Utilization of co-product components for blend pellet product in North America;
Utilization and benefits of inclusion of pulse screenings; Utilization of
additives in blend pellet products; Application of pellet processing in blend
pellet products; Conventional evaluation techniques and methods for blend pellet
products. The study focus on recent applications of cutting-edge vibrational
molecular spectroscopy for molecular structure and molecular structure
association with nutrient utilization in blend pellet products. The information
described in this article gives better insight on how advanced molecular
(micro)spectroscopy contributions to advances in blend pellet products research
on molecular structure and molecular nutrition interaction.
PMID- 29652528
TI - ERK1/2-Dependent Gene Expression Contributing to TGFbeta-Induced Lens EMT.
AB - PURPOSE: This study aims to highlight some of the genes that are differentially
regulated by ERK1/2 signaling in TGFbeta-induced EMT in lens, and their potential
contribution to this pathological process. MATERIALS AND METHODS: Rat lens
epithelial explants were cultured with or without TGFbeta over a 3-day-culture
period to induce EMT, in the presence or absence of UO126 (ERK1/2 signaling
inhibitor), both prior to TGFbeta-treatment, or 24 or 48 hours after TGFbeta
treatment. Smad2/3-nuclear immunolabeling was used to indicate active TGFbeta
signaling, and quantitative RT-PCR was used to analyze changes in the different
treatment groups in expression of the following representative genes: TGFbeta
signaling (Smad7, Smurf1, and Rnf111), epithelial markers (Pax6, Cdh1, Zeb1, and
Zeb2), cell survival/death regulators (Bcl2, Bax, and Bad) and lens mesenchymal
markers (Mmp9, Fn1, and Col1a1), over the 3 days of culture. RESULTS: ERK1/2 was
found to regulate the expression of Smurf1, Smad7, Rnf11, Cdh1, Pax6, Zeb1, Bcl2,
Bax, and Bad genes in lens cells. TGFbeta signaling was evident by nuclear
localization of Smad2/3 and this was effectively blocked by pre-treatment with
UO126, but not by post-treatment with this ERK1/2 signaling inhibitor. TGFbeta
induced the expression of its signaling partners (Smad7, Smurf1, and Rnf111), as
well as lens mesenchymal genes (Mmp9, Fn1, and Col1a1), consistent with its role
in inducing an EMT. These TGFbeta-responsive signaling genes, as well as the
mesenchymal markers, were all positively regulated by ERK1/2-activity. The
expression levels of the lens epithelial genes we examined, and genes that were
associated with cell death/survival, were not directly impacted by TGFbeta.
CONCLUSIONS: TGFbeta-mediated ERK1/2 signaling positively modulates the
expression of mesenchymal genes in lens epithelial explants undergoing EMT, in
addition to regulating TGFbeta-mediated regulatory genes. Independent of TGFbeta,
ERK1/2 activity can also regulate the expression of endogenous lens epithelial
genes, highlighting its potential key role in regulation of both normal and
pathological lens cellular processes.
PMID- 29652529
TI - Neurocognitive Predictors of Clinical Improvement in Selective Serotonin Reuptake
Inhibitor-Treated Adolescents with Major Depressive Disorder.
AB - OBJECTIVES: Numerous studies have suggested cognitive deficits as consistently
associated with adolescent depression. No study to date, however, has assessed
neurocognitive predictors of selective serotonin reuptake inhibitor (SSRI)
treatment response in adolescents with depression. This study examined
neurocognitive tasks at baseline as predictors of clinical improvement with SSRI
treatment (fluoxetine) at week 6 and 12 in an adolescent population. METHODS:
Adolescents with depression were recruited from a child and adolescent psychiatry
outpatient clinic at a university medical center. Twenty-four adolescents (mean
age 14.8 years) with Major Depressive Disorder completed tasks of the Cambridge
Neuropsychological Test Automated Battery, including visual memory, executive
functioning, sustained attention, and impulsivity. Depression severity, measured
by the Children's Depression Rating Scale-Revised (CDRS-R), was assessed at week
6 and 12 and clinical improvement was defined as percentage (%) change in CDRS-R
from baseline. RESULTS: Clinical improvement is noted at both week 6 (mean %
change in CDRS-R [M] = 46.8, standard deviation [SD] = 51.9) and week 12 (M =
87.9, SD = 57.2). Results reveal that less difficulty in sustained attention (p =
0.02), lower impulsivity (p = 0.00), and better planning (p = 0.04) at baseline
were predictors of greater clinical improvement at week 6. Lower impulsivity at
baseline remained significantly predictive of clinical improvement at week 12 (p
= 0.01). CONCLUSION: Neurocognitive assessments could potentially help identify a
subset of depressed adolescents who may not respond to conventional SSRI
treatment and who may be better candidates for alternative or augmentation
treatments.
PMID- 29652530
TI - Assessing Psychological Adjustment to Congenital Craniofacial Anomalies: An
Illustration of Methodological Challenges.
AB - BACKGROUND: Recognition of the challenges inherent in psychology research related
to cleft lip and/or palate (CL/P) and other craniofacial anomalies (CFAs) is far
from novel; yet these challenges continue to limit progress within the field. The
aim of the present article was to illustrate these challenges by utilizing data
extracted from 2 recent literature reviews pertaining to psychological adjustment
within CL/P and CFA research. DESIGN: Data relating to 148 CL/P and 41 CFA
studies were extracted, summarized, and compared, using percentages, figures, and
chi2 calculations. RESULTS: Comparable patterns were observed in both populations
in relation to small sample sizes, wide age ranges, a reliance on data collection
from single sites, and limited global coverage. Similarly, only one-third of all
studies had used a comparison group, 42% of studies did not include the patient
perspective, and fewer than 10% of studies had collected data longitudinally.
Qualitative research was lacking across both populations, but particularly in
relation to CFA. A higher proportion of CFA studies utilized validated measures
and were less likely to exclude patients with additional anomalies. CFA studies
most frequently focused on behavior and overall quality of life, while CL/P
studies tended to investigate emotional well-being and social experiences.
CONCLUSIONS: Findings illustrate the variability in research approaches,
sampling, measurement, and analysis across both populations. There is a pressing
need to address key methodological issues within craniofacial research and to
examine the possible similarities and condition-specific differences between CL/P
and other congenital craniofacial anomalies.
PMID- 29652531
TI - Adult Narratives of the Psychosocial Impact of Cleft in a Western Australian
Cohort.
AB - BACKGROUND: Living with a cleft condition involves many years of
multidisciplinary therapy, treatment, and surgical intervention. This complex
process may have both physical and psychosocial long-term impacts. There is
limited evidence of the psychosocial impact of cleft on individuals in Australia.
AIM: To obtain an understanding of the adult patient perception of having a cleft
and explore the impact of the condition on their lives. DESIGN: Qualitative
methodologies at one case study site in Western Australia. Population of
Interest: Adults with a cleft lip and/or palate (CL/P) who had received treatment
at Princess Margaret Hospital, the only treatment center for cleft in Western
Australia. METHODOLOGY: Individual in-depth semi-structured qualitative
interviews were conducted and recorded and transcribed for analysis. RESULTS:
Fifteen adults with a CL/P. Two main themes were identified: lived experience
(with 3 subthemes: normality, support networks, and impacts in later life) and
advice to others. The majority of participants reported social rejection and
isolation, which occurred mostly at school and in some instances at home, but did
not often last into adulthood. The greatest protective factor was the support of
family and friends, which if missing during their childhood, was desired and
appreciated in adulthood. CONCLUSION: Adults with CL/P may require psychosocial
support from their cleft team including referral to clinicians in adult services.
There is also the need for earlier psychological interventions and social
programs to support those with appearance-related social difficulties.
PMID- 29652532
TI - Comparing Psychological Adjustment Across Cleft and Other Craniofacial
Conditions: Implications for Outcome Measurement and Intervention.
AB - Although cleft lip and/or palate (CL/P) has been a focus of psychological
research for a number of years, investigation of adjustment to other, rarer
craniofacial anomalies (CFAs) has been scarce. Yet, many features of the journey
experienced by patients with CL/P could also have relevance for those affected by
other CFAs and vice versa. This brief article summarizes the literature
pertaining to psychological adjustment across both craniofacial groups, as
represented by several prominent review articles. Similarities across the 2
patient groups in relation to key domains of psychological adjustment and
corresponding factors are identified. Consequently, a standardized approach to
measurement across all congenital craniofacial conditions is proposed, alongside
suggestions for the potential application of similar intervention frameworks and
clinical approaches.
PMID- 29652533
TI - Facial and Nasolabial Aesthetics of Complete UCLP Submitted to 2-Stage Palate
Repair With Vomer Flap.
AB - OBJECTIVE: To evaluate the aesthetics of nasolabial appearance and facial profile
of children with unilateral cleft lip and palate (UCLP) submitted to 2-stage
palate repair with vomerine flap. DESIGN: Retrospective. SETTING: Single center.
PATIENTS: Forty patients with UCLP, mean age of 7.81 years of both sexes,
rehabilitated at a single center by 1 plastic surgeon. INTERVENTIONS: Lip and
anterior palate repair with nasal alar repositioning was performed at 3 to 6
months of age by Millard technique and vomer flap, respectively. Posterior palate
was repaired at 18 months by Von Langenbeck technique. MAIN OUTCOME MEASURE(S):
Four cropped digital facial photographs of each patient were evaluated by 3
orthodontists to score the nasolabial aesthetics and profile. Frequencies of each
score as well means and medians were calculated. Kappa test was used for
evaluating inter- and intrarater reproducibility. RESULTS: The nasal form and
deviation was scored as good/very good in 70%, fair in 22.5%, and poor in 7.5% of
the sample. The nasal-subnasal aesthetic was considered good/very good in 55%,
fair in 30%, and poor in 15% of the sample. The lip vermilion border and the
white part of surgical scar aesthetics were good/very good in 77.5% and 80%, fair
in 17.5% for both categories, and poor in 5% and 2.5% of the cases, respectively.
In all, 67.5% showed convex facial profile, 20% was straight, and 12.5% was
concave profile. CONCLUSIONS: Two-stage palatoplasty presented an adequate
aesthetical results for the majority of patients with UCLP in the mixed
dentition.
PMID- 29652534
TI - 22q11.2 Deletion Syndrome in Colombian Patients With Syndromic Cleft Lip and/or
Palate.
AB - The objective of this work was to identify 22q11.2 chromosomal deletion in
patients with cleft lip and/or cleft palate and suggestive syndromic phenotype in
Colombian patients. We studied 49 patients with cleft lip and/or cleft palate,
exhibiting additional clinical findings linked to 22q11.2 deletion syndrome. All
patients underwent high-resolution G-banded karyotyping, multiplex ligation
dependent probe amplification, and clinical evaluation by a geneticist. Seven
patients presented 22q11.2 deletion and 2 patients had other chromosomal
abnormalities. In conclusion, this study contributes with new data for genetic
etiology in syndromic conditions of oral fissures.
PMID- 29652535
TI - Teachers' Perspectives on the Impact of Cleft Lip and/or Palate During the School
Years.
AB - BACKGROUND: Cleft lip and/or palate (CL/P) poses many challenges for those
affected throughout the school years, with recent studies pointing to a trend in
poor educational outcomes. Previous research has highlighted the important role
that teachers play in supporting young people with chronic health conditions yet
studies in the field of CL/P suggest that teachers may lack knowledge of the
condition, and underestimate pupils' academic ability. Qualitative investigation
of the impact of CL/P from the teacher's perspective may provide additional
insight as well as potential suggestions for improving the school experience for
affected pupils. METHODS: Twenty UK preschool, primary, and secondary school
teachers with experience of teaching pupils with CL/P completed an open-ended
survey, eliciting qualitative data. RESULTS: Qualitative content analysis was
performed. Data were presented under 5 key headings: Knowledge of CL/P and its
effects, perceived impact of CL/P on education, teachers' training needs,
delivery of training, and impact of CL/P on teachers. Teachers were able to
identify a number of potential social, emotional, and treatment-related
challenges within the school setting, yet did not believe that CL/P would have a
long-term impact on pupils' educational achievement. Participating teachers
reported that cleft-specific training and access to relevant resources would be
highly beneficial. CONCLUSIONS: Acting as a platform for future research, this
exploratory study provides insight into the challenges faced by teachers and
offers suggestions for how to improve teachers' understanding of CL/P, and their
confidence in dealing with cleft-related issues.
PMID- 29652536
TI - Computed Tomography-Assisted Auricular Cartilage Graft for Depression of the Alar
Base in Secondary Unilateral Cleft Lip Repair: A Preliminary Report.
AB - OBJECTIVES: To evaluate the feasibility, effectiveness, and safety of computed
tomography-assisted auricular cartilage grafting for treating alar base
depression secondary to unilateral cleft lip. DESIGN AND SETTING: For patients
with obvious depression of the alar base, the difference in heights of the alar
base and the piriform margin between the cleft side and the noncleft side were
measured with computed tomography. If both were >3.0 mm, the cartilage was
harvested postauricularly and subdivided into 2 to 4 pieces. A multiple layer
graft was inserted under the depressed alar base. The procedure was performed
from 2006 to 2013, and the follow-up period was 3 to 15 months. PARTICIPANTS:
Chinese patients with alar base depression secondary to unilateral cleft lip were
selected. INTERVENTION: Suture and cartilage graft techniques. MAIN OUTCOMES
MEASURES: Differences in bilateral alar base heights and piriform apertures.
RESULTS: There was no wound dehiscence, exposure of bone, or donor site
morbidity. The difference in heights in the bilateral alar bases and piriform
apertures decreased. There were no obvious scars in any of the cases.
CONCLUSIONS: This technique has several advantages including ease of operation,
minimal trauma, satisfactory outcomes, and useful references for operation
provided by computed tomography. It is a superior alternative for reconstruction
of secondary alar depression.
PMID- 29652537
TI - Subphenotyping and Classification of Cleft Lip and Alveolus in Adult Unoperated
Patients: A New Embryological Approach.
AB - OBJECTIVE: Previously, a new embryological classification was introduced
subdividing oral clefts into fusion and/or differentiation defects. This
subdivision was used to classify all subphenotypes of cleft lip with or without
alveolus (CL+/-A). Subsequently, it was investigated whether further
morphological grading of incomplete CLs is clinically relevant, and which
alveolar part is deficient in fusion/differentiation defects. DESIGN:
Observational cohort study. SETTING: Three hundred fifty adult unoperated
Indonesian cleft patients presented themselves for operation. Cephalograms,
dental casts, and intraoral and extraoral photographs-eligible for the present
study-were used to determine morphological severity of CL+/-A. PATIENTS: Patients
with unilateral or bilateral clefts of the primary palate only were included.
MAIN OUTCOME MEASURES: Clefts were classified-according to developmental
mechanisms and timing in embryogenesis-as fusion and/or differentiation defects.
Grades of incomplete CLs were related to the severity of alveolar clefts (CAs)
and hypoplasia, and permanent dentition was used to investigate which alveolar
part is deficient in fusion/differentiation defects. RESULTS: One hundred eight
adult patients were included. All subphenotypes-96 unilateral and 12 bilateral
clefts-could be classified into differentiation (79%), fusion (17%), fusion
differentiation (2%), or fusion and differentiation (2%) defects. The various
grades of incomplete CLs were related to associated CAs and hypoplasia, and all
alveolar deformities were located in the premaxillae. CONCLUSIONS: This study
showed that all CL+/-A including the Simonart bands can be classified, that
further morphological grading of incomplete CLs is clinically relevant, and that
the premaxilla forms the deficient part in alveolar deformities.
PMID- 29652538
TI - Novel 3-D Analysis for the Assessment of Cleft Dimensions on Digital Models of
Infants With Unilateral Cleft Lip and Palate.
AB - OBJECTIVE: To present a 3-D standardized analysis of cleft dimensions. MATERIALS:
Thirty-one plaster casts of unilateral cleft lip and palate (UCLP) infants were
laser scanned. Landmarks and coordinate system were defined. Linear distances and
surfaces were measured, and the ratio between cleft and palatal area was
calculated (3-D infant cleft severity ratio [3D ICSR]). The digitally measured
areas were compared with silicone membranes, adapted to the plaster casts, and
analyzed by optic microscopy. MAIN OUTCOME MEASURES: Repeatability,
reproducibility, and validity. RESULTS: Bland-Altman plots showed minor bias for
anterior cleft width (0.16 mm), arch length (0.23 mm), and palatal surface (-9.18
mm2). The random error was maximum 0.78 mm for linear measurements and 12.1 mm2
for area measurements, reliability of 3D ICSR was 0.99 (intraclass correlation
coefficient), differences between measurements on digital and plaster models were
less than 2%. CONCLUSIONS: The method offers valid and reproducible 3-D
assessment of cleft size and morphology. 3-D infant cleft severity ratio can be
used as baseline value for surgical planning and may have a role in predicting
dentofacial development.
PMID- 29652539
TI - Maxillary Growth in Cleft Lip and Palate Patients, With and Without Vomerine Flap
Closure of the Hard Palate at the Time of Lip Repair: A Retrospective Analysis of
Prospectively Collected Nonrandomized Data, With 10-Year Cephalometric Outcomes.
AB - OBJECTIVE: To assess the midterm effect on maxillary growth of vomerine flap (VF)
closure of the hard palate, at the time of lip repair. DESIGN: A retrospective
analysis of prospectively collected nonrandomized data. INTERVENTIONS:
Consecutive participants with a unilateral cleft lip and palate (UCLP) were
operated on, at 3 months of age, by the same surgeon. They were divided into 2
groups, those who had a VF and those who did not (non-VF). SETTING: Participants
were treated at 2 hospitals in the United Kingdom. PARTICIPANTS: Twenty-eight
participants in the VF group and 24 participants in the non-VF group attended
follow-up at 10 years of age. MAIN OUTCOME MEASURES: Standardized lateral
cephalometric radiographs were taken at 10 years. Following tracing and
digitization, parameters to assess the maxillary growth were analyzed. RESULTS:
No statistically significant differences were found in the anterior-posterior or
vertical skeletal cephalometric parameters. CONCLUSIONS: The results of this
study support the statement that VF repair does not significantly affect
maxillary growth in patients with a UCLP, when assessed cephalometrically at 10
years of age. It should be noted that at this age, growth is not yet complete.
PMID- 29652540
TI - MicroRNAs as regulators of mitochondrial dysfunction and obesity.
AB - Obesity, which has become a major global epidemic, is associated with numerous
comorbidities and nearly every chronic condition. Mitochondria play a central
role in this disorder, as they control cell metabolism, regulating important
processes, such as ATP production, lipid beta-oxidation, oxidative stress, and
inflammation. MicroRNAs (miRs) have been shown to regulate many biological
processes associated with obesity, comprising adipocyte differentiation, insulin
action, and fat metabolism. In addition, recent studies have confirmed that miRs
are important regulators of mitochondrial function by either directly modulating
mitochondrial proteins or targeting mitochondrial regulators, thereby modulating
metabolic process in the context of obesity. In this review, we describe the
different roles of mitochondria in obesity, specifically in adipose tissue, and
those miRs that are involved in mitochondrial dysfunction in this disease.
PMID- 29652541
TI - Dynamic cerebral autoregulation is impaired during submaximal isometric handgrip
in patients with heart failure.
AB - The incidence of neurological complications, including stroke and cognitive
dysfunction, is elevated in patients with heart failure (HF) with reduced
ejection fraction. We hypothesized that the cerebrovascular response to isometric
handgrip (iHG) is altered in patients with HF. Adults with HF and healthy
volunteers were included. Cerebral blood velocity (CBV; transcranial Doppler,
middle cerebral artery) and arterial blood pressure (BP; Finometer) were
continuously recorded supine for 6 min, corresponding to 1 min of baseline and 3
min of iHG exercise, at 30% maximum voluntary contraction, followed by 2 min of
recovery. The resistance-area product was calculated from the instantaneous BP
CBV relationship. Dynamic cerebral autoregulation (dCA) was assessed with the
time-varying autoregulation index estimated from the CBV step response derived by
an autoregressive moving-average time-domain model. Forty patients with HF and 23
BP-matched healthy volunteers were studied. Median left ventricular ejection
fraction was 38.5% (interquartile range: 0.075%) in the HF group. Compared with
control subjects, patients with HF exhibited lower time-varying autoregulation
index during iHG, indicating impaired dCA ( P < 0.025). During iHG, there were
steep rises in CBV, BP, and heart rate in control subjects but with different
temporal patterns in HF, which, together with the temporal evolution of
resistance-area product, confirmed the disturbance in dCA in HF. Patients with HF
were more likely to have impaired dCA during iHG compared with age-matched
control subjects. Our results also suggest an impairment of myogenic, neurogenic,
and metabolic control mechanisms in HF. The relationship between impaired dCA and
neurological complications in patients with HF during exercise deserves further
investigation. NEW & NOTEWORTHY Our findings provide the first direct evidence
that cerebral blood flow regulatory mechanisms can be affected in patients with
heart failure during isometric handgrip exercise. As a consequence, eventual
blood pressure modulations are buffered less efficiently and metabolic demands
may not be met during common daily activities. These deficits in cerebral
autoregulation are compounded by limitations of the systemic response to
isometric exercise, suggesting that patients with heart failure may be at greater
risk for cerebral events during exercise.
PMID- 29652542
TI - Sympathoexcitation constrains vasodilation in the human skeletal muscle
microvasculature during postocclusive reactive hyperemia.
AB - We used diffuse correlation spectroscopy to investigate sympathetic
vasoconstriction, local vasodilation, and integration of these two responses in
the skeletal muscle microvasculature of 20 healthy volunteers. Diffuse
correlation spectroscopy probes were placed on the flexor carpi radialis muscle
or vastus lateralis muscle, and a blood flow index was derived continuously. We
measured hemodynamic responses during sympathoexcitation induced by forehead
cooling, after which the effects of the increased sympathetic tone on
vasodilatory responses during postocclusive reactive hyperemia (PORH) were
examined. PORH was induced by releasing arterial occlusion (3 min) in an arm or
leg. To increase sympathetic tone during PORH, forehead cooling was begun 60 s
before the occlusion release and ended 60 s after the release. During forehead
cooling, mean arterial pressure rose significantly and was sustained at an
elevated level. Significant vasoconstriction and decreases in blood flow index
followed by gradual blunting of the vasoconstriction also occurred. The time
course of these responses is in good agreement with previous observations in
animals. The acute sympathoexcitation diminished the peak vasodilation during
PORH only in the vastus lateralis muscle, but it hastened the decline in
vasodilation after the peak in both the flexor carpi radialis muscle and vastus
lateralis muscle. Consequently, the total vasodilatory response assessed as the
area of the vascular conductance during the first minute of PORH was
significantly diminished in both regions. We conclude that, in humans, the
integrated effects of sympathetic vasoconstriction and local vasodilation have an
important role in vascular regulation and control of perfusion in the skeletal
muscle microcirculation. NEW & NOTEWORTHY We used diffuse correlation
spectroscopy to demonstrate that acute sympathoexcitation constrains local
vasodilation in the human skeletal muscle microvasculature during postocclusive
reactive hyperemia. This finding indicates that integration of sympathetic
vasoconstriction and local vasodilation is importantly involved in vascular
regulation and the control of perfusion of the skeletal muscle microcirculation
in humans.
PMID- 29652544
TI - Acute effects of device-guided slow breathing on sympathetic nerve activity and
baroreflex sensitivity in posttraumatic stress disorder.
AB - Patients with posttraumatic stress disorder (PTSD) have elevated sympathetic
nervous system reactivity and impaired sympathetic and cardiovagal baroreflex
sensitivity (BRS). Device-guided slow breathing (DGB) has been shown to lower
blood pressure (BP) and sympathetic activity in other patient populations. We
hypothesized that DGB acutely lowers BP, heart rate (HR), and improves BRS in
PTSD. In 23 prehypertensive veterans with PTSD, we measured continuous BP, ECG,
and muscle sympathetic nerve activity (MSNA) at rest and during 15 min of DGB at
5 breaths/min ( n = 13) or identical sham device breathing at normal rates of 14
breaths/min (sham; n = 10). Sympathetic and cardiovagal BRS was quantified using
pharmacological manipulation of BP via the modified Oxford technique at baseline
and during the last 5 min of DGB or sham. There was a significant reduction in
systolic BP (by -9 +/- 2 mmHg, P < 0.001), diastolic BP (by -3 +/- 1 mmHg, P =
0.019), mean arterial pressure (by -4 +/- 1 mmHg, P = 0.002), and MSNA burst
frequency (by -7.8 +/- 2.1 bursts/min, P = 0.004) with DGB but no significant
change in HR ( P > 0.05). Within the sham group, there was no significant change
in diastolic BP, mean arterial pressure, HR, or MSNA burst frequency, but there
was a small but significant decrease in systolic BP ( P = 0.034) and MSNA burst
incidence ( P = 0.033). Sympathetic BRS increased significantly in the DGB group
(-1.08 +/- 0.25 to -2.29 +/- 0.24 bursts.100 heart beats-1.mmHg-1, P = 0.014) but
decreased in the sham group (-1.58 +/- 0.34 to -0.82 +/- 0.28 bursts.100 heart
beats-1.mmHg-1, P = 0.025) (time * device, P = 0.001). There was no significant
difference in the change in cardiovagal BRS between the groups (time * device, P
= 0.496). DGB acutely lowers BP and MSNA and improves sympathetic but not
cardiovagal BRS in prehypertensive veterans with PTSD. NEW & NOTEWORTHY
Posttraumatic stress disorder is characterized by augmented sympathetic
reactivity, impaired baroreflex sensitivity, and an increased risk for developing
hypertension and cardiovascular disease. This is the first study to examine the
potential beneficial effects of device-guided slow breathing on hemodynamics,
sympathetic activity, and arterial baroreflex sensitivity in prehypertensive
veterans with posttraumatic stress disorder.
PMID- 29652545
TI - Keynote lecture: strategies for optimal cardiovascular aging.
AB - This review summarizes the opening keynote presentation overview of the American
Physiological Society Conference on Cardiovascular Aging: New Frontiers and Old
Friends held in Westminster, CO, in August 2017. Age is the primary risk factor
for cardiovascular diseases (CVDs). Without effective intervention, future
increases in the number of older adults will translate to a greater prevalence of
CVDs and related disorders. Advancing age increases the risk of CVDs partly via
direct effects on the heart and through increases in blood pressure; however,
much of the risk is mediated by vascular dysfunction, including large elastic
artery stiffening and both macro- and microvascular endothelial dysfunction.
Although excessive superoxide-related oxidative stress and chronic low-grade
inflammation are the major processes driving cardiovascular aging, the upstream
mechanisms involved represent new frontiers of investigation and potential
therapeutic targets. Lifestyle practices, including aerobic exercise, energy
intake (caloric) restriction, and healthy diet composition, are the most evidence
based strategies (old friends) for optimal cardiovascular aging, but adherence is
poor in some groups. Healthy lifestyle "mimicking" approaches, including novel
forms of physical training, intermittent fasting paradigms, exercise/healthy diet
inspired nutraceuticals (functional foods and natural supplements), as well as
controlled environmental stress exposure (e.g., heat therapy), may hold promise
but are unproven. Mitigating the adverse effects of aging on cardiovascular
function and health is a high biomedical priority.
PMID- 29652543
TI - Cardioprotection by intermittent hypoxia conditioning: evidence, mechanisms, and
therapeutic potential.
AB - The calibrated application of limited-duration, cyclic, moderately intense
hypoxia-reoxygenation increases cardiac resistance to ischemia-reperfusion
stress. These intermittent hypoxic conditioning (IHC) programs consistently
produce striking reductions in myocardial infarction and ventricular
tachyarrhythmias after coronary artery occlusion and reperfusion and, in many
cases, improve contractile function and coronary blood flow. These IHC protocols
are fundamentally different from those used to simulate sleep apnea, a recognized
cardiovascular risk factor. In clinical studies, IHC improved exercise capacity
and decreased arrhythmias in patients with coronary artery or pulmonary disease
and produced robust, persistent, antihypertensive effects in patients with
essential hypertension. The protection afforded by IHC develops gradually and
depends on beta-adrenergic, delta-opioidergic, and reactive oxygen-nitrogen
signaling pathways that use protein kinases and adaptive transcription factors.
In summary, adaptation to intermittent hypoxia offers a practical, largely
unrecognized means of protecting myocardium from impending ischemia. The
myocardial and perhaps broader systemic protection provided by IHC clearly merits
further evaluation as a discrete intervention and as a potential complement to
conventional pharmaceutical and surgical interventions.
PMID- 29652546
TI - Ataxia telangiectasia mutated kinase deficiency impairs the autophagic response
early during myocardial infarction.
AB - Ataxia telangiectasia mutated kinase (ATM) is activated in response to DNA
damage. We have previously shown that ATM plays a critical role in myocyte
apoptosis and cardiac remodeling after myocardial infarction (MI). Here, we
tested the hypothesis that ATM deficiency results in autophagic impairment in the
heart early during MI. MI was induced in wild-type (WT) and ATM heterozygous
knockout (hKO) mice by ligation of the left anterior descending artery.
Structural and biochemical parameters of the heart were measured 4 h after left
anterior descending artery ligation. M-mode echocardiography revealed that MI
worsens heart function, as evidenced by reduced percent ejection fraction and
fractional shortening in both groups. However, MI-induced increase in left
ventricular end-diastolic and end-systolic diameters and volumes were
significantly lower in hKO hearts. ATM deficiency resulted in autophagic
impairment during MI, as evidenced by decreased microtubule-associated protein
light chain 3-II increased p62, decreased cathepsin D protein levels, and
increased aggresome accumulation. ERK1/2 activation was only observed in WT-MI
hearts. Activation of Akt and AMP-activated protein kinase (AMPK) was lower,
whereas activation of glycogen synthase kinase (GSK)-3beta and mammalian target
of rapamycin (mTOR) was higher in hKO-MI hearts. Inhibition of ATM using KU-55933
resulted in autophagic impairment in cardiac fibroblasts, as evidenced by
decreased light chain 3-II protein levels and formation of acidic vesicular
organelles. This impairment was associated with decreased activation of Akt and
AMPK but enhanced activation of GSK-3beta and mTOR in KU-55933-treated
fibroblasts. Thus, ATM deficiency results in autophagic impairment in the heart
during MI and cardiac fibroblasts. This autophagic impairment may occur via the
activation of GSK-3beta and mTOR and inactivation of Akt and AMPK. NEW &
NOTEWORTHY Ataxia telangiectasia mutated kinase (ATM) plays a critical role in
myocyte apoptosis and cardiac remodeling after myocardial infarction (MI). Here,
we provide evidence that ATM deficiency results in autophagic impairment during
MI. Further investigation of the role of ATM in autophagy post-MI may provide
novel therapeutic targets for patients with ataxia telangiectasia suffering from
heart disease.
PMID- 29652547
TI - N-terminal alpha-amino group modification of antibodies using a site-selective
click chemistry method.
AB - Site-specific conjugation of small molecules to antibody molecules is a promising
strategy for generation of antibody-drug conjugates. In this report, we describe
the successful synthesis of a novel bifunctional molecule, 6-(azidomethyl)-2
pyridinecarboxyaldehyde (6-AM-2-PCA), which was used for conjugation of small
molecules to peptides and antibodies. We demonstrated that 6-AM-2-PCA selectively
reacted with N-terminal amino groups of peptides and antibodies. In addition, the
azide group of 6-AM-2-PCA enabled copper-free click chemistry coupling with
dibenzocyclooctyne-containing reagents. Bifunctional 6-AM-2-PCA mediated site
specific conjugation without requiring genetic engineering of peptides or
antibodies. A key advantage of 6-AM-2-PCA as a conjugation reagent is its ability
to modify proteins in a single step under physiological conditions that are
sufficiently moderate to retain protein function. Therefore, this new click
chemistry-based method could be a useful complement to other conjugation methods.
PMID- 29652548
TI - CA125 suppresses amatuximab immune-effector function and elevated serum levels
are associated with reduced clinical response in first line mesothelioma
patients.
AB - The tumor-shed antigen CA125 has recently been found to bind certain monoclonal
antibodies (mAbs) and suppress immune-effector mediated killing through
perturbation of the Fc domain with CD16a and CD32a Fc-gamma activating receptors
on immune-effector cells. Amatuximab is a mAb targeting mesothelin whose
mechanism of action utilizes in part antibody-dependent cellular cytotoxicity
(ADCC). It is being tested for its therapeutic activity in patients with
mesothelioma in combination with first line standard-of-care. To determine if
CA125 has immunosuppressive effects on amatuximab ADCC and associated clinical
outcomes, post hoc subgroup analysis of patients from a Phase 2 study with
primary diagnosed stage III/IV unresectable mesothelioma treated with amatuximab
plus cisplatin and pemetrexed were conducted. Analysis found patients with
baseline CA125 levels no greater than 57 U/m (~3X the upper limit of normal) had
a 2 month improvement in progression free survival (HR = 0.43, p = 0.0062) and a
7 month improvement in overall survival (HR = 0.40, p = 0.0022) as compared to
those with CA125 above 57 U/mL. In vitro studies found that CA125 was able to
bind amatuximab and perturb ADCC activity via decreased Fc-gamma-receptor
engagement. These data suggest that clinical trial designs of antibody-based
drugs in cancers producing CA125, including mesothelioma, should consider
stratifying patients on baseline CA125 levels for mAbs that are experimentally
determined to be bound by CA125.
PMID- 29652549
TI - Prolonged granulocyte colony stimulating factor use in glycogen storage disease
type 1b associated with acute myeloid leukemia and with shortened telomere
length.
AB - Glycogen storage disease (GSD) type 1 is a rare autosomal recessive inherited
condition. The 1b subtype comprises the minority of cases, with an estimated
prevalence of 1 in 500,000 children. Patients with glycogen storage disease type
1b are often treated with granulocyte colony stimulating factor (G-CSF) for
prolonged periods to improve symptoms of inflammatory bowel disease (IBD) and in
the face of severe neutropenia to decrease risk of infection. Long-term G-CSF
treatment may result in an increased risk of myelodysplastic syndrome (MDS) or
acute myeloid leukemia (AML) possibly due to increased marrow stress resulting in
telomere shortening. To our knowledge, there have been two published cases of AML
in GSD type 1b patients following long-term G-CSF exposure. Here, we report two
further cases of AML/MDS-related changes in patients GSD type 1b treated with G
CSF. One patient developed AML with complex karyotype after 20 years of G-CSF
treatment. The second patient was found to have short telomeres after 10 years of
G-CSF exposure, but no evidence of acute leukemia at present. The third patient
developed AML/MDS after 25 years of G-CSF use, with short telomeres prior to bone
marrow transplant. Together these cases suggest that GSD type 1b patients with
prolonged G-CSF exposure may be at an increased risk of MDS/AML states associated
with G-CSF-induced shortened telomeres. We recommend that any GSD1b patients with
prolonged G-CSF should have routine telomere assessments with monitoring for MDS
if telomere shortening is observed, and with particular attention warranted if
there is unexplained loss of G-CSF responsiveness.
PMID- 29652550
TI - Chia Seed Supplementation Reduces Senescence Markers in Epididymal Adipose Tissue
of High-Fat Diet-Fed SAMP8 Mice.
AB - Adipose tissue is a key organ with substantial senescent cell accumulation under
both obesity and aging conditions. Chia seed is an ancient seed and is the
richest plant source of alpha-linolenic acid. We aimed to determine how cellular
senescence markers will be altered in adipose tissue of senescence-accelerated
mouse-prone 8 (SAMP8) mice fed with high-fat diets (HFDs); and how chia seed can
affect the above markers. SAMP8 mice and their control senescence-accelerated
mouse-resistant 1 (SAMR1) were divided into four groups, that is, SAMR1 low-fat
diet group (R1LF), SAMP8LF group (P8LF), SAMP8 high-fat group (P8HF), and SAMP8HF
group supplemented with 10% chia seed (P8HC). At the end of the intervention,
body composition was measured through T1-weighted magnetic resonance imaging, and
epididymal (EPI) and subcutaneous (SC) adipose tissues were dissected for further
analysis. Compared with the R1LF group, the P8HF and P8HC groups had
significantly increased body fat mass. In EPI fat, p16, CD68 and PAI-1 mRNA
expression from P8HF group were significantly increased; chia seed partially
reduced p16 and CD68 mRNA expression. The P8LF group has increased p16 and CD68,
and the P8HF group has increased p16, p21, and CD68; and P8HC group has increased
p16 mRNA expression. The protein expression of p-AMPK in EPI and SC fat from the
P8HF group was reduced. In conclusion, reductions in AMPK activity might be
partially responsible for elevation in HFD-induced senescence markers in both EPI
and SC fat, and chia seed supplementation is able to reduce senescence-associated
markers at least in EPI adipose tissue.
PMID- 29652552
TI - Do Classes of Polysubstance Use in Adolescence Differentiate Growth in Substances
Used in the Transition to Young Adulthood?
AB - BACKGROUND: Past studies have differentiated classes of polysubstance use in
adolescence, however, the associations of adolescent polysubstance use classes
with longitudinal substance use trajectories from adolescence to young adulthood
have not been studied. OBJECTIVE: The current study examined substance use
classes during adolescence and longitudinal trajectories of each substance used
across the transition to young adulthood. METHOD: Data were collected biennially
from 662 youth and followed 10 years across six measurement assessments. Using
baseline data (T1), latent class analysis was used to identify classes of
polysubstance use (cigarette, alcohol, marijuana, and illicit drug use) during
adolescence. Using T2 through T6 data, we fit latent growth models for cigarette,
alcohol, marijuana, and illicit drug use to examine longitudinal trajectories of
each substance used by class. RESULTS: A three-class model fit the data best and
included a poly-use class, that had high probabilities of use among all
substances, a co-use class, that had high probabilities of use among alcohol and
marijuana, and a low-use class that had low probabilities of use among all
substances. We then examined trajectories of each substance used by class. Strong
continuity of substance use was found by class across 14 years. Additionally, for
some substances, higher average levels of use of at age 14 were associated with
change in growth of other substances used over time. Conclusions/Importance:
Efforts that only target a single drug type may be missing an important
opportunity to reduce the use and subsequent consequences related to the use of
multiple substances.
PMID- 29652553
TI - Mahonia oiwakensis Extract and Its Bioactive Compounds Exert Anti-Inflammatory
Activities and VEGF Production Through M2-Macrophagic Polarization and STAT6
Activation.
AB - Macrophages play an indispensable role in the host immune defense. Macrophages
can undergo polarization into classically (M1) and alternatively (M2) activated
macrophages. M1 macrophages activate immune and inflammatory response, while M2
macrophages are involved in tissue remodeling. Mahonia oiwakensis (Mo) is a
herbal medicine in Asia used for its anti-inflammatory and analgesic properties;
however, the mechanism is unclear. This study analyzed the effect of Mo extracts
and its effects on the polarization of both macrophage RAW264.7 cells and mouse
splenic macrophages. Water (Mo-W) and EtOH extracts (Mo-E) did not change the
viability of RAW264.7 cells, whereas Mo-E inhibited nitric oxide (NO) production.
The major compounds, berberine and palmatine, decreased the viability and NO
levels of cells. The secretion of inflammatory cytokines CXCL16, IL-6, L
selectin, MCP1, RANTES, and sTNF-R1 was downregulated, whereas the production of
vascular endothelial growth factor (VEGF) was upregulated by Mo-E, berberine, and
palmatine treatments. Mo-E, berberine, and palmatine stimulated the expression of
macrophage CD68 and M2-type CD204 markers, decreased M1-mediated p-STAT1 and NF
kappaB, and increased M2-mediated p-STAT6 expression. Similar effects on M2
polarization were also observed in splenic macrophages from mice. In conclusion,
Mo-E, berberine, and palmatine modulated macrophages through the suppression of
M1-mediated inflammation and the recruitment of M2-mediated VEGF secretion and
STAT6 expression.
PMID- 29652554
TI - Impact of attention on social functioning in pediatric medulloblastoma survivors.
AB - BACKGROUND: Parent-reported attention problems have been associated with social
functioning in a broad sample of pediatric cancer survivors. OBJECTIVE: The
present study focused on a more homogeneous sample (pediatric medulloblastoma
survivors), with the novel inclusion of self-reported attention ratings.
PARTICIPANTS/METHODS: Thirty-three pediatric medulloblastoma survivors, ages 7-18
years, completed a brief IQ measure and self-report of attentional and social
functioning. Parents rated patients' attentional and social functioning. RESULTS:
Mean attention ratings were average based on both parent- and self-report, though
parent ratings were significantly discrepant from normative means. No significant
demographic or treatment-related predictors of self-reported attention problems
were identified, whereas female gender was associated with greater parent
reported attention problems. Canonical correlation analysis revealed a
significant association between parent-reported attention difficulties and social
functioning in pediatric medulloblastoma survivors, but there was no association
between self-reported attention problems and measures of social functioning.
CONCLUSIONS: Consistent with existing literature in broader samples of pediatric
cancer survivors, the present study further affirms attention deficits as an
underlying contributor to social deficits in pediatric medulloblastoma survivors
while also finding little relationship between self-reports of attention and
social performance. Notably, present findings provide additional support
suggesting that attention functioning is a more significant contributor to social
outcomes for pediatric medulloblastoma survivors than the level of cognitive
ability.
PMID- 29652555
TI - Henry Herbert Donaldson's (1857-1938) contribution to an organized approach to
the experimental study of the mammalian central nervous system.
AB - This article shows that the academic and research careers of Henry Herbert
Donaldson (1857-1938) were directed to provide basic information about the growth
of the vertebrate nervous system and to provide standards and the means to make
such research efficient. He earned the reputation of making the albino rat a
standard laboratory animal. His academic career began when he was an
undergraduate at Yale University in 1875 and concluded with his death as
Professor and Head of the Department of Neurology at the Wistar Institute of
Anatomy and Biology of the University of Pennsylvania in 1938. During that
period, pivotal experiences occurred, including research in physiological
chemistry with Chittenden at the Sheffield School at Yale, graduate study at
Johns Hopkins University, postgraduate study in Europe, and professorial
positions at Clark University and the University of Chicago. It was at Johns
Hopkins University that Donaldson learned about the need for physiological,
anatomical, and psychophysical research and about the techniques to allow such
research. It was at Clark University that he had first-hand and detailed
experience with the anatomy of the brain of a deaf-blind-mute woman, as he
attempted to correlate her sensory deficits with her brain development. It was at
Clark University that he clearly recognized the need for standardization in
neurological research. At the University of Chicago, he developed administrative
skills and began a coordinated research effort to delimit the growth of the
nervous system. It was at Chicago that he learned that the albino rat could be a
reasonable subject for such research. It was also at Chicago that he was able to
formulate ideas about the future organizational needs of human neuroanatomy. It
was at the Wistar Institute that his research program and his professional career
matured. He organized a research effort to elucidate the growth of the nervous
system. He contributed to the coordination of neurological research in the United
States and Europe. It was while at the Wistar Institute that he became well-known
for making the albino rat a standard laboratory mammal-a convenient living
material for research.
PMID- 29652556
TI - Concordance of Non-Low-Risk Disease Among Pairs of Brothers With Prostate Cancer.
AB - Purpose Prostate cancer among first-degree relatives is a strong risk factor for
diagnosis of prostate cancer, and the contribution of heritable factors in
prostate cancer etiology is high. We investigated how the concordance of non-low
risk prostate cancer among brothers is affected by their genetic relation.
Methods We identified 4,262 pairs of brothers with prostate cancer in the
Prostate Cancer Database Sweden. Their cancers were categorized as low risk
(Gleason score <= 6; clinical stage T1-2, Nx/N0, Mx/M0; and prostate-specific
antigen <= 10 ng/mL) or non-low risk. The odds ratio (OR) for concordance of non
low-risk cancer was calculated with logistic regression for the different types
of fraternity (monozygotic twins, dizygotic twins, full brothers, and half
brothers) Results Among monozygotic twins who both were diagnosed with prostate
cancer, the OR for both brothers being in the non-low-risk category was 3.82 (95%
CI, 0.99 to 16.72) after adjusting for age and year of diagnosis. Among full
brothers, the corresponding adjusted OR was 1.21 (95% CI, 1.04 to 1.39). When the
analysis was restricted to brothers who both were diagnosed within 4 years, the
results were similar. Conclusion Non-low-risk prostate cancer has a heritable
pattern suggesting shared genetic factors, with the highest concordance among
monozygotic twins. Our results suggest that a man whose brother has been
diagnosed with a non-low-risk prostate cancer is at a clinically relevant
increased risk of developing an aggressive prostate cancer himself.
PMID- 29652557
TI - Skeletal response to treatment with teriparatide (TPD) after bisphosphonate in
post-menopausal women with osteoporosis and a high prevalence of secondary risk
factors in real-life setting of a metabolic bone clinic; effect of age and
vitamin D status.
AB - PURPOSE: Teriparatide (TPD) is a skeletal anabolic agent used in patients with
severe post-menopausal osteoporosis (PMO) and steroid-induced osteoporosis who
are at hish risk of fracture. Predictors of therapeutic response to teriparatide
in real-life setting are not well characterised. We investigated potential
factors associated with teriparatide response in post-menopausal women with
established osteoporosis. METHODS: We carried out a retrospective survey of 48
women, aged 73.2 [7.5] years with severe osteoporosis and prevalent fractures
treated with TPD according to the NICE criteria. BMD was measured at baseline, 6
12 and 18-24 months at the lumbar spine (LS), total hip (TH) and femoral neck
(FN). Bone turnover markers, serum 25 (OH)vitamin D were determined at 3-12 and
12-24 months. RESULTS: BMD increased at 6-12 months (% change mean [SEM] 6.5
[1.1] p = 0.004) and 18-24 months (8.45 % [1.2] p<0.001) at the LS. A significant
increase in BMD was observed at FN (3.1 [1.3] % p = 0.02). Changes in BMD at the
TH was higher in patients younger than 73 years compared to older women (% change
in BMD 4.13 [1.64] % v/s -1.7 [1.1] p = 0.007). Baseline 25 (OH) vitamin D
correlated with change in P1NP at 3-12 months (r = 0.45 p = 0.049). CONCLUSIONS:
TPD-induced changes in BMD at the TH appears may be dependent on age. Vitamin D
status may influence the early anabolic effect to TPD. Our data suggest that
these factors may be important considerations when initiating and optimising
treatment with TPD, although further larger studies are needed to confirm these
findings.
PMID- 29652551
TI - n-3 Fatty Acid Supplementation for the Treatment of Dry Eye Disease.
AB - BACKGROUND: Dry eye disease is a common chronic condition that is characterized
by ocular discomfort and visual disturbances that decrease quality of life. Many
clinicians recommend the use of supplements of n-3 fatty acids (often called
omega-3 fatty acids) to relieve symptoms. METHODS: In a multicenter, double-blind
clinical trial, we randomly assigned patients with moderate-to-severe dry eye
disease to receive a daily oral dose of 3000 mg of fish-derived n-3
eicosapentaenoic and docosahexaenoic acids (active supplement group) or an olive
oil placebo (placebo group). The primary outcome was the mean change from
baseline in the score on the Ocular Surface Disease Index (OSDI; scores range
from 0 to 100, with higher scores indicating greater symptom severity), which was
based on the mean of scores obtained at 6 and 12 months. Secondary outcomes
included mean changes per eye in the conjunctival staining score (ranging from 0
to 6) and the corneal staining score (ranging from 0 to 15), with higher scores
indicating more severe damage to the ocular surface, as well as mean changes in
the tear break-up time (seconds between a blink and gaps in the tear film) and
the result on Schirmer's test (length of wetting of paper strips placed on the
lower eyelid), with lower values indicating more severe signs. RESULTS: A total
of 349 patients were assigned to the active supplement group and 186 to the
placebo group; the primary analysis included 329 and 170 patients, respectively.
The mean change in the OSDI score was not significantly different between the
active supplement group and the placebo group (-13.9 points and -12.5 points,
respectively; mean difference in change after imputation of missing data, -1.9
points; 95% confidence interval [CI], -5.0 to 1.1; P=0.21). This result was
consistent across prespecified subgroups. There were no significant differences
between the active supplement group and the placebo group in mean changes from
baseline in the conjunctival staining score (mean difference in change, 0.0
points; 95% CI, -0.2 to 0.1), corneal staining score (0.1 point; 95% CI, -0.2 to
0.4), tear break-up time (0.2 seconds; 95% CI, -0.1 to 0.5), and result on
Schirmer's test (0.0 mm; 95% CI, -0.8 to 0.9). At 12 months, the rate of
adherence to treatment in the active supplement group was 85.2%, according to the
level of n-3 fatty acids in red cells. Rates of adverse events were similar in
the two trial groups. CONCLUSIONS: Among patients with dry eye disease, those who
were randomly assigned to receive supplements containing 3000 mg of n-3 fatty
acids for 12 months did not have significantly better outcomes than those who
were assigned to receive placebo. (Funded by the National Eye Institute, National
Institutes of Health; DREAM ClinicalTrials.gov number, NCT02128763 .).
PMID- 29652558
TI - A High-Resolution Digital DNA Melting Platform for Robust Sequence Profiling and
Enhanced Genotype Discrimination.
AB - DNA melting analysis provides a rapid method for genotyping a target amplicon
directly after PCR amplification. To transform melt genotyping into a broad-based
profiling approach for heterogeneous samples, we previously proposed the
integration of universal PCR and melt analysis with digital PCR. Here, we
advanced this concept by developing a high-resolution digital melt platform with
precise thermal control to accomplish reliable, high-throughput heat ramping of
microfluidic chip digital PCR reactions. Using synthetic DNA oligos with defined
melting temperatures, we characterized sources of melting variability and
minimized run-to-run variations. Within-run comparisons throughout a 20,000
reaction chip revealed that high-melting-temperature sequences were significantly
less prone to melt variation. Further optimization using bacterial 16S amplicons
revealed a strong dependence of the number of melting transitions on the heating
rate during curve generation. These studies show that reliable high-resolution
melt curve genotyping can be achieved in digital, picoliter-scale reactions and
demonstrate that rate-dependent melt signatures may be useful for enhancing
automated melt genotyping.
PMID- 29652559
TI - Point prevalence survey of antibiotic use and resistance at a referral hospital
in Kenya: findings and implications.
AB - BACKGROUND AND AIMS: A substantial amount of antibiotic use in hospitals may be
inappropriate, potentially leading to the development and spread of antibiotic
resistance, adverse effects, mortality and increased hospital costs. The
objective was to assess current patterns of antibiotic use in a leading referral
hospital in Western Kenya. This would lead to the identification of opportunities
for quality improvement in this hospital and others across Kenya. METHODOLOGY: A
point prevalence survey was carried out with data abstracted principally from
patient medical records supplemented by interviews from physicians when needed.
The pattern of antibiotic use was analyzed by descriptive methods. Differences in
antibiotic use and indications between the selected wards were compared using the
Chi-square test or Fisher's exact tests. RESULTS: Among the patients surveyed,
67.7% were on antibiotics. The most common classes of antibiotics prescribed were
third generation cephalosporins (55%), imidazole derivatives like metronidazole
(41.8%) and broad spectrum penicillins (41.8%). The most common indication for
antibiotic use was medical prophylaxis (29%), with local guidelines advocating
antibiotic prophylaxis in mothers after delivery of their child as well as in
neonates with birth asphyxia and low weight at birth. Dosing of antibiotics was
seen as generally optimal when assessed against current recommendations.
CONCLUSION: Whilst the dosing of antibiotics seemed adequate, there was high use
of antibiotics in this hospital. This needs to be urgently reviewed with
currently appreciable empiric antibiotic use. Programmes are being instigated to
address these concerns. This includes developing antibiotic guidelines and
formularies especially for empiric use as well as implementing antimicrobial
stewardship activities.
PMID- 29652560
TI - Drinking Goal Trajectories and Their Association with Client Characteristics and
Outcomes among Clients in Outpatient Alcohol Treatment.
AB - BACKGROUND: Drinking goal preferences could change over time in alcohol treatment
and during follow up. OBJECTIVES: To examine the stability of drinking goals over
time, types of drinking goal trajectory, and the associations between drinking
goal trajectories and baseline client characteristics and treatment outcomes.
METHODS: We performed secondary analysis of a dataset from a multicenter
longitudinal study on the effectiveness of outpatient alcohol treatment (n =
543). Drinking goals (abstinence, controlled drinking, nonrestricted drinking,
undecided) and alcohol use were assessed at treatment admission, discharge, and 6
and 12-month follow up. RESULTS: At admission, 32% of the subjects aimed for
abstinence and 57% for controlled drinking, while 10% were undecided, and 1% did
not want to restrict themselves. The proportions of clients aiming for abstinence
and controlled drinking were relatively stable across the four assessments, and
the proportion of clients who changed their drinking goal from abstinence to
controlled drinking did not differ significantly from the number who changed in
the opposite direction. Clients with abstinence-focused trajectories reported
higher baseline alcohol use than those focused primarily on controlled drinking.
Meanwhile, attaining nonhazardous drinking and reduced alcohol use at 12-month
follow up were more likely among clients with abstinence-focused trajectories
than those focused on controlled drinking. CONCLUSIONS: Since the majority of
clients maintain their initially selected drinking goal, counsellors might inform
them at treatment admission about the various probabilities of achieving
nonhazardous drinking depending on their selected drinking goal.
PMID- 29652561
TI - Treatment of metastatic hepatocellular carcinoma in pediatric patients: Two case
reports.
PMID- 29652562
TI - Serious gaming: A tool to educate health care providers about domestic violence.
AB - Due to many adverse health effects, victims of domestic violence are frequently
seen in the health care system. Yet, health care providers may lack the training
to assist them. Online curricula can be an effective instructional tool. Our
competency-based, serious video game, Responding to Domestic Violence in Clinical
Settings, was designed to address health care providers' knowledge gaps through
17 modules, each a half hour in length. Nearly 9,000 participants completed at
least one module; nursing students completed the most modules, approximately five
hours of instruction. This serious video game-based curriculum is useful in
helping health providers and students learn about Domestic Violence.
PMID- 29652563
TI - The Impact of Recreational Marijuana Legislation in Washington, DC on Marijuana
Use Cognitions.
AB - BACKGROUND: There is little published research that tests the effect of
recreational marijuana legislation on risk-related cognitions and how individuals
respond immediately after legislative approval. OBJECTIVES: The objective was to
test whether learning about the passage of Initiative 71, a voter referendum that
legalized recreational use of marijuana in the District of Columbia, would lead
individuals to adopt more favorable marijuana cognitions than they had before the
Initiative was passed. METHODS: Undergraduate students (N = 402) completed two
web-based questionnaires in 2014. The first questionnaire was completed prior to
the referendum vote and the follow-up questionnaire was completed after voters
approved Initiative 71. Attitudes, perceived norms, intentions, prototypes, and
willingness were measured at time 1 and time 2. Study hypotheses were tested
using repeated-measures analysis of covariance. RESULTS: Results showed that
attitudes, intentions, perceived norms, and willingness to use marijuana were
more favorable after Initiative 71 was passed. However, the increase in attitudes
and willingness was moderated by past experience with marijuana whereby the
increases were statistically significant only among those with the least
experience. The increase in perceived norms was also moderated by past experience
whereby increases were statistically significant among those who were moderate or
heavy users. The passage of Initiative 71 had no effect on favorable prototypes.
Conclusion/Importance: Legalization may have the unintended outcome of leading to
more favorable intentions to use marijuana and might lead abstainers or
experimental users to become more frequent users of marijuana via more positive
attitudes and willingness towards marijuana use.
PMID- 29652564
TI - Examining the Relationship Between Nonalcoholic Fatty Liver Disease and the
Metabolic Syndrome in Nondiabetic Subjects.
AB - OBJECTIVE: Nonalcoholic fatty liver disease (NAFLD) is considered by some to be
the hepatic manifestation of the metabolic syndrome (MS). However, others believe
NAFLD is a distinct entity that actually initiates MS. Whichever is true, a
definite linkage exists between both is generally accepted based upon the
frequency of common occurrence and realization that insulin resistance (IR) is
and realization that. The objective is to better understand the relationship
between NAFLD and MS. Specifically, is there any concrete evidence that
development of NAFLD precedes MS or vice versa? Another goal was to better
comprehend capabilities of circulating aminotransferases (aspartate
aminotransferase [AST] and alanine aminotransferase [ALT]) and their ratio used
commonly for diagnosis of NAFLD. METHODS: Data from 288 participants with fasting
blood glucose (FBG) levels below the diabetic level (<125 mg/dL) and AST and ALT
values in the normal range (<40 U/L) were examined. Correlations between ALT and
AST and their ratio as independent variables with a variety of metabolic
parameter were evaluated and compared. RESULTS: Like FBG, many significant
positive correlations among glucose-insulin indices, body composition, blood
pressure, dyslipidemias, and inflammation were discovered using ALT, and less so
with AST, as the independent variable. In some cases, even stronger correlations
in a negative direction with IR and MS were found with the ratio AST/ALT.
Corroboration occurred when values in the lowest and highest quartiles of ALT and
AST/ALT readings showed appropriate statistically significant differences.
CONCLUSIONS: The findings here suggest that both NAFLD and the MS very early in
development have a common inciting mechanism(s)-most likely IR. Accordingly, the
early concurrent temporal results are consistent with the concept that NAFLD is a
hepatic manifestation of the IR associated with the MS. They do not exclude the
possibility that once some liver functional adjustments take place, several
aspects of the MS are bolstered further, perhaps via intensified heightening of
IR.
PMID- 29652567
TI - A Khorasan Wheat-Based Replacement Diet Improves Risk Profile of Patients With
Nonalcoholic Fatty Liver Disease (NAFLD): A Randomized Clinical Trial.
AB - OBJECTIVE: KAMUT khorasan is an ancient grain with widely acclaimed health
benefits. The aim of this study was to investigate the effects of a replacement
diet with ancient khorasan wheat products in patients with NAFLD, in comparison
to a similar replacement diet with control products made from organic semi-whole
grain modern wheat. METHODS: Forty NAFLD patients (12 M/28 F; age 55.2 +/- 10.4
years) with mild to moderate liver steatosis were included. The experimental
design was a randomized, double-blind, parallel-arm study with 20 participants
assigned to consume either KAMUT khorasan or control wheat products (pasta,
bread, crackers, biscuits) over a 3-month period. Anthropometric measurements,
blood analyses, and ultrasonography examination were performed at both the
beginning and end of each dietary intervention. RESULTS: After the implementation
of a general linear model for repeated measurements adjusted for baseline
demographic details, risk factors, and medication, alanine aminotransferase (ALT)
was significantly reduced by 12%, aspartate aminotransferase (AST) by 14%,
alkaline phosphatase (ALP) by 8%, and cholesterol by 6% only in the khorasan
group (p < 0.05 for all). Similarly, significant reductions in circulating
proinflammatory tumor necrosis factor-alpha by 50%, interleukin l-receptor
antagonist-alpha by 37%, interleukin-8 by 24%, and interferon gamma by 24% were
evident only in participants who consumed the khorasan products (p < 0.05 for
all). Finally, significant improvements in the liver steatosis grading, Doppler
perfusion index values, and reactive oxygen species (ROS) production were evident
after consumption of both the khorasan and control products. CONCLUSIONS: This
study suggests that a short-term replacement diet with ancient KAMUT khorasan
products is most effective in reducing metabolic risk factors and ameliorating
the liver profile in patients with NAFLD.
PMID- 29652568
TI - Photons Harmony for Cell Communication.
PMID- 29652569
TI - Efficacy of Various Pretreatments on the Bond Strength of Denture Teeth to
Denture Base Resins.
AB - OBJECTIVE: This in vitro study evaluated the shear bond strength (SBS) of heat
cured denture base resin (PMMA) to acrylic resin teeth treated with different
pretreatments, especially laser irradiation of different powers (1-4 W).
MATERIALS AND METHODS: The acrylic resin teeth were separated into seven groups
(n = 10) for the following different pretreatments: control group (no surface
treatment) (G1), grinding with a tungsten carbide bur (G2), sandblasting (G3),
and erbium, chromium: yttrium, scandium, gallium, garnet (Er,Cr:YSGG) laser
irradiation at 1 W, 2 W, 3 W, 4 W output powers in (G4-7), respectively. Test
specimens were produced according to the PMMA manufacturers' instructions and
were subjected to a SBS test at a crosshead speed of 1 mm/min until fracture.
Debonded surfaces were evaluated by a stereomicroscope for the type of failure.
SEM (scanning electron microscope) analyses were done to estimate the surface
changes of the acrylic resin teeth. The data were submitted using a one-way ANOVA
and post hoc Tukey-Kramer multiple comparison tests (p = 0.05). RESULTS: The
highest bond strength was obtained in G3, and similar SBS values were considered
in other groups, and no significant differences were found among the surface
treatments and the control group (p < 0.05). All groups had a high percentage of
adhesive failures. CONCLUSIONS: Laser irradiations promote surface topography
alterations. However laser irradiation of the adhesive surface was found
ineffective. The SBS of acrylic resin teeth to a PMMA denture base material is
independent of the surface pretreatments Er,Cr:YSGG laser irradiation,
sandblasting, and grinding with a carbide bur. All the surface treatments
provided a similar bond between the acrylic denture base and the teeth.
PMID- 29652570
TI - Shear Bond Strength of Composite and Ceromer Superstructures to Direct Laser
Sintered and Ni-Cr-Based Infrastructures Treated with KTP, Nd:YAG, and Er:YAG
Lasers: An Experimental Study.
AB - OBJECTIVE: The aim of this study was to examine the shear bond strength (SBS) of
ceromer and nanohybrid composite to direct laser sintered (DLS) Cr-Co and Ni-Cr
based metal infrastructures treated with erbium-doped yttrium aluminum garnet
(Er:YAG), neodymium-doped yttrium aluminum garnet (Nd:YAG), and potassium titanyl
phosphate (KTP) laser modalities in in vitro settings. METHODS: Experimental
specimens had four sets (n = 32) including two DLS infrastructures with ceromer
and nanohybrid composite superstructures and two Ni-Cr-based infrastructures with
ceromer and nanohybrid composite superstructures. Of each infrastructure set, the
specimens randomized into four treatment modalities (n = 8): no treatment
(controls) and Er:YAG, Nd:YAG, and KTP lasers. The infrastructures were prepared
in the final dimensions of 7 * 3 mm. Ceromer and nanohybrid composite was applied
to the infrastructures after their surface treatments according to randomization.
The SBS of specimens was measured to test the efficacy of surface treatments.
Representative scanning electron microscopy (SEM) images after laser treatments
were obtained. RESULTS: Overall, in current experimental settings, Nd:YAG, KTP,
and Er:YAG lasers, in order of efficacy, are effective to improve the bonding of
ceromer and nanohybrid composite to the DLS and Ni-Cr-based infrastructures (p <
0.05). Nd:YAG laser is more effective in the DLS/ceromer infrastructures (p <
0.05). KTP laser, as second more effective preparation, is more effective in the
DLS/ceromer infrastructures (p < 0.05). SEM findings presented moderate
accordance with these findings. CONCLUSIONS: The results of this study supported
the bonding of ceromer and nanohybrid composite superstructures to the DLS and Ni
Cr-based infrastructures suggesting that laser modalities, in order of success,
Nd:YAG, KTP, and Er:YAG, are effective to increase bonding of these structures.
PMID- 29652572
TI - Interview with Prof. Ramy K. Aziz, Cairo University. The Dawn of
Pharmacomicrobiomics.
PMID- 29652571
TI - Hemolasertherapy: A Novel Procedure for Gingival Papilla Regeneration-Case
Report.
AB - BACKGROUND: Interdental papilla is of major importance to patients' orofacial
aesthetics, especially regarding anterior teeth as part of the smile's harmony.
Loss of gingival tissue, which constitutes interdental papilla, forms what in
odontology is called black spaces. This loss, besides affecting the smile's
aesthetics, also provokes phonetic and functional damage. OBJECTIVE: The
objective of the authors is to present the result of three clinical cases treated
with an innovative technique called hemolasertherapy, which stimulates growth of
gingival papilla and thus permanently fills in the black spaces. METHODS: The
photobiomodulation therapy (PBMT) used a 660 nm diode laser (Laser Duo, MMO-Sao
Carlos, SP, Brazil), punctual, contact mode in two steps: before the bleeding
(first PBMT) and immediately after bleeding (second PBMT). Parameters used were
power output: 100 mW, CW; diameter tip: 5 mm; spot area: 0.19 cm2; irradiation
exposure time per point: 20 sec; 14 points per daily session; total of 2
sessions, with a 1-week interval; E: 2 J per point; E: per daily session, 28 J;
irradiance per point: 0.52 W/cm2; fluence per point: 10.4 J/cm2. Total in two
daily sessions: total energy: 56 J; total fluence: 294.75 J/cm, 560 sec total
time. An in vitro preliminary study was simultaneously carried out to demonstrate
what could happen at cellular level in hemotherapy clinical cases associated with
PBMT laser application. RESULTS: This initial study demonstrated that the blood
clot originated from the bleeding provoked in the gingival area is rich in
mesenchymal stem cells. PBMT enables preservation, viability, and further
differentiation, stimulating the return of gingival stem cells, which would
support their survival and differentiation in the blood clot, thus favoring
interdental papilla regeneration. CONCLUSIONS: Follow-up was done for a time span
of 4-5 years and considered excellent with regard to papilla preservation.
PMID- 29652573
TI - Quantitative Assessment of Shotgun Metagenomics and 16S rDNA Amplicon Sequencing
in the Study of Human Gut Microbiome.
AB - The analysis of microbiota composition in humans, animals, and built environments
is important because of emerging roles and applications in a broad range of
disease and ecological phenotypes. Next Generation Sequencing is the current
method of choice to characterize microbial community composition. The taxonomic
profile of a microbial community can be obtained either by shotgun analysis of
random DNA fragments or through 16S ribosomal RNA gene (rDNA) amplicon
sequencing. It has been previously shown that the 16S rDNA amplicon sequencing
approach yields quantitatively and qualitatively different results compared to
shotgun metagenomics when the two techniques are used to assess microbial
community composition on the same samples. However, most of such comparisons were
either based on the recovery of 16S rDNA sequences in the shotgun metagenomics
data or limited to a single microbiome or synthetic samples. Direct comparison of
shotgun metagenomics and 16S rDNA amplicon sequencing on the same samples was
performed only once in the recent literature, suggesting that the two methods
yield comparable results. Here, we set out to compare the outcome of these two
alternative approaches to the microbiome characterization in human gut
microbiomes from stool samples. To this end, we processed six different samples
with both techniques. We report here that shotgun next generation sequencing
metagenomics allows much deeper characterization of the microbiome complexity,
allowing identification of a larger number of species for each sample, compared
to 16S rDNA amplicon sequencing. Further comparative studies in independent
samples are called for.
PMID- 29652574
TI - Transcriptomic Biomarkers for Huntington's Disease: Are Gene Expression
Signatures in Whole Blood Reliable Biomarkers?
AB - Huntington's disease (HD) is a severe neurodegenerative disorder manifesting as
progressive impairment of motor function, cognitive decline, psychiatric
symptoms, and immunological and endocrine dysfunction. We explored the
consistency of blood transcriptomic biomarkers in HD based on a novel Slovene
patient cohort and expert review of previous studies. HumanHT-12 v4 BeadChip
microarrays were performed on the whole blood samples of a cohort of 23 HD
mutation carriers and 23 controls to identify differentially expressed (DE)
transcripts. In addition, we performed an expert review of DE transcripts
identified in comparable HD studies from whole blood, to identify any consistent
signature of HD. In the Slovene cohort, we identified 740 DE transcripts (p <
0.01 and a false discovery rate (FDR) of <0.1) of which 414 were downregulated
and 326 were upregulated. Pathway analyses of DE transcripts showed enrichment
for pathways involved in systemic, rather than neural processes in HD. With an
expert review of comparable studies, we have further identified 15 DE transcripts
shared by 3 studies. We suggest transcriptomic changes in blood reflect systemic
changes in HD pathogenesis, rather than being a direct result of the
neuropathological processes in the central nervous system during HD progression,
and thus, have limited value as disease biomarkers.
PMID- 29652575
TI - Olive Fruit Blends Modulate Lipid-Sensing Nuclear Receptor PPARgamma, Cell
Survival, and Oxidative Stress Response in Human Osteoblast Cells.
AB - OBJECTIVE: The aim of the present study was to investigate how different
extravirgin olive oils (EVOOs), obtained by blending Olea europea cultivars,
could influence the cell growth, the response to inflammatory stimuli, and
oxidative stress in a culture of the osteosarcoma cell line Saos-2. METHODS:
Three different extravirgin olive oils were physicochemically characterized,
determining the free acidity, the oxidation status, the polyphenols content, and
the antioxidative activity. Moreover, the effects on Saos-2 cell culture were
determined, studying the mRNA expression level by real-time polymerase chain
reaction (PCR) assays and the antioxidative activity using fluorescent probes.
RESULTS: The cultivars used in the south of Italy, yield extravirgin oils with
different amount of fatty acids and polyphenols, which counteract induction of
proinflammatory cytokines and regulate free radical production in hydrogen
peroxide-stimulated cells. In vitro analysis using the human osteoblast cell line
Saos-2 showed that the addition of oils to cell culture simulated a hypoxic
stress followed by a reoxygenation period, during which the antioxidant activity
of extravirgin olive oils protected cells from oxidative damages. On the other
hand, the mRNA expression levels of factors involved in inflammatory processes,
cell growth recovery, and antioxidant response, as heme oxygenase-1, were
differently stimulated by EVOOs. Moreover, peroxisome proliferator activated
receptor gamma (PPARgamma) was differently modulated by EVOOs. CONCLUSION: These
findings show that the blending of different extravirgin olive oil can impact an
osteoblast cell line, in particular regarding cell growth recovery and oxidative
stress.
PMID- 29652576
TI - Influence of Avocado Puree as a Fat Replacer on Nutritional, Fatty Acid, and
Organoleptic Properties of Low-Fat Muffins.
AB - OBJECTIVE: The feasibility of developing reduced-fat muffins with avocado is
investigated by preparing muffins with 25%, 50%, 75%, and 100% avocado puree as a
fat (butter) replacer. METHODOLOGY: The resulting products were compared to the
control muffin, which was made with 100% butter. Muffins were analyzed for
nutritional content, fatty acid profiles, and sensory acceptability. RESULT:
Muffins incorporated with avocado puree revealed a significant increase (p <
0.05) with respect to moisture, ash, and carbohydrate in comparison with the
control sample. However, no significant changes (p > 0.05) were detected in all
muffin formulations for protein and dietary fiber content. Both fat content and
caloric value of muffins incorporated with avocado puree were significantly
decreased (p < 0.05). The fatty acid profile showed that there was an increment
in the monounsaturated fatty acids (MUFA) content by 16.51% at full-fat
substitution. The sensory evaluation test demonstrated that muffins had
acceptability at up to 50% substitution. Fat substitution at higher than 50% lead
to undesirable flavor and aftertaste, which was significant (p < 0.05) to the
panelists. CONCLUSION: The findings indicated the feasibility of avocado puree in
fat-reduced muffin preparation with an optimal level of 50% avocado puree
substitution.
PMID- 29652577
TI - Lung Cancers Associated with Cystic Airspaces: Underrecognized Features of Early
Disease.
AB - Early lung cancers associated with cystic airspaces are increasingly being
recognized as a cause of delayed diagnoses-owing to data gathered from screening
trials and encounters in routine clinical practice as more patients undergo
serial imaging. Several morphologic subtypes of cancers associated with cystic
airspaces exist and can exhibit variable patterns of progression as the solid
elements of the tumor grow. Current understanding of the pathogenesis of these
malignancies is limited, and the numbers of cases reported in the literature are
small. However, several tumor cell types are represented in these lesions, with
adenocarcinoma predominating. The features of cystic airspaces differ among cases
and include emphysematous bullae, congenital or fibrotic cysts, subpleural blebs,
bronchiectatic airways, and distended distal airspaces. Once identified, these
cystic lesions pose management challenges to radiologists in terms of
distinguishing them from benign mimics of cancer that are commonly seen in
patients who also are at increased risk of lung cancer. Rendering a definitive
tissue-based diagnosis can be difficult when the lesions are small, and affected
patients tend to be in groups that are at higher risk of requiring biopsy or
resection. In addition, the decision to monitor these cases can add to patient
anxiety and cause the additional burden of strained departmental resources. The
authors have drawn from their experience, emerging evidence from international
lung cancer screening trials, and large databases of lung cancer cases from other
groups to analyze the prevalence and evolution of lung cancers associated with
cystic airspaces and provide guidance for managing these lesions. Although there
are insufficient data to support specific management guidelines similar to those
for managing small solid and ground-glass lung nodules, these data and guidelines
should be the direction for ongoing research on early detection of lung cancer.
(c)RSNA, 2018.
PMID- 29652578
TI - MR Imaging of the Fetal Face: Comprehensive Review.
AB - The human face is a complex anatomic structure with an equally complex
embryologic development. Derangement of the developmental process can result in
various structural anomalies, which range from a mainly cosmetic deformity, such
as cleft lip, to potentially life-threatening conditions such as arhinia. These
anomalies (a) can occur as isolated anomalies; (b) can be associated with
intracranial, spinal, or dental anomalies; or (c) can be a part of various
syndromes, thus serving as diagnostic clues in such cases. Proper evaluation of
fetal facial deformities can help in prognostication, family counseling, and
prenatal or early postnatal intervention. Ultrasonography (US) is the first line
of investigation in these cases. However, when US does not allow complete
evaluation of these anomalies owing to its inherent limitations, magnetic
resonance (MR) imaging allows comprehensive evaluation of the anomaly itself and
also evaluation of various associations and the treatment approach. The
embryology of the fetal facial structures is considered with regard to the MR
imaging technique and the MR imaging anatomy. The MR imaging features of various
structural anomalies are described and classified into six groups, namely,
orofacial clefts, orbital anomalies, nasal anomalies, facial masses, external ear
anomalies, and abnormal face shape or profile. Also, the key associations and
relevant treatment implications are reviewed. The article provides a "one-stop
shop" review of these unique disorders-from basic understanding of the embryology
to applying the knowledge in clinical practice, helping the interprofessional
team and the patients alike. (c)RSNA, 2018.
PMID- 29652579
TI - Assessment of the Contribution of Dietary and Beverage Intake Quality to Obesity
Development.
AB - OBJECTIVE: Low dietary quality is an important indicator of unhealthy eating
patterns that can lead to some consequences such as obesity, so policy is a very
powerful tool that can affect the consumption of both healthy and unhealthy
foods. Indices that assess whether nutritional policies are applied contribute to
the assessment of the quality of the population's diet. This study was conducted
to investigate the quality of diets and beverages consumed by Turkish adults, and
the factors affecting them. METHOD: This cross-sectional descriptive study was
conducted on 352 adults aged between 18 and 58 years. The quality of diet and
beverage was measured through the Healthy Eating Index-2010 (HEI) and Healthy
Beverage Index (HBI), respectively, using 2-day (weekday and weekend) dietary
recall data. RESULTS: The total HBI scores were 79.1 +/- 11.8 and 81.0 +/- 11.6;
total HEI-2010 scores were 45.9 +/- 12.3 and 52.3 +/- 11.0, of men and women,
respectively (p < 0.05). The women had significantly higher scores than that of
the men in the HEI-2010 subcomponent of empty calories (p > 0.05). Sugar
sweetened beverage (HBI subcomponent) was significantly correlated with the
scores of HEI-2010 and empty calorie (HEI-2010 subcomponent), as expected (p <
0.01). The caloric beverages contributed ~133.6 kcal/d to the total caloric
intake. CONCLUSIONS: The mean contribution of beverages to total daily energy
intake of participants was found to be at the suggestion level. This result is
rewarding when the relationship of sugar-sweetened beverages scores with the
scores of HEI and empty calories is considered.
PMID- 29652580
TI - Methods for Assessment of Memory Reactivation.
AB - It has been suggested that reactivation of previously acquired experiences or
stored information in declarative memories in the hippocampus and neocortex
contributes to memory consolidation and learning. Understanding memory
consolidation depends crucially on the development of robust statistical methods
for assessing memory reactivation. To date, several statistical methods have seen
established for assessing memory reactivation based on bursts of ensemble neural
spike activity during offline states. Using population-decoding methods, we
propose a new statistical metric, the weighted distance correlation, to assess
hippocampal memory reactivation (i.e., spatial memory replay) during quiet
wakefulness and slow-wave sleep. The new metric can be combined with an
unsupervised population decoding analysis, which is invariant to latent state
labeling and allows us to detect statistical dependency beyond linearity in
memory traces. We validate the new metric using two rat hippocampal recordings in
spatial navigation tasks. Our proposed analysis framework may have a broader
impact on assessing memory reactivations in other brain regions under different
behavioral tasks.
PMID- 29652581
TI - A Learning Framework for Winner-Take-All Networks with Stochastic Synapses.
AB - Many recent generative models make use of neural networks to transform the
probability distribution of a simple low-dimensional noise process into the
complex distribution of the data. This raises the question of whether biological
networks operate along similar principles to implement a probabilistic model of
the environment through transformations of intrinsic noise processes. The
intrinsic neural and synaptic noise processes in biological networks, however,
are quite different from the noise processes used in current abstract generative
networks. This, together with the discrete nature of spikes and local circuit
interactions among the neurons, raises several difficulties when using recent
generative modeling frameworks to train biologically motivated models. In this
letter, we show that a biologically motivated model based on multilayer winner
take-all circuits and stochastic synapses admits an approximate analytical
description. This allows us to use the proposed networks in a variational
learning setting where stochastic backpropagation is used to optimize a lower
bound on the data log likelihood, thereby learning a generative model of the
data. We illustrate the generality of the proposed networks and learning
technique by using them in a structured output prediction task and a
semisupervised learning task. Our results extend the domain of application of
modern stochastic network architectures to networks where synaptic transmission
failure is the principal noise mechanism.
PMID- 29652582
TI - Robust MST-Based Clustering Algorithm.
AB - Minimax similarity stresses the connectedness of points via mediating elements
rather than favoring high mutual similarity. The grouping principle yields
superior clustering results when mining arbitrarily-shaped clusters in data.
However, it is not robust against noises and outliers in the data. There are two
main problems with the grouping principle: first, a single object that is far
away from all other objects defines a separate cluster, and second, two connected
clusters would be regarded as two parts of one cluster. In order to solve such
problems, we propose robust minimum spanning tree (MST)-based clustering
algorithm in this letter. First, we separate the connected objects by applying a
density-based coarsening phase, resulting in a low-rank matrix in which the
element denotes the supernode by combining a set of nodes. Then a greedy method
is presented to partition those supernodes through working on the low-rank
matrix. Instead of removing the longest edges from MST, our algorithm groups the
data set based on the minimax similarity. Finally, the assignment of all data
points can be achieved through their corresponding supernodes. Experimental
results on many synthetic and real-world data sets show that our algorithm
consistently outperforms compared clustering algorithms.
PMID- 29652583
TI - New Families of Skewed Higher-Order Kernel Estimators to Solve the BSS/ICA
Problem for Multimodal Sources Mixtures.
AB - This letter suggests two new types of asymmetrical higher-order kernels (HOK)
that are generated using the orthogonal polynomials Laguerre (positive or right
skew) and Bessel (negative or left skew). These skewed HOK are implemented in the
blind source separation/independent component analysis (BSS/ICA) algorithm. The
tests for these proposed HOK are accomplished using three scenarios to simulate a
real environment using actual sound sources, an environment of mixtures of
multimodal fast-changing probability density function (pdf) sources that
represent a challenge to the symmetrical HOK, and an environment of an adverse
case (near gaussian). The separation is performed by minimizing the mutual
information (MI) among the mixed sources. The performance of the skewed kernels
is compared to the performance of the standard kernels such as Epanechnikov,
bisquare, trisquare, and gaussian and the performance of the symmetrical HOK
generated using the polynomials Chebyshev1, Chebyshev2, Gegenbauer, Jacobi, and
Legendre to the tenth order. The gaussian HOK are generated using the Hermite
polynomial and the Wand and Schucany procedure. The comparison among the 96
kernels is based on the average intersymbol interference ratio (AISIR) and the
time needed to complete the separation. In terms of AISIR, the skewed kernels'
performance is better than that of the standard kernels and rivals most of the
symmetrical kernels' performance. The importance of these new skewed HOK is
manifested in the environment of the multimodal pdf mixtures. In such an
environment, the skewed HOK come in first place compared with the symmetrical
HOK. These new families can substitute for symmetrical HOKs in such applications.
PMID- 29652584
TI - Optimal Readout of Correlated Neural Activity in a Decision-Making Circuit.
AB - The neural correlates of decision making have been extensively studied with tasks
involving a choice between two alternatives that is guided by visual cues. While
a large body of work argues for a role of the lateral intraparietal (LIP) region
of cortex in these tasks, this role may be confounded by the interaction between
LIP and other regions, including medial temporal (MT) cortex. Here, we describe a
simplified linear model of decision making that is adapted to two tasks: a motion
discrimination and a categorization task. We show that the distinct contribution
of MT and LIP may indeed be confounded in these tasks. In particular, we argue
that the motion discrimination task relies on a straightforward visuomotor
mapping, which leads to redundant information between MT and LIP. The
categorization task requires a more complex mapping between visual information
and decision behavior, and therefore does not lead to redundancy between MT and
LIP. Going further, the model predicts that noise correlations within LIP should
be greater in the categorization compared to the motion discrimination task due
to the presence of shared inputs from MT. The impact of these correlations on
task performance is examined by analytically deriving error estimates of an
optimal linear readout for shared and unique inputs. Taken together, results
clarify the contribution of MT and LIP to decision making and help characterize
the role of noise correlations in these regions.
PMID- 29652585
TI - A Theory of Sequence Indexing and Working Memory in Recurrent Neural Networks.
AB - To accommodate structured approaches of neural computation, we propose a class of
recurrent neural networks for indexing and storing sequences of symbols or analog
data vectors. These networks with randomized input weights and orthogonal
recurrent weights implement coding principles previously described in vector
symbolic architectures (VSA) and leverage properties of reservoir computing. In
general, the storage in reservoir computing is lossy, and crosstalk noise limits
the retrieval accuracy and information capacity. A novel theory to optimize
memory performance in such networks is presented and compared with simulation
experiments. The theory describes linear readout of analog data and readout with
winner-take-all error correction of symbolic data as proposed in VSA models. We
find that diverse VSA models from the literature have universal performance
properties, which are superior to what previous analyses predicted. Further, we
propose novel VSA models with the statistically optimal Wiener filter in the
readout that exhibit much higher information capacity, in particular for storing
analog data. The theory we present also applies to memory buffers, networks with
gradual forgetting, which can operate on infinite data streams without memory
overflow. Interestingly, we find that different forgetting mechanisms, such as
attenuating recurrent weights or neural nonlinearities, produce very similar
behavior if the forgetting time constants are matched. Such models exhibit
extensive capacity when their forgetting time constant is optimized for given
noise conditions and network size. These results enable the design of new types
of VSA models for the online processing of data streams.
PMID- 29652586
TI - A Computational Account of the Role of Cochlear Nucleus and Inferior Colliculus
in Stabilizing Auditory Nerve Firing for Auditory Category Learning.
AB - It is well known that auditory nerve (AN) fibers overcome bandwidth limitations
through the volley principle, a form of multiplexing. What is less well known is
that the volley principle introduces a degree of unpredictability into AN neural
firing patterns that may be affecting even simple stimulus categorization
learning. We use a physiologically grounded, unsupervised spiking neural network
model of the auditory brain with spike time dependent plasticity learning to
demonstrate that plastic auditory cortex is unable to learn even simple auditory
object categories when exposed to the raw AN firing input without subcortical
preprocessing. We then demonstrate the importance of nonplastic subcortical
preprocessing within the cochlear nucleus and the inferior colliculus for
stabilizing and denoising AN responses. Such preprocessing enables the plastic
auditory cortex to learn efficient robust representations of the auditory object
categories. The biological realism of our model makes it suitable for generating
neurophysiologically testable hypotheses.
PMID- 29652587
TI - SuperSpike: Supervised Learning in Multilayer Spiking Neural Networks.
AB - A vast majority of computation in the brain is performed by spiking neural
networks. Despite the ubiquity of such spiking, we currently lack an
understanding of how biological spiking neural circuits learn and compute in
vivo, as well as how we can instantiate such capabilities in artificial spiking
circuits in silico. Here we revisit the problem of supervised learning in
temporally coding multilayer spiking neural networks. First, by using a surrogate
gradient approach, we derive SuperSpike, a nonlinear voltage-based three-factor
learning rule capable of training multilayer networks of deterministic integrate
and-fire neurons to perform nonlinear computations on spatiotemporal spike
patterns. Second, inspired by recent results on feedback alignment, we compare
the performance of our learning rule under different credit assignment strategies
for propagating output errors to hidden units. Specifically, we test uniform,
symmetric, and random feedback, finding that simpler tasks can be solved with any
type of feedback, while more complex tasks require symmetric feedback. In
summary, our results open the door to obtaining a better scientific understanding
of learning and computation in spiking neural networks by advancing our ability
to train them to solve nonlinear problems involving transformations between
different spatiotemporal spike time patterns.
PMID- 29652588
TI - Joint Estimation of Effective Brain Wave Activation Modes Using EEG/MEG Sensor
Arrays and Multimodal MRI Volumes.
AB - In this letter, we present a new method for integration of sensor-based
multifrequency bands of electroencephalography and magnetoencephalography data
sets into a voxel-based structural-temporal magnetic resonance imaging analysis
by utilizing the general joint estimation using entropy regularization (JESTER)
framework. This allows enhancement of the spatial-temporal localization of brain
function and the ability to relate it to morphological features and structural
connectivity. This method has broad implications for both basic neuroscience
research and clinical neuroscience focused on identifying disease-relevant
biomarkers by enhancing the spatial-temporal resolution of the estimates derived
from current neuroimaging modalities, thereby providing a better picture of the
normal human brain in basic neuroimaging experiments and variations associated
with disease states.
PMID- 29652589
TI - Distributed Newton Methods for Deep Neural Networks.
AB - Deep learning involves a difficult nonconvex optimization problem with a large
number of weights between any two adjacent layers of a deep structure. To handle
large data sets or complicated networks, distributed training is needed, but the
calculation of function, gradient, and Hessian is expensive. In particular, the
communication and the synchronization cost may become a bottleneck. In this
letter, we focus on situations where the model is distributedly stored and
propose a novel distributed Newton method for training deep neural networks. By
variable and feature-wise data partitions and some careful designs, we are able
to explicitly use the Jacobian matrix for matrix-vector products in the Newton
method. Some techniques are incorporated to reduce the running time as well as
memory consumption. First, to reduce the communication cost, we propose a
diagonalization method such that an approximate Newton direction can be obtained
without communication between machines. Second, we consider subsampled Gauss
Newton matrices for reducing the running time as well as the communication cost.
Third, to reduce the synchronization cost, we terminate the process of finding an
approximate Newton direction even though some nodes have not finished their
tasks. Details of some implementation issues in distributed environments are
thoroughly investigated. Experiments demonstrate that the proposed method is
effective for the distributed training of deep neural networks. Compared with
stochastic gradient methods, it is more robust and may give better test accuracy.
PMID- 29652590
TI - Why Does Large Batch Training Result in Poor Generalization? A Comprehensive
Explanation and a Better Strategy from the Viewpoint of Stochastic Optimization.
AB - We present a comprehensive framework of search methods, such as simulated
annealing and batch training, for solving nonconvex optimization problems. These
methods search a wider range by gradually decreasing the randomness added to the
standard gradient descent method. The formulation that we define on the basis of
this framework can be directly applied to neural network training. This produces
an effective approach that gradually increases batch size during training. We
also explain why large batch training degrades generalization performance, which
previous studies have not clarified.
PMID- 29652591
TI - A Reinforcement Learning Neural Network for Robotic Manipulator Control.
AB - We propose a neural network model for reinforcement learning to control a robotic
manipulator with unknown parameters and dead zones. The model is composed of
three networks. The state of the robotic manipulator is predicted by the state
network of the model, the action policy is learned by the action network, and the
performance index of the action policy is estimated by a critic network. The
three networks work together to optimize the performance index based on the
reinforcement learning control scheme. The convergence of the learning methods is
analyzed. Application of the proposed model on a simulated two-link robotic
manipulator demonstrates the effectiveness and the stability of the model.
PMID- 29652592
TI - Development of the Emergency Department Senior Abuse Identification (ED Senior
AID) tool.
AB - Emergency departments (EDs) are an important health care setting for the
identification of elder abuse (EA). Our objective was to develop an ED-based tool
to identify EA. The initial tool included a brief cognitive assessment, questions
to detect multiple domains of EA, and a physical examination. Refinement of the
tool was based on input from clinical experts and nurse and patient feedback. The
revised tool, which included 15 questions about EA, was then tested in an
academic ED. We calculated the inter-rater reliability, sensitivity, and
specificity of individual EA questions. Among ED patients age>=65 (N = 259), 17
(7%) screened positive for suspicion of EA. We identified a combination of six
questions that cover the included domains of EA, demonstrated good or excellent
inter-rater reliability, and had a sensitivity and specificity of 94% (95%
confidence interval (CI) 71-100%) and 90% (95% CI 85-93%), respectively. These
results inform a proposed screening tool for multisite validation testing.
PMID- 29652593
TI - Vitamin D Status in Hospitalized Chronically Ill Patients.
AB - OBJECTIVES: Vitamin D deficiency is rarely considered or treated in critically
ill patients. Deficiency of 25-hydroxy vitamin D [25(OH)D] prior to hospital
admission might be a significant predictor of short- and long-term all cause
patient mortality in a critically ill patient. The aim of this work is to
investigate the prevalence of vitamin D deficiency in hospitalized patients and
its relation to the length of stay and outcome of hospitalization. METHODS:
Prospective cohort study performed on 80 patients admitted with acute
deterioration of their chronic illness. Four groups of diseases were included,
namely, chronic liver diseases (CLD), chronic obstructive pulmonary diseases
(COPD), cerebrovascular stroke (CVS), and heart failure (HF). The patients were
followed up until their discharge, or transfer, or death. Patients were sampled
for their vitamin D level on admission and were divided according to their
vitamin D status into sufficient, insufficient, and deficient. Statistical
methods and analysis of the present study were conducted using the SPSS V17
program. RESULTS: Vitamin D level had a significant inverse correlation with
length of hospital stay (r = -0.648) (p < 0.001). In vitamin D-deficient and
insufficient groups, there was a significant difference between survivors and
nonsurvivors as regards vitamin D levels and an inverse correlation between
vitamin D level and outcome of hospital admission. CONCLUSIONS: Vitamin D
deficiency and insufficiency are significantly associated with a longer hospital
stay and a poor outcome of hospital admission in comparison to control.
PMID- 29652594
TI - Tissue Engineering and Regenerative Medicine 2017: A Year in Review.
AB - In 2017, a new paradigm change caused by artificial intelligence and big data
analysis resulted in innovation in each field of science and technology, and also
significantly influenced progress in tissue engineering and regenerative medicine
(TERM). TERM has continued to make technological advances based on
interdisciplinary approaches and has contributed to the overall field of
biomedical technology, including cancer biology, personalized medicine,
development biology, and cell-based therapeutics. While researchers are aware
that there is still a long way to go until TERM reaches the ultimate goal of
patient treatment through clinical translation, the rapid progress in convergence
studies led by technological improvements in TERM has been encouraging. In this
review, we highlighted the significant advances made in TERM in 2017 (with an
overlap of 5 months in 2016). We identified major progress in TERM in a manner
similar to previous reviews published in the last few years. In addition, we
carefully considered all four previous reviews during the selection process and
chose main themes that minimize the duplication of the topics. Therefore, we have
identified three areas that have been the focus of most journal publications in
the TERM community in 2017: (i) advanced biomaterials and three-dimensional (3D)
cell printing, (ii) exosomes as bioactive agents for regenerative medicine, and
(iii) 3D culture in regenerative medicine.
PMID- 29652595
TI - Stem Cells for Skeletal Muscle Tissue Engineering.
AB - Volumetric muscle loss (VML) is a debilitating condition wherein muscle loss
overwhelms the body's normal physiological repair mechanism. VML is particularly
common among military service members who have sustained war injuries. Because of
the high social and medical cost associated with VML and suboptimal current
surgical treatments, there is great interest in developing better VML therapies.
Skeletal muscle tissue engineering (SMTE) is a promising alternative to
traditional VML surgical treatments that use autogenic tissue grafts, and rather
uses isolated stem cells with myogenic potential to generate de novo skeletal
muscle tissues to treat VML. Satellite cells are the native precursors to
skeletal muscle tissue, and are thus the most commonly studied starting source
for SMTE. However, satellite cells are difficult to isolate and purify, and it is
presently unknown whether they would be a practical source in clinical SMTE
applications. Alternative myogenic stem cells, including adipose-derived stem
cells, bone marrow-derived mesenchymal stem cells, perivascular stem cells,
umbilical cord mesenchymal stem cells, induced pluripotent stem cells, and
embryonic stem cells, each have myogenic potential and have been identified as
possible starting sources for SMTE, although they have yet to be studied in
detail for this purpose. These alternative stem cell varieties offer unique
advantages and disadvantages that are worth exploring further to advance the SMTE
field toward highly functional, safe, and practical VML treatments. The following
review summarizes the current state of satellite cell-based SMTE, details the
properties and practical advantages of alternative myogenic stem cells, and
offers guidance to tissue engineers on how alternative myogenic stem cells can be
incorporated into SMTE research.
PMID- 29652596
TI - Effect of Concurrent Visual Feedback Frequency on Postural Control Learning in
Adolescents.
AB - The purpose was to find better augmented visual feedback frequency (100% or 67%)
for learning a balance task in adolescents. Thirty subjects were divided randomly
into a control group, and 100% and 67% feedback groups. The three groups
performed pretest (3 trials), practice (12 trials), posttest (3 trials) and
retention (3 trials, 24 hours later). The reduced feedback group showed lower RMS
in the posttest than in the pretest (p = 0.04). The control and reduced feedback
groups showed significant lower median frequency in the posttest than in the
pretest (p < 0.05). Both feedback groups showed lower values in retention than in
the pretest (p < 0.05). Even when the effect of feedback frequency could not be
detected in motor learning, 67% of the feedback was recommended for motor
adaptation.
PMID- 29652597
TI - Hypothyroidism During Tyrosine Kinase Inhibitor Therapy Is Associated with Longer
Survival in Patients with Advanced Nonthyroidal Cancers.
AB - BACKGROUND: Tyrosine kinase inhibitor (TKI)-induced thyroid dysfunction is
recognized as a common adverse effect of treatment, but the importance of
incident hypothyroidism during TKI therapy remains unclear. This study analyzed
the prognostic significance of hypothyroidism during TKI therapy in cancer
patients. METHODS: This was a retrospective cohort study of adult patients with
advanced nonthyroidal cancer treated with TKI and available thyroid function
testing at three affiliated academic hospitals from 2000 to 2017. Patients with
preexisting thyroid disease were excluded. Demographic, clinical, and cancer
treatment data were collected. Thyroid status with TKI treatment was determined
from thyroid function testing and initiation of thyroid medication, and
classified as euthyroid (thyrotropin [TSH] normal), subclinical hypothyroidism
(SCH; TSH 5-10 mIU/L, or higher TSH if free thyroxine normal), or overt
hypothyroidism (OH; TSH >10 mIU/L, low free thyroxine, or requiring replacement).
Multivariate models were used to evaluate the effect of TKI-related
hypothyroidism on overall survival (OS). RESULTS: Of 1120 initial patients, 538
remained after exclusion criteria. SCH occurred in 72 (13%) and OH in 144 (27%)
patients with TKI therapy. Patients with hypothyroidism had significantly longer
OS, with median OS in euthyroid patients of 685 days [confidence interval (CI)
523-851] compared to 1005 days [CI 634-1528] in SCH and 1643 days [CI 1215-1991]
in OH patients (p < 0.0001). After adjustment for age, sex, race/ethnicity,
cancer type, cancer stage, ECOG performance status, and checkpoint inhibitor
therapy, OH remained significantly associated with OS (hazard ratio = 0.561; p <
0.0001), whereas SCH did not (hazard ratio = 0.796; p = 0.165). Analysis of
hypothyroid patients (SCH and OH) with TSH >5 and <10 mIU/L stratified by hormone
replacement status showed improved survival associated with hormone replacement.
CONCLUSIONS: New hypothyroidism in cancer patients treated with TKI is associated
with significantly improved OS, should not necessitate TKI dose reduction or
discontinuation, and may provide independent prognostic information.
PMID- 29652599
TI - Risk Factors for New Hypothyroidism During Tyrosine Kinase Inhibitor Therapy in
Advanced Nonthyroidal Cancer Patients.
AB - BACKGROUND: Thyroid dysfunction during tyrosine kinase inhibitor (TKI) cancer
treatment is common, but predisposing risk factors have not been determined.
Recommendations for monitoring patients treated with one or multiple TKI and in
conjunction with other relevant cancer therapies could be improved. The study
objective was to assess the risk factors for new thyroid dysfunction in TKI
treated previously euthyroid cancer patients. METHODS: A retrospective cohort
study of patients with advanced nonthyroidal cancer treated with TKI from 2000 to
2017, having available thyroid function tests showing initial euthyroid status,
excluding patients with preexisting thyroid disease or lack of follow-up thyroid
function tests. During TKI treatment, patients were classified as euthyroid
(thyrotropin [TSH] normal), subclinical hypothyroidism (TSH 5-10 mIU/L, or higher
TSH if free thyroxine normal), or overt hypothyroidism (TSH >10 mIU/L, low free
thyroxine, or requiring thyroid hormone replacement). The timing of thyroid
dysfunction and TKI used were assessed. Risk factors for incident hypothyroidism
were evaluated using multivariate models. RESULTS: In 538 adult patients
included, subclinical hypothyroidism occurred in 71 (13.2%) and overt
hypothyroidism occurred in 144 (26.8%) patients with TKI therapy, following a
median cumulative TKI exposure of 196 days (interquartile range [IQR] 63.5-518.5
days). The odds of hypothyroidism were greatest during the first six months on a
TKI. Median exposure time on the TKI concurrent with thyroid dysfunction in
patients treated with only one TKI was 85 days (IQR 38-293.5 days) and was
similar to the 74 days (IQR 38-133.3 days) in patients treated previously with
other TKI (p = 0.41). Patients who developed hypothyroidism compared to those who
remained euthyroid had greater odds of being female (odds ratio = 1.99
[confidence interval 1.35-2.93], p < 0.01), but greater cumulative TKI exposure
and greater number of TKI received were not associated with thyroid dysfunction.
CONCLUSIONS: Thyroid dysfunction occurred in 40% of euthyroid patients.
Monitoring thyroid function in TKI-treated patients is recommended, with
particular attention to female patients and within the first six months of
exposure to a new TKI.
PMID- 29652600
TI - Social network analysis for assessing college-aged adults' health: A systematic
review.
AB - OBJECTIVE: Social network analysis (SNA) is a useful, emerging method for
studying health. College students are especially prone to social influence when
it comes to health. This review aimed to identify network variables related to
college student health and determine how SNA was used in the literature.
PARTICIPANTS: A systematic review of relevant literature was conducted in October
2015. METHODS: Studies employing egocentric or whole network analysis to study
college student health were included. We used Garrard's Matrix Method to extract
data from reviewed articles (n = 15). RESULTS: Drinking, smoking, aggression,
homesickness, and stress were predicted by network variables in the reviewed
literature. Methodological inconsistencies concerning boundary specification,
data collection, nomination limits, and statistical analyses were revealed across
studies. CONCLUSIONS: Results show the consistent relationship between network
variables and college health outcomes, justifying further use of SNA to research
college health. Suggestions and considerations for future use of SNA are
provided.
PMID- 29652601
TI - Editorial.
PMID- 29652602
TI - Barriers to resistance training among college-aged women.
AB - OBJECTIVE: To examine resistance training (RT) barriers among college-aged women.
PARTICIPANTS: Female college students (n = 223) from a Midwestern university.
METHODS: Data were collected in September 2014. Participants completed a survey
assessing demographics, current and past RT habits, and barriers to resistance
training. Descriptive statistics were used to examine barrier agreement.
Differences between current and past RT participation, residency, and class
standing were analyzed. RESULTS: A majority of participants were Caucasian
(78.9%) and, on average, 19.7 +/- 1.5 years of age; 52% participated in RT and
46.6% met RT recommendations. Women who did not report current RT participation
reported significantly higher mean agreement with all barriers, compared to those
who do RT. Highest agreement was observed within socially based barriers.
CONCLUSION: A majority of college-aged women are not meeting RT recommendations.
Providing educational programs and avenues for finding same-sex RT partners may
be most beneficial for overcoming common RT barriers.
PMID- 29652603
TI - Draining the swamp while making America great again: senior dissonance in the age
of Trump.
AB - In his surprise election as president, Donald Trump enjoyed disproportionate
electoral support from older voters, many of whom saw in Trump a person who would
work to reverse demographic, economic, and cultural forces that had transformed
American life as they had long seen it. Yet, Trump's campaign and incumbency has
also been very much about gutting the Washington policy establishment of
officials, bureaucrats, and lobbyists (aka, "the swamp") which, for more than
half a century, has been instrumental in enacting and expanding legislation that
has benefitted older Americans far more than any other social policy constituency
in the country. This article contrasts the value-oriented electoral support Trump
enjoyed from older Americans with their interest concerns centered on policies
such as the Affordable Care Act, Medicaid, and a host of smaller grant-in-aid
programs. It then reviews the strong institutional base seniors and their
advocates have in Washington, posing whether interest-oriented concerns may
outweigh ideological ones as policy options emerge from a Republican-controlled
government prior to the 2018 elections.
PMID- 29652604
TI - An Engineered Human Adipose/Collagen Model for In Vitro Breast Cancer Cell
Migration Studies.
AB - Adipocytes are one of the major stromal cell components of the human breast.
These cells play a key role in the development of the gland and are implicated in
breast tumorigenesis. Frequently, directional stromal collagen I fibers are found
surrounding aggressive breast tumors. These fibers enhance breast cancer cell
migration and are associated with poor patient prognosis. We sought to
recapitulate these stromal components in vitro to provide a three-dimensional
(3D) model comprising human adipose tissue and anisotropic collagen fibers. We
developed a human mesenchymal stem cell (hMSC) cell line capable of undergoing
differentiation into mature adipocytes by immortalizing hMSCs, isolated from
breast reduction mammoplasties, through retroviral transduction. These
immortalized hMSCs were seeded in engineered collagen I scaffolds with
directional internal architecture, and adipogenesis was chemically induced,
resulting in human adipose tissue being synthesized in vitro in an architectural
structure associated with breast tumorigenesis. Subsequently, fluorescently
labeled cells from an established breast cancer cell line were seeded into this
model, cocultured for 7 days and imaged using multiphoton microscopy. Enhanced
breast cancer cell migration was observed in the adipose-containing model over
empty scaffold controls, demonstrating an adipocyte-mediated influence on breast
cancer cell migration. Thus, this 3D in vitro model recapitulates the migratory
effects of adipocytes observed on breast cancer cells and suggests that it could
have utility with fresh breast tumor biopsies as an assay for cancer therapeutic
efficacy in personalized medicine strategies.
PMID- 29652605
TI - Improvement of Bone Healing by Neutralization of microRNA-335-5p, but not by
Neutralization of microRNA-92A in Bone Marrow Mononuclear Cells Transplanted into
a Large Femur Defect of the Rat.
AB - Transplanted bone marrow mononuclear cells (BMC) support the healing of large
bone defects. Neutralization of microRNA (MiR) that negatively affects key
processes of the reparative response in BMC might help to further improve the
beneficial effect of transplanted BMC in bone healing. Hence, the aim of this
study was to evaluate if the neutralization of MiR-92A (vascularization) and MiR
335-5p (osteogenic differentiation) in BMC using specific antiMiRs leads to a
further improvement of the BMC-supported therapy of large bone defects. BMC
transiently transfected with antiMiR- 92A, antiMiR-335, antiMiR-92A, and antiMiR
355 or control antiMiR were seeded on beta-TCP (beta-tricalcium phosphate) and
placed in a femoral large bone defect (5 mm) in Sprague-Dawley rats. Ultimate
load as well as osseous integration of the beta-TCP-scaffolds were significantly
improved in the antiMiR-335 group compared to the control group after 8 weeks,
whereas neutralization of antiMiR-92A lead to an improvement of early
vascularization after 1 week, but not to enhanced bone healing after 8 weeks. We
demonstrated that the targeted inhibition of MiRs in transplanted BMC is a new
approach that enhances BMC-supported bone healing.
PMID- 29652606
TI - Development of PEI-RANK siRNA Complex Loaded PLGA Nanocapsules for the Treatment
of Osteoporosis.
AB - Osteoporosis, which is characterized by low bone mineral density and
susceptibility to fracture, is caused by increased osteoclastic activity.
Receptor activator of nuclear factor kappa B ligand (RANKL)/RANK signaling plays
an important role in osteoclast differentiation and activation. The current
treatment strategies for osteoporosis do not directly address this underlying
cause and generates undesired side effects. This led to emergence of controlled
delivery systems to increase drug bioavailability and efficacy specifically at
the bone tissue. With better understanding of molecular pathology of bone, the
use of small interfering RNA (siRNA) to inhibit translation of abnormal gene
expression in cells is becoming a promising approach. In this study, we report a
siRNA delivery system consisting of PEI:RANK siRNA complex entrapped in nanosized
poly(lactic acid-co-glycolic acid) (PLGA) capsules intended to be used in the
treatment of osteoporosis. The nanosize will enable the nanoparticles to be
administered by intravenous injection. The RANK siRNA was complexed with
polyethylenimine (PEI) and loaded into biodegradable PLGA nanocapsules (NCs). The
PEI:RANK siRNA loaded nanocapsules significantly reduced (47%) RANK mRNA levels.
The differentiation of osteoclast precursors to mature osteoclasts was
significantly suppressed (~54%). The reduction in the osteoclastic activity of
the differentiated osteoclasts (55%) was found to be statistically significant.
The siRNA delivery system developed in the study is planned to be tested i.v. in
mouse and has the potential to be used as a novel alternative approach for the
systemic treatment of osteoporosis.
PMID- 29652607
TI - Encapsulation of Mesenchymal Stem Cells Improves Vascularization of Alginate
Based Scaffolds.
AB - Vascularization of bioartificial tissues can be significantly enhanced by the
generation of an arteriovenous (AV) loop. Besides the surgical vascularization,
the choice of the scaffold and the applied cells are indispensable cofactors. The
combination of alginate dialdehyde and gelatin (ADA-GEL) and mesenchymal stem
cells (MSCs) is a promising approach with regard to biocompatibility,
biodegradation, as well as de novo tissue formation. In this study, we targeted
the investigation of the vascularization of ADA-GEL with and in the absence of
encapsulated MSCs in the AV loop model. A Teflon chamber filled with ADA-GEL
microcapsules was placed in the groin of Lewis rats and an AV loop was placed
into the chamber. Group A encompassed the ADA-GEL without MSCs, whereas group B
contained 2 * 106 DiI-labeled MSCs/mL ADA-GEL. Four weeks postoperatively, tissue
formation and vascularization were investigated by histology and microcomputed
tomography. We were able to prove vascularization originating from the AV loop in
both groups with statistically significant more vessels in group B containing
MSCs. Moreover, encapsulated MSCs promoted biodegradation of the ADA-GEL
microcapsules. In the present study, we were able to demonstrate for the first
time, the successful vascularization of ADA-GEL microcapsules by means of the AV
loop. Furthermore, ADA-GEL displayed a good biocompatibility and encapsulation of
MSCs into ADA-GEL microcapsule-enhanced vascularization as well as
biodegradation.
PMID- 29652608
TI - Immunomodulatory Role of Stem Cells from Human Exfoliated Deciduous Teeth on
Periodontal Regeneration.
AB - Periodontitis is initiated by the infection of periodontal bacteria and
subsequent tissue inflammation due to immunoreaction, eventually leading to
periodontal apparatus loss. Stem cells from human exfoliated deciduous teeth
(SHEDs) have exhibited beneficial characteristics in dental tissue regeneration.
However, the immunomodulatory functions of SHEDs have not been elucidated in the
context of periodontitis treatment. In this study, we investigated the potential
immunomodulatory effects of SHEDs on experimental periodontitis and demonstrated
that multidose delivery of SHEDs led to periodontal tissue regeneration. SHEDs
and monocytes/macrophages were cocultured in transwell systems and SHEDs were
found to be capable of promoting monocyte/macrophage conversion to CD206+ M2-like
phenotype. Bioluminescence imaging (BLI) was employed to assess the survival and
distribution of SHEDs after delivery in periodontal tissues in an induced
periodontitis model, and BLI revealed that SHEDs survived for ~7 days in
periodontal tissues with little tissue diffusion. Then, multidose SHED delivery
was applied to treat periodontitis at 7-day intervals. Results showed that
mutidose SHEDs altered the cytokine expression profile in gingival crevicular
fluid, reduced gum bleeding, increased new attachment of periodontal ligament,
and decreased osteoclast differentiation. Micro-computed tomography analysis
showed SHED administration significantly increased periodontal regeneration and
alveolar bone volume, and decreased distance of cementoenamel junction to
alveolar bone crest. Furthermore, an increase in the number of CD206+ M2
macrophages was observed in periodontal tissues following the delivery of SHEDs,
which aligned well with the promoted conversion to CD206+ M2-like cells from
monocytes/macrophages in vitro after stimulation by SHEDs. This study
demonstrated in a rat periodontitis model that local delivery of SHEDs attributed
to the induction of M2 macrophage polarization, reduction of periodontal tissue
inflammation, and enhancement of periodontal regeneration.
PMID- 29652609
TI - An Optimized Collagen-Fibrin Blend Engineered Neural Tissue Promotes Peripheral
Nerve Repair.
AB - Tissue engineering approaches in nerve regeneration often aim to improve results
by bridging nerve defects with conduits that mimic key features of the nerve
autograft. One such approach uses Schwann cell self-alignment and stabilization
within collagen gels to generate engineered neural tissue (EngNT). In this study,
we investigated whether a novel blend of fibrin and collagen could be used to
form EngNT, as before EngNT design a beneficial effect of fibrin on Schwann cell
proliferation was observed. A range of blend formulations was tested in terms of
mechanical behavior (gel formation, stabilization, swelling, tensile strength,
and stiffness), and lead formulations were assessed in vitro. A 90% collagen 10%
fibrin blend was found to promote SCL4.1/F7 Schwann cell viability and supported
the formation of aligned EngNT, which enhanced neurite outgrowth in vitro (NG108
cells) compared to formulations with higher and lower fibrin content. Initial in
vivo tests in an 8 mm rat sciatic nerve model using rolled collagen-fibrin EngNT
rods revealed a significantly enhanced axonal count in the midsection of the
repair, as well as in the distal part of the nerve after 4 weeks. This optimized
collagen-fibrin blend therefore provides a novel way to improve the capacity of
EngNT to promote regeneration following peripheral nerve injury.
PMID- 29652610
TI - Combination Therapy Comprising a Static Magnetic Field with Contractility
Improves Skin Wounds.
AB - Cutaneous wounds can present significant clinical problems because of abnormal
healing after deep dermal damage. Despite technical advances in wound care, there
are still unmet needs that result from inefficient treatment. In this study, we
aimed to improve skin wound healing using a contractibility band with static
magnetic field (SMF), termed a magnetic band (Mb). To examine the effect of the
Mb on wound healing, full-thickness 15 * 35 mm excision wounds were surgically
created on the dorsum of rats. An elastic and contractile band (nontreatment), or
one neodymium magnet (Nd-1) or two magnets with an elastic and contractile band
(Nd-2) were topically applied to the wound daily and the wound size was measured
from day 1 to 7 after surgery. Nd-2 showed a significant (95%) reduction in the
wound size on day 3. Histological analysis showed that proinflammatory cytokine
levels were diminished by Nd-2, and granulation tissue and microvessels were
increased compared with those in the sham group. During Mb-induced wound healing,
apoptosis was significantly reduced and matrix remodeling-related factors were
initially regulated. The results suggest that combination therapy comprising an
SMF and an elastic and contractile band could be a promising tool to heal
cutaneous wounds rapidly.
PMID- 29652611
TI - An assisted living interprofessional education and practice geriatric screening
clinic (IPEP-GSC): a description and evaluation.
AB - As the U.S. population ages and lives longer, we need to assure that future
providers are prepared to work in highly functioning interprofessional teams to
deliver person-centered care for older adults with complex chronic conditions.
Assisted living facilities are ideal venues in which to train interprofessional
health sciences students in providing such care. After monthly clinics involving
interprofessional students (from the colleges of medicine, nursing, pharmacy,
public health, and school of social work) providing team-based care to older
adults, students' post-clinic evaluations indicated perceived improvements in
knowledge, attitudes, and perceptions about aging and care of older adults. In
addition, participating older adults reported improved self-worth and enjoyment.
The curriculum, evaluation outcomes, and lessons learned are described to support
program replication.
PMID- 29652612
TI - Quiet ego, self-regulatory skills, and perceived stress in college students.
AB - OBJECTIVE: Examine the unique contributions of self-control and grit subscales
(perseverance, interest consistency) as potential mediators of the relationship
between quiet ego characteristics and less perceived stress in college students.
PARTICIPANTS: Data from 1117 college students were collected between October,
2015 and May, 2016. METHODS: The sample was split randomly into exploratory and
confirmatory samples. Multiple mediator models were tested with PROCESS module
(SPSS v. 24) in both samples. RESULTS: Hypotheses were largely confirmed with
self-control fully mediating the link between quiet ego and perceived stress in
both samples. CONCLUSIONS: Although many self-regulatory constructs may argue for
their positive impact on college student outcomes, interventions that strengthen
self-control, and not grit, may be most promising to reduce perceived stress.
Further, interventions to strengthen quiet ego characteristics may be beneficial
for strengthening self-control in college students.
PMID- 29652613
TI - Knowledge and Concern about STIs/HIV and Sociodemographic Variables Associated
with Getting Tested for HIV Among the General Population in Spain.
AB - HIV testing is important in terms of prevention and treatment. However, HIV
testing rates in the Spanish general population remains low. Therefore, HIV
testing promotion constitutes a key issue. A high level of knowledge about
HIV/AIDS is associated with having been tested for HIV. The general aim of this
study was to determine the prevalence of people who had ever been tested for HIV
in Spain. The sample consisted of 1,106 participants from the general population
60.0% females and 40.0% males - aged between 17 and 55 years old. The assessment
instruments were a questionnaire on sociodemographic data and HIV testing, a
scale of knowledge about STIs and HIV/AIDS, and a scale of concern about
STIs/HIV. Results showed that greater knowledge about STIs and HIV was associated
with a greater likelihood of being tested for HIV (OR = .77; 95.0% CI = .73-.82;
p < .05). In addition, higher concern about HIV/AIDS decreased the likelihood of
not having been tested for HIV (OR = .87; 95.0% CI = .83-.92; p < .05). In fact,
the higher participants concern about STIs was, the lower their likelihood of not
having been tested for HIV was (OR = .87; 95.0% CI = .83-.91; p < .05). It is
necessary to promote HIV testing in the general population as well as to consider
their socio-demographic and psychological characteristics.
PMID- 29652614
TI - A Web-based review of sexual and reproductive health services available at
colleges and universities in Georgia.
AB - OBJECTIVE: Although two-thirds of graduating high school seniors attend college
or university in the U.S., there is a paucity of national or state specific
research regarding SRH services available on or near college and university
campuses. METHODS: A review of websites for all colleges and universities in
Georgia was conducted to evaluate sexual health services available on campuses
and evidence of referral to community providers. RESULTS: Of 96 colleges in
Georgia, 44 had campus-located health centers, with only 3 at two-year colleges.
Overall SRH service provision was low, with great variation between colleges.
Distances between colleges and Title X clinics ranged from 0.33 to 35.45 miles.
CONCLUSIONS: Many students lack access to campus health centers, and information
on college websites regarding SRH service availability and referrals differs
dramatically between campuses. In the absence of robust campus-located services,
schools should highlight where students can obtain comprehensive SRH care in the
community.
PMID- 29652615
TI - A longitudinal analysis of the relationships among daytime dysfunction, fatigue,
and depression in college students.
AB - OBJECTIVE: To examine the longitudinal trajectory of daytime dysfunction (DD) and
its relationship with fatigue by depression status in university students.
PARTICIPANTS: 243 students completed online surveys from September- December
2016. METHODS: Surveys were conducted at three time points over a semester
period: the beginning of the semester, the end of mid-term and the end of the
semester. RESULTS: Results indicated that the DD significantly increased in all
students over the semester. Students with depression showed a higher initial
level of DD and faster rate of change compared to those without depression. A
faster rate of change of DD predicted a higher level of end-semester fatigue.
CONCLUSIONS: Depression is related to a higher initial level of DD and its faster
rate change which in turn, predicted end-semester fatigue, identifying one of the
possible pathways through which depression impacts the functioning and health of
affected students.
PMID- 29652616
TI - Ethical Predispositions to Violate or Obey Traffic Rules and the Mediating Role
of Driving Styles.
AB - Traffic law violations are the most important determinants of traffic accidents.
This study examined the influence of drivers' ethical perspective on their
traffic violations and the mediating role of driving styles in these
relationships. A sample of 313 drivers participated in the study. Contrary to
expectations, high ethical relativism was found to be associated with fewer
aggressive traffic violations. This suggests that the informal normative system
that parallels the official traffic regulations is the more salient reference
against which drivers usually assess the adequacy of their behaviors, highly
relativistic drivers being more willing to also take into consideration the
official traffic code in these appraisals. We also found significant interactions
between idealism and relativism on both ordinary and aggressive violations.
Idealism emerged as a predictor of these violations only in drivers low in
relativism. In this group, one's concern about the harm that he/she might cause
to other traffic participants induces higher traffic law abidingness. These
influences of idealism were mediated by certain driving styles. Drivers' general
ethical perspective fosters a specific pattern of driving styles and,
consequently, the tendency to commit or to refrain from traffic violations.
PMID- 29652617
TI - Heart checks in college-age students link poor sleep to cardiovascular risk.
AB - OBJECTIVE: To describe the relationships between the cardiovascular health,
lifestyle behaviors, and lifestyle beliefs among college-age students.
PARTICIPANTS: 729 college-aged students participated between October 2016 and
April 2017. METHODS: Heart Checks, consisting of a cross-sectional survey and
biometric screening, were conducted on a large Midwestern university campus.
RESULTS: A small proportion of students (24.5%) engaged in the recommended 150+
minutes of physical activity per week and 7.9% consumed 5+ servings of
fruit/vegetables daily. Half (49.6%) slept 7 hours or less per night. Biometric
screenings found that 26.4% of the students had high stress, 31.9% were
overweight or obese, and 17.3% had pre-hypertension or hypertension. Less sleep
was significantly associated with higher risks of being stressed, being
overweight/obese, and having elevated blood pressure. CONCLUSIONS: Early
detection, treatment, and education is critical to identify individuals at risk
for cardiovascular disease and to promote healthy lifestyle changes before heart
disease develops or progresses.
PMID- 29652618
TI - Transcriptome Network Analysis Reveals Aging-Related Mitochondrial and
Proteasomal Dysfunction and Immune Activation in Human Thyroid.
AB - BACKGROUND: Elucidating aging-related transcriptomic changes in human organs is
necessary to understand the aging physiology and mechanisms, but little is known
regarding the thyroid gland. We investigated aging-related transcriptomic
alterations in the human thyroid gland and characterized the related molecular
functions. METHODS: Publicly available RNA sequencing data of 322 thyroid tissue
samples from the Genotype-Tissue Expression project were analyzed. In addition,
our own 64 RNA sequencing data of normal thyroid tissue samples were used as a
validation set. To comprehensively evaluate the associations between aging and
transcriptomic changes, we performed a weighted gene coexpression network
analysis and pathway enrichment analysis. The thyroid differentiation score was
then used for further analysis, defining the correlations between thyroid
differentiation and aging. RESULTS: The most significant aging-related
transcriptomic change in thyroid was the downregulation of genes related to the
mitochondrial and proteasomal functions (p = 3 * 10-6). Moreover, genes that are
associated with immune processes were significantly upregulated with age (p = 3 *
10-4), and all of them overlapped with the upregulated genes in the thyroid
glands affected by lymphocytic thyroiditis. Furthermore, these aging-related
changes were not significantly different according to sex, but in terms of the
thyroid differentiation, females were more susceptible to aging-related changes
(p for trend = 0.03). CONCLUSIONS: Aging-related transcriptomic changes in the
thyroid gland were associated with mitochondrial and proteasomal dysfunction,
loss of differentiation, and activation of autoimmune processes. Our results
provide clues to better understanding the age-related decline in thyroid function
and higher susceptibility to autoimmune thyroid disease.
PMID- 29652619
TI - Circulating Cytokine/Chemokine Concentrations Respond to Ionizing Radiation Doses
but not Radiation Dose Rates: Granulocyte-Colony Stimulating Factor and
Interleukin-18.
AB - Exposure to ionizing radiation is a crucial life-threatening factor in nuclear
and radiological incidents. It is known that ionizing radiation affects
cytokine/chemokine concentrations in the blood of B6D2F1 mice. It is not clear
whether radiation dose rates would vary the physiological response. Therefore, in
this study we utilized data from two experiments using B6D2F1 female mice exposed
to six different dose rates ranging from low to high rates. In one experiment,
mice received a total dose of 8 Gy (LD0/30) of 60Co gamma radiation at four dose
rates: 0.04, 0.15, 0.30 and 0.47 Gy/min. Blood samples from mice were collected
at 24 and 48 h postirradiation for cytokine/chemokine measurements, including
interleukin (IL)-1beta, IL-6, IL-10, keratinocyte cytokine (KC), IL-12p70, IL-15,
IL-17A, IL-18, granulocyte-colony stimulating factor (G-CSF), granulocyte
macrophage (GM)-CSF, macrophage (M)-CSF, monokine induced by gamma interferon
(MIG), tumor necrosis factor (TNF)-alpha, fibroblast growth factor (FGF)-basic,
vascular endothelial growth factor (VEGF) and platelet-derived growth factor
basic (PDGF-bb). At 24 h after ionizing irradiation at dose rate of 0.04 Gy/min,
significant increases were observed only in G-CSF and M-CSF ( P < 0.05). At 0.15
Gy/min, IL-10, IL-17A, G-CSF and GM-CSF concentrations were increased. At 0.3
Gy/min, IL-15, IL-18, G-CSF, GM-CSF, M-CSF, MCP-1, MIP-2, MIG, FGF-basic, VEGF
and PDGF-bb were significantly elevated ( P < 0.05). At 0.47 Gy/min, IL-6, KC, IL
10, MCP-1, G-CSF, GM-CSF and M-CSF were significantly increased. At 48 h
postirradiation, all cytokines/chemokines except MCP-1 returned to or were below
their baselines, suggesting these increases are transient at LD0/30 irradiation.
Of note, there is a limitation on day 2 because cytokines/chemokines are either
at or below their baselines. Other parameters such as fms-like tyrosine kinase
receptor-3 ligand (Flt-3 ligand) concentrations and lymphocyte counts, which have
proven to be unaffected by radiation dose rates, can be used instead for
assessing the radiation dose. However, in a separate radiation dose and time
course experiment, increases in IL-18 and G-CSF depended on the radiation doses
but showed no significant differences between 0.58 and 1.94 Gy/min ( P > 0.05) at
3 and 6 Gy but not 12 Gy. G-CSF continued to increase up to day 7, whereas IL-18
increased on day 4 and remained above baseline level on day 7. Therefore, time
after irradiation at different doses should be taken into consideration. To our
knowledge, these results are the first to suggest that ionizing radiation, even
at a very low-dose-rate (0.04 Gy/min), induces circulating G-CSF increases but
not others for selected time points; radiation-induced increases in IL-18 at
radiation dose rates between 0.15 and 1.94 Gy/min are also not in a radiation
dose-rate-dependent manner. C-CSF, lymphocyte counts and circulating Flt-3 ligand
should be explored further as possible biomarkers of radiation exposure at early
time points. IL-18 is also worthy of further study as a potential biomarker at
later time points.
PMID- 29652620
TI - NASA GeneLab Project: Bridging Space Radiation Omics with Ground Studies.
AB - Accurate assessment of risks of long-term space missions is critical for human
space exploration. It is essential to have a detailed understanding of the
biological effects on humans living and working in deep space. Ionizing radiation
from galactic cosmic rays (GCR) is a major health risk factor for astronauts on
extended missions outside the protective effects of the Earth's magnetic field.
Currently, there are gaps in our knowledge of the health risks associated with
chronic low-dose, low-dose-rate ionizing radiation, specifically ions associated
with high (H) atomic number (Z) and energy (E). The NASA GeneLab project (
https://genelab.nasa.gov/ ) aims to provide a detailed library of omics datasets
associated with biological samples exposed to HZE. The GeneLab Data System (GLDS)
includes datasets from both spaceflight and ground-based studies, a majority of
which involve exposure to ionizing radiation. In addition to detailed information
on radiation exposure for ground-based studies, GeneLab is adding detailed,
curated dosimetry information for spaceflight experiments. GeneLab is the first
comprehensive omics database for space-related research from which an
investigator can generate hypotheses to direct future experiments, utilizing both
ground and space biological radiation data. The GLDS is continually expanding as
omics-related data are generated by the space life sciences community. Here we
provide a brief summary of the space radiation-related data available at GeneLab.
PMID- 29652623
TI - Beliefs underlying stress reduction and depression help-seeking among college
students: An elicitation study.
AB - OBJECTIVE: This study illustrates how a theory-based approach can identify
college students' beliefs about stress reduction activities and help-seeking for
depression. These beliefs are the basis for intervention design. PARTICIPANTS: A
sample of 53 undergraduate students at a public university in the Midwest
participated in this research during March 2016. METHODS: An open-ended belief
elicitation survey was administered online. Beliefs were identified through
qualitative thematic analyses. RESULTS: Exercise was students' most preferred
stress reduction activity. Beliefs about exercise emphasized physical benefits
yet also not having time for exercise. Beliefs about help-seeking for depression
emphasized treatment efficacy, support from others, stigma, and time constraints.
CONCLUSIONS: Whereas beliefs about positive outcomes inform educational and
motivational messages, beliefs about time constraints underscore the need to also
consider structural factors that can help students find time to attend to their
well-being.
PMID- 29652622
TI - Identity Crisis - Rigor and Reproducibility in Human Cell Lines.
PMID- 29652621
TI - Dose-Modifying Factor of Radiation Therapy with Concurrent Cisplatin Treatment in
HPV-Positive Squamous Cell Carcinoma: A Preclinical Study.
AB - Human papillomavirus (HPV) is an important etiological factor in oropharyngeal
squamous cell carcinoma (SCC). Compared to HPV-negative tumors, HPV-positive
oropharyngeal SCC has shown a better response to nonsurgical treatments. In this
study, we determined the dose-modifying factors for HPV-positive tumors with
single-dose irradiation, with or without low radiosensitizing doses of cisplatin.
In vitro, we determined an increased radiosensitivity of HPV-positive SCC, which
might be a consequence of HPV-induced changes in the cell cycle regulation and
DNA damage response, leading to increased cell death. Additionally, compared to
HPV-negative tumors, 30% higher radiosensitivity of HPV-positive tumors was
determined by tumor growth delay monitoring in immunodeficient mice in vivo.
Concurrent cisplatin treatment had an additive effect in both HPV-negative and
HPV-positive tumors, resulting in 20% better response in HPV-positive tumors than
in HPV-negative tumors.
PMID- 29652624
TI - Emergency Telemedicine: Achieving and Maintaining Compliance with the Emergency
Medical Treatment and Labor Act.
AB - PURPOSE: Telemedicine is a growing and important platform for medical delivery in
the emergency department. Emergency telemedicine outlays often confront and
conflict with important federal healthcare regulations. Because of this, academic
medical centers, critical access hospitals, and other providers interested in
implementing emergency telemedicine have often delayed or forgone such services
due to reasonable fears of falling out of compliance with regulatory restrictions
imposed by the Emergency Medical Treatment and Labor Act ("EMTALA"). This article
offers insights into methods for implementing emergency telemedicine services
while maintaining EMTALA compliance. METHODOLOGY: Critical analysis of EMTALA and
its attendant regulations. RESULTS: The primary means of ensuring EMTALA
compliance while implementing emergency telemedicine programs include
incorporating critical clinical details into the services contracts and
implementing robust written policies that anticipate division of labor issues,
the need for backup coverage, triaging, patient transfer protocols, and
credentialing issues. With adequate up-front due diligence and meaningful
contracting, hospitals and telemedicine providers can avoid common EMTALA
liability pitfalls.
PMID- 29652625
TI - The Opportunity for Telehealth to Support Neurological Healthcare.
AB - Neurological conditions affect one-third of Americans and are some of the most
prevalent health issues in the country. Many sufferers have difficulty accessing
treatment, however, advances in technology may be able to support availability,
affordability, and convenience of care through telehealth services. This review
outlines the current state of telemedicine in neurological healthcare,
highlighting evidence-based research and use cases for digital services. Details
on expenses associated with managing certain well-known conditions are included
to shed light on the financial burden of disease and how telehealth can
contribute to cost savings for patients and providers. Finally, a discussion of
current telehealth legislature gives additional perspective on regulatory
dynamics experienced by different stakeholders in the field. Research supports
telemedicine as a solution to enhance current care models given its many
benefits, including efficient and clinically useful service delivery. This
movement has the opportunity to help billions of individuals globally by acting
as a mechanism to provide impactful and scalable neurological healthcare.
PMID- 29652626
TI - Endosteal and Perivascular Subniches in a 3D Bone Marrow Model for Multiple
Myeloma.
AB - The bone marrow microenvironment is the preferred location of multiple myeloma,
supporting tumor growth and development. It is composed of a collection of
interacting subniches, including the endosteal and perivascular niche. Current in
vitro models mimic either of these subniches. By developing a model combining
both niches, this study aims to further enhance the ability to culture primary
myeloma cells in vitro. Also, the dependency of myeloma cells on each niche was
studied. A 3D bone marrow model containing two subniches was created using 3D
bioprinting technology. We used a bioprintable pasty calcium phosphate cement
(CPC) scaffold with seeded osteogenic multipotent mesenchymal stromal cells (O
MSCs) to model the endosteal niche, and Matrigel containing both endothelial
progenitor cells (EPCs) and MSCs to model the perivascular niche. Within the
model containing one or both of the niches, primary CD138+ myeloma cells were
cultured and analyzed for both survival and proliferation. The 3D bone marrow
model with combined subniches significantly increasing the proliferation of
CD138+ myeloma cells compared to both environments separately. The developed
model showed an essential role of the perivascular niche over the endosteal niche
in supporting myeloma cells. The developed model can be used to study the
expansion of primary myeloma cells and their interactions with varying bone
marrow subniches.
PMID- 29652627
TI - Visualizing Intrapopulation Hematopoietic Cell Heterogeneity with Self-Organizing
Maps of SIMS Data.
AB - Characterization of the heterogeneity within stem cell populations, which affects
their differentiation potential, is necessary for the design of artificial
cultures for stem cell expansion. In this study, we assessed whether self
organizing maps (SOMs) of single-cell time-of-flight secondary ion mass
spectrometry (TOF-SIMS) data provide insight into the spectral, and thus the
related functional heterogeneity between and within three hematopoietic cell
populations. SOMs were created of TOF-SIMS data from individual hematopoietic
stem and progenitor cells (HSPCs), lineage-committed common lymphoid progenitors
(CLPs), and fully differentiated B cells that had been isolated from murine bone
marrow via conventional flow cytometry. The positions of these cells on the SOMs
and the spectral variation between adjacent map units, shown on the corresponding
unified distance matrix (U-matrix), indicated the CLPs exhibited the highest
intrapopulation spectral variation, regardless of the age of the donor mice. SOMs
of HSPCs, CLPs, and B cells isolated from young and old mice using the same
surface antigen profiles revealed the HSPCs exhibited the most age-related
spectral variation, whereas B cells exhibited the least. These results
demonstrate that SOMs of single-cell spectra enable characterizing the
heterogeneity between and within cell populations that lie along distinct
differentiation pathways.
PMID- 29652628
TI - Salience and Impact of Health Warning Label on Cigarette Packs in Vietnam:
Findings From the Global Adult Tobacco Survey 2015.
AB - Viet Nam is among the countries having highest rate of male smokers in the world.
The country has joined the Global Tobacco Surveillance System since 2010. Under
this system, two rounds of Global Adult Tobacco Survey (GATS) were conducted in
2010 and 2015. Those two surveys provide excellent comparable data on tobacco
usage and its related aspects in Vietnam. This study using the data from GATS
2015 to examine the salience and impact of cigarette pack health warnings on
quitting intention in Vietnam. The Vietnam GATS 2015 was a nationally
representative survey in which 9,513 households were selected using two-stage
random systematic sampling method. Results of multivariate analysis showed that
the strongest predictor for quit intention because of health warnings was "ever
made a quit attempt in the past 12 months" followed by "believes that tobacco
smoking causes serious illness". Compared to GATS 2010, GATS 2015 observed the
increase in salience of cigarette health warnings. However, the current pictorial
health warnings are losing their impact on motivating intention to quit. The
results highlight that it is time to start the rotation cycle to refresh the
current health warning set. Actions to select a new and more impressive set of
pictorial health warnings should be developed as soon as possible.
PMID- 29652629
TI - Quantifying the magnitude and potential influence of missing data in campus
sexual assault surveys: A systematic review of surveys, 2010-2016.
AB - OBJECTIVE: To understand how missing data may influence conclusions drawn from
campus sexual assault surveys. METHODS: We systematically reviewed 40 surveys
from 2010-2016. We constructed a pseudo-population of the total population
targeted across schools, creating records proportional to the respective response
rate and reported sexual assault prevalence. We simulated the effects of 9
scenarios where the sexual assault prevalence among nonresponders differed from
that of responders. RESULTS: The surveys represented a total female undergraduate
population of 317,387 with only 77,966 (24.6%) survey responses. Among
responders, 20.4% reported experiences of sexual assault. However, prevalence of
sexual assault could theoretically range from 5.0 to 80.4% under extreme
assumptions about prevalence in nonresponders. Smaller, but still significant
differences were observed with less extreme assumptions. CONCLUSIONS: Missing
data are widespread in campus sexual assault surveys. Conclusions drawn from
these incomplete data are highly sensitive to assumptions about the sexual
assault prevalence among nonresponders.
PMID- 29652630
TI - Effects of a sleep hygiene text message intervention on sleep in college
students.
AB - OBJECTIVE: To test the effectiveness of a text-message intervention to promote
sleep hygiene to improve sleep in young adult college students. PARTICIPANTS: A
convenience sample of undergraduate students from one Southwestern university (n
= 96), 18-26 years old, recruited in August 2015. METHODS: A 2-group pretest
posttest experimental design assigned participants to receive biweekly text
messages about sleep hygiene or healthy behaviors for 6 weeks. Survey questions
addressed sleep knowledge, sleep hygiene, self-efficacy for sleep hygiene, and
sleep quality at baseline and posttest. RESULTS: Though not significant, sleep
quality, sleep hygiene, and sleep knowledge improved in both groups. CONCLUSIONS:
Self-efficacy for sleep hygiene is a modifiable factor that may serve to improve
sleep quality. Sleep quality improved in both groups. Text messaging is a
feasible approach to delivering an intervention to promote healthy behaviors
among young adults.
PMID- 29652631
TI - "This is not a drill": Activation of a student-led influenza vaccination point of
dispensing.
AB - OBJECTIVE: To describe activation of a Point of dispensing (POD) in response to
an influenza outbreak, highlighting the use of a student-led model. PARTICIPANTS:
Faculty, staff, and students of Harris College of Nursing and Health Sciences,
Texas Christian University (TCU), as well as those located in its primary
building. METHODS: In response to an August 2017 influenza outbreak, a
vaccination clinic was conducted for a target population through POD activation.
The larger campus community was served through provision of additional doses by
the Texas Christian University Health Center and the annual October student-led
vaccination clinic. RESULTS: Eleven additional cases were diagnosed after
vaccinations began. CONCLUSIONS: One hundred percent of the targeted population
was vaccinated (n = 824), with an additional 127 participants vaccinated (others
working in the building where POD held also vaccinated). This was the first time
POD activation had occurred on campus in response to an outbreak.
PMID- 29652632
TI - Lessons for environmental health sciences from "Perceptions of science in
America".
PMID- 29652633
TI - Glucose, insulin, and the carotid body chemoreceptors in humans.
AB - Known primarily for its oxygen-sensing capabilities, the carotid body
chemoreceptors have recently been implicated, primarily by work in animal models,
in the pathophysiology of a number of metabolic conditions. The research
presented in this brief review highlights translational work conducted at the
Mayo Clinic between 2010 and 2017 in healthy humans and discusses key areas for
future work in disease populations.
PMID- 29652635
TI - FAR2 is associated with kidney disease in mice and humans.
AB - Mesangial matrix expansion is an important process in the initiation of chronic
kidney disease, yet the genetic factors driving its development are unknown. Our
previous studies have implicated Far2 as a candidate gene associated with
differences in mesangial matrix expansion between mouse inbred strains.
Consistent with the hypothesis that increased expression of Far2 leads to
mesangial matrix expansion through increased production of platelet-activating
factor precursors, we show that FAR2 is capable of mediating de novo platelet
activating factor synthesis in vitro and driven by the transcription factor
NKX3.2. We demonstrate that knockdown of Far2 in mice delays the progression of
mesangial matrix expansion with at least six months (equivalent to ~15 yr in
human). Furthermore, we show that increased FAR2 expression in human patients is
associated with diabetic nephropathy, lupus nephritis, and IgA nephropathy. Taken
together, these results highlight FAR2's role in the development of mesangial
matrix expansion and chronic kidney disease.
PMID- 29652634
TI - Emerging applications of genome-editing technology to examine functionality of
GWAS-associated variants for complex traits.
AB - Over the last decade, genome-wide association studies (GWAS) have propelled the
discovery of thousands of loci associated with complex diseases. The focus is now
turning toward the function of these association signals, determining the causal
variant(s) among those in strong linkage disequilibrium, and identifying their
underlying mechanisms, such as long-range gene regulation. Genome-editing
techniques utilizing zinc-finger nucleases (ZFN), transcription activator-like
effector nucleases (TALENs), and clustered regularly-interspaced short
palindromic repeats with Cas9 nuclease (CRISPR-Cas9) are becoming the tools of
choice to establish functionality for these variants, due to the ability to
assess effects of single variants in vivo. This review will discuss examples of
how these technologies have begun to aid functional analysis of GWAS loci for
complex traits such as cardiovascular disease, Type 2 diabetes, cancer, obesity,
and autoimmune disease. We focus on analysis of variants occurring within
noncoding genomic regions, as these comprise the majority of GWAS variants,
providing the greatest challenges to determining functionality, and compare
editing strategies that provide different levels of evidence for variant
functionality. The review describes molecular insights into some of these
potentially causal variants and how these may relate to the pathology of the
trait and look toward future directions for these technologies in post-GWAS
analysis, such as base-editing.
PMID- 29652637
TI - Asthma and allergy as risk factors for suicidal behavior among young adults.
AB - : An association between allergic disease, depression and suicidality has been
reported. OBJECTIVE: To explore the relationships between suicidality and asthma,
allergy, internet addiction, stress, sleep quality, pain/discomfort, and
depression, among emerging adults. PARTICIPANTS: 929 college students completed
an online survey between October 2015 and April 2017. METHODS: A cross-sectional
study using multivariate analysis techniques was implemented. RESULTS: Using
structural equation modeling, we found that allergies and stress were directly
related to pain/discomfort; pain/discomfort was associated to poor sleep,
depression, and suicidality. Sleep quality was also affected by stress; while
sleep, stress, pain/discomfort, and internet addiction were directly related to
depression (all p < .05). Ultimately, four factors impacted suicidality: stress,
pain/discomfort, depression, and, indirectly, sleep quality (all p < .05).
Although allergy had some effects, these did not reach statistical significance
(p < .09). CONCLUSION: Findings suggest that allergy might impact suicidality
indirectly through increased pain/discomfort, poor sleep, and depression.
PMID- 29652636
TI - HIF1 mediates a switch in pyruvate kinase isoforms after myocardial infarction.
AB - Alternative splicing of RNA is an underexplored area of transcriptional response.
We expect that early changes in alternatively spliced genes may be important for
responses to cardiac injury. Hypoxia inducible factor 1 (HIF1) is a key
transcription factor that rapidly responds to loss of oxygen through alteration
of metabolism and angiogenesis. The goal of this study was to investigate the
transcriptional response after myocardial infarction (MI) and to identify novel,
hypoxia-driven changes, including alternative splicing. After ligation of the
left anterior descending artery in mice, we observed an abrupt loss of cardiac
contractility and upregulation of hypoxic signaling. We then performed RNA
sequencing on ischemic heart tissue 1 and 3 days after infarct to assess early
transcriptional changes and identified 89 transcripts with altered splicing. Of
particular interest was the switch in Pkm isoform expression (pyruvate kinase,
muscle). The usually predominant Pkm1 isoform was less abundant in ischemic
hearts, while Pkm2 and associated splicing factors (hnRNPA1, hnRNPA2B1, Ptbp1)
rapidly increased. Despite increased Pkm2 expression, total pyruvate kinase
activity remained reduced in ischemic myocardial tissue. We also demonstrated
HIF1 binding to PKM by chromatin immunoprecipitation, indicating a direct role
for HIF1 in mediating this isoform switch. Our study provides a new, detailed
characterization of the early transcriptome after MI. From this analysis, we
identified an HIF1-mediated alternative splicing event in the PKM gene. Pkm1 and
Pkm2 play distinct roles in glycolytic metabolism and the upregulation of Pkm2 is
likely to have important consequences for ATP synthesis in infarcted cardiac
muscle.
PMID- 29652638
TI - Efficacy of interventions targeting alcohol, drug and smoking behaviors in
university and college students: A review of randomized controlled trials.
AB - OBJECTIVE: To evaluate the effectiveness of interventions targeting alcohol
consumption, drug use and smoking for college/university students. PARTICIPANTS:
College/University students. METHODS: Studies were eligible if: (1)included
students attending universities/colleges; (2)implemented in a university/college
setting; (3)aimed to improve at least one of the following behaviors: alcohol
and/or drug use and/or smoking; (4)were RCTs. The effect of the interventions on
behaviors was determined by the percentage of studies that reported an effect.
Due to the heterogeneity of outcomes meta-analysis was not conducted. RESULTS: 88
studies met criteria. University-based interventions were effective for reducing
alcohol-related outcomes (drinking patterns, BAC, consequences, problem
drinking). Inconsistent findings for drug and smoking were observed. CONCLUSIONS:
University-based interventions have the potential to improve health for students.
While there is a breadth of research examining the efficacy of interventions to
reduce alcohol consumption, further research is needed to determine the best
approach for addressing smoking and drug use among students.
PMID- 29652639
TI - Does Family Cohesion Predict Children's Effort? The Mediating Roles of Sense of
Coherence, Hope, and Loneliness.
AB - The degree of cohesion and support within families has often been considered a
predictor of students' effort-investment and success in school. The objectives of
this study are to examine the roles of personal factors (i.e., sense of coherence
and hopeful thinking) as well as interpersonal factors (i.e., loneliness) in
mediating the relationship between family cohesion and effort. The sample
consists of 1719 elementary school children (781 boys and 938 girls) from the
fifth and six grades (mean age = 10.25, SD = 0.70) of 29 elementary schools in
central Israel. Students answered study questionnaires in the middle of their
academic year. Analyses utilizing hierarchical multiple regression and Hayes'
bootstrapping approach (PROCESS; Preacher & Hayes, 2008) were used. The results
support the distinctive contribution of family cohesion and the mediating roles
of sense of coherence and hope (as protective factors) as well as loneliness (as
a risk factor) in predicting students' school effort. This study has important
educational implications, emphasizing the value of promoting hopeful thinking and
coping with loneliness in helping students to thrive in their studies.
PMID- 29652640
TI - Echinococcus multilocularis Surveillance Using Copro-DNA and Egg Examination of
Shelter Dogs from an Endemic Area in Hokkaido, Japan.
AB - Surveillance of Echinococcus multilocularis infection among 156 shelter dogs was
conducted in an urban area (Sapporo city) in Hokkaido, where the parasite is
endemic in Japan using copro-DNA and fecal egg examination from September 2013 to
April 2017. Echinococcus infection was detected in three dogs (1.9%), including
one dog that excreted eggs. The results suggested that free-roaming or stray dogs
in urban area may be infected by capturing wild voles containing parasitic cysts
and could be a source of human infection. Dog-to-human transmission is a
significant concern, and the risk of such transmission is present even in urban
areas in Hokkaido. We recommend deworming within 1 month (e.g., before egg
excretion) of capture for free-roaming or stray dogs in Echinococcus-endemic area
to prevent potential human infection.
PMID- 29652641
TI - Molecular Detection of Bartonella Species in Blood-Feeding Bat Flies from Mexico.
AB - Bartonellae are emerging blood-borne bacteria that have been recovered from a
wide range of mammalian species and arthropod vectors around the world. Bats are
now recognized as a potential wildlife reservoir for a diverse number of
Bartonella species, including the zoonotic Candidatus B. mayotimonensis. These
bat-borne Bartonella species have also been detected in the obligate
ectoparasites of bats, such as blood-feeding flies, which could transmit these
bacteria within bat populations. To better understand this potential for
transmission, we investigated the relatedness between Bartonella detected or
isolated from bat hosts sampled in Mexico and their ectoparasites. Bartonella
spp. were identified in bat flies collected on two bat species, with the highest
prevalence in Trichobius parasiticus and Strebla wiedemanni collected from common
vampire bats (Desmodus rotundus). When comparing Bartonella sequences from a
fragment of the citrate synthase gene (gltA), vector-associated strains were
diverse and generally close to, but distinct from, those recovered from their
bacteremic bat hosts in Mexico. Complete Bartonella sequence concordance was
observed in only one bat-vector pair. The diversity of Bartonella strains in bat
flies reflects the frequent host switch by bat flies, as they usually do not live
permanently on their bat host. It may also suggest a possible endosymbiotic
relationship with these vectors for some of the Bartonella species carried by bat
flies, whereas others could have a mammalian host.
PMID- 29652642
TI - Powassan Virus Disease in the United States, 2006-2016.
AB - BACKGROUND: Powassan virus (POWV) is a tick-borne flavivirus that causes rare,
but often severe, disease in humans. POWV neuroinvasive disease was added to the
U.S. nationally notifiable disease list in 2001 and nonneuroinvasive disease was
added in 2004. The only previous review of the epidemiology of POWV disease in
the United States based on cases reported to the Centers for Disease Control and
Prevention (CDC) covered the period from 1999 through 2005. METHODS: We describe
the epidemiology and clinical features of laboratory-confirmed POWV disease cases
reported to CDC from 2006 through 2016. RESULTS: There were 99 cases of POWV
disease reported during the 11-year period, including 89 neuroinvasive and 10
nonneuroinvasive disease cases. There was a median of seven cases per year
(range: 1-22), with the highest numbers of cases reported in 2011 (n = 16), 2013
(n = 15), and 2016 (n = 22). Cases occurred throughout the year, but peaked in
May and June. Cases were reported primarily from northeastern and north-central
states. Overall, 72 (73%) cases were in males and the median age was 62 years
(range: 3 months-87 years). Of the 11 (11%) cases who died, all were aged >50
years. The average annual incidence of neuroinvasive POWV disease was 0.0025
cases per 100,000 persons. CONCLUSIONS: POWV disease can be a severe disease and
has been diagnosed with increased frequency in recent years. However, this might
reflect increased disease awareness, improved test availability, and enhanced
surveillance efforts. Clinicians should consider POWV disease in patients
presenting with acute encephalitis or aseptic meningitis who are resident in, or
have traveled to, an appropriate geographic region.
PMID- 29652643
TI - Expression of a Recombinant Nucleocapsid Protein of Rift Valley Fever Virus in
Vero Cells as an Immunofluorescence Antigen and Its Use for Serosurveillance in
Traditional Cattle Herds in Zambia.
AB - The open reading frame of the nucleocapsid protein (NP) of Rift Valley fever
virus (RVFV) strain MP12 was cloned and expressed in Vero E6 cells. The
recombinant NP (rNP)-expressing cells were used as antigens for an indirect
immunofluorescent antibody assay (IFA). The rNP-based IFA and RVFV-infected Vero
E6 cell (authentic antigen)-based IFA showed similar IFA profiles with immune
rabbit serum, which was prepared by immunization with rNP expressed using a
baculovirus vector. A total of 942 traditional cattle sera obtained in five
districts in Central, Southern, and Western provinces of Zambia were screened for
anti-RVFV antibodies by the authentic antigen-based and rNP-based IFAs.
Significant agreement was obtained between the two IFAs. The findings show that
the rNP-based IFA is a safe and useful diagnostic tool as an alternative to the
authentic antigen-based IFA. The antibody titers given by the rNP-based IFA were
higher than those by the authentic antigen-based IFA. Therefore, the rNP-based
IFA might be useful for serosurveillance of RVFV infection among cattle. Antibody
prevalence rates in the five districts were 1.3% to 13.5% in the authentic
antigen-based IFA and 6.0% to 21.4% in the rNP-based IFA. The results indicated
that despite no reports of active cases of RVF in these provinces of Zambia, the
virus is circulating among cattle herds.
PMID- 29652644
TI - Alphaviruses: Serological Evidence of Human Infection in Paraguay (2012-2013).
AB - INTRODUCTION: Alphaviruses can produce febrile illness and encephalitis in dead
end hosts such as horses and humans. Within this genus, the Venezuelan Equine
Encephalitis virus (VEEV) complex includes pathogenic epizootic subtypes and
enzootic subtypes that are not pathogenic in horses (except subtype IE, Mexican
strains), although they can cause febrile symptoms in humans. The Rio Negro virus
(RNV-VEEV subtype VI) circulates in Argentina, where it was associated with
undifferentiated febrile illness. Mayaro (MAYV) and Una (UNAV) viruses belong to
a different group, the Semliki Forest virus complex, with confirmed circulation.
OBJECTIVE: The present study aimed to determine RNV, MAYV, and UNAV
seroprevalences by plaque reduction neutralization test in 652 samples of
Paraguayan individuals mainly from the Central Department, between years 2012 and
2013. METHODS: Samples with antibodies titer >1:20 against RNV were also tested
for Mosso das Pedras-subtype IF, subtype IAB, and Pixuna (PIXV)-subtype IV
viruses that belongs to VEEV antigenic complex. RESULTS: The overall
seroprevalence of RNV was 3.83%, and for UNAV it was 0.46%, and no neutralizing
antibodies were detected against MAYV in the studied population. Two of the
twenty-seven heterotypic samples were positive for PIXV. The 50.1% of
neutralizing antibody titers against RNV were high (equal to or greater than
1/640), suggesting recent infections. The effect of age on the prevalence of RNV
was negligible. CONCLUSIONS: These results bring new information about neglected
alphaviruses in South America, and these data will serve as the basis for future
studies of seroprevalence of other VEEV, and studies to search potential hosts
and vectors of these viruses in the region.
PMID- 29652645
TI - Application of a Nonpaper Based Matrix to Preserve Chikungunya Virus Infectivity
at Ambient Temperature.
AB - For over 100 years, field studies on arboviruses and the subsequent delivery and
administration of live attenuated vaccines have been complicated by the need to
maintain a so-called "cold chain," which is the source to destination
refrigeration of biological materials. In this study we describe the application
of a nonpaper based matrix and demonstrate preservation of chikungunya virus
infectivity at ambient temperature for 7 days. The technique was successfully
employed using infectious cell culture medium and infected mosquito homogenate
samples. This technique provides a simple solution for conducting studies in
resource-limited areas, where the maintenance of a cold chain is technically
challenging.
PMID- 29652646
TI - Molecular Survey of Head and Body Lice, Pediculus humanus, in France.
AB - Human lice, Pediculus humanus, are obligate blood-sucking parasites.
Phylogenetically, they belong to several mitochondrial clades exhibiting some
geographic differences. Currently, the body louse is the only recognized disease
vector, with the head louse being proposed as an additional vector. In this
article, we study the genetic diversity of head and body lice collected from
Bobigny, a town located close to Paris (France), and look for louse-borne
pathogens. By amplifying and sequencing the cytb gene, we confirmed the presence
of clades A and B in France. Besides, by amplifying and sequencing both cytb and
cox1 gene, we reported, for the first time, the presence of clade E, which has
thus far only been found in lice from West Africa. DNA from Bartonella quintana
was detected in 16.7% of body lice from homeless individuals, but in none of the
head lice collected from 47 families. Acinetobacter DNA was detected in 11.5% of
head lice belonging to all three clades and 29.1% of body lice. Six species of
Acinetobacter were identified, including two potential new ones. Acinetobacter
baumannii was the most prevalent, followed by Candidatus Acinetobacter Bobigny-1,
Acinetobacter calcoaceticus, Acinetobacter nosocomialis, Acinetobacter junii, and
Candidatus Acinetobacter Bobigny-2. Body lice were found to be infected only with
A. baumannii. These findings show for the first time, the presence of clade E
head lice in France. This study is also the first to report the presence of DNAs
of several species of Acinetobacter in human head lice in France.
PMID- 29652647
TI - Prevalence and predictors of PTSD among a college sample.
AB - OBJECTIVE: This study examined the prevalence and correlates of probable
posttraumatic stress disorder (PTSD) in freshman entering college and prospective
associations of probable PTSD with additional outcomes. PARTICIPANTS: 2,310
students with data collected from Fall 2014 through Spring 2015. METHODS:
Incoming freshman completed a survey assessing for relevant variables at the
beginning of fall semester and during the spring semester. RESULTS: Seventy
percent of the sample endorsed experiencing at least one potentially traumatic
event (PTE). 34.4% of PTE exposed individuals met criteria for probable PTSD.
Female sex, higher depressive and anxiety symptoms, and interpersonal PTE count
were positively associated with PTSD symptoms. Higher PTSD symptoms were
associated with higher anxiety and depressive symptoms, and new-onset
interpersonal PTE. CONCLUSIONS: Identification of factors contributing to risk
for PTSD is essential to inform prevention and intervention efforts. Intervention
efforts should be targeted to students experiencing PTSD symptoms as they enter
college.
PMID- 29652648
TI - Pre-S2 Start Codon Mutation of Hepatitis B Virus Subgenotype B3 Effects on NF
kappaB Expression and Activation in Huh7 Cell Lines.
AB - A cross-sectional study on hepatitis B patients in Indonesia showed association
of pre-S2 start codon mutation (M120 V) with cirrhosis and hepatocellular
carcinoma (HCC), which was dissimilar from studies from other populations where
pre-S2 deletion mutation was more prevalent. Different mutation patterns were
attributed to different hepatitis B virus (HBV) subgenotypes in each population
study. HBV surface proteins are reported to induce the activation of NF-kappaB, a
transcriptional factor known to play an important role in the development of
liver disease. This study aimed to see the effects of HBs variants in HBV
subgenotype B3 on the expression and activation of NF-kappaB as one of the
mechanisms in inducing advanced liver disease. HBV subgenotypes B3, each carrying
wild-type (wt) HBs, M120 V, and pre-S2 deletion mutation were isolated from three
HCC patients. HBs genes were amplified and cloned into pcDNA3.1 and were
transfected using Lipofectamine into a Huh7 cell line. NF-kappaB activation was
measured through IkappaB-alpha expression, which is regulated by NF-kappaB. RNA
expressions for HBs, IkappaB-alpha, and NF-kappaB subunit (p50) were evaluated
using real-time PCR. M120 V mutant had a significantly higher mRNA level compared
with wt and pre-S2 deletion mutant; however, there were no significant
differences in HBs protein expressions. The transcription level of p50 was higher
in M120 V mutation compared with HBs wild-type and pre-S2 deletion mutant. NF
kappaB activation was higher in HBs wild-type compared with the two mutant
variants. Pre-S2 mutations had no effect on the increment of NF-kappaB
activation. However, M120 V mutation may utilize a different pathway in liver
disease progression that involves high expression of NF-kappaB subunit, p50.
PMID- 29652649
TI - Complications of HIV Infection.
PMID- 29652650
TI - The alcohol contexts of consent, wanted sex, sexual pleasure, and sexual assault:
Results from a probability survey of undergraduate students.
AB - OBJECTIVE: To examine, in a probability sample of undergraduate students,
characteristics of students' most recent sexual experiences (including alcohol
use) as well as their experiences with nonconsensual sex. PARTICIPANTS: In
January and February 2015, 22,046 students were invited to participate in an
anonymous, cross-sectional, Internet-based survey; 7,032 surveys were completed
(31.9%). METHODS: Measures included background characteristics (age, gender,
sexual orientation), most recent sexual event items (sexual pleasure, wantedness,
alcohol use), and experiences with nonconsensual sex (since college and
lifetime). RESULTS: Respondents reporting sober consensual sex were more likely
to report higher levels of sexual pleasure and wantedness. Nonconsensual oral,
vaginal, or anal penetration occurring during college were reported by 15.8% of
women and 7.7% of men. Students more often told friends, partners, or family
members and rarely disclosed to university faculty or police. CONCLUSIONS:
Implications for campus policy and health education are addressed.
PMID- 29652651
TI - Prediction of organ involvement in systemic sclerosis by serum biomarkers and
peripheral endothelial function.
AB - OBJECTIVES: To identify prognostic factors among serum biomarkers and endothelial
vasodilator function findings in patients with systemic sclerosis (SSc). METHODS:
This is a clinical observational study. We assessed 60 consecutive SSc patients
(44 limited cutaneous-type, 16 diffuse cutaneous-type). Circulating growth
differentiation factor-15 (GDF-15), placenta growth factor (PlGF), endostatin,
vascular endothelial growth factor (VEGF), and pentraxin 3 (PTX3) were measured
by ELISA. Peripheral endothelial function was measured by forearm blood
dilatation response to brachial artery occlusion using noninvasive
plethysmography (EndoPAT2000), which is associated with nitric-oxide-dependent
vasodilatation and yields a reactive hyperemia index (RHI). We evaluated whether
abnormalities in these values were associated with type of SSc - namely, diffuse
cutaneous SSc (dcSSc) or limited cutaneous SSc (lcSSc) - or organ involvement
including interstitial lung disease (ILD), digital ulcer (DU) and estimated right
ventricular systolic pressure (RVSP) by echocardiography >30 mmHg. RESULTS: SSc
patients showed significantly elevated serum GDF-15, PlGF, endostatin and VEGF
but not PTX3 compared with controls. GDF-15 and PlGF were high in dcSSc patients.
EndoPAT-RHI was low, and incidence of RVSP >30 mmHg was high in dcSSc.
Multivariate analysis revealed that elevated GDF-15 was highly predictive of
dcSSc, ILD or RVSP >30 mmHg. PlGF for DU was also found. Conversely, a low
EndoPAT-RHI value was predictive of the presence of dcSSc, ILD or DU.
CONCLUSIONS: This is the first study to inclusively investigate the relationships
among biomarkers, EndoPAT-RHI and organ involvement in patients with SSc. Our
data suggest a complex pathological progression of SSc through fibrotic
impairment and microvascular damage.
PMID- 29652652
TI - Circulating follicular helper T cells (CD4+CXCR5+ICOS+) decrease in patients with
rheumatoid arthritis treated with abatacept.
PMID- 29652653
TI - Role of foetal umbilical artery Doppler on prediction of adverse pregnancy
outcomes in patients with systemic lupus erythematosus.
AB - OBJECTIVES: To investigate the foetal outcomes and examine the predictive value
of the third-trimester umbilical artery Doppler in systemic lupus erythematosus
(SLE) pregnancies. METHODS: Data of 180 pregnancies in 175 SLE patients from Jan
2007 to Jan 2017 were analysed retrospectively. Pulsatility index (PI),
resistance index (RI), and systolic/diastolic ratio (S/D) of the umbilical artery
flow velocity data were monitored by Doppler ultrasound. RESULTS: One or more
composite adverse pregnancy outcomes (APOs) occurred in 46.7% of patients with
SLE. A total of 62 (34.4%) pregnancies were pre-term birth, and 34 (18.9%)
newborns were small for gestational age (SGA). Twenty-two of pregnancies (12.2%)
resulted in foetal distress. In multivariate analysis, predictors of composite
APOs included positive anti-Ro (OR 5.5, 95% CI 1.7-18.2, p=0.005) and low
complement (OR 3.9, 95% CI 1.1-13.6, p=0.04). Doppler PI, RI, and S/D were
significantly higher in the pre-term birth, SGA, and composite APO groups than in
the patients without APOs. RI with cut-off values of 0.57 and 0.70 indicated the
highest risk of pre-term birth and composite APOs, with sensitivities of 50.0%
and 21.4%, as well as specificities of 59.6% and 97.7%, respectively. PI emerged
as the best predictor of SGA. The optimal cutoff value for PI was 0.77, at which
sensitivity (90.9%) and specificity (49.2%) had the best combination.
CONCLUSIONS: Pregnancies in lupus still had an increased risk of APOs in terms of
pre-term birth. Third-trimester umbilical artery Doppler was useful in predicting
pre-term birth, SGA, and composite APOs in lupus pregnancies.
PMID- 29652654
TI - Patients with juvenile idiopathic arthritis become adults: the role of
transitional care.
AB - Most juvenile idiopathic arthritis (JIA) patients need to attend adult
rheumatology centres to continue the clinical management of their disease and to
receive adequate long-term treatment. Transition from the paediatric to the adult
health care team is a critical moment in the clinical history of these patients,
but unfortunately, about 50% of the transfer processes to adult rheumatology are
not successful, putting these patients at high risk of unfavourable outcomes.
There are several obstacles to the success of transitional care for JIA patients,
such as the absence of specific criteria for the assessment of disease activity,
the lack of specific treatment recommendations for JIA adult patients, the poor
adolescent-specific training for adult rheumatologists, and the shortage of
resources. The improvement in the transition process in medical care has become a
priority in many health care systems, but not many studies evaluating transition
models, and common methodologies for measuring transition outcomes are available.
The aim of this review is to identify and describe the models of transitional
care in JIA, providing insights and recommendations to develop effective
transitional care models in this disease.
PMID- 29652655
TI - Effect of tumour necrosis factor-alpha inhibitors on renal function in patients
with rheumatoid arthritis from the KOBIO registry from 2012 to 2016.
AB - OBJECTIVES: The effect of biological disease-modifying anti-rheumatic drugs
(bDMARDs) on renal function in patients with rheumatoid arthritis (RA) has not
been well established. We assessed whether tumour necrosis factor (TNF)
inhibitors could affect renal function in RA. METHODS: A total of 2110 patients
with RA enrolled in the Korean College of Rheumatology Biologics (KOBIO) registry
were analysed. All patients were taking bDMARDs or conventional synthetic DMARDs
(csDMARDs). Renal function was evaluated by calculating the estimated glomerular
filter rate (eGFR) using the Modification of Diet in Renal Disease (MDRD)
equation. Renal insufficiency was defined as eGFR <60 mL/min/1.73 m2. Differences
in eGFR changes between different types of DMARDs were assessed at each follow-up
time using the generalised linear model (GLM) method. Risk factors for renal
insufficiency were identified using binary logistic regression analysis. RESULTS:
The changes of eGFR values in patients treated with TNF inhibitors were not
significantly different from those with csDMARDs alone or non-TNF inhibitors in
all RA patients regardless of renal function. Among patients with renal
insufficiency, GLM analysis revealed that the changes of eGFR values by TNF
inhibitors were also compatible to those treated with csDMARDs alone or non-TNF
inhibitors. Older age (>55 years), longer disease duration (>5 years), and use of
methotrexate were identified as clinical determinants for renal insufficiency.
CONCLUSIONS: TNF inhibitors did not influence the change of renal function during
RA treatment. TNF inhibitors may be a safe treatment option irrespective of renal
function.
PMID- 29652656
TI - Electrocardiogram abnormalities related to anti-malarials in systemic lupus
erythematosus.
AB - OBJECTIVES: Cardiotoxicity with potential conduction/structural abnormalities on
electrocardiogram (ECG) have been reported with anti-malarial (AM). We aimed to
study whether cumulative AM is associated with ECG abnormalities. METHODS: A
standard resting supine ECG was performed on consecutive patients attending the
Lupus Clinic since 2012. ECG abnormalities were grouped into structural [left
ventricular hypertrophy or atrial enlargement] and conduction abnormalities
[prolonged corrected QT interval (QTc), short PR interval, left bundle branch
block (LBBB), right bundle branch block (RBBB) and atrioventricular block (AVB),
bradycardia, tachycardia, premature atrial complex, ectopic atrial rhythm, atrial
fibrillation, premature ventricular complex and ventricular bigeminy].
Associations between cumulative AM and ECG abnormalities (structural or
conduction) were assessed using logistic regression analysis (after adjusting for
baseline patient characteristics) and in a nested case-control study (1:3).
RESULTS: Of 453 patients treated with AM, the median cumulative AM was 1207 grams
at ECG. Conduction abnormalities were more prevalent than structural
abnormalities, 71 (15.7%) vs. 58 (12.8%). AM cumulative dose did not show a
statistical significant association with ECG structural abnormalities, (OR 1.82,
p=0.07) while it was protective for conduction ECG abnormalities (OR 0.42,
p=0.006). The nested case-control analysis also found that AM cumulative dose is
protective against conduction ECG abnormalities (OR 0.36, p=0.0007). SLE duration
was a risk factor for both structural and conduction ECG abnormalities.
CONCLUSIONS: This study suggests an association between cumulative AM dose above
the median (1207 g) and structural ECG abnormalities. More importantly,
cumulative AM decreases the odds of ECG conduction abnormalities.
PMID- 29652657
TI - Detection of anti-neutrophil cytoplasmic and antinuclear autoantibodies favouring
misdiagnoses in 5 cases of Erdheim-Chester disease.
PMID- 29652658
TI - Bcl-XL and Mcl-1 upregulation by calreticulin promotes apoptosis resistance of
fibroblast-like synoviocytes via activation of PI3K/Akt and STAT3 pathways in
rheumatoid arthritis.
AB - OBJECTIVES: Fibroblast-like synoviocytes (FLS) play key roles in synovium
hyperplasia and pannus formation in rheumatoid arthritis (RA). The present study
was undertaken to explore the mechanisms that calreticulin (CRT) promoted anti
apoptosis of RA FLS. METHODS: The expression of CRT and anti-apoptotic proteins
Bcl-XL and Mcl-1 in RA synovium were detected by immunohistochemistry. The
expression of Bcl-XL and Mcl-1 in RA FLS by CRT were determined. The
phosphorylation of Akt and STAT3 was detected by western blot. The effect of CRT
on proliferation of RA FLS was examined by MTT assay. The ability of CRT to
inhibit RA FLS apoptosis was assessed by flow cytometry. RESULTS: Increased
expressions of CRT, Bcl-XL and Mcl-1 were detected in RA synovium compared with
osteoarthritis (OA). Moreover, CRT expression correlated positively with Bcl-XL
and Mcl-1 in RA, respectively. In vitro, CRT induced upregulation of Bcl-XL and
Mcl-1 protein levels in RA FLS, in dose/time dependent manners. Upregulated
expression of Bcl-XL and Mcl-1 induced by CRT were inhibited by PI3K/Akt or STAT3
pathways inhibitors in RA FLS, respectively. The increased phosphorylation levels
of Akt and STAT3 were also detected with CRT incubation, in dose/time dependent
manners. Additionally, CRT rescued apoptosis of RA FLS mediated by FasL.
CONCLUSIONS: This study showed that upregulation of Bcl-XL and Mcl-1 expression
in RA FLS by CRT were PI3K/Akt and STAT3 signal pathways dependent, and promoted
the anti-apoptosis of RA FLS. Therefore, this may represent a therapeutic target
for the treatment of RA.
PMID- 29652659
TI - Efficiency of dose reduction strategy of etanercept in patients with axial
spondyloarthritis.
AB - OBJECTIVES: To evaluate the efficacy of different tapering or discontinuation
strategies of etanercept in a cohort of axial spondyloarthritis from South China.
METHODS: We performed a retrospective cohort study. Axial SpA patients who
achieved clinical remission for at least 6 months after receiving a standard dose
of etanercept therapy were enrolled. Different tapering or discontinuation
strategies were compared. RESULTS: Altogether, 258 cases were enrolled. No
differences were found in baseline characteristics among the three groups.
Significantly more patients on discontinuation group (19%) than tapering group
(5.4%, p<0.001) relapsed as early as 6 months. Almost all of the patients
(103/107, 96.3%) in taper 25% group and more than 80% (71/88, 80.7%) of the
patients in taper 50% group maintained low disease activity (LDA) or clinical
remission during the first year. At the end of the 2-year follow-up, the
percentage of patients maintaining LDA or remission were 28.6% (discontinuation),
55.7% (taper 50%), 84.1% (taper 25%), respectively. Activity indexes were
significantly lower in taper 25% group compared to the other two groups. Patients
in discontinuation group and tapering 50% group, with longer SpA duration were
more likely to relapse, and remission>12 months before discontinuation/tapering
helped to reduce relapse. CONCLUSIONS: It is feasible to slowly increase the
dosing interval and transit to the lowest effective dosing interval for some
patients in remission/LDA. Prolonging the time under remission before tapering
help to improve the outcome. Tapering 25% of the etanercept dose every 3 months
may be a pragmatic approach for more cost-effective use of the drug.
PMID- 29652660
TI - Cognitive function of patients with rheumatoid arthritis is associated with
disease activity but not carotid atherosclerotic changes.
AB - OBJECTIVES: Although the relationship between atherosclerosis and cognitive
impairment has been studied and replicated, whether cognitive deficits in RA can
be attributed to atherosclerotic changes is not well understood. This study
investigated cognitive function in patients with RA and evaluated whether
cognitive function was affected by carotid arterial atherosclerosis. METHODS: We
examined 70 RA patients and 40 healthy controls. RA activity was assessed by
disease activity score with 28 joint-erythrocyte sedimentation rate (DAS28-ESR).
Cognitive function was assessed by the Korean version of the Consortium to
Establish a Registry for Alzheimer's disease (CERAD-K) neuropsychological
battery. Carotid arteries were scanned for the presence of plaques and to assess
intima-media thickness (IMT). We assessed potential risk factors of cognitive
impairment in RA patients using regression analyses. RESULTS: There was a
significant difference between RA patients and healthy controls in the verbal
fluency (p=0.004) and Boston naming test (p=0.035). Carotid ultrasound revealed
significantly more plaque in RA patients than in healthy controls (p=0.017). RA
patients with memory impairment had significantly higher DAS28-ESR scores
(p<0.001), age (p=0.009), and mean cIMT (p=0.027) than RA patients without memory
impairment. In multivariable regression analysis, CERAD-K total score showed a
significant negative correlation with age (beta=-0.415, p<0.001) or DAS28-ESR
(beta=-4.685, p<0.001), but no correlation was found between CERAD-K total score
and presence of plaque or cIMT. CONCLUSIONS: Our results indicate that disease
activity of RA and aging contribute to cognitive dysfunction, but there was no
association between cognitive function and carotid atherosclerotic changes in RA
patients.
PMID- 29652661
TI - Combined brain and heart magnetic resonance imaging in systemic vasculitides:
fiction or real need?
AB - Systemic vasculitides (SVs) is a group of diseases characterised by
inflammation/necrosis of the blood vessel wall in various organs. Simultaneous
brain and heart involvement is a cause of increased morbidity/mortality in SV. We
aimed to present evidence of concurrent brain/heart involvement in SV and the
role of a combined brain/heart magnetic resonance imaging (MRI) in their risk
stratification. Cerebral vasculitis (CV) can be presented as focal deficits,
seizures, headache, neuropsychiatric manifestations or cognitive dysfunction and
cardiovascular disease (CVD) as myocardial/vascular inflammation,
perfusion/function defects and fibrosis. MRI is a non-invasive, non-radiating
technique that allows the reliable identification of intraparenchymal brain
lesions and the detection of myocardial/vascular inflammation and fibrosis.
However, its use in SV is currently hampered by high cost, lack of
availability/expertise and lack of awareness among the clinicians. Although there
are no clinical data supporting the combined use of brain/heart MRI in
asymptomatic SV, it would be called for in cases with clinical suspicion of
brain/heart involvement, especially in those at high risk for CVD/stroke such as
SLE/APS. Furthermore, it may be of value in SV with multi-organ involvement,
cognitive dysfunction or other neuropsychiatric symptoms with concurrent cardiac
involvement, presenting as typical or atypical symptoms with normal routine
cardiac evaluation, new onset of arrhythmia and/or HF.
PMID- 29652662
TI - Sialylated isoforms of apolipoprotein C-III and plasma lipids in subjects with
coronary artery disease.
AB - BACKGROUND: Apolipoprotein C-III (ApoC-III), a key regulator of plasma
triglyceride (TG), is present in three isoforms, i.e. non-sialylated (ApoC-III0),
monosialylated (ApoC-III1) and disialylated (ApoC-III2). We aimed at quantifying
the distribution of the ApoC-III glycoforms in patients with angiographically
demonstrated coronary artery disease (CAD) according to levels of total ApoC-III
plasma concentration. METHODS: ApoC-III glycoforms were quantified by a
specifically developed, high-resolution, mass spectrometry method in unrelated
CAD patients. Lipoprotein lipase (LPL) activity was estimated by a fluorescence
based method. RESULTS: In 101 statin-treated CAD patients, the absolute
concentrations of the three glycoforms similarly increased across ApoC-III
quartiles, but the proportion of ApoC-III1 rose whereas that of ApoC-III0
decreased progressively by increasing total ApoC-III concentrations. The
proportion of ApoC-III2 was quite constant throughout the whole range of total
ApoC-III. A higher proportion of ApoC-III1 reflected an unfavorable lipid profile
characterized by high levels of TG, total and low density lipoprotein
cholesterol, ApoE and reduced ApoA-I. The correlations between ApoC-III
glycoforms and TG were confirmed in 50 statin-free CAD patients. High
concentration of total ApoC-III was associated with low LPL activity, while no
correlation was found for the relative proportion of glycoforms. CONCLUSIONS:
Specific patterns of ApoC-III glycoforms are present across different total ApoC
III concentrations in CAD patients. The inhibitory effect of ApoC-III on LPL
appears related to total ApoC-III concentration, but not to the relative
proportion of ApoC-III glycoforms.
PMID- 29652663
TI - Multiple myeloma and macro creatine kinase type 1: the first case report.
PMID- 29652664
TI - Alcohol use in adolescence: 5 years admissions at a pediatric emergency
department.
AB - Objective To characterize the profile and pattern of alcohol consumption in
adolescents, admitted with acute alcoholic intoxication (AAI) at a pediatric
emergency department of North Portugal. Methods Retrospective descriptive study
of adolescents aged between 10 and 17 years, admitted with AAI between 2012 and
2016. The following variables were evaluated: age, gender, drinking context,
quantity and type of alcohol, Glasgow Coma Scale (GCS), previous episodes,
associated injuries, transport to the emergency department, other drug
consumption, blood alcohol level (BAL), blood glucose level, treatment and
orientation. The chi2/Fisher's exact test and t-Student test were performed (p <
0.05). Results A total of 180 adolescents with AAI presented. The majority of
adolescents were older than 15 years old (71%) and 3% were younger than 14 years
old. The mean age was 15.9 years [standard deviation (SD) 1.1 years] and 65% were
males. The most common type of alcohol consumed was distilled drinks (60%).
Recurrent alcohol use was identified in 7% and binge drinking was reported in 37%
of patients. The mean BAL was 1.58 g/L (SD 0.6 g/L) and there were other drug
consumptions (mainly cannabinoids) observed in 11% of patients. After emergency
department discharge, 17% were followed at hospital consultation. There was no
significant difference between boys and girls or between BAL and injuries or
other drugs consumption. Conclusion This study confirms a high rate of alcohol
use among adolescents, in particular "heavy episodic drinking", revealing an easy
access to alcohol at this age. The integration of alcohol use prevention programs
in community and education systems should be encouraged and implemented in every
adolescent consultation.
PMID- 29652665
TI - Effect of flavonol and its dimethoxy derivatives on paclitaxel-induced peripheral
neuropathy in mice.
PMID- 29652666
TI - Effect of a multidisciplinary treatment program on eating behavior in overweight
and obese preschool children.
AB - BACKGROUND: The effects of multidisciplinary treatment programs on eating
behavior in overweight preschool-aged children are largely unknown. We evaluated
a multidisciplinary intervention program on eating behavior in 3- to 5-year-old
overweight children, comparing them with children given standard treatment. We
also assessed the parental eating behavior changes and investigated associations
between parents and children. METHODS: We randomized 75 children to a
multidisciplinary intervention or to a standard care program. During a 16-week
period, children and parents in the multidisciplinary group were given dietary
advice, physical activity sessions and, for parents only, psychological
counseling. Children and parents in the standard group visited a pediatrician 3
times and were given information on a healthy lifestyle. At baseline, after 16
weeks, and after 12 months, children were measured and parents completed the
Dutch Child Eating Behavior Questionnaire (DEBQ-C) for their children and the
DEBQ for themselves. RESULTS: At the three time points, 70 (93.3%), 57 (91.9%),
and 42 (73.7%) DEBQ-Cs were analyzed. We found no differences in the changes in
eating behavior between the two groups over time. In both groups, there was a
significant increase in restrained eating behavior present at 16 weeks, however,
this was no longer present at 12 months. We found no associations between changes
in eating behavior between the children and their parents. CONCLUSIONS: A
multidisciplinary obesity intervention program in preschool-aged children induced
more restrained eating behavior between baseline and 16 weeks. However, there was
no difference with the children in the standard care group.
PMID- 29652667
TI - Glycated hemoglobin A1c as a screening test for detecting type 2 diabetes
mellitus in obese children and adolescents.
AB - BACKGROUND: The diagnostic cutoff points for indicators of type 2 diabetes
mellitus (T2DM) in the pediatric population have not been defined thus far.
METHODS: A retrospective, single-center study was conducted from April 2003 to
May 2016. We enrolled 236 overweight or obese children and adolescents aged 4-17
years. Thirty-nine (26.9%) of 145 patients had T2DM according to the oral glucose
tolerance test results. RESULTS: A glycated hemoglobin (HbA1c) level of 6.5% had
a sensitivity and specificity of 87.2% and 98.5%, respectively, for detecting
T2DM. The optimal HbA1c cutoff level for T2DM was >6.2% (94.7% sensitivity, 95.5%
specificity). CONCLUSIONS: We observed that the use of an HbA1c level of 6.5% had
a lower sensitivity for detecting T2DM than an HbA1c level of >6.2%.
PMID- 29652668
TI - Still too little, too late? Ten years of growth hormone therapy baseline data
from the NordiNet(r) International Outcome Study.
AB - BACKGROUND: We investigated time trends in age, gender, growth hormone (GH) dose
and height standard deviation score (SDS) in children with GH deficiency (GHD),
born small for gestational age (SGA) or with Turner syndrome (TS) starting GH
treatment. METHODS: Data were obtained from children enrolled in the NordiNet(r)
International Outcome Study (IOS) between 2006 and 2015 in the Czech Republic,
France, Germany, Serbia and Montenegro (all indications), and Switzerland and the
UK (GHD only). Trends were analyzed by linear regression. Patients were divided
by age into early-, medium- or late-start groups in three different time periods.
RESULTS: Approximately one-third of children starting treatment for GHD were
girls, with no apparent increase in proportion over time. The mean baseline age
for starting treatment decreased significantly (p<0.001) for both GHD and SGA in
the Czech Republic and Germany. In the other countries studied, over 40% of
children started treatment for GHD and SGA late (girls >10, boys >11 years)
between 2013 and 2015. The mean baseline GH doses were largely within recommended
ranges for GHD and SGA, but below the lowest recommended starting dose for TS in
almost every year since 2011 except in France. CONCLUSIONS: Approximately one
third of children starting treatment for GHD were girls. Between 2013 and 2015,
more than 40% of children started treatment for GHD and SGA late except in
Germany and the Czech Republic. TS patients received below-recommended doses.
These results highlight the need for earlier identification of short stature in
children, particularly girls, and for dose optimization in TS.
PMID- 29652669
TI - Endophytic Bacillus spp. from medicinal plants inhibit mycelial growth of
Sclerotinia sclerotiorum and promote plant growth.
AB - Plant growth-promoting bacteria that are also capable of suppressing plant
pathogenic fungi play an important role in sustainable agriculture. There is a
critical need for conducting research to discover, characterize and evaluate the
efficacy of new strains of such bacteria in controlling highly aggressive plant
pathogens. In this study, we isolated endophytic bacteria from medicinal plants
of Bangladesh and evaluated their antagonistic capacity against an important
phytopathogenic fungus Sclerotinia sclerotiorum. Growth-promoting effects of
those isolates on cucumber and rice seedlings were also assessed. Among 16
morphologically distinct isolates, BDR-2, BRtL-2 and BCL-1 significantly
inhibited the growth of S. sclerotiorum through induction of characteristic
morphological alterations in hyphae and reduction of mycelial dry weight. When
cucumber and rice seeds were treated with these endophytic bacteria, seven
isolates (BCL-1, BDL-1, BRtL-2, BRtL-3, BDR-1, BDR-2 and BBoS-1) enhanced seed
germination, seedling vigor, seedling growth and number of roots per plant at a
varying level compared to untreated controls. All isolates produced high levels
of indole-3-acetic acid (6 to 63 MUg/mL) in vitro. Two most potential isolates,
BDR-2 and BRtL-2, were identified as Bacillus amyloliquefaciens and B. subtilis,
respectively, based on the 16S rRNA gene sequencing. These results suggest that
endophytic Bacillus species from native medicinal plants have great potential for
being used as natural plant growth promoter and biopesticides in sustainable crop
production.
PMID- 29652670
TI - Multi-layer imager design for mega-voltage spectral imaging.
AB - The architecture of multi-layer imagers (MLIs) can be exploited to provide
megavoltage spectral imaging (MVSPI) for specific imaging tasks. In the current
work, we investigated bone suppression and gold fiducial contrast enhancement as
two clinical tasks which could be improved with spectral imaging. A method based
on analytical calculations that enables rapid investigation of MLI component
materials and thicknesses was developed and validated against Monte Carlo
computations. The figure of merit for task-specific imaging performance was the
contrast-to-noise ratio (CNR) of the gold fiducial when the CNR of bone was equal
to zero after a weighted subtraction of the signals obtained from each MLI layer.
Results demonstrated a sharp increase in the CNR of gold when the build-up
component or scintillation materials and thicknesses were modified. The potential
for low-cost, prompt implementation of specific modifications (e.g. composition
of the build-up component) could accelerate clinical translation of MVSPI.
PMID- 29652671
TI - Exploring proximity effects and large depth of field in helium ion beam
lithography: large-area dense patterns and tilted surface exposure.
AB - Helium ion beam lithography (HIL) is an emerging nanofabrication technique. It
benefits from a reduced interaction volume compared to that of an electron beam
of similar energy, and hence reduced long-range scattering (proximity effect),
higher resist sensitivity and potentially higher resolution. Furthermore, the
small angular spread of the helium ion beam gives rise to a large depth of field.
This should enable patterning on tilted and curved surfaces without the need of
any additional adjustments, such as laser-auto focus. So far, most work on HIL
has been focused on exploiting the reduced proximity effect to reach single-digit
nanometer resolution, and has thus been concentrated on single-pixel exposures
over small areas. Here we explore two new areas of application. Firstly, we
investigate the proximity effect in large-area exposures and demonstrate HIL's
capabilities in fabricating precise high-density gratings on large planar
surfaces (100 MUm * 100 MUm, with pitch down to 35 nm) using an area dose for
exposure. Secondly, we exploit the large depth of field by making the first HIL
patterns on tilted surfaces (sample stage tilted 45 degrees ). We demonstrate a
depth of field greater than 100 MUm for a resolution of about 20 nm.
PMID- 29652672
TI - Early discontinuation of prasugrel or clopidogrel in acute coronary syndromes:
insights from the TRILOGY ACS trial.
AB - BACKGROUND: In the Targeted Platelet Inhibition to Clarify the Optimal Strategy
to Medically Manage Acute Coronary Syndromes (TRILOGY ACS) trial of patients with
non-ST-segment elevation acute coronary syndrome managed medically without
revascularization, treated with prasugrel versus clopidogrel for less than or
equal to 30 months after index acute coronary syndrome, post-hoc analyses showed
a divergence of treatment effect in favor of prasugrel after 12 months. Potential
influential factors, including a potential late treatment effect after early
study drug discontinuation in the intention-to-treat analysis, have not been
explored. PATIENTS AND METHODS: We carried out an exploratory, post-hoc analysis
of 1436 patients who received at least one dose of the study drug and
discontinued the drug 7-365 days after randomization. Kaplan-Meier event rates
were evaluated starting at the landmark timepoint of study discontinuation
through 2 years of follow-up, and were compared between prasugrel and
clopidogrel. RESULTS: The unadjusted rates of the primary composite endpoint of
cardiovascular death, myocardial infarction, or stroke were 20.1 versus 24.4% in
the prasugrel versus clopidogrel groups (log-rank P=0.069). Similar findings were
observed for cardiovascular death (13.3 vs. 18.0%, log-rank P=0.022), and
cardiovascular death or myocardial infarction (19.3 vs. 23.3%, log-rank P=0.042).
In multivariable analyses, there were no significant differences in the adjusted
risk of these outcomes between the prasugrel and clopidogrel groups. CONCLUSION:
In this hypothesis-generating analysis, high rates of ischemic events were
observed after study drug discontinuation, with a lower frequency of events among
patients treated with prasugrel versus clopidogrel that did not persist after
multivariable adjustment. This analysis highlights the complexities of
ascertaining downstream effects of antithrombotic therapies after drug
discontinuation.
PMID- 29652673
TI - Inflammation and coronary artery disease: from pathophysiology to Canakinumab
Anti-Inflammatory Thrombosis Outcomes Study (CANTOS).
AB - The worldwide prevalence of cardiovascular disease in general and atherosclerotic
coronary artery disease in particular is a health and economic concern of
unparalleled proportion. Despite a long history of astute observations beginning
in 1575 made by Fallopius, followed by those of von Rokatansky, Virchow, Osler,
and Ross, and incremental knowledge of the pathobiology of atherosclerosis to
include varying stages of inflammation, response to internally and externally
mediated vascular injury, and impaired homeostasis, gaps in the field's
understanding persist. Here, we summarize the current scope of the problem for
coronary artery disease, emerging constructs in its pathobiology and common
clinical phenotypes, potentially useful biomarkers, clinical trials designed
specifically to test the 'inflammation hypothesis' of disease, and the interface
of pathobiology and precision medicine as a foundation for diagnosis, management,
and future advances in the diagnosis, prognosis, natural history, prevention, and
optimal management.
PMID- 29652674
TI - Analysis of Intercanine Distance and Dimensional Changes in Bite Marks on
Foodstuffs Using Cone Beam Computed Tomography.
AB - Bite marks on foodstuff at the crime scene provide a 3-dimensional imprint of the
suspect's dentition. The bite mark analysis can provide useful evidences, leading
to the inclusion or exclusion of the individual under investigation. This study
was designed to assess bite marks on various common foodstuffs (chocolate, apple,
chewing gum, cheese) for different time intervals using cone beam computed
tomography (CBCT) to evaluate the dimensional changes in the foodstuffs. Analysis
of variance test was used to compare the bite marks measured using CBCT in
maxillary and mandibular arches with significance set at P < 0.05. The results
show that teeth can transfer their characteristics to the bitten foodstuffs. The
highest accuracy for comparative bite mark analysis was observed in chocolate
followed by cheese, chewing gum, and apple. The CBCT-assisted analysis of bite
marks is a nondestructive, accurate, and efficient method. The CBCT documentation
has no distortion artifacts, and subsequent analysis in 3-dimensional space is
possible.
PMID- 29652676
TI - Immune tolerance induction rescue with turoctocog-alfa in a poor risk haemophilia
A inhibitor young child: the history of a success.
AB - : The development of alloantibodies against the replacement of Factor VIII
(FVIII) is the major complication in haemophilia A treatment. The gold standard
to eradicate inhibitors is the immune tolerance induction (ITI), but in some
cases it fails requiring another immune tolerance, defined ITI rescue (ITI-R),
using a different concentrate, even though it is still debated. We report a
successful case of a poor risk (titre of inhibitor at start of ITI > 10 BU/ml,
peak titre on ITI > 200 BU/ml, >2 years since the inhibitor diagnosis)
haemophilia A child treated with a high-dose regimen (200 UI/kg/day) turoctocog
alfa after a failed first-line ITI with octocog-alfa lasting 29 months. At 22
months of ITI-R, the inhibitor titre was undetectable, the FVIII recovery was
74%, of the expected level and the FVIII half-life more than 7 h. A complete
successful ITI-R was then achieved with turoctocog-alfa.
PMID- 29652675
TI - An intronic mutation c.6430-3C>G in the F8 gene causes splicing efficiency and
premature termination in hemophilia A.
AB - : Hemophilia A is a bleeding disorder caused by coagulation factor VIII protein
deficiency or dysfunction, which is classified into severe, moderate, and mild
according to factor clotting activity. An overwhelming majority of missense and
nonsense mutations occur in exons of F8 gene, whereas mutations in introns can
also be pathogenic. This study aimed to investigate the effect of an intronic
mutation, c.6430-3C>G (IVS22-3C>G), on pre-mRNA splicing of the F8 gene. We
applied DNA and cDNA sequencing in a Chinese boy with hemophilia A to search if
any pathogenic mutation in the F8 gene. Functional analysis was performed to
investigate the effect of an intronic mutation at the transcriptional level.
Human Splicing Finder and PyMol were also used to predict its effect. We found
the mutation c.6430-3C>G (IVS22-3C>G) in the F8 gene in the affected boy, with
his mother being a carrier. cDNA from the mother and pSPL3 splicing assay showed
that the mutation IVS22-3C>G results in a two-nucleotide AG inclusion at the 3'
end of intron 22 and leads to a truncated coagulation factor VIII protein, with
partial loss of the C1 domain and complete loss of the C2 domain. The in-silico
tool predicted that the mutation induces altered pre-mRNA splicing by using a
cryptic acceptor site in intron 22. The IVS22-3C>G mutation was confirmed to
affect pre-mRNA splicing and produce a truncated protein, which reduces the
stability of binding between the F8 protein and von Willebrand factor carrier
protein due to the loss of an interaction domain.
PMID- 29652677
TI - Ontology Development for Patient Education Documents Using a Professional- and
Patient-Oriented Delphi Method.
AB - Written patient education materials are essential to motivate and help patients
to participate in their own care, but the production and management of a large
collection of high-quality and easily accessible patient education documents can
be challenging. Ontologies can aid in these tasks, but the existing resources are
not directly applicable to patient education. An ontology that models patient
education documents and their readers was constructed. The Delphi method was used
to identify a compact but sufficient set of entities with which the topics of
documents may be described. The preferred terms of the entities were also
considered to ensure their understandability. In the ontology, readers may be
characterized by gender, age group, language, and role (patient or professional),
whereas documents may be characterized by audience, topic(s), and content, as
well as the time and place of use. The Delphi method yielded 265 unique document
topics that are organized into seven hierarchies. Advantages and disadvantages of
the ontology design, as well as possibilities for improvements, were identified.
The patient education material ontology can enhance many applications, but
further development is needed to reach its full potential.
PMID- 29652678
TI - Using Hourly Time-Outs and a Standardized Tool to Promote Team Communication,
Medical Record Documentation, and Patient Satisfaction During Second-Stage Labor.
AB - BACKGROUND: During labor, effective communication and collaboration among the
healthcare team is critical for patient safety; however, there is currently no
standard for communication and documentation of the plan of care as agreed upon
by healthcare team members and the woman in labor. OBJECTIVES: The goal of this
project was to increase consistency in communication and collaboration between
clinicians and laboring women during second-stage labor. METHODS: An hourly "time
out" meeting of all healthcare team members was initiated for all women during
second-stage labor. A documentation tool was implemented to ensure regular and
clear communication between the clinical team and laboring women. Data were
collected via medical review of cases of second-stage labor lasting more than 2
hours (n = 21 in the pre-implementation group; n = 39 for 3 months
postimplementation; and n = 468 patients for 2 years post-implementation).
Surveys were conducted of the clinical team (n = 40) and patients (n = 28).
RESULTS: Following implementation, documented agreement of the plan of care
increased from 14.3% before the project to 82.1% 3 months after implementation
and remained at 81.6% 2 years after implementation. All nurses who participated
in the survey reported a clear understanding of how and when to complete
necessary medical record documentation during second-stage labor. The providers
viewed the project favorably. Most women (92.9%) reported satisfaction with their
experience. This project enhanced collaborative communication between members of
the clinical team and laboring women and improved patient satisfaction. The
improvements were sustainable over a 2-year period.
PMID- 29652679
TI - Comparison of Static and Dynamic Balance at Different Levels of Sport Competition
in Professional and Junior Elite Soccer Players.
AB - Jadczak, L, Grygorowicz, M, Dzudzinski, W, and Sliwowski, R. Comparison of static
and dynamic balance at different levels of sport competition in professional and
junior elite soccer players. J Strength Cond Res XX(X): 000-000, 2018-The purpose
of this study was to compare body balance control and balance recovery strategies
of professional football players, representing various sports levels in static
(eyes open, eyes closed) and dynamic conditions, both on the dominant and
nondominant leg. Three groups of professional and junior elite soccer players
were investigated: a PRO group (n = 52), a U-21 group (n = 55), and a U-19 group
(n = 47). The study of body balance control was performed using a Delos Postural
Proprioceptive System measurement tool. The analysis of the results showed an
effect of group (p < 0.01) and leg significance (p < 0.95) in the dynamic test.
Three-way analysis of variance (3 [group] * 2 [leg] * 2 [eyes]) of static test
data showed that the main effect of eyes (p < 0.0001), group (p < 0.0001), and
leg (p = 0.0092) and the 2-way interaction of eyes * group (p = 0.0003) were
significant. To represent statistical significance, the cutoff value was set to
be p <= 0.005 for all measures. Our results indicate the importance of evaluation
and monitoring of dynamic and static balance on both legs, which allows for a
comprehensive comparison of body balance control and the balance recovery
strategy depending on the represented sport level. Our study indicates that the
higher the sport level of football players (the PRO group), the better their
balance, which may indirectly contribute to the prevention of injuries and more
effective performance of any actions directly related to the game.
PMID- 29652680
TI - Impact of intra-operative fluid and noradrenaline administration on early
postoperative renal function after cystectomy and urinary diversion: A
retrospective observational cohort study.
AB - BACKGROUND: The use of noradrenaline to enable a restrictive approach to intra
operative fluid therapy to avoid salt and water overload has gained increasing
acceptance. However, concerns have been raised about the impact of this approach
on renal function. OBJECTIVES: To identify risk factors for acute kidney injury
(AKI) in patients undergoing cystectomy with urinary diversion and determine
whether administration of noradrenaline and intra-operative hydration regimens
affect early postoperative renal function. DESIGN: Retrospective observational
cohort study. SETTING: University hospital, from 2007 to 2016. PATIENTS: A total
of 769 consecutive patients scheduled for cystectomy and urinary diversion. Those
with incomplete data and having pre-operative haemodialysis were excluded. MAIN
OUTCOME MEASURES: AKI was defined as a serum creatinine increase of more than 50%
over 72 postoperative hours. Multiple logistic regression analysis was performed
to model the association between risk factors and AKI. RESULTS: Postoperative AKI
was diagnosed in 86/769 patients (11.1%). Independent predictors for AKI were the
amount of crystalloid administered (odds ratio (OR) 0.79 [95% confidence interval
(CI), 0.68 to 0.91], P = 0.002), antihypertensive medication (OR 2.07 [95% CI,
1.25 to 3.43], P = 0.005), pre-operative haemoglobin value (OR 1.02 [95% CI, 1.01
to 1.03], P = 0.010), duration of surgery (OR 1.01 [95% CI, 1.00 to 1.01], P =
0.002), age (OR 1.32 [95% CI, 1.44 to 1.79], P = 0.002) but not the
administration of noradrenaline (OR 1.09 [95% CI, 0.94 to 1.21], P = 0.097).
Postoperative AKI was associated with longer hospital stay (18 [15 to 22] vs. 16
[15 to 19] days; P = 0.035) and a higher 90-day major postoperative complication
rate (41.9 vs. 27.5%; P = 0.002). CONCLUSION: Noradrenaline administration did
not increase the risk for AKI. A too restrictive approach to administration of
crystalloids was associated with an increased risk for AKI, particularly in older
patients, those receiving antihypertensive medication, and those whose surgery
was prolonged. As AKI was associated with longer hospital stay and increased
postoperative morbidity, these observations should be taken into account to
improve outcome when addressing peri-operative fluid management. TRIAL
REGISTRATION: Not applicable.
PMID- 29652681
TI - Direct Ultrasound of the Pulmonary Artery Helps Diagnose a Rare Cause of Right
Ventricular Failure After Heart Transplantation: A Case Report.
AB - Pulmonary artery anastomosis stenosis is a rare cause of right ventricular
failure after orthotopic heart transplantation. In this case report, direct
ultrasound of the pulmonary artery helped diagnose stenosis at a location not
visible on transesophageal echocardiography or even with standard epicardial
ultrasound views. It is important to evaluate all vascular anastomoses after
heart or lung transplantation because surgical revision of these lesions is
facile, but if left undiagnosed, significant morbidity or mortality is likely.
PMID- 29652682
TI - Kratom, an Emerging Drug of Abuse: A Case Report of Overdose and Management of
Withdrawal.
AB - Kratom is an herb indigenous to Southeast Asia with psychoactive opioid
compounds, often used as a treatment for chronic pain or opiate withdrawal
symptoms. It is legally and readily available via Internet sales and has been
identified as an emerging drug of abuse in the United States. Kratom use has been
associated with psychosis, seizures, and even death. At lower doses, kratom acts
as a stimulant, while at higher doses, it produces analgesia and euphoria. Here,
we describe the successful management of kratom overdose and withdrawal in a
young man with negative toxicology screens.
PMID- 29652683
TI - A Case Report of Recurrent Severe Peripartum Cardiomyopathy Complicated by Factor
V Leiden and Multiple Endocrine Neoplasia Type 1: A Management Conundrum.
AB - Cardiovascular disease is the leading cause of peripartum death in the United
States during pregnancy. The presence of concomitant diagnoses may complicate or
conflict with the management of the primary cardiovascular diagnosis and further
complicate pregnancy and delivery. We describe the management of a 29-year-old,
gravida 5, para 1 woman with severe peripartum cardiomyopathy during this and a
previous pregnancy complicated by multiple endocrine neoplasia type and factor V
Leiden thrombophilia, limiting therapeutic options and contributing to
considerable perioperative management challenges.
PMID- 29652684
TI - Biphasic Cuirass Ventilation During Anesthesia for Tracheobronchial Stent
Insertion or Removal by a Rigid Bronchoscope: A Case Report.
AB - Airway management and ventilation during a tracheobronchial stenting procedure
are challenging given that mandatory positive pressure ventilation cannot be
fully achieved while using a rigid bronchoscope due to leakage from the scope
tip. Biphasic cuirass ventilation is a negative pressure ventilation method using
an external cuirass fitted to the anterior chest, which could assist in
spontaneous breathing and ventilation support. We report 3 successful anesthesia
cases in which we could maintain adequate ventilation and oxygenation, supported
by biphasic cuirass ventilation, in patients undergoing tracheobronchial stent
placement or removal procedures using rigid bronchoscopy.
PMID- 29652685
TI - Blood Patch in a Jehovah's Witness: Case Report of a Novel Arterial-to-Epidural
Closed-Circuit Technique.
AB - Jehovah's Witness patients have unique perioperative challenges involving blood
products. We describe the use of a novel method to maintain a closed circuit
between a Jehovah's Witness patient's arterial blood and the epidural space while
performing a blood patch for postdural puncture headache. Previously described
methods have utilized venous catheters to maintain a closed circuit between the
body and the epidural space. This is the first report we are aware of that
utilizes a closed-circuit arterial blood supply to create an epidural blood patch
in a Jehovah's Witness patient.
PMID- 29652686
TI - Massive Macroglossia After Posterior Cranial Fossa Surgery: A Case Report.
AB - A 16-year-old boy with Chiari 1 malformation presented for an elective
suboccipital craniectomy and C1 laminectomy. His intraoperative course was
uneventful. At the conclusion of the procedure, he met extubation criteria and
followed commands. After extubation, he developed progressive upper airway
obstruction and became obtunded. He was reintubated via videolaryngoscopy, which
showed edema not only to the tongue, but also to the posterior pharynx and
blisters over the vocal folds and epiglottis. The patient was transferred to the
pediatric intensive care unit intubated and sedated. This report describes the
clinical course of his massive macroglossia and discusses short- and long-term
management.
PMID- 29652687
TI - Ultrasound-Guided Transversalis Fascia Plane Block: An Alternative Approach for
Anesthesia in Inguinal Herniorrhaphy: A Case Report.
AB - Ultrasound (US)-guided transversalis fascia plane block (TFPB) was first
described by Hebbard as a technique for blockade of T12-L1 nerves. Although this
technique appears similar to the quadratus lumborum 1 block, the point of
injection is more caudal and anterior, specifically targeting ilioinguinal and
iliohypogastric nerves. There are only few published data on US-guided TFPB
demonstrating effective postoperative analgesia in iliac crest bone graft
harvesting. We report the use of US-guided TFPB in a patient undergoing inguinal
herniorrhaphy. Our experience suggests that this technique could represent a
viable alternative to general anesthesia and standard regional techniques for
inguinal hernia repair.
PMID- 29652688
TI - Acute Coronary Artery Thrombus After Tranexamic Acid During Total Shoulder
Arthroplasty in a Patient With Coronary Stents: A Case Report.
AB - Tranexamic acid (TXA), an antifibrinolytic, is routinely used to decrease
transfusion rates in total joint replacement surgery. While recent publications
have indicated a low risk of TXA-associated thromboembolic events in this
orthopedic population, few studies specifically address the safety of TXA
administration in high-risk patients. We present a case of acute coronary
thrombus requiring emergent intervention in a patient with indwelling coronary
stents who underwent shoulder arthroplasty with TXA administration.
PMID- 29652689
TI - Postoperative Harlequin Syndrome: Case Report of a Rare but Clinically Striking
Condition.
AB - We present a case of a 2-year-old boy who underwent thoracoscopic resection of a
left paraspinal mediastinal mass and developed Harlequin syndrome
postoperatively. Harlequin syndrome is a rare neurological condition
characterized by unilateral hyperhidrosis and erythema of the head and neck. Our
discussion highlights this condition and other differential diagnoses that may
present similarly in the postoperative period.
PMID- 29652690
TI - ENDOPHTHALMITIS AFTER PARS PLANA VITRECTOMY: Efficacy of Intraoperative
Subconjunctival Antibiotics.
AB - PURPOSE: To examine rates of acute infectious endophthalmitis after pars plana
vitrectomy (PPV) in eyes that received intraoperative subconjunctival antibiotics
versus eyes that did not. METHODS: A retrospective, nonrandomized, comparative
case series of 18,886 consecutive cases of transconjunctival 23-, 25-, and 27
gauge PPV over a 5-year period was performed. The impact of prophylactic
intraoperative subconjunctival antibiotics on the development of acute infectious
postoperative endophthalmitis was examined. RESULTS: Of 18,886 cases of PPV,
14,068 (74.5%) received intraoperative subconjunctival antibiotics, whereas 4,818
(25.5%) did not. Sixteen cases (0.085%, 1/1,176) of post-PPV endophthalmitis were
identified. The incidence of endophthalmitis in eyes that received
subconjunctival antibiotics was 0.078% (11/14,068 cases, 1/1,282), whereas the
incidence in those that did not receive subconjunctival antibiotics was 0.10%
(5/4,818 cases, 1/1,000). No statistically significant difference was identified
in the incidence of endophthalmitis between those that received subconjunctival
antibiotics and those that did not (P = 0.598). Microbial culture was performed
in 11 cases with 6 culture-positive cases (5/8 cases that received
subconjunctival antibiotics and 1/3 cases that did not). CONCLUSION: Prophylactic
subconjunctival antibiotics were not associated with a significantly reduced rate
of post-PPV endophthalmitis. With consideration of emerging multidrug-resistant
bacteria, routine prophylactic subconjunctival antibiotics may not be justified.
PMID- 29652691
TI - VISUAL ACUITY IN PSEUDOXANTHOMA ELASTICUM.
AB - PURPOSE: To assess the age-specific proportion of visual impairment in patients
with pseudoxanthoma elasticum (PXE) and to compare this with foveal abnormality
and similar data of late age-related macular degeneration patients. METHODS:
Cross-sectional data of 195 patients with PXE were reviewed, including best
corrected visual acuity and imaging. The World Health Organisation criteria were
used to categorize bilateral visual impairment. These results were compared with
similar data of 131 patients with late age-related macular degeneration from the
Rotterdam study. RESULTS: Overall, 50 PXE patients (26.0%) were visually
impaired, including 21 (11%) with legal blindness. Visual functioning declined
with increasing age. In patients older than 50 years, 37% was visually impaired
and 15% legally blind. Foveal choroidal neovascularization was found in 84% of
eyes with a best-corrected visual acuity lower than 20/70 (0.30) and macular
atrophy in the fovea in 16%. In late age-related macular degeneration patients,
40% were visually impaired and 13% legally blind. Visual impairment started
approximately 20 years later as compared with PXE patients. CONCLUSION: Visual
impairment and blindness are frequent in PXE, particularly in patients older than
50 years. Although choroidal neovascularization is associated with the majority
of vision loss, macular atrophy is also common. The proportion of visual
impairment in PXE is comparable with late age-related macular degeneration but
manifests earlier in life.
PMID- 29652692
TI - Potential Roles of Vascular Endothelial Growth Factor During Skeletal Muscle
Hypertrophy.
AB - Vascular endothelial growth factor (VEGF) deletion in adult mouse muscle fibers
contributes to impaired contractile and muscular adaptations to a hypertrophic
stimulus suggesting a critical role in adult muscle growth. This review explores
the hypothesis that VEGF is essential for adult muscle growth by impacting
inflammatory processes, satellite-endothelial cell interactions, and contractile
protein accumulation by functioning within known hypertrophic signaling pathways
including insulin-like growth factor-1 (IGF-1-Akt) and Wnt-beta-catenin.
PMID- 29652693
TI - Perspectives for Progress: Concepts About V[Combining Dot Above]O2max and
Trainability Are Context Dependent.
AB - Some individuals show little or no increase in V[Combining Dot Above]O2max in
response to training programs consistent with public health guidelines. However,
results from studies using more intense programs challenge the concept that some
humans have limited trainability. We explore the implications of these divergent
observations on the biology of trainability and propose a new set of twin studies
to explore them.
PMID- 29652694
TI - Perspectives for Progress -- Performance Limitations in Heart Transplant
Recipients.
AB - We hypothesize that the reduced peak aerobic power (peak VO2) following heart
transplantation (HT) is due to impaired cardiovascular and skeletal muscle
function, and its improvement with short-term (<=1 year) exercise training is
primarily due to favorable skeletal muscle adaptations. Further, the increased
peak VO2 with long-term (>2 years) training is primarily mediated by cardiac
(sympathetic) reinnervation.
PMID- 29652696
TI - In Reply.
PMID- 29652697
TI - Recent Increases in the U.S. Maternal Mortality Rate: Disentangling Trends From
Measurement Issues.
PMID- 29652695
TI - The Microvasculature and Skeletal Muscle Health in Aging.
AB - Aging and aging-related declines in physical activity are associated with
physical and metabolic impairments. Skeletal muscle capillarization is reduced in
sedentary older adults, may contribute to impairments in skeletal muscle, and is
modifiable by exercise training. This article examines the hypothesis that
preservation of skeletal muscle capillarization is essential to maintain
metabolism, fitness, and function with aging.
PMID- 29652698
TI - Student Self-evaluation After Nursing Examinations: That's a Wrap.
AB - Examination wrappers are a self-evaluation tool that uses metacognition to help
students reflect on test performance. After examinations, rather than focus on
points earned, students learn to self-identify study strategies and recognize
methods of test preparation. The purpose of the study was to determine if the use
of an examination wrapper after each test would encourage students to self
evaluate performance and adjust study strategies. A total of 120 undergraduate
nursing students completed self-evaluations after each examination, which were
analyzed using content analysis. Three general patterns emerged from student self
evaluation: effective and ineffective study strategies, understanding versus
memorization of content, and nurse educator assistance.
PMID- 29652699
TI - Impact of the Glymphatic System on the Kinetic and Distribution of Gadodiamide in
the Rat Brain: Observations by Dynamic MRI and Effect of Circadian Rhythm on
Tissue Gadolinium Concentrations.
AB - OBJECTIVES: The glymphatic system is a recently hypothesized waste clearance
system of the brain in which perivascular space constitutes a pathway similar to
the lymphatic system in other body regions. Sleep and anesthesia are reported to
influence the activity of the glymphatic system. Because rats are nocturnal
animals, the glymphatic system is expected to be more active during the day. We
attempted to elucidate the influence of the glymphatic system for intravenously
injected gadodiamide in the rat brain by 2 experiments. One was a magnetic
resonance imaging (MRI) experiment to evaluate the short-term dynamics of signal
intensity changes after gadodiamide administration. The other was a
quantification experiment to evaluate the concentration of retained gadolinium
within the rat brain after repeated intravenous administration of gadodiamide at
different times of day and levels of anesthesia. MATERIALS AND METHODS: The
imaging experiment was performed on 6 rats that received an intravenous injection
of gadodiamide (1 mmol/kg) and dynamic MRI for 3 hours at 2.4-minute intervals.
The time course of the signal intensity changes was evaluated for different brain
structures. The tissue quantification experiment was performed on 24 rats divided
into 4 groups by injection time (morning, late afternoon) and anesthesia (none,
short, long) during administration. All animals received gadodiamide (1.8
mmol/kg, 8 times over 2 weeks). Gadolinium concentration of dissected brain
tissues was quantified 5 weeks after the last administration by inductively
coupled plasma mass spectrometry. RESULTS: In the imaging experiment, muscle and
the fourth ventricle showed an instantaneous signal intensity increase
immediately after gadodiamide injection. The signal curve of the cerebral cortex
and deep cerebellar nuclei reached the peak signal intensity later than the
fourth ventricle but earlier than that of the prepontine cistern. In the
gadolinium quantification experiment, the concentration in the group with the
morning injection showed a significantly lower concentration than the late
afternoon injection group. The lowest tissue gadolinium concentrations were found
in the groups injected in the morning during long anesthesia. CONCLUSIONS:
Instantaneous transition of gadodiamide from blood to cerebrospinal fluid was
indicated by dynamic MRI. The gadodiamide distribution to the cerebral cortex and
deep cerebellar nuclei seemed to depend on both blood flow and cerebrospinal
fluid. This confirms previous studies indicating that the cerebrospinal fluid is
one potential pathway of gadolinium-based contrast agent entry into the brain.
For the distribution and clearance of the gadodiamide from brain tissue,
involvement of the glymphatic system seemed to be indicated in terms of the
influence of sleep and anesthesia.
PMID- 29652700
TI - Overall Disability Sum Score for Clinical Assessment of Neurological Involvement
in Eosinophilic Granulomatosis With Polyangiitis.
AB - AIM: The aim of this study was to verify the application of Overall Disability
Sum Score (ODSS) for standardized clinical assessment of neurological involvement
in patients with eosinophilic granulomatosis with polyangiitis (EGPA) and its
correlation with treatment response and long-term outcomes. METHODS: Consecutive
EGPA patients referred to our tertiary vasculitis center were retrospectively
evaluated. Patients' neurological damage and disability were systematically
assessed with Vasculitis Damage Index and ODSS. RESULTS: Fifty EGPA patients were
included in the study with a median follow-up of 75 months (9-180 months). Twenty
five (50%) developed peripheral neuropathy, 17 (68%) presented mononeuritis
multiplex, whereas 8 (32%) had symmetric polyneuropathy. Patients with
neurological involvement were older (56.3 +/- 13.4 vs. 44.4 +/- 12.1 years, P <
0.0009), more frequently antineutrophil cytoplasmic antibody positive (48% vs.
16%, P = 0.015), and were more likely to have renal involvement (24% vs. 0%, P =
0.022). An early clinical response to therapy was observed within 6 months of
treatment, resulting in a significant decrease in ODSS, which fell from the
baseline value of 4.2 +/- 2.4 to 2.9 +/- 1.5 (P = 0.0001), whereas only a slow
decreasing pattern was noted over the long-term period. However, all subjects
developed neurological impairment and disability despite remission from active
vasculitis. Patients with ODSS of greater than 3 at baseline (n = 13 [52%])
retained a higher score at the last examination (P < 0.001), predicting a low
therapeutic response. Furthermore, ODSS of greater than 3 was found associated
with more neurological relapses (53.8% vs. 0%, P = 0.027). CONCLUSION: Overall
Disability Sum Score could be a rapid, simple, reliable instrument to evaluate
the severity of disability and nerve damage due to neurological involvement
caused by vasculitis and to predict, at presentation, improvement and risk of
neurological worsening.
PMID- 29652701
TI - Leukocytoclastic Vasculitis Concurrent With Bullous Systemic Lupus Erythematosus
Manifesting Striking Wood-Grain and Wi-Fi Sign-like Purpuric Lesions.
PMID- 29652702
TI - Microwave Radiometry-Derived Thermal Changes of Small Joints as Additional
Potential Biomarker in Rheumatoid Arthritis: A Prospective Pilot Study.
AB - OBJECTIVE: A prospective pilot study was performed using microwave radiometry
(MR), a noninvasive method detecting in-depth tissue temperature, to evaluate
whether temperature-of-small-joint-derived scores correlate to parameters
commonly used to assess disease activity in rheumatoid arthritis (RA). METHODS:
Ten patients with active, untreated RA underwent clinical and laboratory
assessments and joint ultrasound and MR of hand and foot small joints at baseline
and at 15, 30, and 90 days after treatment onset. Mixed-model analysis for
repeated measures was used to compare patient characteristics in sequential
visits. Twenty age- and sex-matched healthy individuals served as control
subjects. RESULTS: Using 1248 MR-derived separate recordings from patients'
joints, several thermoscores involving different joint combinations were created.
When compared with clinical and ultrasound data, the best performing thermoscore
involved temperatures of 16 joints (second to fifth metacarpal and proximal
interphalangeal joints, bilaterally). This thermoscore correlated to the 28-joint
Disease Activity Score-C-reactive protein, tender and swollen joint counts,
patient's visual analog scale (all P <= 0.02), and the standard 7-joint
ultrasound score (P < 0.03) and could also discriminate patients in high (mean,
9.2 [SD, 5.6]) or moderate (7.1 [SD, 3.5]) versus low disease activity/remission
(4.2 [SD, 1.8]) (P <= 0.01) or healthy subjects (5.0 [SD, 1.7]) (P = 0.002).
CONCLUSIONS: Microwave radiometry-derived increased in-depth temperature
indicative of local inflammation of small joints may serve as an additional
biomarker in RA. Optimization of MR-based methods may result in objective
assessments of RA disease activity in clinical practice.
PMID- 29652703
TI - Secondary Hypertrophic Osteoarthropathy Associated With Pediatric Primary Lung
Squamous Cell Carcinoma.
PMID- 29652704
TI - The Urgency of Now: Attacking the Sepsis Crisis.
PMID- 29652706
TI - Care of the Critically Ill Cirrhotic: It Is Not a Losing Battle.
PMID- 29652705
TI - Is Procalcitonin-Guided Therapy Associated With Beneficial Outcomes in Critically
Ill Patients With Sepsis?
PMID- 29652707
TI - Denied and Delayed Care: The Synergy Between the Emergency Department and the
ICU.
PMID- 29652708
TI - Telemedicine Application to Progressive Care Units: A New Role for Telemedicine.
PMID- 29652709
TI - All Organ Dysfunctions Are Equal...But Some Are More Equal Than Others.
PMID- 29652710
TI - Chest Radiography for Diagnosing Acute Respiratory Distress Syndrome-Fishing in
the Dark?
PMID- 29652711
TI - Readmissions as a Quality Metric: Ready for Prime Time?
PMID- 29652712
TI - Changing Cardiopulmonary Resuscitation to Cardiocerebral Resuscitation: The
Reason We Push Hard and Fast.
PMID- 29652714
TI - Growing Evidence: Dysautoregulation May Trigger Ischemic Deficit After
Subarachnoid Hemorrhage.
PMID- 29652713
TI - "Size Matters" in Regard to Acute Respiratory Distress Syndrome Case Volume and
Mortality!
PMID- 29652715
TI - Is "Moderate" the Correct Adjective?
PMID- 29652716
TI - Help is Helpful.
PMID- 29652717
TI - Time for Targeted Therapies in Acute Respiratory Distress Syndrome? Understanding
Every Single Piece of the Puzzle.
PMID- 29652718
TI - Translational Research: The Model Matters.
PMID- 29652719
TI - Vasopressors During Cardiopulmonary Resuscitation. A Network Meta-Analysis of
Randomized Trials.
AB - OBJECTIVES: Several randomized controlled trials have compared adrenaline
(epinephrine) with alternative therapies in patients with cardiac arrest with
conflicting results. Recent observational studies suggest that adrenaline might
increase return of spontaneous circulation but worsen neurologic outcome. We
systematically compared all the vasopressors tested in randomized controlled
trials in adult cardiac arrest patients in order to identify the treatment
associated with the highest rate of return of spontaneous circulation, survival,
and good neurologic outcome. DESIGN: Network meta-analysis. PATIENTS: Adult
patients undergoing cardiopulmonary resuscitation. INTERVENTIONS: PubMed, Embase,
BioMed Central, and the Cochrane Central register were searched (up to April 1,
2017). We included all the randomized controlled trials comparing a vasopressor
with any other therapy. A network meta-analysis with a frequentist approach was
performed to identify the treatment associated with the highest likelihood of
survival. MEASUREMENTS AND MAIN RESULTS: Twenty-eight studies randomizing 14,848
patients in 12 treatment groups were included. Only a combined treatment with
adrenaline, vasopressin, and methylprednisolone was associated with increased
likelihood of return of spontaneous circulation and survival with a good
neurologic outcome compared with several other comparators, including adrenaline.
Adrenaline alone was not associated with any significant difference in mortality
and good neurologic outcome compared with any other comparator. CONCLUSIONS: In
randomized controlled trials assessing vasopressors in adults with cardiac
arrest, only a combination of adrenaline, vasopressin, and methylprednisolone was
associated with improved survival with a good neurologic outcome compared with
any other drug or placebo, particularly in in-hospital cardiac arrest. There was
no significant randomized evidence to support neither discourage the use of
adrenaline during cardiac arrest.
PMID- 29652720
TI - Adverse Effect of RBCs Transfusion: New Transfusion Indicators Are Needed!
PMID- 29652721
TI - The authors reply.
PMID- 29652722
TI - Integration of an Abbreviated ICU Cognitive Failure Questionnaire.
PMID- 29652723
TI - The authors reply.
PMID- 29652724
TI - Complexities and potential pitfalls of clinical study design and data analysis in
assisted reproduction.
AB - PURPOSE OF REVIEW: The purpose of the current review is to describe the common
pitfalls in design and statistical analysis of reproductive medicine studies. It
serves to guide both authors and reviewers toward reducing the incidence of
spurious statistical results and erroneous conclusions. RECENT FINDINGS: The
large amount of data gathered in IVF cycles leads to problems with multiplicity,
multicollinearity, and over fitting of regression models. Furthermore, the use of
the word 'trend' to describe nonsignificant results has increased in recent
years. Finally, methods to accurately account for female age in infertility
research models are becoming more common and necessary. SUMMARY: The pitfalls of
study design and analysis reviewed provide a framework for authors and reviewers
to approach clinical research in the field of reproductive medicine. By providing
a more rigorous approach to study design and analysis, the literature in
reproductive medicine will have more reliable conclusions that can stand the test
of time.
PMID- 29652725
TI - Therapeutic strategies involving uterine stem cells in reproductive medicine.
AB - PURPOSE OF REVIEW: The current review provides an update on recent advances in
stem cell biology relevant to female reproduction. RECENT FINDINGS: Stem cells
are undifferentiated cells that often serve as a reservoir of cells to regenerate
tissue in settings or injury or cell loss. The endometrium has progenitor stem
cells that can replace all of the endometrium during each menstrual cycle. In
addition, multipotent endometrial cells replace these progenitor cells when
depleted. Recruitment of stem cells from outside of the uterus occurs in setting
of increased demand such as ischemia or injury. Bone marrow-derived multipotent
stem cells are recruited to the uterus by estrogen or injury-induced expression
of the chemokine CXCL12. In the setting of overwhelming injury, especially in the
setting of low estrogen levels, there may be insufficient stem cell recruitment
to adequately repair the uterus resulting in conditions such as Asherman syndrome
or other endometrial defects. In contrast, excessive recruitment of stem cells
underlies endometriosis. Enhanced understanding of stem-cell mobilization,
recruitment, and engraftment has created the possibility of improved therapy for
endometrial defects and endometriosis through enhanced manipulation of stem-cell
trafficking. Further, the normal endometrium is a rich source of multipotent stem
cells that can be used for numerous applications in regenerative medicine beyond
reproduction. SUMMARY: A better understanding of reproductive stem-cell biology
may allow improved treatment of endometrial disease such as Asherman syndrome and
other endometrial receptivity defects. Inhibiting stem-cell mobilization may also
be helpful in endometriosis therapy. Finally, endometrial derived multipotent
stem cells may play a crucial role in cell therapy for regenerative medicine.
PMID- 29652726
TI - How new technical knowledge impacts clinical approach to infertile patients.
PMID- 29652727
TI - A Rare Case of Gallbladder and Common Bile Duct Benign Capillary Hemangioma in a
Toddler.
PMID- 29652728
TI - Intestinal Microbiota in Hirschsprung Disease.
AB - OBJECTIVES: The aim of the study was to characterize the microbiota profiles of
patients with Hirschsprung disease (HD) and to evaluate this in relation to
postoperative bowel function and the incidence of Hirschsprung-associated
enterocolitis (HAEC). METHODS: All patients operated on for HD at our center
between 1987 and 2011 were invited to answer questionnaires on bowel function and
to participate in a clinical follow-up for laboratory investigations, including
fecal DNA extraction, fecal calprotectin (FC), and brush border lactase (LCT)
genotyping. The microbiota compositions of patients with HD were compared with
those of healthy controls aged between 2 and 7 years. RESULTS: The microbiota
composition of eligible patients with HD (n = 34; median age 12 [range, 3-25]
years) differed from the healthy controls (n = 141), showing decreased overall
microbial richness (P < 0.005). Seventy-seven percent had experienced HAEC.
Normal maturation of the intestinal flora was not observed, but patients had a
significantly increased abundance of Proteobacteria among other taxa (P < 0.005)
resulting in a reduced carbohydrate degradation potential, as predicted by the
taxonomic composition. Genetic lactase deficiency was present in 17% and did not
correlate with bowel symptoms. No patients reported active HAEC at the time of
sampling and FC was within the normal range in all samples. CONCLUSIONS: Patients
with HD and HAEC had a significantly altered intestinal microbiome compared to
healthy individuals, characterized by a lack of richness and pathologic
expansions of taxa, particularly Enterobacteria and Bacilli. Further evaluation
is needed to identify whether these observations are intrinsic to HD or secondary
to the recurrent use of antibiotics during early childhood.
PMID- 29652729
TI - Anorectal Manometry May Reduce the Number of Rectal Suction Biopsy Procedures
Needed to Diagnose Hirschsprung Disease.
AB - OBJECTIVES: The aim of the study was to evaluate whether anorectal manometry
(ARM), which is used to test the rectoanal inhibitory reflex (RAIR), is a safe
alternative for reducing the number of invasive rectal suction biopsy (RSB)
procedures needed to diagnose Hirschsprung disease (HD). METHODS: Between 2010
and 2017, we prospectively collected the ARM results of 105 patients suspected of
having HD. Following the outcome, the patients either underwent additional tests
to confirm HD or they were treated conservatively. Primary ARM-based diagnoses
were compared with the definitive diagnoses based on the pathology reports and/or
clinical follow-ups. Additionally, we analyzed whether modifications to our ARM
protocol improved diagnostic accuracy. RESULTS: The sensitivity of ARM and RSB
was comparable (97% vs 97%). The specificity of ARM, performed according to our
initial protocol, was significantly lower than that of RSB. After we modified the
protocol the difference between the specificity of ARM and RSB was no longer
statistically significant (74% vs 84%, respectively, P = 0.260). The negative
predictive value of ARM was 100%, while their positive predictive value was
significantly lower than that of RSB (56% vs 97%, P < 0.001). CONCLUSIONS: ARM is
a viable screening tool for HD and, provided it is performed properly, it can be
used to exclude HD with absolute certainty. By contrast, an absent rectoanal
inhibitory reflex on ARM should always be followed by an RSB to confirm the
diagnosis of HD. Using ARM as the diagnostic of first choice could reduce the
number of invasive biopsies.
PMID- 29652730
TI - Predictors for profound blood pressure response in patients undergoing renal
sympathetic denervation.
AB - BACKGROUND: Renal sympathetic denervation (RDN) as treatment for hypertension
shows highly variable results. Although some patients do not show any blood
pressure (BP) change and in most patients a BP reduction of at least 5 mmHg can
be found, some show a reduction at least 20 mmHg. We sought to identify
predictors for such a profound BP response. METHODS: Profound BP response was
defined as drop of at least 20 mmHg in daytime systolic ambulatory BP measurement
(ABPM) 3 months after catheter-based RDN. Three different denervation devices
were used for RDN, and pulse wave velocity was determined invasively in a
subgroup of patients. RESULTS: One hundred and ninety consecutive patients were
included in this analysis. Profound BP response was found in 33 patients.
Patients with profound BP response were younger (P = 0.04), presented with higher
baseline ABPM values (P < 0.001), were treated with ultrasound-based RDN and
received more often a combined treatment with two different diuretics (P = 0.005
for both). After 3 months, a treatment target of daytime BP less than 135 mmHg
could be achieved more frequently in patients with profound BP response (61 vs.
17%, P < 0.001). Age, use of ultrasound RDN, combined diuretic therapy and
baseline BP independently predicted pronounced BP reduction. A second
multivariate logistic regression model including pulse wave velocity if available
identified baseline BP, pulse wave velocity and use of ultrasound denervation as
independent predictors for profound BP response. CONCLUSION: Younger vascular
age, higher baseline BP, treatment with ultrasound RDN and combined diuretic
therapy were found as predictors for a pronounced BP reduction following RDN,
improving BP control at follow-up.
PMID- 29652732
TI - Female Athlete Issues for the Team Physician: A Consensus Statement-2017 Update.
PMID- 29652731
TI - Obesity and cardiovascular risk: a call for action from the European Society of
Hypertension Working Group of Obesity, Diabetes and the High-risk Patient and
European Association for the Study of Obesity: part B: obesity-induced
cardiovascular disease, early prevention strategies and future research
directions.
AB - : Obesity predisposes for atrial fibrillation, heart failure, sudden cardiac
death, renal disease and ischemic stroke, which are the main causes of
cardiovascular hospitalization and mortality. As obesity and the cardiovascular
effects on the vessels and the heart start early in life, even from childhood, it
is important for health policies to prevent obesity very early before the disease
manifestation emerge. Key roles in the prevention are strategies to increase
physical exercise, reduce body weight and to prevent or treat hypertension,
lipids disorders and diabetes earlier and efficiently to prevent cardiovascular
complications.
PMID- 29652733
TI - Circumstances and Signs of Approaching Death in Patients With Amyotrophic Lateral
Sclerosis Undergoing Noninvasive Ventilation in Home Care Settings.
AB - The purpose of this study was to elucidate the circumstances and symptoms of
patients with amyotrophic lateral sclerosis (ALS) using noninvasive positive
pressure ventilation (NPPV) in whom death was approaching, to understand how to
provide palliative care to dying patients with ALS receiving NPPV management. The
participants were 6 home visiting nurses who were head or subhead nurses of a
home visiting nursing agency. Data were collected conducting individual
semistructured interviews and then group interviews. The results were based on
qualitative analysis of the circumstances of death and prognostication and showed
5 categories: difficulties with knowing about approaching death, several signs
and symptoms of knowing about approaching death, importance of feeling prepared
and provision of palliative care to die at home, death caused by accident, and
fate determined by the caregiver's ability. Further research is needed to collect
rich data about predicting approaching death to improve end-of-life care for NPPV
dependent ALS patients who do not receive sufficient benefits from NPPV.
PMID- 29652734
TI - Being Yourself and Thinking About the Future in People With Motor Neuron Disease:
A Grounded Theory of Self-care Processes.
AB - INTRODUCTION: Self-care is a crucial aspect in the management of people with
motor neuron disease (MND). Nurses and healthcare professionals must know the
processes used by patients in performing self-care to identify problems and help
them. Decision-making processes, self-understanding, and political and social
support influence the self-care process in chronic diseases. Little is known
about the self-care process in MND. OBJECTIVE: The aim of this study was to gain
insight on the self-care processes in people with MND. METHOD: A grounded theory
method was chosen for this study. Data from interviews were gathered, and a
simultaneous comparative analysis was conducted to identify categories and codes.
RESULTS: Twenty-one people with spinal muscular atrophy and amyotrophic lateral
sclerosis participated in the study. Five categories were identified as grounded
in the data. The process starts from "being yourself in the care," and it
develops thanks to "growing and changing" and with a "thinking about the future"
approach. "Family role" and "you and who helps you" categories affect the process
itself. CONCLUSION: The self-care process in people with MND is not seen in a
daily perspective but changes with the evolution of the disease. For the growing
patients with MND, changing, accepting and controlling the disease while deciding
autonomously are the foundations of the process.
PMID- 29652735
TI - Nursing Sensitive Outcomes After Severe Traumatic Brain Injury: A Nationwide
Study.
AB - BACKGROUND: Complications such as infections and conditions after immobilization
are frequent after severe traumatic brain injury (TBI) due to compromised bodily
functions. Traditionally, bodily functions are core elements in nursing, and
therefore nurses in the rehabilitation departments are pivotal in the prevention
of complications. Hence, the frequency of complications is an indicator of
nursing care quality, which can be included in nursing-sensitive outcome
indicators. To do so, it is necessary to know the frequency of the selected
complications. OBJECTIVE: The aim of this study was to quantify complications
during the first year after severe TBI. PARTICIPANTS: This study included
patients 15 years or older who received subacute neurorehabilitation after severe
TBI from 2011 to 2015 (N = 469). DESIGN: This is a register-based follow-up study
using data from the Danish Head Trauma Database, a national clinical quality
database aimed at monitoring and improving the quality of rehabilitation for
patients with severe TBI. MAIN MEASURES: The following complications were
assessed: urinary tract infection, pneumonia, pressure ulcers, joint
contractures, and deep venous thrombosis. The prevalence of each complication was
calculated at admission, discharge, and 1 year postinjury and for the period from
admission and during subacute rehabilitation. In addition, the frequency of
complications that certainly developed during subacute rehabilitation was
calculated. Complications were compared between age-specific subgroups using
multivariable binominal regression analyses. RESULTS: Urinary tract infections
(53%) and pneumonia (32%) were the most common complications in the period from
admission and during subacute rehabilitation. Pressure ulcers (18%), joint
contractures (18%), and deep venous thrombosis (4%) were less frequent. At
discharge and 1 year postinjury, the prevalence was less than 4% for all
complications, except for joint contractures (16% and 13% at discharge and 1 year
postinjury, respectively). CONCLUSION: The prevalence of complications was high.
The findings are important for future quality improvement of the rehabilitation
trajectory and for further studies that explore the high frequency of
complications.
PMID- 29652736
TI - Recommendations and Extraction of Clinical Variables of Pediatric Multiple
Sclerosis Using Common Data Elements.
AB - PURPOSE: The purpose of this article was to demonstrate the feasibility of using
common data elements (CDEs) to search for information on the pediatric patient
with multiple sclerosis (MS) and provide recommendations for future quality
improvement and research in the use of CDEs for pediatric MS symptom management
strategies Methods: The St. Louis Children's Hospital (SLCH), Washington
University (WU) pediatrics data network was evaluated for use of CDEs identified
from a database to identify variables in pediatric MS, including the key clinical
features from the disease course of MS. The algorithms used were based on
International Classification of Diseases, Ninth/Tenth Revision, codes and text
keywords to identify pediatric patients with MS from a de-identified database.
Data from a coordinating center of SLCH/WU pediatrics data network, which houses
inpatient and outpatient records consisting of patients (N = 498 000), were
identified, and detailed information regarding the clinical course of MS were
located from the text of the medical records, including medications, presence of
oligoclonal bands, year of diagnosis, and diagnosis code. RESULTS: There were 466
pediatric patients with MS, with a few also having the comorbid diagnosis of
anxiety and depression. CONCLUSIONS: St. Louis Children's Hospital/WU pediatrics
data network is one of the largest databases in the United States of detailed
data, with the ability to query and validate clinical data for research on MS.
Nurses and other healthcare professionals working with pediatric MS patients will
benefit from having common disease identifiers for quality improvement, research,
and practice. The increased knowledge of big data from SLCH/WU pediatrics data
network has the potential to provide information for intervention and decision
making that can be personalized to the pediatric MS patient.
PMID- 29652737
TI - Menopausal urogenital changes: welcome expansion of management options over the
past 25 years.
PMID- 29652739
TI - Commentary: Roger Baxter.
PMID- 29652738
TI - 36th ESPID Annual Meeting in Malmo, Sweden May 28th - June 2nd 2018.
PMID- 29652740
TI - Pneumococcal Immune Response in Infants Whose Mothers Received Tetanus,
Diphtheria and Acellular Pertussis Vaccination During Pregnancy: ERRATUM.
PMID- 29652741
TI - Vaccination in Pregnancy-Recent Developments: ERRATUM.
PMID- 29652742
TI - Use of Linezolid in a Child With Tuberculous Meningitis.
PMID- 29652743
TI - Expanding the Spectrum of Post-malaria Neurologic Syndrome in the Pediatric
Population.
PMID- 29652744
TI - Clinical pearls part 3: anaesthetic management of abnormally invasive
placentation.
AB - PURPOSE OF REVIEW: Abnormal placentation is a clinical condition seen
increasingly in the pregnant population. It is associated with significant
morbidity and mortality, which may be mitigated through robust multidisciplinary
care for these patients. The role of maternal critical care for these patients
has largely been ignored in the literature. RECENT FINDINGS: Advances in
pharmacological management of bleeding with recent publications of large
multicentre trials in addition to new technologies in the management of massive
obstetric haemorrhage (MOH) have revolutionized the management of abnormal
placentation. These include the use of tranexamic acid, interventional radiology,
cell saver technology, and point-of-care coagulation tests. The role of maternal
critical care for the optimization of postoperative complications and
physiological derangements has not been considered widely in the literature. This
article summarizes the current evidence for interventions and suggests a protocol
for the management of these high-risk patients. SUMMARY: A robust protocol
outlining the key elements of the management of placenta accreta, including
optimizing postoperative care, should be in place to promote desired outcomes.
PMID- 29652745
TI - Severe perineal lacerations after vaginal delivery: are they an
anesthesiologist's problem?
AB - PURPOSE OF REVIEW: Perineal tears or lacerations are common occurrences after
vaginal delivery. Understanding the degree of severity of these tears and the
immediate and long-term complications of severe perineal lacerations can assist
anesthesiologists with the management of these patients in the immediate
postpartum period. RECENT FINDINGS: Severe perineal lacerations have a high
degree of association with postpartum depression. The presence of neuraxial labor
analgesia decreases the odds of severe perineal lacerations. SUMMARY: Neuraxial
labor analgesia does not directly predispose parturients to the development of
perineal lacerations, and may even be protective against these injuries.
PMID- 29652746
TI - Fluorine-18-fluorodeoxyglucose PET/CT in hematopoietic stem cell transplant
patients with fusariosis: initial findings of a case series review.
AB - BACKGROUND: Fusariosis is an opportunistic fungal infection that affects mostly
leukemic and hematopoietic stem cell transplant patients. Locally invasive and
disseminated infection may occur. Treatment is challenging, and besides
evaluation of immune status, one also needs to take into account organ
involvement to predict the duration and prognosis. OBJECTIVE: The aim of this
study was to present the findings and clinical follow-up from a series of cases
of Fusarium spp. infections in patients subjected to hematopoietic stem cell
transplant evaluated with one or more fluorine-18-fluorodeoxyglucose (18F-FDG)
PET/CT scans, according to the source of clinical culture sample (blood or wound
secretion). RESULTS: Ten patients were included. In this series, 18F-FDG PET/CT
was able to detect osteomyelitis in three patients. CONCLUSION: Although having a
small number of patients and lack of standard approach, 18F-FDG PET/CT seemed
useful to discriminate uncomplicated cases of primary bloodstream infections and
detect occult foci of metastatic infection in patients with positive cutaneous
lesions cultures.
PMID- 29652747
TI - The incremental clinical value of cardiac hybrid SPECT/CTA imaging in coronary
artery disease.
AB - Coronary artery disease (CAD) is a major cause of death worldwide. It is
significantly important to assess the coronary lesion and its pathophysiological
relevance comprehensively. Coronary computed tomography angiography (CTA) or
myocardial perfusion imaging alone suffers from some limitations in the
evaluation of CAD. Through the integration and spatial colocalization of
complementary morphological and functional information, the results of published
hybrid single-photon emission computed tomography (SPECT)/CTA studies in patients
with CAD are promising for detecting functionally relevant coronary artery lesion
and evaluating the relationship between diseased coronary artery, coronary artery
anomaly, myocardial bridging, or coronary calcification and myocardial ischemia.
Compared with other diagnostic procedures, such as CTA, myocardial perfusion
imaging alone, and side-by-side SPECT-CTA analysis, SPECT/CTA imaging has
incremental value in the evaluation of CAD. Hybrid SPECT/CTA imaging can provide
the physicians with more clinical evidence that helps with the treatment strategy
decision-making process, thus acting as a gatekeeper to reduce unnecessary
invasive examinations and revascularization procedures. In addition, follow-up
SPECT/CTA fusion imaging plays a role in predicting prognosis by displaying
clearly the relationship between postoperative vessel and myocardial blood
supply. However, several limitations should be considered, including the
increased radiation exposure, the limited number of patients, and the lack of a
uniform gold standard. More data are needed to better specify the role of hybrid
SPECT/CTA imaging in the management of CAD.
PMID- 29652748
TI - The scintigraphic, biodistribution and histopathological evaluation of the effect
of experimental 131I administration on the gastrointestinal system and the
demonstration of the Na+/I- symporter by immunohistochemistry in rats.
AB - OBJECTIVE: The aim of this study was to investigate histopathological changes and
biodistribution of iodine-131 (I) in the gastrointestinal system (GIS) and also
Na/I Symporter (NIS) presence by immunohistochemically in the experimental
treatment of rats with radioactive iodine (RAI). MATERIALS AND METHODS: Rats were
divided into experimental and control groups as random early group 2 (24 h),
intermediate group 3 (3 weeks), and late period group 4 (3 months). Experimental
groups were administered 100 MBq (~3 mCi, 12 mCi/kg) by orogastric route with
orogastric tube. Scintigraphic iodine screening images were obtained 24 h, 3
weeks, and 3 months after RAI, and GIS tissues were removed, and
immunohistochemical methods were used to demonstrate NIS with RAI biodistribution
and histopathology. RESULTS: According to the results of scintigraphy, the most
prominent activity involvement was observed in the thyroid gland at group 2, and
significant activity was observed in the stomach. In the group 3 and group 4
images, owing to the physiological and biological half-life of the iodine and low
resolution of the gamma camera, no secondary focal activation was observed. The
highest RAI biodistribution value in all groups was in the stomach, ileum and
oesophagus. In the immunohistochemical examination of NIS, the highest staining
sequence was observed in all groups respectively in the stomach, oesophagus,
tongue, colon, saliva, duodenum, rectum, ileum and jejunum. The increase of NIS
immunohistochemically stained more intensely was observed in the RAI-administered
groups. CONCLUSION: The amount of NIS is important for the absorption of RAI
after administration.
PMID- 29652749
TI - Sera From Children After Cardiopulmonary Bypass Reduces Permeability of Capillary
Endothelial Cell Barriers.
AB - OBJECTIVES: Children undergoing cardiopulmonary bypass develop clinically
impactful capillary leak of unclear etiology. A widely held hypothesis that
exposure of circulating cells to the cardiopulmonary bypass circuit induces the
release of inflammatory mediators that act to disrupt intercellular junctions of
capillary endothelial cells inducing paracellular capillary leak either directly
or through new gene expression. DESIGN: Cohort study. SETTING: Tertiary pediatric
hospital. PATIENTS: Twenty children undergoing surgery with cardiopulmonary
bypass for congenital heart disease. Serum was collected before cardiopulmonary
bypass, 2 hours after cardiopulmonary bypass, and 18 hours after cardiopulmonary
bypass. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: We analyzed the
effects of 10% patient sera on the "function, structure, and gene expression" of
cultured human dermal and pulmonary microvascular endothelial cells. Changes in
barrier "function" were measured using transendothelial electrical resistance.
Associations between changes in transendothelial electrical resistance and
subject characteristics were analyzed using linear mixed effects model with area
under the resistance curve as outcome. Changes in junctional "structure" were
assessed by analyzing the organization of the endothelial cell junctional
proteins claudin-5 and VE-cadherin using immunofluorescence microscopy. Changes
in inflammatory "gene expression" were measured using real-time quantitative
reverse transcription-polymerase chain reaction. All serum samples induced a
transient, 120-minute increase in transendothelial electrical resistance followed
by persistent loss of barrier function. Unexpectedly, sera collected
postcardiopulmonary bypass-induced significantly less loss of barrier function in
both dermal and pulmonary capillary endothelial cell compared with
precardiopulmonary bypass sera. Consistent with the transendothelial electrical
resistance results, claudin-5 and vascular endothelial-cadherin junctional
staining showed less disruption in cultures treated with postcardiopulmonary
bypass sera. Expression of genes commonly associated with inflammation was
largely unaffected by patient sera. CONCLUSIONS: Contrary to the hypothesis, sera
taken from children after cardiopulmonary bypass induces less capillary barrier
disruption relative to sera taken from children before cardiopulmonary bypass,
and none of the sera induced significant changes in expression of inflammatory
genes.
PMID- 29652750
TI - A Needs Assessment of Brain Death Education in Pediatric Critical Care Medicine
Fellowships.
AB - OBJECTIVES: To assess the current training in brain death examination provided
during pediatric critical care medicine fellowship. DESIGN: Internet-based
survey. SETTING: United States pediatric critical care medicine fellowship
programs. SUBJECTS: Sixty-four pediatric critical care medicine fellowship
program directors and 230 current pediatric critical care medicine fellows/recent
graduates were invited to participate. INTERVENTIONS: Participants were asked
demographic questions related to their fellowship programs, training currently
provided at their fellowship programs, previous experience with brain death
examinations (fellows/graduates), and perceptions regarding the adequacy of
current training. MEASUREMENTS AND MAIN RESULTS: Twenty-nine program directors
(45%) and 91 current fellows/graduates (40%) responded. Third-year fellows
reported having performed a median of five examinations (interquartile range, 3
6). On a five-point Likert scale, 93% of program directors responded they "agree"
or "strongly agree" that their fellows receive enough instruction on performing
brain death examinations compared with 67% of fellows and graduates (p = 0.007).
The responses were similar when asked about opportunity to practice brain death
examinations (90% vs 54%; p < 0.001). In a regression tree analysis, number of
brain death examinations performed was the strongest predictor of trainee
satisfaction. Both fellows and program directors preferred bedside demonstration
or simulation as educational modalities to add to the fellowship curriculum.
CONCLUSIONS: Pediatric critical care medicine fellows overall perform relatively
few brain death examinations during their training. Pediatric critical care
medicine fellows and program directors disagree in their perceptions of the
current training in brain death examination, with fellows perceiving a need for
increased training. Both program directors and fellows prefer additional training
using bedside demonstration or simulation. Since clinical exposure to brain death
examinations is variable, adding simulated brain death examinations to the
pediatric critical care medicine fellowship curriculum could help standardize the
experience.
PMID- 29652751
TI - Igniting Innovation in Healthcare: A Novel Collaborative Multidisciplinary
Approach in a PICU.
AB - Generating innovation in the healthcare setting faces a number of challenges when
compared with industry counterparts. More than ever, innovation occupies a
critical element for healthcare organizations aspiring toward clinical, research
and strategic excellence, although it may not exist as a priority due to a number
of barriers. There are several strategies in other industries from which
healthcare organizations can borrow, such as using nontraditional methods of
problem solving, group ideation, and cross-pollination. As a high-intensity
environment facing diverse challenges and conditions, the PICU is primed for
building and generating innovation, which can be facilitated by implementing many
of these strategies. This article describes an innovation program created to
exercise a multidisciplinary approach for generating novel solutions in our PICU.
Using nontraditional methods and ideation, this program has generated innovative
solutions in an otherwise traditional setting, revealing new opportunities for
growth, value generation, and collaboration between healthcare and the community.
PMID- 29652752
TI - Practice Change From Intermittent Medication Boluses to Bolusing From a
Continuous Infusion in Pediatric Critical Care: A Quality Improvement Project.
AB - OBJECTIVES: To determine whether implementing a guideline to bolus medications
from continuous infusions in PICUs affects nursing satisfaction, patient safety,
central line entries, medication utilization, or cost. DESIGN: This is a pre- and
postimplementation quality improvement study. SETTING: An 11-bed ICU and 14-bed
cardiac ICU in a university-affiliated children's hospital. PATIENTS: Patients
less than 18 years old admitted to the PICU or pediatric cardiac ICU receiving a
continuous infusion of dexmedetomidine, midazolam, fentanyl, morphine,
vecuronium, or cisatracurium from May 2015 to May 2016, excluding November 2015
(washout period), were eligible for inclusion. INTERVENTIONS: Change in practice
from administering bolus doses from an automated dispensing machine to
administering bolus medications from continuous infusion in PICUs. MEASUREMENTS
AND MAIN RESULTS: Timing studies were conducted pre- and post implementation in
29 and 26 occurrences, respectively. The median time from the decision to give a
bolus until it began infusing decreased by 169 seconds (p < 0.01). Nursing
satisfaction increased from 19.3% pre- to 100% post implementation. Safety was
assessed via barcode scanning compliance, which decreased by 1.4% for patients
and 1% for medications, and smart pump limit overrides. The percentage of
infusion pump bolus overrides increased as expected, with the majority (99%) of
these exceeding soft maximum limits by less than two-fold. Central line entries
were unaffected post implementation. To assess medication utilization, a total of
50 patients in each intervention group were selected for retrospective chart
review. Daily fentanyl boluses increased from one to three (p = 0.021). However,
midazolam infusion dose and fentanyl infusion duration decreased (p = 0.026 and p
= 0.005, respectively). Medication utilization was otherwise unchanged post
implementation (p > 0.05). Annualized cost avoidance was $124,160. CONCLUSIONS:
Implementation of bolus medications from continuous infusion in PICUs
significantly decreased time to begin a bolus dose and increased nursing
satisfaction. The practice change also improved medication utilization without
negatively impacting patient safety.
PMID- 29652753
TI - Hybrid Extracorporeal Therapies as a Bridge to Pediatric Liver Transplantation.
AB - OBJECTIVES: Standard intensive care treatment is inadequate to keep children with
liver failure alive without catastrophic complications to ensure successful
transplant, as accumulation of endogenous protein-bound toxins often lead to
hepatic encephalopathy, hepatorenal syndrome, cardiovascular instability, and
multiple organ failure. Given paucity of proven treatment modalities for liver
failure, blood purification using different extracorporeal treatments as a bridge
to transplantation is used, but studies evaluating the safety and efficacy of
combination of these therapies, especially in pediatric liver failure, are
lacking. We describe our experience at a major tertiary children's hospital,
where a unique hybrid extracorporeal treatment protocol has been instituted and
followed for acute liver failure or acute-on-chronic liver failure as a bridge to
transplantation. This protocol combines high-flux continuous renal replacement
therapy for hyperammonemia, therapeutic plasma exchange for coagulopathy, and
albumin-assisted dialysis (molecular adsorbent recirculating system) for hepatic
encephalopathy. DESIGN: Retrospective observational study. SETTING: Freestanding
tertiary children's hospital and liver transplant referral center. PATIENTS: All
patients with acute liver failure/acute-on-chronic liver failure receiving hybrid
extracorporeal therapy over 24 months. INTERVENTION: Hybdrid extracorporeal
therapy. MEASUREMENTS AND MAIN RESULTS: Fifteen children (age 3 yr [0.7-9 yr];
73% male) with acute liver failure/acute-on-chronic liver failure who were either
listed or actively considered for listing and met our protocol criteria were
treated with hybrid extracorporeal therapy; 93% were ventilated, and 80% were on
vasoactive support. Of these, two patients recovered spontaneously, four died
prior to transplant, and nine were successfully transplanted; 90-day survival
post orthotopic liver transplant was 100%. Overall survival to hospital discharge
was 73%. CONCLUSIONS: Hybrid extracorporeal therapies can be effectively
implemented in pediatric liver failure as a bridge to transplantation. Overall
complexity and heavy resource utilization need to be carefully considered in
instituting these therapies in suitable candidates.
PMID- 29652754
TI - Pattern of Antibiotic Prescription for Oral Implant Treatment Among Dentists in
Saudi Arabia.
AB - OBJECTIVE: To investigate antibiotic prophylaxis prescription behavior among
dentists practicing dental implant surgery in Saudi Arabia. MATERIALS AND
METHODS: An observational questionnaire study was conducted in the period between
October 2016 and December 2016. A link to an online previously validated
questionnaire was sent to a convenience sample of dentists practicing dental
implant placement in the different areas of Saudi Arabia. Absolute frequencies
were used to describe the data. RESULTS: One hundred nine completed
questionnaires were received. A total of 59.63% (n = 65) of the respondents
routinely prescribed prophylactic antibiotics when performing implant surgery.
There was a wide variation in the preoperative and postoperative prescription
regimens with the majority (67%) starting the antibiotic immediately
postoperatively for 3 to 5 days, with no preoperative antibiotic use. Amoxicillin
and clavulanic acid combination was the drug of choice for 50.3% of the
respondents, whereas 26.6% prescribed amoxicillin as the drug of choice.
CONCLUSION: Although a small study with a low response rate, a wide variation in
antibiotic prescribing patterns with respect to the drugs chosen, timing, and
duration was found in implant surgery in Saudi Arabia. Dentists should be aware
of the risk of antibiotic overuse and start to share in the efforts that aim to
reserve the antibiotics to combat life-threatening infections and to reduce
development of bacterial resistance to the available antibiotics.
PMID- 29652755
TI - Comprehensive Treatment and Rehabilitation of Patients With Osteosarcoma of the
Mandible.
AB - OBJECTIVE: The article studies state-of-the art physical therapeutic techniques
as a high degree of relevance to minimize invalidation and improve quality of
life for patients with dental osteosarcoma. MATERIALS AND METHODS: A randomized
controlled clinical trial was conducted in 21 patients with osteogenic sarcoma of
mandible (C41.1). There were 10 patients in the experimental group and 11
patients in the control group. RESULTS: A comprehensive treatment and
rehabilitation program for patients with osteosarcoma of mandible was developed.
The first part of the program comprised 3 basic phases: preop chemotherapy,
surgery, and postop rehabilitation. The surgical treatment further included
resection of an affected part of the mandible and primary repair of the defect
with jaw fragments and an autoimplant joined together with the help of
positioning devices. The postop rehabilitation included postop chemotherapy and
mesodiencephalic modulation (MDM). The second part of the program comprised preop
examination, modeling, using stereolytic 3-dimensional models of the mandible,
corrective surgeries, including implantation into the autoimplant-a fragment of
patient's fibula, and building of a removable titanium alloy-based denture. MDM
sessions were administered after each invasive intervention. CONCLUSIONS: Higher
psychological and physical well-being was observed in the experimental group as
compared with the control group (P < 0.01) in 2 weeks after the first surgery and
2 months after scheduled corrective surgeries, which finished in denture
installation.
PMID- 29652756
TI - Radiological Evaluation of the Dimensions of Lower Molar Alveoli.
AB - PURPOSE: The aim of the present radiological study was to analyze the alveolar
bone morphology of the lower molars in physiological conditions. The main goal is
to describe the bone anatomy of a hypothetically postextractive site in lower
molar area. MATERIALS AND METHODS: Computed tomography scans of 100 patients were
examined. Axial, paraxial, and Panorex sections were analyzed using a dedicated
software. Precise reference points were encoded to make the bone measurements
clear and repeatable. RESULTS: The total number of dental sites examined was 235.
The mean available bone height was 13.32 +/- 3.23 mm in first molars and 11.76 +/
2.82 mm in second molars. The inter-radicular septum was present in 86% out of
cases in first molar sites and in 52% in second molar sites. The lingual cortex
mean width, at the most coronal point, measured 1.41 +/- 0.52 mm in first molar
alveoli and 1.67 +/- 0.66 mm in second molar alveoli. CONCLUSIONS: Proper
clinical conditions, for scheduling a postextraction immediate implant placement
surgery, involve the presence of 4 bone walls showing and sufficient height and
width. The accurate knowledge of alveolus bone morphology of mandibular molars,
prior extraction, could be an important guide to avoid potential failures due to
nonideal anatomical features to fixture stabilization.
PMID- 29652757
TI - Eliminating Survivor Bias in Two-stage Instrumental Variable Estimators.
AB - Mendelian randomization studies commonly focus on elderly populations. This makes
the instrumental variables analysis of such studies sensitive to survivor bias, a
type of selection bias. A particular concern is that the instrumental variable
conditions, even when valid for the source population, may be violated for the
selective population of individuals who survive the onset of the study. This is
potentially very damaging because Mendelian randomization studies are known to be
sensitive to bias due to even minor violations of the instrumental variable
conditions. Interestingly, the instrumental variable conditions continue to hold
within certain risk sets of individuals who are still alive at a given age when
the instrument and unmeasured confounders exert additive effects on the exposure,
and moreover, the exposure and unmeasured confounders exert additive effects on
the hazard of death. In this article, we will exploit this property to derive a
two-stage instrumental variable estimator for the effect of exposure on
mortality, which is insulated against the above described selection bias under
these additivity assumptions.
PMID- 29652758
TI - Is Inspiratory Muscle Weakness a Determinant of Endurance Exercise Tolerance
During NIV-Supported Exercise in Patients With COPD?
AB - PURPOSE: Previous research has reported that inspiratory muscle weakness is
critical to decreased exercise tolerance and exercise tolerance improves with 10
cmH2O pressure support ventilation in patients with chronic obstructive pulmonary
disease (COPD). The purpose of this study was to evaluate the effects of
proportional assist ventilation (PAV) and continuous positive airway pressure
(CPAP) compared with sham on exercise tolerance in patients with and without
inspiratory muscle weakness. METHODS: In a prospective design, 12 of 54 patients
were eligible after clinical and comprehensive lung function evaluation,
including maximum inspiratory pressure (MIP) measurement. Using baseline
measures, participants were divided into 2 age-matched groups that differed in
regard to MIP (Group 1, MIP <60 cmH2O, and Group 2, MIP >=60 cmH2O). Each
participant performed 3 constant work rate tests with noninvasive support (PAV,
CPAP, sham) in random order on different days at 80% of maximal incremental
power. RESULTS: During the endurance tests, heart rate, peripheral oxygen
saturation (SpO2), dyspnea perception scale, and exercise tolerance were
measured. There were no significant differences between groups for heart rate,
SpO2, dyspnea perception, or exercise tolerance during PAV, CPAP, or sham
supported exercise (P > .05 for all). CONCLUSIONS: In this small representative
group of patients with COPD, inspiratory muscle weakness was not a determining
factor of performance during CPAP or PAV-supported aerobic exercise.
PMID- 29652759
TI - Performance and Reproducibility of the Continuous Scale Physical Functional
Performance 10 Test in Patients With Chronic Obstructive Pulmonary Disease: A
CONTROLLED CROSS-SECTIONAL STUDY.
AB - PURPOSE: To compare the performances of patients with chronic obstructive
pulmonary disease (COPD) and healthy individuals on the Continuous Scale Physical
Functional Performance 10 (PFP10) test and, second, to test the reproducibility
of the PFP10 test in these participants. METHODS: In a prospective, cross
sectional study, 28 patients with COPD (67 +/- 9 y, FEV1: 44.3 +/- 16.9% pred)
and 12 healthy volunteers (healthy group) matched by age, body mass index, and
sex were included. The participants performed 2 PFP10 tests 5 d apart. Main
outcome measure was performance on the PFP10 test and included total score, score
for specific domains, and total time to perform the PFP10 test. RESULTS: The
functional performance of patients with COPD was worse than that of the healthy
group on PFP10 total scores (43.9 +/- 12.6 vs 63.5 +/- 9.4, respectively; P <
.001; effect size: 1.78) and time to complete the tasks (207.9 +/- 36.1 sec vs
153.2 +/- 12.1 sec, respectively; P < .001, effect size: 2.27). In addition, the
COPD group had significantly worse performance in the domains of upper-body
strength, lower-body strength, balance and coordination, and endurance. The test
was highly reproducible (ICC: 0.98; 95% CI, 0.96-0.99 for both groups), and the
mean difference (upper and lower limits of agreement) of the total scores was -3
(-9 to 3). CONCLUSIONS: The PFP10 test is a suitable and reproducible test for
determining decreases in the functional performance of patients with COPD
compared with healthy individuals.
PMID- 29652760
TI - Influence of Disease Severity and Exercise Limitation on Exercise Training
Intensity and Load and Health Benefits From Pulmonary Rehabilitation in Patients
With COPD: AN EXPLORATORY STUDY.
AB - PURPOSE: Some patients with chronic obstructive pulmonary disease (COPD) fail to
achieve health benefits with pulmonary rehabilitation (PR). Exercise intensity
and load represent stimulus for adaptation but it is unclear whether
inappropriate exercise intensity and/or load are affected by severity of COPD,
which may affect health benefits. The purpose was to determine whether COPD
severity and/or the severity of pulmonary limitation to exercise (PLE) impacted
exercising intensity or load and whether resultant intensity/load affected health
outcomes derived from PR. METHODS: Patients with COPD (n = 58, age = 67 +/- 7 y,
forced expiratory volume in the first second of expiration [FEV1] % predicted =
52 +/- 21%) were recruited upon referral to PR. Primary health outcomes evaluated
were 6-min walk distance and St George's Respiratory Questionnaire. Patients were
stratified for disease severity using Global Initiative for Obstructive Lung
Disease (GOLD) staging and PLE severity by change in inspiratory capacity during
exercise. Exercise intensity and load were calculated from daily exercise
records. RESULTS: Participants achieved comparable training duration and load
regardless of GOLD severity. Patients with more severe PLE achieved greater
training duration (more severe: 546 +/- 143 min., less severe: 451 +/- 109 min.,
P = .036), and relative training load (more severe: 2200.8 +/- 595.3 kcal, less
severe: 1648.3 +/- 597.8 kcal, P = .007). Greater overall training load was
associated with greater improvements in 6-min walk distance (r = 0.24, P = .035).
No significant relationships were observed between PLE, GOLD severity, training
parameters, and St George's Respiratory Questionnaire response. CONCLUSIONS:
Improvements in exercise tolerance can be explained by achieving greater training
loads, demonstrating the importance of appropriate training load to maximize
health outcomes in PR.
PMID- 29652761
TI - Effect of Inspiratory Muscle Training in the Management of Patients With Asthma:
A RANDOMIZED CONTROLLED TRIAL.
AB - PURPOSE: The aim of this study was to investigate the effects of inspiratory
muscle training (IMT) on respiratory muscle strength, exercise capacity, dyspnea,
fatigue, quality of life, and daily living activities of asthmatic patients.
METHODS: Thirty-eight asthmatic patients, between 18 and 65 years of age, were
enrolled in the study and randomly divided into 2 groups; IMT (n = 20) or control
(n = 18). Participants in the IMT group performed 30 breaths using a patient
specific threshold pressure device, twice daily for 6 wk at 50% maximal
inspiratory pressure (MIP), in addition to "breathing training" during this
period. Participants in the control group performed only the "breathing training"
(sham or no threshold pressure device). Outcome measurements, performed before
and after the intervention, included pulmonary function test, respiratory muscle
strength, 6-min walk test, modified Medical Research Council dyspnea scale, St
George's Respiratory Questionnaire, Fatigue Severity Scale, and London Chest
Activity of Daily Living scale. RESULTS: Among the outcomes in the study, changes
to key variables including MIP (P < .01); MIP, percent predicted (P < .01);
maximal expiratory pressure (MEP), percent predicted (P < .01); 6-min walk test
walking distance (P = .001); modified Medical Research Council scale (P =<.001);
Fatigue Severity Scale (P = .03); St George's Respiratory Questionnaire symptoms
(P = .03); London Chest Activity of Daily Living domestic (P = .03); and London
Chest Activity of Daily Living leisure (P = .01) were significantly different in
favor of IMT versus control. CONCLUSION: These findings suggest that IMT may be
an effective modality to enhance respiratory muscle strength, exercise capacity,
quality of life, daily living activities, reduced perception of dyspnea, and
fatigue in asthmatic patients.
PMID- 29652763
TI - Forearm-Based Turnover Muscle Flaps for Elbow Soft-Tissue Reconstruction: A
Comparison of Regional Coverage Based on Distal Flap Perfusion.
AB - BACKGROUND: Elbow wounds pose a reconstructive challenge. Prior studies have
described the vascular anatomy of both the brachioradialis and flexor carpi
ulnaris muscle flaps. The goal of this study was to describe the distal flap
perfusion of the flexor carpi radialis, with a direct comparison of the
brachioradialis, flexor carpi ulnaris, and flexor carpi radialis muscle flaps for
coverage around the elbow. METHODS: Six fresh-frozen upper extremity specimens
were dissected for brachioradialis, flexor carpi radialis, and flexor carpi
ulnaris flaps. Vascular data from prior studies were combined with our anatomical
measurements to determine the area of perfused coverage around the elbow for the
brachioradialis and flexor carpi ulnaris. The flexor carpi radialis flap distal
vascular perfusion was examined separately with transverse sections at 1-cm
intervals after India ink injections to determine distal flap perfusion and elbow
coverage. Perfusion data were plotted on x and y axes over the posterior elbow.
RESULTS: The brachioradialis muscle covered an average of 56 percent of the x
axis and 7.4 percent of the y axis. The flexor carpi ulnaris muscle covered an
average of 90 percent of the elbow along the x axis and 23.3 percent of elbow
along the y axis. The flexor carpi radialis covered an average of 34 percent of
the x axis and 4.8 percent of the y axis. CONCLUSION: The flexor carpi ulnaris
muscle provides the most versatile and robust coverage over the posterior elbow,
followed by the brachioradialis muscle, which consistently provides coverage over
the lateral epicondyle.
PMID- 29652762
TI - Distribution and Performance of Cardiovascular Risk Scores in a Mixed Population
of HIV-Infected and Community-Based HIV-Uninfected Individuals in Uganda.
AB - BACKGROUND: The utility and validity of cardiovascular diseases (CVD) risk scores
are not well studied in sub-Saharan Africa. We compared and correlated CVD risk
scores with carotid intima media thickness (c-IMT) among HIV-infected and
uninfected people in Uganda. METHODS: We first calculated CVD risk using the (1)
Framingham laboratory-based score; (2) Framingham nonlaboratory score (FRS-BMI);
(3) Reynolds risk score; (4) American College of Cardiology and American Heart
Association score; and (5) the Data collection on Adverse Effects of Anti-HIV
Drugs score. We then compared absolute risk scores and risk categories across
each score using Pearson correlation and kappa statistics, respectively. Finally,
we fit linear regression models to estimate the strength of association between
each risk score and c-IMT. RESULTS: Of 205 participants, half were females and
median age was 49 years [interquartile range (IQR) 46-53]. Median CD4 count was
430 cells/mm (IQR 334-546), with median 7 years of antiretroviral therapy
exposure (IQR 6.4-7.5). HIV-uninfected participants had a higher median systolic
blood pressure (121 vs. 110 mm Hg), prevalent current smokers (18% vs. 4%, P =
0.001), higher median CVD risk scores (P < 0.003), and greater c-IMT (0.68 vs.
0.63, P = 0.003). Overall, FRS-BMI was highly correlated with other risk scores
(all rho >0.80). In linear regression models, we found significant correlations
between increasing CVD risk and higher c-IMT (P < 0.01 in all models).
CONCLUSIONS: In this cross-sectional study from Uganda, the FRS-BMI correlated
well with standard risk scores and c-IMT. HIV-uninfected individuals had higher
risk scores than HIV-infected individuals, and the difference seemed to be driven
by modifiable factors.
PMID- 29652764
TI - Our Surgical Repair for Macrostomia with Two Novel Small Triangular Flaps: Long
Term Follow-Up and Results.
AB - : The surgical methods for repairing congenital macrostomia should be carefully
selected from both functional and aesthetic points of view. The surgical
correction of macrostomia results in normal orbicularis oris function and
symmetry of the repaired lip. In this article, the authors report their novel
technique and the results obtained, assessed retrospectively. Twelve primary
cases of macrostomia underwent surgical repair between 1996 and 2013. The age at
surgery ranged from 3 to 78 months. The authors' operative procedure uses two
small triangular flaps with a superficial layer of orbicularis muscle to preserve
the dynamic action of muscle fibers attached to the skin of the triangular flaps,
with suturing across the orbicularis muscle bundles. The optimal length of a
medial small triangular flap is 1.5 to 2.0 mm, and the length of a lateral flap
is 5 to 8 mm. Evaluation was based on the degree of symmetry of the lips as a
ratio of the affected side to the unaffected side, and the scar characteristics.
The follow-up period in this retrospective study ranged from 3 to 21 years. The
same method was applied to all 12 cases in the reconstruction of a natural
appearance and dynamic function of the oral commissure, and the results over
several years showed satisfactory outcomes. The authors' technique is very useful
in the primary surgery for macrostomia, as the dynamic action of muscle fibers is
preserved, if the muscle is not dissected from the skin in the cleft margin that
includes the two medial small triangular flaps. CLINICAL QUESTION/LEVEL OF
EVIDENCE: Therapeutic, V.
PMID- 29652765
TI - Using the BODY-Q to Understand Impact of Weight Loss, Excess Skin, and the Need
for Body Contouring following Bariatric Surgery.
AB - BACKGROUND: A consequence of bariatric surgery is redundant skin for most
patients. The authors measured health-related quality of life and appearance
following bariatric surgery in relation to weight loss, excess skin, and need for
body contouring. METHODS: The sample included Canadian participants from the BODY
Q field-test study recruited between November of 2013 and July of 2014.
Participants were invited to complete BODY-Q scales and questions to assess
weight loss, amount of excess skin, and need for body contouring between June 7,
2016, and November 29, 2016. RESULTS: Two hundred fourteen participants responded
(75 percent response rate). Of the 210 who underwent bariatric surgery, most were
left with excess skin [n = 196 (93 percent)] and needed body contouring [n = 168
(80 percent)]. Higher percentage total weight loss correlated with more excess
skin (r = 0.24, p = 0.001), the need for more body contouring procedures (r =
0.29, p < 0.001), and (worse) scores on seven of 13 BODY-Q scales. Having
redundant skin correlated with more physical symptoms (r = 0.31, p < 0.001), the
need for more body contouring procedures (r = 0.62, p < 0.001), and lower scores
on 12 BODY-Q scales. The need for more body contouring procedures correlated with
more physical symptoms (r = 0.23, p = 0.001) and lower scores on 12 BODY-Q
scales. CONCLUSIONS: Excess skin after bariatric surgery is a disabling problem.
Additional research using the BODY-Q is needed to determine improvements that can
be achieved following body contouring.
PMID- 29652766
TI - Chronic Recurrent Multifocal Osteomyelitis of the Mandible: A Diagnostic
Challenge.
AB - : Chronic recurrent multifocal osteomyelitis is a rare autoinflammatory bone
disorder of children and adolescents characterized by monofocal or multifocal
inflammatory bone lesions that are culture-negative on biopsy, associated with
periods of exacerbation and resolution that can last over several months to
years. Although it is predominantly a disease of long bones and the spine,
craniofacial involvement is not uncommon, affecting the mandible in up to one
fifth of cases. Similarities with other causes of osteitis in clinical
presentation and imaging, and the lack of specific symptoms or laboratory tests,
make chronic recurrent multifocal osteomyelitis mainly a diagnosis of exclusion.
An accurate diagnosis is required for appropriate treatment to induce remission.
This article highlights the challenges faced by plastic and oral surgeons in
diagnosing mandibular chronic recurrent multifocal osteomyelitis, and describes
two pediatric patients affected with the disease. Both cases were initially
confused with other entities, leading to unnecessary initial treatments and a
delayed diagnosis. A review aimed at surgeons summarizes the major aspects of
this condition so that it is considered as a differential diagnosis in young
patients presenting with a facial bony mass. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, V.
PMID- 29652767
TI - Surgeon Volume and the Outcomes of Dupuytren's Surgery: Results from a Dutch
Multicenter Study.
AB - BACKGROUND: For many major surgical procedures, the outcomes are better when
performed by surgeons with higher procedure volumes. The purpose of this study
was to examine the relations between surgeon procedure volume and the outcomes of
Dupuytren's surgery. METHODS: The authors conducted an observational study from
2011 to 2014 at six dedicated hand surgery practice sites in The Netherlands.
Five hundred eighty-eight patients underwent surgery for Dupuytren's contracture
performed by one of the 16 surgeons. The main exposure variable was annual
surgeon volume. Outcome measures were the degree of residual contracture, full
release rate, and any postoperative adverse event examined within 3 months of
surgery. RESULTS: Mean annual surgeon volume was 51 among the 16 surgeons(range;
4-86) procedures. The majority of patients had primary disease (79 percent) and
underwent open fasciectomy (74 percent). Multivariable regression analyses showed
that surgeon volume was linearly related to all three outcomes, and identified no
optimal volume threshold. Performing 10 additional procedures annually was
independently associated with nearly 0.8 degree less residual contracture (p =
0.002), 9 percent higher odds of attaining a full release (p = 0.037), and 11
percent lower odds of an adverse event (p < 0.001). Nonetheless, patient-related
factors had larger impacts on all three clinical outcomes than surgeon volume.
CONCLUSIONS: In this study of practicing hand surgeons, surgeon volume varied
widely, and a higher volume was associated with less postoperative residual
contracture, higher full release rates, and fewer adverse events. This implies
that increasing surgeon's procedure volume provides an opportunity for improving
the outcomes of Dupuytren's surgery. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, III.
PMID- 29652768
TI - Effect of One-Stage versus Two-Stage Palatoplasty on Hypernasality and Fistula
Formation in Children with Complete Unilateral Cleft Lip and Palate: A Randomized
Controlled Trial.
AB - BACKGROUND: Is one-stage or two-stage palatoplasty more effective for preventing
fistula formation and hypernasality in patients with complete unilateral cleft
lip and palate? METHODS: This parallel blocked randomized controlled trial
included 100 patients with nonsyndromic complete unilateral cleft lip and palate
with a repaired cleft lip, divided into two equal groups. Group A had one-stage
palatoplasty patients at age 12 to 13 months while group B had two-stage
palatoplasty patients with soft palatoplasty at age 12 to 13 months and hard
palatoplasty at age 24 to 25 months. Presence of a fistula was tested clinically
at 3 years and speech was tested using nasometry and perceptual analyses at 6
years. Group C consisted of noncleft controls (n = 20, age 6 years) for speech
using nasometry. Fistula rates, hypernasality ratings, and nasalance scores were
compared between groups A and B. Nasometry recordings of groups A and B were
compared with control group C. RESULTS: There was no difference in fistula rates
between groups A and B (p = 0.409; 95 percent CI, 0.365 to 11.9). Mean nasalance
scores of group A showed higher nasalance than group B (p = 0.006; 95 percent CI,
1.16 to 6.53). Perceptual analysis showed no difference between groups A and B (p
= 0.837 and p = 1.000). Group A showed higher mean nasalance than group C (p =
0.837 and p = 1.000), whereas group B showed no difference (p = 0.088; 95 percent
CI, -0.14 to 2.02). CONCLUSIONS: There was no difference in fistula rates between
groups. Nasalance was slightly higher in patients in the one-stage palatoplasty
group than two-stage palatoplasty group, but the difference was not clinically
significant. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, II.
PMID- 29652769
TI - Schizophrenia and Criminal Responsibility: A Systematic Review.
AB - The significant progress of psychiatry in the 20th century provided a
sophisticated theoretical framework to analyze the complex relationships between
crime and mental illness. Schizophrenia has been traditionally associated with
severe cognitive and affective deficits that heavily influence empathy, judgment
capacities, but also control of impulsiveness. Although there is an association
between psychotic disorders and absence or decrease of legal responsibility,
their relationship is also determined by sociodemographic, developmental, and
clinical factors. These disorders are associated not only with abolished criminal
responsibility but also with diminished responsibility. We conduct a systematic
literature review to examine the relation between schizophrenia and criminal
responsibility. We have found that this clinical entity is often associated with
diminished or abolished criminal liability. We discuss these findings, focusing
on the specific deficits found in patients with schizophrenia and examining how
this problem affects their behavior and eventually their accountability for their
crimes.
PMID- 29652770
TI - Psychiatric Services and "the Homeless": Changing the Paradigm.
AB - Given the changes in our society and worldwide massive migrations across borders,
this article argues that we need to change the paradigm of how we think about
"the homeless," that is, to rethink our approach to not only those who cannot
afford housing, the "economically homeless," but especially important also the
larger group-those with chronic, serious medical-psychiatric-addictive disorders,
the "medically/mentally ill homeless." We must place a greater emphasis on
providing mental health services along with housing, legal, general medical,
employment, and other services. The first and most crucial step toward adequate
care is to understand these individuals and their lives as well as how we react
to them. Second, we must become more proactive in helping those who live on the
streets to receive adequate and coordinated services. And finally, for those who
are unable to live independently in the community, we need to reinvent long-term,
structured, humane residential, and inpatient settings.
PMID- 29652771
TI - Treat the Patient, Not the Rule Book...: The Art of Psychopharmacology!
AB - Experienced clinicians are aware that the results from clinical drug trials do
not always translate to office practice. This essay suggests that clinicians use
their own diagnostic and interviewing skills when treating with medications
rather than simply relying on published data or suggested treatment algorithms.
PMID- 29652772
TI - Attitudes Toward Disclosing a Mental Health Problem and Reemployment: A
Longitudinal Study.
AB - Despite low unemployment rates, individuals with mental health problems often
struggle to gain reemployment. Many face the decision whether to disclose their
mental illness to employers. This study therefore examined the role of disclosure
attitudes for reemployment over time. Clinical and job search variables as well
as attitudes toward disclosing a mental health issue to an employer were assessed
among 301 unemployed individuals with mental health problems. Predictors of
reemployment at 6-month follow-up were assessed using multiple regression,
adjusted for sociodemographic variables, unemployment length, and depressive
symptoms. Greater reluctance to disclose mental health problems at baseline
predicted reemployment after 6 months. Reemployment was also associated with male
sex, better education, lower disability levels, and more job offers at baseline.
Therefore, a cautious approach toward disclosing a mental health problem may
facilitate short-term reemployment. It is unclear whether this is a successful
long-term strategy in employment settings.
PMID- 29652773
TI - A Lonely Search?: Risk for Depression When Spirituality Exceeds Religiosity.
AB - This study clarified longitudinal relations of spirituality and religiosity with
depression. Spirituality's potential emphasis on internal (e.g., intrapsychic
search for meaning) versus religiosity's potential emphasis on external (e.g.,
engagement in socially-sanctioned belief systems) processes may parallel
depression-linked cognitive-behavioral phenomena (e.g., rumination and
loneliness) conceptually. Thus, this study tested the hypothesis that greater
spirituality than religiosity, separate from the overall level of spirituality
and religiosity, predicts longitudinal increases in depression. A national sample
of midlife adults completed diagnostic interviews and questionnaires of spiritual
and religious intensity up to three times over 18 years. In time-lagged
multilevel models, overall spirituality plus religiosity did not predict
depression. However, in support of the hypothesis, greater spirituality than
religiosity significantly predicted subsequent increases in depressive symptoms
and risk for major depressive disorder (odds ratio = 1.34). If replicated, the
relative balance of spirituality and religiosity may inform depression assessment
and prevention efforts.
PMID- 29652774
TI - Commentary on Everly et al. (2016).
PMID- 29652776
TI - The Effects of Traumatic and Multiple Loss on Psychopathology, Disability, and
Quality of Life in Iraqi Asylum Seekers in the Netherlands: Erratum.
PMID- 29652775
TI - Response to Commentary on Everly et al. (2016).
PMID- 29652777
TI - Meta-Analysis of the Association Between FAS Ligand and TRAIL Genetic
Polymorphisms and Intervertebral Disc Degeneration Susceptibility in Chinese Han
population.
AB - STUDY DESIGN: Meta-analysis to collect all the relevant studies to further
investigate whether or not the FAS ligand (FASL) and tumor necrosis factor
related apoptosis-inducing ligand (TRAIL) genetic polymorphisms are associated
with susceptibility to intervertebral disc degeneration (IDD) in Chinese Han
population. OBJECTIVE: To investigate whether or not the FASL and TRAIL genetic
polymorphisms are associated with susceptibility to IDD in Chinese Han
population. SUMMARY OF BACKGROUND DATA: FASL and TRAIL are both apoptotic gene.
Several studies have assessed the associations of FASL and TRAIL gene with risk
of IDD in Chinese Han population, but the results are inconsistent. METHODS: We
systematically searched the PubMed, EMBASE, Medline, Scopus, Web of Science, CBM,
and the Cochrane Library databases. Eligible studies assessing the polymorphisms
in the FASL and TRAIL gene and risk of IDD were incorporated. The pooled odds
ratio (OR) with its 95% confidence intervals (95% CI) was used. RESULTS: Six
studies with a total of 1766 IDD cases and 1533 controls were finally included in
the meta-analysis. Meta-analysis of FASL-844C/T (rs763110) polymorphism was
statistically associated with decreased IDD risk under all genetic models (allele
model: OR = 0.68, 95% CI 0.59-0.80, P = 0.000; homozygote model: OR = 0.35, 95%
CI 0.25-0.53, P = 0.000; dominant model: OR = 0.38, 95% CI 0.25-0.58, P = 0.000;
recessive model: OR = 0.69, 95% CI 0.58-0.84, P = 0.000). There was a significant
association between TRAIL-1595C/T (rs1131580) polymorphism with increased IDD
risk under each genetic model (allele model: OR = 1.77, 95% CI 1.47-2.13, P =
0.000; homozygote model: OR = 2.44, 95% CI 1.70-3.51, P = 0.000; dominant model:
OR = 1.67, 95% CI 1.22-2.29, P = 0.002; recessive model: OR = 3.13, 95% CI 2.40
4.08, P = 0.000). In addition, the association between TRAIL-1525G/A (rs1131568)
polymorphism and the susceptibility of IDD was statistically significant under
all genetic models. CONCLUSION: The present meta-analysis demonstrated that FASL
and TRAIL polymorphisms were significantly associated with susceptibility to IDD
in Chinese Han population. LEVEL OF EVIDENCE: 1.
PMID- 29652778
TI - Does the Use of Electrotherapies Increase the Effectiveness of Neck Stabilization
Exercises for Improving Pain, Disability, Mood, and Quality of Life in Chronic
Neck Pain?: A Randomized, Controlled, Single-Blind Study.
AB - STUDY DESIGN: This study was a prospective, randomized, controlled study.
OBJECTIVE: The aim of this study was to determine whether transcutaneous
electrical nerve stimulation (TENS) or interferential current (IFC) increase the
effectiveness of neck stabilization exercises (NSEs) on pain, disability, mood
and quality of life for chronic neck pain (CNP). SUMMARY OF BACKGROUND DATA: Neck
pain is one of the three most frequently reported complaints of the
musculoskeletal system. Electrotherapies, such as IFC and TENS, have been applied
solo or combined with exercise for management of neck pain; however, the efficacy
of these combinations is unclear. METHODS: A total of 81 patients with CNP were
included in this study. Patients were randomly assigned into three groups
regarding age and gender. First group had NSE, second group had TENS and NSE, and
third group had IFC and NSE. Pain levels [visual analogue scale (VAS)], limits of
cervical range of motion (ROM), quality of life (short form-36), mood (Beck
depression inventory), levels of disability (Neck Pain and Disability Index), and
the need for analgesics of all patients were evaluated before treatment, at 6th
and 12th week follow-up. Physical therapy modalities were applied for 15 sessions
in all groups. All participants had group exercise accompanied by a
physiotherapist for 3 weeks and an additional 3 weeks of home exercise program.
RESULTS: According to the intragroup assessment, the study achieved its purpose
of pain reduction, ROM increase, improvement of disability, quality of life, mood
and reduction in drug use in all three treatment groups (P < 0.05). However,
clinical outcomes at 6th and 12 th week had no significant difference among the
three groups (P > 0.05). CONCLUSION: TENS and IFC therapies are effective in the
treatment of CNP patients. However, they have no additional benefit or
superiority over NSE. LEVEL OF EVIDENCE: 2.
PMID- 29652779
TI - Is the Current Management of Patients Presenting With Spinal Trauma to District
General Hospitals Fit for Purpose?: Our Experience of Delivering a Spinal Service
Using an Electronic Referral Platform in a Large District General Teaching
Hospital Without Onsite Spinal Services.
AB - STUDY DESIGN: A retrospective cross-sectional analysis. OBJECTIVE: The aim of
this study was to describe the provision of a spinal service using an electronic
platform to direct management from an external spinal unit, and to quantify the
time taken to obtain definitive management plans while under prescribed spinal
immobilization. SUMMARY OF BACKGROUND DATA: Most attending district general
hospitals following spinal trauma will have stable injuries and normal neurology,
with only a small proportion requiring urgent transfer to a specialist center.
METHODS: A retrospective review of 104 patients admitted following vertebral
trauma during a 12-month period. The British Orthopaedic Association Standards
for Trauma consensus that "spinal immobilisation is not recommended for more than
48 hours" was the standard of care measured against. RESULTS: One hundred
patients occupied a total of 975 hospital inpatient bed days. One hundred and
seventeen radiological investigations were requested after the point of external
referral [47 computed tomography (CT)-scans, 37 magnetic resonance imaging (MRI)
scans, and 33 weight-bearing radiographs]. The period between initial referral to
the regional spinal service and then receiving a definitive final management plan
had a median value of 72 hours and a range of 0 and 33 days. Patients will have
been under some form of prescribed spinal immobilization until the definitive
management plan was communicated. Thirty-four patients (34% of the overall
cohort) had a definitive management plan in place within 48 hours. Eighty
patients had vertebral injuries (73 stable, six unstable), three patients had
prolapsed intervertebral disks, one had metastatic disease, and 17 did not have
evidence of an acute injury following evaluation. CONCLUSION: Patients are being
placed under prescribed immobilization for longer than is recommended. Delays in
obtaining radiological imaging were an important factor, together with the time
taken to receive a definitive management plan. Limitations in social care
provision and delays in arranging this were additional barriers to hospital
discharge following the final management plan. LEVEL OF EVIDENCE: 4.
PMID- 29652780
TI - Implication of Biomarker Mutations for Predicting Survival in Patients With
Metastatic Lung Cancer to the Spine.
AB - STUDY DESIGN: A retrospective cohort study. OBJECTIVE: We performed a
retrospective study of patients treated at our institution over the last 7 years
to ascertain whether gene expression signatures in patients with advanced
metastatic disease are associated with survival, when the disease has progressed
to the spine. SUMMARY OF BACKGROUND DATA: Spinal metastases are a major cause of
morbidity in patients with cancer. Molecular profiling strategies to characterize
lung cancer have identified several genetic biomarkers that may lead to more
effective prognostication. METHODS: We queried our institutional database for
patients with metastatic lung cancer who underwent treatment for spinal
metastases between 2011 and 2017. Genetic mutations in ALK, MET, ROS1, EGFR, and
KRAS were chosen a priori for study based on availability by standard SNaPshot
Lung Tumor Genotyping Analysis. Survival time was the duration between treatment
for spinal metastases and death. Kaplan-Meier methods and the log-rank test were
applied to characterize survival data. RESULTS: Twenty-six patients met criteria
for inclusion. Median survival after surgery was 0.67 years. Median overall
survival (OS) after diagnosis was 2.7 years. The presence of molecular
abnormalities in patients with spinal metastases was significantly associated
with increased OS (HR 0.38, 95% CI 0.12-1.22, P = 0.03). CONCLUSION: Molecular
phenotyping may provide prognostic insight in patients undergoing surgery for
spinal metastases. This is the first study to demonstrate an association between
genetic mutational data and OS in this patient population. It also represents the
largest published series of such patients (n = 26) for which genetic mutational
data are reported. Future models estimating survival for patients with spinal
metastases may be enhanced by incorporation of molecular criteria. LEVEL OF
EVIDENCE: 4.
PMID- 29652781
TI - Pelvic Incidence Is Associated With Sacral Curvature, Sacroiliac Joint
Angulation, and Sacral Ala Width.
AB - STUDY DESIGN: Cross-sectional anatomical study in dry cadaveric specimens.
OBJECTIVE: This study evaluates how the sacroiliac (SI) joint angulation,
midsagittal sacral curvature, and sacral ala width relate to pelvic incidence
(PI). SUMMARY OF BACKGROUND DATA: Numerous spinopelvic pathologies have been
linked with pelvic incidence; however, the manner in which sacral morphology fits
into this association is largely unknown. METHODS: A total of 120 cadaveric sacra
and corresponding innominate bones were obtained from an osteological collection.
Pelvic incidence was measured in a previously validated method. To gauge ala
width, calipers were used to measure the distance between the midpoint of the
sacral endplate and the anterolateral aspect of the right and left ala. Sacral
curvature was evaluated by measuring the difference of the direct distance and
the curved bony distance from the sacral promontory to the inferior aspect of the
fourth intervertebral foramen. Three separate angle measurements were made to
quantify the angulation of the SI joint, which we divided into an upper and lower
limb. Angle 1 approximated the relationship between the upper limb and the sacral
endplate; angle 2 between the upper and lower limbs; angle 3 between the lower
limb and a tangential line to the fourth sacral foramen. RESULTS: Average age at
death for all specimens was 31.9 +/- 6.3 years with 63% representation of males
and an equal distribution of Caucasian and African Americans. The mean PI of our
study population was 45.1 +/- 12.6 degrees . Results from our regression showed
statistically significant associations between our measured angles evaluating SI
joint angulation (angles 1, 2, 3) and PI. Standardized beta for angle 1 was
0.421, angle 2 was 0.419, and angle 3 was -0.439 (all P < 0.001). Additionally,
the average endplate-ala distance (beta = -0.254) and average difference between
tape-caliper measurements, our measurement for sacral arc, (beta = 0.178) were
significantly associated with PI (all P < 0.05). CONCLUSION: Our data supports
the theory that increased PI is associated with a highly angulated and curved
sacrum, with corresponding changes in the SI joint, and narrowed sacral alae.
LEVEL OF EVIDENCE: N/A.
PMID- 29652782
TI - Impaired Trunk Posture in Women With Fibromyalgia.
AB - STUDY DESIGN: A cross-sectional study. OBJECTIVES: The main goal of the study was
to analyze posture of Fibromyalgia syndrome (FMS) in women compared with healthy
subjects to establish if posture assessment could be useful to characterize the
syndrome. Secondarily, we explored the impact of sedentary behavior on trunk
posture. SUMMARY OF BACKGROUND DATA: Pain has been associated with poor static
postures, however there is little information on the effect of FMS, which is
characterized by widespread pain, on trunk posture. METHODS: One hundred eighteen
women with FMS and 110 healthy counterparts participated in this study, in which
trunk posture was assessed. The thoracic kyphosis, forward head position, and
shoulder position (basal and maximum protraction) were measured. Further, maximum
shoulder protraction and the ability to maintain the cervical and thoracic angle
were assessed. To compare the differences in posture depending on the grouping,
an independent Student t test was conducted. To analyze the differences between
groups in the ability to maintain the position over a period of time and the
differences in posture depending on more or less active lifestyles, two
multivariate analysis of variance were performed. RESULTS: The results showed a
significantly larger thoracic kyphosis, baseline shoulder protraction and lower
craniovertebral angle and maximum protraction in FMG compared with CG (P < 0.05).
FMG subjects exhibited an impaired ability to maintain the cervical and thoracic
angles, as this varied throughout the test, unlike those of their counterparts. A
sedentary lifestyle did not affect trunk posture in the FMS participants.
CONCLUSION: FMS female population present an altered trunk posture and an
inability to maintain trunk position. Since this does not appear to be influenced
by a more or less active lifestyle, specific treatment programs are needed to
manage this clinical condition. LEVEL OF EVIDENCE: 2.
PMID- 29652783
TI - Minimum Clinically Important Difference in SF-36 Scores for Use in Degenerative
Cervical Myelopathy.
AB - STUDY DESIGN: Post-hoc analysis of 606 patients enrolled in the AOSpine CSM-NA or
CSM-I prospective, multicenter cohort studies. OBJECTIVE: The aim of this study
was to determine the minimum clinically important difference (MCID) in SF-36v2
Physical Component Summary (PCS) and Mental Component Summary (MCS) scores in
patients undergoing surgery for degenerative cervical myelopathy (DCM). SUMMARY
OF BACKGROUND DATA: There has been a shift toward focus on patient-reported
outcomes (PROs) in spine surgery. However, the numerical scores of PROs lack
immediate clinical meaning. The MCID adds a dimension of interpretability to PRO
scales; by defining the smallest change, a patient would consider meaningful.
METHODS: The MCID of the SF-36v2 PCS and MCS were determined by distribution- and
anchor-based methods comparing preoperative to 12-month scores. Distribution
based approaches included calculation of the half standard deviation and standard
error of measurement (SEM). Change in Neck Disability Index (NDI) served as the
anchor: "worse" (DeltaNDI>7.5); "unchanged" (7.5>=DeltaNDI>-7.5); "slightly
improved" (-7.5>=DeltaNDI>-15); and "markedly improved" (DeltaNDI <=-15).
Receiver operating characteristic (ROC) analysis was performed to determine the
change score for the MCID with even sensitivity and specificity to distinguish
patients who were "slightly improved" versus "unchanged" on the NDI. RESULTS: The
MCID for the SF-36v2 PCS and MCS were 4.6 and 6.8 by half standard deviation and
2.9 and 4.3 by SEM, respectively. By ROC analysis, the MCID was 3.9 for the SF
36v2 PCS score and 3.2 for the SF-36v2 MCS score. Using a cutoff of 4 points, the
SF-36v2 PCS had a sensitivity of 72.2% and specificity of 68.1%, and MCS 61.9%
and 64.6%, respectively, in separating patients who were "markedly improved" or
"slightly improved" from those who were "unchanged" or "worse." CONCLUSION: We
found the MCID of the SF-36v2 PCS and MCS to be 4 points. This will facilitate
use of the SF-36v2 as an outcome in future studies of DCM. LEVEL OF EVIDENCE: 3.
PMID- 29652784
TI - A Prospective, Randomized, Multicenter Study Comparing Silicated Calcium
Phosphate versus BMP-2 Synthetic Bone Graft in Posterolateral Instrumented Lumbar
Fusion for Degenerative Spinal Disorders.
AB - STUDY DESIGN: A prospective, Phase IV, multicenter, randomized study. OBJECTIVE:
The aim of this study was to compare vertebral fusion success rates following
posterolateral fusion [(PLF)/posterolateral intertransverse fusion (PITF)]
surgery. The surgical procedure combined posterior lumbar interbody fusion (PLIF)
and PLF with internal fixation over one or two levels using silicated calcium
phosphate (SiCaP) or bone morphogenetic protein (BMP)-2 as graft material in
patients with a degenerative disorder of the lumbar spine. SUMMARY OF BACKGROUND
DATA: Few controlled trials have evaluated the bone graft materials available to
surgeons treating patients with spinal disorders, including degenerative disc
disease, spondylolisthesis, and disc herniation. METHODS: Following
randomization, the surgical procedure consisting of PLIF and PLF with internal
fixation over one or two levels was performed using SiCaP or BMP-2. No other
osteoconductive/osteoinductive graft materials were permitted. Spinal fusion was
assessed radiographically at <=24 months. Clinical outcomes (pain on visual
analog scale, Oswestry Disability Index, SF-36) and adverse events (AEs) were
monitored. RESULTS: One hundred three patients were enrolled. At 12 months,
fusion was achieved in 25 of 35 (71.4%) of the SiCaP and 20 of 27 (74.1%) of the
BMP-2 group, respectively (P = 1.000). At 24 months, the fusion rate was 78.6%
and 84.8% for SiCaP and BMP-2, respectively (P = 0.5613). Clinical outcomes
improved similarly in both groups over time. AEs were consistent with this
surgical population. CONCLUSION: SiCaP was safe and well tolerated in patients
with degenerative spinal disorders requiring PLF and provided fusion rates
similar to BMP-2. LEVEL OF EVIDENCE: 2.
PMID- 29652785
TI - Clinical Prediction Rule for Heterotopic Ossification of the Hip in Patients with
Spinal Cord Injury.
AB - STUDY DESIGN: A case-control study; clinical prediction rule. OBJECTIVE: The aim
of this study was to construct and internally validate a clinical prediction rule
to identify patients at high risk of developing heterotopic ossification (HO)
after spinal cord injury (SCI). SUMMARY OF BACKGROUND DATA: HO after SCI can lead
to loss of joint mobility, loss of function, peripheral nerve entrapment, and
pressure sores. HO is usually diagnosed on the basis of clinical symptoms, as no
laboratory tests are yet available to identify patients with early HO formation.
Risk factors include a complete SCI; patient age; spasticity; urinary tract
infection (UTI); pneumonia; pelvic trauma; cervical or thoracic injury; and
pressure sores. METHODS: We analyzed a total of 558 patients with SCI, of whom
221 developed HO of the hip. A parametric survival model was fitted to estimate
the probability of developing HO of the hip within 3 months of a SCI. Hazard
ratios (HRs) calculation, internal validation, calibration, and model reduction
were performed over 200 bootstrapped resamples. A risk score for clinical used
was developed. RESULTS: Risk factors contributing to the risk score were
completeness of the injury, age, sex, UTI, spasticity, and pneumonia. The model
demonstrated good discrimination (AUC = 0.72). According to the risk score
quintiles, the risk of developing HO after SCI was 1.0 for persons with a score
of 0 to 17 and increased 2.47-fold for persons with a score of 1 to 21, 4.75-fold
for persons with a score of 22 to 27, 6.95-fold for persons with a score of 28 to
31, and 9.23-fold for persons with a score of 32-35. CONCLUSION: The risk score
demonstrated good discrimination in predicting the occurrence of HO within 3
months of a SCI. Further development and validation of the model in other
populations is warranted. LEVEL OF EVIDENCE: 3.
PMID- 29652787
TI - What's New in Shock, May 2018?
PMID- 29652786
TI - Long-Term Results of Surgery Compared With Nonoperative Treatment for Lumbar
Degenerative Spondylolisthesis in the Spine Patient Outcomes Research Trial
(SPORT).
AB - STUDY DESIGN: Randomized trial with a concurrent observational cohort study.
OBJECTIVE: To compare 8-year outcomes between surgery and nonoperative care and
among different fusion techniques for symptomatic lumbar degenerative
spondylolisthesis (DS). SUMMARY OF BACKGROUND DATA: Surgical treatment of DS has
been shown to be more effective than nonoperative treatment out to 4 years. This
study sought to further determine the long-term (8-year) outcomes. METHODS:
Surgical candidates with DS from 13 centers with at least 12 weeks of symptoms
and confirmatory imaging were offered enrollment in a randomized controlled trial
(RCT) or observational cohort study (OBS). Treatment consisted of standard
decompressive laminectomy (with or without fusion) versus standard nonoperative
care. Primary outcome measures were the Short Form-36 (SF-36) bodily pain and
physical function scores and the modified Oswestry Disability Index at 6 weeks, 3
months, 6 months, and yearly up to 8 years. RESULTS: Data were obtained for 69%
of the randomized cohort and 57% of the observational cohort at the 8-year follow
up. Intent-to-treat analyses of the randomized group were limited by high levels
of nonadherence to the randomized treatment. As-treated analyses in the
randomized and observational groups showed significantly greater improvement in
the surgery group on all primary outcome measures at all time points through 8
years. Outcomes were similar among patients treated with uninstrumented
posterolateral fusion, instrumented posterolateral fusion, and 360 degrees
fusion. CONCLUSION: For patients with symptomatic DS, patients who received
surgery had significantly greater improvements in pain and function compared with
nonoperative treatment through 8 years of follow-up. Fusion technique did not
affect outcomes. LEVEL OF EVIDENCE: 1.
PMID- 29652788
TI - A Novel LiDAR-Based Instrument for High-Throughput, 3D Measurement of
Morphological Traits in Maize and Sorghum.
AB - Recently, imaged-based approaches have developed rapidly for high-throughput
plant phenotyping (HTPP). Imaging reduces a 3D plant into 2D images, which makes
the retrieval of plant morphological traits challenging. We developed a novel
LiDAR-based phenotyping instrument to generate 3D point clouds of single plants.
The instrument combined a LiDAR scanner with a precision rotation stage on which
an individual plant was placed. A LabVIEW program was developed to control the
scanning and rotation motion, synchronize the measurements from both devices, and
capture a 360° view point cloud. A data processing pipeline was developed for
noise removal, voxelization, triangulation, and plant leaf surface
reconstruction. Once the leaf digital surfaces were reconstructed, plant
morphological traits, including individual and total leaf area, leaf inclination
angle, and leaf angular distribution, were derived. The system was tested with
maize and sorghum plants. The results showed that leaf area measurements by the
instrument were highly correlated with the reference methods (R2 > 0.91 for
individual leaf area; R2 > 0.95 for total leaf area of each plant). Leaf angular
distributions of the two species were also derived. This instrument could fill a
critical technological gap for indoor HTPP of plant morphological traits in 3D.
PMID- 29652790
TI - Silicon and Mechanisms of Plant Resistance to Insect Pests.
AB - This paper reviews the most recent progress in exploring silicon-mediated
resistance to herbivorous insects and the mechanisms involved. The aim is to
determine whether any mechanism seems more common than the others as well as
whether the mechanisms are more pronounced in silicon-accumulating than non
silicon-accumulating species or in monocots than eudicots. Two types of
mechanisms counter insect pest attacks: physical or mechanical barriers and
biochemical/molecular mechanisms (in which Si can upregulate and prime plant
defence pathways against insects). Although most studies have examined high Si
accumulators, both accumulators and non-accumulators of silicon as well as
monocots and eudicots display similar Si defence mechanisms against insects.
PMID- 29652789
TI - Terpenoids from the Soft Coral Sinularia sp. Collected in Yongxing Island.
AB - Three new sesquiterpenoids (sinuketal (1), sinulins A and B (2 and 3)) and two
new cembranoids (sinulins C and D (4 and 5)), as well as eight known
sesquiterpenoids (6–13) and eight known cembranoids (14–21), were
isolated from the Xisha soft coral Sinularia sp. Their structures were elucidated
by extensive spectroscopic analysis. Compound 1 possesses an unprecedented
isopropyl-branched bicyclo [6.3.0] undecane carbon skeleton with unique
endoperoxide moiety, and a plausible biosynthetic pathway of it was postulated.
According to the reported biological properties of endoperoxide, the
antimalarial, cytotoxic, antiviral, and target inhibitory activities of 1 were
tested. Compound 1 showed mild in vitro antimalarial activity against Plasmodium
falciparum 3D7, weak cytotoxic activities toward Jurkat, MDA-MB-231, and U2OS
cell lines, inhibitory effects against influenza A viruses H1N1 and PR8, as well
as mild target inhibitory activity against acetylcholinesterase. The other
compounds were evaluated for cytotoxicities against HeLa, HCT-116, and A549 tumor
cell lines and target inhibitory activities against protein tyrosine phosphatase
1B (PTP1B). Compound 20 exhibited cytotoxicities against HeLa and HCT-116, and
compounds 5, 11, and 15 showed mild target inhibitory activities against PTP1B.
PMID- 29652791
TI - An Efficient ABC_DE_Based Hybrid Algorithm for Protein-Ligand Docking.
AB - Protein–ligand docking is a process of searching for the optimal binding
conformation between the receptor and the ligand. Automated docking plays an
important role in drug design, and an efficient search algorithm is needed to
tackle the docking problem. To tackle the protein–ligand docking problem
more efficiently, An ABC_DE_based hybrid algorithm (ADHDOCK), integrating
artificial bee colony (ABC) algorithm and differential evolution (DE) algorithm,
is proposed in the article. ADHDOCK applies an adaptive population partition
(APP) mechanism to reasonably allocate the computational resources of the
population in each iteration process, which helps the novel method make better
use of the advantages of ABC and DE. The experiment tested fifty
protein–ligand docking problems to compare the performance of ADHDOCK, ABC,
DE, Lamarckian genetic algorithm (LGA), running history information guided
genetic algorithm (HIGA), and swarm optimization for highly flexible
protein–ligand docking (SODOCK). The results clearly exhibit the capability
of ADHDOCK toward finding the lowest energy and the smallest root-mean-square
deviation (RMSD) on most of the protein–ligand docking problems with
respect to the other five algorithms.
PMID- 29652792
TI - Influence of Blue Mussel (Mytilus edulis) Intake on Disease Activity in Female
Patients with Rheumatoid Arthritis: The MIRA Randomized Cross-Over Dietary
Intervention.
AB - Rheumatoid Arthritis (RA) is a chronic inflammatory disease. This study evaluates
the effect of blue mussel intake on disease activity and quality of life in women
with RA. Thirty-nine women with established RA and a disease activity score 28
(DAS28) >3.0 were recruited to a randomized 2 × 11-week cross-over dietary
intervention. The participants continued with their medication and habitual diet
and exchanged one cooked meal a day, five days a week, with a meal including 75 g
blue mussels or 75 g meat. Diets were switched after an eight week washout
period. Data regarding quality of life (SF-36), blood lipids, erythrocyte
sediment rate (ESR), C-reactive protein (CRP) and tender and swollen joints were
examined at the start and end of each dietary period. Thirty women completed one
period, and twenty-three completed both. Intake of the blue mussel diet led to a
significant reduction of DAS28-CRP (p = 0.048), but not DAS28. The number of
EULAR (European League Against Rheumatism) criteria moderate and good responders
were higher when consuming blue mussel diet (p = 0.036). Blood lipids did not
change. To conclude, blue mussel intake reduced disease symptoms in women with RA
and improved perceived health. The reported effects need to be confirmed by non
patient reported outcomes, such as inflammation markers.
PMID- 29652793
TI - Emerging Trends in Health Promotion for People with Disabilities.
AB - The need among people with disabilities to improve their own health and
prevent/manage secondary conditions requires a better balance between reactive
and anticipatory care.
PMID- 29652794
TI - The Voice of the Heart: Vowel-Like Sound in Pulmonary Artery Hypertension.
AB - Increased blood pressure in the pulmonary artery is referred to as pulmonary
hypertension and often is linked to loud pulmonic valve closures. For the purpose
of this paper, it was hypothesized that pulmonary circulation vibrations will
create sounds similar to sounds created by vocal cords during speech and that
subjects with pulmonary artery hypertension (PAH) could have unique sound
signatures across four auscultatory sites. Using a digital stethoscope, heart
sounds were recorded at the cardiac apex, 2nd left intercostal space (2LICS), 2nd
right intercostal space (2RICS), and 4th left intercostal space (4LICS)
undergoing simultaneous cardiac catheterization. From the collected heart sounds,
relative power of the frequency band, energy of the sinusoid formants, and
entropy were extracted. PAH subjects were differentiated by applying the linear
discriminant analysis with leave-one-out cross-validation. The entropy of the
first sinusoid formant decreased significantly in subjects with a mean pulmonary
artery pressure (mPAp) ≥ 25 mmHg versus subjects with a mPAp < 25 mmHg with a
sensitivity of 84% and specificity of 88.57%, within a 10-s optimized window
length for heart sounds recorded at the 2LICS. First sinusoid formant entropy
reduction of heart sounds in PAH subjects suggests the existence of a vowel-like
pattern. Pattern analysis revealed a unique sound signature, which could be used
in non-invasive screening tools.
PMID- 29652795
TI - Counteracting Akt Activation by HIV Protease Inhibitors in Monocytes/Macrophages.
AB - Akt signaling plays a central role in many biological processes that are key
players in human immunodeficiency virus 1 (HIV-1) pathogenesis. The persistence
of latent reservoirs in successfully treated patients, mainly located in
macrophages and latently infected resting CD4+ T cells, remains a major obstacle
in HIV-1 eradication. We assessed the in vitro effects of an HIV protease
inhibitor (PI) and a non-nucleoside reverse transcriptase inhibitor (NNRTI) on
HIV-1 Nef-induced Akt activation in macrophages and on HIV-1 reactivation in U1
monocytoid cells. Ex vivo, we investigated the impact of combination
antiretroviral therapy (cART) on Akt activation, as measured by flow cytometry,
and on the viral reservoir size, quantified by qPCR, in monocytes and autologous
resting CD4+ T cells from HIV-infected individuals (Trial registration:
NCT02858414). We found that, in myeloid cells, both Akt activation and HIV-1
reactivation were inhibited by PI but not by NNRTI in vitro. Our results indicate
that cART decreases Akt activation and reduces the size of the HIV reservoir in
both monocytes and resting CD4+ T cells. Our study indicates that Akt activation
could play a role in HIV reservoir formation, indicating that drugs which target
Akt could be efficient for limiting its size in aviremic chronically infected
patients.
PMID- 29652796
TI - Expressing OsMPK4 Impairs Plant Growth but Enhances the Resistance of Rice to the
Striped Stem Borer Chilo suppressalis.
AB - Mitogen-activated protein kinases (MPKs) play a central role not only in plant
growth and development, but also in plant responses to abiotic and biotic
stresses, including pathogens. Yet, their role in herbivore-induced plant
defenses and their underlying mechanisms remain largely unknown. Here, we cloned
a rice MPK gene, OsMPK4, whose expression was induced by mechanical wounding,
infestation of the striped stem borer (SSB) Chilo suppressalis, and treatment
with jasmonic acid (JA), but not by treatment with salicylic acid (SA). The
overexpression of OsMPK4 (oe-MPK4) enhanced constitutive and/or SSB-induced
levels of JA, jasmonoyl-l-isoleucine (JA-Ile), ethylene (ET), and SA, as well as
the activity of elicited trypsin proteinase inhibitors (TrypPIs), and reduced SSB
performance. On the other hand, compared to wild-type plants, oe-MPK4 lines in
the greenhouse showed growth retardation. These findings suggest that OsMPK4, by
regulating JA-, ET-, and SA-mediated signaling pathways, functions as a positive
regulator of rice resistance to the SSB and a negative regulator of rice growth.
PMID- 29652797
TI - The Role of Gut Microbiota and Diet on Uremic Retention Solutes Production in the
Context of Chronic Kidney Disease.
AB - Uremic retention solutes (URS) are associated with cardiovascular complications
and poor survival in chronic kidney disease. The better understanding of the
origin of a certain number of these toxins enabled the development of new
strategies to reduce their production. URS can be classified according to their
origins (i.e., host, microbial, or exogenous). The discovery of the fundamental
role that the intestinal microbiota plays in the production of many URS has
reinstated nutrition at the heart of therapeutics to prevent the accumulation of
URS and their deleterious effects. The intestinal microbiota is personalized and
is strongly influenced by dietary habits, such as the quantity and the quality of
dietary protein and fibers. Herein, this review out lines the role of intestinal
microbiota on URS production and the recent discoveries on the effect of diet
composition on the microbial balance in the host with a focus on the effect on
URS production.
PMID- 29652798
TI - The Double Face of Exosome-Carried MicroRNAs in Cancer Immunomodulation.
AB - In recent years many articles have underlined the key role of nanovesicles, i.e.,
exosomes, as information carriers among biological systems including cancer.
Tumor-derived exosomes (TEXs) are key players in the dynamic crosstalk between
cancer cells and the microenvironment while promote immune system control
evasion. In fact, tumors are undoubtedly capable of silencing the immune response
through multiple mechanisms, including the release of exosomes. TEXs have been
shown to boost tumor growth and promote progression and metastatic spreading via
suppression or stimulation of the immune response towards cancer cells. The
advantage of immunotherapeutic treatment alone over combining immuno- and
conventional therapy is currently debated. Understanding the role of tumor
exosome-cargo is of crucial importance for our full comprehension of neoplastic
immonosuppression and for the construction of novel therapies and vaccines based
on (nano-) vesicles. Furthermore, to devise new anti-cancer approaches, diverse
groups investigated the possibility of engineering TEXs by conditioning cancer
cells’ own cargo. In this review, we summarize the state of art of TEX
based immunomodulation with a particular focus on the molecular function of non
coding family genes, microRNAs. Finally, we will report on recent efforts in the
study of potential applications of engineered exosomes in cancer immunotherapy.
PMID- 29652799
TI - Combination Kinase Inhibitor Treatment Suppresses Rift Valley Fever Virus
Replication.
AB - Viruses must parasitize host cell translational machinery in order to make
proteins for viral progeny. In this study, we sought to use this signal
transduction conduit against them by inhibiting multiple kinases that influence
translation. Previous work indicated that several kinases involved in
translation, including p70 S6K, p90RSK, ERK, and p38 MAPK, are phosphorylated
following Rift Valley fever virus (RVFV) infection. Furthermore, inhibiting p70
S6K through treatment with the FDA approved drug rapamycin prevents RVFV
pathogenesis in a mouse model of infection. We hypothesized that inhibiting
either p70 S6K, p90RSK, or p90RSK’s upstream kinases, ERK and p38 MAPK,
would decrease translation and subsequent viral replication. Treatment with the
p70 S6K inhibitor PF-4708671 resulted in decreased phosphorylation of
translational proteins and reduced RVFV titers. In contrast, treatment with the
p90RSK inhibitor BI-D1870, p38MAPK inhibitor SB203580, or the ERK inhibitor
PD0325901 alone had minimal influence on RVFV titers. The combination of PF
4708671 and BI-D1870 treatment resulted in robust inhibition of RVFV replication.
Likewise, a synergistic inhibition of RVFV replication was observed with p38MAPK
inhibitor SB203580 or the ERK inhibitor PD0325901 combined with rapamycin
treatment. These findings serve as a proof of concept regarding combination
kinase inhibitor treatment for RVFV infection.
PMID- 29652800
TI - Full Genome Sequencing Reveals New Southern African Territories Genotypes
Bringing Us Closer to Understanding True Variability of Foot-and-Mouth Disease
Virus in Africa.
AB - Foot-and-mouth disease virus (FMDV) causes a highly contagious disease of cloven
hooved animals that poses a constant burden on farmers in endemic regions and
threatens the livestock industries in disease-free countries. Despite the
increased number of publicly available whole genome sequences, FMDV data are
biased by the opportunistic nature of sampling. Since whole genomic sequences of
Southern African Territories (SAT) are particularly underrepresented, this study
sequenced 34 isolates from eastern and southern Africa. Phylogenetic analyses
revealed two novel genotypes (that comprised 8/34 of these SAT isolates) which
contained unusual 5′ untranslated and non-structural encoding regions.
While recombination has occurred between these sequences, phylogeny violation
analyses indicated that the high degree of sequence diversity for the novel SAT
genotypes has not solely arisen from recombination events. Based on estimates of
the timing of ancestral divergence, these data are interpreted as being
representative of un-sampled FMDV isolates that have been subjected to
geographical isolation within Africa by the effects of the Great African
Rinderpest Pandemic (1887–1897), which caused a mass die-out of FMDV
susceptible hosts. These findings demonstrate that further sequencing of African
FMDV isolates is likely to reveal more unusual genotypes and will allow for
better understanding of natural variability and evolution of FMDV.
PMID- 29652801
TI - The Crystal Structure of the R280K Mutant of Human p53 Explains the Loss of DNA
Binding.
AB - The p53 tumor suppressor is widely found to be mutated in human cancer. This
protein is regarded as a molecular hub regulating different cell responses,
namely cell death. Compelling data have demonstrated that the impairment of p53
activity correlates with tumor development and maintenance. For these reasons,
the reactivation of p53 function is regarded as a promising strategy to halt
cancer. In the present work, the recombinant mutant p53R280K DNA binding domain
(DBD) was produced for the first time, and its crystal structure was determined
in the absence of DNA to a resolution of 2.0 A. The solved structure contains
four molecules in the asymmetric unit, four zinc(II) ions, and 336 water
molecules. The structure was compared with the wild-type p53 DBD structure,
isolated and in complex with DNA. These comparisons contributed to a deeper
understanding of the mutant p53R280K structure, as well as the loss of DNA
binding related to halted transcriptional activity. The structural information
derived may also contribute to the rational design of mutant p53 reactivating
molecules with potential application in cancer treatment.
PMID- 29652802
TI - TUNEL Assay and DAPI Staining Revealed Few Alterations of Cellular Morphology in
Naturally and Artificially Aged Seeds of Cultivated Flax.
AB - In a search for useful seed aging signals as biomarkers for seed viability
prediction, we conducted an experiment using terminal deoxynucleotidyl
transferase mediated dUTP nick end labeling (TUNEL) assay and 4′,6
diamidino-2-phenylindole (DAPI) staining to analyze morphological and molecular
changes in naturally aged (NA) and artificially aged (AA) flax (Linum
usitatissimum L.) seeds. A total of 2546 sections were performed from 112 seeds
of 12 NA and AA seed samples with variable germination rates. Analyzing 1384
micrographs generated from TUNEL assay and DAPI staining revealed few alterations
of the cellular morphology of the NA and AA seeds. Also, the revealed DNA
degradations in the aged flax seeds appeared to be associated with seed samples
of low germination rates. These results suggest that oily flax seed aging may
alter the cellular morphology differently than starchy wheat seed aging. The
results also imply that the TUNEL assay and DAPI staining may not yield
informative assessments on cellular alterations and DNA degradation after the
aging of oily seeds.
PMID- 29652804
TI - Cerebrospinal Fluid Concentrations of Biogenic Amines: Potential Biomarkers for
Diagnosis of Bacterial and Viral Meningitis.
AB - Catecholamine and serotonin are biogenic amines (BAs) that serve as
neurotransmitters and play an important role in the regulation of cardinal
functions that are mainly altered during central nervous system (CNS) infections.
A total 92 samples of cerebrospinal fluid (CSF) were classified into 4 groups
based on their etiology. In these samples, BAs/neurotransmitters i.e., dopamine
(DA), 3,4-dihydroxyphenylacetic acid (DOPAC), homovanillic acid (HVA), and 5
hydroxyindoleacetic acid (5HIAA) were detected and quantified by high performance
liquid chromatography with electrochemical detection (HPLC-EC) to determine the
neurophysiology of the CNS infections by bacteria (Listeria monocytogenes (Lm)
and Neisseria meningitidis (Nm)) and herpes simplex virus (HSV). CSF
concentration of DA, DOPAC, HVA, and 5HIAA were found significantly elevated in
all test cohorts. Present study highlights that the analysis of BAs is pivotal
for the early diagnosis of bacterial and viral meningitis. In addition,
coinfections of varied etiology can also be diagnosed by their quantification.
Thus, BAs can serve as potential biomarkers of these CNS infections.
PMID- 29652803
TI - Hypoxia Supports Epicardial Cell Differentiation in Vascular Smooth Muscle Cells
through the Activation of the TGFbeta Pathway.
AB - : Epicardium-derived cells (EPDCs) are an important pool of multipotent
cardiovascular progenitor cells. Through epithelial-to-mesenchymal-transition
(EMT), EPDCs invade the subepicardium and myocardium and further differentiate
into several cell types required for coronary vessel formation. We previously
showed that epicardial hypoxia inducible factor (HIF) signaling mediates the
invasion of vascular precursor cells critical for patterning the coronary
vasculature. Here, we examine the regulatory role of hypoxia (1% oxygen) on EPDC
differentiation into vascular smooth muscle cells (VSMCs). RESULTS: Hypoxia
stimulates EMT and enhances expression of several VSMC markers in mouse
epicardial cell cultures. This stimulation is specifically blocked by inhibiting
transforming growth factor-beta (TGFβ) receptor I. Further analyses
indicated that hypoxia increases the expression level of TGFβ-1 ligand and
phosphorylation of TGFβ receptor II, suggesting an indispensable role of the
TGFβ pathway in hypoxia-stimulated VSMC differentiation. We further
demonstrate that the non-canonical RhoA/Rho kinase (ROCK) pathway acts as the
main downstream effector of TGFβ to modulate hypoxia’s effect on VSMC
differentiation. CONCLUSION: Our results reveal a novel role of epicardial HIF in
mediating coronary vasculogenesis by promoting their differentiation into VSMCs
through noncanonical TGFβ signaling. These data elucidate that patterning of
the coronary vasculature is influenced by epicardial hypoxic signals.
PMID- 29652805
TI - Research on a Visual Electronic Nose System Based on Spatial Heterodyne
Spectrometer.
AB - Light absorption gas sensing technology has the characteristics of massive
parallelism, cross-sensitivity and extensive responsiveness, which make it
suitable for the sensing task of an electronic nose (e-nose). With the
performance of hyperspectral resolution, spatial heterodyne spectrometer (SHS)
can present absorption spectra of the gas in the form of a two dimensional (2D)
interferogram which facilitates the analysis of gases with mature image
processing techniques. Therefore, a visual e-nose system based on SHS was
proposed. Firstly, a theoretical model of the visual e-nose system was
constructed and its visual maps were obtained by an experiment. Then the local
binary pattern (LBP) and Gray-Level Co-occurrence Matrix (GLCM) were used for
feature extraction. Finally, classification algorithms based on distance
similarity (Correlation coefficient (CC); Euclidean distance to centroids (EDC))
were chosen to carry on pattern recognition analysis to verify the feasibility of
the visual e-nose system.
PMID- 29652807
TI - Microstructural Evolution and Mechanical Properties in Superlight Mg-Li Alloy
Processed by High-Pressure Torsion.
AB - Microstructural evolution and mechanical properties of LZ91 Mg-Li alloy processed
by high-pressure torsion (HPT) at an ambient temperature were researched in this
paper. The microstructure analysis demonstrated that significant grain refinement
was achieved after HPT processing with an average grain size reducing from 30
μm (the as-received condition) to approximately 230 nm through 10 turns. X-ray
diffraction analysis revealed LZ91 alloy was consisted of α phase
(hexagonal close-packed structure, hcp) and β phase (body-centered cubic
structure, bcc) before and after HPT processing. The mean value of microhardness
increased with the increasing number of HPT turns. This significantly increased
hardness of specimens can be explained by Hall-Petch strengthening.
Simultaneously, the distribution of microhardness along the specimens was
different from other materials after HPT processing due to the different
mechanical properties of two different phases. The mechanical properties of LZ91
alloy processed by HPT were assessed by the micro-tensile testing at 298, 373,
423, and 473 K. The results demonstrate that the ultra-fine grain LZ91 Mg-Li
alloy exhibits excellent mechanical properties: tensile elongation is
approximately 400% at 473 K with an initial strain rate of 1 × 10−2
s−1.
PMID- 29652808
TI - The Impact of an Integrated Program of Return-to-Field and Targeted Trap-Neuter
Return on Feline Intake and Euthanasia at a Municipal Animal Shelter.
AB - Available evidence indicates that overall levels of feline intake and euthanasia
at U.S. shelters have significantly declined in recent decades. Nevertheless,
millions of cats, many of them free-roaming, continue to be admitted to shelters
each year. In some locations, as many as 70% of cats, perhaps up to one million
or more per year nationally, are euthanized. New approaches, including return-to
field (RTF) and targeted trap-neuter-return (TNR) appear to have transformative
potential. The purpose of the present study was to examine changes in feline
intake and euthanasia, as well as additional associated metrics, at a municipal
animal shelter in Albuquerque, New Mexico, after institutionalized RTF and
targeted TNR protocols, together referred to as a community cat program (CCP),
were added to ongoing community-based TNR efforts and a pilot RTF initiative.
Over the course of the CCP, which ran from April 2012 to March 2015, 11,746 cats
were trapped, sterilized, vaccinated, and returned or adopted. Feline euthanasia
at the Albuquerque Animal Welfare Department (AAWD) declined by 84.1% and feline
intake dropped by 37.6% over three years; the live release rate (LRR) increased
by 47.7% due primarily to these reductions in both intake and euthanasia. Modest
increases in the percentage of cats returned to owner (RTO) and the adoption rate
were also observed, although both metrics decreased on an absolute basis, while
the number of calls to the city about dead cats declined.
PMID- 29652806
TI - Excessive Extracellular ATP Desensitizes P2Y2 and P2X4 ATP Receptors Provoking
Surfactant Impairment Ending in Ventilation-Induced Lung Injury.
AB - Stretching the alveolar epithelial type I (AT I) cells controls the intercellular
signaling for the exocytosis of surfactant by the AT II cells through the
extracellular release of adenosine triphosphate (ATP) (purinergic signaling).
Extracellular ATP is cleared by extracellular ATPases, maintaining its
homeostasis and enabling the lung to adapt the exocytosis of surfactant to the
demand. Vigorous deformation of the AT I cells by high mechanical power
ventilation causes a massive release of extracellular ATP beyond the clearance
capacity of the extracellular ATPases. When extracellular ATP reaches levels >100
μM, the ATP receptors of the AT II cells become desensitized and surfactant
impairment is initiated. The resulting alteration in viscoelastic properties and
in alveolar opening and collapse time-constants leads to alveolar collapse and
the redistribution of inspired air from the alveoli to the alveolar ducts, which
become pathologically dilated. The collapsed alveoli connected to these dilated
alveolar ducts are subject to a massive strain, exacerbating the ATP release.
After reaching concentrations >300 μM extracellular ATP acts as a danger
associated molecular pattern, causing capillary leakage, alveolar space edema,
and further deactivation of surfactant by serum proteins. Decreasing the tidal
volume to 6 mL/kg or less at this stage cannot prevent further lung injury.
PMID- 29652809
TI - Characterization of Chlorella sorokiniana, UTEX 1230.
AB - This paper characterizes the strain Chlorella sorokiniana UTEX 1230 within a
laboratory setting using a 1 L bubble column. The findings show that productivity
can be trebled under mixotrophic conditions (from 0.2
g·L−1·d−1 to 0.66 g·L−1·d−1)
with the addition of sodium acetate. The results also indicate that both the
growth rate and final yield increase with the cultivation temperature, with most
parameters showing an optimum in the range of 30–35 °C. The maximum
specific growth rate was found to be in the region of 0.12 h−1 at a surface
irradiance between 100–500 µE·m−2·s−1. This
high growth rate makes the strain particularly suited to the rapid production of
biomass, suitable for either whole cell bioprocessing or bioremediation. However,
the relatively low lipid productivity (9.2 mg·L−1·d−1)
confirms previous findings which would indicate poor applicability for biodiesel
production. The strain shows greater promise in wastewater treatment applications
with removal rates of nitrogen and phosphorus in the region of 37 and 30
mg·L−1·d−1 respectively. Furthermore, the findings show
that a fed-batch strategy to inorganic nutrient loading can increase the final
yield by around 50% compared to a conventional batch run. This is particularly
interesting as fed-batch production techniques are rarely used within microalgal
cultivation, so provide an interesting avenue for further investigation. Overall,
the findings show that C. sorokiniana UTEX 1230 is a robust and fast-growing
microalgal strain suitable both for the laboratory and scale-up.
PMID- 29652810
TI - Edge-Based Efficient Search over Encrypted Data Mobile Cloud Storage.
AB - Smart sensor-equipped mobile devices sense, collect, and process data generated
by the edge network to achieve intelligent control, but such mobile devices
usually have limited storage and computing resources. Mobile cloud storage
provides a promising solution owing to its rich storage resources, great
accessibility, and low cost. But it also brings a risk of information leakage.
The encryption of sensitive data is the basic step to resist the risk. However,
deploying a high complexity encryption and decryption algorithm on mobile devices
will greatly increase the burden of terminal operation and the difficulty to
implement the necessary privacy protection algorithm. In this paper, we propose
ENSURE (EfficieNt and SecURE), an efficient and secure encrypted search
architecture over mobile cloud storage. ENSURE is inspired by edge computing. It
allows mobile devices to offload the computation intensive task onto the edge
server to achieve a high efficiency. Besides, to protect data security, it
reduces the information acquisition of untrusted cloud by hiding the relevance
between query keyword and search results from the cloud. Experiments on a real
data set show that ENSURE reduces the computation time by 15% to 49% and saves
the energy consumption by 38% to 69% per query.
PMID- 29652811
TI - Spatial Distribution of Stony Desertification and Key Influencing Factors on
Different Sampling Scales in Small Karst Watersheds.
AB - Karst areas are typical ecologically fragile areas, and stony desertification has
become the most serious ecological and economic problems in these areas worldwide
as well as a source of disasters and poverty. A reasonable sampling scale is of
great importance for research on soil science in karst areas. In this paper, the
spatial distribution of stony desertification characteristics and its influencing
factors in karst areas are studied at different sampling scales using a grid
sampling method based on geographic information system (GIS) technology and geo
statistics. The rock exposure obtained through sampling over a 150 m × 150
m grid in the Houzhai River Basin was utilized as the original data, and five
grid scales (300 m × 300 m, 450 m × 450 m, 600 m × 600 m, 750 m
× 750 m, and 900 m × 900 m) were used as the subsample sets. The
results show that the rock exposure does not vary substantially from one sampling
scale to another, while the average values of the five subsamples all fluctuate
around the average value of the entire set. As the sampling scale increases, the
maximum value and the average value of the rock exposure gradually decrease, and
there is a gradual increase in the coefficient of variability. At the scale of
150 m × 150 m, the areas of minor stony desertification, medium stony
desertification, and major stony desertification in the Houzhai River Basin are
7.81 km2, 4.50 km2, and 1.87 km2, respectively. The spatial variability of stony
desertification at small scales is influenced by many factors, and the
variability at medium scales is jointly influenced by gradient, rock content, and
rock exposure. At large scales, the spatial variability of stony desertification
is mainly influenced by soil thickness and rock content.
PMID- 29652812
TI - Radical-Mediated Reactions of alpha-Bromo Aluminium Thioacetals, alpha
Bromothioesters, and Xanthates for Thiolactone Synthesis.
AB - Thiolactones have attracted considerable attention in recent years as bioactive
natural products, lead compounds for drug discovery, molecular probes, and
reagents for polymerisation. We have investigated radical-mediated C-C bond
forming reactions as a strategy for thiolactone synthesis. Cyclisation of an
α-bromo aluminium thioacetal was investigated under radical conditions. It
was found that at low temperature, a radical fragmentation and rearrangement
process occurs. A putative reaction mechanism involving a previously unreported
aluminium templated thiol-ene step for the rearrangement process is presented.
Cyclisation reactions of α-bromo thioesters and α-xanthate thioesters
under radical mediated conditions furnished the desired thiolactones in moderate
yields.
PMID- 29652814
TI - Health Risk Assessment on Hazardous Ingredients in Household Deodorizing
Products.
AB - The inhalation of a water aerosol from a humidifier containing disinfectants has
led to serious lung injuries in Korea. To promote the safe use of products, the
Korean government enacted regulations on the chemicals in various consumer
products that could have adverse health effects. Given the concern over the
potential health risks associated with the hazardous ingredients in deodorizing
consumer products, 17 ingredients were analyzed and assessed according to their
health risk on 3 groups by the application type in 47 deodorizing products. The
risk assessment study followed a stepwise procedure (e.g., collecting
toxicological information, hazard identification/exposure assessment, and
screening and detailed assessment for inhalation and dermal routes). The worst
case scenario and maximum concentration determined by the product purpose and
application type were used as the screening assessment. In a detailed assessment,
the 75th exposure factor values were used to estimate the assumed reasonable
exposure to ingredients. The exposed concentrations of seven ingredients were
calculated. Due to limitation of toxicity information, butylated hydroxyl toluene
for a consumer’s exposure via the dermal route only was conducted for a
detailed assessment. This study showed that the assessed ingredients have no
health risks at their maximum concentrations in deodorizing products. This
approach can be used to establish guidelines for ingredients that may pose
inhalation and dermal hazards.
PMID- 29652815
TI - Olfactory Dysfunction as a Global Biomarker for Sniffing out Alzheimer's Disease:
A Meta-Analysis.
AB - Cases of Alzheimer's disease (AD) are rising exponentially due to increasing
global life expectancy. There are approximately 50 million sufferers worldwide,
with prevalence rising most rapidly in low-income countries such as Africa and
Asia. There is currently no definite diagnosis of AD until after death, thus an
early biomarker for AD is urgently required in order to administer timelier and
more effective interventions. Olfactory dysfunction (problems with the sense of
smell) is one of the earliest, preclinical symptoms observed in AD. Olfaction is
a promising early biomarker for use worldwide as it is easy, cheap to measure,
and not reliant on specialist clinicians or laboratory analysis. We carried out a
meta-analysis to determine the credibility of olfaction in diagnosing AD in the
preclinical stages, by comparing olfaction in healthy controls against AD
patients and patients with mild cognitive impairment (MCI). Data from 10 articles
were subjected to two comparative meta-analyses. In the case of AD, the results
illustrated that the overall magnitude of effect size was more apparent, d =
1.63, 95% CI [-1.95, -1.31], in comparison to that of MCI, d = -0.81, 95% CI [
1.08, -0.55]. This shows that olfaction worsens progressively as patients
progress from MCI to AD, highlighting the potential for olfactory dysfunction to
identify AD in the preclinical stages prior to MCI.
PMID- 29652813
TI - The Microenvironment in Epstein-Barr Virus-Associated Malignancies.
AB - The Epstein-Barr virus (EBV) can cause a wide variety of cancers upon infection
of different cell types and induces a highly variable composition of the tumor
microenvironment (TME). This TME consists of both innate and adaptive immune
cells and is not merely an aspecific reaction to the tumor cells. In fact, latent
EBV-infected tumor cells utilize several specific mechanisms to form and shape
the TME to their own benefit. These mechanisms have been studied largely in the
context of EBV+ Hodgkin lymphoma, undifferentiated nasopharyngeal carcinoma, and
EBV+ gastric cancer. This review describes the composition, immune escape
mechanisms, and tumor cell promoting properties of the TME in these three
malignancies. Mechanisms of susceptibility which regularly involve genes related
to immune system function are also discussed, as only a small proportion of EBV
infected individuals develops an EBV-associated malignancy.
PMID- 29652816
TI - The Emergency Medical System in Greece: Opening Aeolus' Bag of Winds.
AB - An Emergency Medical Service (EMS) system must encompass a spectrum of care, with
dedicated pre-hospital and in-hospital medical facilities. It has to be organised
in such a way as to include all necessary services—such as triage accurate
initial assessment, prompt resuscitation, efficient management of emergency
cases, and transport to definitive care. The global economic downturn has had a
direct effect on the health sector and poses additional threats to the healthcare
system. Greece is one of the hardest-hit countries. This manuscript aims to
present the structure of the Greek EMS system and the impact of the current
economic recession on it. Nowadays, primary care suffers major shortages in
crucial equipment, unmet health needs, and ineffective central coordination.
Patients are also facing economic limitations that lead to difficulties in using
healthcare services. The multi-factorial problem of in-hospital EMS overcrowding
is also evident and has been linked with potentially poorer clinical outcomes.
Furthermore, the ongoing refugee crisis challenges the national EMS. Adoption of
a triage scale, expansion of the primary care network, and an effective
primary–hospital continuum of care are urgently needed in Greece to provide
comprehensive, culturally competent, and high-quality health care.
PMID- 29652817
TI - Selenium in Radiation Oncology-15 Years of Experiences in Germany.
AB - Introduction: Se measurement and supplementation in radiation oncology is a
controversial issue. The German Working Group Trace Elements and Electrolytes in
Oncology (AKTE) has conducted a number of studies on this issue, which are
summarized in this review. Strategies have been tested and developed, aiming to
stratify the patients with a potential need for supplemental Se and how best to
monitor Se supplementation with respect to health effects and risks. Methods: We
analyzed blood and tissue Se-levels of different tumor patients (n = 512). Two
randomized phase III clinical studies were conducted for testing a potential
radioprotective effect of supplemental Se during radiation therapy in patients
with uterine cancer (n = 81) and head and neck tumor patients (n = 39). Results:
A relative Se deficit in whole blood or serum was detected in the majority of
tumor patients (carcinomas of the uterus, head and neck, lung, rectal or prostate
cancer). In prostate cancer, tissue Se concentrations were relatively elevated in
the carcinoma centre as compared to the surrounding compartment or as compared to
tumor samples from patients with benign prostatic hyperplasia. Adjuvant Se
supplementation successfully corrected Se-deficiency in the patients analyzed and
decreased radiotherapy-induced diarrhea in a randomized study of radiotherapy
patients with carcinomas of the uterus. Survival data imply that Se
supplementation did not interfere with radiation success. Some positive effects
of supplemental Se in the prevention of ageusia (loss of taste) and dysphagia due
to radiotherapy were noted in a second randomized trial in patients with head and
neck cancer. We have not observed any adverse effects of supplemental Se in our
studies. Conclusions: Se supplementation yielded promising results concerning
radioprotection in tumor patients and should be considered as a promising
adjuvant treatment option in subjects with a relative Se deficit.
PMID- 29652818
TI - Beneficial Effects of Different Flavonoids on Vascular and Renal Function in L
NAME Hypertensive Rats.
AB - BACKGROUND: we have evaluated the antihypertensive effect of several flavonoid
extracts in a rat model of arterial hypertension caused by chronic administration
(6 weeks) of the nitric oxide synthesis inhibitor, L-NAME. METHODS: Sprague
Dawley rats received L-NAME alone or L-NAME plus flavonoid-rich vegetal extracts
(Lemon, Grapefruit + Bitter Orange, and Cocoa) or purified flavonoids (Apigenin
and Diosmin) for 6 weeks. RESULTS: L-NAME treatment resulted in a marked
elevation of blood pressure, and treatment with Apigenin, Lemon Extract, and
Grapefruit + Bitter Orange extracts significantly reduced the elevated blood
pressure of these animals. Apigenin and some of these flavonoids also ameliorated
nitric oxide-dependent and -independent aortic vasodilation and elevated nitrite
urinary excretion. End-organ abnormalities such as cardiac infarcts, hyaline
arteriopathy and fibrinoid necrosis in coronary arteries and aorta were improved
by these treatments, reducing the end-organ vascular damage. CONCLUSIONS: the
flavonoids included in this study, specially apigenin, may be used as functional
food ingredients with potential therapeutic benefit in arterial hypertension.
PMID- 29652820
TI - Diet Quality and Nutrient Intake of Urban Overweight and Obese Primarily African
American Older Adults with Osteoarthritis.
AB - Diet quality may be a unique target for preventing and managing obesity-related
osteoarthritis (OA). Using the Healthy Eating Index-2010 (HEI-2010), this study
examined the nutrient intake and diet quality of 400 urban overweight and obese
primarily African American older adults with self-reported lower extremity OA.
Associations between sociodemographic and health-related factors and diet quality
were explored. Participants (mean age 67.8 years, SD 5.9) were included. Habitual
dietary intake was assessed using a food frequency questionnaire (FFQ). Nutrient
intake and diet quality were calculated from the FFQ. Results indicated that diet
quality needs improvement (HEI-2010: 66.3 (SD 10.5)). Age, body mass index,
employment (multivariable model only), and OA severity (bivariate model only)
were significant predictors of HEI-2010 total score in linear models. Mean
intakes for fiber, calcium, and vitamin D were below recommendations, while
percentage of calories as total fat exceeded recommendations. These findings can
inform future dietary intervention trials and public health messaging for a sub
population at a high risk for obesity-related OA.
PMID- 29652819
TI - The When, What & How of Measuring Vitamin D Metabolism in Clinical Medicine.
AB - We now have the ability to measure a number of different vitamin D metabolites
with very accurate methods. The most abundant vitamin D metabolite, 25
hydroxyvitamin D, is currently the best marker for overall vitamin D status and
is therefore most commonly measured in clinical medicine. The added value of
measuring metabolites beyond 25-hydroxyvitamin D, like 1,25-, and 24,25
dihydroxyvitamin D is not broadly appreciated. Yet, in some more complicated
cases, these metabolites may provide just the information needed for a legitimate
diagnosis. The problem at present, is knowing when to measure, what to measure
and how to measure. For 25-hydroxyvitamin D, the most frequently used automated
immunoassays do not meet the requirements of today's standards for certain
patient groups and liquid chromatography-tandem mass spectrometry is the desired
method of choice in these individuals. The less frequently measured 1,25
dihydroxyvitamin D metabolite enables us to identify a number of conditions,
including 1alpha-hydroxylase deficiency, hereditary vitamin D-resistant rickets
and a number of granulomatous diseases or lymphoproliferative diseases
accompanied by hypercalcaemia. Furthermore, it discriminates between the FGF23
mediated and non-FGF23-mediated hypophosphatemic syndromes. The 24,25
dihydroxyvitamin D metabolite has proven its value in the diagnosis of idiopathic
infantile hypercalcaemia and has the potential of having value in identifying
other diseases. For both metabolites, the understanding of the origin of
differences between assays is limited and requires further attention.
Nonetheless, in every way, appropriate measurement of vitamin D metabolism in the
clinical laboratory hinges eminently on the comprehension of the value of the
different metabolites, and the importance of the choice of method.
PMID- 29652821
TI - Who Are the Subjects with Gambling-Related Problems Requiring Treatment? A Study
in Northern Italy.
AB - BACKGROUND: This study analyzes data related to Hospital (HOS), Public Treatment
Service Dedicated to Drug Addicts (SERD), or Community Mental Health Center
(CMHC) clients with a first diagnosis of Pathological Gambling (PG) in the period
2000/2016 in Northern Italy. The aims were to describe trends and characteristics
of pathological gamblers (PGs) and to estimate the prevalence of other diagnoses
before or after the diagnosis of PG. METHODS: Participants aged over 17 years
with an ICD-9 or ICD-10 PG diagnosis were selected. RESULTS: 680 PGs were
identified, mean age 47.4 years, 20% female, 13% non-natives, 30% had other
mental disorders diagnoses, 9% had alcohol dependence syndrome, and 11% had drug
dependence. Most participants with comorbid disorders were diagnosed before PG,
with a more elevated prevalence regarding mental disorders. Almost seven years
had elapsed on average between the first admission and the diagnosis of PG.
CONCLUSIONS: The results of this study highlight a growing demand for PG
treatment addressed not only to SERD, but also to psychiatric and hospital
services, based on the increase in SERD attendance from 2013. Many of them had
already been treated for mental health problems before, but their percentage
remained costant over time.
PMID- 29652822
TI - Taking a Gamble for High Rewards? Management Perspectives on the Value of Mental
Health Peer Workers.
AB - Mental health peer work is attracting growing interest and provides a potentially
impactful method of service user involvement in mental health design and
delivery, contributing to mental health reform. The need to effectively support
this emerging workforce is consequently increasing. This study aimed to better
understand the views of management in relation to peer work and specifically
explores the value of peer work from the perspective of management. This
qualitative research employed grounded theory methods. There were 29 participants
in total, employed in both peer designated and non-peer designated management
roles, in not for profit and public health organisations in Queensland,
Australia. The value of peer work as described by participants is found to be
partially dependent on practical supports and strategies from the organisation.
There were high benefits for all facets of the organisation when effective
recruitment and ongoing support for peer workers was prioritised and a higher
perception of limitations when they were not. Due to some parallels, it may be
useful to explore the potential for peer work to be conceptually and/or
practically considered as a form of diversity and inclusion employment.
PMID- 29652823
TI - Molecular Dynamics Simulations of Human Antimicrobial Peptide LL-37 in Model POPC
and POPG Lipid Bilayers.
AB - Cathelicidins are a large family of cationic antimicrobial peptides (AMPs) found
in mammals with broad spectrum antimicrobial activity. LL-37 is the sole
amphipathic alpha-helical AMP from human Cathelicidins family. In addition to its
bactericidal capability, LL-37 has antiviral, anti-tumor, and immunoregulatory
activity. Despite many experimental studies, its molecular mechanism of action is
not yet fully understood. Here, we performed three independent molecular dynamics
simulations (600 ns or more) of a LL-37 peptide in the presence of 256 lipid
bilayers with 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphoglycerol (POPG) mimicking
bacterial and 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphocholine (POPC) mimicking
mammalian membranes. We found that LL-37 can be quickly absorbed onto the POPG
bilayer without loss of its helical conformation in the core region and with the
helix lying in parallel to the bilayer. The POPG bilayer was deformed. In
contrast, LL-37 is slower in reaching the POPC surface and loss much of its
helical conformation during the interaction with the bilayer. LL-37 only
partially entered the POPC bilayer without significant deformation of the
membrane. The observed difference for different bilayers is largely due to the
fact that LL-37 is positively charged, POPG is negatively charged, and POPC is
neutral. Our simulation results demonstrated the initial stage of disruption of
the bacterial membrane by LL-37 in atomic details. Comparison to experimental
results on LL-37 and simulation studies in other systems was made.
PMID- 29652824
TI - Full-Genome Characterization and Genetic Evolution of West African Isolates of
Bagaza Virus.
AB - Bagaza virus is a mosquito-borne flavivirus, first isolated in 1966 in Central
African Republic. It has currently been identified in mosquito pools collected in
the field in West and Central Africa. Emergence in wild birds in Europe and
serological evidence in encephalitis patients in India raise questions on its
genetic evolution and the diversity of isolates circulating in Africa. To better
understand genetic diversity and evolution of Bagaza virus, we describe the full
genome characterization of 11 West African isolates, sampled from 1988 to 2014.
Parameters such as genetic distances, N-glycosylation patterns, recombination
events, selective pressures, and its codon adaptation to human genes are
assessed. Our study is noteworthy for the observation of N-glycosylation and
recombination in Bagaza virus and provides insight into its Indian origin from
the 13th century. Interestingly, evidence of Bagaza virus codon adaptation to
human house-keeping genes is also observed to be higher than those of other
flaviviruses well known in human infections. Genetic variations on genome of West
African Bagaza virus could play an important role in generating diversity and may
promote Bagaza virus adaptation to other vertebrates and become an important
threat in human health.
PMID- 29652825
TI - Fabrication of Sericin/Agrose Gel Loaded Lysozyme and Its Potential in Wound
Dressing Application.
AB - Sericin is a biomaterial resource for its significant biodegradability,
biocompatibility, hydrophilicity, and reactivity. Designing a material with
superabsorbent, antiseptic, and non-cytotoxic wound dressing properties is
advantageous to reduce wound infection and promote wound healing. Herein, we
propose an environment-friendly strategy to obtain an interpenetrating polymer
network gel through blending sericin and agarose and freeze-drying. The
physicochemical characterizations of the sericin/agarose gel including
morphology, porosity, swelling behavior, crystallinity, secondary structure, and
thermal property were well characterized. Subsequently, the lysozyme loaded
sericin/agarose composite gel was successfully prepared by the solution
impregnation method. To evaluate the potential of the lysozyme loaded
sericin/agarose gel in wound dressing application, we analyzed the lysozyme
loading and release, antimicrobial activity, and cytocompatibility of the
resulting gel. The results showed the lysozyme loaded composite gel had high
porosity, excellent water absorption property, and good antimicrobial activities
against Escherichia coli and Staphylococcus aureus. Also, the lysozyme loaded gel
showed excellent cytocompatibility on NIH3T3 and HEK293 cells. So, the lysozyme
loaded sericin/agarose gel is a potential alternative biomaterial for wound
dressing.
PMID- 29652826
TI - Cardiovascular Mechanisms of Action of Anthocyanins May Be Associated with the
Impact of Microbial Metabolites on Heme Oxygenase-1 in Vascular Smooth Muscle
Cells.
AB - Anthocyanins are reported to have cardio-protective effects, although their
mechanisms of action remain elusive. We aimed to explore the effects of microbial
metabolites common to anthocyanins and other flavonoids on vascular smooth muscle
heme oxygenase-1 (HO-1) expression. Thirteen phenolic metabolites identified by
previous anthocyanin human feeding studies, as well as 28 unique mixtures of
metabolites and their known precursor structures were explored for their activity
on HO-1 protein expression in rat aortic smooth muscle cells (RASMCs). No
phenolic metabolites were active when treated in isolation; however, five
mixtures of phenolic metabolites significantly increased HO-1 protein expression
(127.4-116.6%, p <= 0.03). The present study demonstrates that phenolic
metabolites of anthocyanins differentially affect HO-1 activity, often having
additive, synergistic or nullifying effects.
PMID- 29652827
TI - A Dual Bioconjugated Virus-Like Nanoparticle as a Drug Delivery System and
Comparison with a pH-Responsive Delivery System.
AB - Modifications of virus-like nanoparticles (VLNPs) using chemical conjugation
techniques have brought the field of virology closer to nanotechnology. The huge
surface area to volume ratio of VLNPs permits multiple copies of a targeting
ligand and drugs to be attached per nanoparticle. By exploring the chemistry of
truncated hepatitis B core antigen (tHBcAg) VLNPs, doxorubicin (DOX) was coupled
covalently to the external surface of these nanoparticles via carboxylate groups.
About 1600 DOX molecules were conjugated on each tHBcAg VLNP. Then, folic acid
(FA) was conjugated to lysine residues of tHBcAg VLNPs to target the
nanoparticles to cancer cells over-expressing folic acid receptor (FR). The
result demonstrated that the dual bioconjugated tHBcAg VLNPs increased the
accumulation and uptake of DOX in the human cervical and colorectal cancer cell
lines compared with free DOX, resulting in enhanced cytotoxicity of DOX towards
these cells. The fabrication of these dual bioconjugated nanoparticles is simple,
and drugs can be easily conjugated with a high coupling efficacy to the VLNPs
without any limitation with respect to the cargo's size or charge, as compared
with the pH-responsive system based on tHBcAg VLNPs. These dual bioconjugated
nanoparticles also have the potential to be modified for other combinatorial drug
deliveries.
PMID- 29652828
TI - Can a Simple Dietary Index Derived from a Sub-Set of Questionnaire Items Assess
Diet Quality in a Sample of Australian Adults?
AB - Large, longitudinal surveys often lack consistent dietary data, limiting the use
of existing tools and methods that are available to measure diet quality. This
study describes a method that was used to develop a simple index for ranking
individuals according to their diet quality in a longitudinal study. The
RESIDential Environments (RESIDE) project (2004-2011) collected dietary data in
varying detail, across four time points. The most detailed dietary data were
collected using a 24-item questionnaire at the final time point (n = 555; age >=
25 years). At preceding time points, sub-sets of the 24 items were collected. A
RESIDE dietary guideline index (RDGI) that was based on the 24-items was
developed to assess diet quality in relation to the Australian Dietary
Guidelines. The RDGI scores were regressed on the longitudinal sub-sets of six
and nine questionnaire items at T4, from which two simple index scores (S-RDGI1
and S-RDGI2) were predicted. The S-RDGI1 and S-RDGI2 showed reasonable agreement
with the RDGI (Spearman's rho = 0.78 and 0.84; gross misclassification = 1.8%;
correct classification = 64.9% and 69.7%; and, Cohen's weighted kappa = 0.58 and
0.64, respectively). For all of the indices, higher diet quality was associated
with being female, undertaking moderate to high amounts of physical activity, not
smoking, and self-reported health. The S-RDGI1 and S-RDGI2 explained 62% and 73%
of the variation in RDGI scores, demonstrating that a large proportion of the
variability in diet quality scores can be captured using a relatively small sub
set of questionnaire items. The methods described in this study can be applied
elsewhere, in situations where limited dietary data are available, to generate a
sample-specific score for ranking individuals according to diet quality.
PMID- 29652829
TI - Molecular and Ultrastructural Mechanisms Underlying Yellow Dwarf Symptom
Formation in Wheat after Infection of Barley Yellow Dwarf Virus.
AB - Wheat (Tritium aestivum L.) production is essential for global food security.
Infection of barley yellow dwarf virus-GAV (BYDV-GAV) results in wheat showing
leaf yellowing and plant dwarfism symptom. To explore the molecular and
ultrastructural mechanisms underlying yellow dwarf symptom formation in BYDV-GAV
infected wheat, we investigated the chloroplast ultrastructure via transmission
electron microscopy (TEM), examined the contents of the virus, H2O2, and
chlorophyll in Zhong8601, and studied the comparative transcriptome through
microarray analyses in the susceptible wheat line Zhong8601 after virus
infection. TEM images indicated that chloroplasts in BYDV-GAV-infected Zhong8601
leaf cells were fragmentized. Where thylakoids were not well developed, starch
granules and plastoglobules were rare. Compared with mock-inoculated Zhong8601,
chlorophyll content was markedly reduced, but the virus and H2O2 contents were
significantly higher in BYDV-GAV-infected Zhong8601. The transcriptomic analyses
revealed that chlorophyll biosynthesis and chloroplast related transcripts,
encoding chlorophyll a/b binding protein, glucose-6-phosphate/phosphate
translocator 2, and glutamyl-tRNA reductase 1, were down-regulated in BYDV-GAV
infected Zhong8601. Some phytohormone signaling-related transcripts, including
abscisic acid (ABA) signaling factors (phospholipase D alpha 1 and calcineurin B
like protein 9) and nine ethylene response factors, were up-regulated.
Additionally, reactive oxygen species (ROS)-related genes were transcriptionally
regulated in BYDV-GAV infected Zhong8601, including three up-regulated
transcripts encoding germin-like proteins (promoting ROS accumulation) and four
down-regulated transcripts encoding peroxides (scavenging ROS). These results
clearly suggest that the yellow dwarf symptom formation is mainly attributed to
reduced chlorophyll content and fragmentized chloroplasts caused by down
regulation of the chlorophyll and chloroplast biosynthesis related genes, ROS
excessive accumulation, and precisely transcriptional regulation of the above
mentioned ABA and ethylene signaling- and ROS-related genes in susceptible wheat
infected by BYDV-GAV.
PMID- 29652831
TI - Active (Opt-In) Consent Underestimates Mean BMI-z and the Prevalence of
Overweight and Obesity Compared to Passive (Opt-Out) Consent. Evidence from the
Healthy Together Victoria and Childhood Obesity Study.
AB - : Background: Tracking population trends in childhood obesity and identifying
target areas for prevention requires accurate prevalence data. This study
quantified the magnitude of non-participation bias for mean Body Mass Index-z
scores and overweight/obesity prevalence associated with low (opt-in) compared to
high (opt-out) participation consent methodologies. Methods: Data arose from all
Local Government Areas (LGAs) participating in the Healthy Together Victoria
Childhood Obesity Study, Australia. Primary schools were randomly selected in
2013 and 2014 and all Grades 4 and 6 students (aged approx. 9-12 years) were
invited to participate via opt-in consent (2013) and opt-out consent (2014). For
the opt-in wave N = 38 schools (recruitment rate (RR) 24.3%) and N = 856 students
participated (RR 36.3%). For the opt-out wave N = 47 schools (RR 32%) and N =
2557 students participated (RR 86.4%). OUTCOMES: differences between opt-in and
opt-out sample estimates (bias) for mean BMI-z, prevalence of overweight/obesity
and obesity (alone). Standardized bias (Std bias) estimates defined as
bias/standard error are reported for BMI-z. Results: The results demonstrate
strong evidence of non-participation bias for mean BMI-z overall (Std bias =
4.5, p < 0.0001) and for girls (Std bias = -5.4, p < 0.0001), but not for boys
(Std bias = -1.1, p = 0.15). The opt-in strategy underestimated the overall
population prevalence of overweight/obesity and obesity by -5.4 and -4.5
percentage points respectively (p < 0.001 for both). Significant underestimation
was seen in girls, but not for boys. Conclusions: Opt-in consent underestimated
prevalence of childhood obesity, particularly in girls. Prevalence, monitoring
and community intervention studies on childhood obesity should move to opt-out
consent processes for better scientific outcomes.
PMID- 29652833
TI - A Lipophilic IR-780 Dye-Encapsulated Zwitterionic Polymer-Lipid Micellar
Nanoparticle for Enhanced Photothermal Therapy and NIR-Based Fluorescence Imaging
in a Cervical Tumor Mouse Model.
AB - To prolong blood circulation and avoid the triggering of immune responses,
nanoparticles in the bloodstream require conjugation with polyethylene glycol
(PEG). However, PEGylation hinders the interaction between the nanoparticles and
the tumor cells and therefore limits the applications of PEGylated nanoparticles
for therapeutic drug delivery. To overcome this limitation, zwitterionic
materials can be used to enhance the systemic blood circulation and tumor
specific delivery of hydrophobic agents such as IR-780 iodide dye for
photothermal therapy. Herein, we developed micellar nanoparticles using the
amphiphilic homopolymer poly(12-(methacryloyloxy)dodecyl phosphorylcholine) (PCB
lipid) synthesized via reversible addition-fragmentation chain transfer (RAFT)
polymerization. The PCB-lipid can self-assemble into micelles and encapsulate IR
780 dye (PCB-lipid-IR-780). Our results demonstrated that PCB-lipid-IR-780
nanoparticle (NP) exhibited low cytotoxicity and remarkable photothermal
cytotoxicity to cervical cancer cells (TC-1) upon near-infrared (NIR) laser
irradiation. The biodistribution of PCB-lipid-IR-780 showed higher accumulation
of PCB-lipid-IR-780 than that of free IR-780 in the TC-1 tumor. Furthermore,
following NIR laser irradiation of the tumor region, the PCB-lipid-IR-780
accumulated in the tumor facilitated enhanced tumor ablation and subsequent tumor
regression in the TC-1 xenograft model. Hence, these zwitterionic polymer-lipid
hybrid micellar nanoparticles show great potential for cancer theranostics and
might be beneficial for clinical applications.
PMID- 29652834
TI - Easy-to-Build Textile Pressure Sensor.
AB - This article presents the design, construction, and evaluation of an easy-to
build textile pressure resistive sensor created from low-cost conventional anti
static sheets and conductive woven fabrics. The sensor can be built quickly using
standard household tools, and its thinness makes it especially suitable for
wearable applications. Five sensors constructed under such conditions were
evaluated, presenting a stable and linear characteristic in the range 1 to 70
kPa. The linear response was modeled and fitted for each sensor individually for
comparison purposes, confirming a low variability due to the simple manufacturing
process. Besides, the recovery times of the sensors were measured for pressures
in the linear range, observing, for example, an average time of 1 s between the
moment in which a pressure of 8 kPa was no longer applied, and the resistance
variation at the 90% of its nominal value. Finally, we evaluated the proposed
sensor design on a classroom application consisting of a smart glove that
measured the pressure applied by each finger. From the evaluated characteristics,
we concluded that the proposed design is suitable for didactic, healthcare and
lifestyle applications in which the sensing of pressure variations, e.g., for
activity assessment, is more valuable than accurate pressure sensing.
PMID- 29652835
TI - Fabrication of Flexible Microneedle Array Electrodes for Wearable Bio-Signal
Recording.
AB - Laser-direct writing (LDW) and magneto-rheological drawing lithography (MRDL)
have been proposed for the fabrication of a flexible microneedle array electrode
(MAE) for wearable bio-signal monitoring. Conductive patterns were directly
written onto the flexible polyethylene terephthalate (PET) substrate by LDW. The
microneedle array was rapidly drawn and formed from the droplets of curable
magnetorheological fluid with the assistance of an external magnetic field by
MRDL. A flexible MAE can maintain a stable contact interface with curved human
skin due to the flexibility of the PET substrate. Compared with Ag/AgCl
electrodes and flexible dry electrodes (FDE), the electrode-skin interface
impedance of flexible MAE was the minimum even after a 50-cycle bending test.
Flexible MAE can record electromyography (EMG), electroencephalography (EEG) and
static electrocardiography (ECG) signals with good fidelity. The main features of
the dynamic ECG signal recorded by flexible MAE are the most distinguishable with
the least moving artifacts. Flexible MAE is an attractive candidate electrode for
wearable bio-signal monitoring.
PMID- 29652836
TI - A Fast and On-Machine Measuring System Using the Laser Displacement Sensor for
the Contour Parameters of the Drill Pipe Thread.
AB - The inconvenient loading and unloading of a long and heavy drill pipe gives rise
to the difficulty in measuring the contour parameters of its threads at both
ends. To solve this problem, in this paper we take the SCK230 drill pipe thread
repairing machine tool as a carrier to design and achieve a fast and on-machine
measuring system based on a laser probe. This system drives a laser displacement
sensor to acquire the contour data of a certain axial section of the thread by
using the servo function of a CNC machine tool. To correct the sensor's
measurement errors caused by the measuring point inclination angle, an
inclination error model is built to compensate data in real time. To better
suppress random error interference and ensure real contour information, a new
wavelet threshold function is proposed to process data through the wavelet
threshold denoising. Discrete data after denoising is segmented according to the
geometrical characteristics of the drill pipe thread, and the regression model of
the contour data in each section is fitted by using the method of weighted total
least squares (WTLS). Then, the thread parameters are calculated in real time to
judge the processing quality. Inclination error experiments show that the
proposed compensation model is accurate and effective, and it can improve the
data acquisition accuracy of a sensor. Simulation results indicate that the
improved threshold function is of better continuity and self-adaptability, which
makes sure that denoising effects are guaranteed, and, meanwhile, the complete
elimination of real data distorted in random errors is avoided. Additionally,
NC50 thread-testing experiments show that the proposed on-machine measuring
system can complete the measurement of a 25 mm thread in 7.8 s, with a
measurement accuracy of +/-8 MUm and repeatability limit <= 4 MUm (high
repeatability), and hence the accuracy and efficiency of measurement are both
improved.
PMID- 29652832
TI - Sympathetic Nerve Hyperactivity in the Spleen: Causal for Nonpathogenic-Driven
Chronic Immune-Mediated Inflammatory Diseases (IMIDs)?
AB - Immune-Mediated Inflammatory Diseases (IMIDs) is a descriptive term coined for an
eclectic group of diseases or conditions that share common inflammatory pathways,
and for which there is no definitive etiology. IMIDs affect the elderly most
severely, with many older individuals having two or more IMIDs. These diseases
include, but are not limited to, type-1 diabetes, obesity, hypertension, chronic
pulmonary disease, coronary heart disease, inflammatory bowel disease, and
autoimmunity, such as rheumatoid arthritis (RA), Sjogren's syndrome, systemic
lupus erythematosus, psoriasis, psoriatic arthritis, and multiple sclerosis.
These diseases are ostensibly unrelated mechanistically, but increase in
frequency with age and share chronic systemic inflammation, implicating major
roles for the spleen. Chronic systemic and regional inflammation underlies the
disease manifestations of IMIDs. Regional inflammation and immune dysfunction
promotes targeted end organ tissue damage, whereas systemic inflammation
increases morbidity and mortality by affecting multiple organ systems. Chronic
inflammation and skewed dysregulated cell-mediated immune responses drive many of
these age-related medical disorders. IMIDs are commonly autoimmune-mediated or
suspected to be autoimmune diseases. Another shared feature is dysregulation of
the autonomic nervous system and hypothalamic pituitary adrenal (HPA) axis. Here,
we focus on dysautonomia. In many IMIDs, dysautonomia manifests as an imbalance
in activity/reactivity of the sympathetic and parasympathetic divisions of the
autonomic nervous system (ANS). These major autonomic pathways are essential for
allostasis of the immune system, and regulating inflammatory processes and innate
and adaptive immunity. Pathology in ANS is a hallmark and causal feature of all
IMIDs. Chronic systemic inflammation comorbid with stress pathway dysregulation
implicate neural-immune cross-talk in the etiology and pathophysiology of IMIDs.
Using a rodent model of inflammatory arthritis as an IMID model, we report
disease-specific maladaptive changes in beta2-adrenergic receptor (AR) signaling
from protein kinase A (PKA) to mitogen activated protein kinase (MAPK) pathways
in the spleen. Beta2-AR signal "shutdown" in the spleen and switching from PKA to
G-coupled protein receptor kinase (GRK) pathways in lymph node cells drives
inflammation and disease advancement. Based on these findings and the existing
literature in other IMIDs, we present and discuss relevant literature that
support the hypothesis that unresolvable immune stimulation from chronic
inflammation leads to a maladaptive disease-inducing and perpetuating sympathetic
response in an attempt to maintain allostasis. Since the role of sympathetic
dysfunction in IMIDs is best studied in RA and rodent models of RA, this IMID is
the primary one used to evaluate data relevant to our hypothesis. Here, we review
the relevant literature and discuss sympathetic dysfunction as a significant
contributor to the pathophysiology of IMIDs, and then discuss a novel target for
treatment. Based on our findings in inflammatory arthritis and our understanding
of common inflammatory process that are used by the immune system across all
IMIDs, novel strategies to restore SNS homeostasis are expected to provide safe,
cost-effective approaches to treat IMIDs, lower comorbidities, and increase
longevity.
PMID- 29652837
TI - Fabrication of Composite Microneedle Array Electrode for Temperature and Bio
Signal Monitoring.
AB - Body temperature and bio-signals are important health indicators that reflect the
human health condition. However, monitoring these indexes is inconvenient and
time-consuming, requires various instruments, and needs professional skill. In
this study, a composite microneedle array electrode (CMAE) was designed and
fabricated. It simultaneously detects body temperature and bio-signals. The CMAE
consists of a 6 * 6 microneedles array with a height of 500 MUm and a base
diameter of 200 MUm. Multiple insertion experiments indicate that the CMAE
possesses excellent mechanical properties. The CMAE can pierce porcine skin 100
times without breaking or bending. A linear calibration relationship between
temperature and voltage are experimentally obtained. Armpit temperature (35.8
degrees C) and forearm temperature (35.3 degrees C) are detected with the CMAE,
and the measurements agree well with the data acquired with a clinical
thermometer. Bio-signals including EII, ECG, and EMG are recorded and compared
with those obtained by a commercial Ag/AgCl electrode. The CMAE continuously
monitors bio-signals and is more convenient to apply because it does not require
skin preparation and gel usage. The CMAE exhibits good potential for continuous
and repetitive monitoring of body temperature and bio-signals.
PMID- 29652838
TI - Super-Resolution for "Jilin-1" Satellite Video Imagery via a Convolutional
Network.
AB - Super-resolution for satellite video attaches much significance to earth
observation accuracy, and the special imaging and transmission conditions on the
video satellite pose great challenges to this task. The existing deep
convolutional neural-network-based methods require pre-processing or post
processing to be adapted to a high-resolution size or pixel format, leading to
reduced performance and extra complexity. To this end, this paper proposes a five
layer end-to-end network structure without any pre-processing and post
processing, but imposes a reshape or deconvolution layer at the end of the
network to retain the distribution of ground objects within the image. Meanwhile,
we formulate a joint loss function by combining the output and high-dimensional
features of a non-linear mapping network to precisely learn the desirable mapping
relationship between low-resolution images and their high-resolution
counterparts. Also, we use satellite video data itself as a training set, which
favors consistency between training and testing images and promotes the method's
practicality. Experimental results on "Jilin-1" satellite video imagery show that
this method demonstrates a superior performance in terms of both visual effects
and measure metrics over competing methods.
PMID- 29652839
TI - Selenium-Rich Ricegrass Juice Improves Antioxidant Properties and Nitric Oxide
Inhibition in Macrophage Cells.
AB - Ricegrass juice (Oryza sativa L.) was introduced as a functional food as the
consumption of sprouts or seedlings has been claimed to provide high nutritive
value. Selenium (Se) is a trace mineral that plays a key role in the human
antioxidation scheme. Supplementation of Se into plants is one strategy to
enhance plant bioactivities, and the consumption of Se plant foods may confer
superior health benefits. In this study, ricegrass juice extract was analyzed for
its major phenolic components. The effect of ricegrass juice extracts bio
fortified with 0, 10 and 40 mg Se/L named as RG0, RG10, and RG40, respectively,
were investigated for a percentage of cell viability, changes of endogenous
antioxidant enzymes, lipid peroxidation, and nitric oxide inhibition in RAW264.7
macrophage cells. Flavone glycosides, namely chrysoeriol arabinosyl arabinoside
derivatives, were found to be the foremost bioactive components in ricegrass
juice extract indicated by UHPLC-MS. The results of cell culture assessment
revealed that RG40 showed an ability to promote macrophage cell proliferation at
low concentration. Ricegrass juice extract in all treatments possessed the
ability to reduce malondialdehyde content, which may be regarded as the
bioactivity of phenolic compounds. Moreover, Se also played a role in this effect
since RG40 showed the greatest ability via increasing the level of GPx enzyme. It
was also discovered that phenolic compounds in the extracts played a role in
inhibiting nitric oxide in LPS-induced RAW264.7 cells. Furthermore, RG40
expressed significantly higher NO inhibition properties at IC50 118.76 ug/mL
compared to RG0 and RG10, at 147.02 and 147.73 ug/mL, respectively. Se bio
fortified ricegrass juice could be considered as a new potent functional food
that can lower the risk of oxidative stress and chronic inflammation diseases.
PMID- 29652830
TI - Colorectal cancer: genetic abnormalities, tumor progression, tumor heterogeneity,
clonal evolution and tumor-initiating cells.
AB - Colon cancer is the third most common cancer worldwide. Most colorectal cancer
occurrences are sporadic, not related to genetic predisposition or family
history; however, 20-30% of patients with colorectal cancer have a family history
of colorectal cancer and 5% of these tumors arise in the setting of a Mendelian
inheritance syndrome. In many patients, the development of a colorectal cancer is
preceded by a benign neoplastic lesion: either an adenomatous polyp or a serrated
polyp. Studies carried out in the last years have characterized the main
molecular alterations occurring in colorectal cancers, showing that the tumor of
each patient displays from two to eight driver mutations. The ensemble of
molecular studies, including gene expression studies, has led to two proposed
classifications of colorectal cancers, with the identification of four/five non
overlapping groups. The homeostasis of the rapidly renewing intestinal epithelium
is ensured by few stem cells present at the level of the base of intestinal
crypts. Various experimental evidence suggests that colorectal cancers may derive
from the malignant transformation of intestinal stem cells or of intestinal cells
that acquire stem cell properties following malignant transformation. Colon
cancer stem cells seem to be involved in tumor chemoresistance, radioresistance
and relapse.
PMID- 29652840
TI - Verifying Safety Messages Using Relative-Time and Zone Priority in Vehicular Ad
Hoc Networks.
AB - In high-density road networks, with each vehicle broadcasting multiple messages
per second, the arrival rate of safety messages can easily exceed the rate at
which digital signatures can be verified. Since not all messages can be verified,
algorithms for selecting which messages to verify are required to ensure that
each vehicle receives appropriate awareness about neighbouring vehicles. This
paper presents a novel scheme to select important safety messages for
verification in vehicular ad hoc networks (VANETs). The proposed scheme uses
location and direction of the sender, as well as proximity and relative-time
between vehicles, to reduce the number of irrelevant messages verified (i.e.,
messages from vehicles that are unlikely to cause an accident). Compared with
other existing schemes, the analysis results show that the proposed scheme can
verify messages from nearby vehicles with lower inter-message delay and reduced
packet loss and thus provides high level of awareness of the nearby vehicles.
PMID- 29652841
TI - Electrochemically-Driven Insertion of Biological Nanodiscs into Solid State
Membrane Pores as a Basis for "Pore-In-Pore" Membranes.
AB - Nanoporous membranes are of increasing interest for many applications, such as
molecular filters, biosensors, nanofluidic logic and energy conversion devices.
To meet high-quality standards, e.g., in molecular separation processes,
membranes with well-defined pores in terms of pore diameter and chemical
properties are required. However, the preparation of membranes with narrow pore
diameter distributions is still challenging. In the work presented here, we
demonstrate a strategy, a "pore-in-pore" approach, where the conical pores of a
solid state membrane produced by a multi-step top-down lithography procedure are
used as a template to insert precisely-formed biomolecular nanodiscs with exactly
defined inner and outer diameters. These nanodiscs, which are the building blocks
of tobacco mosaic virus-deduced particles, consist of coat proteins, which self
assemble under defined experimental conditions with a stabilizing short RNA. We
demonstrate that the insertion of the nanodiscs can be driven either by diffusion
due to a concentration gradient or by applying an electric field along the cross
section of the solid state membrane. It is found that the electrophoresis-driven
insertion is significantly more effective than the insertion via the
concentration gradient.
PMID- 29652842
TI - Association between Modified Body Mass Index and 30-Day and 1-Year Mortality
after Intensive Care Unit Admission: A Retrospective Cohort Study.
AB - Because conventional body mass index (cBMI) does not reflect fluid accumulation,
modified BMI (mBMI, serum albumin multiplied by cBMI) is a more accurate measure
of malnutrition status. This study aimed to determine whether mortality after
intensive care unit (ICU) admission was associated with cBMI, mBMI, and/or serum
albumin levels. The medical records of patients who were admitted to a tertiary
hospital ICU between 1 January 2012 and 31 July 2016 were retrospectively
reviewed. In total, 6169 ICU-admitted patients were included in the analyses.
Multivariate Cox regression analyses revealed that low cBMI, mBMI and albumin
level were significantly associated with 30-day and 1-year mortality after ICU
admission (hazard ratio < 1.0, p < 0.05). The adjusted area under the curve (AUC)
of mBMI for 1-year mortality was significantly higher than that of cBMI (p <
0.001), but not significantly different from that of albumin level (p = 0.098).
Low values of mBMI, cBMI and albumin were independently associated with 30-day
and 1-year mortality after ICU admission. Combining cBMI and albumin (mBMI) did
not increase the validity of the AUC of albumin for 1-year mortality after ICU
admission. Our study showed that serum albumin alone, rather than mBMI (combining
cBMI), is recommended in predicting mortality among ICU patients.
PMID- 29652843
TI - iAPSL-IF: Identification of Apoptosis Protein Subcellular Location Using
Integrative Features Captured from Amino Acid Sequences.
AB - Apoptosis proteins (APs) control normal tissue homeostasis by regulating the
balance between cell proliferation and death. The function of APs is strongly
related to their subcellular location. To date, computational methods have been
reported that reliably identify the subcellular location of APs, however, there
is still room for improvement of the prediction accuracy. In this study, we
developed a novel method named iAPSL-IF (identification of apoptosis protein
subcellular location-integrative features), which is based on integrative
features captured from Markov chains, physicochemical property matrices, and
position-specific score matrices (PSSMs) of amino acid sequences. The matrices
with different lengths were transformed into fixed-length feature vectors using
an auto cross-covariance (ACC) method. An optimal subset of the features was
chosen using a recursive feature elimination (RFE) algorithm method, and the
sequences with these features were trained by a support vector machine (SVM)
classifier. Based on three datasets ZD98, CL317, and ZW225, the iAPSL-IF was
examined using a jackknife cross-validation test. The resulting data showed that
the iAPSL-IF outperformed the known predictors reported in the literature: its
overall accuracy on the three datasets was 98.98% (ZD98), 94.95% (CL317), and
97.33% (ZW225), respectively; the Matthews correlation coefficient, sensitivity,
and specificity for several classes of subcellular location proteins (e.g.,
membrane proteins, cytoplasmic proteins, endoplasmic reticulum proteins, nuclear
proteins, and secreted proteins) in the datasets were 0.92-1.0, 94.23-100%, and
97.07-100%, respectively. Overall, the results of this study provide a high
throughput and sequence-based method for better identification of the subcellular
location of APs, and facilitates further understanding of programmed cell death
in organisms.
PMID- 29652844
TI - gga-miR-451 Negatively Regulates Mycoplasma gallisepticum (HS Strain)-Induced
Inflammatory Cytokine Production via Targeting YWHAZ.
AB - Mycoplasma gallisepticum (MG) is the most economically significant mycoplasma
pathogen of poultry that causes chronic respiratory disease (CRD) in chickens.
Although miRNAs have been identified as a major regulator effect on inflammatory
response, it is largely unclear how they regulate MG-induced inflammation. The
aim of this study was to investigate the functional roles of gga-miR-451 and
identify downstream targets regulated by gga-miR-451 in MG infection of chicken.
We found that the expression of gga-miR-451 was significantly up-regulated during
MG infection of chicken embryo fibroblast cells (DF-1) and chicken embryonic
lungs. Overexpression of gga-miR-451 decreased the MG-induced inflammatory
cytokine production, including tumor necrosis factor-alpha (TNF-alpha),
interleukin-1beta (IL-1beta), and interleukin-6 (IL-6), whereas inhibition of gga
miR-451 had the opposite effect. Gene expression data combined with luciferase
reporter assays demonstrated that tyrosine3-monooxygenase/tryptophan5
monooxygenase activation protein zeta (YWHAZ) was identified as a direct target
of gga-miR-451 in the context of MG infection. Furthermore, upregulation of gga
miR-451 significantly inhibited the MG-infected DF-1 cells proliferation, induced
cell-cycle arrest, and promoted apoptosis. Collectively, our results demonstrate
that gga-miR-451 negatively regulates the MG-induced production of inflammatory
cytokines via targeting YWHAZ, inhibits the cell cycle progression and cell
proliferation, and promotes cell apoptosis. This study provides a better
understanding of the molecular mechanisms of MG infection.
PMID- 29652846
TI - Friction Stir Processing of Copper-Coated SiC Particulate-Reinforced Aluminum
Matrix Composite.
AB - In the present work, we proposed a novel friction stir processing (FSP) to
produce a locally reinforced aluminum matrix composite (AMC) by stirring copper
coated SiC particulate reinforcement into Al6061 alloy matrix. Electroless
plating process was applied to deposit the copper surface coating on the SiC
particulate reinforcement for the purpose of improving the interfacial adhesion
between SiC particles and Al matrix. The core-shell SiC structure provides a
layer for the atomic diffusion between aluminum and copper to enhance the
cohesion between reinforcing particles and matrix on one hand, the dispersion of
fine copper in the Al matrix during FSP provides further dispersive strengthening
and solid solution strengthening, on the other hand. Hardness distribution and
tensile results across the stir zone validated the novel concept in improving the
mechanical properties of AMC that was realized via FSP. Optical microscope (OM)
and Transmission Electron Microscopy (TEM) investigations were conducted to
investigate the microstructure. Energy dispersive spectrometer (EDS), electron
probe micro-analyzer (EPMA), and X-ray diffraction (XRD) were explored to analyze
the atomic inter-diffusion and the formation of intermetallic at interface. The
possible strengthening mechanisms of the AMC containing Cu-coated SiC particulate
reinforcement were interpreted. The concept of strengthening developed in this
work may open a new way of fabricating of particulate reinforced metal matrix
composites.
PMID- 29652845
TI - Differential Effects of Linkers on the Activity of Amphiphilic Tobramycin
Antifungals.
AB - As the threat associated with fungal infections continues to rise and the
availability of antifungal drugs remains a concern, it becomes obvious that the
need to bolster the antifungal armamentarium is urgent. Building from our
previous findings of tobramycin (TOB) derivatives with antifungal activity, we
further investigate the effects of various linkers on the biological activity of
these aminoglycosides. Herein, we analyze how thioether, sulfone, triazole,
amide, and ether functionalities affect the antifungal activity of alkylated TOB
derivatives against 22 Candida, Cryptococcus, and Aspergillus species. We also
evaluate their impact on the hemolysis of murine erythrocytes and the
cytotoxicity against mammalian cell lines. While the triazole linker appears to
confer optimal activity overall, all of the linkers incorporated into the TOB
derivatives resulted in compounds that are very effective against the
Cryptococcus neoformans species, with MIC values ranging from 0.48 to 3.9 MUg/mL.
PMID- 29652847
TI - Comparative Phytonutrient Analysis of Broccoli By-Products: The Potentials for
Broccoli By-Product Utilization.
AB - The phytonutrient concentrations of broccoli (Brassica oleracea var. italica)
florets, stems, and leaves were compared to evaluate the value of stem and leaf
by-products as a source of valuable nutrients. Primary metabolites, including
amino acids, organic acids, and sugars, as well as glucosinolates, carotenoids,
chlorophylls, vitamins E and K, essential mineral elements, total phenolic
content, antioxidant activity, and expression of glucosinolate biosynthesis and
hydrolysis genes were quantified from the different broccoli tissues. Broccoli
florets had higher concentrations of amino acids, glucoraphanin, and
neoglucobrassicin compared to other tissues, whereas leaves were higher in
carotenoids, chlorophylls, vitamins E and K, total phenolic content, and
antioxidant activity. Leaves were also good sources of calcium and manganese
compared to other tissues. Stems had the lowest nitrile formation from
glucosinolate. Each tissue exhibited specific core gene expression profiles
supporting glucosinolate metabolism, with different gene homologs expressed in
florets, stems, and leaves, which suggests that tissue-specific pathways function
to support primary and secondary metabolic pathways in broccoli. This
comprehensive nutrient and bioactive compound profile represents a useful
resource for the evaluation of broccoli by-product utilization in the human diet,
and as feedstocks for bioactive compounds for industry.
PMID- 29652848
TI - Excellent Tribological Properties of Lower Reduced Graphene Oxide Content Copper
Composite by Using a One-Step Reduction Molecular-Level Mixing Process.
AB - Reduced graphene oxide (RGO) composite copper matrix powders were fabricated
successfully by using a modified molecular-level mixing (MLM) method. Divalent
copper ions (Cu2+) were adsorbed in oxygen functional groups of graphene oxide
(GO) as a precursor, then were reduced simultaneously by one step chemical
reduction. RGO showed a distribution converting from a random to a three
dimensional network in the copper matrix when its content increased to above 1.0
wt.% The tribological tests indicated that the friction coefficient of the
composite with 1.0 wt.% RGO decreased markedly from 0.6 to 0.07 at an applied
load of 10 N, and the wear rate was about one-third of pure copper. The excellent
tribological properties were attributed to a three-dimensional and uniform
distribution, which contributes to improving toughness and adhesion strength.
PMID- 29652850
TI - Spherical alpha-MnO2 Supported on N-KB as Efficient Electrocatalyst for Oxygen
Reduction in Al-Air Battery.
AB - Traditional noble metal platinum (Pt) is regarded as a bifunctional oxygen
catalyst due to its highly catalytic efficiency, but its commercial availability
and application is often restricted by high cost. Herein, a cheap and effective
catalyst mixed with alpha-MnO2 and nitrogen-doped Ketjenblack (N-KB) (denoted as
MnO2-SM150-0.5) is examined as a potential electrocatalyst in oxygen reduction
reactions (ORR) and oxygen evolution reactions (OER). This alpha-MnO2 is prepared
by redox reaction between K2S2O8 and MnSO4 in acid conditions with a facile
hydrothermal process (named the SM method). As a result, MnO2-SM150-0.5 exhibits
a good catalytic performance for ORR in alkaline solution, and this result is
comparable to a Pt/C catalyst. Moreover, this catalyst also shows superior
durability and methanol tolerance compared with a Pt/C catalyst. It also displays
a discharge voltage (~1.28 V) at a discharge density of 50 mA cm-2 in homemade Al
air batteries that is higher than commercial 20% Pt/C (~1.19 V). The superior
electrocatalytic performance of MnO2-SM150-0.5 could be attributed to its higher
Mn3+/Mn4+ ratio and the synergistic effect between MnO2 and the nitrogen-doped
KB. This study provides a novel strategy for the preparation of an MnO2-based
composite electrocatalyst.
PMID- 29652849
TI - Update on Marine Carbohydrate Hydrolyzing Enzymes: Biotechnological Applications.
AB - After generating much interest in the past as an aid in solving structural
problems for complex molecules such as polysaccharides, carbohydrate-hydrolyzing
enzymes of marine origin still appear as interesting biocatalysts for a range of
useful applications in strong interdisciplinary fields such as green chemistry
and similar domains. The multifaceted fields in which these enzymes are of
interest and the scarce number of original articles in literature prompted us to
provide the specialized analysis here reported. General considerations from
modern (2016-2017 interval time) review articles are at start of this manuscript;
then it is subsequently organized in sections according to particular biopolymers
and original research articles are discussed. Literature sources like the Science
Direct database with an optimized W/in search, and the Espacenet patent database
were used.
PMID- 29652851
TI - The Work Softening Behavior of Pure Mg Wire during Cold Drawing.
AB - We performed multiple-pass cold drawing for pure Mg wire which showed excellent
formability (~138% accumulative true strain) at room temperature. Different from
the continuous work hardening occurring during cold drawing of Mg alloy wires,
for pure Mg, an initially rapid increase in hardness and strength was followed by
significant work softening and finally reached a steady-state level,
approximately 40~45 HV. The work softening can be attributed to the dynamic
recovery and recrystallization of pure Mg at room temperature. Meanwhile, an
abrupt change in texture component also was detected with the transition from
work hardening to softening in the strain range of 28~34%. During the whole
drawing, the strongest texture component gradually transformed from as-extruded
basal to <10 1 - 0> fiber (~28% accumulative true strain), and then rapidly
returned to the weak basal texture.
PMID- 29652852
TI - The Effect of PM2.5 from Household Combustion on Life Expectancy in Sub-Saharan
Africa.
AB - Household fuel combustion, especially using solid combustibles (biomass and
fossil fuels), for cooking and other activities produces emissions that
contribute to concentrations of indoor as well as outdoor air pollutants such as
particulate matter with diameter smaller than 2.5 MUm (PM2.5) that deteriorate
health and likely affect life expectancy (LEX). This study investigates the
impact of PM2.5 from household combustion on LEX considering several covariates
while controlling for ambient PM2.5 generated by other sectors. The generalized
method of moments (GMM) model and the panel cointegration model were applied to a
dataset of 43 Sub-Saharan Africa (SSA) countries over the time period of 1995
2010. Both approaches provide similar results indicating that household PM2.5 is
significantly and negatively associated with higher aggregate LEX in the long
run, and, to a greater degree for female's. Also, among the control variables,
PM2.5 from the transport sector has a greater influence on male's LEX. Thus,
efforts should be combined to reduce household PM2.5 since lower levels are
associated with increased LEX.
PMID- 29652853
TI - Electromagnetic Nanoparticles for Sensing and Medical Diagnostic Applications.
AB - A modeling and design approach is proposed for nanoparticle-based electromagnetic
devices. First, the structure properties were analytically studied using
Maxwell's equations. The method provides us a robust link between nanoparticles
electromagnetic response (amplitude and phase) and their geometrical
characteristics (shape, geometry, and dimensions). Secondly, new designs based on
"metamaterial" concept are proposed, demonstrating great performances in terms of
wide-angle range functionality and multi/wide behavior, compared to conventional
devices working at the same frequencies. The approach offers potential
applications to build-up new advanced platforms for sensing and medical
diagnostics. Therefore, in the final part of the article, some practical examples
are reported such as cancer detection, water content measurements, chemical
analysis, glucose concentration measurements and blood diseases monitoring.
PMID- 29652854
TI - Phylogenetic Analysis and Pathogenicity Assessment of the Emerging Recombinant
Subgroup K of Avian Leukosis Virus in South China.
AB - In recent years, cases of avian leukosis virus (ALV) infection have become more
frequent in China. We isolated 6 ALV strains from yellow feather broiler breeders
in south China from 2014 to 2016. Their full genomes were sequenced, compared,
and analyzed with other reference strains of ALV. The complete genomic nucleotide
sequences of GD150509, GD160403, GD160607, GDFX0601, and GDFX0602 were 7482 bp in
length, whereas GDFX0603 was 7480 bp. They shared 99.7% to 99.8% identity with
each other. Homology analysis showed that the gag, pol, long terminal repeats
(LTRs), and the transmembrane region (gp37) of the env genes of the 6 viruses
were well conserved to endogenous counterpart sequences (>97.8%). However, the
gp85 genes displayed high variability with any known chicken ALV strains. Growth
kinetics of DF-1 cells infected with the isolated ALV showed viral titers that
were lower than those infected with the GD13 (ALV-A), CD08 (ALV-B), and CHN06
(ALV-J) on day 7 post-infection. The infected Specific-pathogen-free (SPF)
chickens could produce continuous viremia, atrophy of immune organs, growth
retardation and no tumors were observed. These subgroup ALVs are unique and may
be common in south China. The results suggested that updating the control and
eradication program of exogenous ALV for yellow feather broiler breeders in south
China needs to be considered because of the emergence of the new subgroup
viruses.
PMID- 29652855
TI - A New Model for the Dynamics of Hepatitis C Infection: Derivation, Analysis and
Implications.
AB - We review various existing models of hepatitis C virus (HCV) infection and show
that there are inconsistencies between the models and known behaviour of the
infection. A new model for HCV infection is proposed, based on various dynamical
processes that occur during the infection that are described in the literature.
This new model is analysed, and three steady state branches of solutions are
found when there is no stem cell generation of hepatocytes. Unusually, the branch
of infected solutions that connects the uninfected branch and the pure infection
branch can be found analytically and always includes a limit point, subject to a
few conditions on the parameters. When the action of stem cells is included, the
bifurcation between the pure infection and infected branches unfolds, leaving a
single branch of infected solutions. It is shown that this model can generate
various viral load profiles that have been described in the literature, which is
confirmed by fitting the model to four viral load datasets. Suggestions for
possible changes in treatment are made based on the model.
PMID- 29652857
TI - Indoor Radon Exposure in Italian Schools.
AB - BACKGROUND: The aim of the study was to assess radon concentration in schoolrooms
in a city located in the midwest of Italy. METHODS: A two-phase environmental
study was carried out in 19 school buildings of 16 primary, secondary, and
tertiary schools. RESULTS: Median (interquartile range-IQR) indoor radon
concentration in schoolrooms was 91.6 (45.0-140.3) Bq/m3. The highest (median
952.8 Bq/m3) radon concentration was found in one (3.6%) classroom, located in a
building of a primary school whose median concentration was 185 Bq/m3. Radon
concentration was significantly correlated with the number of students and
teachers, foundation wall construction material, and with the absence of
underground floors. A geopedological survey was performed close to the building
with highest radon level, showing the presence of granite and tonalithic
granodiorite in the soil. CONCLUSIONS: Radon levels should be routinely assessed
where individuals live or work. Schools are susceptible targets, because of
childhood stay and the long daily stay of occupants. Low-cost interventions, such
as implementation of natural air ventilation and school maintenance, can reduce
radon levels, limiting individual exposure.
PMID- 29652859
TI - Carbon Emission Reduction with Capital Constraint under Greening Financing and
Cost Sharing Contract.
AB - Motivated by the industrial practices, this work explores the carbon emission
reductions for the manufacturer, while taking into account the capital constraint
and the cap-and-trade regulation. To alleviate the capital constraint, two
contracts are analyzed: greening financing and cost sharing. We use the
Stackelberg game to model four cases as follows: (1) in Case A1, the manufacturer
has no greening financing and no cost sharing; (2) in Case A2, the manufacturer
has greening financing, but no cost sharing; (3) in Case B1, the manufacturer has
no greening financing but has cost sharing; and, (4) in Case B2, the manufacturer
has greening financing and cost sharing. Then, using the backward induction
method, we derive and compare the equilibrium decisions and profits of the
participants in the four cases. We find that the interest rate of green finance
does not always negatively affect the carbon emission reduction of the
manufacturer. Meanwhile, the cost sharing from the retailer does not always
positively affect the carbon emission reduction of the manufacturer. When the
cost sharing is low, both of the participants' profits in Case B1 (under no
greening finance) are not less than that in Case B2 (under greening finance).
When the cost sharing is high, both of the participants' profits in Case B1
(under no greening finance) are less than that in Case B2 (under greening
finance).
PMID- 29652858
TI - Oncosuppressors and Oncogenes: Role in Haemangioma Genesis and Potential for
Therapeutic Targeting.
AB - Genetic lesions in proto-oncogenes result in the perturbation of angiogenesis,
the formation of neovessels from a pre-existing microvasculature. Similarly, the
subversion of tumor suppressor genes promotes tumor vascularization. Excessive
neovessel formation is associated with various neoplasms such as infantile
hemangiomas (IH). Hemangiomas are the most common tumors in pediatric patients
and at present have no definitive treatment. The pathogenesis of IH is not well
understood; however, both vasculogenesis and angiogenesis are associated with
hemangioma genesis. A number of factors that modulate angiogenesis and
vasculogenesis have been shown to be dysregulated in IH. Several of the oncogenes
and tumor suppressors linked to the promotion of angiogenesis are also altered in
infantile hemangioma. In this review, the roles of oncogenes and tumor suppressor
genes during neovascularization and hemangioma genesis are explored. In addition,
the potential for targeting these genes in IH therapy is discussed.
PMID- 29652860
TI - Atomic Force Microscopy Based Tip-Enhanced Raman Spectroscopy in Biology.
AB - Most biological phenomena occur at the nanometer scale, which is not accessible
by the conventional optical techniques because of the optical diffraction
limitation. Tip-enhanced Raman spectroscopy (TERS), one of the burgeoning probing
techniques, not only can provide the topography characterization with high
resolution, but also can deliver the chemical or molecular information of a
sample beyond the optical diffraction limitation. Therefore, it has been widely
used in various structural analyses pertaining to materials science, tissue
engineering, biological processes and so on. Based on the different feedback
mechanisms, TERS can be classified into three types: atomic force microscopy
based TERS system (AFM-TERS), scanning tunneling microscopy based TERS system
(STM-TERS) and shear force microscopy based TERS system (SFM-TERS). Among them,
AFM-TERS is the most widely adopted feedback system by live biosamples because it
can work in liquid and this allows the investigation of biological molecules
under native conditions. In this review, we mainly focus on the applications of
AFM-TERS in three biological systems: nucleic acids, proteins and pathogens. From
the TERS characterization to the data analysis, this review demonstrates that AFM
TERS has great potential applications to visually characterizing the biomolecular
structure and crucially detecting more nano-chemical information of biological
systems.
PMID- 29652861
TI - Separate and Combined Response to UV-B Radiation and Jasmonic Acid on
Photosynthesis and Growth Characteristics of Scutellaria baicalensis.
AB - The negative effects of enhanced ultraviolet-B (UV-B) on plant growth and
development have been reported with many species. Considering the ability of
jasmonic acid (JA) to improve plant stress tolerance, the hypothesis that JA
pretreatment could alleviate the adverse effects of UV-B on S. baicalensis was
tested in this study with photosynthesis and growth characteristics. The results
showed that UV-B or JA alone both induced photosynthesis inhibition and decreased
biomass in stems and leaves. However, the photosynthetic reduction caused by
increased UV-B was mainly related to the effect of nonstomatal-limitation, while
that of JA was a stomatal-limitation effect. JA pretreatment prior to UV-B could
remit the photosynthetic inhibition via the recovery of chlorophyll content,
stomatal conductance; and intercellular CO2 concentration (especially the maximum
electron transport rate increase). Furthermore, the coaction of JA and enhanced
UV-B alleviated some disadvantageous effects on the leaf and did not aggravate
the growth damage induced by their separate actions.
PMID- 29652856
TI - Temperature Effects Explain Continental Scale Distribution of Cyanobacterial
Toxins.
AB - Insight into how environmental change determines the production and distribution
of cyanobacterial toxins is necessary for risk assessment. Management guidelines
currently focus on hepatotoxins (microcystins). Increasing attention is given to
other classes, such as neurotoxins (e.g., anatoxin-a) and cytotoxins (e.g.,
cylindrospermopsin) due to their potency. Most studies examine the relationship
between individual toxin variants and environmental factors, such as nutrients,
temperature and light. In summer 2015, we collected samples across Europe to
investigate the effect of nutrient and temperature gradients on the variability
of toxin production at a continental scale. Direct and indirect effects of
temperature were the main drivers of the spatial distribution in the toxins
produced by the cyanobacterial community, the toxin concentrations and toxin
quota. Generalized linear models showed that a Toxin Diversity Index (TDI)
increased with latitude, while it decreased with water stability. Increases in
TDI were explained through a significant increase in toxin variants such as MC
YR, anatoxin and cylindrospermopsin, accompanied by a decreasing presence of MC
LR. While global warming continues, the direct and indirect effects of increased
lake temperatures will drive changes in the distribution of cyanobacterial toxins
in Europe, potentially promoting selection of a few highly toxic species or
strains.
PMID- 29652862
TI - Inhibitory Effects of Human Primary Intervertebral Disc Cells on Human Primary
Osteoblasts in a Co-Culture System.
AB - Spinal fusion is a common surgical procedure to address a range of spinal
pathologies, like damaged or degenerated discs. After the removal of the
intervertebral disc (IVD), a structural spacer is positioned followed by internal
fixation, and fusion of the degenerated segment by natural bone growth. Due to
their osteoinductive properties, bone morphogenetic proteins (BMP) are applied to
promote spinal fusion. Although spinal fusion is successful in most patients, the
rates of non-unions after lumbar spine fusion range from 5% to 35%. Clinical
observations and recent studies indicate, that the incomplete removal of disc
tissue might lead to failure of spinal fusion. Yet, it is still unknown if a
secretion of BMP antagonists in intervertebral disc (IVD) cells could be the
reason of inhibition in bone formation. In this study, we co-cultured human
primary osteoblasts (OB) and IVD cells i.e., nucleus pulposus (NPC), annulus
fibrosus (AFC) and cartilaginous endplate cells (CEPC), to test the possible
inhibitory effect from IVD cells on OB. Although we could see a trend in lower
matrix mineralization in OB co-cultured with IVD cells, results of alkaline
phosphatase (ALP) activity and gene expression of major bone genes were
inconclusive. However, in NPC, AFC and CEPC beads, an up-regulation of several
BMP antagonist genes could be detected. Despite being able to show several
indicators for an inhibition of osteoinductive effects due to IVD cells, the
reasons for pseudarthrosis after spinal fusion remain unclear.
PMID- 29652863
TI - Ship Detection from Ocean SAR Image Based on Local Contrast Variance Weighted
Information Entropy.
AB - Ship detection from synthetic aperture radar (SAR) images is one of the crucial
issues in maritime surveillance. However, due to the varying ocean waves and the
strong echo of the sea surface, it is very difficult to detect ships from
heterogeneous and strong clutter backgrounds. In this paper, an innovative ship
detection method is proposed to effectively distinguish the vessels from complex
backgrounds from a SAR image. First, the input SAR image is pre-screened by the
maximally-stable extremal region (MSER) method, which can obtain the ship
candidate regions with low computational complexity. Then, the proposed local
contrast variance weighted information entropy (LCVWIE) is adopted to evaluate
the complexity of those candidate regions and the dissimilarity between the
candidate regions with their neighborhoods. Finally, the LCVWIE values of the
candidate regions are compared with an adaptive threshold to obtain the final
detection result. Experimental results based on measured ocean SAR images have
shown that the proposed method can obtain stable detection performance both in
strong clutter and heterogeneous backgrounds. Meanwhile, it has a low
computational complexity compared with some existing detection methods.
PMID- 29652864
TI - Honey Bee as Alternative Medicine to Treat Eleven Multidrug-Resistant Bacteria
Causing Urinary Tract Infection during Pregnancy.
AB - Medicinal benefits of honey bee have been recognized in the medical community
since ancient times as a remedy for many diseases and infections. This study
aimed to investigate the in vitro susceptibility of 11 multidrug-resistant
bacterial strains, isolated from urinary tract infections of pregnant women, to
six honey samples collected from different localities in the east of Algeria. The
evaluation of the antibacterial activity was performed by the well method
followed by the broth dilution method using two-fold dilutions of each honey
sample ranging from 2.5 to 80% (w/v). The results obtained in this study revealed
that all tested honeys exhibited potent antibacterial activity against the tested
strains. The diameters of inhibition ranged from 19.67 to 53.33 mm, with minimum
inhibitory concentrations (MICs) ranging from 2.5 to 40% (w/v) and minimum
bactericidal concentration (MBCs) varied between 2.5 and 80% (w/v). Gram-positive
bacteria were found to be more susceptible than Gram-negative bacteria with
diameters ranging from 43.33 to 53.33 mm; MIC and MBC values ranged from 2.5 to
5% (w/v). The P.aeruginosa strain was found to be less susceptible than other
strains with inhibitory diameters ranging from 19.67 to 27.33 mm; MICs ranged
from 20 to 40% and MBCs ranged from 20 to 80% (w/v). This contribution has
provided a broad overview of the antibacterial activity of Algerian honey and
shown that honey bee has great potential for therapeutic use as an alternative
therapy for urinary tract infection treatment which is safe and efficient during
pregnancy.
PMID- 29652866
TI - Optimizing the Energy and Throughput of a Water-Quality Monitoring System.
AB - This work presents a new approach to the maximization of energy and throughput in
a wireless sensor network (WSN), with the intention of applying the approach to
water-quality monitoring. Water-quality monitoring using WSN technology has
become an interesting research area. Energy scarcity is a critical issue that
plagues the widespread deployment of WSN systems. Different power supplies,
harvesting energy from sustainable sources, have been explored. However, when
energy-efficient models are not put in place, energy harvesting based WSN systems
may experience an unstable energy supply, resulting in an interruption in
communication, and low system throughput. To alleviate these problems, this paper
presents the joint maximization of the energy harvested by sensor nodes and their
information-transmission rate using a sum-throughput technique. A wireless
information and power transfer (WIPT) method is considered by harvesting energy
from dedicated radio frequency sources. Due to the doubly near-far condition that
confronts WIPT systems, a new WIPT system is proposed to improve the fairness of
resource utilization in the network. Numerical simulation results are presented
to validate the mathematical formulations for the optimization problem, which
maximize the energy harvested and the overall throughput rate. Defining the
performance metrics of achievable throughput and fairness in resource sharing,
the proposed WIPT system outperforms an existing state-of-the-art WIPT system,
with the comparison based on numerical simulations of both systems. The improved
energy efficiency of the proposed WIPT system contributes to addressing the
problem of energy scarcity.
PMID- 29652865
TI - Calsenilin, a Presenilin Interactor, Regulates RhoA Signaling and Neurite
Outgrowth.
AB - Calsenilin modulates A-type potassium channels, regulates presenilin-mediated
gamma-secretase activity, and represses prodynorphin and c-fos genes expression.
RhoA is involved in various cellular functions including proliferation,
differentiation, migration, transcription, and regulation of the actin
cytoskeleton. Although recent studies demonstrate that calsenilin can directly
interact with RhoA and that RhoA inactivation is essential for neuritogenesis, it
is uncertain whether there is a link between calsenilin and RhoA-regulated
neuritogenesis. Here, we investigated the role of calsenilin in RhoA-regulated
neuritogenesis using in vitro and in vivo systems. We found that calsenilin
induced RhoA inactivation, which accompanied RhoA phosphorylation and the reduced
phosphorylation levels of LIM kinase (LIMK) and cofilin. Interestingly, PC12
cells overexpressing either full-length (FL) or the caspase 3-derived C-terminal
fragment (CTF) of calsenilin significantly inactivated RhoA through its
interaction with RhoA and p190 Rho GTPase-activating protein (p190RhoGAP). In
addition, cells expressing FL and the CTF of calsenilin had increased neurite
outgrowth compared to cells expressing the N-terminal fragment (NTF) of
calsenilin or vector alone. Moreover, Tat-C3 and Y27632 treatment significantly
increased the percentage of neurite-bearing cells, neurite length, and the number
of neurites in cells. Finally, calsenilin deficiency in the brains of calsenilin
knockout mice significantly interfered with RhoA inactivation. These findings
suggest that calsenilin contributes to neuritogenesis through RhoA inactivation.
PMID- 29652867
TI - Intercomparison on Four Irrigated Cropland Maps in Mainland China.
AB - Wide-coverage spatial information on irrigated croplands is a vital foundation
for food security and water resources studies at the regional level. Several
global irrigated-cropland maps have been released to the public over the past
decade due to the efforts of the remote sensing community. However, the
consistency and discrepancy between these maps is largely unknown because of a
lack of comparative studies, limiting their use and improvement. To close this
knowledge gap, we compared the latest four irrigated-cropland datasets (GMIA,
GRIPC, GlobCover, and GFSAD) in mainland China. First, the four maps were
compared quantitatively and neutral regional- and provincial-level statistics of
the relative proportions of irrigated land were obtained through regression
analysis. Second, we compared the similarities and discrepancies of the datasets
on spatial grids. Furthermore, the contributions of mosaic cropland pixels in
GlobCover and GFSAD were also analyzed because of their extensive distribution
and ambiguous content. Results showed that GMIA has the lowest dispersion and
best statistical correlation followed by GRIPC, while the corresponding features
of GlobCover and GFSAD are approximately equal. Spatial agreement of the four
maps is higher in eastern than western China, and disagreement is contributed
mostly by GlobCover and GFSAD. However, divergence exists in the ratios of the
different agreement levels, as well as their sources, on a regional scale. Mosaic
pixels provide more than half of the irrigated areas for GlobCover and GFSAD, and
they include both correct and incorrect information. Our results indicate a need
for a uniform quantitative classification system and for greater focus on
heterogeneous regions. Furthermore, the results demonstrate the advantage of
numerical restriction in the calculations. Therefore, special attention should be
paid to integrating databases and to exploring remote sensing features and
methods for spatial reconstruction and identification of untypical irrigation
areas.
PMID- 29652868
TI - Nature Disaster Risk Evaluation with a Group Decision Making Method Based on
Incomplete Hesitant Fuzzy Linguistic Preference Relations.
AB - Because the natural disaster system is a very comprehensive and large system, the
disaster reduction scheme must rely on risk analysis. Experts' knowledge and
experiences play a critical role in disaster risk assessment. The hesitant fuzzy
linguistic preference relation is an effective tool to express experts'
preference information when comparing pairwise alternatives. Owing to the lack of
knowledge or a heavy workload, information may be missed in the hesitant fuzzy
linguistic preference relation. Thus, an incomplete hesitant fuzzy linguistic
preference relation is constructed. In this paper, we firstly discuss some
properties of the additive consistent hesitant fuzzy linguistic preference
relation. Next, the incomplete hesitant fuzzy linguistic preference relation, the
normalized hesitant fuzzy linguistic preference relation, and the acceptable
hesitant fuzzy linguistic preference relation are defined. Afterwards, three
procedures to estimate the missing information are proposed. The first one deals
with the situation in which there are only n-1 known judgments involving all the
alternatives; the second one is used to estimate the missing information of the
hesitant fuzzy linguistic preference relation with more known judgments; while
the third procedure is used to deal with ignorance situations in which there is
at least one alternative with totally missing information. Furthermore, an
algorithm for group decision making with incomplete hesitant fuzzy linguistic
preference relations is given. Finally, we illustrate our model with a case study
about flood disaster risk evaluation. A comparative analysis is presented to
testify the advantage of our method.
PMID- 29652869
TI - Effect of Sustained Hypoxia on Autophagy of Genioglossus Muscle-Derived Stem
Cells.
AB - BACKGROUND Previous studies have demonstrated that sustained hypoxia in people
with obstructive sleep apnea (OSA) impairs upper airway muscle activity, but the
underlying mechanism remains poorly understood. As autophagy acts as an important
regulator under hypoxia stress, we performed an in vitro investigation of the
effects of sustained hypoxia on autophagy of genioglossus muscle-derived stem
cells (GG MDSC), an important component of the upper airway muscle. MATERIAL AND
METHODS Genioglossus MDSCs were obtained from Sprague-Dawley (SD) rats and
identified by using immunofluorescence staining for CD34, Sca-1, and desmin. GG
MDSCs were incubated under normoxic or sustained hypoxic conditions for different
periods of time. Western blotting was used to detect LC3 and Beclin 1, which are
2 important proteins in autophagy flux, and autophagolysosomes accumulation was
observed by transmission electron microscopy (TEM). The mRNA and protein levels
of HIF-1alpha and BNIP3 were evaluated by RT-PCR and Western blot analysis,
respectively. RESULTS Our study shows that sustained hypoxia promotes the
expression of LC3BII and Beclin 1 in GG MDSCs in a time-dependent manner. TEM
showed an increased number of autophagolysosomes in GG MDSCs under sustained
hypoxia for 12 and 24 h. In addition, hypoxia activated the HIF-1alpha/BNIP3
signal pathway both at protein levels (shown by Western blot) and at mRNA levels
(shown by RT-PCR). CONCLUSIONS Our study shows that sustained hypoxia promotes
autophagy in GG MDSCs, and the HIF-1a/BNIP3 signal pathway was involved in this
process.
PMID- 29652870
TI - Surveillance for the identification of cases of acute respiratory infection by
enterovirus D68 in children in a tertiary level care hospital during 2014-2016.
AB - Background: The reemergence of enterovirus D68 (EV-D68) infections in the United
States was reported from August-October 2014 (691 cases). In Mexico, an outbreak
at the National Institute of Respiratory Diseases was reported (24 cases). The
results of epidemiological surveillance of Enterovirus sp. (EV) and other
respiratory viruses in a national pediatric tertiary care level hospital are
presented. Methods: Following the alert issued by the reemergence of EV-D68 in
2014, epidemiological surveillance -which only detected respiratory viruses by
PCR in patients with influenza-like illness using nasopharyngeal swabs- expanded
to include children with asthma exacerbation or acute respiratory distress.
Positive samples to EV were confirmed and typed by sequencing. Subsequent
sequencing was used to obtain the complete viral genome. Results: Of 1705
samples, 13 were positive to EV. Patients with EV presented the following
comorbidities: chronic lung disease (7.7%), neoplastic disease (15.4%), allergic
asthma/rhinitis (23%), recurrent pneumonia (23%), and other (23%). Of the 13
samples positive for EV, three were positive for EV-D68. These cases required
invasive mechanical ventilation, presented no neurological involvement and
survived. Conclusions: The impact of the population studied by EV-D68 was lower
than that reported in Mexico during the same period. Cases of EV-D68 infection
had multiple comorbidities, but few pulmonary comorbidities, which could explain
the low attack rate. The epidemiological surveillance and infection prevention
system may have contained the outbreak.
PMID- 29652871
TI - Calcium-creatinine ratio in a morning urine sample for the estimation of
hypercalciuria associated with non-glomerular hematuria observed in children and
adolescents.
AB - Background: Hypercalciuria might be revealed during the differential diagnosis of
hematuria accompanying renal lithiasis (RL). In spite of this, diagnostic
accuracy of calcium urinary excretion might be affected by incomplete 24-hour
urine collections. In the present study, the diagnostic utility of
calcium/creatinine (ICaCre) index for determining hypercalciuria associated with
non-glomerular hematuria (NGH) and RL was assessed. Method: ICaCre (mg/mg) index
was calculated from calcium (mmol/l) and creatinine (umol/l) concentrations in an
aliquot from a 24-hour urine collection in 169 children and adolescents with NGH
or RL. Calciuria values > 4.0 mg/kg in 24 hours were distributed according to the
presence of NGH or RL. Results: Mean ICaCre index was 0.2 +/- 0.1 mg/mg.
Calciuria values estimated from ICaCre were statistically higher to those from 24
hour urine collection (p < 0.05). The frequency of hypercalciuria was independent
from the measurement method (estimated from ICaCre 39.5% vs. 24 h collection
32.1%; p > 0.05). Hypercalciuria distribution was as follows: no NGH + no RL:
59.0%; no NGH + RL: 60.0% (? = +1.0%); NGH + no RL: 68.2% (? = +9.2%); NGH + RL:
73.3% (? = +14.4%). Conclusions: The use of ICaCre index for determining calcium
urine excretion might be effective in the study of hypercalciuria associated with
NGH and RL.
PMID- 29652872
TI - Medical management of transgender children and adolescents.
AB - Transgender or gender nonconforming (GN) persons identify themselves with a
gender which is different from that correspondent to their birth sex. The demand
for health services by families with transgender children or adolescents tends to
increase. The prevalence of GN in children is unknown; however, it has been
estimated to be > 1% in adolescents. Transgender persons are at increased risk of
depression, suicide, illicit drug abuse, human immunodeficiency virus infection,
and non-accidental injury. The most accepted model for the care of transgender
persons assumes that these adverse outcomes are the consequence of social
margination rather than the GI condition itself. Social and physical gender
transition seem to be effective in increasing the well-being of people with GI
and reducing associated health risks. Mental health professionals can support the
child in the process of social transition. The pediatric endocrinologist may
offer puberty blockade and cross-sex induction of puberty. Surgical sex
reassignment interventions are reserved for adults. Gender identity, gender
expression and sexual orientation are three relatively independent categories
that can take any value, which is not necessarily binary (male/female) or fixed.
Health professionals should be familiar with these concepts in order to offer the
best resources available to optimize the well-being of each transgender child or
adolescent with an individualized approach.
PMID- 29652874
TI - Evaluation of the Boletin Medico del Hospital Infantil de Mexico by the
Literature Selection Technical Review Committee of the National Library of
Medicine.
PMID- 29652875
TI - Evaluation of recurrence of musculoskeletal tumors with thallium-201 scintigraphy
plus SPECT/CT in pediatric population.
AB - Background: Imaging studies, particularly simple and contrast-enhanced
tomography, constitute the first diagnostic approach to detect recurrence of
musculoskeletal tumors. The aim of the present retrospective study was to
demonstrate the usefulness of scintigraphy plus SPECT/CT (single photon emission
computed tomography) with thallium-201 (201Tl) in the evaluation of malignant
musculoskeletal tumors with suspicion of recurrence or metastatic disease.
Methods: Eight weeks after the last therapy, 72 scintigraphy and SPECT/CT studies
were performed to assess regional recurrence and metastatic disease in 42
patients with different types of malignant musculoskeletal tumors, such as
osteosarcoma, Ewing's sarcoma, rhabdomyosarcoma, retinoblastoma, synovial
sarcoma, and Wilms tumor at the Hospital Infantil de Mexico Federico Gomez. The
positive predictive value (PPV) and the confidence interval of the scintigraphy
and SPECT/CT were calculated when compared with the results of the
histopathological analysis and the clinical and radiological follow-up for the
identification of recurrence. Results: Scintigraphy was abnormal in 30 (71.4%) of
the 42 patients; 33 lesions (30 patients) were detected by scintigraphy and 25
lesions (21 patients) by chest X-ray and tomography of two regions. The SPECT/CT
was performed on 30 patients, where 12 lesions were detected in addition to the
planar scintigraphy. Scintigraphy showed a PPV of 82%; SPECT/CT, 100%.
Conclusion: 201Tl-scintigraphy can be considered as an adequate study to identify
the sites of tumor viability with a high degree of diagnostic certainty combined
with the SPECT/CT technique.
PMID- 29652876
TI - Rehabilitation of sucking and swallowing alterations in premature newborn at the
neonatal intensive care unit.
AB - Over the last 20 years, 640 premature newborns with alterations in suction
deglution have been taken care of in the neonatal intensive care unit at the
Hospital Infantil de Mexico Federico Gomez, using techniques for patients with
immaturity, and neurological, gastrointestinal, cardiologic and respiratory
pathologies. This descriptive study includes the treatment employed mainly in
premature newborns during this period. Suction, swallowing and breathing
coordination are some of the most complex abilities that premature newborns face,
due to their anatomofunctional immaturity and improper sensoriomotor integration
for the high energy requirements they must meet. Sucking and swallowing are
voluntary and involuntary processes that guarantee the safe passage of food from
mouth to stomach, and require the coordination of the cranial nerves, the brain
stem and cerebral cortex and muscles of the mouth, pharynx and esophagus. The
rehabilitation treatment consists in the positioning of the newborn and
caretaker, adaptation of teat, regulation of muscle tone and progressive intake
of milk. The feeding processing was reduced to 1.5 weeks in newborns submitted to
treatment, whereas in those who did not receive the treatment, the proccess took
up to 3 weeks.
PMID- 29652877
TI - Oscar Miguel Aguirre Jauregui (1939-2017).
PMID- 29652878
TI - Prevalence of exclusive breastfeeding in the healthy newborn.
AB - Background: The best nourishment for infants during the first 6 months of life is
exclusive breastfeeding. It is recommended along with other food to complement
the diet until the child is 2 years old, as long as the mother and the child are
willing to continue with it. The objectives of this study were to determine he
exclusive breastfeeding rate in full term newborns at hospital discharge and 15
days later and to analyze the factors that positively affect the exclusive
breastfeeding. Methods: A prospective study was conducted in which a sample of
postpartum women with full term newborns was recruited during hospital admission.
Different variables were compiled and two interviews were made to determine the
kind of feeding they were giving their children and if it was maintained at 15
days of birth. Results: Exclusive breastfeeding rate at hospital discharge is
much lower than recommended. It significantly decreases at 15 days of birth,
increasing artificial feeding. It seems that having a vaginal birth, no
complications giving birth, providing early breastfeeding and skin-to-skin
contact in the delivery room are predisposing factors necessary to establish a
good breastfeeding at hospital discharge. Conclusions: Despite the efforts of
professionals, the percentage of newborns with exclusive breastfeeding at birth
is not enough for the current recommendations.
PMID- 29652879
TI - Biobeam-Multiplexed wave-optical simulations of light-sheet microscopy.
AB - Sample-induced image-degradation remains an intricate wave-optical problem in
light-sheet microscopy. Here we present biobeam, an open-source software package
that enables simulation of operational light-sheet microscopes by combining data
from 105-106 multiplexed and GPU-accelerated point-spread-function calculations.
The wave-optical nature of these simulations leads to the faithful reproduction
of spatially varying aberrations, diffraction artifacts, geometric image
distortions, adaptive optics, and emergent wave-optical phenomena, and renders
image-formation in light-sheet microscopy computationally tractable.
PMID- 29652880
TI - Correction: PLOS Neglected Tropical Diseases 2017 Reviewer and Editorial Board
Thank You.
AB - [This corrects the article DOI: 10.1371/journal.pntd.0006359.].
PMID- 29652881
TI - The simultaneous assessment of glycosylated hemoglobin, fasting plasma glucose
and oral glucose tolerance test does not improve the detection of type 2 diabetes
mellitus in Colombian adults.
AB - INTRODUCTION: Guidelines recommend early detection of type 2 diabetes mellitus
(DM2). The objective of the present study was to evaluate the capacity to
identify DM2 in subjects that were screened for DM2 simultaneously with all three
of the tests recommended-fasting plasma glucose (FPG), glycosylated hemoglobin
(HbA1c) and a 2-hour post 75-g oral glucose tolerance test (OGTT). METHODS AND
RESULTS: The present analysis of an anonymous database of 1113 adults from a
reference clinical laboratory in Bucaramanga, Colombia, was an observational,
descriptive, cross-sectional secondary source study. 259 individuals met at least
one of the criteria for DM2: FPG >= 126mg/dL (7.0mmol/L), HbA1c >= 6.5%
(48mmol/mol) and OGTT >= 200mg/dL (11.1mmol/L). 30 subjects (2.7%) were diabetic
according to FPG, 56 subjects (5.0%) by HbA1c and 250 subjects (22.5%) by OGTT.
In total 259 subjects (23.3% [IC 95%: 20.7%- 25.8%] were diabetic either by FPG,
OGTT or HbA1c. DISCUSSION: The largest number of patients were identified as
diabetic with the OGTT. The combination of two or three tests did not increase
the detection of new cases of DM2. Our findings suggest that routinely requesting
FPG, OGTT and HbA1c at the same time may be inappropriate in at risk individuals,
as this approach does not significantly improve the diagnostic capacity compared
to using FPG+OGTT and substantially increases the financial burden on the health
system, insurers or individual.
PMID- 29652882
TI - Association between supplementary private health insurance and visits to
physician offices versus hospital outpatient departments among adults with
diabetes in the universal public insurance system.
AB - BACKGROUND: Diabetes mellitus is a chronic disease with a high prevalence across
the world as well as in South Korea. Most cases of diabetes can be adequately
managed at physician offices, but many diabetes patients receive outpatient care
at hospitals. This study examines the relationship between supplementary private
health insurance (SPHI) ownership and the use of hospitals among diabetes
outpatients within the universal public health insurance scheme. METHODS: Data
from the 2011 Korea Health Panel, a nationally representative sample of Korean
individuals, was used. For the study, 6,379 visits for diabetes care were
selected while controlling for clustered errors. Multiple logistic regression
models were used to examine determinants of hospital outpatient services.
RESULTS: This study demonstrated that the variables of self-rated health status,
comorbidity, unmet need, and alcohol consumption significantly correlated with
the choice to use a hospital services. Patients with SPHI were more likely to use
medical services at hospitals by 1.71 times (95% CI 1.068-2.740, P = 0.026)
compared to patients without SPHI. CONCLUSIONS: It was confirmed that diabetic
patients insured by SPHI had more use of hospital services than those who were
not insured. People insured by SPHI seem to be more likely to use hospital
services because SPHI lightens the economic burden of care.
PMID- 29652883
TI - Correction: GOST: A generic ordinal sequential trial design for a treatment trial
in an emerging pandemic.
AB - [This corrects the article DOI: 10.1371/journal.pntd.0005439.].
PMID- 29652884
TI - Neochloris oleoabundans is worth its salt: Transcriptomic analysis under salt and
nitrogen stress.
AB - Neochloris oleoabundans is an oleaginous microalgal species that can be
cultivated in fresh water as well as salt water. Using salt water gives the
opportunity to reduce production costs and the fresh water footprint for large
scale cultivation. Production of triacylglycerols (TAG) usually includes a
biomass growth phase in nitrogen-replete conditions followed by a TAG
accumulation phase under nitrogen-deplete conditions. This is the first report
that provides insight in the saline resistance mechanism of a fresh water
oleaginous microalgae. To better understand the osmoregulatory mechanism of N.
oleoabundans during growth and TAG accumulating conditions, the transcriptome was
sequenced under four different conditions: fresh water nitrogen-replete and
deplete conditions, and salt water (525 mM dissolved salts, 448mM extra NaCl)
nitrogen-replete and -deplete conditions. In this study, several pathways are
identified to be responsible for salt water adaptation of N. oleoabundans under
both nitrogen-replete and -deplete conditions. Proline and the ascorbate
glutathione cycle seem to be of importance for successful osmoregulation in N.
oleoabundans. Genes involved in Proline biosynthesis were found to be upregulated
in salt water. This was supported by Nuclear magnetic resonance (NMR)
spectroscopy, which indicated an increase in proline content in the salt water
nitrogen-replete condition. Additionally, the lipid accumulation pathway was
studied to gain insight in the gene regulation in the first 24 hours after
nitrogen was depleted. Oil accumulation is increased under nitrogen-deplete
conditions in a comparable way in both fresh and salt water. The mechanism behind
the biosynthesis of compatible osmolytes can be used to improve N. oleoabundans
and other industrially relevant microalgal strains to create a more robust and
sustainable production platform for microalgae derived products in the future.
PMID- 29652885
TI - Phosphorelay through the bifunctional phosphotransferase PhyT controls the
general stress response in an alphaproteobacterium.
AB - Two-component systems constitute phosphotransfer signaling pathways and enable
adaptation to environmental changes, an essential feature for bacterial survival.
The general stress response (GSR) in the plant-protecting alphaproteobacterium
Sphingomonas melonis Fr1 involves a two-component system consisting of multiple
stress-sensing histidine kinases (Paks) and the response regulator PhyR; PhyR in
turn regulates the alternative sigma factor EcfG, which controls expression of
the GSR regulon. While Paks had been shown to phosphorylate PhyR in vitro, it
remained unclear if and under which conditions direct phosphorylation happens in
the cell, as Paks also phosphorylate the single domain response regulator SdrG,
an essential yet enigmatic component of the GSR signaling pathway. Here, we
analyze the role of SdrG and investigate an alternative function of the membrane
bound PhyP (here re-designated PhyT), previously assumed to act as a PhyR
phosphatase. In vitro assays show that PhyT transfers a phosphoryl group from
SdrG to PhyR via phosphoryl transfer on a conserved His residue. This finding, as
well as complementary GSR reporter assays, indicate the participation of SdrG and
PhyT in a Pak-SdrG-PhyT-PhyR phosphorelay. Furthermore, we demonstrate complex
formation between PhyT and PhyR. This finding is substantiated by PhyT-dependent
membrane association of PhyR in unstressed cells, while the response regulator is
released from the membrane upon stress induction. Our data support a model in
which PhyT sequesters PhyR, thereby favoring Pak-dependent phosphorylation of
SdrG. In addition, PhyT assumes the role of the SdrG-phosphotransferase to
activate PhyR. Our results place SdrG into the GSR signaling cascade and uncover
a dual role of PhyT in the GSR.
PMID- 29652886
TI - Simulations of camera-based single-molecule fluorescence experiments.
AB - Single-molecule microscopy has become a widely used technique in (bio)physics and
(bio)chemistry. A popular implementation is single-molecule Forster Resonance
Energy Transfer (smFRET), for which total internal reflection fluorescence
microscopy is frequently combined with camera-based detection of surface
immobilized molecules. Camera-based smFRET experiments generate large and complex
datasets and several methods for video processing and analysis have been
reported. As these algorithms often address similar aspects in video analysis,
there is a growing need for standardized comparison. Here, we present a Matlab
based software (MASH-FRET) that allows for the simulation of camera-based smFRET
videos, yielding standardized data sets suitable for benchmarking video
processing algorithms. The software permits to vary parameters that are relevant
in cameras-based smFRET, such as video quality, and the properties of the system
under study. Experimental noise is modeled taking into account photon statistics
and camera noise. Finally, we survey how video test sets should be designed to
evaluate currently available data analysis strategies in camera-based sm
fluorescence experiments. We complement our study by pre-optimizing and
evaluating spot detection algorithms using our simulated video test sets.
PMID- 29652887
TI - Ethnicity matching and outcomes after kidney transplantation in the United
Kingdom.
AB - BACKGROUND: Kidneys from non-white donors have inferior outcomes, but it is
unclear if ethnicity matching between donors and recipients achieves better post
kidney transplant outcomes. METHODS: We undertook a retrospective, population
cohort study utilising UK Transplant Registry data. The cohort comprised adult,
kidney-alone, transplant recipients receiving their first kidney transplant
between 2003-2015, with data censored at 1st October 2016. We included 27,970
recipients stratified into white (n = 23,215), black (n = 1,679) and south Asian
(n = 3,076) ethnicity, with median post-transplant follow-up of 1,676 days (IQR
716-2,869 days). Unadjusted and adjusted Cox regression survival analyses were
performed to investigate ethnicity effect on risk for graft loss and mortality.
RESULTS: In unadjusted analyses, matched ethnicity between donors-recipients
resulted in better outcomes for delayed graft function, one-year creatinine,
graft and patient survival but these differed by ethnicity matches. Compared to
white-to-white transplants, risk for death-censored graft loss was higher in
black-to-black and similar among Asian-to-Asian transplants, but mortality risk
was lower for both black-to-black and Asian-to-Asian transplants. In Cox
regression models, compared to white donors, we observed higher risk for graft
loss with both south Asian (HR 1.38, 95%CI 1.12-1.70, p = 0.003) and black (HR
1.66, 95%CI 1.30-2.11, p<0.001) donated kidneys independent of recipient
ethnicity. We observed no mortality difference with south Asian donated kidneys
but increased mortality with black donated kidneys (HR 1.68, 95%CI 1.21-2.35, p =
0.002). Matching ethnicities made no significant difference in any Cox regression
model. Similar results were observed after stratifying our analysis by living and
deceased-donor kidney transplantation. CONCLUSIONS: Our data confirm inferior
outcomes associated with non-white kidney donors for kidney transplant recipients
of any ethnicity in a risk-adjusted model for the United Kingdom population.
However, contrary to non-renal transplant literature, we did not identify any
survival benefits associated with donor-recipient ethnicity matching.
PMID- 29652888
TI - Sialome diversity of ticks revealed by RNAseq of single tick salivary glands.
AB - Ticks salivate while feeding on their hosts. Saliva helps blood feeding through
host anti-hemostatic and immunomodulatory components. Previous transcriptomic and
proteomic studies revealed the complexity of tick saliva, comprising hundreds of
polypeptides grouped in several multi-genic families such as lipocalins, Kunitz
domain containing peptides, metalloproteases, basic tail secreted proteins, and
several other families uniquely found in ticks. These studies also revealed that
the composition of saliva changes with time; expression of transcripts from the
same family wax and wane as a function of feeding time. Here, we examined whether
host immune factors could influence sialome switching by comparing sialomes of
ticks fed naturally on a rabbit, to ticks artificially fed on defibrinated blood
depleted of immune components. Previous studies were based on transcriptomes
derived from pools of several individuals. To get an insight into the uniqueness
of tick sialomes, we performed transcriptomic analyses of single salivary glands
dissected from individual adult female I. ricinus ticks. Multivariate analysis
identified 1,279 contigs differentially expressed as a function of time and/or
feeding mode. Cluster analysis of these contigs revealed nine clusters of
differentially expressed genes, four of which appeared consistently across
several replicates, but five clusters were idiosyncratic, pointing to the
uniqueness of sialomes in individual ticks. The disclosure of tick quantum
sialomes reveals the unique salivary composition produced by individual ticks as
they switch their sialomes throughout the blood meal, a possible mechanism of
immune evasion.
PMID- 29652889
TI - The rostromedial tegmental nucleus is essential for non-rapid eye movement sleep.
AB - The rostromedial tegmental nucleus (RMTg), also called the GABAergic tail of the
ventral tegmental area, projects to the midbrain dopaminergic system, dorsal
raphe nucleus, locus coeruleus, and other regions. Whether the RMTg is involved
in sleep-wake regulation is unknown. In the present study, pharmacogenetic
activation of rat RMTg neurons promoted non-rapid eye movement (NREM) sleep with
increased slow-wave activity (SWA). Conversely, rats after neurotoxic lesions of
8 or 16 days showed decreased NREM sleep with reduced SWA at lights on. The
reduced SWA persisted at least 25 days after lesions. Similarly, pharmacological
and pharmacogenetic inactivation of rat RMTg neurons decreased NREM sleep.
Electrophysiological experiments combined with optogenetics showed a direct
inhibitory connection between the terminals of RMTg neurons and midbrain
dopaminergic neurons. The bidirectional effects of the RMTg on the sleep-wake
cycle were mimicked by the modulation of ventral tegmental area (VTA)/substantia
nigra compacta (SNc) dopaminergic neuronal activity using a pharmacogenetic
approach. Furthermore, during the 2-hour recovery period following 6-hour sleep
deprivation, the amount of NREM sleep in both the lesion and control rats was
significantly increased compared with baseline levels; however, only the control
rats showed a significant increase in SWA compared with baseline levels.
Collectively, our findings reveal an essential role of the RMTg in the promotion
of NREM sleep and homeostatic regulation.
PMID- 29652890
TI - State tobacco control expenditures and tax paid cigarette sales.
AB - This research is the first nationally representative study to examine the
relationship between actual state-level tobacco control spending in each of the 5
CDC's Best Practices for Comprehensive Tobacco Control Program categories and
cigarette sales. We employed several alternative two-way fixed-effects regression
techniques to estimate the determinants of cigarette sales in the United States
for the years 2008-2012. State spending on tobacco control was found to have a
negative and significant impact on cigarette sales in all models that were
estimated. Spending in the areas of cessation interventions, health communication
interventions, and state and community interventions were found to have a
negative impact on cigarette sales in all models that were estimated, whereas
spending in the areas of surveillance and evaluation, and administration and
management were found to have negative effects on cigarette sales in only some
models. Our models predict that states that spend up to seven times their current
levels could still see significant reductions in cigarette sales. The findings
from this research could help inform further investments in state tobacco control
programs.
PMID- 29652892
TI - The effects of electrical field spatial spread and some cognitive factors on
speech-in-noise performance of individual cochlear implant users-A computer model
study.
AB - The relation of the individual speech-in-noise performance differences in
cochlear implant (CI) users to underlying physiological factors is currently
poorly understood. This study approached this research question by a step-wise
individualization of a computer model of speech intelligibility mimicking the
details of CI signal processing and some details of the physiology present in CI
users. Two factors, the electrical field spatial spread and internal noise (as a
coarse model of the individual cognitive performance) were incorporated. Internal
representations of speech-in-noise mixtures calculated by the model were
classified using an automatic speech recognizer backend employing Hidden Markov
Models with a Gaussian probability distribution. One-dimensional electric field
spatial spread functions were inferred from electrical field imaging data of 14
CI users. Simplified assumptions of homogenously distributed auditory nerve
fibers along the cochlear array and equal distance between electrode array and
nerve tissue were assumed in the model. Internal noise, whose standard deviation
was adjusted based on either anamnesis data, or text-reception-threshold data, or
a combination thereof, was applied to the internal representations before
classification. A systematic model evaluation showed that predicted speech
reception-thresholds (SRTs) in stationary noise improved (decreased) with
decreasing internal noise standard deviation and with narrower electric field
spatial spreads. The model version that was individualized to actual listeners
using internal noise alone (containing average spatial spread) showed significant
correlations to measured SRTs, reflecting the high correlation of the text
reception threshold data with SRTs. However, neither individualization to spatial
spread functions alone, nor a combined individualization based on spatial spread
functions and internal noise standard deviation did produce significant
correlations with measured SRTs.
PMID- 29652891
TI - Conditional equivalence testing: An alternative remedy for publication bias.
AB - We introduce a publication policy that incorporates "conditional equivalence
testing" (CET), a two-stage testing scheme in which standard NHST is followed
conditionally by testing for equivalence. The idea of CET is carefully considered
as it has the potential to address recent concerns about reproducibility and the
limited publication of null results. In this paper we detail the implementation
of CET, investigate similarities with a Bayesian testing scheme, and outline the
basis for how a scientific journal could proceed to reduce publication bias while
remaining relevant.
PMID- 29652893
TI - Glucose sensor-augmented continuous subcutaneous insulin infusion in patients
with diabetic gastroparesis: An open-label pilot prospective study.
AB - Erratic blood glucose levels can be a cause and consequence of delayed gastric
emptying in patients with diabetes. It is unknown if better glycemic control
increases risks of hypoglycemia or improves hemoglobin A1c levels and
gastrointestinal symptoms in diabetic gastroparesis. This study investigated the
safety and potential efficacy of continuous subcutaneous insulin infusion (CSII)
and continuous glucose monitoring (CGM) in poorly controlled diabetes with
gastroparesis. Forty-five type 1 or 2 patients with diabetes and gastroparesis
and hemoglobin A1c >8% from the NIDDK Gastroparesis Consortium enrolled in a 24
week open-label pilot prospective study of CSII plus CGM. The primary safety
outcome was combined numbers of mild, moderate, and severe hypoglycemic events at
screening and 24 weeks treatment. Secondary outcomes included glycemic excursions
on CGM, hemoglobin A1c, gastroparesis symptoms, quality-of-life, and liquid meal
tolerance. Combined mild, moderate, and severe hypoglycemic events occurred
similarly during the screening/run-in (1.9/week) versus treatment (2.2/week)
phases with a relative risk of 1.18 (95% CI 0.85-1.64, P = 0.33). CGM time in
hypoglycemia (<70 mg/dL) decreased from 3.9% to 1.8% (P<0.0001), time in
euglycemia (70-180 mg/dL) increased from 44.0% to 52.0% (P = 0.02), time in
severe hyperglycemia (>300 mg/dL) decreased from 14.2% to 7.0% (P = 0.005), and
hemoglobin A1c decreased from 9.4+/-1.4% to 8.3+/-1.3% (P = 0.001) on CSII plus
CGM. Symptom scores decreased from 29.3+/-7.1 to 21.9+/-10.2 with lower
nausea/vomiting, fullness/early satiety, and bloating/distention scores
(P<=0.001). Quality-of-life scores improved from 2.4+/-1.1 to 3.1+/-1.1
(P<0.0001) and volumes of liquid nutrient meals tolerated increased from 420+/
258 to 487+/-312 mL (P = 0.05) at 24 weeks. In conclusion, CSII plus CGM appeared
to be safe with minimal risks of hypoglycemic events and associated improvements
in glycemic control, gastroparesis symptoms, quality-of-life, and meal tolerance
in patients with poorly controlled diabetes and gastroparesis. This study
supports the safety, feasibility, and potential benefits of improving glycemic
control in diabetic gastroparesis.
PMID- 29652895
TI - Complications after transcatheter aortic valve implantation using transfemoral
and transapical approach in general anaesthesia.
AB - BACKGROUND: Transcatheter aortic valve implantation (TAVI) is a minimally
invasive procedure used to treat degenerative heart valve disease. The
implantation requires a highly specific and interdisciplinary management
approach. Currently, TAVI is performed with the patient under local or general
anaesthesia. METHODS: This study was a retrospective analysis of all TAVI
procedures performed at the University Hospital of Regensburg between January
2009 and July 2015. All pre-, intra and postoperative data focusing on
perioperative complications were recorded. RESULTS: A total of 853 transfemoral-
and transapical-TAVI patients were included in the study. All patients underwent
general anaesthesia. The ASA classifications were primarily 3-4. The average
logistic EuroScores for the transfemoral- and transapical-TAVI patients were 18
+/- 12% and 21 +/- 15% (p = 0.002), respectively. The anaesthesia coverage time
was 170 +/- 49 min., including 37 +/- 12 minutes for anaesthetic management.
Overall, 458 complications were recorded; with pneumonia, acute renal failure,
indication for a permanent pacemaker and non-extubation in the operating theatre
the most frequently recorded complications. CONCLUSION: In the present study, we
showed that our patients' outcomes are comparable to those reported in the
available literature. Compared to TF, TA patients show an overall worse physical
condition as well as a higher perioperative morbidity and mortality. Consequently
TA patients need additional care and should only be operated in appropriately
experienced medical centres.
PMID- 29652894
TI - Piperazinyl fragment improves anticancer activity of Triapine.
AB - A new class of TSCs containing piperazine (piperazinylogs) of Triapine, was
designed to fulfill the di-substitution pattern at the TSCs N4 position, which is
a crucial prerequisite for the high activity of the previously obtained TSC
compounds-DpC and Dp44mT. We tested the important physicochemical characteristics
of the novel compounds L1-L12. The studied ligands are neutral at physiological
pH, which allows them to permeate cell membranes and bind cellular Fe pools more
readily than less lipid-soluble ligands, e.g. DFO. The selectivity and anti
cancer activity of the novel TSCs were examined in a variety of cancer cell
types. In general, the novel compounds demonstrated the greatest promise as anti
cancer agents with both a potent and selective anti-proliferative activity. We
investigated the mechanism of action more deeply, and revealed that studied
compounds inhibit the cell cycle (G1/S phase). Additionally we detected
apoptosis, which is dependent on cell line's specific genetic profile.
Accordingly, structure-activity relationship studies suggest that the combination
of the piperazine ring with Triapine allows potent and selective anticancer
chelators that warrant further in vivo examination to be identified.
Significantly, this study proved the importance of the di-substitution pattern of
the amine N4 function.
PMID- 29652896
TI - A cohort study of the effects of older adult care dependence upon household
economic functioning, in Peru, Mexico and China.
AB - BACKGROUND: While links between disability and poverty are well established,
there have been few longitudinal studies to clarify direction of causality,
particularly among older adults in low and middle income countries. We aimed to
study the effect of care dependence among older adult residents on the economic
functioning of their households, in catchment area survey sites in Peru, Mexico
and China. METHODS: Households were classified from the evolution of the needs
for care of older residents, over two previous community surveys, as 'incident
care', 'chronic care' or 'no care', and followed up three years later to
ascertain economic outcomes (household income, consumption, economic strain,
satisfaction with economic circumstances, healthcare expenditure and residents
giving up work or education to care). RESULTS: Household income did not differ
between household groups. However, income from paid work (Pooled Count Ratio pCR
0.88, 95% CI 0.78-1.00) and government transfers (pCR 0.80, 95% CI 0.69-0.93)
were lower in care households. Consumption was 12% lower in chronic care
households (pCR 0.88, 95% CI 0.77-0.99). Household healthcare expenditure was
higher (pCR 1.55, 95% CI 1.26-1.90), and catastrophic healthcare spending more
common (pRR 1.64, 95% CI 1.64-2.22) in care households. CONCLUSIONS: While
endogeneity cannot be confidently excluded as an explanation for the findings,
this study indicates that older people's needs for care have a discernable impact
on household economics, controlling for baseline indicators of long-term economic
status. Although living, typically, in multigenerational family units, older
people have not featured prominently in global health and development agendas.
Population ageing will rapidly increase the number of households where older
people live, and their societal significance. Building sustainable long-term care
systems for the future will require some combination of improved income security
in old age; incentivisation of informal care through compensation for direct and
opportunity costs; and development of community care services to support, and,
where necessary, supplement or substitute the central role of informal
caregivers.
PMID- 29652897
TI - Oxidative stress and antioxidant defense responses in Acartia copepods in
relation to environmental factors.
AB - On a daily basis, planktonic organisms migrate vertically and thus experience
widely varying conditions in their physico-chemical environment. In the Gulf of
Finland, these changes are larger than values predicted by climate change
scenarios predicted for the next century (up to 0.5 units in pH and 5 degrees C
in temperature). In this work, we are interested in how temporal variations in
physico-chemical characteristics of the water column on a daily and weekly scale
influence oxidative stress level and antioxidant responses in the planktonic
copepod of the genus Acartia. Responses were determined from samples collected
during a two-week field survey in the western Gulf of Finland, Baltic Sea. Our
results showed that GST (Glutathione-S-transferase) enzyme activity increased in
the surface waters between Weeks I and II, indicating antioxidant defense
mechanism activation. This is most likely due to elevating temperature, pH, and
dissolved oxygen observed between these two weeks. During Week II also GSSG
(oxidized glutathione) was detected, indicating that copepods responded to
stressor(s) in the environment. Our results suggest that Acartia copepods seem
fairly tolerant to weekly fluctuations in environmental conditions in coastal and
estuarine areas, in terms of antioxidant defense and oxidative stress. This could
be directly connected to a very efficient glutathione cycling system acting as
antioxidant defense system for neutralizing ROS and avoiding elevated levels of
LPX.
PMID- 29652898
TI - Tooth loss and pneumonia mortality: A cohort study of Japanese dentists.
AB - Although associations between oral health and pneumonia have been reported in
previous studies, particularly in the institutionalized elderly, few prospective
studies have investigated the association between oral condition and pneumonia
among community-dwelling people and whether the findings among inpatients or
patients in nursing homes are applicable to the general population is still
unclear. The oral bacteria propagated in the periodontal regions may drop into
the lung and increase the risk of pneumonia. We, therefore, investigated the
association of tooth loss with mortality from pneumonia in a cohort study of
Japanese dentists. Members of the Japan Dental Association (JDA) participated in
the LEMONADE (Longitudinal Evaluation of Multi-phasic, Odontological and
Nutritional Associations in Dentists) Study. From 2001 to 2006, they completed a
baseline questionnaire on lifestyle and health factors including the number of
teeth lost (excluding third molars). We followed 19,775 participants (mean age +/
standard deviation, 51.4 +/- 11.7 years; 1,573 women [8.0%] and 18,202 men
[92.0%]) for mortality from pneumonia (ICD-10, J12-J18). Mortality data were
collected via the fraternal insurance program of the JDA. The hazard ratios (HRs)
were estimated with adjustment for sex, age, body mass index, smoking status,
physical activity and diabetes history. During the median follow-up period of 9.5
years, we documented 68 deaths from pneumonia. Participants who were edentulous
at baseline were at significantly increased risk of mortality from pneumonia. The
multivariable-adjusted HRs were 2.07 (95% confidence interval [CI], 1.09-3.95)
for the edentulous and 1.60 (95% CI, 0.83-3.10) for loss of 15-27 teeth relative
to loss of 0-14 teeth (trend p = 0.026). The HR per one tooth loss was also
significant; 1.031 (95% CI, 1.004-1.060). In conclusion, a large number of teeth
lost may indicate an increased risk of mortality from pneumonia in community
dwelling populations.
PMID- 29652899
TI - Self-care for common colds: A European multicenter survey on the role of
subjective discomfort and knowledge about the self-limited course - The COCO
study.
AB - INTRODUCTION: Common colds are the most frequently encountered disease worldwide
and the most frequent reason for self-care. According to the cross-sectional
European Common Colds study (COCO), patients use as many as 12 items on average
for self-care. Little is known about the influence of discomfort and knowledge on
self-care for common colds. MAIN OBJECTIVE: To understand the influence of
patients' discomfort during a cold and their knowledge about the self-limited
disease course on the use of self-care measures. MATERIALS AND METHODS: This COCO
analysis included 2,204 patients from 22 European primary care sites in 12
countries. Each site surveyed 120 consecutive adults with a 27-item questionnaire
asking about patients' self-care, subjective discomfort during a cold
(discomfort: yes/no), and knowledge about the self-limited course (yes/no).
Country-specific medians of the number of self-care items served as a cut-off to
define high and low self-care use. Four groups were stratified based on
discomfort (yes/no) and knowledge (yes/no). RESULTS: Participants' mean age was
46.5 years, 61.7% were female; 36.3% lacked knowledge; 70.6% reported discomfort.
The group has discomfort/no knowledge exhibited the highest mean item use (13.3),
followed by has discomfort/has knowledge (11.9), no discomfort/no knowledge
(11.1), and no discomfort/has knowledge (8.8). High use was associated with
discomfort (OR 1.8; CI 1.5-2.2), female gender (OR 1.7; 1.4-2.0), chronic
pain/arthritis (OR 1.6; 1.2-2.1), more years of education (OR 1.3; 1.1-1.6), age
<48 years (OR 1.3; 1.0-1.5), and lack of knowledge (OR 1.2; 1.0-1.4). DISCUSSION:
Counseling on common colds should address patients' discomfort and soothing
measures in addition to providing information on the natural disease course.
PMID- 29652900
TI - Galpha-cAMP/PKA pathway positively regulates pigmentation, chaetoglobosin A
biosynthesis and sexual development in Chaetomium globosum.
AB - Sensing the environmental signals, the canonical Galpha-cAMP/PKA pathway
modulates mycelial growth and development, and negatively regulates some
secondary metabolism in filamentous fungi, e.g. aflatoxin in Aspergillus
nidulans. Here we report the characterization of this signaling pathway in
Chaetomium globosum, a widely spread fungus known for synthesizing abundant
secondary metabolites, e.g. chaetoglobosin A (ChA). RNAi-mediated knockdown of a
putative Galpha-encoding gene gna-1, led to plural changes in phenotype, e.g.
albino mycelium, significant restriction on perithecium development and decreased
production of ChA. RNA-seq profiling and qRT-PCR verified significantly fall in
expression of corresponding genes, e.g. pks-1 and CgcheA. These defects could be
restored by simultaneous knock-down of the pkaR gene encoding a regulatory
subunit of cAMP-dependent protein kinase A (PKA), suggesting that pkaR had a
negative effect on the above mentioned traits. Confirmatively, the intracellular
level of cAMP in wild-type strain was about 3.4-fold to that in gna-1 silenced
mutant pG14, and addition of a cAMP analog, 8-Br-cAMP, restored the same defects,
e.g., the expression of CgcheA. Furthermore, the intracellular cAMP in gna-1 and
pkaR double silenced mutant was approaching the normal level. The following
activity inhibition experiment proved that the expression of CgcheA was indeed
regulated by PKA. Down-regulation of LaeA/VeA/SptJ expression in gna-1 mutant was
also observed, implying that Galpha signaling may crosstalk to other regulatory
pathways. Taken together, this study proposes that the heterotrimeric Galpha
protein-cAMP/PKA signaling pathway positively mediates the sexual development,
melanin biosynthesis, and secondary metabolism in C. globosum.
PMID- 29652901
TI - Early postnatal soluble FGFR3 therapy prevents the atypical development of
obesity in achondroplasia.
AB - BACKGROUND: Achondroplasia is a rare genetic disease is characterized by abnormal
bone development and early obesity. While the bone aspect of the disease has been
thoroughly studied, early obesity affecting approximately 50% of them during
childhood has been somewhat neglected. It nevertheless represents a major health
problem in these patients, and is associated to life-threatening complications
including increasing risk of cardiovascular pathologies. We have thus decided to
study obesity in patients and to use the mouse model to evaluate if soluble FGFR3
therapy, an innovative treatment approach for achondroplasia, could also impact
the development of this significant complication. METHODS AND FINDINGS: To
achieve this, we have first fully characterized the metabolic deregulations in
these patients by conducting a longitudinal retrospective study, in children with
achondroplasia Anthropometric, densitometric measures as well as several blood
parameters were recorded and compared between three age groups ranging from [0
3], [4-8] and [9-18] years old. Our results show unexpected results with the
development of an atypical obesity with preferential fat deposition in the
abdomen that is remarkably not associated with classical complications of obesity
such as diabetes or hypercholosterolemia. Because it is not associated with
diabetes, the atypical obesity has not been studied in the past even though it is
recognized as a real problem in these patients. These results were validated in a
murine model of achondroplasia (Fgfr3ach/+) where similar visceral adiposity was
observed. Unexpected alterations in glucose metabolism were highlighted during
high-fat diet. Glucose, insulin or lipid levels remained low, without the
development of diabetes. Very interestingly, in achondroplasia mice treated with
soluble FGFR3 during the growth period (from D3 to D22), the development of these
metabolic deregulations was prevented in adult animals (between 4 and 14 weeks of
age). The lean-over-fat tissues ratio was restored and glucose metabolism showed
normal levels. Treating Fgfr3ach/+ mice with soluble FGFR3 during the growth
period, prevented the development of these metabolic deregulations in adult
animals and restored lean-over-fat tissues ratio as well as glucose metabolism in
adult animals. CONCLUSION: This study demonstrate that achondroplasia patients
develop an atypical obesity with preferential abdominal obesity not associated
with classical complications. These results suggest that achondroplasia induces
an uncommon metabolism of energy, directly linked to the FGFR3 mutation. These
data strongly suggest that this common complication of achondroplasia should be
included in the clinical management of patients. In this context, sFGFR3 proved
to be a promising treatment for achondroplasia by normalizing the biology at
different levels, not only restoring bone growth but also preventing the atypical
visceral obesity and some metabolic deregulations.
PMID- 29652902
TI - Relations between right ventricular morphology and clinical, electrical and
genetic parameters in Brugada Syndrome.
AB - BACKGROUND: Increasing evidence suggests the presence of structural changes
affecting the right ventricular outflow tract (RVOT) in patients with Brugada
Syndrome (BrS). The aim of this study was to characterise the RV morphology in
BrS and explore associations between morphologic, clinical, electrical, and
genetic parameters using non-invasive multimodality testing. METHODS: Consecutive
BrS patients (recruited 2013-2015) underwent clinical assessment, dedicated RV
imaging using cardiac magnetic resonance (CMR) imaging (unless contra-indicated),
electrical assessment (electrocardiogram, Holter monitoring, signal-averaged
ECG[SAECG]) and genotyping. Morphologic data were compared to matched control and
unmatched ARVC (arrhythmogenic right ventricular cardiomyopathy) cohorts, and
potential associations between morphologic parameters and other variables were
explored. RESULTS: BrS patients (n = 42, male 86%, age 46+/-12 years) exhibited
normal global RV volume and function, comparable to control, in contrast to
significantly larger, impaired RVs in ARVC cohort (RVESV p = 0.0001; RVEDV
p<0.0001, RVEF p = 0.002). Compared with control, BrS patients exhibited larger
RVOT volumes (7.4 +/- 0.7 vs 5.8 +/- 0.7 mL/m2, p<0.0001) and wall motion
abnormalities (RWMA) (31% vs 0%, p = 0.005); compared with ARVC cohort, the RVOT
volumes were similar (7.4 +/- 0.7 vs, 8.1 +/- 1.7, p = 0.52) and there were less
RWMA (31% vs 76%, p = 0.01). Overall 67% BrS patients had abnormal RVOT
morphology. Patients with abnormal RVOT tended to be older (48 +/- 12 y vs 41 +/-
12y, p = 0.06). Rare genetic variants were only observed in patients with
abnormal RVOT morphology (36% vs 0%, p = 0.02). CONCLUSIONS: Patients with BrS
frequently exhibit structural abnormalities localised to the RVOT and these
changes may be age- and gene-dependent.
PMID- 29652903
TI - A new method for detecting signal regions in ordered sequences of real numbers,
and application to viral genomic data.
AB - We present a fast, robust and parsimonious approach to detecting signals in an
ordered sequence of numbers. Our motivation is in seeking a suitable method to
take a sequence of scores corresponding to properties of positions in virus
genomes, and find outlying regions of low scores. Suitable statistical methods
without using complex models or making many assumptions are surprisingly lacking.
We resolve this by developing a method that detects regions of low score within
sequences of real numbers. The method makes no assumptions a priori about the
length of such a region; it gives the explicit location of the region and scores
it statistically. It does not use detailed mechanistic models so the method is
fast and will be useful in a wide range of applications. We present our approach
in detail, and test it on simulated sequences. We show that it is robust to a
wide range of signal morphologies, and that it is able to capture multiple
signals in the same sequence. Finally we apply it to viral genomic data to
identify regions of evolutionary conservation within influenza and rotavirus.
PMID- 29652904
TI - First-night effect on sleep time in dairy cows.
AB - In human sleep studies, the probability of discomfort from the electrodes and the
change in environment usually results in first-night recordings being discarded.
Sleep recordings from the first night in human subjects often differ in amount of
REM (rapid eye movement) sleep and the overall sleep architecture. This study
investigated whether recordings of sleep states in dairy cows also show a first
night effect. Non-invasive electrophysiological recordings were carried out on
nine cows of the Swedish Red breed during three consecutive 24-hour periods
(recording days 1-3). Overall, cows spent 12.9 +/- 1.4 hours awake, 8.2 +/- 1
hours ruminating, 57.2 +/- 20.3 min drowsing, 44.1 +/- 20.2 min in REM sleep and
64.3 +/- 38.1 min in NREM (non-rapid eye movement) sleep (mean +/- SD) and there
were no significant differences between recording days in total duration for any
of the sleep and awake states. However, the bouts of REM sleep and rumination
were longer, and the awake bouts were shorter, at night time compared to daytime,
regardless of recording day. The awake bouts also showed an interaction effect
with longer bouts at daytime during day 1 compared to daytime on day 3. Data on
sleep and awake states recorded in adult dairy cows during three consecutive 24-h
periods showed great variation in sleep time between cows, but total time for
each state was not significantly affected by recording day. Further and more
detailed studies of how sleep architecture is affected by recording day is
necessary to fully comprehend the first-night effect in dairy cows.
PMID- 29652905
TI - Feeding and growth of the marine heterotrophic nanoflagellates, Procryptobia
sorokini and Paraphysomonas imperforata on a bacterium, Pseudoalteromonas sp.
with an inducible defence against grazing.
AB - Heterotrophic marine nanoflagellates are important grazers on bacteria in the
water column. Some marine bacteria appear more resistant to grazing than do
others. Marine nanoflagellates can be grown in the laboratory in batch cultures
fed specific bacterial isolates. In some cultures, the flagellates appear unable
to completely deplete the bacterial prey even when the bacterial strain otherwise
is an excellent prey. This may indicate that some marine bacteria are able to
induce defence mechanisms if they are grazed by nanoflagellates. Four
morphologically distinct marine heterotrophic nanoflagellates, of which 3 were
still identified as Procryptobia sorokini (Kinetoplastea) and one as
Paraphysomonas imperforata (Chrysophyceae) were isolated from a coastal location
along with 3 isolates of the marine bacterium Pseudoalteromonas sp. Flagellate
growth and grazing on bacterial prey were analysed in batch cultures.
Pseudoalteromonas was a suitable prey for all 4 flagellate isolates. They grazed
and grew on Pseudoalteromonas as sole prey with maximal cell-specific growth
rates of 0.1-0.25 h-1 and gross growth efficiencies of 38-61%. Exposure to dense
flagellate cultures or their supernatants did, however, cause a fraction of the
Pseudoalteromonas cells to aggregate and the bacterium became apparently
resistant to grazing. Concentrations of suspended Pseudoalteromonas cells were
therefore not decreased below 1,700-7,500 cells MUL-1 by any of the flagellate
isolates. These results indicate that Pseudoalteromonas sp. can be an excellent
prey to marine nanoflagellates but also that is in possession of inducible
mechanisms that protect against flagellate grazing.
PMID- 29652906
TI - Mechanical analyses of critical surgical maneuvers in the correction of cleft lip
nasal deformity.
AB - The relapse of nasal deformity is a challenge for modern correction of cleft lip.
A comprehensive understanding in the biomechanical perspective of both the
formation and correction of the cleft lip nasal deformity would lead to improved
stability of the corrective outcome. In this study, a finite element model of
secondary cleft lip nasal deformity was constructed, on which two critical
corrective maneuvers were mimicked in the form of force-loading. The intercrural
suture was simulated by a force loaded at the intermediate crus of the alar
cartilage directing anteriorly and medially, and the suture suspending the alar
cartilage to the upper lateral cartilage was simulated by a force loaded at the
lateral crus directing superiorly and medially. The equivalent von-mises stress
and the total deformation consequent to different patterns of loading were
captured. Our biomechanical analyses suggested that the intercrural suture at the
nasal tip might be more effective in generating widespread morphological change
than the suspension suture, but left much higher level of stress within the skin
envelope if placed too high. Synergistic effect was observed between the two
sutures in both the resultant deformation and stress. In addition, our
simulations were partially supported by clinical photogrammetry data.
PMID- 29652907
TI - Transcriptomic analysis reveals the differentially expressed genes and pathways
involved in drought tolerance in pearl millet [Pennisetum glaucum (L.) R. Br].
AB - Pearl millet is a cereal crop known for its high tolerance to drought, heat and
salinity stresses as well as for its nutritional quality. The molecular mechanism
of drought tolerance in pearl millet is unknown. Here we attempted to unravel the
molecular basis of drought tolerance in two pearl millet inbred lines, ICMB 843
and ICMB 863 using RNA sequencing. Under greenhouse condition, ICMB 843 was found
to be more tolerant to drought than ICMB 863. We sequenced the root transcriptome
from both lines under control and drought conditions using an Illumina Hi-Seq
platform, generating 139.1 million reads. Mapping of sequenced reads against the
foxtail millet genome, which has been relatively well-annotated, led to the
identification of several differentially expressed genes under drought stress.
Total of 6799 and 1253 differentially expressed genes were found in ICMB 843 and
ICMB 863, respectively. Pathway and gene function analysis by KEGG online tool
revealed that the drought response in pearl millet is mainly regulated by
pathways related to photosynthesis, plant hormone signal transduction and mitogen
activated protein kinase signaling. The changes in expression of drought
responsive genes determined by RNA sequencing were confirmed by reverse
transcription PCR for 7 genes. These results are a first step to understanding
the molecular mechanisms of drought tolerance in pearl millet and lay a
foundation for its genetic improvement.
PMID- 29652908
TI - Automatic lesion detection and segmentation of 18F-FET PET in gliomas: A full 3D
U-Net convolutional neural network study.
AB - INTRODUCTION: Amino-acids positron emission tomography (PET) is increasingly used
in the diagnostic workup of patients with gliomas, including differential
diagnosis, evaluation of tumor extension, treatment planning and follow-up.
Recently, progresses of computer vision and machine learning have been translated
for medical imaging. Aim was to demonstrate the feasibility of an automated 18F
fluoro-ethyl-tyrosine (18F-FET) PET lesion detection and segmentation relying on
a full 3D U-Net Convolutional Neural Network (CNN). METHODS: All dynamic 18F-FET
PET brain image volumes were temporally realigned to the first dynamic
acquisition, coregistered and spatially normalized onto the Montreal Neurological
Institute template. Ground truth segmentations were obtained using manual
delineation and thresholding (1.3 x background). The volumetric CNN was
implemented based on a modified Keras implementation of a U-Net library with 3
layers for the encoding and decoding paths. Dice similarity coefficient (DSC) was
used as an accuracy measure of segmentation. RESULTS: Thirty-seven patients were
included (26 [70%] in the training set and 11 [30%] in the validation set). All
11 lesions were accurately detected with no false positive, resulting in a
sensitivity and a specificity for the detection at the tumor level of 100%. After
150 epochs, DSC reached 0.7924 in the training set and 0.7911 in the validation
set. After morphological dilatation and fixed thresholding of the predicted U-Net
mask a substantial improvement of the DSC to 0.8231 (+ 4.1%) was noted. At the
voxel level, this segmentation led to a 0.88 sensitivity [95% CI, 87.1 to, 88.2%]
a 0.99 specificity [99.9 to 99.9%], a 0.78 positive predictive value: [76.9 to
78.3%], and a 0.99 negative predictive value [99.9 to 99.9%]. CONCLUSIONS: With
relatively high performance, it was proposed the first full 3D automated
procedure for segmentation of 18F-FET PET brain images of patients with different
gliomas using a U-Net CNN architecture.
PMID- 29652909
TI - Estimation of universal and taxon-specific parameters of prokaryotic genome
evolution.
AB - The results of our recent study on mathematical modeling of microbial genome
evolution indicate that, on average, genomes of bacteria and archaea evolve in
the regime of mutation-selection balance defined by positive selection
coefficients associated with gene acquisition that is counter-acted by the
intrinsic deletion bias. This analysis was based on the strong assumption that
parameters of genome evolution are universal across the diversity of bacteria and
archaea, and yielded extremely low values of the selection coefficient. Here we
further refine the modeling approach by taking into account evolutionary factors
specific for individual groups of microbes using two independent fitting
strategies, an ad hoc hard fitting scheme and a mixture model. The resulting
estimate of the mean selection coefficient of s~10-10 associated with the gain of
one gene implies that, on average, acquisition of a gene is beneficial, and that
microbial genomes typically evolve under a weak selection regime that might
transition to strong selection in highly abundant organisms with large effective
population sizes. The apparent selective pressure towards larger genomes is
balanced by the deletion bias, which is estimated to be consistently greater than
unity for all analyzed groups of microbes. The estimated values of s are more
realistic than the lower values obtained previously, indicating that global and
group-specific evolutionary factors synergistically affect microbial genome
evolution that seems to be driven primarily by adaptation to existence in diverse
niches.
PMID- 29652910
TI - Elderly dendritic cells respond to LPS/IFN-gamma and CD40L stimulation despite
incomplete maturation.
AB - There is evidence that dendritic cells (DCs) undergo age-related changes that
modulate their function with their key role being priming antigen-specific
effector T cells. This occurs once DCs develop into antigen-presenting cells in
response to stimuli/danger signals. However, the effects of aging on DC responses
to bacterial lipopolysaccharide (LPS), the pro-inflammatory cytokine interferon
(IFN)-gamma and CD40 ligand (CD40L) have not yet been systematically evaluated.
We examined responses of blood myeloid (m)DC1s, mDC2s, plasmacytoid (p)DCs, and
monocyte-derived DCs (MoDCs) from young (21-40 years) and elderly (60-84 years)
healthy human volunteers to LPS/IFN-gamma or CD40L stimulation. All elderly DC
subsets demonstrated comparable up-regulation of co-stimulatory molecules (CD40,
CD80 and/or CD86), intracellular pro-inflammatory cytokine levels (IFN-gamma,
tumour necrosis factor (TNF)-alpha, IL-6 and/or IL-12), and/or secreted cytokine
levels (IFN-alpha, IFN-gamma, TNF-alpha, and IL-12) to their younger
counterparts. Furthermore, elderly-derived LPS/IFN-gamma or CD40L-activated MoDCs
induced similar or increased levels of CD8+ and CD4+ T cell proliferation, and
similar T cell functional phenotypes, to their younger counterparts. However,
elderly LPS/IFN-gamma-activated MoDCs were unreliable in their ability to up
regulate chemokine (IL-8 and monocyte chemoattractant protein (MCP)-1) and IL-6
secretion, implying an inability to dependably induce an inflammatory response. A
key age-related difference was that, unlike young-derived MoDCs that completely
lost their ability to process antigen, elderly-derived MoDCs maintained their
antigen processing ability after LPS/IFN-gamma maturation, measured using the DQ
ovalbumin assay; this response implies incomplete maturation that may enable
elderly DCs to continuously present antigen. These differences may impact on the
efficacy of anti-pathogen and anti-tumour immune responses in the elderly.
PMID- 29652911
TI - Pharmacogenetic landscape of Metabolic Syndrome components drug response in
Tunisia and comparison with worldwide populations.
AB - Genetic variation is an important determinant affecting either drug response or
susceptibility to adverse drug reactions. Several studies have highlighted the
importance of ethnicity in influencing drug response variability that should be
considered during drug development. Our objective is to characterize the genetic
variability of some pharmacogenes involved in the response to drugs used for the
treatment of Metabolic Syndrome (MetS) in Tunisia and to compare our results to
the worldwide populations. A set of 135 Tunisians was genotyped using the
Affymetrix Chip 6.0 genotyping array. Variants located in 24 Very Important
Pharmacogenes (VIP) involved in MetS drug response were extracted from the
genotyping data. Analysis of variant distribution in Tunisian population compared
to 20 worldwide populations publicly available was performed using R software
packages. Common variants between Tunisians and the 20 investigated populations
were extracted from genotyping data. Multidimensional screening showed that
Tunisian population is clustered with North African and European populations. The
greatest divergence was observed with the African and Asian population. In
addition, we performed Inter-ethnic comparison based on the genotype frequencies
of five VIP biomarkers. The genotype frequencies of the biomarkers rs3846662,
rs1045642, rs7294 and rs12255372 located respectively in HMGCR, ABCB1, VKORC1 and
TCF7L2 are similar between Tunisian, Tuscan (TSI) and European (CEU). The
genotype frequency of the variant rs776746 located in CYP3A5 gene is similar
between Tunisian and African populations and different from CEU and TSI. The
present study shows that the genetic make up of the Tunisian population is
relatively complex in regard to pharmacogenes and reflects previous historical
events. It is important to consider this ethnic difference in drug prescription
in order to optimize drug response to avoid serious adverse drug reactions.
Taking into account similarities with other neighboring populations, our study
has an impact not only on the Tunisian population but also on North African
population which are underrepresented in pharmacogenomic studies.
PMID- 29652912
TI - Virtual screening by a new Clustering-based Weighted Similarity Extreme Learning
Machine approach.
AB - Machine learning techniques are becoming popular in virtual screening tasks. One
of the powerful machine learning algorithms is Extreme Learning Machine (ELM)
which has been applied to many applications and has recently been applied to
virtual screening. We propose the Weighted Similarity ELM (WS-ELM) which is based
on a single layer feed-forward neural network in a conjunction of 16 different
similarity coefficients as activation function in the hidden layer. It is known
that the performance of conventional ELM is not robust due to random weight
selection in the hidden layer. Thus, we propose a Clustering-based WS-ELM (CWS
ELM) that deterministically assigns weights by utilising clustering algorithms
i.e. k-means clustering and support vector clustering. The experiments were
conducted on one of the most challenging datasets-Maximum Unbiased Validation
Dataset-which contains 17 activity classes carefully selected from PubChem. The
proposed algorithms were then compared with other machine learning techniques
such as support vector machine, random forest, and similarity searching. The
results show that CWS-ELM in conjunction with support vector clustering yields
the best performance when utilised together with Sokal/Sneath(1) coefficient.
Furthermore, ECFP_6 fingerprint presents the best results in our framework
compared to the other types of fingerprints, namely ECFP_4, FCFP_4, and FCFP_6.
PMID- 29652913
TI - Ventilator-associated pneumonia in patients assisted by veno-arterial
extracorporeal membrane oxygenation support: Epidemiology and risk factors of
treatment failure.
AB - INTRODUCTION: Ventilator-associated pneumonia (VAP) is frequent in Intensive Care
Unit (ICU) patients. In the specific case of patients treated with Veno-Arterial
Extracorporeal Membrane Oxygenation Support (VA-ECMO), VAP treatment failures
(VAP-TF) have been incompletely investigated. METHODS: To investigate the risk
factors of treatment failure (VAP-TF) in a large cohort of ICU patients treated
with VA-ECMO, we conducted a retrospective study in a Surgical ICU about patients
assisted with VA-ECMO between January 1, 2013, and December 31, 2014. Diagnosis
of VAP was confirmed by a positive quantitative culture of a respiratory sample.
VAP-TF was defined as composite of death attributable to pneumonia and relapse
within 28 days of the first episode. RESULTS: In total, 152 patients underwent
ECMO support for > 48h. During the VA-ECMO support, 85 (55.9%) patients developed
a VAP, for a rate of 60.6 per 1000 ECMO days. The main pathogens identified were
Pseudomonas aeruginosa and Enterobacteriaceae. VAP-TF occurred in 37.2% of
patients and was associated with an increased 28-day mortality (Hazard Ratio 3.05
[1.66; 5.63], P<0.001), and VA-ECMO assistance duration (HR 1.47 [1.05-2.05], P =
0.025). Risk factors for VAP-TF were renal replacement therapy (HR 13.05 [1.73;
98.56], P = 0.013) and documentation of Pseudomonas aeruginosa (HR 2.36 [1.04;
5.35], P = 0.04). CONCLUSIONS: VAP in patients treated with VA-ECMO is associated
with an increased morbidity and mortality. RRT and infection by Pseudomonas
aeruginosa appear as strong risks factors of treatment failure. Further studies
seem necessary to precise the best antibiotic management in these patients.
PMID- 29652914
TI - Intestinal manipulation affects mucosal antimicrobial defense in a mouse model of
postoperative ileus.
AB - AIM: To explore the effects of abdominal surgery and interleukin-1 signaling on
antimicrobial defense in a model of postoperative ileus. METHODS: C57BL/6 and
Interleukin-1 receptor type I (IL-1R1) deficient mice underwent intestinal
manipulation to induce POI. Expression of mucosal IL-1alpha, IL-1beta and IL-1R1
and several antimicrobial peptides and enzymes were measured by quantitative PCR
or ELISA, western blotting or immunohistochemistry. Bacterial overgrowth was
determined by fluorescent in-situ hybridization and counting of jejunal luminal
bacteria. Translocation of aerobic and anaerobic bacteria into the intestinal
wall, mesenteric lymph nodes, liver and spleen was determined by counting
bacterial colonies on agar plates 48h after plating of tissue homogenates.
Antimicrobial activity against E. coli and B. vulgatus was analyzed in total and
cationic fractions of small bowel mucosal tissue homogenates by a flow cytometry
based bacterial depolarization assay. RESULTS: Jejunal bacterial overgrowth was
detected 24h after surgery. At the same time point, but not in the early phase 3h
after surgery, bacterial translocation into the liver and mesenteric lymph nodes
was observed. Increased antimicrobial activity against E. coli was induced within
early phase of POI. Basal antimicrobial peptide and enzyme gene expression was
higher in the ileal compared to the jejunal mucosa. The expression of lysozyme 1,
cryptdin 1, cryptdin 4 and mucin 2 were reduced 24h after surgery in the ileal
mucosa and mucin 2 was also reduced in the jejunum. Postoperative IL-1alpha and
IL-1beta were increased in the postoperative mucosa. Deficiency of IL-1R1
affected the expression of antimicrobial peptides during homeostasis and POI.
CONCLUSION: Small bowel antimicrobial capacity is disturbed during POI which is
accompanied by bacterial overgrowth and translocation. IL-1R1 is partially
involved in the gene expression of mucosal antimicrobial peptides. Altered small
bowel antimicrobial activity may contribute also to POI development and
manifestation in patients undergoing abdominal surgery.
PMID- 29652916
TI - Spatial structural characteristics of forests dominated by Pinus tabulaeformis
Carr.
AB - The Chinese pine (Pinus tabulaeformis Carr.) is an ecologically and economically
important evergreen coniferous tree which dominates warm temperate forests
throughout northern China. We established two permanent plots within the Chinese
pine forest in the Jiulong Mountains, Beijing, China. To understand the
structural characteristics and dynamics of these plots, we analyzed the spatial
structural characteristics within nearest-neighbor relationships using the
bivariate distributions of the stand spatial structural parameters: uniform angle
index, W; mingling index, M; dominance index, U; and crowding index, C. Results
revealed that most trees in the forest were randomly distributed. The predominant
individuals and randomly arranged trees were in very dense areas and surrounded
by the same species. In addition, both plots exhibited a uniform size
differentiation pattern. The two plots differed mainly in the level of species
mixture and dominance. The majority of reference trees in the pure Chinese pine
forest (plot 1) exhibited poor species mingling and low dominance, whereas trees
in the mixed Chinese pine forest (plot 2) were evenly distributed in each
mingling class and most trees were of intermediate dominance. The study results
are useful for optimizing forest management activities in the studied stands,
promoting tree growth, regeneration and habitat diversity, and improving forest
quality at a fine scale.
PMID- 29652915
TI - Protein kinase D3 modulates MMP1 and MMP13 expression in human chondrocytes.
AB - Many catabolic stimuli, including interleukin-1 (IL-1) in combination with
oncostatin M (OSM), promote cartilage breakdown via the induction of collagen
degrading collagenases such as matrix metalloproteinase 1 (MMP1) and MMP13 in
human articular chondrocytes. Indeed, joint diseases with an inflammatory
component are characterised by excessive extracellular matrix (ECM) catabolism.
Importantly, protein kinase C (PKC) signalling has a primary role in cytokine
induced MMP1/13 expression, and is known to regulate cellular functions
associated with pathologies involving ECM remodelling. At present, substrates
downstream of PKC remain undefined. Herein, we show that both IL-1- and OSM
induced phosphorylation of protein kinase D (PKD) in human chondrocytes is
strongly associated with signalling via the atypical PKCiota isoform.
Consequently, inhibiting PKD activation with a pan-PKD inhibitor significantly
reduced the expression of MMP1/13. Specific gene silencing of the PKD isoforms
revealed that only PKD3 (PRKD3) depletion mirrored the observed MMP repression,
indicative of the pharmacological inhibitor specifically affecting only this
isoform. PRKD3 silencing was also shown to reduce serine phosphorylation of
signal transducer and activator of transcription 3 (STAT3) as well as
phosphorylation of all three mitogen-activated protein kinase groups. This
altered signalling following PRKD3 silencing led to a significant reduction in
the expression of the activator protein-1 (AP-1) genes FOS and JUN, critical for
the induction of many MMPs including MMP1/13. Furthermore, the AP-1 factor
activating transcription factor 3 (ATF3) was also reduced concomitant with the
observed reduction in MMP13 expression. Taken together, we highlight an important
role for PKD3 in the pro-inflammatory signalling that promotes cartilage
destruction.
PMID- 29652917
TI - Prevalent hepatitis B surface antigen among first-time blood donors in Gabon.
AB - Despite chronic Hepatitis B virus (HBV) infection being the main cause of younger
onset complex liver disease including cirrhosis and hepatocellular carcinoma
(HCC) in Africa, very little is known regarding the seroprevalence of HBV in the
Gabonese general population. This investigation aimed to provide strong
epidemiological data and risk factors associated with HBV infection in first-time
blood donors representative of the urban adult population. The screening of HBsAg
was carried out using 4th generation ELISA kits. The overall seroprevalence of
HBsAg was 7.28%. The frequency of HBsAg was differential and marked by annual
variations in blood donors from 2009 to 2016. Seroprevalence was 2-fold higher
among males versus females (OR = 1.90 (95% CI: 1.75-2.06), P<0.001). HBsAg
seroprevalence was significantly higher in donors of the age group 25-35 years
old compared to donors of the age group <18 years (OR = 1.64 (95% CI: 1.03-2.60),
P = 0.04). The seroprevalence of HBsAg in family/replacement donors (FRD) was
significantly higher than that of voluntary non-remunerated donors (VNRD) (OR =
0.88 (95% CI: 0.83-0.94), P <0.001). The simultaneous comparison of HBsAg
seroprevalence with blood donation type, gender and age showed that the higher
prevalence in FRD was significant only in males between 18 and 45 years and in
females between 25 and 34 years of age. This study confirms the high endemicity
of HBV in Gabon while identifying the most infected age groups for both men and
women.
PMID- 29652918
TI - A genome-wide association study of red-blood cell fatty acids and ratios
incorporating dietary covariates: Framingham Heart Study Offspring Cohort.
AB - Recent analyses have suggested a strong heritable component to circulating fatty
acid (FA) levels; however, only a limited number of genes have been identified
which associate with FA levels. In order to expand upon a previous genome wide
association study done on participants in the Framingham Heart Study Offspring
Cohort and FA levels, we used data from 2,400 of these individuals for whom red
blood cell FA profiles, dietary information and genotypes are available, and then
conducted a genome-wide evaluation of potential genetic variants associated with
22 FAs and 15 FA ratios, after adjusting for relevant dietary covariates. Our
analysis found nine previously identified loci associated with FA levels (FADS,
ELOVL2, PCOLCE2, LPCAT3, AGPAT4, NTAN1/PDXDC1, PKD2L1, HBS1L/MYB and
RAB3GAP1/MCM6), while identifying four novel loci. The latter include an
association between variants in CALN1 (Chromosome 7) and eicosapentaenoic acid
(EPA), DHRS4L2 (Chromosome 14) and a FA ratio measuring delta-9-desaturase
activity, as well as two loci associated with less well understood proteins.
Thus, the inclusion of dietary covariates had a modest impact, helping to uncover
four additional loci. While genome-wide association studies continue to uncover
additional genes associated with circulating FA levels, much of the heritable
risk is yet to be explained, suggesting the potential role of rare genetic
variation, epistasis and gene-environment interactions on FA levels as well.
Further studies are needed to continue to understand the complex genetic picture
of FA metabolism and synthesis.
PMID- 29652919
TI - Preparation and characterization of Fe3O4@Au-C225 composite targeted
nanoparticles for MRI of human glioma.
AB - OBJECTIVE: To study the characterization of Fe3O4@Au-C225 composite targeted
MNPs. METHODS: Fe3O4@Au-C225 was prepared by the absorption method. The
immunosorbent assay was used to evaluate its absorption efficiency at C225 Fc.
ZETA SIZER3000 laser particle size analyzer, ultraviolet photometer and its
characteristics were analyzed by VSM. the targeting effect of Fe3O4@Au-C225
composite targeted MNPs on U251 cells in vitro were detected by 7.0 Tesla Micro
MR; and subcutaneous transplanted human glioma in nude mice were performed the
targeting effect in vivo after tail vein injection of Fe3O4@Au-C225 composite
targeted MNPs by MRI. RESULTS: The self-prepared Fe3O4@Au composite MNPs can
adsorb C225 with high efficiency of adsorption so that Fe3O4@Au-C225 composite
targeted MNPs were prepared successfully. Fe3O4@Au-C225 composite targeted MNPs
favorably targeted human glioma cell line U251 in vitro; Fe3O4@Au-C225 composite
targeted MNPs have good targeting ability to xenografted glioma on nude mice in
vivo, and can be traced by MRI. CONCLUSION: The Fe3O4@Au-C225 composite targeted
MNPs have the potential to be used as a tracer for glioma in vivo.
PMID- 29652920
TI - Association of renal hyperfiltration with incident proteinuria - A nationwide
registry study.
AB - To elucidate the association between renal hyperfiltration (RHF) and incident
proteinuria, the data from 11,559,520 Korean adults who had undergone health
screenings >= 3 times between 2009 and 2014 and had glomerular filtration rate
(GFR) >=60 mL/min/1.73m2 and negative dipstick test for proteinuria at baseline,
were retrospectively analyzed. GFR was estimated with the Chronic Kidney Disease
Epidemiology Collaboration equation based on serum creatinine, and RHF was
defined as GFR >95th percentile adjusted for sex, age, body size, and diabetes
and/or hypertension medication. The adjusted hazard ratio (aHR) of incident
proteinuria in the RHF was 1.083 (95% CI, 1.069~1.097) compared to that of the
non-RHF with Cox regression model. The association between RHF and incident
proteinuria was not only in diabetic but also in non-diabetic subjects. This
association was not observed in women (p for interaction <0.001). A reverse J
shaped association was found between the adjusted GFR slope and aHR of incident
proteinuria. Both lower and higher GFR were associated with incident proteinuria
in men. In conclusion, RHF was associated with incident proteinuria in men.
Clinical studies are necessary to study whether the alleviation of RHF can
prevent incident proteinuria.
PMID- 29652921
TI - The importance of regional models in assessing canine cancer incidences in
Switzerland.
AB - Fitting canine cancer incidences through a conventional regression model assumes
constant statistical relationships across the study area in estimating the model
coefficients. However, it is often more realistic to consider that these
relationships may vary over space. Such a condition, known as spatial non
stationarity, implies that the model coefficients need to be estimated locally.
In these kinds of local models, the geographic scale, or spatial extent, employed
for coefficient estimation may also have a pervasive influence. This is because
important variations in the local model coefficients across geographic scales may
impact the understanding of local relationships. In this study, we fitted canine
cancer incidences across Swiss municipal units through multiple regional models.
We computed diagnostic summaries across the different regional models, and
contrasted them with the diagnostics of the conventional regression model, using
value-by-alpha maps and scalograms. The results of this comparative assessment
enabled us to identify variations in the goodness-of-fit and coefficient
estimates. We detected spatially non-stationary relationships, in particular, for
the variables related to biological risk factors. These variations in the model
coefficients were more important at small geographic scales, making a case for
the need to model canine cancer incidences locally in contrast to more
conventional global approaches. However, we contend that prior to undertaking
local modeling efforts, a deeper understanding of the effects of geographic scale
is needed to better characterize and identify local model relationships.
PMID- 29652922
TI - A novel mechanism of RNase L inhibition: Theiler's virus L* protein prevents 2-5A
from binding to RNase L.
AB - The OAS/RNase L pathway is one of the best-characterized effector pathways of the
IFN antiviral response. It inhibits the replication of many viruses and
ultimately promotes apoptosis of infected cells, contributing to the control of
virus spread. However, viruses have evolved a range of escape strategies that act
against different steps in the pathway. Here we unraveled a novel escape strategy
involving Theiler's murine encephalomyelitis virus (TMEV) L* protein. Previously
we found that L* was the first viral protein binding directly RNase L. Our
current data show that L* binds the ankyrin repeats R1 and R2 of RNase L and
inhibits 2'-5' oligoadenylates (2-5A) binding to RNase L. Thereby, L* prevents
dimerization and oligomerization of RNase L in response to 2-5A. Using chimeric
mouse hepatitis virus (MHV) expressing TMEV L*, we showed that L* efficiently
inhibits RNase L in vivo. Interestingly, those data show that L* can functionally
substitute for the MHV-encoded phosphodiesterase ns2, which acts upstream of L*
in the OAS/RNase L pathway, by degrading 2-5A.
PMID- 29652924
TI - Extended cleavage specificity of human neutrophil cathepsin G: A low activity
protease with dual chymase and tryptase-type specificities.
AB - Human neutrophils express at least four active serine proteases, cathepsin G, N
elastase, proteinase 3 and neutrophil serine protease 4 (NSP4). They have all
been extensively studied due to their importance in neutrophil biology and
immunity. However, their extended cleavage specificities have never been
determined in detail. Here we present a detailed cleavage specificity analysis of
human cathepsin G (hCG). The specificity was determined by phage display analysis
and the importance of individual amino acids in and around the cleavage site was
then validated using novel recombinant substrates. To provide a broader context
to this serine protease, a comparison was made to the related mast cell protease,
human chymase (HC). hCG showed similar characteristics to HC including both the
primary and extended specificities. As expected, Phe, Tyr, Trp and Leu were
preferred in the P1 position. In addition, both proteases showed a preference for
negatively charged amino acids in the P2' position of substrates and a preference
for aliphatic amino acids both upstream and downstream of the cleavage site.
However, overall the catalytic activity of hCG was ~10-fold lower than HC. hCG
has previously been reported to have a dual specificity consisting of chymase and
tryptase-type activities. In our analysis, tryptase activity against substrates
with Lys in P1 cleavage position was indeed only 2-fold less efficient as
compared to optimal chymase substrates supporting strong dual-type specificity.
We hope the information presented here on extended cleavage specificities of hCG
and HC will assist in the search for novel in vivo substrates for these proteases
as well as aid in the efforts to better understand the role of hCG in immunity
and bacterial defence.
PMID- 29652923
TI - Limited immune surveillance in lymphoid tissue by cytolytic CD4+ T cells during
health and HIV disease.
AB - CD4+ T cells subsets have a wide range of important helper and regulatory
functions in the immune system. Several studies have specifically suggested that
circulating effector CD4+ T cells may play a direct role in control of HIV
replication through cytolytic activity or autocrine beta-chemokine production.
However, it remains unclear whether effector CD4+ T cells expressing cytolytic
molecules and beta-chemokines are present within lymph nodes (LNs), a major site
of HIV replication. Here, we report that expression of beta-chemokines and
cytolytic molecules are enriched within a CD4+ T cell population with high levels
of the T-box transcription factors T-bet and eomesodermin (Eomes). This effector
population is predominately found in peripheral blood and is limited in LNs
regardless of HIV infection or treatment status. As a result, CD4+ T cells
generally lack effector functions in LNs, including cytolytic capacity and
IFNgamma and beta-chemokine expression, even in HIV elite controllers and during
acute/early HIV infection. While we do find the presence of degranulating CD4+ T
cells in LNs, these cells do not bear functional or transcriptional effector T
cell properties and are inherently poor to form stable immunological synapses
compared to their peripheral blood counterparts. We demonstrate that CD4+ T cell
cytolytic function, phenotype, and programming in the peripheral blood is
dissociated from those characteristics found in lymphoid tissues. Together, these
data challenge our current models based on blood and suggest spatially and
temporally dissociated mechanisms of viral control in lymphoid tissues.
PMID- 29652925
TI - The ecology of immune state in a wild mammal, Mus musculus domesticus.
AB - The immune state of wild animals is largely unknown. Knowing this and what
affects it is important in understanding how infection and disease affects wild
animals. The immune state of wild animals is also important in understanding the
biology of their pathogens, which is directly relevant to explaining pathogen
spillover among species, including to humans. The paucity of knowledge about wild
animals' immune state is in stark contrast to our exquisitely detailed
understanding of the immunobiology of laboratory animals. Making an immune
response is costly, and many factors (such as age, sex, infection status, and
body condition) have individually been shown to constrain or promote immune
responses. But, whether or not these factors affect immune responses and immune
state in wild animals, their relative importance, and how they interact (or do
not) are unknown. Here, we have investigated the immune ecology of wild house
mice-the same species as the laboratory mouse-as an example of a wild mammal,
characterising their adaptive humoral, adaptive cellular, and innate immune
state. Firstly, we show how immune variation is structured among mouse
populations, finding that there can be extensive immune discordance among
neighbouring populations. Secondly, we identify the principal factors that
underlie the immunological differences among mice, showing that body condition
promotes and age constrains individuals' immune state, while factors such as
microparasite infection and season are comparatively unimportant. By applying a
multifactorial analysis to an immune system-wide analysis, our results bring a
new and unified understanding of the immunobiology of a wild mammal.
PMID- 29652926
TI - Assessment of costs associated with adverse events in patients with cancer.
AB - Adverse event (AE)-related costs represent an important component of economic
models for cancer care. However, since previous studies mostly focused on
specific AEs, treatments, or cancer types, limited information is currently
available. Therefore, this study assessed the incremental healthcare costs
associated with a large number of AEs among patients diagnosed with some of the
most prevalent types of cancer. Data were obtained from a large US claims
database. Adult patients were included if diagnosed with and treated for one of
the following cancer types: breast, digestive organs and peritoneum,
genitourinary organs (including bladder and ovary and other uterine adnexa),
lung, lymphatic and hematopoietic tissue, and skin. Treatment episodes were
defined as the period from initiation of the first antineoplastic pharmacologic
therapy to discontinuation (i.e., gap of >= 45 days), or change in treatment
regimen, or end of data availability. A total of 36 AEs were selected from the
product inserts of 104 treatments recommended by practice guidelines. A
retrospective matched cohort design was used, matching a treatment episode with a
certain AE with a treatment episode without that AE. A total of 412,005 patients
were selected, for a total of 794,243 treatment episodes, resulting in 1,617,368
matched treatment episodes across all 36 AEs. Incremental healthcare costs
associated with AEs of any severity ranged from $546 for cough/upper respiratory
infections to $24,633 for gastrointestinal perforation. The three most costly AEs
when considering any severity were gastrointestinal perforation ($24,633),
central nervous system hemorrhage ($24,322), and sepsis/septicemia ($23,510).
Incremental healthcare costs associated with severe AEs ranged from $15,709 for
dermatitis and rash to $48,538 for gastrointestinal fistula. The three most
costly severe AEs were gastrointestinal fistula ($48,538), gastrointestinal
perforation ($41,281), and central nervous system hemorrhage ($38,428). In
conclusion, AEs during treatment episodes for cancer were frequent and associated
with a substantial economic burden.
PMID- 29652927
TI - Public perceptions of emergency decontamination: Effects of intervention type and
responder management strategy during a focus group study.
AB - In the event of an incident involving the release of a hazardous chemical, first
responders may decide to initiate emergency decontamination in order to remove
any contaminant from affected casualties. Recent initiatives such as the UK Home
Office-led Initial Operational Response Programme have introduced new evidence
based decontamination protocols that reduce the time taken to initiate the
decontamination process, including an increased emphasis on rapidly removing
contaminated clothing (disrobe), and the use of improvised dry decontamination
methods. The current study used a series of focus groups to examine public
perceptions of different decontamination interventions and responder management
strategies. Results revealed that a decontamination shower was perceived to be
more effective than dry decontamination methods and that a management strategy
that included effective responder communication resulted in increased willingness
to comply with the need for decontamination. This study demonstrates that public
understanding and acceptance of novel decontamination methods such as dry
decontamination may present additional challenges for first responders. Increased
emphasis on effective communication during decontamination is needed.
Furthermore, provision of information during the focus group study resulted in an
increase in participants' knowledge and confidence in taking recommended
decontamination actions, which was maintained three months after the study. The
longitudinal nature of these effects suggest that it may be possible to increase
public awareness about actions to take during chemical incidents by developing
pre-incident public education; however, further research is needed to examine
this more fully.
PMID- 29652928
TI - Correction: The effects of patient cost sharing on inpatient utilization, cost,
and outcome.
AB - [This corrects the article DOI: 10.1371/journal.pone.0187096.].
PMID- 29652929
TI - Immunogenicity and safety of three consecutive production lots of the non
replicating smallpox vaccine MVA: A randomised, double blind, placebo controlled
phase III trial.
AB - BACKGROUND: Modified Vaccinia Ankara (MVA) is a live, viral vaccine under
advanced development as a non-replicating smallpox vaccine. A randomised, double
blind, placebo-controlled phase III clinical trial was conducted to demonstrate
the humoral immunogenic equivalence of three consecutively manufactured MVA
production lots, and to confirm the safety and tolerability of MVA focusing on
cardiac readouts. METHODS: The trial was conducted at 34 sites in the US.
Vaccinia-naive adults aged 18-40 years were randomly allocated to one of four
groups using a 1:1:1:1 randomization scheme. Subjects received either two MVA
injections from three consecutive lots (Groups 1-3), or two placebo injections
(Group 4), four weeks apart. Everyone except personnel involved in vaccine
handling and administration was blinded to treatment. Safety assessment focused
on cardiac monitoring throughout the trial. Vaccinia-specific antibody titers
were measured using a Plaque Reduction Neutralization Test (PRNT) and an Enzyme
Linked Immunosorbent Assay (ELISA). The primary immunogenicity endpoint was
Geometric Mean Titers (GMTs) after two MVA vaccinations measured by PRNT at trial
visit 4. This trial is registered with ClinicalTrials.gov, number NCT01144637.
RESULTS: Between March 2013 and May 2014, 4005 subjects were enrolled and
received at least one injection of MVA (n = 3003) or placebo (n = 1002). The
three MVA lots induced equivalent antibody titers two weeks after the second
vaccination, with seroconversion rates of 99.8% (PRNT) and 99.7% (ELISA).
Overall, 180 (6.0%) subjects receiving MVA and 29 (2.9%) subjects in the placebo
group reported at least one unsolicited Adverse Event (AE) that was considered
trial-related. Vaccination was well tolerated without significant safety
concerns, particularly regarding cardiac assessment. CONCLUSIONS: The
neutralizing and total antibody titers induced by each of the three lots were
equivalent. No significant safety concerns emerged in this healthy trial
population, especially regarding cardiac safety, thus confirming the excellent
safety and tolerability profile of MVA. TRIAL REGISTRATION: ClinicalTrials.gov
NCT01144637.
PMID- 29652931
TI - Correction: Network evolution model for supply chain with manufactures as the
core.
AB - [This corrects the article DOI: 10.1371/journal.pone.0191180.].
PMID- 29652930
TI - Tissue maintenance of CMV-specific inflationary memory T cells by IL-15.
AB - Cytomegalovirus (CMV) infection induces an atypical CD8 T cell response, termed
inflationary, that is characterised by accumulation and maintenance of high
numbers of effector memory like cells in circulation and peripheral tissues-a
feature being successfully harnessed for vaccine purposes. Although stability of
this population depends on recurrent antigen encounter, the requirements for
prolonged survival in peripheral tissues remain unknown. Here, we reveal that
murine CMV-specific inflationary CD8 T cells are maintained in an antigen
independent manner and have a half-life of 12 weeks in the lung tissue. This half
life is drastically longer than the one of phenotypically comparable inflationary
effector cells. IL-15 alone, and none of other common gamma-cytokines, was
crucial for survival of inflationary cells in peripheral organs. IL-15, mainly
produced by non-hematopoietic cells in lung tissue and being trans-presented,
promoted inflationary T cell survival by increasing expression of Bcl-2. These
results indicate that inflationary CD8 T cells are not just simply effector-like
cells, rather they share properties of both effector and memory CD8 T cells and
they appear to be long-lived cells compared to the effector cells from acute
virus infections.
PMID- 29652932
TI - Analysis of length of hospital stay using electronic health records: A
statistical and data mining approach.
AB - BACKGROUND: The length of stay (LOS) is an important indicator of the efficiency
of hospital management. Reduction in the number of inpatient days results in
decreased risk of infection and medication side effects, improvement in the
quality of treatment, and increased hospital profit with more efficient bed
management. The purpose of this study was to determine which factors are
associated with length of hospital stay, based on electronic health records, in
order to manage hospital stay more efficiently. MATERIALS AND METHODS: Research
subjects were retrieved from a database of patients admitted to a tertiary
general university hospital in South Korea between January and December 2013.
Patients were analyzed according to the following three categories: descriptive
and exploratory analysis, process pattern analysis using process mining
techniques, and statistical analysis and prediction of LOS. RESULTS: Overall, 55%
(25,228) of inpatients were discharged within 4 days. The department of
rehabilitation medicine (RH) had the highest average LOS at 15.9 days. Of all the
conditions diagnosed over 250 times, diagnoses of I63.8 (cerebral infarction,
middle cerebral artery), I63.9 (infarction of middle cerebral artery territory)
and I21.9 (myocardial infarction) were associated with the longest average
hospital stay and high standard deviation. Patients with these conditions were
also more likely to be transferred to the RH department for rehabilitation. A
range of variables, such as transfer, discharge delay time, operation frequency,
frequency of diagnosis, severity, bed grade, and insurance type was significantly
correlated with the LOS. CONCLUSIONS: Accurate understanding of the factors
associating with the LOS and progressive improvements in processing and
monitoring may allow more efficient management of the LOS of inpatients.
PMID- 29652933
TI - RhoGAPp190: A potential player in tbph-mediated neurodegeneration in Drosophila.
AB - TDP-43 is an ubiquitous and highly conserved ribonucleoprotein involved in
several cellular processes including pre-mRNA splicing, transcription, mRNA
stability and transport. Notwithstanding the evidence of TDP-43 involvement in
the pathogenesis of different neurodegenerative disorders (i.e. ALS and FTLD),
the underlying mechanisms are still unclear. Given the high degree of functional
similarity between the human and fly orthologs of TDP-43, Drosophila melanogaster
is a simple and useful model to study the pathophysiological role of this protein
in vivo. It has been demonstrated that the depletion of the TDP-43 fly ortholog
(tbph) induces deficient locomotive behaviors and reduces life span and
anatomical defects at the neuromuscular junction. In this study, using the known
binding specificity of TDP-43/tbph for (UG) repeated sequences, we performed a
bioinformatic screening for fly genes with at least 6 (TG) repeats in a row
within the 3'-UTR regions in order to identify the genes that might be regulated
by this factor. Among these genes, we were able to identify RhoGAPp190 as a
potential target of the tbph-mediated neurodegeneration. RhoGAPp190 is a negative
regulator of Drosophila RhoA, a GTPase protein implicated in the fine modulation
of critical cellular processes including axon branch stability and motor axon
defasciculation at muscle level and cognitive processes. We were able to
demonstrate that the RhoGAPp190 expression is upregulated in a tbph-null fly
model, providing evidence that this deregulation is associated to tbph silencing.
Our results introduce RhoGAPp190 as a novel potential mediator in the complex
scenario of events resulting from in vivo tbph loss-of-function.
PMID- 29652935
TI - Adaptability of shallow subsurface drip irrigation of alfalfa in an arid desert
area of Northern Xinjiang.
AB - A suitable irrigation method adopted to arid desert conditions, including a
special soil structure and specialized plants, has been continuously studied and
improved. A field study was conducted in the Awei irrigation area of Aletai in
Xinjiang in 2015 and 2016 to investigate the applicability of shallow subsurface
drip irrigation (SSDI) in an arid desert area. A completely randomized block
design with three replications and three treatments for drip tape subsurface
depths at 5, 10, 20 cm was established. The results indicated that the vertical
distribution of the soil moisture of subsurface drip irrigation (SDI, buried
depth at 20 cm) was mainly concentrated at 0-60 cm, while SSDI (buried depth at 5
and 10 cm) was concentrated at 0-30 cm. However, the roots distributions were
concentrated at 0-30 cm for SDI and SSDI. The chlorophyll content and water
consumption intensity for alfalfa first increased and then decreased in arid
desert conditions. The dry yield and water use efficiency (WUE) of SSDI (buried
depth at 10 cm) were higher than those of SDI. The SSDI was practical in arid
desert conditions and the recommended buried depth was 10 cm.
PMID- 29652934
TI - The quest for a non-vector psyllid: Natural variation in acquisition and
transmission of the huanglongbing pathogen 'Candidatus Liberibacter asiaticus' by
Asian citrus psyllid isofemale lines.
AB - Genetic variability in insect vectors is valuable to study vector competence
determinants and to select non-vector populations that may help reduce the spread
of vector-borne pathogens. We collected and tested vector competency of 15
isofemale lines of Asian citrus psyllid, Diaphorina citri, vector of 'Candidatus
Liberibacter asiaticus' (CLas). CLas is associated with huanglongbing (citrus
greening), the most serious citrus disease worldwide. D. citri adults were
collected from orange jasmine (Murraya paniculata) hedges in Florida, and
individual pairs (females and males) were caged on healthy Murraya plants for egg
laying. The progeny from each pair that tested CLas-negative by qPCR were
maintained on Murraya plants and considered an isofemale line. Six acquisition
tests on D. citri adults that were reared as nymphs on CLas-infected citrus, from
various generations of each line, were conducted to assess their acquisition
rates (percentage of qPCR-positive adults). Three lines with mean acquisition
rates of 28 to 32%, were classified as 'good' acquirers and three other lines
were classified as 'poor' acquirers, with only 5 to 8% acquisition rates. All
lines were further tested for their ability to inoculate CLas by confining CLas
exposed psyllids for one week onto healthy citrus leaves (6-10 adults/leaf/week),
and testing the leaves for CLas by qPCR. Mean inoculation rates were 19 to 28%
for the three good acquirer lines and 0 to 3% for the three poor acquirer lines.
Statistical analyses indicated positive correlations between CLas acquisition and
inoculation rates, as well as between CLas titer in the psyllids and CLas
acquisition or inoculation rates. Phenotypic and molecular characterization of
one of the good and one of the poor acquirer lines revealed differences between
them in color morphs and hemocyanin expression, but not the composition of
bacterial endosymbionts. Understanding the genetic architecture of CLas
transmission will enable the development of new tools for combating this
devastating citrus disease.
PMID- 29652936
TI - Does scale matter? A systematic review of incorporating biological realism when
predicting changes in species distributions.
AB - BACKGROUND: There is ample evidence that biotic factors, such as biotic
interactions and dispersal capacity, can affect species distributions and
influence species' responses to climate change. However, little is known about
how these factors affect predictions from species distribution models (SDMs) with
respect to spatial grain and extent of the models. OBJECTIVES: Understanding how
spatial scale influences the effects of biological processes in SDMs is important
because SDMs are one of the primary tools used by conservation biologists to
assess biodiversity impacts of climate change. DATA SOURCES AND STUDY ELIGIBILITY
CRITERIA: We systematically reviewed SDM studies published from 2003-2015 using
ISI Web of Science searches to: (1) determine the current state and key knowledge
gaps of SDMs that incorporate biotic interactions and dispersal; and (2)
understand how choice of spatial scale may alter the influence of biological
processes on SDM predictions. SYNTHESIS METHODS AND LIMITATIONS: We used linear
mixed effects models to examine how predictions from SDMs changed in response to
the effects of spatial scale, dispersal, and biotic interactions. RESULTS: There
were important biases in studies including an emphasis on terrestrial ecosystems
in northern latitudes and little representation of aquatic ecosystems. Our
results suggest that neither spatial extent nor grain influence projected climate
induced changes in species ranges when SDMs include dispersal or biotic
interactions. CONCLUSIONS: We identified several knowledge gaps and suggest that
SDM studies forecasting the effects of climate change should: 1) address broader
ranges of taxa and locations; and 1) report the grain size, extent, and results
with and without biological complexity. The spatial scale of analysis in SDMs did
not affect estimates of projected range shifts with dispersal and biotic
interactions. However, the lack of reporting on results with and without
biological complexity precluded many studies from our analysis.
PMID- 29652937
TI - Trajectories of maternal depressive symptoms during pregnancy and the first 12
months postpartum and child externalizing and internalizing behavior at three
years.
AB - BACKGROUND: Most evidence of the association between maternal depression and
children's development is limited by being cross-sectional. To date, few studies
have modelled trajectories of maternal depressive symptoms from pregnancy through
the early postpartum years and examined their association with social emotional
and behavior functioning in preschool children. The objectives of this study were
to: 1) identify distinct groups of women defined by their trajectories of
depressive symptoms across four time points from mid-pregnancy to one year
postpartum; and 2) examine the associations between these trajectories and child
internalizing and externalizing behaviors. METHODS: We analyzed data from the All
Our Families (AOF) study, a large, population based pregnancy cohort of mother
child dyads in Alberta, Canada. The AOF study is an ongoing pregnancy cohort
study designed to investigate relationships between the prenatal and early life
period and outcomes for children and mothers. Maternal depressive symptoms were
assessed using the Edinburgh Postnatal Depression Scale. Children's behavioral
functioning at age 3 was assessed using the Behavior Scales developed for the
Canadian National Longitudinal Survey of Children and Youth. Longitudinal latent
class analysis was conducted to identify trajectories of women's depressive
symptoms across four time points from pregnancy to 1 year postpartum. We used
multivariable logistic regression to assess the relationship between trajectories
of maternal depressive symptoms and children's behavior, while adjusting for
other significant maternal, child and psychosocial factors. RESULTS: 1983
participants met eligibility criteria. We identified four distinct trajectories
of maternal depressive symptoms: low level (64.7%); early postpartum (10.9%);
subclinical (18.8%); and persistent high (5.6%). In multivariable models, the
proportion of children with elevated behavior symptoms was highest for children
whose mothers had persistent high depressive symptoms, followed by mothers with
moderate symptoms (early postpartum and subclinical trajectories) and lowest for
minimal symptoms. After accounting for demographic, child and psychosocial
factors, the relationships between depression trajectories and child
hyperactivity/inattention, physical aggression (subclinical trajectory only) and
separation anxiety symptoms remained significant. CONCLUSION: These findings
suggest both externalizing and internalizing children's behaviors are associated
with prolonged maternal depressive symptoms. There is a good case for the need to
move beyond overly simplistic clinical cutoff approaches of depressed/not
depressed in screening for perinatal depression. Women with elevated depressive
symptoms at clinical and subclinical levels need to be identified, provided with
evidence-based treatment, and monitored with repeat screening to improve maternal
mental health outcomes and reduce the risk of associated negative outcomes on
children's early social-emotional and behavior development.
PMID- 29652938
TI - Cost of malaria treatment and health seeking behaviour of children under-five
years in the Upper West Region of Ghana.
AB - BACKGROUND: There is limited knowledge on cost of treating malaria in children
under-five years in northern Ghana which poses a challenge in determining whether
interventions such as the National Health Insurance Scheme (NHIS) and Community
based Health Planning and Services (CHPS) have reduced the economic burden of
malaria to households or not. This study examined the malaria care seeking and
cost of treatment in children under-five years in the Upper West Region of Ghana.
METHODS: The study used a cross-sectional, quantitative design and data were
collected between July and August 2016 in three districts in the Upper West
Region of Ghana. A total of 574 women who had under-five children were
interviewed. Socio-demographic characteristics of respondents, malaria seeking
patterns for under-five children with malaria as well as direct medical and non
medical costs associated with treating under-five children with malaria were
collected from the patient perspective. Analysis was performed using STATA 12.
RESULTS: Out of 574 women visited, about 63% (360) had children who had malaria
and sought treatment. Most treatment was done at formal health facilities such as
the health centres (37%) and the CHPS (35%) while 3% had self-treatment at home.
The main reason for choice of place of treatment outside home was nearness to
home (53%). The average direct medical and non-medical costs associated with
treating an under-five child with malaria were US$4.13 and US$3.04 respectively.
The average cost on transportation alone was US$2.64. Overall, the average direct
medical and non-medical cost associated with treating an under-five child with
malaria was US$4.91(range: minimum = US$0.13 -maximum = US$46.75). Children who
were enrolled into the NHIS paid an average amount of US$4.76 compared with
US$5.88 for those not enrolled, though the difference was not statistically
significant (p-value = 0.15). CONCLUSIONS: The average cost to households in
treating an under-five child with malaria was US$4.91. This amount is
considerably high given the poverty level in the area. Children not insured paid
a little over one US dollar for malaria treatment compared to those insured.
Efforts to improve enrolment into the NHIS may be needed to reduce the cost of
malaria treatment to households. Construction of more health facilities near to
community members and at hard to reach areas will improve access to health care
and reduce direct non-medical cost such as transportation costs.
PMID- 29652939
TI - Seeing for speaking: Semantic and lexical information provided by briefly
presented, naturalistic action scenes.
AB - At the interface between scene perception and speech production, we investigated
how rapidly action scenes can activate semantic and lexical information.
Experiment 1 examined how complex action-scene primes, presented for 150 ms, 100
ms, or 50 ms and subsequently masked, influenced the speed with which immediately
following action-picture targets are named. Prime and target actions were either
identical, showed the same action with different actors and environments, or were
unrelated. Relative to unrelated primes, identical and same-action primes
facilitated naming the target action, even when presented for 50 ms. In
Experiment 2, neutral primes assessed the direction of effects. Identical and
same-action scenes induced facilitation but unrelated actions induced
interference. In Experiment 3, written verbs were used as targets for naming,
preceded by action primes. When target verbs denoted the prime action, clear
facilitation was obtained. In contrast, interference was observed when target
verbs were phonologically similar, but otherwise unrelated, to the names of prime
actions. This is clear evidence for word-form activation by masked action scenes.
Masked action pictures thus provide conceptual information that is detailed
enough to facilitate apprehension and naming of immediately following scenes.
Masked actions even activate their word-form information-as is evident when
targets are words. We thus show how language production can be primed with
briefly flashed masked action scenes, in answer to long-standing questions in
scene processing.
PMID- 29652940
TI - Functionality of cow milk naturally enriched with polyunsaturated fatty acids and
polyphenols in diets for diabetic rats.
AB - The increasing incidence of diabetes mellitus is becoming a serious threat to
human health in various parts of the world. Studies with dairy products have
shown a potential beneficial effect against diabetes. This experiment evaluated
the supplementation of milk naturally enriched with polyunsaturated fatty acids
(PUFA) and polyphenols in rats with streptozotocin-induced diabetes. Forty male
28-day-old Wistar rats were distributed in four experimental treatments of
diabetic animals (streptozotocin induction) and a normal group (non-induced).
Experimental treatments were: control (water), whole common milk (COM-M), milk
enriched with PUFA (PUFA-M), milk enriched with PUFA and polyphenols (PUFA/P-M)
through a special diet offered to dairy cows. Milk supplementation at a dose 5
mL/kg body weight was performed for 77 days, 42 days before and 35 days after
diabetes induction. The COM-M supplementation increased brown fat deposits,
reduced post-induction glucose levels, reduced blood fructosamine levels, and
improved glucose tolerance. Milk enriched with PUFA reduced final fasting
glucose, LDL levels, and improved blood antioxidant capacity. Milk enriched with
PUFA and polyphenols promoted an increase in gastrocnemius muscle mass, and a
reduction in mesenteric fat and LDL levels. Milk intake, with an emphasis on milk
enriched with PUFA and polyphenols, attenuated the metabolic disorders of
streptozotocin-induced diabetes in rats.
PMID- 29652941
TI - Observed to expected or logistic regression to identify hospitals with high or
low 30-day mortality?
AB - INTRODUCTION: A common quality indicator for monitoring and comparing hospitals
is based on death within 30 days of admission. An important use is to determine
whether a hospital has higher or lower mortality than other hospitals. Thus, the
ability to identify such outliers correctly is essential. Two approaches for
detection are: 1) calculating the ratio of observed to expected number of deaths
(OE) per hospital and 2) including all hospitals in a logistic regression (LR)
comparing each hospital to a form of average over all hospitals. The aim of this
study was to compare OE and LR with respect to correctly identifying 30-day
mortality outliers. Modifications of the methods, i.e., variance corrected
approach of OE (OE-Faris), bias corrected LR (LR-Firth), and trimmed mean
variants of LR and LR-Firth were also studied. MATERIALS AND METHODS: To study
the properties of OE and LR and their variants, we performed a simulation study
by generating patient data from hospitals with known outlier status (low
mortality, high mortality, non-outlier). Data from simulated scenarios with
varying number of hospitals, hospital volume, and mortality outlier status, were
analysed by the different methods and compared by level of significance (ability
to falsely claim an outlier) and power (ability to reveal an outlier). Moreover,
administrative data for patients with acute myocardial infarction (AMI), stroke,
and hip fracture from Norwegian hospitals for 2012-2014 were analysed. RESULTS:
None of the methods achieved the nominal (test) level of significance for both
low and high mortality outliers. For low mortality outliers, the levels of
significance were increased four- to fivefold for OE and OE-Faris. For high
mortality outliers, OE and OE-Faris, LR 25% trimmed and LR-Firth 10% and 25%
trimmed maintained approximately the nominal level. The methods agreed with
respect to outlier status for 94.1% of the AMI hospitals, 98.0% of the stroke,
and 97.8% of the hip fracture hospitals. CONCLUSION: We recommend, on the
balance, LR-Firth 10% or 25% trimmed for detection of both low and high mortality
outliers.
PMID- 29652942
TI - Correction: Energy expenditure in caving.
AB - [This corrects the article DOI: 10.1371/journal.pone.0170853.].
PMID- 29652943
TI - Correction: Human adipose derived stem cells regress fibrosis in a chronic renal
fibrotic model induced by adenine.
AB - [This corrects the article DOI: 10.1371/journal.pone.0187907.].
PMID- 29652944
TI - Epidemiology of sepsis in Brazil: Incidence, lethality, costs, and other
indicators for Brazilian Unified Health System hospitalizations from 2006 to
2015.
AB - BACKGROUND: Sepsis is considered a major worldwide health burden, with high
mortality and associated costs. Health indicators are essential to define
strategies to improve the treatment of diseases, and the epidemiology information
of sepsis in developing countries is scarce. Thus, the aim of this work is to
assess trends in the incidence, lethality, costs, and other indicators of sepsis
for Brazilian Unified Health System (SUS-Sistema Unico de Saude) hospitalizations
for the period from January 2006 to December 2015. MATERIALS AND METHODS: We
conducted this study using data from the SUS hospital information system. We
selected registries of SUS hospitalizations of patients diagnosed with sepsis
(total of 724,458 cases from 4,271 public and private Brazilian hospitals).
RESULTS: From 2006 to 2015, the annual sepsis incidence increased 50.5% from
31.5/100,000 to 47.4/100,000 persons. The mean hospital length of stay (LOS) was
9.0 days. A total of 29.1% of the hospitalizations had admission to the intensive
care unit (ICU) with a mean ICU LOS of 8.0 days. The mean cost per
hospitalization was US$624.0 and for hospitalizations requiring intensive care
was U$1,708.1. The overall sepsis lethality rate was 46.3%, and for
hospitalizations with admission to the ICU, it was 64.5%. During the study
period, the lethality rate for children/teenagers decreased 40.1%, but for all
other age groups it increased 11.4%. The sepsis lethality rate in public
hospitals (55.5%) was higher than private hospitals (37.0%) (p < 0.001). The mean
hospitalization LOS for public hospitals (10.3 days) was higher than private
hospitals (7.6 days) (p < 0.001). CONCLUSIONS: The incidence and lethality rate
of sepsis increased in SUS hospitalizations during the study period. The SUS's
low reimbursement to hospitals for treating sepsis may be one of the reasons for
the high lethality rate.
PMID- 29652945
TI - Clinical evaluation and validation of laboratory methods for the diagnosis of
Bordetella pertussis infection: Culture, polymerase chain reaction (PCR) and anti
pertussis toxin IgG serology (IgG-PT).
AB - INTRODUCTION: The appropriate use of clinically accurate diagnostic tests is
essential for the detection of pertussis, a poorly controlled vaccine-preventable
disease. The purpose of this study was to estimate the sensitivity and
specificity of different diagnostic criteria including culture, multi-target
polymerase chain reaction (PCR), anti-pertussis toxin IgG (IgG-PT) serology, and
the use of a clinical case definition. An additional objective was to describe
the optimal timing of specimen collection for the various tests. METHODS:
Clinical specimens were collected from patients with cough illness at seven
locations across the United States between 2007 and 2011. Nasopharyngeal and
blood specimens were collected from each patient during the enrollment visit.
Patients who had been coughing for <= 2 weeks were asked to return in 2-4 weeks
for collection of a second, convalescent blood specimen. Sensitivity and
specificity of each diagnostic test were estimated using three methods-pertussis
culture as the "gold standard," composite reference standard analysis (CRS), and
latent class analysis (LCA). RESULTS: Overall, 868 patients were enrolled and
13.6% were B. pertussis positive by at least one diagnostic test. In a sample of
545 participants with non-missing data on all four diagnostic criteria, culture
was 64.0% sensitive, PCR was 90.6% sensitive, and both were 100% specific by LCA.
CRS and LCA methods increased the sensitivity estimates for convalescent serology
and the clinical case definition over the culture-based estimates. Culture and
PCR were most sensitive when performed during the first two weeks of cough;
serology was optimally sensitive after the second week of cough. CONCLUSIONS:
Timing of specimen collection in relation to onset of illness should be
considered when ordering diagnostic tests for pertussis. Consideration should be
given to including IgG-PT serology as a confirmatory test in the Council of State
and Territorial Epidemiologists (CSTE) case definition for pertussis.
PMID- 29652946
TI - [Impact of the Chilean Explicit Guaranties Health System (GES) on breast cancer
treatment].
AB - Background Breast cancer is the most common malignant tumor in women in the
world. In 2005, it was incorporated to the Explicit Guaranties Health System
(GES) in Chile. Aim To describe the demographic and clinical characteristics of
breast cancer patients and to determine the effect of incorporating these women
to GES. Material and Methods Medical records of 5,119 women with breast cancer
aged 59 +/- 14 years, attended at six public hospitals between 2000 and 2010 were
reviewed. Median follow up was 87 months (range 1-182). Mortality was assessed
using death certificates obtained at the National Identification Registry.
Results Sixty six percent of women were in stage I-II, 29% in stage III and 5% in
stage IV. Surgery was performed in 4023/5119 cases (79%), adyuvant radiotherapy
in 3627/4517 cases (80%), chemotherapy in 3,204/3,424 cases (94%) and hormone
therapy in 1,695/2,375 cases (71%). Between 2000 and 2010, there was a
significant increase in the proportion of cases in stage I, from 8% to 25%, (p <
0.01). Overall survival (OS) increased 1% per year, since the beginning of GES
system (p = 0.024). Five year OS was 75.1%. The figures for Stage I, II, III and
IV were 93, 84, 62 and 27% respectively (p < 0.01). Patients without lymph node
involvement and who were not triple negative, had a significantly better OS.
Conclusions There was a significant increase in stage I cases, and a 1% per year
OS improvement after GES system started, compared with the previous period.
PMID- 29652947
TI - [Predictors of remission from major depressive disorder in secondary care].
AB - Background The knowledge of predictive factors in depression should help to deal
with the disease. Aim To assess potential predictors of remission of major
depressive disorders (MDD) in secondary care and to propose a predictive model.
Material and Methods A 12 month follow-up study was conducted in a sample of 112
outpatients at three psychiatric care centers of Chile, with baseline and
quarterly assessments. Demographic, psychosocial, clinical and treatment factors
as potential predictors, were assessed. A clinical interview with the checklist
of DSM-IV diagnostic criteria, the Hamilton Depression Scale and the List of
Threatening Experiences and Multidimensional Scale of Perceived Social Support
were applied. Results The number of stressful events, perceived social support,
baseline depression scores, melancholic features, time prior to beginning
treatment at the secondary level and psychotherapeutic sessions were included in
the model as predictors of remission. Sex, age, number of previous depressive
episodes, psychiatric comorbidity and medical comorbidity were not significantly
related with remission. Conclusions This model allows to predict depression score
at six months with 70% of accuracy and the score at 12 months with 72% of
accuracy.
PMID- 29652948
TI - [Psychoactive substances use and health-related quality of life among school age
adolescents].
AB - Background The use of psychoactive substances among adolescents is a major social
and public health concern. Aim To analyze association of substance abuse and
multiple drug use with health-related quality of life (HRQOL) in adolescents
attending a high school in Valparaiso, Chile. Material and Methods Analytical
cross-sectional study conducted in a sample of adolescents attending high school.
HRQOL was assessed using KIDSCREEN-52 questionnaire and substance use was
measured using the Global school-based student health survey. Participants had to
complete online, self-administered, anonymous questionnaires. Multiple logistic
regression analyses were conducted to calculate Odd ratios. Results A total of
550 adolescents aged 16 +/- 1 years old completed the questionnaires. Thirty nine
percent consumed alcohol during the last month, 31% smoked, 33% used marijuana
and 33% admitted the use of multiple drugs. High-risk alcohol consumption was
associated with a lower perception of psychological well-being, self-perception
and school environment. This last dimension was affected in those who admitted
marijuana use during the last month. Multiple drug use (three substances) was
associated with a lower perception of physical and psychological well-being, self
perception, relationship with parents, family life and school environment.
Conclusions High-risk alcohol consumption and multiple drug use (three
substances) have a negative impact on the HRQOL of school age adolescents.
PMID- 29652949
TI - [Association of sedentariness and health promoting lifestyles in older adults].
AB - Background Part of having healthy lifestyles should be a regular practice of
physical activities. Aim To evaluate the association between the practice of
physical activity and healthy lifestyles in older people. Material and Methods
The Health-Promoting Lifestyle Profile survey was applied to 1688 older people
living in the community, aged 72 +/- 7 years (61% women). This survey includes
questions about regular physical activity. Results Twenty four percent of
surveyed participants declared to practice physical activities at least three
times per week, without gender differences. Sedentariness increased along with
age. There was a negative association between low physical activity and healthy
lifestyles (odds ratio (OR): 3.2 confidence intervals (CI): 2.3-4.5). There was
also an association between sedentariness and low self-realization (OR 2.4 CI:
1.8-3.3), low responsibility with health (OR 1.6 CI: 1.22.1), low concern about
nutrition (OR 1.8 CI: 1.4-2.4), low stress management (OR 2.0 CI: 1.5-2.7) and
low interpersonal support (OR 2.0 CI: 1.5-2.6). Conclusions Sedentariness is
negatively associated with health promoting lifestyles in this group of older
people.
PMID- 29652950
TI - [Referral to rehabilitation services of patients discharged from a general
hospital with a potentially disabling condition].
AB - Background Patients discharged from general hospitals with a potentially
disabling condition can benefit in their recovery with the aid of physical
medicine and rehabilitation services. Aim To determine the proportion of patients
discharged from a general hospital with a potentially disabling condition, who
were derived to physiatry for rehabilitation. Material and Methods Review of the
discharge database of a general hospital, identifying all discharges with a
potentially disabling condition, and those who were effectively derived for
rehabilitation. Results Only 7% of patients with a potentially disabling
condition were effectively evaluated by Physiatry. Among these patients, 20% had
neurological diseases and 19% had an amputation. Those attended by physiatry had
a higher proportion of multidisciplinary care by the rehabilitation team.
Conclusions A low proportion of patients discharged with a potentially disabling
conditions are referred for an adequate rehabilitation therapy.
PMID- 29652951
TI - [Characterization of Campylobacter jejuni samples coming form poultry meat and
feces].
AB - Background Campylobacter jejuni is one of the main causal agents of food borne
diseases. Infections with this pathogen are mainly caused by chicken meat
consumption. Aim To characterize antibiotic resistance and virulence factors in
C. jejuni strains obtained from chicken meat and poultry feces in Central Chile.
Material and Methods The presence of C. jejuni in 30 meat and 40 feces samples
from poultry was studied. From these samples, we obtained 40 strains which were
characterized at the molecular level for the presence of 16 genes involved in
virulence using PCR. In parallel, antibiotic resistance for ciprofloxacin,
nalidixic acid, tetracycline, erythromycin, azithromycin, chloramphenicol y
ampicillin was analyzed. Results Twenty and 63% of feces and chicken meat samples
were positive for C. jejuni, respectively. Moreover, a high percentage of strains
showed antibiotic resistance, where 27% of strains were resistant to all tested
antibiotics, except for azithromycin. Finally, 10% of the strains coming from
feces contained 14 out of 16 virulence genes evaluated. Only 23% of the strains
did not contain any of these genes. Conclusions A high percentage of feces and
chicken meat samples are contaminated with C. jejuni. Moreover, these strains
show a high genetic and phenotypic diversity represented by their antibiotic
resistance profiles and the presence of virulence factors.
PMID- 29652952
TI - [Carriage of Staphylococcus aureus among food service workers].
AB - Background Staphylococcus aureus produces 11 serotypes of endotoxins that may
cause food poisoning. Aim To determine the prevalence of type A enterotoxigenic
Staphylococcus aureus carriage among food service workers in Chillan, Chile.
Material and Methods Pharyngeal swabs were obtained from 100 food service workers
and were cultured in Agar plates. After identifying the presence of
Staphylococcus aureus, DNA was extracted to identify type A toxin by conventional
PCR. Results Thirty eight percent of samples were colonized with Staphylococcus
aureus. Among these, 26% were toxin A producers. Conclusions Half of the sampled
workers carried Staphylococcus aureus and a quarter of these produced type A
enterotoxin.
PMID- 29652953
TI - [Prescription of opioids at the moment of discharge from an emergency
department].
AB - Background There is a worrisome increase in opioid prescription worldwide. Their
use and overuse may cause adverse outcomes. Aim To determine incidence and
characteristics of opioid prescription at discharge at an emergency department
(ED). Material and Methods A prospective observational study in a random sample
of adult patients attended at an ED of a teaching hospital. We reviewed medical
records prescriptions for each patient to collect information about drugs
prescribed, reason and medical indication of use (doses and duration). Results A
total of 1,001 patients aged 50 +/- 20 years (61% women) were studied. Seven
percent of patients received an opioid prescription at discharge from the ED,
mainly to treat renal and back pain. The dose, duration of treatments or both
were incompletely described in 54% of prescriptions. The dose of tramadol in
drops was incomplete in 96% of prescriptions. Conclusions Seven percent of
patients discharged from an ED received an opioid prescription, mainly to treat
non-oncological acute pain. The lack of information detected in the prescriptions
affected quality, safety and effectiveness of the treatment, especially when
pharmaceutical formulations were drops.
PMID- 29652954
TI - [Time to change the graduate-postgraduate liaison in Chilean medical education?
Reflections about a double certification as Physician and Doctor in medical
sciences].
AB - In the last 150 years, scientific research has produced extraordinary discoveries
in Medicine and there is no doubt that research will continue contributing
substantially in the future but there is no the same conviction regarding how to
provision such capacities in medical graduates. In Chile, the Faculties of
Medicine created several doctorate programs in Medical Sciences (Ph.D.) to
strengthen medical research. However, the low number of physicians who apply to
these programs is a caveat. These programs provide the advanced third-cycle
competencies expected by students aspiring for an excellence research
certification and their incorporation into academia. Universities stand out in
their capacity to adapt themselves to educational needs, developing programs
designed to fill specific gaps. Ph.D. programs intercalated to the medical career
show that this is the correct approach. The development of specific doctorate
programs for the small number of physicians interested in research and for
medical students with a strong interest in research could be an innovative
solution to motivate and encourage them to develop a career in clinical
investigation. Using this approach, Medical Schools and Doctorate in Medical
Sciences programs would jointly stimulate the training of medical scientists.
PMID- 29652955
TI - [Neurodevelopmental assessment of patients with congenital hypothyroidism].
AB - Congenital hypothyroidism (CH) is the most common cause of preventable cognitive
disability worldwide. Generally, it is produced by an alteration in the
embryogenesis of the thyroid gland or by an alteration in the synthesis of
thyroid hormones, which determine that affected patients have low or absent
thyroid hormone concentrations. The importance of this fact is that brain
development during the first three years of life is highly dependent on thyroid
hormones. Prior to the implementation of national neonatal screening programs
around the world, 8 to 27% of children with CH had an IQ lower than 70. Nowadays,
this percentage is close to 0 in countries that have implemented the program. In
Chile, CH neonatal screening program achieved national coverage in 1996.
Currently, the incidence of the disease in our country is 1: 3163. The degree of
disability produced by CH not only depends on the time of detection of the
disease and the prompt start of therapy, but also on an adequate monitoring.
Despite screening programs, neurocognitive impairment in schoolchildren and
teenagers with CH is still observed, reflected in lower scores in cognitive,
language and gross motor assessments, receptive communication, expressive
communication, fine motor and gross motor skills compared to healthy children.
Also, lesser achievements in learning and language disorders are observed. The
objective of this review is to update the information available on
neurodevelopment of patients with CH.
PMID- 29652956
TI - [Tools for physical activity assessment in chronic obstructive pulmonary
disease].
AB - The prevalence and mortality of chronic obstructive pulmonary disease (COPD) is
increasing in Chile, constituting a public health problem. Pulmonary and systemic
consequences of COPD affect physical activity, as the disease progresses. There
are multiple means for physical activity assessment, from low cost and easily
applicable questionnaires to sophisticated laboratory tests. Physical inactivity
is a modifiable risk factor for morbidity and mortality in patients with COPD.
Physical activity interventions not only contribute to decrease the likelihood of
mortality, but also protect from comorbidities, especially cardiovascular ones.
It also plays a major role avoiding functional limitations of these subjects.
Dyspnea and fatigue render exercise as an unpleasant activity for most patients
with COPD. If psychological alterations such as anxiety and depression are
summed, these patients drift towards an inactive lifestyle. This article analyzes
several tools available to assess physical activity is patients with COPD, useful
in clinical practice.
PMID- 29652957
TI - [Impact of high salt consumption of blood pressure on a non-hypertensive
population].
AB - Background There is conflicting evidence regarding the role of salt intake in
blood pressure (BP). Aim To estimate the impact of salt consumption on the BP
level of a non-hypertensive population aged between 15 and 64 years. Material and
Methods Analytical-observational study using data from the National Health Survey
2009-2010. A BP cut-off point at 120/80 mmHg BP was considered to determine risk.
Salt consumption was divided into four strata. The prevalence ratios (PR) were
determined using the Poisson model with robust variance. The formulas of the
studies of Dal Grande and Walter for the estimation of population attributable
fraction (PAF) were used. Results The sample was constituted by 1,263 individuals
and 24.3% had BP at risk. A statistically significant association was observed
between high salt intake and risk BP with PR of 1.91 (95% confidence intervals
(CI) 1.44-2.57) in the consumption stratum of 11 g / day and more. It was
estimated that 4.7% (95% CI 4.2-5.2) of BP risk can be attributed to salt
consumption, when controlling by age group, sex and educational level.
Conclusions The 4.7% PAF is lower than the figure of 30% reported abroad.
Interventions to reduce salt consumption in the entire population and the
identification of risk groups are recommended.
PMID- 29652958
TI - [HPV vaccine implementation in Chile: an appraisal from the social determinants
of health model].
AB - Cervical cancer is the fourth most common neoplasm in women worldwide and its
incidence is associated with profound social inequities. In Chile, it is the
second cause of death in women of reproductive age. The Chilean clinical
guideline identifies the vaccine against Human Papillomavirus (HPV) as the main
preventive measure. Since 2014, the Ministry of Health has implemented free
immunization against HPV for girls and female adolescents. This article
critically analyzes this public policy from the viewpoint of health equity, using
as framework the Social Determinants of Health Model. Specifically, we address
the structural determinants of income and gender, which act as material and
social barriers for achieving immunization, affecting protection against cervical
cancer. These barriers correspond to the high cost of the vaccine, and social
attitudes/cultural beliefs towards sexual behavior in Latin America and Chile
that affect the acceptability of vaccination. The Social Determinants of Health
Model constitutes a useful tool for identifying health inequities and
understanding public policy from an equity viewpoint that complements the
biomedical and epidemiological understanding of disease. In this topic, the
initiative aims to strengthen the idea of health as a human right and health
promotion as an essential function of public health policy.
PMID- 29652959
TI - [Useful tools and methods for literature retrieval in pubmed: step-by-step guide
for physicians].
AB - Developing skills to search the medical literature has potential benefits on
patient care and allow physicians to better orient their efforts when answering
daily clinical questions. The objective of this paper is to share useful tools
for optimizing medical literature retrieval in MEDLINE using PubMed including
MeSH terms, filters and connectors.
PMID- 29652960
TI - [Semblance of Professor Ramon Ortuzar Escobar. Presented at XXXVII Internal
Medicine Congress, 2016].
PMID- 29652961
TI - [Severe leg edema associated with the use of dopaminergic drugs in Parkinson's
disease. Report of one case].
AB - The main adverse effects of dopaminergic drugs used in Parkinson's disease are
hypotension, somnolence, hallucinations and impulse control disorder. Less common
is leg edema. We report on a 68-year-old male receiving levodopa and pramipexole
consulting for severe leg edema lasting two years, whose etiology was not
ascertained with multiple lab tests. This edema subsided substantially when
pramipexole was discontinued and the dose of levodopa was increased to treat
motor symptoms.
PMID- 29652962
TI - [Myasthenia gravis and its association with lymphoproliferative disorders: a case
series].
AB - Myasthenia gravis (MG) is a rare autoimmune disease of the neuromuscular
junction. It is characterized by variable weakness and excessive fatigability of
skeletal muscles. In the last few years, numerous reports have been published
showing the association between autoimmune diseases, such as systemic
erythematous lupus or rheumatoid arthritis, with lymphoid neoplasias. The
association between MG and lymphoid neoplasia seems to be less frequent. To
analyze this association we reviewed the MG patients in the Department of
Neurology, Hospital Salvador of Santiago, Chile. During a three-year period we
identified four patients who developed different lymphoproliferative disorders:
two with B-cell lymphoma, one with chronic lymphocytic leukaemia and one
plasmacytoma with an associated amyloidosis. The MG was generalized but mild, all
cases classified as type IIa according to the definition proposed by the MG
Foundation of America. The neoplasia appeared two to 36 years after the onset of
MG. These cases provide additional evidence of the association between MG and
lymphoproliferative disorders.
PMID- 29652963
TI - [Physical inactivity in Chile: we must answer the global call].
PMID- 29652964
TI - [Chile needs better statistics and greater coverage in screening for diabetic
retinopathy].
PMID- 29652965
TI - [The challenge of the Intercultural Health Model: From geographic coexistence to
scientific dialogue].
PMID- 29652966
TI - [Molecular basis of methicillin-resistance in Staphylococcus aureus].
AB - Staphylococcus aureus isolates resistant to several antimicrobials have been
gradually emerged since the beginning of the antibiotic era. Consequently, the
first isolation of methicillin-resistant S. aureus occurred in 1960, which was
described a few years later in Chile. Currently, S. aureus resistant to
antistaphylococcal penicillins is endemic in Chilean hospitals and worldwide,
being responsible for a high burden of morbidity and mortality. This resistance
is mediated by the expression of a new transpeptidase, named PBP2a or PBP2',
which possesses lower affinity for the beta-lactam antibiotics, allowing the
synthesis of peptidoglycan even in presence of these antimicrobial agents. This
new enzyme is encoded by the mecA gene, itself embedded in a chromosomal cassette
displaying a genomic island structure, of which there are several types and
subtypes. Methicillin resistance is mainly regulated by an induction mechanism
activated in the presence of beta-lactams, through a membrane receptor and a
repressor of the gene expression. Although mec-independent methicillin resistance
mechanisms have been described, they are clearly infrequent.
PMID- 29652967
TI - [Pharmacokinetics of posaconazol in the prophylaxis and treatment of invasive
fungal infection in immunocompromised children in a pediatric hospital].
AB - Background There is no consensus on the optimal dosage use of posaconazole (PSC)
for invasive fungal infection (IFI) in pediatric patients and normally it is
adjusted with drug levels (DLs) >= 0.7 MUg/ml and >= 1.25 MUg/ml for prophylaxis
and treatment, respectively. Objective To describe the experience of monitoring
DLs of PSC in immunocompromised pediatric patients with IFI and to determine if
the recommended doses reach CP effective in prophylaxis (>= 0.7 MUg/mL) and
treatment (>= 1.25 MUg/mL). Method A retrospective analysis in children who
received PSC from January 2012 to October 2016, in the Oncology and Bone Marrow
Transplant units at Hospital Calvo Mackenna was done Six patients with 78 DLs
were reviewed (4 prophylaxis and 4 treatment). Median PSC dose was 12.5 and 18.8
mg/kg/d for prophylaxis and treatment, resulting in mean DLs of 0.97 and 1.8
MUg/mL respectively. In prophylaxis 40/67 (60%) were recorded with DLs >= 0.70
MUg/mL receiving a median dose of 12.5 mg/kg/d. While for treatment: 5/11 (46%)
presented DLs >= 1.25 MUg/mL, receiving a median dose of 18 mg/kg/d. Conclusion
Our results are in line with the recommended for PSC dosage, but individualized
monitoring is required to maintain adequate DLs.
PMID- 29652968
TI - [Therapeutic monitoring of antimicrobial agents in pediatrics. Review based on
Latin American experiences].
AB - This review summarizes recommendations of therapeutic monitoring of three
antimicrobials based in regional data: vancomycin, amikacin and voriconazole in
pediatric population. Regional evidence agrees with international literature
regarding the requirement of higher daily doses than 40 mg/kg/day of vancomycin,
as well as with the possibility of use one daily doses of amikacin and to
recommend higher doses of voriconazole compared to the initially recommended
doses of 8 mg/kg/day. Local data on the pharmacokinetic/pharmacodynamic behavior
of various antimicrobials in pediatrics are of great value for dosing adjustment
in our pediatric population. More studies in therapeutic monitoring in the use of
antimicrobials in pediatrics should be performed in order to allow the generation
of adequate treatment guidelines for this age group.
PMID- 29652969
TI - [Molecular characterization and antimicrobial susceptibility pattern of extended
spectrum beta-lactamase-producing Escherichia coli as cause of community acquired
urinary tract infection].
AB - Background Community acquired urinary tract infections (CaUTI) caused by strains
of extended-spectrum beta-lactamases (ESBL) - producing Escherichia coli, mainly
by strains carrying the blaCTX-M-15 gene, is a growing phenomenon worldwide. Aim
To determine the antibiotic susceptibility pattern of ESBL-producing E. coli as
cause of CaUTI and to identify their molecular pattern. Methods A descriptive
study was performed in the city of Oaxaca, Mexico, from where 288 strains of
CaUTI-producing strains of E. coli in adults with possible UTI were isolated. The
CLSI criteria was followed to determine the antimicrobial susceptibility
patterns, and their molecular characterization was performed by using PCR.
Results 31.3% of E. coli strains isolated in our population were ESBL producers,
which presented higher levels of antibiotic resistance than those of non
producers of these enzymes. 95.6% of the studied strains were carriers of the
blaCTX-M gene. Conclusions One-third of the Ca-UTI caused by E. coli in our
population are caused by ESBL-producing strains, which present high levels of
resistance to the antibiotics widely used in our community. This situation
considerably decreases the number of antibiotics available for an empiric
treatment against these infections.
PMID- 29652970
TI - [Congenital toxoplasmosis: Serology, PCR, parasite isolation and molecular
characterization of Toxoplasma gondii].
AB - BACKGROUND: Congenital toxoplasmosis diagnosis in the newborn is a very important
issue due to the need for early treatment to prevent future sequels. Aim To
compare available methods at the institution for the diagnosis of congenital
toxoplasmosis. Material and Methods In this study we have evaluated the different
diagnostic tests used in 67 congenital exposed newborns, including serological
tests, PCR, parasite isolation and molecular characterization. Results The ISAGA
IgM and IgA tests showed sensitivity (Se) of 87 and 91%, respectively, and
specificity (Sp) of 100%. When ISAGA IgM and IgA were performed simultaneously,
the Se increased to 98% and the Sp was 100%. The presence of IgE contributed to
the diagnosis when it was detected in the child's serum but not in maternal
blood. In four congenital infected children the parasite was isolated and
genotyped: one was genotype II and the other three were "atypical" genotypes. No
parasite was isolated in children without congenital toxoplasmosis. Discussion
Overall, serological tests showed a good diagnostic performance although in one
case they were all negative and isolation was the only tool to identify the
infection. We conclude that it is essential to use all diagnostic tests in every
single exposed child, including if possible, molecular characterization due to
its epidemiological implication.
PMID- 29652971
TI - [Factors associated to survival in patients with HIV-TB in the Department of
Infectious Diseases of the Arzobispo Loayza National Hospital, Peru, since 2004
to 2012].
AB - Background The main cause of death in HIV patients is tuberculosis (TB). However,
few Latin American studies have evaluated the prognosis of patients with
coinfection. Aim To determine the factors associated with survival in patients
with HIV-TB coinfection treated at a Peruvian referral hospital. Methods A
retrospective cohort study was performed based on clinical records of patients
treated at the Department of Infectious Diseases in the Arzobispo Loayza National
Hospital from 2004 to 2012. Survival was assessed using the Kaplan-Meier
estimator and Cox Proportional Hazard Model. Results From 315 patients, 82 died
during the follow-up. The mean of follow for each patient was 730 days. The
multivariate analysis showed that receiving HAART (HR: 0,31; IC: 0,20-0,50; p <
0,01) and having more weight (HR: 0,96; IC 0,94-0,98; p < 0,01) when the
coinfection was diagnosed, were protective factors; while having a pathology
different from TB (HR: 1,88; IC: 1,19-2,98; p < 0,01), age in years (HR: 1,76;
IC: 1,12-2,74; p <= 0,01) and being hospitalized when diagnosed with TB (HR:
1,69; IC 1,02-2,80; p < 0,04) were associated with lower survival. Discussion
Receiving HAART and having more weight when the coinfection is diagnosed were
associated with a higher chance of survival.
PMID- 29652972
TI - [Genetic analysis of the mutations in HIV-1 infected population in Ecuador].
AB - Background The international recommendations of antiretroviral treatment include
resistance tests to guide the treatment regimen in each patient, which is not
available on a regular basis in Ecuador. Aim To describe mutations that confer
resistance to antiretrovirals in a population of Ecuadorian patients. Methods
Plasma samples from 101 HIV-1 patients with failure to antiretroviral therapy,
divided into 15 children and 86 adults, were studied with the GS Junior (Roche)
and the sequences were analyzed with the DeepChek program. Results The most
frequent mutations were M184V/I, K101E/P/H, K103N/S, D30N, M46L/I, I54L/M,
V82T/F/A/S/L and L90M in adults and F77L, K103N/S, M46L/I, V82T/F/A/S/L and L90M
in children. High resistance to non-nucleoside reverse transcriptase (RT)
inhibitors in minority viral populations of adults and children (34.9% and 70%)
was detected; in children both viral populations (majority and minority viral
populations) (> 45%) were protease inhibitor resistant. Patients who had a
greater number of therapeutic regimens had higher levels of resistance to
antiretrovirals. Most of the samples were subtype B in the TR and protease
region, and CRF25_cpx in integrase. Conclusions Mutations and resistance to
antiretrovirals are shown in a population of Ecuadorian patients with HIV-1.
These results will make it possible to issue a warning to health authorities
about the need for resistance studies.
PMID- 29652973
TI - [Febrile neutropenia in cancer patients: management in the emergency room].
AB - Febrile neutropenia is a life-threatening condition that requires immediate
attention, especially in patients with chemotherapy-related neutropenia. Patients
with febrile neutropenia have a much greater risk of developing bacterial
disease, and fever may be the only indicator of severe bacterial infection.
Adequate management of febrile neutropenia emphasizes early recognition of
patients, risk stratification, and antibiotic therapy administration during the
first 60 minutes of admission to an emergency room. Not all children with febrile
neutropenia carry the same risk of morbidity and mortality, so in recent years,
efforts have been made to distinguish between high-risk patients where more
aggressive hospital management is required. In children classified as low-risk,
outpatient management may be considered initially or after 72 hours, whilst high
risk patients should be hospitalized and managed with parenteral antibiotics.
PMID- 29652974
TI - ?
PMID- 29652975
TI - [A remarkable semantic convergence: infection and miasma].
AB - The historical development of the terms "infection" and "miasma" is analyzed.
Miasma was understood as a kind of corrupt or pestilent air that emanated from
putrefactive bodies and spread infectious diseases. This concept was the dominant
one to understand the cause of infectious diseases from antiquity to the dawn of
the microbial theory. The concept of infection initially had a similar meaning to
miasma, but is currently defined as the invasion of a host by an infectious
agent. It will be discussed in this paper that both terms derive from the same
original concept.
PMID- 29652976
TI - [Escherichia vulneris etiologic agent of septic arthritis in a child].
AB - Escherichia vulneris is a gram-negative bacillus that belongs to the family
Enterobacteriaceae, with a questioned pathogenic role. However, it has been
confirmed as the cause of wound infections. We report the case of a 12-year-old
girl, previously healthy, with a diagnosis of septic arthritis of the right knee,
secondary to a spinal lesion. Escherichia vulneris, an unusual etiology of septic
arthritis in children, was isolated in the joint fluid. This case is one of the
first cases of septic arthritis due to E. vulneris, secondary to a plant-derived
foreign body in a child, described in the medical literature. The importance of
performing the microbiological study of joint fluid in patients with septic
arthritis caused by a foreign body of plant-derived origin is emphasized.
PMID- 29652977
TI - [Auricular sporotrichosis. Atypical case report simulating bacterial cellulitis].
AB - Sporotrichosis is the most common subcutaneous or implantation mycosis in Mexico.
The case of a preauricular cutaneous-fixed sporotrichosis simulating atypical
bacterial cellulitis is reported in an elderly patient with no history of trauma.
The biopsy showed a suppurative granuloma with scarce yeast. Sporothrix schenckii
was identified in the culture and confirmed by molecular biology. She was treated
with itraconazole and a clinical and mycological cure was obtained. The case of
atypical presentation is presented, coming from a semi-arid zone with extreme
weather.
PMID- 29652978
TI - [Importance of cleaning and disinfection of critical surfaces in dental health
services. Impact of an intervention program].
AB - Introduction Dental care has become a challenge for healthcare associated
infection prevention programs, since the environment, within other factors, plays
an important role in the transmission chain. Materials and Methods An
intervention program was designed for the Dental Unit of Hospital Militar de
Santiago, between years 2014 and 2015. The program contemplated 3 stages:
diagnostic, intervention and evaluation stage. Objective To improve the safety of
critical surfaces involved in dental healthcare. Results During the diagnostic
stage, the cleaning and disinfection process was found to be deficient. The most
contaminated critical surface was the instrument holder unit, then the clean area
and lamp handle. The surfaces that significantly reduced their contamination,
after the intervention, were the clean area and the instrument carrier unit.
Conclusion Training in the processes of cleaning and disinfecting surfaces and
dental equipment is one of the cost-effective strategies in preventing healthcare
associated infections (HCAI), with simple and easy-to-apply methods.
PMID- 29652979
TI - ?
PMID- 29652980
TI - [Antibiotic stewardship in the newborn surgical patient].
PMID- 29652981
TI - [Metabolic syndrome in HIV patients: an opportunity for nutritional
supplementation?]
PMID- 29652982
TI - [Identification of a HPGD mutation in three families affected with primary
hypertrophic osteoarthropathy].
AB - OBJECTIVE: To detect mutation of HPGD gene among three pedigrees affected with
primary hypertrophic osteoarthropathy (PHO) by DNA sequencing and high-resolution
melting (HRM) analysis. METHODS: Genomic DNA was extracted from peripheral blood
samples collected from the pedigrees. PCR and direct sequencing were carried out
to identify potential mutations of the HPGD gene. Amplicons containing the
mutation spot were generated by nested PCR. The products were then subjected to
HRM analysis using the HR-1 instrument. Direct sequencing was carried out in
family members and healthy individuals to confirm the result of HRM analysis.
RESULTS: A homozygous mutation c.310_311delCT was detected in 2 affected
probands, while a heterozygous mutation c.310_311delCT was detected in the third
proband. HRM analysis of the fragments encompassing HPGD exon 3 showed 3 curve
patterns representing three different genotypes, i.e., the wild type, the
c.310_311delCT homozygote, and the c.310_311delCT heterozygote. Result of DNA
sequencing was consistent with that of the HRM analysis and phenotype of the
subjects. CONCLUSION: The c.310_311delCT mutation may be the most prevalent
mutation among Chinese population. HRM analysis has provided an optimized method
for genetic testing of HPGD mutation for its simplicity, rapid turnover and high
sensitivity.
PMID- 29652983
TI - [Knocking-out of HIF1alpha gene by CRISPR/cas9 inhibits proliferation and
invasiveness of prostate cancer DU145 cells].
AB - OBJECTIVE: To explore the role of HIF1alpha gene in prostate cancer cell line
DU145 by knocking it out with a novel gene-editing tool CRISPR/cas9 system.
METHODS: A CRISPR/cas9 system with two sgRNAs targeting exon 1 of the HIF1alpha
gene was constructed for the knock out experiment. CCK8 assay and transwell
experiment were carried out to assess the effect of the knock out on the
proliferation, migration and invasiveness of DU145 cells. RESULTS: The efficiency
of gene-targeting was measured through a T7E1 assaying and sequence analysis,
which confirmed that the partial knock out was successful and has led to a
significant decrease in the expression of HIF1alpha and inhibition of cell
proliferation, migration and invasiveness. CONCLUSION: A CRISPR/cas9 system for
the knock out of HIF1alpha has been successfully constructed, which could inhibit
the proliferation and migration of DU145 cells. The system can facilitate further
studies of the HIF1alpha gene and its roles in tumorigenesis.
PMID- 29652984
TI - [Analysis of disease-causing gene mutation in three Chinese families with
congenital inherited cataract].
AB - OBJECTIVE: To identify the disease-causing gene mutations in three Chinese
pedigrees affected with congenital inherited cataract, in ordre to provide
genetic counseling and prenatal diagnosis. METHODS: Using exons combined target
region capture sequencing chip to screen the candidate disease-causing mutations,
Sanger sequencing was used to confirm the disease-causing mutations. RESULTS:
Family 1 was polymorphic cataract, family 2 was cerulean cataract, family 3 was
coralliform cataract. The inheritance mode of the three pedigrees consisted with
autosomal dominant inheritance. In family 1, a nonsense mutation of CRYbetaB2
gene c.463C>T in exon 6 result in a p.Q155X amino acid change. In family 2, a
missense mutation of of CRYGD gene c.43C>T in exon 2 result in a p.R14C amino
acid change. In family 3, a missense mutation of CRYGD gene c.70C>A in exon 2
result in a p.P23T amino aid change. No above-mentioned mutations were found in
normal individuals. CONCLUSION: The nonsense mutation c.463C>T (p.Q155X) of
CRYbetaB2 gene, the heterozygous mutations c.43C>T(p.R14C) of CRYGD gene and
c.70C>A( p.P23T) of CRYGD gene was the disease-causing gene mutation in family 1,
2 and 3 respectively, our results provid genetic counseling and prenatal
diagnosis for these three families.
PMID- 29652985
TI - [Mutation analysis and prenatal diagnosis for 50 pedigrees affected with
Duchenne/Becker muscular dystrophy].
AB - OBJECTIVE: To establish individualized prenatal diagnosis program for families
affected with Duchenne/Becker muscular dystrophy (DMD/BMD) and different clinical
background using a variety of methods. METHODS: Multiplex ligation-dependent
probe amplification (MLPA) was performed on 50 patients suspected for DMD/BMD.
For single exon deletions of the DMD gene, PCR was used for validating the
results. For those without any deletion or duplication, Sanger sequencing was
used to screen for DMD gene mutations in the children and their mothers. Prenatal
genetic testing was provided to female carriers using chorionic villus,
amniocentesis or cord blood samples. To ensure the accuracy of diagnosis, all
prenatal specimens were also subjected to linkage analysis. RESULTS: Among the 50
patients with DMD/BMD, 23 harbored large deletions, 11 only had single exon
deletions, 10 harbored duplications, and 5 had small scare mutations. No mutation
was detected in one family. For 37 women undergoing prenatal diagnosis, 10
fetuses were identified as affected males, 6 were female carriers, while 21 were
not found to carry any mutation. Testing of creatine kinase was consistent with
the results of prenatal diagnosis. For a patient harboring exon 51 deletion, the
same mutation was found in a fetus but not in their mother. The proband and fetus
had inherited the same haplotype, which suggested that the mother probably has
germline mosaicism for the mutation. CONCLUSION: Application of individualized
methods for analyzing pregnant women with different clinical background can
minimize the risk for giving birth to further children affected with DMD/BMD.
PMID- 29652986
TI - [Clinical and genetic analysis of three pedigrees affected with myotonic
dystrophy].
AB - OBJECTIVE: To carry out clinical and genetic analysis for three pedigrees
affected with myotonic dystrophy type 1 (DM1). METHODS: Three probands with
clinically diagnosed DM and their familial members were recruited. Clinical data
of the patients including clinical manifestations, electrocardiogram (ECG), and
electromyogram (EEG) was collected. RESULTS: The clinical symptoms of all
probands have progressed slowly and included myotonia, muscle weakness and muscle
atrophy as the main manifestations. Disorders of other systems have included
cataract, arrhythmia, alopecia, sexual dysfunction, and cognitive impairment. The
EEG of the probands showed characteristic myotonia discharges. Genetic analysis
revealed over 50 CTG repeats at the 3' end of the DMPK gene in all three
probands. CONCLUSION: DM1 is a complex hereditary disorder involving multiple
systems and overlaps with other diseases. In addition to clinical symptoms and
EEG, genetic testing can facilitate its diagnosis at early stages.
PMID- 29652987
TI - [Analysis of a pedigree affected with congenital hypofibrinogenemia due to
heterozygous Ser313Ile mutation of fibrinogen gamma chain gene].
AB - OBJECTIVE: To explore the genetic basis for a Chinese pedigree affected with
congenital hypofibrinogenamia. METHODS: Peripheral blood samples were collected
from 9 members from the pedigree. Routine coagulation tests including activated
partial thromboplastin time (APTT), thrombin time (TT), the prothrombin time (PT)
were carried out. The activity of fibrinogen (Fg: C) was measured using Clauss
method, and fibrinogen antigen (Fg: Ag) was measured with immunoturbidimetry. All
exons and exon-intron boundaries of the fibrinogen Aalpha, Bbeta and gamma chain
genes were amplified using PCR, which was followed by direct sequencing.
Suspected mutation was confirmed by reverse sequencing. The mutant fibrinogen was
analyzed with Swiss-PdbViewer. RESULTS: The proband showed prolonged APTT, PT and
TT. Her functional fibrinogen (Fg: C) and antigen fibrinogen (Fg: Ag) levels were
reduced to 0.69 g/L and 0.72 g/L, respectively. Her mother and grandmother also
had a low levels of fibrinogen, which were 0.99 g/L and 0.83 g/L for Fg: C, 1.02
g/L and 0.87 g/L for Fg: Ag, respectively. The results of other members from the
pedigree were all within the normal range. Genetic analysis reveled a
heterozygous G>T mutation at nucleotide 7590 in exon 8 of gamma gene in the
proband, which was predicted to be a novel Ser313Ile mutation. The mutation was
also found in her mother and grandmother. Model analysis showed that the
Ser313Ile mutation disturbed the hydrogen bonds between Ser313, Asn319 and
Asp320. Moreover, the mutation also altered the mutual electrostatic force and
affected the folding and instability of the mutant fibrinogen. CONCLUSION: The
heterozygous Ser313Ile mutation probably underlies the hypofibrinogenemia in this
pedigree.
PMID- 29652988
TI - [Genetic and prenatal diagnosis of a retinitis pigmentosa pedigree].
AB - OBJECTIVE: To explore the genetic etiology of a pedigree affected with hereditary
retinitis pigmentosa. METHODS: High-throughput DNA sequencing was used to analyze
the sequences of 173 genes associated with hereditary eye diseases in the
proband. Suspected mutation was verified with PCR amplification and Sanger
sequencing. RESULTS: The proband was found to have carried a c.570_571 ins
GAAGATGCTGT insertional mutation in the RP2 gene located on the X chromosome. All
female carriers of the pedigree were heterozygous, while all affected males were
hemizygous for the same mutation. CONCLUSION: The inheritance pattern of this
retinitis pigmentosa pedigree was X-linked recessive. The c.570_571 ins
GAAGATGCTGT insertional mutation of the RP2 gene probably underlies the disease.
PMID- 29652989
TI - [Analysis of clinical characteristics and genetic mutation in a pedigree affected
with Chediak-Higashi syndrome].
AB - OBJECTIVE: To explore the genetic basis for a pedigree affected with Chediak
Higashi syndrome (CHS). METHODS: Clinical data of two CHS patients from the
pedigree was collected and analyzed. Targeted next generation sequencing and
Sanger sequencing were conducted to detect potential mutation of the LYST gene.
RESULTS: Both patients presented immunodeficiency, oculocutaneous albinism, and
acidophilic inclusion body on bone marrow and blood smears. A homozygous
c.6077_6078insA (p.Tyr2026Terfs) mutation was detected in the LYST gene in both
patients. CONCLUSION: Genetic testing can play an important role in the diagnosis
of CHS.
PMID- 29652990
TI - [Analysis of pathological mutation in a Chinese pedigree affected with familial
exudative vitreoretinopathy].
AB - OBJECTIVE: To detect potential mutation in a Chinese pedigree affected with
familial exudative vitreoretinopathy (FEVR). METHODS: Clinical data of the
pedigree was collected. Coding regions of candidate genes were amplified by PCR
and subjected to next generation sequencing (NGS). Suspected mutations were
verified by Sanger sequencing and segregation analysis. RESULTS: Two novel
heterozygous mutations (c.1695dupC and c.552-563del) were respectively detected
in the LRP5 and ZNF408 genes in the proband. Both mutations were inherited from
the affected mother. By Sanger sequencing, the c.552-563del mutation was also
detected among unaffected members, while the c.1695dupC mutation was only
detected in affected members from the pedigree and was not recorded by the HGMD,
NCBI, or 1000 genome database. Upon prenatal diagnosis, the fetus was found to
carry the same mutations. CONCLUSION: Combined NGS and Sanger sequencing not only
can reduce the time required for diagnosis but also enable accurate prenatal
diagnosis for FEVR.
PMID- 29652991
TI - [Genetic analysis of a family affected with pulmonary hypertension secondary to
hereditary hemorrhagic telangiectasia].
AB - OBJECTIVE: To carry out genetic testing for a family affected with pulmonary
hypertension (PH) as the initial sign of hereditary hemorrhagic telangiectasia
(HHT). METHODS: High throughput sequencing was performed to detect potential
mutation in the coding regions of endoglin (ENG), activin receptor-like kinase 1
(ACVRL1) and mothers against decapentaplegic homolog 4 (SMAD4) genes. RESULTS: A
pathogenic heterozygous c.814C>T (p.Gln272Ter) mutation of the ACVRL1 gene was
identified in the proband. Her mother and two sons have carried the same
mutation. CONCLUSION: The c.814C>T (p.Gln272Ter) mutation of the ACVRL1 gene
probably underlies the disease in this family. Genetic testing should be
recommended to HHT patient, in particular those with pulmonary hypertension.
PMID- 29652992
TI - [Phenotypic and mutational analysis of a pedigree affected with hereditary
coagulation factor V deficiency].
AB - OBJECTIVE: To explore the molecular pathogenesis for a pedigree affected with
coagulation factor V (FV) deficiency. METHODS: Prothrombin time (PT), activated
partial thromboplastin time (APTT), fibrinogen (FIB), coagulation factor II
activity (FII: C), FV activity (FV: C), coagulation factor VII activity (FVII:
C), and coagulation factor X activity (FX: C) were determined with a STAGO
automatic coagulometer. FV antigen (FV: Ag) was detected with enzyme linked
immunosorbent assay (ELISA). All exons and their flanking regions, and 5' and 3'
untranslated regions of the F5 gene were analyzed by direct sequencing. Suspected
mutation was verified by reverse sequencing as well as testing of family members.
ClustalX software was used to analyze the conservative property of the mutation
sites. PROVEAN and MutationTaster online software was used to predict the effect
of the mutation on the protein function. Swiss-pdbViewer was used to analyze the
protein model and interaction of amino acids. RESULTS: The PT and APTT of the
proband were slightly prolonged to 15.2 s and 41.8 s, respectively. And the FV: C
and FV: Ag measured 55% and 62%, respectively. The FV: C and FV: Ag of his father
and son were decreased to various extent (60%, 65% and 31%, 40%, respectively). A
c.911G>A heterozygous mutation (Gly276Glu) was detected in exon 6 of the proband,
for which her father and son were heterozygotes. The same mutation was not found
in her mother, brother and husband. Conservation analysis showed that the Gly276
is highly conserved across various species. By bioinformatic analysis, the
PROVEAN (scored -6.214) indicated Gly276Glu was harmful, and MutationTaster
(scored 0.976) suggested that it is pathogenic. Model analysis suggested there
are two hydrogen bonds between Gly276 and Ile298 in the wild type protein. When
Gly276 was replaced by Glu276, the original hydrogen bond did not change, but the
side chain of Glu was extended, which added steric hindrance with the surrounding
amino acids, which resulted in decreased protein stability. CONCLUSION: The
heterozygous c.911G>A (Gly276Glu) mutation of the F5 gene probably underlies the
decreased level of FVin the proband.
PMID- 29652993
TI - [Analysis of WAS gene mutation in a Chinese family affected with Wiskott-Aldrich
syndrome].
AB - OBJECTIVE: To detect potential mutation of the WAS gene in a Chinese family
affected with Wiskott-Aldrich syndrome. METHODS: Peripheral blood samples were
collected from the proband and his family members. All exons and flanking regions
of the WAS gene were subjected to PCR amplification - Sanger sequencing as well
as restriction endonuclease analysis. Plasma level of B-cell activating factor
(BAFF) was also determined for all family members. RESULTS: A hemizygous mutation
(c.257G>A) of the WAS gene was identified in all patients from the family, for
which the patient's mother was heterozygous. The same mutation was not found
among healthy members of the family. Compared with unaffected members, all
patients had a higher level of BAFF. CONCLUSION: The c.257G>A mutation of the WAS
gene probably underlies the Wiskott-Aldrich syndrome in this family.
PMID- 29652994
TI - [Association of OSMR gene polymorphisms with dilated cardiomyopathy in a Han
Chinese population].
AB - OBJECTIVE: To assess the association of polymorphisms of oncostatin M receptor
(OSMR) gene with dilated cardiomyopathy (DCM) in a Han Chinese population.
METHODS: For 351 DCM patients and 418 healthy controls, two single nucleotide
polymorphisms (SNPs) of the OSMR gene, namely rs2292016 (promoter, -100G/T) and
rs2278329 (missense, Asp553Asn), were genotyped with a TaqMan SNP genotyping
assay. Two hundred of the patients were also followed up for (49.85 +/- 22.52)
months. RESULTS: For rs2292016, carriers of GT genotype were more likely to
develop DCM compared to those with GG and TT genotypes (OR=1.45, 95%CI: 1.09
1.92, P=0.01). For those who did not receive cardiac resynchronization therapy,
the GG genotype of rs2292016 was an independent indicator for poor prognosis
(OR=1.69, 95%CI: 1.11-2.63, P=0.017). No association was found between genotypes
of rs2278329 with the susceptibility or prognosis of DCM. CONCLUSION:
Polymorphisms of the OSMR rs2292016 locus are related to the development and
outcome of DCM.
PMID- 29652995
TI - [Association of polymorphisms of NAPE-PLD and FAAH genes with schizophrenia in
Chinese Han population].
AB - OBJECTIVE: To assess the association of polymorphisms of N-acyl
phosphatidylethanolamine-phospholipase D (DAPE-PLD) and fatty acid amide
hydrolase (FAAH) genes, as well as their interaction, with schizophrenia.
METHODS: Polymorphisms of NAPE-PLD rs12540583 and FAAH rs324420, rs2295633, and
rs6429600 were determined with PCR - restriction fragment length polymorphism
assay and Sanger sequencing. The genotypes of 345 subjects of Han Chinese origin
diagnosed with schizophrenia and a 403 controls were compared. The results were
analyzed with SPSS 17.0, and the interaction of the two genes was analyzed using
a multifactor dimensionality reduction (MDR) method. RESULTS: The frequency of
NAPE-PLD rs12540583 polymorphism was significantly different between the two
groups under both dominant and additive models (chi2=17.18 vs. chi2=18.94,
P<0.0125). The frequencies of AC genotype and C allele of the patient group at
rs12540583 were higher than those of the controls, and the interaction of NAPE
PLD and FAAH was associated with schizophrenia. A four-loci model (rs12540583,
rs324420, rs2295633 and rs6429600) can best model the interaction between NAPE
PLD and FAAH. CONCLUSION: The AC genotype and C allele of NAPE-PLD rs12540583
locus are risk factors for schizophrenia, and the interaction between NAPE-PLD
rs12540583 and FAAH rs324420, rs2295633 and rs6429600 is associated with
schizophrenia.
PMID- 29652996
TI - [Association of programmed cell death 1 (PDCD1) gene polymorphisms with
colorectal cancer among Han Chinese population].
AB - OBJECTIVE: To assess the association of programmed cell death 1 (PDCD1) gene
polymorphisms with the susceptibility and/or progression of colorectal cancer.
METHODS: A hospital-based case-control study was carried out, which recruited 426
colorectal cancer patients and 500 healthy individuals. Five single nucleotide
polymorphisms, namely rs36084323, rs11568821, rs2227981, rs2227982 and
rs10204525, were selected for the study and genotyped with a polymerase chain
reaction-restriction fragment length polymorphism (PCR-RFLP) assay. RESULTS: The
G allele of rs36084323 under a dominant model was associated with increased risk
of advanced TNM staging of colorectal cancer progression (OR=1.59, 95%CI=1.02
2.48). Haplotypes G-G-C-T-A and A-G-C-C-G of the rs36084323, rs11568821,
rs2227981, rs2227982, and rs10204525 were negatively associated with the
occurrence of colorectal cancer. CONCLUSION: The G allele of rs36084323 is
associated with increased risk of advanced TNM staging of colorectal cancer.
Conversely, the incidence of colorectal cancer is negatively associated with the
haplotypes G-G-C-T-A and A-G-C-C-G of rs36084323, rs11568821, rs2227981,
rs2227982, and rs10204525.
PMID- 29652997
TI - [Application of droplet digital PCR for non-invasive prenatal diagnosis of single
gene disease in two families].
AB - OBJECTIVE: To assess the value of droplet digital PCR (ddPCR) for non-invasive
prenatal diagnosis of single gene disease in two families. METHODS: Paternal
mutation in cell-free DNA derived from the maternal blood and amniotic fluid DNA
was detected by ddPCR. Suspected mutation in the amniotic fluid DNA was verified
with Sanger sequencing. RESULTS: The result of ddPCR and Sanger sequencing
indicated that the fetuses have carried pathogenic mutations from the paternal
side in both families. CONCLUSION: Droplet digital PCR can accurately detect
paternal mutation carried by the fetus, and it is sensitive and reliable for
analyzing trace samples. This method may be applied for the diagnosis of single
gene diseases caused by paternal mutation using peripheral blood sample derived
from the mother.
PMID- 29652998
TI - [Application of quantitative fluorescencet-PCR in the prenatal diagnosis of
chromosomale aneuploidies].
AB - OBJECTIVE: To assess the accuracy of quantitative fluorescence PCR(QF-PCR) for
the detection of fetal chromosomal aneuploidies and its values for prenatal
diagnosis. METHODS: QF-PCR and chromosomal karyotyping were used to analyze 6066
amniotic fluid samples derived from 6034 pregnant women. RESULTS: Both QF-PCR and
karyotyping analysis have detected 135 cases of fetal aneuploidies involving
chromosomes 21, 18, 13, X, and Y. The QF-PCR assay was also successful in 67
cases for which amniotic fluid culture has failed. Furthermore, it has identified
maternal cell contamination in 7 cases. By determining the consistency of short
tandem repeat (STR) sites, the QF-PCR assay has identified 22 dizygotic twins
among 32 twins with double chorions and double amniotic sacs. In 12 cases, it has
signaled numerical chromosomal aberration by critical or partial abnormal values
for the fluorescence peak area ratio, which were verified by karyotyping analysis
as mosaicisms of chromosome aneuploidies. CONCLUSION: The QF-PCR can provide an
useful supplement for chromosomal karyotyping and has an important role in rapid
prenatal diagnosis.
PMID- 29652999
TI - [Application of single nucleotide polymorphism microarray and fluorescence in
situ hybridization analysis for the prenatal diagnosis of a case with Pallister
Killian syndrome].
AB - OBJECTIVE: To explore the clinical and genetic characteristics of a case with
Pallister-Killian syndrome (PKS). METHODS: Chromosomal karyotype of umbilical
cord blood sample derived from a 36-year-old pregnant woman was analyzed by G
banding analysis. After birth, the child was further analyzed with single
nucleotide polymorphism microarray (SNP array) and fluorescence in situ
hybridization (FISH) using 12pter/12qter probes. RESULTS: G-banding analysis
showed that the fetus has a karyotype of 46,XY [77]/47,XY,+mar [23]. After birth,
Affymetrix CytoScan 750K array analysis showed a segmental tetrasomy of arr
[hg19] 12p13.33p11.1(173 786 - 34 835 641)*4 and a 34.6 Mb repeat at
12p13.33p11.1 with in the neonate. FISH analysis confirmed that 39% of cells
harbored the 12p tetrasomy. CONCLUSION: Combined clinical examination, G-banded
chromosomal karyotyping, FISH and microarray analysis can delineate the origin
and fragments of small supernumerary marker chromosomes and diagnose PKS with
precision.
PMID- 29653000
TI - [Application of combined chromosomal karyotyping and BACs-on-Beads assay for the
prenatal diagnosis of high-risk gravida from Ningbo].
AB - OBJECTIVE: To assess the value of combined chromosomal karyotyping and BACs-on
Beads(BoBs) assay for the prenatal diagnosis of high risk gravida from Ningbo.
METHODS: For 2779 women, results of conventional karyotyping analysis and BoBs
assay were compared. RESULTS: For common aneuploidies involving chromosomes 13,
18, 21, X and Y, the two methods have yielded a concordance rate of 98.78%. Eight
cases detected with microduplication by BoBs were missed by karyotyping analysis.
On the other hand, 17 structural chromosomal abnormalities, 10 chimeras and 1
triploidy detected by karyotyping analysis were missed by BoBs. CONCLUSION: The
BoBs technology has featured high throughput and rapidity, and can detect 9
microdeletion syndromes, which can improve the quality of prenatal diagnosis and
provide an ideal complementary for conventional chromosomal karyotyping.
PMID- 29653001
TI - [Genetic analysis of two fetuses with congenital heart defects and 3q
microdeletion].
AB - OBJECTIVE: To determine the nature of genomic copy number variations (CNVs) in
two fetuses with congenital heart defects (CHD) and explore the correlation
between 3q microdeletions and CHD. METHODS: Genomic DNA was extracted from fetal
umbilical cord tissue, and chromosome copy number variations were detected by low
coverage whole genome sequencing. RESULTS: Both fetuses had microdeletions of the
long arm of chromosome 3. Fetus 1 had ventricular septal defect, cleft lip and
palate, and a 1.66 Mb deletion on 3q29. The deleted region encompassed all of the
critical genes for 3q29 microdeletion syndrome. Fetus 2 had overriding aorta,
ventricular septal defect, and a novel 240 kb deletion on 3q28. CONCLUSION: 3q29
microdeletion may result in CHD in combination with cleft lip and palate. Genomic
CNVs can be detected by low coverage whole genome sequencing.
PMID- 29653002
TI - [Genetic analysis of two children patients affected with CHARGE syndrome].
AB - OBJECTIVE: To analyze two Chinese pediatric patients with multiple malformations
and growth and development delay. METHODS: Both patients were subjected to
targeted gene sequencing, and the results were analyzed with Ingenuity Variant
Analysis software. Suspected pathogenic variations were verified by Sanger
sequencing. RESULTS: High-throughput sequencing showed that both patients have
carried heterozygous variants of the CHD7 gene. Patient 1 carried a nonsense
mutation in exon 36 (c.7957C>T, p.Arg2653*), while patient 2 carried a nonsense
mutation of exon 2 (c.718C>T, p.Gln240*). Sanger sequencing confirmed the above
mutations in both patients, while their parents were of wild-type for the
corresponding sites, indicating that the two mutations have happened de novo.
CONCLUSION: Two patients were diagnosed with CHARGE syndrome by high-throughput
sequencing.
PMID- 29653003
TI - [Diagnosis of two neonates with galactosemia by using next generation
sequencing].
AB - OBJECTIVE: To explore the genetic basis of two neonates suspected for
galactosemia. METHODS: Next generation sequencing(NGS) was used to screen the
whole exome of the neonates. Suspected mutation was validated by PCR and Sanger
sequencing. Potential impact of novel mutation was predicted by using PolyPhen-2,
MutationTaste and SIFT software. RESULTS: Both neonates harbored compound
heterozygous mutations of the GALT gene inherited from their parents. One has
inherited two novel mutations c.564G>C(p.Q188H) and c.116A>T(p.D39V) respectively
from his father and mother. The other has inherited mutations c.754C>T(p.Q252X)
and c.904+1G>T from her father and mother, respectively. CONCLUSION: The
galactosemia in the two neonates may be attributed to compound heterozygous
mutations of the GALT gene. This is the first domestic report of using the NGS
for the diagnosis of galactosemia.
PMID- 29653004
TI - [Genetic analysis of a child with cleidocranial dysplasia and 6q21-q22.31
microdeletion].
AB - OBJECTIVE: To carry out genetic analysis on a child with developmental delay and
multiple malformation. METHODS: The karotypes of the child and her parents were
analyzed with routine chromosomal G-banding. Their genomic DNA was analyzed with
array comparative genomic hybridization (aCGH). RESULTS: The karyotype of the
proband was determined as 46,XX,del(6)(q22),inv(6)(p21.1q21), while no karyotypic
abnormality was detected in her parents. aCGH has identified in the child a de
novo 800 kb deletion encompassing the RUNX2 gene at 6p21.1 and a de novo 11.79 Mb
deletion at 6q21-q22.31. CONCLUSION: Both of the de novo deletions are
pathogenic. Deletion of the RUNX2 gene probably underlies the cleidocranial
dysplasia in the patient, while the 6q21-q22.31 deletion may result in
malformation of the brain.
PMID- 29653005
TI - [Analysis of a patient with X-linked mental retardation by next generation
sequencing].
AB - OBJECTIVE: To explore the clinical and genetic features of a Chinese boy
featuring X-linked mental retardation. METHODS: Clinical features of the patient
were analyzed. The DNA of the patient and his parents was extracted and sequenced
by next generation sequencing. The results were validated and analyzed with
software. RESULTS: The child displayed X-linked mental retardation. Sequencing
showed the patient has carried a c.455T>C (p.L152P) mutation of the GRIA3 gene
inherited from his mother. CONCLUSION: The c.455T>C (p.L152P) mutation of the
GRIA3 gene probably underlies the X-linked mental retardation in this child.
PMID- 29653006
TI - [A weak D type 59 case identified in the Chinese Han population].
AB - OBJECTIVE: To study a case with weak D59 phenotype identified among ethnic Han
Chinese population. METHODS: Routine serological tests were used to analyze the
reaction patterns, and the RhD epitopes were verified with 12 monoclonal
antibodies. Sequence-specific primer PCR was applied for typing the weak RhD and
RhD zygosity in the proband and his family members. RESULTS: A c.1148T>C variant
was identified in the proband, for which serological test indicated a weak D
phenotype. RHD zygosity testing confirmed that the proband had a RHD+ /RHD-
genotype. CONCLUSION: A weak D59 phenotype was firstly identified in a Chinese
individual.
PMID- 29653007
TI - [Analysis of TGM1 gene mutation in a collodion baby].
AB - OBJECTIVE: To explore the genetic cause for a Uyghur Chinese child with collodion
skin. METHODS: G-banded chromosomal karyotyping was carried out for the child and
his parents. High-throughput sequencing for 25 genes related to ichthyosis and
ichthyosiform dermatosis was also performed for the child. RESULTS: No karyotypic
abnormality was found in the child and his parents. High-throughput sequencing
has detected in the patient a previously described pathogenic mutation c.919C>T
(p.Arg307Trp) and a novel c.856C>T (p.Arg286Trp) mutation in the TGM1 gene. By
Sanger sequencing, the child was verified to have carried both mutations. His
father was found to be a heterozygous carrier of the c.856C>T (p.Arg286Trp)
mutation, while neither mutation was found in the mother. CONCLUSION: Congenital
ichthyosis associated with the TGM1 gene may show an autosomal recessive
inheritance. The collodion condition of the child is probably due to the compound
heterozygous mutations of the TGM1 gene.
PMID- 29653008
TI - [A de novo GJA1 mutation identified by whole-exome sequencing in a patient with
oculodentodigital dysplasia].
AB - OBJECTIVE: To explore the genetic basis for a patient with oculodentodigital
dysplasia. METHODS: Genomic DNA was extracted from peripheral blood samples from
the patient and his parents. Whole-exome sequencing was carried out for the trio
family. Suspected mutation was verified by Sanger sequencing. RESULTS: A de novo
c.412G>A mutation of the GJA1 gene was identified in the patient, which was
validated by Sanger sequencing. CONCLUSION: The c.412G>A mutation of the GJA1
gene probably underlies the disease in the patient.
PMID- 29653009
TI - [Cytogenetic and molecular genetic analysis of the amniotic fluid cells of a
fetus with pseudodicentric isochromosome 22 resulting in partial tetraploidy of
22q].
AB - OBJECTIVE: To diagnose chromosomal abnormalities in amniotic fluid cells by
combining karyotyping and single nucleotide polymorphism array (SNP-array)
analysis, and to explore the application of SNP-array in routine clinical
practice. METHODS: Conventional G banding was used to karyotype a fetal amniotic
fluid sample and the corresponding peripheral blood samples from the parents,
followed by SNP-array analysis of the fetal genomic DNA from the amniotic fluid.
RESULTS: The karyotype of the amniocytes was 47, XX, +mar. The marker chromosome
was further identified as psu idic (22) (q11.2) by SNP-array analysis, revealing
tetraploidy of a 1.7 Mb fragment in 22q11.1-q11.2 interval that involves the
critical region for Cat eye syndrome. CONCLUSION: A rare chromosomal abnormality
was identified by combining conventional G banding and SNP-array. The high
resolution SNP-array could provide more detailed information for determining the
origin of chromosomal abnormalities.
PMID- 29653010
TI - [Transformation from promyelocytic leukemia with t (15; 17) ( q22; q21) to acute
monocytic leukemia with t (11; 17) (q23; q21) in a case].
AB - OBJECTIVE: To report on a case of therapy-related acute monocytic leukemia(t-AML)
with t(11;17) (q23;q21)/MLL-AF17q after successful treatment for acute
promyelocytic leukemia(APL) with t(15;17) (q22;q21)/PML-RARalpha. METHODS: A MICM
method (bone marrow morphology(M), immunophenotype(I), cytogenetics(C), and
molecular biology(M)) was used for the diagnosis and classification of the
disease at the time of onset and transformation. RESULTS: The patient was
initially identified with typical morphology and immunophenotype of APL. She has
carried t(15;17)(q22;q21) and PML-RARalpha fusion gene but was without
t(11;17)(q23;q21) or MLL gene abnormalities. After 13 months of successful
treatment, she has transformed to AML with typical morphology and
immunophenotype. t(11;17)(q23;q21) and MLL-AF17q fusion gene were detected in her
bone marrow sample, while no PLZF-RARalpha fusion gene was detected by real-time
quantitative reverse-transcription PCR(RQ-PCR) and fluorescence in situ
hybridization(FISH). CONCLUSION: t-AML is a serious complication after successful
treatment of APL. t(11;17)(q23;q21) is not specific for the diagnosis of variant
APL and can also be detected in t-AML. RQ-PCR and FISH are essential for the
diagnosis of such patients.
PMID- 29653011
TI - [Advance in clinical research on Antley-Bixler syndrome].
AB - Antley-Bixler syndrome (ABS) is a rare childhood disorder affecting skeletal
development. Some patients may also have genital anomalies and impaired
steroidogenesis. Diagnostic criteria for ABS has not been fully established,
though craniosynostosis, midface hypoplasia and elbow synostosis are minimum
requirements. The etiology of ABS is complex, which included autosomal dominant
form caused by FGFR2 gene mutations, autosomal recessive form caused by POR gene
mutations, and high oral dose of fluconazole during pregnancy. Patients may die
from dyspnea due to upper respiratory tract obstruction. This review summarizes
research progress on the clinical features, etiology, differential diagnosis,
treatment and prevention of ABS.
PMID- 29653012
TI - [Advance in research on spinocerebellar ataxia 2].
AB - Spinocerebellar ataxia type 2 (SCA2) is a rare autosomal dominant progressive
degenerative disease of the nervous system, which is characterized by a
progressive cerebellar syndrome associated with saccadic eye scan, peripheral
neuropathy, cognitive disorders, and other multisystem features. The gene
predisposing to SCA2 has been mapped, which encodes the ataxin 2 protein. A CAG
repeat expansion in the coding region of ATXN2 gene can cause extension of
polyglutamine chain in the protein. This paper reviews recent progress made in
the research on SCA2 in regard to its clinical features, pathology, etiology,
pathogenesis and treatment.
PMID- 29653013
TI - [Progress of research on Bardet-Biedl syndrome].
AB - Bardet-Biedl syndrome (BBS) is a rare genetic disease caused by ciliary structure
abnormality or dysfunction. To date, more than 21 BBS genes (BBS1 - 21) have been
reported to independently cause the disorder. Although the cellular functions of
BBS proteins are not yet fully understood, model organisms have revealed that
such proteins are involved in ciliary functions and intracellular transport.
Among the 21 BBS genes, BBS7 is unique in that its product is a subunit of the
BBSome and can directly interact with the BBS chaperonin complex. Previous
studies using animal models showed that BBS7 mutation can cause similar
phenotypes to human patients, and human disease caused by BBS7 variants are
special and more complex. This article reviewed recent progresses on BBS7.
PMID- 29653014
TI - [Diffuse palmoplanta keratoderma: a pedigree with 23 cases].
PMID- 29653015
TI - A novel HLA-C allele, HLA-C*07:02:01:17N, with an alternative splice site.
AB - We describe the identification of alternatively expressed HLA allele
C*07:02:01:17N.
PMID- 29653017
TI - CRPS Knee: How Frequently Encountered in Differential Diagnosis of Knee Pain?
PMID- 29653016
TI - Accelerated Forced Degradation of Pharmaceuticals in Levitated Microdroplet
Reactors.
AB - Forced degradation is a method of studying the stability of pharmaceuticals in
order to design stable formulations and predict drug product shelf life.
Traditional methods of reaction and analysis usually take multiple days, and
include LC-UV and LC-MS product analysis. In this study, the reaction/analysis
sequence was accelerated to be completed within minutes using Leidenfrost
droplets as reactors (acceleration factor: 23-188) and nanoelectrospray
ionization MS analysis. The Leidenfrost droplets underwent the same reactions as
seen in traditional bulk solution experiments for three chemical degradations
studied. This combined method of accelerated reaction and analysis has the
potential to be extended to forced degradation of other pharmaceuticals and to
drug formulations. Control of reaction rate and yield is achieved by manipulating
droplet size, levitation time and whether or not make-up solvent is added.
Evidence is provided that interfacial effects contribute to rate acceleration.
PMID- 29653018
TI - Brain complications of diabetes mellitus: a cross-sectional study of awareness
among individuals with diabetes and the general population in Ireland.
AB - AIMS: To identify awareness of potential brain complications of diabetes among
individuals with diabetes and the public. METHODS: For this observational, cross
sectional survey study, we recruited consecutive adult attendees of a specialist
diabetes clinic and two primary care practices. Primary care attendees
represented members of the general population of Ireland. An interviewer
administered questionnaire was used to gather data on respondents' awareness of
brain complications of diabetes and modifiable risk factors for dementia.
Multivariable logistic regression was undertaken to identify variables
independently associated with awareness. RESULTS: Respondents included a total of
502 adults: 250 in the diabetes group (37% women, mean age 63 +/- 14 years, 88%
with Type 2 diabetes) and 252 in the general population group (51% women, mean
age 47 +/- 17 years, 7% with Type 2 diabetes). The diabetes group had
significantly greater awareness of diabetes complications, except for depression,
compared with the general population group. In the group as a whole, respondent
awareness of dementia (35%) and memory problems (47%) as potential complications
of diabetes was poor compared with awareness of kidney (84%) and eye damage
(84%). Respondents were 1.5 times more likely to identify that individuals can
modify their risk of developing Type 2 diabetes than their risk of dementia.
CONCLUSIONS: This study shows that there is poor awareness of brain complications
of diabetes among individuals with diabetes and the general population in
Ireland. The results suggest a need for expansion of public awareness campaigns
and diabetes education programmes to promote awareness of the brain complications
of diabetes and of the modifiable risk factors for dementia, as part of a life
course approach to dementia prevention.
PMID- 29653019
TI - Bilateral ipilimumab-induced posterior uveitis following treatment for metastatic
choroidal melanoma.
PMID- 29653021
TI - Characterization of the novel HLA-B*40:366 allele by sequencing-based typing.
AB - HLA-B*40:366 differs from HLA-B*40:06:01:01 by one nucleotide substitution at
position 362.
PMID- 29653020
TI - Developmental toxicity of flucytosine following administration to pregnant rats
at a specific time point of organogenesis.
AB - To investigate the abnormalities that are specific to administration of
flucytosine at one time point during embryonic organogenesis, flucytosine was
administered orally to pregnant Sprague Dawley (SD) rats in a single dose on day
11 of pregnancy at 25 or 35 mg/kg. Fetuses on day 20 of pregnancy were
externally, viscerally, and skeletally examined. Maternal body weight gain and
food consumption were suppressed the day after administration of a 35 mg/kg.
Fetal examinations revealed various alterations in both dose groups: externally
preaxial polydactyly in the hind limb; skeletally fused lumbar centrum, absent
sacral centrum, supernumerary sacral vertebra, and absent ribs. Our findings
indicated that specific types of external and skeletal anomalies were induced
following flucytosine administration on day 11 of pregnancy.
PMID- 29653022
TI - Characterization of the novel HLA-DQB1*06:02:29 allele by sequencing-based
typing.
AB - HLA-DQB1*06:02:29 differs from HLA-DQB1*06:02:01:01 by one nucleotide
substitution at position 408 (C > T).
PMID- 29653023
TI - gamma-Aminobutyric acid quantification in small volume biological samples through
enzymatically induced electrochemiluminescence.
AB - gamma-Aminobutyric acid (GABA) is a well-known neurotransmitter that regulates
inhibitory neurotransmission in the mammalian central nervous system and
participates in several processes outside the brain. A reliable quantification
method is needed to determine its role in different physiological and
pathological conditions. However, GABA measurements have several challenges
because GABA is neither fluorescent nor electroactive, and it is difficult to
detect using enzymatic reactions because no oxidases or dehydrogenases have been
identified. Several methods have been developed to quantify GABA concentrations
based on the instrumentation available, the sensitivity required, and the volume
of samples analyzed. Most of these methods use high-performance liquid
chromatography (HPLC). Here, we describe a method for quantifying GABA
concentrations in small volume samples using enzymatically-induced
electrochemiluminescence with the well-known GABAse complex, which produces
glutamate for use in a luminescent reaction with glutamate oxidase and luminol in
an electrochemiluminescence cell. The luminescence obtained was proportional to
the GABA concentrations in the micromolar range (1-1000), with linear r2 values >
0.95. GABA standards were treated with the enzymatic reactors to generate
glutamate (Glu), which was measured simultaneously with an HPLC technique, to
validate this new procedure. The assay was further used to determine GABA
concentrations in hippocampal extracts. This alternative may be used to quantify
GABA levels in fluid samples, such as microdialysates, other perfusates and
tissue extracts. Thus, the method presented here is a good alternative for
monitoring GABA levels with good sensitivity compared with the traditional
methods that are still in use.
PMID- 29653024
TI - Reaction Kinetics Direct a Rational Synthesis of an HIV-1 Inactivator of
Nucleocapsid Protein 7 and Provide Mechanistic Insight into Cellular Metabolism
and Antiviral Activity.
AB - Mercaptobenzamide thioester SAMT-247 is a non-toxic, mutation-resistant HIV-1
maturation inhibitor with a unique mechanism of antiviral activity. NMR
spectroscopic analyses of model reactions that mimic the cellular environment
answered fundamental questions about the antiviral mechanism and inspired a high
yielding (64 % overall), scalable (75 mmol), and cost-effective ($4 mmol-1 )
three-step synthesis that will enable additional preclinical evaluation.
PMID- 29653025
TI - Characterization of the novel HLA-C*07:613 allele by sequencing-based typing.
AB - HLA-C*07:613 differs from HLA-C*07:01:01:01 by one nucleotide substitution at
position 454.
PMID- 29653026
TI - The full-length genomic sequence of a novel HLA-C*07 allele, HLA-C*07:63,
identified in a Chinese individual.
AB - HLA-C*07:63 differs from HLA-C*07:04:01 by a single nonsynonymous change,
resulting in an amino acid substitution.
PMID- 29653027
TI - The relationship among breakfast time, morningness-eveningness preference and
body mass index in Type 2 diabetes.
AB - AIMS: Obesity is prevalent and related to poor outcomes in Type 2 diabetes.
Evening preference and late meal times have been shown to be associated with
obesity, but data are lacking in people with Type 2 diabetes. This study examined
the relationship among meal timing, morningness-eveningness preference and BMI in
Type 2 diabetes, using a mediation analysis. METHODS: Some 210 non-shift workers
with Type 2 diabetes participated in the study. Morningness-eveningness
preference was assessed using a standard questionnaire, the Composite Scale of
Morningness (CSM). Meal timing and daily calorie intake were obtained from 1-day
food recall. A mediation analysis adjusting for relevant covariables was
performed to explore whether morningness-eveningness had a direct effect on BMI,
or whether the effect was mediated through the intermediate variable of meal
timing. RESULTS: Mean BMI was 28.4 +/- 4.8 kg/m2 . A higher BMI was associated
with greater evening preference (P = 0.019), and non-significantly associated
with late breakfast time (P = 0.053). BMI was not associated with other mealtimes
or calorie intake. In addition, evening preference was associated with late
breakfast time (P < 0.001). Mediation analysis revealed that breakfast time
mediated the association between morningness-eveningness and BMI, i.e. morning
preference (CSM >= 45) was associated with earlier breakfast time, and lower BMI
by 0.37 kg/m2 [coefficient = -0.365, 95% confidence intervals (CI): -0.877,
0.066), whereas the direct relationship between BMI and morningness-eveningness
was non-significant. CONCLUSIONS: Late breakfast time mediated the relationship
between morningness-eveningness preference and BMI. These results suggest that
circadian preference and meal timing are novel and possibly modifiable risk
factors for obesity in Type 2 diabetes.
PMID- 29653028
TI - RNA localization regulates diverse and dynamic cellular processes.
AB - At the nexus of specialized cellular responses are localized enrichments of
protein activity. The localization of messenger RNA (mRNA) coupled with
translational control often plays a crucial role in the generation of protein
concentrations at defined subcellular domains. Although mRNA localization is
classically associated with large specialized cells, such as neurons and embryos,
RNA localization is a highly conserved paradigm of post-transcriptional
regulation observed in diverse cellular contexts. Functions of localized mRNAs
extend far beyond the well-studied examples of neuronal polarization and
developmental patterning. Since the initial discovery of the intracellular
localization of cytoskeletal mRNAs within migrating cells, hundreds of mRNAs are
now known to be enriched at specific organelles where they contribute to cell
function. In this short review, we discuss basic principles regulating RNA
localization and consider the contribution of localized mRNA to several essential
cellular behaviors. We consider RNA localization as a mechanism with widespread
implications for cellular function.
PMID- 29653029
TI - Prostate-specific membrane antigen-targeted photoacoustic imaging of prostate
cancer in vivo.
AB - A sensitive, noninvasive method to detect localized prostate cancer, particularly
for early detection and repetitive study in patients undergoing active
surveillance, remains an unmet need. Here, we propose a molecular photoacoustic
(PA) imaging approach by targeting the prostate-specific membrane antigen (PSMA),
which is over-expressed in the vast majority of prostate cancers. We performed
spectroscopic PA imaging in an experimental model of prostate cancer, namely, in
immunocompromised mice bearing PSMA+ (PC3 PIP) and PSMA- (PC3 flu) tumors through
administration of the known PSMA-targeted fluorescence agent, YC-27. Differences
in contrast between PSMA+ and isogenic control tumors were observed upon PA
imaging, with PSMA+ tumors showing higher contrast in average of 66.07-fold with
5 mice at the 24-hour postinjection time points. These results were corroborated
using standard near-infrared fluorescence imaging with YC-27, and the squared
correlation between PA and fluorescence intensities was 0.89. Spectroscopic PA
imaging is a new molecular imaging modality with sufficient sensitivity for
targeting PSMA in vivo, demonstrating the potential applications for other
saturable targets relevant to cancer and other disorders.
PMID- 29653031
TI - Desperately seeking subjects: Increasingly specific criteria have left cancer
trial researchers competing for a few viable candidates.
PMID- 29653030
TI - Sex modulates the association of fibroblast growth factor 21 with end-stage renal
disease in Asian people with Type 2 diabetes: a 6.3-year prospective cohort
study.
AB - AIM: To study whether plasma fibroblast growth factor 21 independently predicts
the risk of end-stage renal disease in Asian people with Type 2 diabetes.
METHODS: In this prospective cohort study, 1700 Asian people with Type 2 diabetes
were followed for a mean of 6.3 years in a regional hospital in Singapore.
Incident end-stage renal disease was identified by linkage with a national renal
registry. The association of baseline fibroblast growth factor 21 levels with
risk of progression to end-stage renal disease was studied using survival
analyses. RESULTS: Participants were aged 60 +/- 10 years, with an average
diabetes duration of 12 years. Their estimated GFR was 73 +/- 28 ml/min/1.73 m2
and 62% had albuminuria at baseline. A total of 179 incident end-stage renal
disease cases were identified. Plasma fibroblast growth factor 21 interacted with
sex in its association with end-stage renal disease (Pinteraction = 0.003). A 1
sd increment in fibroblast growth factor 21 (natural log-transformed) was
associated with a 1.32-fold (95% CI 1.05-1.66, P = 0.02) increased hazard for end
stage renal disease in women, after adjustment for traditional risk factors
including estimated GFR and albuminuria. Taking death as a competing risk did not
materially change the outcome [sub-distribution hazard ratio 1.35 (95% CI 1.11
1.66, P = 0.003)]. Fibroblast growth factor 21 did not predict end-stage renal
disease risk in men after adjustment for baseline estimated GFR and albuminuria
[hazard ratio 1.07 (95% CI 0.89-1.28, P = 0.49)]. CONCLUSIONS: Plasma fibroblast
growth factor 21 level independently predicted risk of progression to end-stage
renal disease in women with Type 2 diabetes. The pathophysiological relationships
among FGF21, sex and renal progression warrant further study.
PMID- 29653032
TI - Characterization of the novel HLA-DQB1*03:279 allele by sequencing-based typing.
AB - HLA-DQB1*03:279 differs from HLA-DQB1*03:02:01:01 by one nucleotide substitution
at position 218 (A>T).
PMID- 29653034
TI - KIR2DL1 allele sequence extensions and discovery of 2DL1*0010102 and 2DL1*0010103
alleles by DNA sequencing.
AB - Full-length KIR2DL1 allele sequence extensions characterised by single molecule
real-time (SMRT) DNA sequencing.
PMID- 29653033
TI - Organometallic Conjugates of the Drug Sulfadoxine for Combatting Antimicrobial
Resistance.
AB - Fourteen novel arene RuII , and cyclopentadienyl (Cpx ) RhIII and IrIII complexes
containing an N,N'-chelated pyridylimino- or quinolylimino ligand functionalized
with the antimalarial drug sulfadoxine have been synthesized and characterized,
including three by X-ray crystallography. The rhodium and iridium complexes
exhibited potent antiplasmodial activity with IC50 values of 0.10-2.0 MUm in
either all, or one of the three Plasmodium falciparum assays (3D7 chloroquine
sensitive, Dd2 chloroquine resistant and NF54 sexual late stage gametocytes) but
were only moderately active towards Trichomonas vaginalis. They were active in
both the asexual blood stage and the sexual late stage gametocyte assays, whereas
the clinical parent drug, sulfadoxine, was inactive. Five complexes were
moderately active against Mycobacterium tuberculosis (IC50 <6.3 MUm), while
sulfadoxine showed no antitubercular activity. An increase in the size of both
the Cpx ligand and the aromatic imino substituent increased hydrophobicity, which
resulted in an increase in antiplasmodial activity.
PMID- 29653035
TI - Sugarcane growth and nutrition levels are differentially affected by the
application of PGPR and cane waste.
AB - Mineral and organic fertilization can be optimized by using rhizobacteria which
increases dry matter, yield, and nutrients in the soil and plant, among the other
biological inputs. However, the discovery of single microbes or a consortium that
can benefit plants has been a challenge. In this context, this study aimed to
evaluate the effects of Bacillus subtilis and Bacillus pumilus combined with
mineral fertilization and sugar and alcohol industry by-products in presprouted
and the initial growth phase of sugar cane seedlings. The study was carried out
in two phases. Phase 1 included presprouted seedlings with T1 = untreated
control, T2 = B. subtilis, T3 = B. pumilus, and T4 = B. subtilis + B. pumilus
treatments. Phase 2 included the same treatments with four types of
fertilization: F1 = mineral fertilization, F2 = mineral fertilization +
vinasse, F3 = mineral fertilization + filter cake, and F4 = mineral
fertilization + filter cake compost. Of the phase 1 treatments, T2 (B. subtilis)
was the best promoter of root growth and the total dry matter compared to the
control with an increase of 23.0% compared to the control. In phase 2, B. pumilus
application, increased the total dry matter by 13%, the number of tillers by 37%,
and the diameter of the tillers by 48% when combined with mineral fertilization.
The combined application of B. subtilis and B. pumilus increased the phosphorus
content by 13% in soil treated with mineral fertilization and filter cake
compost. The results of the this study strongly suggest that the use of B.
subtilis and B. pumilus together with these by-products can improve soil
fertility parameters and decrease adverse effects associated with vinasse
fertilization, in addition to providing shoot and root growth and providing
collective synergy for a high yield of sugarcane production with environmental
benefits.
PMID- 29653036
TI - Factors that predict walking ability with a prosthesis in lower limb amputees.
AB - Introduction: Identification of predictive factors for walking ability with a
prosthesis, after lower limbamputation, is very important in order to define
patient's potentials and realistic rehabilitation goals,however challenging they
are. Objective: The objective of this study was to investigate whether variables
determined at the beginningof rehabilitation process are able to predict walking
ability at the end of the treatment using supportvector machines (SVMs). Methods:
This research was designed as a retrospective clinical case series. The outcome
was defined asthree-leveled ambulation ability. SVMs were used for predicting
model forming. Results: The study included 263 patients, average age 60.82 +/-
9.27 years. In creating SVM models, elevenvariables were included: age, gender,
cause of amputation, amputation level, period from amputation toprosthetic
rehabilitation, Functional Comorbidity Index (FCI), presence of diabetes,
presence of a partner,restriction concerning hip or knee extension, residual limb
hip extensor strength, and mobility at admission.Six SVM models were created with
four, five, six, eight, 10, and 11 variables, respectively. Geneticalgorithm was
used as an optimization procedure in order to select the best variables for
predicting thelevel of walking ability. The accuracy of these models ranged from
72.5% to 82.5%. Conclusion: By using SVM model with four variables (age, FCI,
level of amputation, and mobility at admission)we are able to predict the level
of ambulation with a prosthesis in lower limb amputees withhigh accuracy.
PMID- 29653037
TI - Manual versus target-controlled infusion of balanced propofol during diagnostic
colonoscopy - A prospective randomized controlled trial.
AB - Introduction: There is an increasing interest in balanced propofol sedation (BPS)
for colonoscopy inoutpatient settings. Propofol is a potent anesthetic agent for
this purpose and has a narrow therapeuticrange, which increases a risk of
cardiovascular and respiratory complications in case of improperadministration.
Objective: The aim of this study was to compare patients' safety and comfort of
endoscopists in twomethods of BPS targeting deep sedation - propofol target
controlled infusion (TCI) and manual intravenoustitration technique (MT) - during
colonoscopy. Methods: This prospective randomized controlled trial included 90
patients (class I or II of the AmericanSociety of Anesthesiologists) deeply
sedated with propofol, coadministered with small doses of midazolamand fentanyl.
Propofol was given by MT technique (45 patients) or by TCI (45 patients). The
followingadverse effects were recorded: hypotension, hypertension, bradycardia,
tachycardia, hypoxemia,bradypnea, apnea, hiccupping, and coughing, as well as
endoscopist's comfort during colonoscopy bymeans of a questionnaire. Results: The
MT group compared to the TCI group had a lower mean arterial pressure in the 10th
minuteafter the beginning (p = 0.017), and at the end of colonoscopy (p = 0.006),
higher oxygen saturation inthe fifth minute (p = 0.033), and in the 15th minute
(p = 0.008) after the beginning of colonoscopy, andlower heart rate at the
beginning of the procedure (p = 0.001). There were no statistically significant
differencesin adverse events. Endoscopist's comfort during colonoscopy was high
95.6% in the TCI groupvs. 88.9% in the MT group (p = 0.069). Conclusion: MT is
clinically as stable as TCI of propofol for deep sedation during colonoscopy, and
endoscopistsexperienced the same comfort during colonoscopy in both groups. Thus,
both combinationsare suitable for deep sedation during diagnostic colonoscopy.
PMID- 29653038
TI - Streptococcus pneumoniae serotype distribution in Vojvodina before the
introduction of pneumococcal conjugate vaccines into the National
ImmunizationProgram.
AB - Introduction: Streptococcus pneumoniae is the most common causative agent of
bacterial pneumoniaand meningitis. Mandatory childhood immunization against
pneumococcal diseases is introduced in thenew Law on Protection of Population
against Communicable Diseases in Serbia. Objective: The objective of this study
was to determine the prevalence of pneumococcal serotype distributionin Vojvodina
region before routine use of pneumococcal conjugate vaccine in Serbia. Methods: A
total of 105 isolates of Streptococcus pneumoniae were collected in the period
from January2009 to April 2016. Based on the results of serotyping in the
National Reference Laboratory, we analyzeddistribution of circulating serotypes
and coverage of conjugate and 23-valent polysaccharide pneumococcalvaccines in
different age groups. Results: Among 105 isolates, a total of 21 different
serotypes of Streptococcus pneumoniae were determined.The most frequent serotypes
were 3 (21.9%), 19F (20.0%), and 14 (10.5%). The serotype coverage ofpneumococcal
conjugate vaccines (PCV7, PCV10, and PCV13) was 48.6%, 54.3%, and 84.8%,
respectively,while pneumococcal polysaccharide vaccine (PPV23) covered 89.5% of
the total number of isolates in allage groups. Serotypes included in PCV7, PCV10,
and PCV13 represented 72.0%, 76.0%, and 88.0% of thetotal number of isolates in
children <=5 years, respectively. Vaccine serotype coverage of PCV13 and
PPV23ranged from 87.1% to 90.3% in adults 50-64 years of age, and 77.8% to 85.2%
in adults >=65 years old. Conclusion: Serotype distribution of Streptococcus
pneumoniae in the population fairly overlaps with theserotypes contained in
pneumococcal vaccines, so that implementation of childhood immunization
isjustified. The study was done in the Province of Vojvodina but the findings may
be applied to Serbia asa whole.
PMID- 29653039
TI - Relentless placoid chorioretinitis - A case report.
AB - Introduction: Relentless placoid chorioretinitis is an entity which belongs to
the group of an atypicalintermediate form of primary inflammatory
choriocapillaropathies, resembling both acute posteriormultifocal placoid pigment
epitheliopathy and serpiginous choroiditis, but the retinal distribution
andclinical course are not the same. Because of this similarity this entity was
termed "AMPPiginous". Thisentity was first described by Jones et al. in 2000. The
aim of our case report is to present a very specificcase where the clinical
course was progressive, with loss of vision in the affected eye. Case Outline: A
31-year-old man, with no previous ophthalmic diseases, was hospitalized at the
Clinicof Ophthalmology, Clinical Center Kragujevac, because of a reduction of
vision in the right eye, andscotoma and metamorphopsia in the left eye. The
clinical course of retinal lesions in the left eye resembledthe changes observed
in acute posterior multifocal placoid pigment epitheliopathy, and the righteye
changes were between acute posterior multifocal placoid pigment epitheliopathy
and serpiginouschoroiditis. The diagnosis of relentless placoid chorioretinitis
was confirmed after clinical, laboratory,immunological, virological, and
angiography examinations. Conclusion: The progressive clinical course of the
disease, complemented by multimodal imaging andextensive laboratory diagnostics,
has led us to the diagnosis of relentless placoid chorioretinitis. Thecombined
anti-inflammatory and immunomodulatory therapy led to the stabilization of visual
acuityof the left eye as opposed to the right, where there has been no recovery.
PMID- 29653040
TI - Central mucoepidermoid carcinoma of the mandible - A case report.
AB - Introduction: Mucoepidermoid carcinoma, compared to other tumors of salivary
glands, occurs in 5-10%of cases. Histopathologically, it is divided into a well
differentiated tumor that is of low-grade of malignancy,and a medium and poorly
differentiated tumor of high grade of malignancy. Central mucoepidermoidcarcinoma
(CMEC) of the mandible was firstly described by Lepp in 1936, on a 66-year-old
femalepatient. CMEC is characterized by atypical clinical image and radiological
manifestation. Case Outline: A 55-year-old female patient was examined at the
Clinic of Dentistry in Nis, Serbia, withanamnestic data regarding the presence of
painless swelling in the right side of the mandible. Consideringthe
histopathological results and presence of enlarged lymph nodes, right
hemimandibulectomyand tumour excision from pterygomandibular space followed by
supraomohyoid neck dissection wasdone. In due course, postoperative radiotherapy
was applied (60 Gy) Conclusion: CMEC represents a rare tumor, characterized by
local tissue destruction and ability to metastasize.Initial biopsy represented
the key in preoperative planing. Radical excision with neck lymphnode dissection
followed by postoperative radiotherapy in our case represent a successful method
oftreating CMEC of the mandible.
PMID- 29653041
TI - Epithelioid hemangioma in the oral mucosa - A case report.
AB - Introduction: Epithelioid hemangioma is an uncommon benign vasoproliferative
neoplasm that usuallymanifests as multiple red nodules in middle-aged adults Case
Outline: 52-year-old male patient presented with a one-year history of a nodular
lesion in theleft buccal mucosa measuring 3 cm. The clinical hypothesis was
lipoma. An excisional biopsy revealed acircumscribed lesion composed of lobules
of vessels with perceptible or poor lumina, associated with aprominent
inflammatory infiltrate consisting of eosinophils, histiocytes and chronic
inflammatory cells.The endothelial cells composing the lesion had an epithelioid
morphology and contained abundanteosinophilic cytoplasm. Immunohistochemistry for
CD34, factor VIII, collagen IV, alpha-smooth muscleactin, and mast cells, as well
as histochemical staining with Weigert's orcein were performed. Conclusion:
Vascular proliferations of soft tissues are a diverse and morphologically complex
group oflesions that are difficult to diagnose. This report presents a case of
oral epithelioid hemangioma, highlightingrelevant morphological and
immunohistochemical features that could help distinguish thiscondition from other
neoplasms.
PMID- 29653042
TI - Symptomatic isolated thoracic splenosis 11 years after abdominal trauma - Case
report.
AB - Introduction: Thoracic splenosis is defined as the autotransplantation of splenic
tissue into thorax. Itoccurs due to splenic rupture in association with a
diaphragmatic tear on the left side after a traumaticevent. It is a rare disease
that most commonly remains undiscovered as it is usually asymptomatic. Case
Outline: We present a symptomatic case of thoracic splenosis in a 53-year-old
smoker male patientwith a medical history of abdominal surgery and splenectomy
for a thoracoabdominal gunshot. Threeyears before the medical examination he was
suffering from dyspnea, frequent coughing, left pleuriticchest pain and
complained about faster fatigue. A chest radiograph obtained during a medical
checkupshowed a multinodular left pleura-based mass in the upper lobe.
Established histopathological diagnosisafter surgical removal of the nodule was
splenosis. No evidence of malignancy was observed. Conclusion: Splenosis should
be considered as a differential diagnosis by the undertaken workup of
leftpulmonary nodules or masses in patients with a history of trauma.
PMID- 29653043
TI - Single stage surgical treatment of amniotic band syndrome - Case report.
AB - Introduction: Amniotic band syndrome is a rare congenital disorder with clinical
presentation of constrictingbands in different parts of extremities or whole
extremities. Conservative or surgical treatmentis provided depending on the type
and severity of the anomaly. Case Outline: The paper presents the case of a
neonate patient with constriction bands localized on theleft leg. During the
second week of life, a surgery was indicated, and a single-stage multiple Z
plasty wasperformed to correct the anomalies on the left lower leg. Postoperative
edema in the distal part of thelower leg was easily managed by incisions and
drainage. Two months later, the correction of the strictureof the left thigh was
managed using the same procedure. The postoperative course was uneventful andthe
outcome was satisfactory after a two-year follow-up. Conclusion: Evaluation of a
patient with amniotic band syndrome, as well as diagnosis, monitoring,
treatmentand postoperative care, should always be multidisciplinary. A single
stage correction approachprovided satisfactory both functional and aesthetic
results. Given many morphological variations of thesyndrome, a decision on the
strategy of treatment should be made individually for each patient.
PMID- 29653044
TI - Benign cystic teratoma of the mesosigmoid - Report of a case.
AB - Introduction: Extragonadal intraperitoneal teratomas are very rare, especially
those arising from mesenteryand mesocolon. In the contemporary literature only 22
cases of such tumors have been publishedand described. Case Outline: We report a
case of a 52-year-old woman with a benign cystic teratoma of the mesosigmoid.The
patient presented with mild clinical signs of intestinal obstruction.
Computerized tomography ofthe pelvis and abdomen showed a large 9.7 * 8.9 * 9.4
cm calcified tumor in the lower part of the lefthemiabdomen. Extraluminal
obstruction was verified by colonoscopy at 35 cm from the anal verge.
Intraoperatively, a cystic calcified tumor of the mesosigmoid was found causing
extraluminal obstructionof the left colon. The tumor was extirpated and a partial
resection of the adherent great omentum wasperformed. The histopathological
examination revealed a benign cystic teratoma. Conclusion: Considering the fact
that mesenteric teratomas are extremely rare tumors, it is difficult todesignate
a general conclusion for an adequate treatment of patients suffering from them.
Completesurgical excision is indicated in order to establish a correct
histopathological diagnosis and to relievethe patients of symptoms.
PMID- 29653045
TI - A rare localization of osteoid osteoma - Presentation of two cases.
AB - Introduction: Osteoid osteoma is a benign osteoid-forming tumor generally
localized to the long bones,is rarely localized in the hand and the major symptom
is intermittent pain. This study aims to presenttwo patients who were operated on
for metacarpal osteoid osteomas. Case Outline: A 16-year-old female patient and
an 18-year-old male patient were operated on formetacarpal osteoid osteomas. The
major symptom was intermittent pain for both patients. After surgicalexcision of
the niduses, the complaints resolved in both cases. Conclusion: In the case of
high suspicion for osteoid osteoma, computed tomography or magneticresonance
imaging should be performed due to the risk of negative radiographic findings.
Surgicalexcision is curative and a safe method of treatment.
PMID- 29653046
TI - Mechanism of Action of the Cytotoxic Asmarine Alkaloids.
AB - The asmarines are a family of cytotoxic natural products whose mechanism of
action is unknown. Here, we used chemical synthesis to reverse engineer the
asmarines and understand the functions of their individual components. We found
that the potent asmarine analog "delmarine" arrested the mammalian cell cycle in
the G1 phase and that both cell cycle arrest and cytotoxicity were rescued by
cotreatment with ferric and ferrous salts. Cellular iron deprivation was clearly
indicated by changes in iron-responsive protein markers, and cytotoxicity
occurred independently of radical oxygen species (ROS) production. Chemical
synthesis allowed for annotation of the distinct structural motifs required for
these effects, especially the unusual diazepine, which we found enforced an iron
binding tautomer without distortion of the NCNO dihedral angle out of plane. With
this information and a correlation of cytotoxicity with logP, we could replace
the diazepine by lipophilic group appendage to N9, which avoided steric clash
with the N6-alkyl required to access the aminopyridine. This study transformed
the asmarines, scarce marine metabolites, into easily synthesized, modular
chemotypes that may complement or succeed iron-selective binders in clinical
trials and use.
PMID- 29653047
TI - Understanding Electrochemically Activated Persulfate and Its Application to
Ciprofloxacin Abatement.
AB - This study offers insight into the roles anodic and cathodic processes play in
electrochemically activated persulfate (EAP) and screens EAP as a viable
technique for ciprofloxacin degradation in wastewater. Sulfate radical formation
at a boron-doped diamond (BDD) anode and persulfate activation at a graphite
cathode were experimentally elucidated using different electrolytes and
electrochemical setups. Rapid ciprofloxacin transformation occurred via pseudo
first-order mechanisms with respect to ciprofloxacin in persulfate electrolyte,
reaching 84% removal in 120 min using EAP. Transformation pathways were compared
to those in nitrate and sulfate electrolytes. Ciprofloxacin removal rates in the
electrochemical system were 88% and 33% faster in persulfate than nitrate and
sulfate electrolytes, respectively. Total organic carbon removal rates were 93%
and 48% faster in persulfate than nitrate and sulfate, respectively. Use of
sulfate electrolyte resulted in removal rates 6-7 times faster than those in
nitrate solution. Accelerated removal in sulfate was attributed to anodic sulfate
radical formation, while enhanced removal in persulfate was associated with
cathodic persulfate activation and nonradical persulfate activation at the BDD
anode. Quenching experiments indicated both sulfate radicals and hydroxyl
radicals contributed to degradation. Comparisons between platinum and graphite
cathodes showed similar cathodic persulfate activation and ciprofloxacin
degradation.
PMID- 29653048
TI - Different-Length Hydrazone Activated Polymers for Plasmid DNA Condensation and
Cellular Transfection.
AB - The recent advances in genetic engineering demand the development of conceptually
new methods to prepare and identify efficient vectors for the intracellular
delivery of different nucleotide payloads ranging from short single-stranded
oligonucleotides to larger plasmid double-stranded circular DNAs. Although many
challenges still have to be overcome, polymers hold great potential for
intracellular nucleotide delivery and gene therapy. We here develop and apply the
postpolymerization modification of polyhydrazide scaffolds, with different degree
of polymerization, for the preparation of amphiphilic polymeric vehicles for the
intracellular delivery of a circular plasmid DNA. The hydrazone formation
reactions with a mixture of cationic and hydrophobic aldehydes proceed in
physiologically compatible aqueous conditions, and the resulting amphiphilic
polyhydrazones are directly combined with the biological cargo without any
purification step. This methodology allowed the preparation of stable polyplexes
with a suitable size and zeta potential to achieve an efficient encapsulation and
intracellular delivery of the DNA cargo. Simple formulations that performed with
efficiencies and cell viabilities comparable to the current gold standard were
identified. Furthermore, the internalization mechanism was studied via
internalization experiments in the presence of endocytic inhibitors and
fluorescence microscopy. The results reported here confirmed that the
polyhydrazone functionalization is a suitable strategy for the screening and
identification of customized polymeric vehicles for the delivery of different
nucleotide cargos.
PMID- 29653049
TI - Influence of Cationic Phosphatidylcholine Derivative on Monolayer and Bilayer
Artificial Bacterial Membranes.
AB - An increasing number of bacterial infections and the rise in antibiotic
resistance of a number of bacteria species forces one to search for new
antibacterial compounds. The latter facts motivate the investigations presented
herein and are aimed at studying the influence of a cationic lipid, 1-palmitoyl-2
oleoyl- sn-glycero-3-ethylphosphocholine (EPOPC), on model (mono- and bilayer)
membranes. The monolayer experiments involved the analysis of the interactions of
EPOPC with bacterial membrane lipids in one component and mixed systems as well
as Brewster angle microcopy studies. The properties of liposomes were analyzed
based on the results of dynamic light scattering (DLS) and zeta potential
measurements as well as on the experiments concerning the release of calcein
entrapped in liposomes after titration with surfactant solution and steady-state
fluorescence anisotropy of DPH. The obtained results evidenced that EPOPC, even
at low concentrations, strongly changes organization of model systems making them
less condensed. Moreover, EPOPC decreases the hydrodynamic diameter of liposomes,
increases their zeta potential, and destabilizes model membranes, increasing
their fluidity and permeability. Also, the in vitro tests performed on
Escherichia coli (Gram-negative) and Staphylococcus aureus (Gram-positive)
strains prove that EPOPC has some bacteriostatic properties which seem to be
stronger toward Gram-negative than Gram-positive bacteria. All these findings
allow one to conclude that EPOPC mode of action may be directly connected with
the interactions of EPOPC molecules with bacterial membranes.
PMID- 29653050
TI - Dissolution of Complex Metal Oxides from First-Principles and Thermodynamics:
Cation Removal from the (001) Surface of Li(Ni1/3Mn1/3Co1/3)O2.
AB - The rapid increase in use of Li-ion batteries in portable electronics has created
a pressing need to understand the environmental impact and long-term fate of
electonic waste (e-waste) products such as heavy and/or reactive metals. The type
of e-waste that we focus on here are the complex metal oxide nanomaterials that
compose Li-ion battery cathodes. While in operation the complex metal oxides are
in a hermetically sealed container. However, at the end of life, improper
disposal can cause structural transformations such as dissolution and metal
leaching, resulting in a significant exposure risk to the surrounding
environment. The transformations that occur between operational to environmental
settings gives rise to a stark knowledge gap between macroscopic design and
molecular-level behavior. In this study we use theory and modeling to describe
and explain previously published experimental data for cation release from
Li(Ni1/3Mn1/3Co1/3)O2 (NMC) nanoparticles in an aqueous environment ( Chem.
Mater. 2016 (28) 1092-1100). To better understand the transformations that may
occur when this material is exposed to the environment, we compute the free
energy of surface dissolution, Delta G, from the complex metal oxide NMC for a
range of surface terminations and pH.
PMID- 29653051
TI - On-chip Heaters for Tension Tuning of Graphene Nanodrums.
AB - For the study and application of graphene membranes, it is essential to have
means to control their resonance frequency and temperature. Here, we present an
on-chip heater platform for local tuning of in-plane tension in graphene
mechanical resonators. By Joule heating of a metallic suspension ring we show
thermomechanical resonance frequency tuning in a few-layer (FL) graphene
nanodrum, which is accompanied by an increase in its quality factor, which we
attribute to the increase of the in-plane tension. The in situ control of
temperature, in-plane tension, resonance frequency, and quality factor of
suspended two-dimensional (2D) nanodrums makes this device a unique platform for
investigating the origin of dissipation in these ultrathin structures and can be
of fundamental importance for studying the thermal properties of 2D materials.
Moreover, by simultaneously controlling the heater and the backgate voltage, we
can independently control the resonance frequency and quality factor, which is of
great importance for applications in sensors and resonant mechanical filters.
PMID- 29653052
TI - Resolving the Chemically Discrete Structure of Synthetic Borophene Polymorphs.
AB - Atomically thin two-dimensional (2D) materials exhibit superlative properties
dictated by their intralayer atomic structure, which is typically derived from a
limited number of thermodynamically stable bulk layered crystals (e.g., graphene
from graphite). The growth of entirely synthetic 2D crystals, those with no
corresponding bulk allotrope, would circumvent this dependence upon bulk
thermodynamics and substantially expand the phase space available for structure
property engineering of 2D materials. However, it remains unclear if synthetic 2D
materials can exist as structurally and chemically distinct layers anchored by
van der Waals (vdW) forces, as opposed to strongly bound adlayers. Here, we show
that atomically thin sheets of boron (i.e., borophene) grown on the Ag(111)
surface exhibit a vdW-like structure without a corresponding bulk allotrope.
Using X-ray standing wave-excited X-ray photoelectron spectroscopy, the positions
of boron in multiple chemical states are resolved with sub-angstrom spatial
resolution, revealing that the borophene forms a single planar layer that is 2.4
A above the unreconstructed Ag surface. Moreover, our results reveal that
multiple borophene phases exhibit these characteristics, denoting a unique form
of polymorphism consistent with recent predictions. This observation of synthetic
borophene as chemically discrete from the growth substrate suggests that it is
possible to engineer a much wider variety of 2D materials than those accessible
through bulk layered crystal structures.
PMID- 29653053
TI - Scalable Direct Writing of Lanthanide-Doped KMnF3 Perovskite Nanowires into
Aligned Arrays with Polarized Up-Conversion Emission.
AB - The use of one-dimensional nano- and microstructured semiconductor and lanthanide
materials is attractive for polarized-light-emission studies. Up-conversion
emission from single-nanorod or anisotropic nanoparticles with a degree of
polarization has also been discussed. However, microscale arrays of
nanoparticles, especially well-aligned one-dimensional nanostructures as well as
their up-conversion polarization characterization, have not been investigated
yet. Herein, we present a novel and facile paradigm for preparing highly aligned
arrays of lanthanide-doped KMnF3 (KMnF3:Ln) perovskite nanowires, which are good
candidates for polarized up-conversion emission studies. These perovskite
nanowires, with a width of 10 nm and length of a few micrometers, are formed
through the oriented attachment of KMnF3:Ln nanocubes along the [001] direction.
By the employment of KMnF3:Ln nanowire gel as nanoink, a direct-writing method is
developed to obtain diverse types of aligned patterns from the nanoscale to the
wafer scale. Up-conversion emissions from the highly aligned nanowire arrays are
polarized along the array direction with a polarization degree up to 60%. Taking
advantage of microscopic nanowire arrays, these polarized up-conversion emissions
should offer potential applications in light or information transportation.
PMID- 29653054
TI - One Enzyme To Build Them All: Ring-Size Engineered Siderophores Inhibit the
Swarming Motility of Vibrio.
AB - Bacteria compete for ferric iron by producing siderophores, and some microbes
engage in piracy by scavenging siderophores of their competitors. The macrocyclic
hydroxamate siderophore avaroferrin of Shewanella algae inhibits swarming of
Vibrio alginolyticus by evading this piracy. Avaroferrin, as well as related
putrebactin and bisucaberin, are produced by the IucC-like synthetases AvbD,
PubC, and BibCC. Here, we have established that they are capable of synthesizing
not only their native product but also other siderophores. Exploiting this
relaxed substrate specificity by synthetic precursors generated 15 different ring
size engineered macrocycles ranging from 18- to 28-membered rings, indicating
unprecedented biosynthetic flexibility of the enzymes. Two of the novel
siderophores could be obtained in larger quantities by precursor-directed
biosynthesis in S. algae. Both inhibited swarming motility of Vibrio and, similar
to avaroferrin, the most active one exhibited a heterodimeric architecture. Our
results demonstrate the impact of minor structural changes on biological
activity, which may trigger the evolution of siderophore diversity.
PMID- 29653055
TI - Solid-Phase Nucleic Acid Sequence-Based Amplification and Length-Scale Effects
during RNA Amplification.
AB - Solid-phase oligonucleotide amplification is of interest because of possible
applications to next-generation sequencing, multiplexed microarray-based
detection, and cell-free synthetic biology. Its efficiency is, however, less than
that of traditional liquid-phase amplification involving unconstrained primers
and enzymes, and understanding how to optimize the solid-phase amplification
process remains challenging. Here, we demonstrate the concept of solid-phase
nucleic acid sequence-based amplification (SP-NASBA) and use it to study the
effect of tethering density on amplification efficiency. SP-NASBA involves two
enzymes, avian myeloblastosis virus reverse transcriptase (AMV-RT) and RNase H,
to convert tethered forward and reverse primers into tethered double-stranded DNA
(ds-DNA) bridges from which RNA- amplicons can be generated by a third enzyme, T7
RNA polymerase. We create microgels on silicon surfaces using electron-beam
patterning of thin-film blends of hydroxyl-terminated and biotin-terminated
poly(ethylene glycol) (PEG-OH, PEG-B). The tethering density is linearly related
to the PEG-B concentration, and biotinylated primers and molecular beacon
detection probes are tethered to streptavidin-activated microgels. While SP-NASBA
is very efficient at low tethering densities, the efficiency decreases
dramatically with increasing tethering density due to three effects: (a) a
reduced hybridization efficiency of tethered molecular beacon detection probes;
(b) a decrease in T7 RNA polymerase efficiency;
PMID- 29653056
TI - UV Photolysis of Chloramine and Persulfate for 1,4-Dioxane Removal in Reverse
Osmosis Permeate for Potable Water Reuse.
AB - A sequential combination of membrane treatment and UV-based advanced oxidation
processes (UV/AOP) has become the industry standard for potable water reuse.
Chloramines are used as membrane antifouling agents and therefore carried over
into the UV/AOP. In addition, persulfate (S2O82-) is an emerging oxidant that can
be added into a UV/AOP, thus creating radicals generated from both chloramines
and persulfate for water treatment. This study investigated the simultaneous
photolysis of S2O82- and monochloramine (NH2Cl) on the removal of 1,4-dioxane
(1,4-D) for potable-water reuse. The dual oxidant effects of NH2Cl and S2O82- on
1,4-D degradation were examined at various levels of oxidant dosage, chloride,
and solution pH. Results showed that a NH2Cl-to-S2O82- molar ratio of 0.1 was
optimal, beyond which the scavenging by NH2Cl of HO*, SO4*-, and Cl2*- radicals
decreased the 1,4-D degradation rate. At the optimal ratio, the degradation rate
of 1,4-D increased linearly with the total oxidant dose up to 6 mM. The combined
photolysis of NH2Cl and S2O82- was sensitive to the solution pH due to a
disproportionation of NH2Cl at pH lower than 6 into less-photoreactive
dichloramine (NHCl2) and radical scavenging by NH4+. The presence of chloride
transformed HO* and SO4*- to Cl2*- that is less-reactive with 1,4-D, while the
presence of dissolved O2 promoted gaseous nitrogen production. Results from this
study suggest that the presence of chloramines can be beneficial to persulfate
photolysis in the removal of 1,4-D; however, the treatment efficiency depends on
a careful control of an optimal NH2Cl dosage and a minimal chloride residue.
PMID- 29653057
TI - Charge-State-Dependent Variation of Signal Intensity Ratio between Unbound
Protein and Protein-Ligand Complex in Electrospray Ionization Mass Spectrometry:
The Role of Solvent-Accessible Surface Area.
AB - Native electrospray ionization mass spectrometry (ESI-MS) is nowadays widely used
for the direct and sensitive determination of protein complex stoichiometry and
binding affinity constants ( Ka). A common yet poorly understood phenomenon in
native ESI-MS is the difference between the charge-state distributions (CSDs) of
the bound protein-ligand complex (PL) and unbound protein (P) signals. This
phenomenon is typically attributed to experimental artifacts such as nonspecific
binding or in-source dissociation and is considered highly undesirable, because
the determined Ka values display strong variation with charge state. This
situation raises serious concerns regarding the reliability of ESI-MS for the
analysis of protein complexes. Here we demonstrate that, contrary to the common
belief, the CSD difference between P and PL ions can occur without any loss of
complex integrity, simply due to a change in the solvent-accessible surface area
(DeltaSASA) of the protein upon ligand binding in solution. The experimental CSD
shifts for PL and P ions in ESI-MS are explained in relation to the magnitude of
DeltaSASA for diverse protein-ligand systems using a simple model based on the
charged residue mechanism. Our analysis shows that the revealed DeltaSASA factor
should be considered rather general and be given attention for the correct
spectral interpretation of protein complexes.
PMID- 29653058
TI - Heart Variability Biofeedback as Supplementary Care for Brain Cancer: A
Feasibility Study.
PMID- 29653059
TI - Re: "In Pursuit of the Most Cost-Effective Pediatric Laparoscopic Appendectomy:
The Effect of Disposable Instrument Choice on Operative Time and Surgeon
Controllable Cost" (J Laparoendosc Adv Surg Tech A 2017;27:1309-1313).
PMID- 29653060
TI - Tree-Related Injuries Associated With Response and Recovery From Hurricane Sandy,
New Jersey, 2011-2014.
AB - OBJECTIVES: Extreme weather events require extensive tree removal and disposal,
tasks associated with severe injury risks among workers and residents. To help
understand the risks of such activities, we evaluated the impact of a large and
destructive storm (Hurricane Sandy in 2012) on the incidence of tree-related
injuries. METHODS: We searched chief-complaint text fields for patients aged 18
65 from 2011-2014 emergency department visit records submitted by New Jersey
hospitals through the state-based syndromic surveillance system. Tree-related
keywords (eg, saw, branch, wood chip, woodchip, tree) identified possible
injuries that we then reviewed to exclude unrelated cases and classify mechanisms
of tree-related injury. We used Poisson regression analysis to evaluate changes
in the rates of probable tree-related injuries, adjusting for total emergency
department visits and seasonal variation. RESULTS: We identified 698 probable
tree-related injuries from 2011-2014 among patients aged 18-65, including 104
(14.9%) falls, 241 (34.5%) machine-related injuries, 311 (44.6%) struck-by
injuries, and 42 (6.0%) other tree-related injuries. Tree-related injuries
increased significantly in the quarter immediately after Hurricane Sandy
(November 2012-January 2013) compared with the same quarter the year before (rate
ratio [RR] = 1.67; 95% confidence interval [CI], 1.13-2.47) and the year after
(RR = 2.47; 95% CI, 1.62-3.78) Hurricane Sandy, especially for struck-by injuries
compared with the year before (RR = 2.74; 95% CI, 1.47-5.12) and the year after
(RR = 4.17; 95% CI, 2.09-8.32) Hurricane Sandy. More than one-third of the
injuries (33.4%) involved chainsaws. CONCLUSIONS: A major hurricane was
associated with an increase in tree-related injuries in emergency departments,
especially for mechanisms consistent with handling downed and damaged trees.
Further research should confirm these findings and evaluate opportunities for
preventing tree-related injuries.
PMID- 29653061
TI - To t-Test or Not to t-Test? A p-Values-Based Point of View in the Receiver
Operating Characteristic Curve Framework.
AB - A common statistical doctrine supported by many introductory courses and
textbooks is that t-test type procedures based on normally distributed data
points are anticipated to provide a standard in decision-making. In order to
motivate scholars to examine this convention, we introduce a simple approach
based on graphical tools of receiver operating characteristic (ROC) curve
analysis, a well-established biostatistical methodology. In this context, we
propose employing a p-values-based method, taking into account the stochastic
nature of p-values. We focus on the modern statistical literature to address the
expected p-value (EPV) as a measure of the performance of decision-making rules.
During the course of our study, we extend the EPV concept to be considered in
terms of the ROC curve technique. This provides expressive evaluations and
visualizations of a wide spectrum of testing mechanisms' properties. We show that
the conventional power characterization of tests is a partial aspect of the
presented EPV/ROC technique. We desire that this explanation of the EPV/ROC
approach convinces researchers of the usefulness of the EPV/ROC approach for
depicting different characteristics of decision-making procedures, in light of
the growing interest regarding correct p-values-based applications.
PMID- 29653062
TI - Reducing the Risk of Alzheimer's Disease and Maintaining Brain Health in an Aging
Society.
PMID- 29653063
TI - Functional Evaluation of ZNF350 Missense Genetic Variants Associated with Breast
Cancer Susceptibility.
AB - ZNF350, a BRCA1-interacting protein, could mediate BRCA1-induced sequence
specific transcriptional repression of several genes, including GADD45alpha. As a
potential breast cancer susceptibility gene, single nucleotide polymorphisms
(SNPs), especially missense SNPs, may influence the transcriptional repression of
its target tumor suppressor genes and individuals' breast cancer risk. Using the
gene-based haplotype-tagging SNPs strategy, we evaluated the association between
six ZNF350 polymorphisms and breast cancer risk in a case-control set from a
northern Chinese population. The impact of ZNF350 variations on transcriptional
repression of GADD45alpha was also examined. It was found that ZNF350 rs2278420
(L66P) and rs2278415 (S501R) missense genetic variants are in complete linkage
disequilibrium and have a significant impact on inter-individual susceptibility
to breast cancer. Additionally, ZNF350 GGCGT or GGCGC haplotype is also
associated with a significantly increased breast cancer risk compared with the
GGCAC haplotype. ZNF350 L66P variant modifies the risk of breast cancer not only
by itself but also in a gene-environment interaction manner with age, age at
menarche, menopause status, or estrogen receptor status. Interestingly, we
observed that ZNF350 L66P and S501R SNPs could weaken the capability of ZNF350
mediated GADD45alpha transcription repression and it may be an underlying
mechanism of the observed epidemiological associations. Our results highlight
ZNF350 as an important gene in human mammary oncogenesis and ZNF350 missense
genetic polymorphisms confer susceptibility to breast cancer.
PMID- 29653064
TI - Longitudinal Evaluation of Quality Improvement and Public Health Accreditation
Readiness in Nebraska Local Health Departments, 2011-2016.
AB - OBJECTIVES: Public health accreditation is intended to improve the performance of
public health departments, and quality improvement (QI) is an important component
of the Public Health Accreditation Board process. The objective of this study was
to evaluate the QI maturity and accreditation readiness of local health
departments (LHDs) in Nebraska during a 6-year period that included several
statewide initiatives to progress readiness, including funding and technical
assistance. METHODS: We used a mixed-methods approach that consisted of both
online surveys and key informant interviews to assess QI maturity and
accreditation readiness. Nineteen of Nebraska's 21 LHDs completed the survey in
2011 and 2013, 20 of 20 LHDs completed the survey in 2015, and 19 of 20 LHDs
completed the survey in 2016. We facilitated a large group discussion with staff
members from 16 LHDs in 2011, and we conducted key informant interviews with
staff members from 4 LHDs in 2015. RESULTS: Both QI maturity and accreditation
readiness improved from 2011 to 2016. In 2011, of 19 LHDs, only 6 LHD directors
agreed that their LHD had a culture that focused on QI, but this number increased
every year up to 12 in 2016. The number of LHDs that had a high capacity to
engage in QI efforts improved from 3 in 2011 to 8 in 2016. The number of LHDs
with a QI plan increased from 3 in 2011 to 10 in 2016. The number of LHDs that
were confident in their ability to obtain Public Health Accreditation Board
accreditation improved from 6 in 2011 to 13 in 2016. Although their QI maturity
generally increased over time, LHDs interviewed in 2015 still faced challenges
adopting a formal QI system. External financial and technical support helped LHDs
build their QI maturity and accreditation readiness. CONCLUSION: Funding and
technical assistance can improve LHDs' QI maturity and accreditation readiness.
Improvement takes time and sustained efforts by LHDs, and support from external
partners (eg, state health departments) helps build LHDs' QI maturity and
accreditation readiness.
PMID- 29653066
TI - Early Chronic Obstructive Pulmonary Disease or Early Detection of Mild Disease?
PMID- 29653065
TI - TET2: A Novel Epigenetic Regulator and Potential Intervention Target for
Atherosclerosis.
AB - Atherosclerosis is the underlying cause of cardio-cerebrovascular disease.
However, the mechanisms of atherosclerosis are still unclear. The modification of
DNA methylation has an important role in atherosclerosis development. As a member
of the Ten-eleven translocation (TET) family, TET methylcytosine dioxygenase 2
(TET2) can modify DNA methylation by catalyzing 5-methylcytosine to 5
hydroxymethylcytosine and mediate DNA demethylation. Recent findings suggest that
TET2 is related to the phenotype transformation of vascular smooth muscle cells,
endothelial dysfunction, and inflammation of macrophage, the key factors of
atherosclerosis. Therefore, TET2 may be a potential target for atherosclerosis
treatment. This review will elaborate the recent findings that suggest the role
of TET2 in atherosclerosis.
PMID- 29653067
TI - Who is willing to come back? College students' willingness to seek help after
using campus mental health services.
AB - OBJECTIVE: To examine characteristics of college students who have previously
received mental health (MH) services on campus and are willing to seek help again
in the future. PARTICIPANTS: Spring 2015 ACHA-NCHA II (N = 12,501) undergraduate
respondents who had previously used MH services on their current campus. METHODS:
Binary logistic regression with willingness to seek MH services in the future as
the dependent variable. RESULTS: Among students who had already utilized campus
MH services, significant predictors for future help-seeking were: female, white,
gay/lesbian, those not working for pay, having the college/university health
insurance plan, and not currently/previously serving in the military (p < .05).
CONCLUSIONS: The predictors for willingness to use services in the future were
consistent with the existing literature related to initial use. Colleges and
universities need to consider factors that influence openness to MH services
after a previous experience in addition to initiating care. Implications for
college MH professionals are provided.
PMID- 29653068
TI - Variation in Birth Outcomes by Mother's Country of Birth Among Hispanic Women in
the United States, 2013.
AB - OBJECTIVES: Despite knowledge that the Hispanic population is growing in the
United States and that birth outcomes may vary by maternal country of birth, data
on birth outcomes by maternal country of birth among Hispanic women are scant. We
compared the rates of 3 birth outcomes for infants born in the United States
preterm birth, low birth weight, and small for gestational age-between foreign
born Hispanic women and US-born Hispanic women, and then we examined these birth
outcomes by mother's country of birth for foreign-born Hispanic women. METHODS:
Using the 2013 natality file from the National Vital Statistics System of the
National Center for Health Statistics, we examined data on the 3 birth outcomes
and maternal characteristics by maternal country of birth. We used log binomial
models to calculate unadjusted and adjusted relative risks for preterm birth, low
birth weight, and small for gestational age for US-born Hispanic women compared
with foreign-born Hispanic women. We also compared the relative risk of each
adverse birth outcome for foreign-born Hispanic women by country of birth.
RESULTS: US-born Hispanic women had higher rates of the 3 birth outcomes than did
foreign-born Hispanic women (preterm birth: 8.0% vs 7.0%; low birth weight: 6.1%
vs 5.2%; small for gestational age: 9.2% vs 7.9%). These higher rates persisted
after adjusting for maternal characteristics. The rates for these 3 birth
outcomes varied significantly by country of birth for foreign-born Hispanic
women, with Puerto Rican women consistently having the poorest birth outcomes.
CONCLUSIONS: Our results demonstrated heterogeneity in rates of adverse birth
outcomes by country of birth for foreign-born Hispanic women. Presenting rates
for foreign-born mothers as a group masks differences by country. To understand
possible changes in data on birth outcomes, states should stratify data by
maternal country of birth.
PMID- 29653069
TI - Breathing Exercises Must Be a Real and Effective Intervention to Consider in
Women with Fibromyalgia: A Pilot Randomized Controlled Trial.
AB - BACKGROUND/OBJECTIVE: Respiratory problems can aggravate pain located in the
coincident areas with tender points in the upper half of the body in patients
with fibromyalgia (FM) and easily become fatigued, thus can lead to a decrease in
the functionality of daily activities. The purpose of this study was to examine
the effects of a breathing exercises program on pain thresholds tolerance on
tender points and FM impact on daily life. METHODS/DESIGN: Thirty-five women with
FM (age 34-67 years) were randomly assigned to an exercise group (n = 18),
performing breathing exercises (30 min/session, 7 times/week; for 12 weeks), or
to a control group (n = 17). Pain thresholds tolerance on tender points were
measured by the physician using digital pressure algometer and FM impact on daily
life was evaluated with the Portuguese version of the Fibromyalgia Impact
Questionnaire (FIQ). RESULTS: After 12 weeks of breathing exercises significant
improvements were observed in the mean values of the treatment effects on pain
thresholds tolerance on tender points and in the functional capacity to perform
daily life, pain, and fatigue in favor of the exercise group. Gains in second
rib, occiput, and supraspinatus pairs of the tender points predict improvements
in the functional capacity, pain, and fatigue. CONCLUSION: Our study demonstrated
that breathing exercises produced relevant benefits on pain thresholds tolerance
on tender points located in the upper half of the body, some of which predicted
improvements in the impact of FM in the functional capacity to perform daily
life, pain, and fatigue. These results provide further support of an idea that
breathing exercises are a real and effective intervention to consider in women
with FM. TRIAL REGISTRATION: International Standard Randomized Controlled Trial
Number ISRCTN37081460, available information in www.isrctn.com/ ISRCTN37081460.
PMID- 29653070
TI - Comparative Cytogenetics Analysis on Five Genera of Thorny Catfish (Siluriformes,
Doradidae): Chromosome Review in the Family and Inferences About Chromosomal
Evolution Integrated with Phylogenetic Proposals.
AB - Doradidae has been a target of phylogenetic studies over the last few years, but
chromosomal information about the family is still scarce. Therefore, new
cytogenetic data are provided herein and they are correlated with phylogenetic
proposals to contribute to the knowledge of chromosomal evolution within
doradids. Cytogenetic studies were performed on Trachydoras paraguayensis,
Anadoras sp. "araguaia," Ossancora eigenmanni, Platydoras armatulus, and
Rhinodoras dorbignyi. O. eigenmanni, P. armatulus, and R. dorbignyi had 2n = 58
chromosomes as found for most doradids, but T. paraguayensis and Anadoras sp.
"araguaia" had 2n = 56 chromosomes, probably caused by a chromosomal reduction.
There is a great maintenance of 2n = 58 verified in doradids, but karyotype
formulas are diverse. Moreover, other markers (i.e., nucleolar organizer regions,
heterochromatin distribution, and 5S and 18S rDNA) showed a great diversity among
the analyzed species. Contrasting the variability in the chromosomal markers with
the maintenance of diploid number, it is likely that inversions and
translocations played an important role in chromosome differentiation in
Doradidae. Herein, we created an integrative discussion linking cytogenetic data
to phylogenetic proposals, based on morphological and genetic features, enabling
us to identify possible cytogenetic traits, as well as probable chromosomal
plesiomorphy and apomorphy of Doradidae species.
PMID- 29653071
TI - Geomorphology Influencing the Diversification of Fish in Small-Order Rivers of
Neighboring Basins.
AB - The current analysis investigates whether the uplift of the Serra da Esperanca
and the Ponta Grossa Arch in the Serra Geral resulted in ichthyofaunistic changes
in adjacent basins. For this, we describe the phylogeographic structure among
populations of Trichomycterus collected in hydrographic basins in southern Brazil
by using partial nucleotide sequences of the mitochondrial gene Cytochrome C
Oxidase subunit I. Analyses revealed that the nomenclature Trichomycterus davisi
fails to contain the whole genetic diversity range found in the collected
specimens and indicates at least six genetic lineages in Trichomycterus.
Diagnostic morphological characteristics not associated to T. davisi could be
identified in some specimens from the Iguacu Piquiri haplogroup, indicating the
occurrence of species Trichomycterus stawiarski. The lack of morphological
differences among the other clades clearly suggests a cryptic species case.
Molecular analyses revealed at least five new species besides T. davisi in the
hydrographic basins and support the interpretation that genetic structure in T.
davisi species complex is explained by tectonic events intrinsic to the areas of
influence of Serra da Esperanca and the Ponta Grossa Arch which occurred around
1.7 My.
PMID- 29653072
TI - ZebraPace: An Open-Source Method for Cardiac-Rhythm Estimation in Untethered
Zebrafish Larvae.
AB - For the assessment of cardiac function, heartbeat represents one key parameter.
Current methods of heartbeat measurements in the zebrafish larvae usually require
larval immobilization, fluorescent transgenic strains and a confocal microscope,
costly commercial software for analysis, or strong programming skills if the
software is open-source. Here, we present a simple yet powerful method of
heartbeat analysis using untethered, unlabeled zebrafish larva using ImageJ (open
source software), which does not require programming skills. We named it as
ZebraPace for Zebrafish Precise Algorithm for Cardiac-rhythm Estimation.
ZebraPace works directly with AVI videos and requires no image processing steps.
ZebraPace uses pixel intensity change in a grayscale video to count the number of
beats. We have validated the ZebraPace method by pharmacological alterations of
the heartbeat in zebrafish larvae of 48 and 72 hpf stages. We have also
determined beat-to-beat interval, which relates to rhythmicity of heartbeat. The
results obtained by using ZebraPace corroborates well with the heartbeat values
previously reported for similarly aged larvae as determined by using specialized
software. We believe that the ZebraPace method is simple, cost-effective, and
easy to grasp as it involves fewer steps. It not only reduces the manual workload
but also eliminates sample preparation time and researcher subjectivity.
PMID- 29653073
TI - A Zebrafish Heart Failure Model for Assessing Therapeutic Agents.
AB - Heart failure is a leading cause of death and the development of effective and
safe therapeutic agents for heart failure has been proven challenging. In this
study, taking advantage of larval zebrafish, we developed a zebrafish heart
failure model for drug screening and efficacy assessment. Zebrafish at 2 dpf
(days postfertilization) were treated with verapamil at a concentration of 200
MUM for 30 min, which were determined as optimum conditions for model
development. Tested drugs were administered into zebrafish either by direct
soaking or circulation microinjection. After treatment, zebrafish were randomly
selected and subjected to either visual observation and image acquisition or
record videos under a Zebralab Blood Flow System. The therapeutic effects of
drugs on zebrafish heart failure were quantified by calculating the efficiency of
heart dilatation, venous congestion, cardiac output, and blood flow dynamics. All
8 human heart failure therapeutic drugs (LCZ696, digoxin, irbesartan, metoprolol,
qiliqiangxin capsule, enalapril, shenmai injection, and hydrochlorothiazide)
showed significant preventive and therapeutic effects on zebrafish heart failure
(p < 0.05, p < 0.01, and p < 0.001) in the zebrafish model. The larval zebrafish
heart failure model developed and validated in this study could be used for in
vivo heart failure studies and for rapid screening and efficacy assessment of
preventive and therapeutic drugs.
PMID- 29653074
TI - Routine pacifier use in infants: pros and cons.
PMID- 29653076
TI - E4bp4 regulates carboxylesterase 2 enzymes through repression of the nuclear
receptor Rev-erbalpha in mice.
AB - Carboxylesterases (CES) are a family of phase I enzymes that play an important
role in xenobiotic clearance and lipid metabolism. Here, we investigate a
potential role of E4 promoter-binding protein 4 (E4bp4) in regulation of Ces and
CPT-11 (irinotecan, a first-line drug for treating colorectal cancer)
pharmacokinetics in mice. Mouse hepatoma Hepa-1c1c7 cells were transfected with
Rev-erbalpha expression plasmid or siRNA targeting E4bp4. The relative mRNA and
protein levels of Ces enzymes in the cells or the livers of wild-type and E4bp4
deficient (E4bp4-/-) mice were determined by qPCR and Western blotting,
respectively. Transcriptional regulation of Ces by E4bp4/Rev-erbalpha were
investigated using luciferase reporter, mobility shift, and co
immunoprecipitation (Co-IP) assays. Pharmacokinetic studies were performed with
wild-type and E4bp4-/- mice after intraperitoneal injection of CPT-11. E4bp4
ablation down-regulated an array of hepatic Ces genes in mice. E4bp4-/- mice also
showed reduced Ces-mediated metabolism and elevated systemic exposure of CPT-11,
a well-known Ces substrate. Consistently, E4bp4 knockdown reduced the expression
of Ces genes (Ces2b, Ces2e and Ces2f) in Hepa-1c1c7 cells. Furthermore, Rev
erbalpha repressed the transcription of Ces2b, whereas E4bp4 antagonized this
repressive action. Co-IP experiment confirmed a direct interaction between E4bp4
and Rev-erbalpha. Through a combination of promoter analysis and mobility shift
assays, we demonstrated that Rev-erbalpha trans-repressed Ces (Ces2b) through its
specific binding to the -767 to-754 bp promoter region. In conclusion, E4bp4
regulates Ces enzymes through inhibition of the transrepression activity of Rev
erbalpha, thereby impacting the metabolism and pharmacokinetics of Ces
substrates.
PMID- 29653075
TI - Inhibition of semicarbazide-sensitive amine oxidase reduces atherosclerosis in
apolipoprotein E-deficient mice.
AB - Inflammation, oxidative stress, and formation of advanced glycated end products
(AGEs) and advanced lipoxidation end products (ALEs) are important for
atherosclerosis. Vascular adhesion protein-1 (VAP-1) participates in inflammation
and has semicarbazide-sensitive amine oxidase (SSAO) activity, which catalyzes
oxidative deamination to produce hydrogen peroxide and aldehydes, leading to
generation of AGEs and ALEs. However, the effect of VAP-1/SSAO inhibition on
atherosclerosis remains controversial, and no studies used coronary angiography
to evaluate if plasma VAP-1/SSAO is a biomarker for coronary artery disease
(CAD). Here, we examined if plasma VAP-1/SSAO is a biomarker for CAD diagnosed by
coronary angiography in humans and investigated the effect of VAP-1/SSAO
inhibition by a specific inhibitor PXS-4728A on atherosclerosis in cell and
animal models. In the study, VAP-1/SSAO expression was increased in plaques in
humans and in apolipoprotein E (ApoE)-deficient mice, and colocalized with
vascular endothelial cells and smooth muscle cells (SMCs). Patients with CAD had
higher plasma VAP-1/SSAO than those without CAD. Plasma VAP-1/SSAO was positively
associated with the extent of CAD. In ApoE-deficient mice, VAP-1/SSAO inhibition
reduced atheroma and decreased oxidative stress. VAP-1/SSAO inhibition attenuated
the expression of adhesion molecules, chemoattractant proteins, and
proinflammatory cytokines in the aorta, and suppressed monocyte adhesion and
transmigration across human umbilical vein endothelial cells. Consequently, the
expression of markers for macrophage recruitment and activation in plaques was
decreased by VAP-1/SSAO inhibition. Besides, VAP-1/SSAO inhibition suppressed
proliferation and migration of A7r5 SMC. Our data suggest that plasma VAP-1/SSAO
is a novel biomarker for the presence and the extent of CAD in humans. VAP-1/SSAO
inhibition by PXS-4728A is a potential treatment for atherosclerosis.
PMID- 29653077
TI - Binder of Sperm Proteins protect ram spermatozoa from freeze-thaw damage.
AB - Cryopreservation causes sub-lethal damage which limits the fertility of frozen
thawed spermatozoa. Seminal plasma has been investigated as a cryoprotectant, but
has yielded inconsistent results due to considerable variation in its
constituents. Individual seminal plasma proteins offer an ideal alternative to
whole seminal plasma, and several have been correlated with freezing success.
Binder of Sperm Proteins (BSPs) are abundant ram seminal plasma proteins which
have been suggested to have significant protective effects on ram spermatozoa
during cold shock. This is in direct opposition to bull spermatozoa, where BSPs
cause sperm deterioration during in vitro handling. We investigated the potential
of BSP1 and BSP5 to prevent freezing associated damage to important functional
parameters of ram spermatozoa. BSPs purified by size exclusion chromatography
improved post thaw motility and penetration through artificial mucus. Highly
purified BSP1 and BSP5, isolated by gelatin affinity and RP-HPLC, improved
motility and membrane integrity, and reduced post thaw protein tyrosine
phosphorylation. Exposure to BSP5 before freezing increased the amount of
phosphatidylethanolamine on the sperm surface after thawing. Neither BSP1 nor
BSP5 prevented freezing associated changes in membrane lipid disorder. These
results suggest that BSPs may significantly improve freezing outcomes of ram
spermatozoa.
PMID- 29653078
TI - Characterization of CyrI, the hydroxylase involved in the last step of
cylindrospermopsin biosynthesis: Binding studies, site-directed mutagenesis and
stereoselectivity.
AB - Cylindrospermopsin, a cytotoxin from cyanobacteria, is biosynthesized by a
complex pathway, which involves CyrI, an iron and 2-oxoglutarate dependent
hydroxylase that transforms 7-deoxy-cylindrospermopsin into cylindrospermopsin
and its epimer, 7-epi-cylindrospermopsin, in the last step. The activity of CyrI
from Oscillatoria sp. PCC 7926 depends on Fe(II) (Km = 2.1 MUM), and 2
oxoglutarate (Km = 3.2 MUM), and is strongly inhibited by 7-deoxy
cylindrospermopsin at concentration higher than 1 MUM. Using tryptophan
fluorescence, we measured the binding to CyrI of Fe(II) (KD = 0.02 MUM) and 2
oxoglutarate (KD = 53 MUM and KD = 1.1 MUM in the absence or presence of 10 MUM
Fe(II), respectively). The Oscillatoria sp. PCC 6506 CyrI mutants H157A, D159A,
H247A, and R257A were all inactive, and impaired in the binding of Fe(II) or 2
oxoglutarate, confirming the identity of the iron ligands and the role of R257 in
the binding of 2-oxoglutarate. We constructed several chimeric enzymes using the
Oscillatoria sp. PCC 7926 CyrI protein (stereoselective) and that from
Oscillatoria sp. PCC 6506 (not stereoselective) to help understanding the
structural factors that influence the stereoselectivity of the hydroxylation. Our
data suggest that a predicted alpha-helix in CyrI (positions 87-108) seems to
modulate the stereoselectivity of the reaction.
PMID- 29653079
TI - Dominant and sensitive control of oxidative flux by the ATP-ADP carrier in human
skeletal muscle mitochondria: Effect of lysine acetylation.
AB - The adenine nucleotide translocase (ANT) of the mitochondrial inner membrane
exchanges ADP for ATP. Mitochondria were isolated from human vastus lateralis
muscle (n = 9). Carboxyatractyloside titration of O2 consumption rate (Jo) at
clamped [ADP] of 21 MUM gave ANT abundance of 0.97 +/- 0.14 nmol ANT/mg and a
flux control coefficient of 82% +/- 6%. Flux control fell to 1% +/- 1% at
saturating (2 mM) [ADP]. The KmADP for Jo was 32.4 +/- 1.8 MUM. In terms of the
free (-3) ADP anion this KmADP was 12.0 +/- 0.7 MUM. A novel luciferase-based
assay for ATP production gave KmADP of 13.1 +/- 1.9 MUM in the absence of ATP
competition. The free anion KmADP in this case was 2.0 +/- 0.3 MUM. Targeted
proteomic analyses showed significant acetylation of ANT Lysine23 and that ANT1
was the most abundant isoform. Acetylation of Lysine23 correlated positively with
KmADP, r = 0.74, P = 0.022. The findings underscore the central role played by
ANT in the control of oxidative phosphorylation, particularly at the energy
phosphate levels associated with low ATP demand. As predicted by molecular
dynamic modeling, ANT Lysine23 acetylation decreased the apparent affinity of ADP
for ANT binding.
PMID- 29653080
TI - Surgical Treatment of Native and Prosthetic Aortic Infection With Xenopericardial
Tube Grafts.
AB - BACKGROUND: The study sought to report our results of surgical treatment of
native and prosthetic aortic infection with xenopericardial tube grafts from the
ascending aorta to beyond the bifurcation. METHODS: Within a 28-month period, 20
patients were treated with a bovine self-made pericardial tube graft to replace
infected vascular grafts (after conventional surgical aortic replacement or
endovascular stent graft implantation) or to treat a contaminated surgical site.
An integrated standardized therapeutic concept was applied in all patients,
consisting of complete removal of the infected prosthetic material, extensive
debridement, and orthotopic vascular reconstruction with self-made tube grafts
constructed from a bovine pericardial patch. RESULTS: Four patients died
perioperatively (20%) due to sepsis, pulmonary failure, or sudden circulatory
arrest. The mean follow-up was 18 months (first quartile 2, third quartile 17
months). Interval computed tomography scans revealed 100% freedom from proven
aortic reinfection, but reinfection cannot be ruled out in 4 patients who died of
unknown causes during follow-up or because of ongoing sepsis. Three patients
required aortic reintervention for a suture-line aneurysm, for graft occlusion,
and for the development of a neoaortointestinal fistulation, respectively.
CONCLUSIONS: Patients with infectious aortic disease present in a frail state and
the initial and the late mortality is substantial. Yet, surgical treatment of
native and prosthetic aortic infection with xenopericardial tube grafts shows
promising short-term results with regard to durability and freedom from
reinfection in any aortic segment. Further studies are needed to learn of the
long-term behavior of these grafts.
PMID- 29653081
TI - Need for Pulmonary Arterioplasty During Glenn Independently Predicts Inferior
Surgical Outcome.
AB - BACKGROUND: Bidirectional cavopulmonary anastomosis (BDCA) can be accomplished
with low morbidity and mortality. The impact of concomitant pulmonary
arterioplasty (PAplasty) is not known. We hypothesized that the need for and
extent of PAplasty adversely affect BDCA outcomes. METHODS: Patients who
underwent BDCA at our institution between 2006 and 2014 were included. Patient
demographics, operative characteristics, mortality, and morbidity were analyzed.
Serious physiologic adverse event following Glenn (GAE) was defined as need for
extracorporeal support, BDCA takedown or percutaneous intervention during same
admission, hospital length of stay 1 SD or more from mean, or need for
supplemental oxygen at discharge. PAplasty was categorized according to extent.
Data were analyzed using SAS 9.4 (SAS Institute, Cary, NC). RESULTS: A total of
424 patients (231 boys, 54%) underwent BDCA for single ventricle physiology at a
median of 7 (5.5 to 8.9) months of age and 6.5 (5.7 to 7.7) kg weight. A total of
112 (26%) patients required PAplasty: 23 were patch closures of the divided
distal PA (type 1), 45 were central PA augmentations (type 2), 23 extended to the
hilum on 1-branch PA (type 3), and 21 were bilateral hilum to hilum augmentation
(type 4). Patients who required PAplasty tended to be significantly younger and
more likely to have single right ventricles. There was no difference in PA
pressure or resistance between patients who did and did not require PAplasty.
Major Society of Thoracic Surgeons morbidity (13% vs 6%; p = 0.001), GAE (45% vs
34%; p = 0.04), and in-hospital mortality (5.4% vs 1.9%; p = 0.03) were higher in
patients who required PAplasty compared with those who did not. Among the
operative variables evaluated, need for PAplasty (hazard ratio [HR], 1.6; p =
0.03) independently predicted hospital mortality. Need for circulatory arrest
(HR, 4; p = 0.005) and PAplasty (HR, 2.4; p = 0.0006) were independent predictors
of Society of Thoracic Surgeons morbidity and need for PAplasty independently
predicted GAE (HR, 1.8; p = 0.03). CONCLUSIONS: The need for PAplasty at BDCA is
an independent predictor of mortality and morbidity. It is important to consider
this variable when developing outcome metrics for BDCA.
PMID- 29653082
TI - Inhibition of glycogen synthase kinase-3 reduces extension of the axonal leading
process by destabilizing microtubules in cerebellar granule neurons.
AB - Recent studies have uncovered various molecules that play key roles in neuronal
morphogenesis. Nevertheless, the mechanisms underlying the neuron-type-dependent
regulation of morphogenesis remain unknown. We have previously reported that
inhibition of glycogen synthase kinase-3 (GSK3) markedly reduced axonal length of
cerebellar granule neurons (CGNs) in a neuron-type-dependent manner. In the
present study, we investigated the mechanisms by which the growth of CGN axons
was severely suppressed upon GSK3 inhibition. Using time-lapse imaging of
cultured CGNs at early morphogenesis, we found that extension of the leading
process was severely inhibited by the pharmacological inhibition of GSK3. The
rate of somal migration was also reduced with a GSK3 inhibitor in dissociated
culture as well as in microexplant culture. In addition, CGNs ectopically
expressed with a catalytically inactive mutant of GSK3 exhibited a migration
defect in vivo. In axonal leading processes of CGNs, detyrosination and
acetylation of alpha-tubulin, which are known to correlate with microtubule
stability, were decreased by GSK3 inhibition. A photoconversion analysis found
that inhibition of GSK3 increases the turnover of microtubules. Furthermore, in
the presence of paclitaxel, a microtubule-stabilizing reagent, inhibition of GSK3
recovered the axonal leading process extension that was reduced by paclitaxel.
Our results suggest that GSK3 supports the extension of axonal processes by
stabilizing microtubules, contrary to its function in other neuron-types, lending
mechanical insight into neuron-type-dependent morphological regulation.
PMID- 29653083
TI - Aberrant RNA translation in fragile X syndrome: From FMRP mechanisms to emerging
therapeutic strategies.
AB - Research in the past decades has unfolded the multifaceted role of Fragile X
mental retardation protein (FMRP) and how its absence contributes to the
pathophysiology of Fragile X syndrome (FXS). Excess signaling through group 1
metabotropic glutamate receptors is commonly observed in mouse models of FXS,
which in part is attributed to dysregulated translation and downstream signaling.
Considering the wide spectrum of cellular and physiologic functions that loss of
FMRP can affect in general, it may be advantageous to pursue disease mechanism
based treatments that directly target translational components or signaling
factors that regulate protein synthesis. Various FMRP targets upstream and
downstream of the translational machinery are therefore being investigated to
further our understanding of the molecular mechanism of RNA and protein synthesis
dysregulation in FXS as well as test their potential role as therapeutic
interventions to alleviate FXS associated symptoms. In this review, we will
broadly discuss recent advancements made towards understanding the role of FMRP
in translation regulation, new pre-clinical animal models with FMRP targets
located at different levels of the translational and signal transduction pathways
for therapeutic intervention as well as future use of stem cells to model FXS
associated phenotypes.
PMID- 29653084
TI - Calcium dobesilate: A drug treatment for diabetic retinopathy can negatively
interfere with the measurement of glycated albumin using the enzymatic method.
AB - BACKGROUND: We reported that calcium dobesilate, a vasoprotective agent mainly
used for diabetic retinopathy (DR), negatively interferes with glycated albumin
(GA) assays involving enzymatic methods. METHODS: A calcium dobesilate standard
was added to 3serum pools in vitro to prepare concentration-response series
according to Clinical and Laboratory Standards Institute EP7-A2 guidelines.
Percentage deviation between each drug concentration and the drug-free sample was
calculated for 6 commercially available GA assays. The acceptable limit of
deviation for GA was +/-5.61%. For in vivo analyses, changes in serum
concentrations of GA and calcium dobesilate were monitored in eight healthy
participants before and after oral calcium dobesilate administration. RESULTS: At
16 MUg/ml calcium dobesilate, within the therapeutic range, the percentage
deviations for Asahi Kasei, Maccura, Leadman, Homa, and Medicalsystem assays were
-8.7% to -49.7%, -2.0% to -47.7%, and -10.1% to -35.7% for low-, medium- and high
GA level interference pools, respectively, exhibiting dose-dependent negative
interference. In vivo, calcium dobesilate ingestion was associated with
statistically significant, falsely decreased measurements in 5 GA assays, 2 h
after daily 500 mg administration. CONCLUSIONS: Calcium dobesilate ingestion was
associated with erroneously low measurements in 5 GA assays. The degree of
interference varied greatly among the assays examined.
PMID- 29653085
TI - Prognostic significance of pre-resection albumin/fibrinogen ratio in patients
with non-small cell lung cancer: A propensity score matching analysis.
AB - BACKGROUND: Nutrition and coagulation play important roles in cancer progression.
This study was aimed to investigate the value of the albumin/fibrinogen ratio
(AFR) in non-small cell lung cancer (NSCLC) patients, through a propensity score
matching (PSM) method. METHODS: We retrospectively analyzed 529 NSCLC patients
underwent surgical resection from 2010 to 2015. PSM was used to eliminate
possible biases. A Cox proportional hazards regression model was performed to
evaluate the prognostic value of AFR in NSCLC. RESULTS: The optimal value was
9.67 for the AFR by ROC (receiver operating characteristic) curve. The AFR was
statistically significantly associated with age, sex, smoking history,
histological subtype, tumor size, pathological stage and adjuvant therapy (p <
0.05). Multivariate analysis indicated that the pathological stage and pre
resection AFR were independent prognostic factors for patients with NSCLC.
Additionally, elevated AFR indicated a better outcome, and patients with higher
AFR had lower risk for overall death (OS) (HR 0.512, 95% CI 0.316-0.829, p =
0.006) as well as disease-free death (DFS) (HR 0.561, 95% CI 0.399-0.787, p =
0.001). The propensity score model identified 120 patients from each group that
were balanced for age, sex, smoking history, histological subtype, tumor size,
stage distribution and adjuvant therapy. In multivariable regression analysis of
PSM groups, the result indicated that the AFR was predictive for OS (HR 0.392,
95% CI 0.225-0.683, p < 0.001) and DFS (HR 0.526, 95% CI 0.344-0.805, p = 0.003).
CONCLUSIONS: Pre-resection AFR can be considered as an independent prognostic
factor in NSCLC patients, and higher AFR may enhance OS and DFS of NSCLC
patients.
PMID- 29653086
TI - A Surprising Diagnosis: Syphilitic Gastritis and Hepatitis.
PMID- 29653087
TI - Purulent Pneumococcal Pericarditis: An Uncommon Presentation in the Vaccination
Era.
PMID- 29653088
TI - Nodal and BMP dispersal during early zebrafish development.
AB - The secreted TGF-beta superfamily signals Nodal and BMP coordinate the patterning
of vertebrate embryos. Nodal specifies endoderm and mesoderm during germ layer
formation, and BMP specifies ventral fates and patterns the dorsal/ventral axis.
Five major models have been proposed to explain how the correct distributions of
Nodal and BMP are achieved within tissues to orchestrate embryogenesis:
source/sink, transcriptional determination, relay, self-regulation, and
shuttling. Here, we discuss recent experiments probing these signal dispersal
models, focusing on early zebrafish development.
PMID- 29653089
TI - Modification of levosimendan-induced suppression of atrial natriuretic peptide
secretion in hypertrophied rat atria.
AB - This study aimed to determine the effects of levosimendan, a calcium sensitizer,
on atrial contractility and atrial natriuretic peptide (ANP) secretion and its
modification in hypertrophied atria. Isolated perfused beating rat atria were
used from control and isoproterenol-treated rats. Levosimendan and its metabolite
OR-1896 caused a positive inotropic effect and suppressed ANP secretion in rat
atria. Similar to levosimendan, the selective phosphodiesterase 3 (PDE3) or PDE4
inhibitor also suppressed ANP secretion. Suppression of ANP secretion by 1 uM
levosimendan was abolished by PDE3 inhibitor, but reversed by PDE4 inhibitor.
Levosimendan-induced suppression of ANP secretion was potentiated by KATP channel
blocker, but blocked by KATP channel opener. Levosimendan alone did not
significantly change cyclic adenosine monophosphate (cAMP) efflux in the
perfusate; however, levosimendan combined with PDE4 inhibitor markedly increased
this efflux. The stimulation of ANP secretion induced by levosimendan combined
with PDE4 inhibitor was blocked by the protein kinase A (PKA) inhibitor. In
isoproterenol-treated atria, levosimendan augmented the positive inotropic effect
and ANP secretion in response to an increased extracellular calcium concentration
([Ca+]o). These results suggests that levosimendan suppresses ANP secretion by
both inhibiting PDE3 and opening KATP channels and that levosimendan combined
with PDE4 inhibitor stimulates ANP secretion by activating the cAMP-PKA pathway.
Modification of the effects of levosimendan on [Ca+]o-induced positive inotropic
effects and ANP secretion in isoproterenol-treated rat atria might be related to
a disturbance in calcium metabolism.
PMID- 29653091
TI - A nation-wide genetic survey revealed a complex population structure of Bemisia
tabaci in Pakistan.
AB - The whitefly, Bemisia tabaci (Gennadius) (Hemiptera: Aleyrodidae) is a cryptic
species complex distributed worldwide. In Pakistan, B. tabaci poses a serious
threat to agriculture production. To understand its diversity in Pakistan, a
large-scale sampling was conducted from various locations of all four provinces
of the country and Mitochondrial cytochrome oxidase I (mtCOI) gene sequencing was
used to determine the whiteflies genetically. The study revealed the presence of
five different cryptic species in Pakistan namely Asia II-1, Asia II-5, Asia II
7, Asia II-8 and MEAM-1, respectively. Among them, Asia II-1, which was
previously reported from a few areas in the country, had been found now to be
prevalent all over the country covering 88.7% of all the sequenced samples. Based
on the mtCOI sequences and genetic distance analyses, the diversity of Asia II-1
was much greater than all other cryptic species, which exist only in small
patches.
PMID- 29653090
TI - Vascular and molecular pharmacology of the metabolically stable CGRP analogue,
SAX.
AB - The main purpose of this study was to compare in vitro pharmacological properties
of human alphaCGRP (CGRP) and a recently discovered metabolically stable CGRP
analogue, SAX, in isolated rat and human artery segments. In rat, CGRP and SAX
induced similar vasodilatory responses in isolated mesenteric artery with the
potency of SAX being lower than that of CGRP (vasodilatory pEC50 8.2 +/- 0.12 and
9.0 +/- 0.11, respectively). A corresponding difference in receptor binding
affinity of SAX and CGRP was determined in rat cerebral membranes (pKi 8.3 +/-
0.19 and 9.3 +/- 0.14, respectively). CGRP and SAX-induced vasodilation was
antagonised with similar potencies by the CGRP receptor antagonist BIBN4096BS
supporting a uniform receptor population for the agonists. In human tissue, SAX
and CGRP induced similar pharmacological responses with different potencies in
subcutaneous artery (vasodilatory pEC50 8.8 +/- 0.18 and 9.5 +/- 0.13,
respectively) and human recombinant receptors (cAMP signalling pEC50 9.1 +/- 0.16
and 10.2 +/- 0.19). Like in the rat mesenteric artery, both SAX and CGRP
responses were inhibited by the CGRP receptor antagonist BIBN4096BS with similar
antagonistic potencies. In conclusion, all pharmacological characteristics of SAX
and CGRP in human and rat sources points towards action via a uniform BIBN4096BS
sensitive receptor population with the potency of SAX being 5-10 fold lower than
that of CGRP.
PMID- 29653092
TI - CD10 inhibits cell motility but expression is associated with advanced stage
disease in colorectal cancer.
AB - INTRODUCTION: CD10 is a cell membrane-bound endopeptidase which is expressed in
normal small bowel but not in normal colon. It is aberrantly expressed in a small
proportion of colorectal cancers (CRC) and this has been associated with liver
metastasis and poor prognosis. We sought to investigate the mechanism of CD10
activity and its association with clinicopathological features. MATERIAL AND
METHODS: CD10 was stably knocked down by lentiviral shRNA transduction in the CRC
cell lines SW480 and SW620 which are derived from a primary tumour and its
corresponding metastasis respectively. Expression of epithelial - mesenchymal
transition (EMT) markers was tested as well as the effect of knockdown on cell
viability, migration and invasion assays. In addition, immunohistochemical
expression of CD10 in primary colorectal tumours (N = 84) in a tissue microarray
was digitally quantified and analysed for associations with clinicopathological
variables. RESULTS: Knockdown of CD10 did not alter cell viability in SW480, but
migration and invasion levels increased (P < 0.001 for each) and this was
associated with a cadherin switch. In SW620, CD10 knockdown caused a reduction in
cell viability after 72 h (P = 0.0018) but it had no effect on cell migration and
invasion. Expression of epithelial CD10 in primary tumours was associated with
presence of lymph node invasion (P = 0.001) and advanced Duke's stage (P =
0.001). CONCLUSIONS: Our results suggest that the function of CD10 may change
during tumour evolution. It may inhibit cell motility in early-stage disease
whilst promoting cell viability in late-stage disease. It has a complex role and
further studies are needed to elucidate the suitability of CD10 as a prognostic
marker or therapeutic target.
PMID- 29653094
TI - Biotypes: The Tip of the Research Domain Criteria Iceberg.
PMID- 29653095
TI - Examining Functional Resting-State Connectivity in Psychosis and Its Subgroups in
the Bipolar-Schizophrenia Network on Intermediate Phenotypes Cohort.
AB - BACKGROUND: We sought to examine resting-state functional magnetic resonance
imaging connectivity measures in psychotic patients to both identify cumulative
differences across psychosis and subsequently probe deficits across conventional
DSM-IV diagnoses and a newly identified classification using
cognitive/neurophysiological data (Biotypes). METHODS: We assessed 1125 subjects,
including healthy control subjects, probands (schizophrenia, schizoaffective
disorder, psychotic bipolar disorder), and relatives of probands. Probands and
relatives were also segregated into Biotype groups (B1-B3, B1R-B3R using a method
reported previously). Empirical resting-state functional magnetic resonance
imaging networks were derived using independent component analysis. Global
psychosis-related abnormalities were first identified. Subsequent post hoc t
tests were performed across various diagnostic categories. Follow-up linear mixed
model compared significance of within-proband differences across categories.
Secondary analyses assessed correlations with biological profile scores. RESULTS:
Voxelwise tests between proband and control subjects revealed nine abnormal
networks. Post hoc analysis revealed lower connectivity in most networks for all
proband subgroups (DSM and Biotypes). Within-proband effect sizes of
discrimination were marginally better for Biotypes over DSM. Reduced connectivity
was noted in relatives of patients with schizophrenia in two networks and
relatives of patients with psychotic bipolar disorder in one network. Biotype
relatives showed similar deficits in one network. Connectivity deficits across
four networks were significantly associated with cognitive control profile
scores. CONCLUSIONS: Overall, we found psychosis-related connectivity deficits in
nine large-scale networks. Deficits in these networks tracked more closely with
cognitive control factors, suggesting potential implications for disease
profiling and therapeutic intervention. Biotypes performed marginally better in
terms of separating out psychosis subgroups compared with conventional DSM or
psychiatric diagnoses.
PMID- 29653096
TI - Effects of Psychotherapy on Neuronal Correlates of Reappraisal in Female Patients
With Borderline Personality Disorder.
AB - BACKGROUND: Emotion dysregulation is a fundamental aspect of borderline
personality disorder (BPD). Accordingly, one major focus of dialectical behavior
therapy (DBT) is to teach strategies to regulate emotional reactions. To date,
little is known about the neural mechanisms linked to the amelioration of BPD
symptoms after therapy. In the present study, we used functional magnetic
resonance imaging to investigate neural correlates of explicit emotion regulation
before and after DBT. METHODS: Female BPD patients (n = 32) performed a
reappraisal task before and after a 12-week inpatient DBT program and were
compared with 24 healthy control participants. Based on the change in symptom
severity, the BPD group was separated into responders and nonresponders and
compared with a clinical control group of 16 BPD patients. Both control groups
were scanned twice within 12 weeks. RESULTS: After DBT, BPD patients showed
decreased insula and anterior cingulate cortex activity during reappraisal.
Anterior cingulate connectivity to medial and superior frontal gyrus, superior
temporal gyrus, and inferior parietal cortices increased after DBT. Responders
exhibited reduced activation in amygdala, anterior cingulate cortex,
orbitofrontal, and dorsolateral prefrontal cortex together with increased
connectivity within a limbic-prefrontal network during the reappraisal of
negative stimuli after psychotherapy. CONCLUSIONS: This study revealed reduced
activity and increased connectivity in neural networks related to salience
processing and emotion regulation after therapy. Attenuated limbic hyperarousal
together with an elevated coupling between limbic and prefrontal and inferior
parietal control regions in BPD patients after successful therapy may indicate
more efficient emotion regulation during reappraisal of negative pictures.
PMID- 29653097
TI - ?
PMID- 29653098
TI - Reaction kinetic studies for comparison of mutagenic potency between butadiene
monoxide and glycidamide.
AB - DNA adducts can be formed from covalent binding of electrophilic reactive
compounds to the nucleophilic N- and O-atoms of the biomolecule. The O-sites on
DNA, with nucleophilic strength (n) of ca. 2, is recognized as a critical site
for mutagenicity. Characterization of the reactivity of electrophilic compounds
at the O-sites can be used to predict their mutagenic potency in relative terms.
In the present study, reaction kinetic experiments were performed for butadiene
monoxide (BM) in accordance with the Swain-Scott relation using model
nucleophiles representing N- and O-sites on DNA, and earlier for glycidamide (GA)
using a similar approach. The epoxide from the kinetic experiments was trapped by
cob(I)alamin, resulting in formation of an alkylcobalamin which was analyzed by
liquid chromatography tandem mass spectrometry. The Swain-Scott relationship was
used to determine selectivity constant (s) of BM and GA as 0.86 and 1.0,
respectively. The rate constant for the reaction at n of 2 was extrapolated to
0.023 and 0.038 M-1 h-1 for BM and GA, respectively, implying a higher mutagenic
potency per dose unit of GA compared to BM. The reaction kinetic parameters
associated with mutagenic potency were also estimated by a density functional
theory approach, which were in accordance to the experimental determined values.
These types of reaction kinetic measures could be useful in development of a
chemical reactivity based prediction tool that could aid in reduction of animal
experiments in cancer risk assessment procedures for relative mutagenicity.
PMID- 29653099
TI - Herbacetin, a flaxseed flavonoid, ameliorates high percent dietary fat induced
insulin resistance and lipid accumulation through the regulation of hepatic lipid
metabolizing and lipid-regulating enzymes.
AB - Healthy plants and their constituents have been considered as a safe remedy for
the treatment of obesity and obesity associated diseases. Herbacetin is a dietary
flavonoid that has been explored for many pharmacological activities; but, the
anti-hyperglycaemic and anti-hyperlipidemic properties of herbacetin have not yet
been explored. The present study was performed to evaluate the ameliorative
effect of herbacetin on high-fat diet-induced hyperglycaemia and hyperlipidemia
in 57BL/6 J mice. Obesity associated insulin resistance was induced by
continuously feeding the mice with high-fat diet for 10 weeks. Afterwards, mice
were subjected to intragastric administration of herbacetin (different doses)
daily along with high-fat diet for the next 5 weeks. At the end of 106th day,
changes in body weight, blood glucose, insulin, HOMA-IR, and lipids profiles and
lipid-regulating enzymes were evaluated. Herbacetin significantly reduced the
body weight, plasma glucose, plasma insulin, and HOMA-IR activity in obesity
associated insulin resistant mice (OIR). In addition, herbacetin administration
significantly reduced the plasma and hepatic total cholesterol, triglycerides,
and free fatty acids in OIR mice. Moreover, herbacetin significantly improved the
altered hepatic lipid metabolizing and lipid-regulating enzymes such as SREBP-1c,
and 2, fatty acid synthase (FAS), fatty acid beta-oxidation (beta-oxidation),
malic enzyme, glucose 6-phosphate dehydrogenase (G6PD), and carnitine
palmitoyltransferase (CPT) when compared to OIR control mice. Histopathological
examination clearly showed that herbacetin decreases lipid droplets in the liver
tissue. Thus, observed results strongly indicate that herbacetin provides
remarkable protection against the harmful effects of chronic high-fat diet
consumption because of its anti-hyperglycaemic and anti-hyperlipidemic properties
through the regulation of hepatic lipid metabolizing and lipid-regulating
enzymes.
PMID- 29653100
TI - Vitamin D nanoemulsion enhances hepatoprotective effect of conventional vitamin D
in rats fed with a high-fat diet.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) is associated with
hyperlipidemia, obesity and type II diabetes. Due to increasing prevalence of
these diseases globally, NAFLD is considered as a common form of chronic liver
diseases. Vitamin D is a fat soluble vitamin with reported anti-inflammatory,
anti-oxidant and immune modulating activity. Hypovitaminosis D often coexists
with NAFLD and various studies reported beneficial role of vitamin D in
modulating NAFLD. However, variable oral bioavailability, poor water solubility,
and chemical degradation hinder the clinical application of vitamin D. PURPOSE:
We evaluated the potential protective effect of Vitamin D nanoemulsion (developed
by sonication and pH-Shifting of pea protein isolate and canola oil) compared to
conventional vitamin D against liver injury in rats fed with high fat diet (HFD).
METHODS: We analyzed liver function enzymes, lipid profile, lipid metabolism,
levels and histopathology of inflammation and fibrosis in rat liver tissues.
RESULTS: HFD fed rats exhibited deterioration of liver function, poor lipid
profile, decreased fatty acid oxidation and up-regulation of inflammatory
cytokines and extracellular matrix deposition. Vitamin D administration reduced
elevated liver enzymes, improved lipid profile, enhanced fatty acid oxidation and
attenuated liver inflammation and fibrosis. Interestingly, vitamin D nanoemulsion
was superior to conventional vitamin D with remarkable hepatoprotective effect
against HFD-induced liver injury. CONCLUSION: This study demonstrated vitamin D
nanoemulsion as a more efficient formulation with more prominent hepatoprotective
effect against HFD-induced liver injury compared to conventional oral vitamin D.
PMID- 29653101
TI - beta1-integrin is a cell-autonomous factor mediating the Numb pathway for cardiac
progenitor maintenance.
AB - Proper control of multipotent/stem cell number and fate is essential for ensuing
organ formation during development. beta1-integrin, a subfamily of cell surface
receptors, has a conserved role in maintenance of multipotent/stem cells,
including renal progenitor cells, follicle stem cells, epidermal stem cells and
neural stem cells. However, it remains unclear whether beta1-integrin has a role
in cardiac progenitor cell (CPC) development. Here we show that a mesodermal
deletion of beta1-integrin decreases Isl1+ cell number in the second pharyngeal
arch (PA2), where CPCs undergo renewal and expansion. Mesp1 lineage-specific
mosaicism revealed that beta1-integrin-deleted Isl1+ cells do not proliferate in
the PA2. Consistently, beta1-integrin-deleted Isl1+ CPCs failed to expand in
vitro, independent of PA2 cells. beta1-integrin co-localized and physically
associated with Numb, a crucial regulator of CPC renewal and expansion.
Importantly, Numb/Numbl-deleted CPCs showed dramatic reduction in beta1-integrin
levels. These findings suggest that beta1-integrin is a key mediator of the Numb
pathway in CPC maintenance.
PMID- 29653102
TI - Visceral adipose tissue-derived serine protease inhibitor accelerates cholesterol
efflux by up-regulating ABCA1 expression via the NF-kappaB/miR-33a pathway in THP
1 macropahge-derived foam cells.
AB - Atherosclerosis is a dyslipidemia disease characterized by foam cell formation
driven by the accumulation of lipids. Visceral adipose tissue-derived serine
protease inhibitor (vaspin) is known to suppress the development of
atherosclerosis via its anti-inflammatory properties, but it is not yet known
whether vaspin affects cholesterol efflux in THP-1 macrophage-derived foam cells.
Here, we investigated the effects of vaspin on ABCA1 expression and cholesterol
efflux, and further explored the underlying mechanism. We found that vaspin
decreased miR-33a levels, which in turn increased ABCA1 expression and
cholesteorl efflux. We also found that inhibition of NF-kappaB reduced miR-33a
expression and vaspin suppressed LPS-mediated NF-kappaB phosphorylation. Our
findings suggest that vaspin is not only a regular of inflammasion but also a
promoter of cholesterol efflux.
PMID- 29653103
TI - Sterol regulatory element binding protein 1 trans-activates 25-hydroxy vitamin D3
24-hydroxylase gene expression in renal proximal tubular cells.
AB - The physiological activity of the steroid derived hormone vitamin D is regulated
by several enzymatic steps. Both 25-hydroxy vitamin D3 1alpha-hydroxylase
(CYP27B1) and 25-hydroxyvitamin D3 24-hydroxylase (CYP24A1) modulate blood levels
of 1,25-dihydroxyvitamin D3, an activated form of vitamin D. We previously
demonstrated that CYP27B1 expression was trans-activated by sterol regulatory
element binding protein 1 (SREBP1), although whether SREBP1 also regulates
CYP24A1 transcription was unclear. Here we investigated the ability of SREBP1 to
affect CYP24A1 transcription. In a luciferase reporter assay, mouse and human
CYP24A1 promoter activity was strongly activated by SREBP1 in opossum kidney
proximal tubular cells (OK-P). Three putative SREs (pSREs) were found in the
mouse Cyp24a1 gene promoter and the SREBP1 protein showed specific binding to the
pSRE1 element in EMSAs. Site-directed mutagenesis of the pSRE1 element strongly
decreased SREBP1-mediated Cyp24a1 gene transcription. Moreover, siRNA-mediated
SREBP1 knock-down repressed CYP24A1 expression in human renal proximal tubular
epithelial cells (HKC-8). In animal studies, mice given various doses of thyroid
hormone (T3) showed dose-dependent reductions in renal Srebp1c and Cyp24a1 mRNA
levels. Taken together, our results suggest that SREBP1 trans-activates CYP24A1
expression through SREBP binding elements present in the promoter.
PMID- 29653104
TI - The malaria parasite RhopH protein complex interacts with erythrocyte calmyrin
identified from a comprehensive erythrocyte protein library.
AB - Malaria merozoite apical organelles; microneme and rhoptry secreted proteins play
functional roles during and following invasion of host erythrocytes. Among
numerous proteins, the rhoptries discharge high molecular weight proteins known
as RhopH complex. Recent reports suggest that the RhopH complex is essential for
growth and survival of the malaria parasite within erythrocytes. However, an in
depth understanding of the host-parasite molecular interactions is indispensable.
Here we utilized a comprehensive mouse erythrocyte protein library consisting of
443 proteins produced by a wheat germ cell-free system, combined with AlphaScreen
technology to identify mouse erythrocyte calmyrin as an interacting molecule of
the rodent malaria parasite Plasmodium yoelii RhopH complex (PyRhopH). The
PyRhopH interaction was dependent on the calmyrin N-terminus and divalent cation
capacity. The finding unveils a recommendable and invaluable usefulness of our
comprehensive mouse erythrocyte protein library together with the AlphaScreen
technology in investigating a wide-range of host-parasite molecular interactions.
PMID- 29653105
TI - CST3 and GDF15 ameliorate renal fibrosis by inhibiting fibroblast growth and
activation.
AB - The final strategies to care patients with end-stage renal fibrosis rely on
dialysis and kidney transplantation. Because such treatments are invasive and
cause health problems eventually, it is necessary to develop new therapeutic
strategies for delaying the disease progress. We here searched for cytokines
showing an anti-fibrotic activity in cell-based experiments. Cystatin C (CST3)
and Growth differentiation factor 15 (GDF15) were identified to have anti
fibrotic activities in a cytokine array screening. In primary fibroblasts
isolated from the mouse kidneys subjected to ureteral obstruction-induced
fibrosis, each cytokine induced apoptotic cell death and reduced collagen
production. These anti-fibrotic effects were further augmented by co
administration of both cytokines. Mechanistically, CST3 and GDF15 were found to
block the TGF-beta receptor and the N-Myc signaling pathways, respectively. In
mice with unilateral ureter obstruction, each cytokine and the combination of two
cytokines effectively reduced the fibrotic burden in the subjected kidneys.
Therefore, we propose that CST3 and GDF15 could be potential candidates for
biopharmaceutics to ameliorate renal fibrosis.
PMID- 29653106
TI - Assessment of Nociception and Pain in Participants in an Unresponsive or
Minimally Conscious State After Acquired Brain Injury: The Relation Between the
Coma Recovery Scale-Revised and the Nociception Coma Scale-Revised.
AB - OBJECTIVES: To investigate the relation between consciousness and nociceptive
responsiveness (ie, Nociception Coma Scale-Revised [NCS-R]), to examine the
suitability of the NCS-R for assessing nociception in participants with disorders
of consciousness (DOC), and to replicate previous findings on psychometric
properties of the scale. DESIGN: Specialized DOC program. SETTING: Specialized
DOC program and university hospitals. PARTICIPANTS: Participants (N=85) diagnosed
with DOC. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: We prospectively
assessed consciousness with the Coma Recovery Scale-Revised (CRS-R). Responses
during baseline, non-noxious, and noxious stimulations were scored with the NCS-R
and CRS-R oromotor and motor subscales. RESULTS: CRS-R total scores correlated
with NCS-R total scores and subscores. CRS-R motor subscores correlated with NCS
R total scores and motor subscores, and CRS-R oromotor subscores correlated with
NCS-R total scores as well as verbal and facial expression subscores. There was a
difference between unresponsive wakefulness syndrome and minimally conscious
state in the proportion of grimacing and/or crying participants during noxious
conditions. We replicated previous findings on psychometric properties of the
scale but found a different score as the best threshold for nociception.
CONCLUSIONS: We report a strong relation between the responsiveness to
nociception and the level of consciousness. The NCS-R seems to be a valuable tool
for assessing nociception in an efficient manner, but additional studies are
needed to allow recommendations for clinical assessment of subjective pain
experience.
PMID- 29653107
TI - Interrater and Intrarater Reliability of the Balance Computerized Adaptive Test
in Patients With Stroke.
AB - OBJECTIVE: To examine the interrater and intrarater reliability of the Balance
Computerized Adaptive Test (Balance CAT) in patients with chronic stroke having a
wide range of balance functions. DESIGN: Repeated assessments design (1wk apart).
SETTING: Seven teaching hospitals. PARTICIPANTS: A pooled sample (N=102)
including 2 independent groups of outpatients (n=50 for the interrater
reliability study; n=52 for the intrarater reliability study) with chronic
stroke. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Balance CAT.
RESULTS: For the interrater reliability study, the values of intraclass
correlation coefficient, minimal detectable change (MDC), and percentage of MDC
(MDC%) for the Balance CAT were .84, 1.90, and 31.0%, respectively. For the
intrarater reliability study, the values of intraclass correlation coefficient,
MDC, and MDC% ranged from .89 to .91, from 1.14 to 1.26, and from 17.1% to 18.6%,
respectively. CONCLUSIONS: The Balance CAT showed sufficient intrarater
reliability in patients with chronic stroke having balance functions ranging from
sitting with support to independent walking. Although the Balance CAT may have
good interrater reliability, we found substantial random measurement error
between different raters. Accordingly, if the Balance CAT is used as an outcome
measure in clinical or research settings, same raters are suggested over
different time points to ensure reliable assessments.
PMID- 29653108
TI - Validation of the Narrowing Beam Walking Test in Lower Limb Prosthesis Users.
AB - OBJECTIVE: To evaluate the content, construct, and discriminant validity of the
Narrowing Beam Walking Test (NBWT), a performance-based balance test for lower
limb prosthesis users. DESIGN: Cross-sectional study. SETTING: Research
laboratory and prosthetics clinic. PARTICIPANTS: Unilateral transtibial and
transfemoral prosthesis users (N=40). INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: Content validity was examined by quantifying the percentage of
participants receiving maximum or minimum scores (ie, ceiling and floor effects).
Convergent construct validity was examined using correlations between
participants' NBWT scores and scores or times on existing clinical balance tests
regularly administered to lower limb prosthesis users. Known-groups construct
validity was examined by comparing NBWT scores between groups of participants
with different fall histories, amputation levels, amputation etiologies, and
functional levels. Discriminant validity was evaluated by analyzing the area
under each test's receiver operating characteristic (ROC) curve. RESULTS: No
minimum or maximum scores were recorded on the NBWT. NBWT scores demonstrated
strong correlations (rho=.70-.85) with scores/times on performance-based balance
tests (timed Up and Go test, Four Square Step Test, and Berg Balance Scale) and a
moderate correlation (rho=.49) with the self-report Activities-specific Balance
Confidence scale. NBWT performance was significantly lower among participants
with a history of falls (P=.003), transfemoral amputation (P=.011), and a lower
mobility level (P<.001). The NBWT also had the largest area under the ROC curve
(.81) and was the only test to exhibit an area that was statistically
significantly >.50 (ie, chance). CONCLUSIONS: The results provide strong evidence
of content, construct, and discriminant validity for the NBWT as a performance
based test of balance ability. The evidence supports its use to assess balance
impairments and fall risk in unilateral transtibial and transfemoral prosthesis
users.
PMID- 29653109
TI - Can Older Adults Accurately Report Their Use of Physical Rehabilitation Services?
AB - OBJECTIVE: To explore the accuracy of rehabilitation service use reports by older
adults as well as variation in accuracy by demographic characteristics, time
since use, duration, and setting (inpatient, outpatient, home). DESIGN:
Longitudinal observational study. SETTING: Participants' homes. PARTICIPANTS:
Community-dwelling adults ages 65 and older (N=4228) in the 2015 National Health
and Aging Trends Study who were enrolled in Medicare Parts A and B for 12 months
before their interview. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES:
Respondents were asked whether they received rehabilitation services in the past
year and the duration and location of services. Healthcare Common Procedure
Coding System codes and Revenue Center codes were used to identify Medicare
eligible rehabilitation service. RESULTS: Survey-based reports and Medicare
claims yielded similar estimates of rehabilitation use over the past year. Self
reported measures had high sensitivity (77%) and positive predictive value (80%)
and even higher specificity and negative predictive value (approaching 95%).
However, in adjusted models, sensitivity was lower for black enrollees, the very
old, and those with lower education levels. CONCLUSIONS: Survey-based measures of
rehabilitation accurately captured use over the past year, but differential
reporting should be considered when characterizing rehabilitation use in certain
subgroups of older Americans.
PMID- 29653110
TI - siRNA - Mediated LRP/LR knock-down reduces cellular viability of malignant
melanoma cells through the activation of apoptotic caspases.
AB - : The 37 kDa/67 kDa laminin receptor (LRP/LR) is over-expressed in tumor cells
and has been implicated in several tumourigenic processes such as metastasis and
telomerase activation, however, more importantly the focus of the present study
is on the maintenance of cellular viability and the evasion of apoptosis. The aim
of the study was to investigate the role of LRP/LR on the cellular viability of
early (A375) and late stage (A375SM) malignant melanoma cells. Flow cytometry and
western blot analysis revealed that A375SM cells contain more cell-surface and
total LRP/LR levels in comparison to the A375 cells, respectively. In order to
determine the effect of LRP/LR on cell viability and apoptosis, LRP was down
regulated via siRNA technology. MTT assays revealed that LRP knock-down led to
significant reductions in the viability of A375 and A375SM cells. Confocal
microscopy indicated nuclear morphological changes suggestive of apoptotic
induction in both cell lines and Annexin-V FITC/PI assays confirmed this
observation. Additionally, caspase-3 activity assays revealed that apoptosis was
induced in both cell lines after siRNA-mediated down-regulation of LRP. Caspase-8
and -9 activity assays suggested that post LRP knock-down; A375 cells undergo
apoptosis solely via the extrinsic pathway, while A375SM cells undergo apoptosis
via the intrinsic pathway. IMPLICATIONS: siRNAs mediated LRP knock-down might
represent a powerful alternative therapeutic strategy for the treatment of
malignant melanoma through the induction of apoptosis.
PMID- 29653111
TI - A brain within the heart: A review on the intracardiac nervous system.
AB - Cardiac function is under the control of the autonomic nervous system, composed
by the parasympathetic and sympathetic divisions, which are finely tuned at
different hierarchical levels. While a complex regulation occurs in the central
nervous system involving the insular cortex, the amygdala and the hypothalamus, a
local cardiac regulation also takes place within the heart, driven by an
intracardiac nervous system. This complex system consists of a network of
ganglionic plexuses and interconnecting ganglions and axons. Each ganglionic
plexus contains numerous intracardiac ganglia that operate as local integration
centres, modulating the intricate autonomic interactions between the extrinsic
and intracardiac nervous systems. Herein, we summarize the current understanding
on the intracardiac nervous system, and acknowledge its role in the
pathophysiology of cardiovascular diseases.
PMID- 29653112
TI - A comparison of two types of running wheel in terms of mouse preference, health,
and welfare.
AB - Voluntary wheel running occurs in mice of all strains, sexes, and ages. Mice find
voluntary wheel running rewarding, and it leads to numerous health benefits. For
this reason wheels are used both to enhance welfare and to create models of
exercise. However, many designs of running wheel are used. This makes between
study comparisons difficult, as this variability could potentially affect the
amount, pattern, and/or intensity of running behaviour, and thence the wheels'
effects on welfare and exercise-related changes in anatomy and physiology. This
study therefore evaluated two commercially available models, chosen because safe
for group-housed mice: Bio Serv(r)'s "fast-trac" wheel combo and Ware
Manufacturing Inc.'s stainless steel mesh 5" upright wheel. Working with a total
of three hundred and fifty one female C57BL/6, DBA/2 and BALB/c mice, we assessed
these wheels' relative utilization by mice when access was free; the strength of
motivation for each wheel-type when access required crossing an electrified grid;
and the impact each wheel had on mouse well-being (inferred from acoustic startle
responses and neophobia) and exercise-related anatomical changes (BMI; heart and
hind limb masses). Mice ran more on the "fast-trac" wheel regardless of whether
both wheel-types were available at once, or only if one was present. In terms of
motivation, subjects required to work to access a single wheel worked equally
hard for both wheel-types (even if locked and thus not useable for running), but
if provided with one working wheel for free and the other type of wheel (again
unlocked) accessible via crossing the electrified grid, the "fast-trac" wheel
emerged as more motivating, as the Maximum Price Paid for the Ware metal wheel
was lower than that paid for the "fast-trac" plastic wheel, at least for C57BL/6s
and DBA/2s. No deleterious consequences were noted with either wheel in terms of
health and welfare, but only mice with plastic wheels developed significantly
larger hearts and hind limbs than control animals with locked wheels. Thus, where
differences emerged, Bio Serv(r)'s "fast-trac" wheel combos appeared to better
meet the aims of exercise provision than Ware Manufacturing's steel upright
wheels.
PMID- 29653113
TI - Oral sensations and secretions.
AB - Sensations experienced in the mouth influence food choices, both immediately and
in the long term. Such sensations are themselves influenced by experience with
flavors, the chemical environment of the mouth, genetics of receptors for
flavors, and individual behavior in the chewing of food. Gustation, the sense of
taste, yields information about nutrients, influences palatability, and feeds
into the human body's preparation to receive those nutrients. Olfaction, the
sense of smell, contributes enormously to defining and identifying food flavors
(and is experienced even after placing food inside the mouth). Another vital
component of food flavor is texture, which contributes to palatability,
especially if a food's texture violates a person's expectations. Next,
chemesthesis is the sense of chemically induced irritancy and temperature, for
example spiciness and stinging. All of these sensations are potentially modified
by saliva, the chemical and physical media of the mouth. As a person experiences
the culmination of these oral sensations, modified through an individual's own
unique saliva, the flavors in turn influence both what and how a person eats.
PMID- 29653114
TI - Adrenal androgen measurement for assessing the selectivity of adrenal venous
sampling in primary aldosteronism.
AB - Adrenal venous sampling (AVS) is the reference standard for primary aldosteronism
(PA) subtyping. Cortisol is widely used to assess the success of selective AVS,
but it is not always reliable. The aim of the present study was to investigate
the usefulness of adrenal androgens, compared to cortisol, in assessing the
selectivity of AVS. We consecutively recruited 37 patients with PA undergoing
AVS. AVS procedures were performed with the bilateral simultaneous technique
without cosyntropin stimulation. We collected two baseline blood samples from 10
patients with a 15-min interval between time-15 (t-15) and time 0 (t0) to measure
the variability in the levels of the tested hormones over time. Cortisol,
androstenedione, dehydroepiandrosterone (DHEA), and DHEA sulfate (DHEAS)
concentrations were measured in adrenal and peripheral venous (AV and PV,
respectively) samples. The selectivity index (SI) values for androstenedione and
DHEA were on average 3.0- and 2.0-fold higher, respectively, than those for
cortisol, respectively (P < 0.05). However, the SI for DHEAS was 5.0-fold lower
than the SI for cortisol (P < 0.05). Plasma androstenedione and DHEA
concentrations positively correlated with cortisol and aldosterone concentrations
in AV samples (P < 0.01). Compared to cortisol, the variation ratio of AV
androstenedione and DHEA decreased from t-15 to t0 (0.23 and 0.43 vs. 0.52; P =
0.001 and P = 0.061, respectively). Using receiver operating characteristic
curves, an SI >= 3 for androstenedione or DHEA provided optimal sensitivity and
specificity in AVS. Given the much larger AV/PV ratios and reduced variability
compared to cortisol, the adrenal androgens androstenedione and DHEA are useful
for assessing the selectivity of AVS without cosyntropin stimulation and may be
superior analytes in conditions with marked variability of cortisol levels or
with adrenocortical tumors cosecreting cortisol and aldosterone.
PMID- 29653115
TI - Facile one-pot multicomponent synthesis and molecular docking studies of
steroidal oxazole/thiazole derivatives with effective antimicrobial, antibiofilm
and hemolytic properties.
AB - A series of steroidal oxazole and thiazole derivatives have been synthesized
employing thiosemicarbazide/semicarbazide hydrochloride and ethyl 2
chloroacetoacetate with a simple and facile one-pot multicomponent reaction
pathway. The antimicrobial activity of newly synthesized compounds were evaluated
against four bacterial strains namely Gram-negative (Escherichia coliand
Pseudomonas aeruginosa) and Gram-positive bacteria (Staphylococcus aureus and
Listeria monocytogenes) in addition to pathogenic fungi (Candida albicans and
Cryptococcus neoformans). Bioactivity assay manifested that most of the compounds
exhibited good antimicrobial activity. To provide additional insight into
antimicrobial activity, the compounds were also tested for their antibiofilm
activity against S. aureus biofilm. Moreover, molecular docking study shows
binding of compounds with amino acid residues of DNA gyrase and glucosamine-6
phosphate synthase (promising antimicrobial target) through hydrogen bonding
interactions. Hemolytic activity have been also investigated to ascertain the
effect of compounds over RBC lysis and results indicate good prospects for
biocompatibility. The expedient synthesis of steroidal heterocycles, effective
antibacterial and antifungal behavior against various clinically relevant human
pathogens, promising biocompatibility offer opportunities for further
modification and potential applications as therapeutic agents.
PMID- 29653116
TI - Serum from Asian patients with atopic dermatitis is characterized by TH2/TH22
activation, which is highly correlated with nonlesional skin measures.
PMID- 29653117
TI - Potential role of extracellular vesicle-mediated antigen presentation in
Helicobacter pylori hypersensitivity during eradication therapy.
PMID- 29653118
TI - Acute high-intensity interval exercise induces comparable levels of circulating
cell-free DNA and Interleukin-6 in obese and normal-weight individuals.
AB - AIMS: Obesity is associated with lipid aggregation in adipocytes and macrophage
infiltration, leading to increased oxidative stress and inflammation. Increased
cell-free DNA (cfDNA) concentrations have been observed in clinical conditions of
systemic inflammation. While the beneficial effects of regular physical activity
on the release of circulating cfDNA still remain unknown, acute intense exercise
has been shown to increase inflammatory cytokines and cfDNA concentrations in
normal-weight individuals. Therefore, the primary purpose of this study was to
examine the effect of acute high-intensity interval Exercise (HIIE) on plasma
cfDNA and interleukin-6 (IL-6) responses in obese and normal-weight subjects.
MAIN METHODS: Fourteen male subjects (7 obese and 7 normal-weight) participated
in an acute HIIE protocol (30 min, 4x4min @ 80% - 90% of VO2max) on a treadmill.
Between HIIE intervals, subjects performed 3 min of active recovery at 50-60%
VO2max. Blood samples were collected prior to, immediately following exercise,
and one hour into recovery for measurements of plasma cfDNA and IL-6. KEY
FINDINGS: Our results demonstrated a significant elevation in plasma cfDNA
immediately following acute HIIE in both obese and normal-weight subjects. A
comparable elevation in the concentration of plasma IL-6 was also found between
two groups in response to acute HIIE. Furthermore, the level of plasma cfDNA was
not correlated with IL-6 either at baseline or in response to acute HIIE.
SIGNIFICANCE: These findings may support the utilization of HIIE as a time
efficient exercise protocol to understand the obesity-associated cfDNA and
inflammatory responses.
PMID- 29653119
TI - Adenovirus-mediated overexpression of sST2 attenuates cardiac injury in the rat
with severe acute pancreatitis.
AB - AIMS: Severe acute pancreatitis (SAP) is a serious disease associated with
systematic inflammation and multiple organs dysfunction. Soluble ST2 (sST2), a
member of the Toll interleukin (IL)-1 receptor (TIR) superfamily, has been
demonstrated to exert immune-regulatory and anti-inflammatory properties in
several inflammation-related diseases. In this study, we investigated whether
transfer of sST2 gene by adenovirus vector could attenuate sodium taurocholate
induced SAP and associated cardiac injury. MAIN METHODS: A rat model of SAP was
induced by retrograde injection of 5% sodium taurocholate (1 ml/kg) into the
biliopancreatic duct. Rats in the treatment groups were intravenously injected
with adenovirus expressing sST2 (Ad-sST2, 1 * 109 particles/rat) or green
fluorescent protein (Ad-GFP) via the tail vein 48 h before SAP induction.
Histological changes in the pancreatic and heart tissues, and parameters for
evaluating SAP and associated cardiac injury were determined at 24 h after SAP.
KEY FINDINGS: Sodium taurocholate induced obvious pathological changes in
pancreas and elevated serum levels of amylase and lipase. Furthermore, SAP
animals exhibited significant cardiac impairment, evidenced by decreased cardiac
function, increased myocardial apoptosis and cardiac-related enzymes including
creatine kinase isoenzyme, lactate dehydrogenase, and Troponin T. Administration
of Ad-sST2 markedly improved the structure of pancreas and heart tissues, and
reversed the alterations in serum amylase, lipase and cardiac-related enzymes. In
addition, Ad-sST2 treatment downregulated pro-inflammatory cytokines production,
demonstrating the anti-inflammatory property of sST2. SIGNIFICANCE: Our results
suggest that administration of Ad-sST2 significantly attenuated the severity of
SAP and associated cardiac damage, and the cardioprotective effect is associated
with its anti-inflammatory action.
PMID- 29653120
TI - Covered versus uncovered self-expandable metal stent for palliation of primary
malignant extrahepatic biliary strictures: a randomized multicenter study.
AB - BACKGROUND AND AIMS: Self-expandable metal stents (SEMSs) are used to relieve
malignant biliary obstructions. We aimed to compare stent patency, the adverse
events rate, and overall survival of covered versus uncovered self-conformable
metal stents in patients with primary malignant extrahepatic biliary strictures,
not eligible for surgery. METHODS: This is a multicenter randomized trial
analyzing 158 patients with inoperable distal malignant biliary obstruction
conducted in 5 Italian referral centers between December 2014 and October 2016.
Seventy-eight patients were randomized to receive a fully covered SEMS (FCSEMS),
and 80 patients received uncovered SEMSs (USEMSs). Data from 148 (72 FCSEMSs and
76 USEMSs) of 158 patients were analyzed. RESULTS: Median time of stent patency
was lower for FCSEMSs (240 days vs 541 days for USEMSs; P = .031). Adverse events
occurred with 19 FCSEMSs (26.4%) and 10 USEMSs (13.2%); P = .061. The main causes
of FCSEMS dysfunction were migration (7% vs 0% in the USEMS group) and early
occlusion mainly because of sludge or overgrowth; late stent occlusion because of
tumor ingrowth occurred in 13.2% of patients in the USEMS group. There were no
significant differences either in levels of conjugated bilirubin improvement or
in overall survival between the FCSEMS and USEMS groups. Median survival was 134
days in the FCSEMS group and 112 days in the USEMS group (P = .23). CONCLUSION:
The number of stent-related adverse events was higher, although not
significantly, among patients in the FCSEMS group. FCSEMSs had a significantly
higher rate of migration than USEMSs, and stent occlusion occurred earlier. A
significant difference in the patency rate was observed in favor of the USEMS
group. (Clinical trial registration number: NCT02102984.).
PMID- 29653121
TI - Integration and Diagnostic Accuracy of 3T Nonendorectal coil Prostate Magnetic
Resonance Imaging in the Context of Active Surveillance.
AB - OBJECTIVE: To evaluate the integration of 3T nonendorectal coil multiparametric
prostate magnetic resonance imaging (mpMRI) at 2 high-volume practices that
routinely use mpMRI in the setting of active surveillance. MATERIALS AND METHODS:
This was an institutional review board-approved, Health Insurance Portability and
Accountability Act-compliant, and dual-institution retrospective cohort study.
Subjects undergoing 3T mpMRI without endorectal coil at either study institution
over a 13-month period (August 1, 2015-August 31, 2016) were selected based on
predefined criteria: clinical T1/T2 Gleason 6 prostate cancer, prostate-specific
antigen <15 ng/mL, >=40 years old, mpMRI within 2 years of prostate biopsy, and
Prostate Imaging Reporting and Data System (PI-RADS) v2 score assigned. Subjects
surveilled for Gleason >=3 + 4 prostate cancer were excluded. The primary outcome
was detection of Gleason >=3 + 4 prostate cancer on magnetic resonance-ultrasound
fusion biopsy, standard biopsy, or prostatectomy within 6 months following mpMRI.
Positive predictive values (PPVs) were calculated. RESULTS: A total of 286
subjects (N = 193 from institution 1, N = 93 from institution 2) met the
criteria. Most (87% [90 of 104]) with maximum PI-RADS v2 scores of 1-2 did not
receive immediate biopsy or treatment and remained on active surveillance.
Incidence and PPVs for PI-RADS v2 scores of >=3 were the following: PI-RADS 3 (n
= 57 [20%], PPV 21% [6 of 29]), PI-RADS 4 (n = 96 [34%], PPV 51% [39 of 77]), and
PI-RADS 5 (n = 29 [13%], PPV 71% [20 of 28]). No Gleason >=4 + 3 prostate cancer
was identified for PI-RADS v2 scores of 1-3 (0 of 43 with histology). Following
mpMRI and subsequent biopsy, 21% (61 of 286) of subjects were removed from active
surveillance and underwent definitive therapy. CONCLUSION: The 3T nonendorectal
coil mpMRI has been integrated into the care of patients on active surveillance
and effectively stratifies risk of Gleason >=3 + 4 prostate cancer in this
population.
PMID- 29653122
TI - New trials and results in systemic treatment of HCC.
AB - The design of prospective trials in hepatocellular carcinoma is a true challenge
because the underlying condition of the liver, upon drug exposure, could interact
with the specific course of carcinoma and influence overall outcome. The
information generated by basic and clinical researchers provides the rationale
for improving the prognosis of this complex disease. However, an additional
challenge is interpreting emerging data in real time in order to integrate them
into the design of further trials. Analysing recent results in detail may
contribute to improving trial design and analysis, expediting the translation of
a novel agent's potential benefit, assessed in prospective interventions, to
clinical practice. This review summarises the data already known and discusses
newly available results, along with ongoing systemic trials in hepatocellular
carcinoma treatment.
PMID- 29653123
TI - Long noncoding RNA lncHand2 promotes liver repopulation via c-Met signaling.
AB - BACKGROUND & AIMS: Long noncoding RNAs (lncRNAs) play important roles in various
biological processes, regulating gene expression by diverse mechanisms. However,
how lncRNAs regulate liver repopulation is unknown. Herein, we aimed to identify
lncRNAs that regulate liver repopulation and elucidate the signaling pathways
involved. METHODS: Herein, we performed 70% partial hepatectomy in wild-type and
gene knockout mice. We then performed transcriptomic analyses to identify a
divergent lncRNA termed lncHand2 that is highly expressed during liver
regeneration. RESULTS: LncHand2 is constitutively expressed in the nuclei of
pericentral hepatocytes in mouse and human livers. LncHand2 knockout abrogates
liver regeneration and repopulation capacity. Mechanistically, lncHand2 recruits
the Ino80 remodeling complex to initiate expression of Nkx1-2 in trans, which
triggers c-Met (Met) expression in hepatocytes. Finally, knockout of both Nkx1-2
and c-Met causes more severe liver injury and poorer repopulation ability. Thus,
lncHand2 promotes liver repopulation via initiating Nkx1-2-induced c-Met
signaling. CONCLUSIONS: Our findings reveal that lncHand2 acts as a critical
mediator regulating liver repopulation. It does this by inducing Nkx1-2
expression, which in turn triggers c-Met signaling. LAY SUMMARY: Long noncoding
RNAs play important roles in various biological processes. While long noncoding
RNAs do not directly code proteins, they can regulate gene expression by diverse
mechanisms. We identified the long noncoding RNA, termed lncHand2 because of its
proximity to the gene Hand2, to be an important determinant of liver regeneration
through c-Met signaling.
PMID- 29653125
TI - Mesothelioma and other lung disease in taconite miners; the uncertain role of non
asbestiform EMP.
AB - The purpose of this paper was to assess the role of non-asbestiform amphibole
EMPs in the etiology of mesotheliomas and other lung disease in taconite (iron
ore) miners. Increased mesothelioma rates have been described in Minnesota
taconite workers since the late 1990s. Currently, over 100 cases have been
reported by the Minnesota Department of Health within the complete cohort of
miners in Minnesota. Geologic sampling has indicated that only the eastern part
of the iron range contains non-asbestiform amphibole elongate mineral particles
(EMPs), in close proximity to the ore. This type of EMP has been less studied and
also exists in talc and gold mining. A series of investigations into the state's
taconite industry have been recently completed. Results from a cohort mortality
study indicated an SMR of 2.77 (95% CI = 1.87-3.96) for mesothelioma. In a case
control study, the odds ratio for mesothelioma for high vs. low EMP exposure was
2.25 (5% CI = 1.13-4.5) but EMPs in this study were counted by phase contrast
microscopy. Odds ratios were not elevated in mines located in the eastern part of
the Mesabi iron range. The overall findings suggest that mesothelioma in taconite
miners is related to EMP exposure. Because of the way EMPs were counted, results
from these studies cannot allow a firm conclusion about the association between
EMP exposure and the reported excess mesothelioma.
PMID- 29653124
TI - Doxorubicin triggers bioenergetic failure and p53 activation in mouse stem cell
derived cardiomyocytes.
AB - Doxorubicin (DOX) is a widely used anticancer drug that could be even more
effective if its clinical dosage was not limited because of delayed
cardiotoxicity. Beating stem cell-derived cardiomyocytes are a preferred in vitro
model to further uncover the mechanisms of DOX-induced cardiotoxicity. Our
objective was to use cultured induced-pluripotent stem cell(iPSC)-derived mouse
cardiomyocytes (Cor.At) to investigate the effects of DOX on cell and
mitochondrial metabolism, as well as on stress responses. Non-proliferating and
beating Cor.At cells were treated with 0.5 or 1 MUM DOX for 24 h, and
morphological, functional and biochemical changes associated with mitochondrial
bioenergetics, DNA-damage response and apoptosis were measured. Both DOX
concentrations decreased ATP levels and SOD2 protein levels and induced p53
dependent caspase activation. However, differential effects were observed for the
two DOX concentrations. The highest concentration induced a high degree of
apoptosis, with increased nuclear apoptotic morphology, PARP-1 cleavage and
decrease of some OXPHOS protein subunits. At the lowest concentration, DOX
increased the expression of p53 target transcripts associated with mitochondria
dependent apoptosis and decreased transcripts related with DNA-damage response
and glycolysis. Interestingly, cells treated with 0.5 MUM DOX presented an
increase in PDK4 transcript levels, accompanied by an increase in phospho-PDH and
decreased PDH activity. This was accompanied by an apparent decrease in basal and
maximal oxygen consumption rates (OCR) and in basal extracellular acidification
rate (ECAR). Cells pre-treated with the PDK inhibitor dichloroacetate (DCA), with
the aim of restoring PDH activity, partially recovered OCR and ECAR. The results
suggest that the higher DOX concentration mainly induces p53-dependent apoptosis,
whereas for the lower DOX concentration the cardiotoxic effects involve
bioenergetic failure, unveiling PDH as a possible therapeutic target to decrease
DOX cardiotoxicity.
PMID- 29653126
TI - Mitochondria in the nervous system: From health to disease, part II.
AB - In Part II of this Special Issue on "Mitochondria in the Nervous System: From
Health to Disease", the editors bring together more reviews and original articles
from researchers in the field of mitochondrial metabolism in the healthy and
diseased nervous system. Subjects span from basic mitochondrial physiology to
papers on mitochondrial dynamics and to those altered states of the nervous
system that can be considered "mitopathologies". Finally, a few papers approach
aspects of mitochondrial biology linked to the feasibility and validity of a
mitochondrial therapy.
PMID- 29653127
TI - Evaluation of early chronic functional outcomes and their relationship to pre
frontal cortex and hippocampal pathology following moderate-severe traumatic
brain injury.
AB - TBI is a significant risk factor for the development of dementia, with the
interaction between structural damage from TBI and neuroinflammation potentially
driving this relationship. This study investigated the early chronic post-TBI
neuroinflammatory response and its relationship to both neurodegenerative
pathology and functional impairment up to 3 months post-injury. Sprague-Dawley
rats underwent either sham surgery or the Marmarou model of diffuse moderate
severe TBI. At 1-month and 3-months post-injury, a functional battery
encompassing motor function, depressive-like behaviour, anxiety and cognition was
performed. Western blot and immunohistochemical analysis assessed a range of
inflammatory, neurodegenerative and oxidative stress markers. At both 1 and 3
months post injury, depressive-like behaviour was significantly increased in TBI
animals, with TBI animals also exhibiting impaired cognitive flexibility at 3
months, although learning and memory remained intact. This was accompanied by a
significant decrease in markers of synaptic integrity and astrocytic and
microglia number within the pre-frontal cortex at 1-month post-injury, although
this resolved by 3-months post-injury. In contrast, minimal pathology was evident
within the hippocampus at 1 month, with only a decrease in neurofilament-light
seen at 3 months post-injury. Thus, following a moderate-severe diffuse injury,
the pre-frontal cortex is most vulnerable to early neuro-structural changes.
While these changes are resolved at 3 months post-injury, future studies should
investigate whether they re-emerge or progress to other areas, such as the
hippocampus, at later time points, which could predispose individuals to the
development of dementia.
PMID- 29653129
TI - Delivering drugs to the lungs: The history of repurposing in the treatment of
respiratory diseases.
AB - The repurposing of drug delivery by the pulmonary route has been applied to
treatment and prophylaxis of an increasingly wide range of respiratory diseases.
Repurposing has been most successful for the delivery of inhaled bronchodilators
and corticosteroids in patients with asthma and chronic obstructive pulmonary
disease (COPD). Repurposing utilizes the advantages that the pulmonary route
offers in terms of more targeted delivery to the site of action, the use of
smaller doses, and a lower incidence of side-effects. Success has been more
variable for other drugs and treatment indications. Pulmonary delivery is now
well established for delivery of inhaled antibiotics in cystic fibrosis (CF), and
in the treatment of pulmonary arterial hypertension (PAH). Other inhaled
treatments such as those for idiopathic pulmonary fibrosis (IPF), lung transplant
rejection or tuberculosis may also become routine. Repurposing has progressed in
parallel with the development of new drugs, inhaler devices and formulations.
PMID- 29653130
TI - Complex sparse spatial filter for decoding mixed frequency and phase coded steady
state visually evoked potentials.
AB - BACKGROUND: Mixed frequency and phase coding (FPC) can achieve the significant
increase of the number of commands in steady-state visual evoked potential-based
brain-computer interface (SSVEP-BCI). However, the inconsistent phases of the
SSVEP over channels in a trial and the existence of non-contributing channels due
to noise effects can decrease accurate detection of stimulus frequency. NEW
METHOD: We propose a novel command detection method based on a complex sparse
spatial filter (CSSF) by solving l1- and l2,1-regularization problems for a mixed
coded SSVEP-BCI. In particular, l2,1-regularization (aka group sparsification)
can lead to the rejection of electrodes that are not contributing to the SSVEP
detection. RESULTS: A calibration data based canonical correlation analysis (CCA)
and CSSF with l1- and l2,1-regularization cases were demonstrated for a 16-target
stimuli with eleven subjects. The results of statistical test suggest that the
proposed method with l1- and l2,1-regularization significantly achieved the
highest ITR. COMPARISON WITH EXISTING METHODS: The proposed approaches do not
need any reference signals, automatically select prominent channels, and reduce
the computational cost compared to the other mixed frequency-phase coding (FPC)
based BCIs. CONCLUSIONS: The experimental results suggested that the proposed
method can be usable implementing BCI effectively with reduce visual fatigue.
PMID- 29653128
TI - Serotonin-specific lesions of the dorsal raphe disrupt maternal aggression and
caregiving in postpartum rats.
AB - The behavioral modifications associated with early motherhood, which include high
aggression, caring for the young, and low anxiety, are all affected by acute
pharmacological manipulation of serotonin signaling. However, the effects on all
these behaviors of permanently disrupting serotonin signaling from one of its
primary sources, the dorsal raphe nucleus (DR), have not been examined in detail.
To address this, serotonin-specific lesions centered on the dorsomedial DR (DRdm;
DR subregion strongly implicated in emotional behaviors) were induced at mid
pregnancy (day 15) or early postpartum (day 2) in rats using a saporin-conjugated
neurotoxin targeting the serotonin transporter (Anti-SERT-SAP). Prepartum or
postpartum Anti-SERT-SAP reduced DRdm serotonin immunoreactivity by ~40-65%, and
postpartum Anti-SERT-SAP also reduced it in the ventromedial and lateral wings of
the DR, as well as in the median raphe. Serotonin-immunoreactive fibers were
significantly reduced in the anterior hypothalamus, but not medial preoptic area,
of lesioned dams. Pre- or postpartum lesions both greatly reduced maternal
aggression, but while prepartum lesions did not affect later undisturbed maternal
caregiving, the larger postpartum lesions prevented the postpartum decline in
kyphotic nursing and reduced pup licking. Serotonin lesions did not affect pup
retrieval, but the prepartum lesions temporarily increased maternal hovering over
and licking the pups observed immediately after the disruptive retrieval tests.
Dams' anxiety-like behaviors and litter weight gains were unaffected by the
lesions. These findings suggest that DRdm serotonin projecting to the AH is
particularly critical for maternal aggression, but that more widespread
disruption of midbrain raphe serotonin is necessary to greatly impair maternal
caregiving. Postpartum anxiety may rely more on other neurochemical systems or
different midbrain serotonergic cell populations.
PMID- 29653132
TI - Sofosbuvir inhibits hepatitis A virus replication in vitro assessed by a cell
based fluorescent reporter system.
AB - Hepatitis A virus (HAV) infection remains a major cause of acute hepatitis
worldwide and even leads to fulminant hepatitis. For screening antivirals against
HAV in vitro, we develop a cell-based fluorescent reporter system named Huh-7.5.1
GA, in which HAV infection is visualized by green fluorescence protein (GFP)
translocation from the cytosol into the nucleus. The reliability of Huh-7.5.1-GA
for antiviral studies is validated by IFN-alpha, a known inhibitor of HAV
replication, which impedes GFP translocation. Utilizing this in-vitro reporter
system, we find that sofosbuvir, an FDA approved prodrug for the treatment of
chronic hepatitis C, disturbs GFP translocation and inhibits HAV replication
efficiently. In addition, we find that inhibition of HAV by sofosbuvir is hepatic
cell dependent, with IC50 (half-maximal inhibitory concentration) being 6.3 MUM
and 9.9 MUM in Huh-7.5.1, quantified separately by RT-qPCR and image-based
analysis. Therefore, our reporter system may serve as a high-throughput platform
for screening potent antivirals against HAV. Sofosbuvir may be considered for
treatment of hepatitis A, especially in re-infected patients who undergo liver
transplantation due to HAV-induced liver failure.
PMID- 29653131
TI - BET bromodomain inhibitors show anti-papillomavirus activity in vitro and block
CRPV wart growth in vivo.
AB - The DNA papillomaviruses infect squamous epithelium and can cause persistent,
benign and sometimes malignant hyperproliferative lesions. Effective antiviral
drugs to treat human papillomavirus (HPV) infection are lacking and here we
investigate the anti-papillomavirus activity of novel epigenetic targeting drugs,
BET bromodomain inhibitors. Bromodomain and Extra-Terminal domain (BET) proteins
are host proteins which regulate gene transcription, they bind acetylated lysine
residues in histones and non-histone proteins via bromodomains, functioning as
scaffold proteins in the formation of transcriptional complexes at gene
regulatory regions. The BET protein BRD4 has been shown to be involved in the
papillomavirus life cycle, as a co-factor for viral E2 and also mediating viral
partitioning in some virus types. We set out to study the activity of small
molecule BET bromodomain inhibitors in models of papillomavirus infection.
Several BET inhibitors reduced HPV11 E1^E4 mRNA expression in vitro and topical
therapeutic administration of an exemplar compound I-BET762, abrogated CRPV
cutaneous wart growth in rabbits, demonstrating translation of anti-viral effects
to efficacy in vivo. Additionally I-BET762 markedly reduced viability of HPV16
infected W12 cells compared to non-infected C33A cells. The molecular mechanism
for the cytotoxicity to W12 cells is unknown but may be through blocking viral
dependent cell-survival factors. We conclude that these effects, across multiple
papillomavirus types and in vivo, highlight the potential to target BET
bromodomains to treat HPV infection.
PMID- 29653133
TI - Rapid MALDI-TOF-based identification of Brucella melitensis from positive blood
culture vials may prevent laboratory-acquired infections.
PMID- 29653134
TI - The optimal ethanol lock therapy regimen for treatment of biofilm-associated
catheter infections: an in-vitro study.
AB - BACKGROUND: Ethanol-based lock therapy (LT) solutions are used as an alternative
to antibiotics for the conservative management of catheter-related bloodstream
infection. However, no clear consensus on regimen or dose has been reached. AIM:
To find the ethanol-based lock solution containing a sufficiently low
concentration of ethanol for reduction of the metabolic activity of bacterial and
fungal biofilms. METHODS: Using an in-vitro model, three concentrations of
ethanol (25%, 40%, 70%) were tested, with and without 60 IU of heparin, at six
different time-points and against 24 h preformed biofilms of Staphylococcus
aureus ATCC29213, Staphylococcus epidermidis (clinical isolate), Enterococcus
faecalis ATCC33186, Candida albicans ATCC14058, and Escherichia coli ATCC25922.
The reduction in the metabolic activity of the biofilm was measured using the
tetrazolium salt assay and LT was considered to be successful when metabolic
activity fell by >90%. Regrowth inhibition was then tested within 24 h and seven
days after each LT regimen only at the ethanol concentration of the most
successful LT regimen. FINDINGS: The most successful LT was achieved with 40%
ethanol + 60 IU of heparin only at 24, 72, and 24 h for seven-day regimens (P <
0.05). However, none of the regimens reached 45% RI within seven days of therapy.
CONCLUSION: According to our in-vitro data, an ethanol-based lock solution with
40% ethanol + 60 IU heparin administered daily for 72 h is sufficient to almost
eradicate the metabolic activity of bacterial and fungal biofilms. Future studies
are needed to study cell regrowth after LT.
PMID- 29653135
TI - Are red, yellow, green, and blue perceptual categories?
AB - This study investigated categorical perception for unique hues in order to
establish a relationship between color appearance, color discrimination, and low
level (second-stage) mechanisms. We tested whether pure red, yellow, green, and
blue, (unique hues) coincide with troughs, and their transitions (binary hues)
with peaks of sensitivity in DKL-space. Results partially confirmed this idea:
JNDs demarcated perceptual categories at the binary hues around green, blue and
less clearly around yellow, when colors were isoluminant with the background and
when accounting for the overall variation of sensitivity by fitting an ellipse.
The categorical JND pattern for those three categories was in line with the
effect of the second-stage mechanisms. In contrast, the results for unique red,
binary red-yellow, and the JNDs for dark colors clearly contradicted categorical
perception. There was a JND maximum around the center of red and JNDs strongly
decreased away from the center. Although this observation alone would also be in
line with categorical perception; unique red was shifted away from the center
towards yellow so that unique red was close to the minimum instead of the maximum
JND, hence contradicting categorical perception. In addition, we also showed that
observers do not adjust unique hues more consistently than binary hues,
confirming a previous study. Taken together, our findings suggest that some of
the unique hues could be inherent in the early stages of color processing. At the
same time, they also raise questions about complex effects of lightness, chroma
and instructions on the measurements of JNDs and unique hues.
PMID- 29653136
TI - A regional composite-face effect for species-specific recognition: Upper and
lower halves play different roles in holistic processing of monkey faces.
AB - Using a composite-face paradigm, we examined the holistic processing induced by
Asian faces, Caucasian faces, and monkey faces with human Asian participants in
two experiments. In Experiment 1, participants were asked to judge whether the
upper halves of two faces successively presented were the same or different. A
composite-face effect was found for Asian faces and Caucasian faces, but not for
monkey faces. In Experiment 2, participants were asked to judge whether the lower
halves of the two faces successively presented were the same or different. A
composite-face effect was found for monkey faces as well as for Asian faces and
Caucasian faces. Collectively, these results reveal that own-species (i.e., own
race and other-race) faces engage holistic processing in both upper and lower
halves of the face, but other-species (i.e., monkey) faces engage holistic
processing only when participants are asked to match the lower halves of the
face. The findings are discussed in the context of a region-based holistic
processing account for the species-specific effect in face recognition.
PMID- 29653137
TI - Hyperactivity and memory/learning deficits evoked by developmental exposure to
nicotine and/or ethanol are mitigated by cAMP and cGMP signaling cascades
activation.
AB - Pregnant smoking women are frequently episodic drinkers. Here, we investigated
whether ethanol exposure restricted to the brain growth spurt period when
combined with chronic developmental exposure to nicotine aggravates
memory/learning deficits and hyperactivity, and associated cAMP and cGMP
signaling disruption. To further investigate the role of these signaling
cascades, we verified whether vinpocetine (a phosphodiesterase inhibitor)
ameliorates the neurochemical and behavioral outcomes. Swiss mice had free access
to nicotine (NIC, 50 MUg/ml) or water to drink during gestation and until the 8th
postnatal day (PN8). Ethanol (ETOH, 5 g/kg, i.p.) or saline were injected in the
pups every other day from PN2 to PN8. At PN30, animals either received
vinpocetine (20 mg/kg, i.p.) or vehicle before being tested in the step-down
passive avoidance or open field. Memory/learning was impaired in NIC, ETOH and
NIC + ETOH mice, and vinpocetine mitigated ETOH- and NIC + ETOH-induced deficits.
Locomotor hyperactivity identified in ETOH and NIC + ETOH mice was ameliorated by
vinpocetine. While cyclic nucleotides levels in cerebral cortex and hippocampus
were reduced by NIC, ETOH and NIC + ETOH, this outcome was more consistent in the
latter group. As observed for behavior, vinpocetine normalized NIC + ETOH
nucleotides levels. pCREB levels were also increased in response to vinpocetine,
with stronger effects in the NIC + ETOH group. Exposure to both drugs of abuse
worsens behavioral and neurochemical disruption. These findings and the
amelioration of deleterious effects by vinpocetine support the idea that cAMP and
cGMP signaling contribute to nicotine- and ethanol-induced hyperactivity and
memory/learning deficits.
PMID- 29653138
TI - Neuroprotective action of 4-Hydroxyisophthalic acid against paraquat-induced
motor impairment involves amelioration of mitochondrial damage and
neurodegeneration in Drosophila.
AB - Neurodegenerative disorders including Parkinson's disease (PD) are believed to be
caused by oxidative stress and mitochondrial dysfunction. Exposure to
environmental agents such as pesticides has been implicated in the etiology of
sporadic PD. Paraquat (PQ), a widely used herbicide, induces PD symptoms in
laboratory animals including Drosophila. PQ acts as a free radical generator and
induces oxidative damage, which is implicated in neuronal cell death. Drosophila
model of PQ-induced PD offers a convenient tool for mechanistic studies and, to
assess the neuroprotective potential of natural antioxidants. We have
investigated the neuroprotective potential of 4-Hydroxyisophthalic acid (DHA-I),
a novel bioactive molecule from the roots of Decalepis hamiltonii, against PQ
induced locomotor impairment and neurodegeneration in Drosophila melanogaster.
Our study shows that PQ treatment results in movement disorder associated with
oxidative stress-mediated mitochondrial damage and neurodegeneration in the brain
as evident by ultrastructural observations. Treatment with DHA-I markedly
attenuated locomotor deficits, oxidative stress, mitochondrial damage, and
neurodegenerative changes induced by PQ in Drosophila. Our results show that DHA
I could be a promising natural antioxidant and a neuroprotective molecule
targeting oxidative stress-mediated mitochondrial dysfunction with therapeutic
potential for neurodegenerative disorders.
PMID- 29653139
TI - Influence of zinc oxide quantum dots in the antibacterial activity and
cytotoxicity of an experimental adhesive resin.
AB - OBJECTIVE: To evaluate the influence of zinc oxide quantum dots (ZnOQDs) into an
experimental adhesive resin regarding the antibacterial activity against
Streptococcus mutans and the cytotoxicity against pulp fibroblasts. MATERIALS AND
METHODS: ZnOQDs were synthesized by sol-gel process and were incorporated into 2
hydroxyethyl methacrylate (HEMA). An experimental adhesive resin was formulated
by mixing 66.6 wt.% bisphenol A glycol dimethacrylate (BisGMA) and 33.3 wt.% HEMA
with a photoinitiator system as control group. HEMA containing ZnOQDs was used
for test group formulation. For the antibacterial activity assay, a direct
contact inhibition evaluation was performed with biofilm of Streptococcus mutans
(NCTC 10449). The cytotoxicity assay was performed by Sulforhodamine B (SRB)
colorimetric assay for cell density determination using pulp fibroblasts. Data
were analyzed by Student's t-test (alpha = 0.05). RESULTS: The antibacterial
activity assay indicated statistically significant difference between the groups
(p = 0.003), with higher values of biofilm formation on the polymerized samples
of control group and a reduction of more than 50% of biofilm formation on ZnOQDs
group. No difference of pulp fibroblasts viability was found between the
adhesives (p = 0.482). CONCLUSION: ZnOQDs provided antibacterial activity when
doped into an experimental adhesive resin without cytotoxic effect for pulp
fibroblasts. Thus, the use of ZnOQDs is a strategy to develop antibiofilm
restorative polymers with non-agglomerated nanofillers. CLINICAL SIGNIFICANCE:
ZnOQDs are non-agglomerated nanoscale fillers for dental resins and may be a
strategy to reduce biofilm formation at dentin/restoration interface with no
cytotoxicity for pulp fibroblasts.
PMID- 29653140
TI - In vitro mechanical stimulation facilitates stress dissipation and sealing
ability at the conventional glass ionomer cement-dentin interface.
AB - OBJECTIVE: The aim of this study was to evaluate the induced changes in the
chemical and mechanical performance at the glass-ionomer cement-dentin interface
after mechanical load application. METHODS: A conventional glass-ionomer cement
(GIC) (Ketac Bond), and a resin-modified glass-ionomer cement (RMGIC) (Vitrebond
Plus) were used. Bonded interfaces were stored in simulated body fluid, and then
tested or submitted to the mechanical loading challenge. Different loading
waveforms were applied: No cycling, 24 h cycled in sine or loaded in sustained
hold waveforms. The cement-dentin interface was evaluated using a nano-dynamic
mechanical analysis, estimating the complex modulus and tan delta. Atomic Force
Microscopy (AFM) imaging, Raman analysis and dye assisted confocal microscopy
evaluation (CLSM) were also performed. RESULTS: The complex modulus was lower and
tan delta was higher at interfaces promoted with the GIC if compared to the RMGIC
unloaded. The conventional GIC attained evident reduction of nanoleakage.
Mechanical loading favored remineralization and promoted higher complex modulus
and lower tan delta values at interfaces with RMGIC, where porosity,
micropermeability and nanoleakage were more abundant. CONCLUSIONS: Mechanical
stimuli diminished the resistance to deformation and increased the stored energy
at the GIC-dentin interface. The conventional GIC induced less porosity and
nanoleakage than RMGIC. The RMGIC increased nanoleakage at the porous interface,
and dye sorption appeared within the cement. Both cements created amorphous and
crystalline apatites at the interface depending on the type of mechanical
loading. CLINICAL SIGNIFICANCE: Remineralization, lower stress concentration and
resistance to deformation after mechanical loading improved the sealing of the
GIC-dentin interface. In vitro oral function will favor high levels of
accumulated energy and permits micropermeability at the RMGIC-dentin interface
which will become remineralized.
PMID- 29653141
TI - Dual mechanism of type VII collagen transfer by bone marrow mesenchymal stem cell
extracellular vesicles to recessive dystrophic epidermolysis bullosa fibroblasts.
AB - Recessive dystrophic epidermolysis bullosa (RDEB) is a severe blistering disease
resulting from a lack of type VII collagen production. Recent clinical trials
have shown efficacy of bone marrow-derived mesenchymal stem cells (BM-MSCs) in
the treatment of epidermolysis bullosa, including improved basement membrane
restructuring and cutaneous wound healing. The mechanism as to how type VII
collagen is transferred from donor stem cell to recipient RDEB cells has not been
defined. Here, we submit the model that BM-MSC-derived extracellular vesicles
serve at least two roles: 1) to help transport type VII collagen within the
extracellular space; and 2) to feed RDEB fibroblasts with messenger RNA that
codes for type VII collagen, resulting in COL7A1 translation and synthesis of
type VII collagen alpha chain proteins by RDEB fibroblasts. Utilizing a
chemoselective ligation detection method, we found RDEB cells that were treated
simultaneously with BM-MSC EVs and an l-methionine analog, l-homopropargylglycine
(HPG), synthesized collagen VII alpha chain protein that contained the alkyne
group of HPG to react (i.e. undergo the Click-iT(r) reaction) with azide-modified
Alexa 594, suggesting de novo synthesis of type VII collagen by RDEB fibroblasts.
Thus, our results support a model in which BM-MSC EVs help increase type VII
collagen levels available to recipient cells by 1) donating BM-MSC type VII
collagen protein and 2) inducing RDEB fibroblasts to make their own type VII
collagen protein. These findings allow us to hypothesize that the secretome of BM
MSCs could have therapeutic value in the treatment of RDEB-related skin
disorders.
PMID- 29653142
TI - Characterization of a conjunctival melanoma cell line CM-AS16, newly-established
from a metastatic Han Chinese patient.
AB - Conjunctival melanoma (CM) is associated with metastases formation, can be fatal,
and occurs in all different races. While cell lines are essential for
experimental research, all available CM cell lines are derived from Caucasian
patients. Furthermore, they are not derived from metastases. We aimed to
establish a new CM cell line from a parotid metastasis in a Han Chinese patient
and to depict its characteristics. The novel cell line, CM-AS16, was obtained
from a surgical parotid sample and determined as a unique one with short tandem
repeat (STR) analysis. It has been successively sub-cultured in vitro for more
than 100 passages and exhibits rapid proliferation and migration. Chromosome
analysis shows abundant chromosome aberrations, while whole exome sequencing
(WES) reveals a typical NRAS mutation (Q61R). In vivo tumor growth was
successfully established in a NOD/SCID mice model, and the immunophenotypes, such
as HMB45, Melan A, S100, SOX10 and Ki67, manifested similar between the original
tumor and the xenograft by immunohistochemistry. A MEK inhibitor binimetinib
prominently suppressed in vitro cell growth by inhibiting ERK1/2 phosphorylation.
In addition, monoclonal cells were used to demonstrate the drug sensitivity of
different cells. In conclusion, the first cell line, CM-AS16, that is derived
from a CM in a Han Chinese patient has highly malignant characteristics and a
typical NRAS mutation. It may be used as a tool for further exploration of the
molecular mechanisms of CM.
PMID- 29653143
TI - Phenotypic characterization of the SIRC (Statens Seruminstitut Rabbit Cornea)
cell line reveals a mixed epithelial and fibroblastic nature.
AB - The aim of the present study was to investigate, in the Statens Seruminstitut
Rabbit Cornea (SIRC) cell line, the presence of epithelial and fibroblastic
markers, comparing their levels with those of the human Retinal Pigmented
Epithelial (ARPE-19) cell line, and the Human Keratocyte (HK) cell line,
respectively. SIRC cells, often described as of epithelial origin, are used as a
corneal epithelial barrier model to study the permeability of ophthalmic drugs.
However, they show a morphology that is more consistent with a fibroblastic cell
phenotype, similar to corneal keratocytes. Our comparative analyses of cell type
specific markers demonstrated that SIRC do not express cytokeratins 19 and 16
(typical of ARPE-19) and cytokeratin 9 (typical of HK); they do express
cytokeratins 3 and 18 common to all three cell lines, and cytokeratin 12 typical
of ARPE-19. Tight junction proteins were absent in HK, and lower in SIRC than in
ARPE-19. All cell lines expressed the markers lumican and vimentin, with SIRC
expressing intermediate levels between HK and ARPE-19; alpha-SMA was highly
expressed in all lines. These markers, considered typical of fibroblasts, can be,
however, expressed by epithelial cells during wound healing. These results might
suggest that long-term in vitro cultivation of cell lines leads to a derangement
of their specific phenotype, most likely due to genetic and epigenetic factors.
This could be the reason why SIRC cells came to exhibit a hybrid nature between
epithelial and fibroblastic cells.
PMID- 29653144
TI - An Infiltrating Pancreatic Mass.
PMID- 29653145
TI - Development of Endoscopy- Gastroenterology Diamond Jubilee Review.
PMID- 29653146
TI - The Answer Is in the Ampulla.
PMID- 29653148
TI - Advances in Endoscopic Therapy.
PMID- 29653147
TI - Artificial Intelligence-Assisted Polyp Detection for Colonoscopy: Initial
Experience.
PMID- 29653149
TI - A Case of Constipation That Passed From Father to Son.
PMID- 29653150
TI - Validation of reference genes for quantitative gene expression analysis in
Ralstonia pseudosolanacearum CQPS-1 under environment stress.
AB - Quantitative real-time reverse transcriptase PCR (qRT-PCR) has become the method
choice for quantification of gene expression changes, however, the accuracy of
the method depends on the stability of reference genes. Ralstonia
pseudosolanacearum (R. pseudosolanacearum) is an important plant pathogen,
infecting >450 plant species and causing bacterial wilt. In order to identify
stable reference genes in R. pseudosolanacearum CQPS-1 under different
environment stresses. We used five tools (?Ct method, GeNorm, NormFinder,
BestKeeper, and RefFinder) to evaluate the stability of seven candidate reference
genes including phosphoglycerate kinase (PGK), glyceraldehyde-3-phosphate
dehydrogenase (GAPDH), 16S ribosomal RNA (16S), cell division protein ftsZ
(ftsZ), DNA gyrase subunit A (gyrA), Ribosomal protein L13 (rplM), and
phosphoserine aminotransferase (serC) under biotic (growth phases) and abiotic
stress (temperature, hydroxycoumarins, nutrition). Overall, gyrA and serC were
the most stable genes under different growth phases, while serC, gyrA and ftsZ
during temperature stress, gyrA, ftsZ and 16S under hydroxycoumarins stress, and
serC and 16S under nutrition stress conditions. This study provides useful
resources for normalizing expression changes of target genes in R.
pseudosolanacearum subjected to environment stress.
PMID- 29653151
TI - Selective decline in the prevalence of slowly adapting type I mechanoreceptors
during development.
AB - Merkel nerve endings are identified physiologically as slowly adapting type I
mechanoreceptor units. They are important for fine acuity tactile perception. We
examined the effect of age on the electrophysiological availability of different
types of slowly adapting mechanoreceptor units. Using 6-50 week old rats, we
observed an obvious decline with age in the probability of recording from St I
units of the deep vibrissal nerve. The precipitous decline occurred between ages
6-14 weeks and then stabilized. By contrast, the prevalence of St II units, the
other type of slowly adapting mechanoreceptor, remained constant over the age
range studied. These observations correlate with anatomical findings reported
elsewhere.
PMID- 29653152
TI - Low-dose Norfloxacin-treated leptospires induce less IL-1beta release in J774A.1
cells following discrepant leptospiral gene expression.
AB - Currently, accumulating evidence is challenging subtherapeutic therapy. Low-dose
Norfloxacin (Nor) has been reported to suppress the immune response and worsen
leptospirosis. In this study, we investigated the influence of low-dose Nor (0.03
MUg/ml, 0.06 MUg/ml, 0.125 MUg/ml) on leptospiral gene expression and analyzed
the immunomodulatory effects of low-dose Nor-treated leptospires in J774A.1
cells. To study the expression profiles of low-dose Nor-treated leptospires, we
chose LipL71/LipL21 as reference genes determined by the geNorm applet in this
experiment. The results showed that low-dose Nor up-regulated the expression of
FlaB and inhibited the expression of 16S rRNA, LipL32, LipL41, Loa22, KdpA, and
KdpB compared with the untreated leptospires. These results indicated that low
dose Nor could regulate leptospiral gene expression. Using RT-PCR, the gene
expression of IL-1beta and TNF-alpha in J774A.1 cells was detected. Nor-treated
leptospires induced higher expression levels of both IL-1beta and TNF-alpha.
However, when analyzed by ELISA, the release of mature IL-1beta was reduced
compared with that observed in cells induced with no Nor-treated leptospires,
although the TNF-alpha protein level showed no significant change. Our study
indicated that the gene expression of leptospires could be modulated by low-dose
Nor, which induced less IL-1beta release in J774A.1 cells.
PMID- 29653153
TI - Unmanned aerial vehicles (drones) to prevent drowning.
AB - BACKGROUND: Drowning literature have highlighted the submersion time as the most
powerful predictor in assessing the prognosis. Reducing the time taken to provide
a flotation device and prevent submersion appears of paramount importance.
Unmanned aerial vehicles (UAVs) can provide the location of the swimmer and a
flotation device. OBJECTIVE: The objective of this simulation study was to
evaluate the efficiency of a UAV in providing a flotation device in different sea
conditions, and to compare the times taken by rescue operations with and without
a UAV (standard vs UAV intervention). Several comparisons were made using
professional lifeguards acting as simulated victims. A specifically-shaped UAV
was used to allow us to drop an inflatable life buoy into the water. RESULTS:
During the summer of 2017, 28 tests were performed. UAV use was associated with a
reduction of time it took to provide a flotation device to the simulated victim
compared with standard rescue operations (p < 0.001 for all measurements) and the
time was reduced even further in moderate (81 +/- 39 vs 179 +/- 78 s; p < 0.001)
and rough sea conditions (99 +/- 34 vs 198 +/- 130 s; p < 0.001). The times taken
for UAV to locate the simulated victim, identify them and drop the life buoy were
not altered by the weather conditions. CONCLUSION: UAV can deliver a flotation
device to a swimmer safely and quickly. The addition of a UAV in rescue
operations could improve the quality and speed of first aid while keeping
lifeguards away from dangerous sea conditions.
PMID- 29653154
TI - Association between intra- and post-arrest hyperoxia on mortality in adults with
cardiac arrest: A systematic review and meta-analysis.
AB - OBJECTIVES: The association between intra-arrest and post-arrest hyperoxia and
mortality in adults with cardiac arrest (CA) is widely debated. We therefore
conducted a systematic review and meta-analysis to investigate the association
between intra-arrest and post-arrest hyperoxia and mortality in adults with CA.
METHODS: We systematically searched MEDLINE and Cochrane databases to identify
observational studies from January 2008 to December 2017 investigating the
relationship between hyperoxia (either intra-arrest or post-arrest) and mortality
in adults with CA. RESULTS: We included 16 observational studies with a total of
40,573 adult patients. Six studies included patients only with out-of-hospital CA
(OHCA), 2 studies included patients only with in-hospital CA (IHCA), and 8
studies included patients with both OHCA and IHCA. Two studies assessed intra
arrest hyperoxia while 14 studies examined post-arrest hyperoxia. Of the 10
studies included for quantitative analysis, intra-arrest hyperoxia was associated
with a significantly lower mortality rate [odds ratio (OR) 0.25, 95% confidence
interval (CI) 0.12-0.53, p < 0.001] while post-arrest hyperoxia was associated
with higher mortality (OR 1.34, 95%CI 1.08-1.67, p = 0.008). CONCLUSIONS: In
adults with CA, intra-arrest hyperoxia is associated with lower mortality while
post-arrest hyperoxia is associated with higher mortality.
PMID- 29653155
TI - Chemodiversity of Cirsium fruits: Antiproliferative lignans, neolignans and
sesquineolignans as chemotaxonomic markers.
AB - While analyzing the fruit composition of nine European Cirsium species
representing three sections (i.e., Cephalonoplos, Chamaeleon and Eriolepis), four
lignans, three neolignans and three sesquineolignans were determined and used as
chemotaxonomic markers. Among them, desmethyl balanophonin and desmethyl
picrasmalignan were determined for the first time in the plant kingdom, as the
main metabolites of the Chamaeleon section. Prebalanophonin and
prepicrasmalignan, identified so far exclusively in C. eriophorum, were also
confirmed in C. boujartii and C. vulgare, highlighting the chemotaxonomic
significance of these compounds in the Eriolepis section. The antiproliferative
assay of the compounds isolated from their optimum sources, confirmed a dose
dependent inhibitory effect of the structures bearing the 4',7-epoxy moiety
(balanophonin, picrasmalignan, desmethyl balanophonin, desmethyl picrasmalignan)
against SW480 colon cancer cells, while those bearing the 4',7-dihydroxy motif
(prebalanophonin, prepicrasmalignan) were inactive.
PMID- 29653156
TI - Oestrous phase cyclicity influences judgment biasing in rats.
AB - The identification of cognitive bias has become an important measure of animal
welfare. Negative cognitive biases develop from a tendency for animals to process
novel information pessimistically. Judgment-bias testing is the commonplace
methodology to detect cognitive biases. However, concerns with these methods have
been frequently-reported; one of which being the discrepancy between male and
female cognitive expression. The current study assessed the factors of social
status and oestrus, to investigate whether oestrous cycle rotation, or
subordination stress encouraged an increase in pessimistic responses. Female
Sprague-Dawley rats (n = 24) were trained on an active-choice judgment bias
paradigm. Responses to the ambiguous probe were recorded as optimistic or
pessimistic. Oestrous phase was determined by assessing vaginal cytology in
stained vaginal cell smears. Rats in the dioestrous phase and those rats
considered to be subordinate demonstrated an increased percentage of pessimistic
responses. However, no interaction between these factors was observed. This
suggests that oestrous cyclicity can influence the judgment biases of female
animals; a previously unreported finding. On this basis, researchers should be
encouraged to account for both oestrous phase cyclicity and social status as an
additional fixed effect in study design.
PMID- 29653157
TI - Do depressive symptoms affect balance in older adults with mild cognitive
impairment? Results from the "gait and brain study".
AB - BACKGROUND: Mild cognitive impairment (MCI) and depression independently affect
balance control in older adults. However, it is uncertain whether depressive
symptoms would amplify balance problems in older adults with MCI. AIM: To
evaluate if the presence of significant depressive symptoms affects postural sway
under somatosensory challenges in a MCI population. METHODS: Eighty two
participants (mean of 75.3 +/- 6.4 years of age; 46% women) with MCI completed
cognitive and balance assessments. Participants were grouped by severity of
depressive symptoms using the Geriatric Depression Scale-15, as MCI with
depressive symptoms (MCI-D = 14, score >= 5) and MCI without depressive symptoms
(MCI = 68, score < 5). Postural sway area was evaluated during eyes open (EO) and
eyes closed (EC) while standing on a rigid flat force plate platform, and
compared across groups. Analyses were controlled for age, sex, comorbidities,
anti-depressant medication use, executive function, and baseline sway. RESULTS:
MCI-D showed larger postural sway area when compared with MCI irrespective of
visual feedback conditions (p = 0.03). This difference remained significant after
adjusting for anti-depressant use and executive function performance. The lack of
interaction between groups and visual condition was associated with group
differences in postural sway during EO condition (Beta = 0.08, CI -257.5-621.9; p
= 0.41) and by comparable sway increase from EO to EC in both groups. CONCLUSION:
Depressive symptoms in individuals with MCI worsened postural stability during
both eyes open and eyes closed conditions independently of cognitive function.
Significant depressive symptoms may affect balance in MCI populations,
potentially increasing the risk of falls.
PMID- 29653159
TI - Phosphorylation-induced changes in the energetic frustration in human Tank
binding kinase 1.
AB - Tank binding kinase 1 (TBK-1) plays an important role in immunity, inflammation,
autophagy, cell growth and proliferation. Nevertheless, a key molecular and
structural detail of TBK-1 phosphorylation and activation has been largely
unknown. Here we investigated the energy landscape of phosphorylated (active) and
unphosphorylated (inactive) forms of human TBK-1 to characterize the interplay
between phosphorylation and local frustration. By employing the algorithm
equipped with energy function and implemented in Frustratometer web-server
(http://www.frustratometer.tk), we quantify the role of frustration in the
activation of TBK-1. Accordingly, the conformational changes were observed in
phosphoregulated active and inactive TBK-1. Substantial changes in frustration,
flexibility and interatomic motions were observed among different forms of TBK-1.
Structurally rigid kinase domain constitutes a minimally frustrated hub in the
core of the catalytic domain, and highly frustrated clusters mainly at the C-lobe
might enable the conformational transitions during activation. Also, a large
network of highly frustrated interactions is found in the SDD domain of TBK-1
involved in protein-protein interactions and dimerization. The contact maps of
the activation loop and alpha-C helix of kinase domain showed significant changes
upon phosphorylation. Cross correlation analysis indicate that both intra and
inter subunit correlated motions increases with phosphorylation of TBK-1.
Phosphorylation thus introduces subtle changes in long-range contacts that might
lead to significant conformational change of TBK-1.
PMID- 29653158
TI - Mice deficient in AKAP13 (BRX) develop compulsive-like behavior and increased
body weight.
AB - OBJECTIVE: Hormonal contributions to the sex-dependent development of both
obsessive-compulsive disorder (OCD) and obesity have been described, but the
underlying mechanisms are incompletely understood. A-kinase anchoring protein 13
(AKAP13) significantly augments ligand-dependent activation of estrogen receptors
alpha and beta. The hypothalamus and pituitary gland are implicated in the
development and exacerbation of OCD and obesity and have strong AKAP13
expression. The AKAP13 localization pattern observed in these key brain regions
together with its effects on sex steroid action suggest a potential role for
AKAP13 in compulsive-like behaviors. Here we tested the role of AKAP13 in
compulsive-like behavior and body weight using an Akap13 haploinsufficient murine
model. MATERIALS AND METHODS: Targeted deletion of the Akap13 gene generated
haploinsufficient (Akap13+/-) mice in a C57BL6/J genetic background. Established
behavioral assays were conducted, video recorded, and scored blindly to assess
compulsive-like behavior based on genotype and gender. Tests included: marble
burying, grooming, open- field and elevated plus-maze. Brain and body weights
were also obtained. Mean levels of test outcomes were compared using multi-way
ANOVA to test for genotype, sex, genotype*sex, and genotype*sex*age interaction
effects with Bonferroni adjustment for multiple comparisons, to further explain
any significant interactions. RESULTS: The marble-burying and grooming assays
revealed significant sex-dependent increases in perseverative, compulsive-like
behaviors in female Akap13 haploinsufficient mice compared to female wild type
(WT) mice by demonstrating increased marble-burying activity (p = .0025) and a
trend towards increased grooming behavior (p = .06). Male Akap13
haploinsufficient mice exhibited no behavioral changes (p > 0.05). Elevated plus
maze and open-field test results showed no overt anxiety-like behavior in Akap13
haploinsufficient mice irrespective of sex (p > 0.05, both). No differences in
brain weight were found in Akap13 haploinsufficient mice compared to WT mice (p >
0.05). However, female Akap13 haploinsufficient mice weighed more than female WT
mice in the 4 to <7 months age range (p = .0051). Male Akap13 haploinsufficient
mice showed no differences in weight compared to male WT mice (p = >0.05) at any
age range examined. CONCLUSION: Akap13 haploinsufficiency led to sex-dependent,
compulsive-like behavioral changes in a murine model. Interestingly, Akap13
haploinsufficiency also led to a sex-dependent increase in body weight. These
results revealed a requirement for AKAP13 in murine behavior, particularly in
female mice, and is the first report of AKAP13 involvement in murine behavior.
Future studies may examine the involvement of AKAP13 in the pathophysiology of
OCD in female humans and may contribute to a better understanding of the role of
AKAP13 and sex hormones in the development and exacerbation of OCD.
PMID- 29653160
TI - A computational model for the joint onset and development.
AB - Joints connect the skeletal components and enable movement. The appearance and
development of articulations is due to different genetic, biochemical, and
mechanical factors. In the embryonic stage, controlled biochemical processes are
critical for organized growth. We developed a computational model, which predicts
the appearance, location, and development of joints in the embryonic stage.
Biochemical events are modeled with reaction diffusion equations with generic
molecules representing molecules that 1) determine the site where the
articulation will appear, 2) promote proliferation, and matrix synthesis, and 3)
define articular cartilage. Our model accounts for cell differentiation from
mesenchymal cells to pre-cartilaginous cells, then cartilaginous cells, and
lastly articular cartilage. These reaction-diffusion equations were solved using
the finite elements method. From a mesenchymal 'bud' of a phalanx, the model
predicts growth, joint cleavage, joint morphology, and articular cartilage
formation. Our prediction of the gene expression during development agrees with
molecular expression profiles of joint development reported in literature. Our
computational model suggests that initial rudiment dimensions affect diffusion
profiles result in Turing patterns that dictate sites of cleavage thereby
determining the number of joints in a rudiment.
PMID- 29653161
TI - Is Routine Renal Tumor Biopsy Associated with Lower Rates of Benign Histology
following Nephrectomy for Small Renal Masses?
AB - PURPOSE: Renal tumor biopsies have been proposed as a management alternative to
avoid treatment of benign or low risk small renal masses. However, many
urologists are reluctant to recommend renal tumor biopsy because they feel its
result frequently will not impact management. Our primary objective was to
evaluate if centers that routinely favor renal tumor biopsy have lower rates of
benign histology after surgery than centers where a selective renal tumor biopsy
approach is used. MATERIALS AND METHODS: This was a retrospective multicenter
study of patients who underwent partial or radical nephrectomy for a lesion
suspicious for localized renal cell carcinoma which measured 4 cm or less (cT1a
and pT1a or pT3a) between 2013 and 2015. A logistic regression model was used to
examine whether the odds of obtaining a benign tumor following surgery differed
between centers that routinely favor renal tumor biopsy and centers where a
selective renal tumor biopsy approach is used. RESULTS: A total of 542 small
renal masses in 516 patients were included in study. The rate of histologically
benign tumors after surgery was 11%. This rate was significantly lower at centers
that routinely favor renal tumor biopsy than at centers where a selective renal
tumor biopsy approach is used (5% vs 16%, p <0.001). On multivariable analysis
older age, smaller tumors and centers where a selective renal tumor biopsy
approach is used were significantly associated with greater odds of finding a
histologically benign tumor postoperatively. Compared to centers that routinely
favor renal tumor biopsy the odds of finding a benign tumor at surgery was 4
times more likely at centers where a selective renal tumor biopsy approach is
used (OR 4.1, 95% CI 1.9-8.3). CONCLUSIONS: Routine renal tumor biopsy reduces
surgery for benign tumors and the potential for short-term and long-term
morbidity associated with these procedures. This study suggests that routine
renal tumor biopsy may be a valuable tool to decrease overtreatment of small
renal masses.
PMID- 29653162
TI - Inconsistency in the Definition of Urinary Tract Infection after Intravesical
Botulinum Toxin A Injection: A Systematic Review.
AB - PURPOSE: To more accurately examine the rate of urinary tract infection following
onabotulinumtoxinA injection of the bladder we systematically reviewed the
literature for definitions of urinary tract infection in studies of
onabotulinumtoxinA injections. We assessed the studies for consistency with
guideline statements defining urinary tract infections. MATERIALS AND METHODS: We
systematically reviewed the literature by querying MEDLINE(r) and Embase(r). We
included original studies on adult patients with idiopathic overactive bladder
and/or neurogenic detrusor overactivity who underwent cystoscopy with
onabotulinumtoxinA injection and in whom urinary tract infection was a reported
outcome. RESULTS: We identified 299 publications, of which 50 met study inclusion
criteria. In 27 studies (54%) urinary tract infection diagnostic criteria were
defined with a total of 10 definitions among these studies. None of the
overactive bladder studies used a definition which met the EAU (European
Association of Urology) criteria for urinary tract infection. Only 2 of the 10
studies on patients with neurogenic bladder used a urinary tract infection
definition consistent with the NIDRR (National Institute on Disability and
Rehabilitation Research) standards. CONCLUSIONS: Definitions of urinary tract
infection are heterogeneous and frequently absent in the literature on
onabotulinumtoxinA to treat overactive bladder and/or neurogenic bladder. Given
the potential for post-procedure urinary symptoms in this setting, explicit
criteria are imperative to establish the true urinary tract infection rate
following treatment with onabotulinumtoxinA.
PMID- 29653164
TI - Authors' Reply.
PMID- 29653163
TI - Epstein-Barr Virus as a Potential Etiology of Persistent Bladder Inflammation in
Human Interstitial Cystitis/Bladder Pain Syndrome.
AB - PURPOSE: Interstitial cystitis/bladder pain syndrome is characterized by bladder
inflammation without bacterial infection. Although viral infection is a potential
etiological cause, few studies have been reported. MATERIALS AND METHODS: Bladder
specimens were obtained from patients with interstitial cystitis/bladder pain
syndrome and from patients with stress urinary incontinence as controls. Bladder
specimens were tested for Epstein-Barr encoded RNAs by in situ hybridization and
for Epstein-Barr DNA by quantitative real-time polymerase chain reaction,
serology and immunohistochemical staining. RESULTS: Enrolled in study were 16
patients with interstitial cystitis/bladder pain syndrome and Hunner lesions, 23
without interstitial cystitis/bladder pain syndrome or Hunner lesions and 10
controls. The positive rate of Epstein-Barr encoded RNA on in situ hybridization
in bladder specimens from patients with vs without interstitial cystitis/bladder
pain syndrome and Hunner lesions was 50% vs 8.6%. No Epstein-Barr encoded RNA was
found in control specimens. On quantitative real-time polymerase chain reaction
Epstein-Barr DNA was detected in 68.8% vs 16.7% of bladder specimens in patients
with vs without interstitial cystitis/bladder pain syndrome and Hunner lesions.
The median viral load was 1,836 copies per ml (range 216 to 75,144). Only 1
control specimen was Epstein-Barr positive on quantitative real-time polymerase
chain reaction. All serum samples from patients with interstitial
cystitis/bladder pain syndrome showed past Epstein-Barr viral infection. Epstein
Barr infection was present in 87.5% vs 17.4% of bladder specimens from patients
with vs without interstitial cystitis/bladder pain syndrome and Hunner lesions
for a total of 46.2% with interstitial cystitis/bladder pain syndrome.
Immunohistochemical staining of CD3 and CD20 revealed that Epstein-Barr infection
was mainly restricted to T lymphocytes in bladders showing interstitial
cystitis/bladder pain syndrome. CONCLUSIONS: Bladder Epstein-Barr infection in T
cells may be linked to the pathogenesis of persistent inflammation in patients
with interstitial cystitis/bladder pain syndrome.
PMID- 29653165
TI - Comment on: Cancer Pain With a Neuropathic Component: A Cross-sectional Study of
Its Clinical Characteristics, Associated Psychological Distress, Treatments, and
Predictors at Referral to a Cancer Pain Clinic.
PMID- 29653166
TI - Adverse Events Caused by Aspiration Implemented for Death Rattle in Patients in
the Terminal Stage of Cancer: A Retrospective Observational Study.
PMID- 29653167
TI - Adnexal Torsion.
AB - Adnexal torsion is an uncommon gynecologic disorder caused by the partial or
complete rotation of the ovary and/or the fallopian tube on its vascular support.
Delay in treatment can impact fertility adversely. The objective of this report
is to provide clinical recommendations based on the latest evidence. Specifically
we discuss epidemiology, clinical presentation, diagnostic approach and
management of adnexal torsion in adolescents.
PMID- 29653168
TI - Purification, partial characterization and inducing tumor cell apoptosis activity
of a polysaccharide from Ganoderma applanatum.
AB - In this study, a homogeneous polysaccharide (designated as GAP-3S) with an
average molecular weight of 6.82*105 Da was purified from Ganoderma applanatum.
GAP-3S was composed of glucose, galactose, fucose and xylose in the molar ratio
of 7.1:2.6:1.1:1. It could significantly inhibit the proliferation of MCF-7 cells
in a dose- and time-dependent manner. Flow cytometry analysis indicated that GAP
3S could also induce cell apoptosis. Treatment of MCF-7 cells with GAP-3S lead to
the collapse of mitochondrial membrane potential and the accumulation of ROS, up
regulated expression of Bax, cleavage of PARP, p-p38 and p-JNK, and the down
regulated expression of Bcl-2, PARP and p-ERK. The activities of caspase-3 and
caspase-9 were also increased in GAP-3S-treated MCF-7 cells compared to untreated
cells. These findings suggested that GAP-3S probably induced apoptosis in MCF-7
cells through intrinsic mitochondrial apoptosis and MAPK signaling pathways.
PMID- 29653169
TI - Nanoencapsulation of lemon essential oil in Chitosan-Hicap system. Part 1: Study
on its physical and structural characteristics.
AB - Lemon essential oils (LEOs) as a bioactive compound with health beneficial
potential are used as safe additives in foods, medicine and nutritional
supplements. However, it is a chemical compound which is sensitive to light,
thermal condition and oxidation. To overcome these challenge encapsulation could
be an adequate technique to protect them from degradation and evaporation. In
this study, nanocapsules based on chitosan (CS) and modified starch (Hicap) with
LEOs as an active ingredient was prepared by freeze-drying. The produced
nanocapsules were characterized by their structural and physicochemical
properties. It was found that nanocapsules produced by using CS: Hi-cap
(1.5%:8.5%) clearly showed the highest encapsulation efficiency (85.44%) and Zeta
potential value (+44.23mV). In vitro release studies demonstrated a prolonged
release of the samples with larger CS ratio. Most nanocapsules sizes ranged from
339.3 to 553.3nm. The obtained nanocapsules showed a rough surface without the
spherical shape as represented by Scanning electron microscopy images.
Differential scanning calorimetry (DSC) thermogram and Fourier transform infrared
(FTIR) spectroscopy techniques confirmed the success of LEOs encapsulation. The
desirable physicochemical properties and thermal stability specified that such
nanocapsules have promising application in delivery of LEOs in medicine and food
industries.
PMID- 29653170
TI - Ascorbic acid prevents cellular uptake and improves biocompatibility of chitosan
nanoparticles.
AB - Chitosan nanoparticles have many applications, such as gene and drug delivery,
due to their biocompatibility. Chitosan nanoparticles are currently produced by
dissolution in acetic acid that affects the biocompatibility at acidic pH. Here,
we synthesized and characterized chitosan (CS) and ascorbate chitosan (AsCS)
nanoparticles and investigated their cytotoxic effects, internalization, and
distribution in the human colon carcinoma cell line using confocal laser scanning
microscopy (CLSM). The CS and AsCS nanoparticles were spherical with average
particle sizes of 44+/-8.4nm and 87+/-13.6nm, respectively. CS nanoparticles were
taken up by the cells and showed dose-dependent cytotoxicity. By contrast, AsCS
nanoparticles were not internalized and showed no cytotoxicity. Therefore, AsCS
nanoparticles are more biocompatible than CS nanoparticles and may be more
suitable for extracellular drug delivery.
PMID- 29653171
TI - Production of biological nanoparticles from bovine serum albumin as controlled
release carrier for curcumin delivery.
AB - This study described a curcumin (CUR) loaded bovine serum albumin nanoparticles
(BSA@CUR NPs), which could solubilize the poorly water-soluble drug and increase
the therapeutic efficacy of the drug. BSA@CUR NPs were synthesized by a simple
coacervation procedure. The resultant BSA@CUR NPs showed a spherical shape, with
a diameter of 92.59+/-16.75nm (mean +/- SD) nm and a zeta-potential of - 9.19mV.
The in vitro drug release study of CUR showed a sustained and controlled release
pattern. Cellular toxicity of BSA NPs was also investigated on HFF2 cell lines.
Additionally, a hemolysis test of as prepared NPs were performed for
investigation of hemocompatibility. Hemolysis assay and cytotoxicity study
results on HFF-2 cell line show that as prepared BSA NPs are biocompatible. The
in vitro anticancer activity of the BSA@CUR NPs were performed by MTT assay on
MCF-7 cancer cells. These results suggest that BSA@CUR NPs are a new drug
delivery system for cancer therapy.
PMID- 29653172
TI - Characterization, antioxidant activity and hepatoprotective effect of purple
sweetpotato polysaccharides.
AB - In this study, three kinds of polysaccharides (named PSWP, PSAP-1 and PSAP-2)
were successively isolated from purple sweetpotato tubers by hot water, 0.5M and
2M sodium hydroxide solutions. The characterization, in vitro antioxidant
activity and in vivo hepatoprotective effect of these polysaccharides were
investigated. Results indicated that PSWP, PSAP-1 and PSAP-2 were all beta-type
polysaccharides containing different contents of uronic acid, protein and
polyphenol. Both PSWP and PSAP-1 were composed by arabinose, glucose and
galactose, whereas PSAP-2 was consisted of arabinose, rhamnose and glucose. All
the polysaccharides exhibited moderate 2,2-diphenyl-1-picrylhydrazyl (DPPH)
radical scavenging activity and reducing power. As compared with
tetrachloromethane (CCl4) treatment group, mice administrated with PSWP, PSAP-1
and PSAP-2 exhibited decreased levels of serum enzymes (alkaline phosphatase,
alanine transaminase and aspartate transaminase) and hepatic lipid peroxidation,
whereas increased levels of hepatic antioxidant enzymes (superoxide dismutase,
catalase and glutathione peroxidase) and non-enzymatic antioxidant (glutathione).
Notably, PSWP displayed stronger antioxidant activity and hepatoprotective effect
than PSAP-1 and PSAP-2. The hepatoprotective effect of PSWP was comparable to
positive standard of silymarin. Our results suggested polysaccharides from purple
sweetpotato possessed potential antioxidant activity and protective effect
against CCl4-induced acute liver damage.
PMID- 29653173
TI - Superabsorbent hydrogel based on sulfonated-starch for improving water and saline
absorbency.
AB - The agriculture sectors in many developing countries have been suffering from
water shortage and decreasing crop yields. Thus, an increasing interest has been
emerged to develop much-needed solutions for a more sustainable management of
water resources. Agricultural hydrogel that absorbs many times of its weight in
water has been used to distribute into dry regions in order to improve the soil's
ability to absorb and retain water. Here, amphoteric superabsorbent hydrogel is
prepared by indirect method based on anionic starch bearing sulfonate groups.
Anionic starch derivatives were graft-polymerized with acrylonitrile (AN) and
hydrolyzed by alkaline by which nitrile groups were converted to hydrophilic
functional groups. The hydrolyzed sodium starch sulfate-g-polyacrylonitrile (HSSS
g-PAN) copolymer exhibited improved water and saline absorbencies compared to
that of native starch-based hydrogel. The co-polymerization was conducted for
60min polymerization time, 0.75% (wt/wt) ammonium persulfate (APS) associated
with sodium bisulfite, SBS, (50% (wt/wt) of APS), 65 degrees C for polymerization
temperature and double molar ratio of acrylonitrile to the starch derivatives.
The hydrogel based on the sulfonated starch derivatives 1 and 2 showed superior
water absorbency for both distilled (250% and 190% respectively) and saline (90%
and 70%) solutions over that of the native starch.
PMID- 29653174
TI - Molecular detection of Anaplasma phagocytophilum-like Anaplasma spp. and
pathogenic A. Phagocytophilum in cattle from South Korea.
AB - Anaplasma phagocytophilum is the causative agent of human granulocytic
anaplasmosis and tick-borne fever in domestic ruminants. Differential diagnosis
of zoonotic and pathogenic tick-borne diseases like granulocytic anaplasmosis is
important for the efficient implementation of control programs. Thus, the
differentiation of pathogenic A. phagocytophilum from non-pathogenic A.
phagocytophilum-like (APL) Anaplasma spp. is essential. Recent molecular analyses
of APL revealed its distinct phylogenetic position from A. phagocytophilum. This
study was conducted to detect A. phagocytophilum and genetically related strains
in 764 cattle in South Korea using PCR and restriction fragment length
polymorphism assays. APL clade A and A. phagocytophilum were identified in 20
(2.6%) and 16 (2.1%) cattle, respectively, with 16 cattle (2.1%) displaying co
infection. The 16S rRNA sequences of APL clade A were similar (98.3-99.9%) to
those clustered in the APL clade A from eastern Asia. The A. phagocytophilum 16S
rRNA sequence shared 98.6-100% identity to those of the A. phagocytophilum group.
We used PCR to amplify the groEL and msp2 genes from the 20 samples positive for
the 16S rRNA gene and found that 16 were positive for the groEL sequences in the
APL clade A, which showed identity (82.8-84.4%) to those clustered in the APL
clade A from Japan. Amplification of msp2 was unsuccessful. The co-infection
results suggested sequence diversity in Anaplasma spp. Till date, both A.
phagocytophilum and APL have been reported to be distributed separately in
several animals throughout South Korea. This report is the first co-detection of
A. phagocytophilum and APL in Korean cattle using molecular methods. Further
studies are needed to provide additional molecular background and trace the
evolutionary tree of Anaplasma species in animals and ticks.
PMID- 29653175
TI - Phylogeny and biogeography of the pantropical genus Zanthoxylum and its closest
relatives in the proto-Rutaceae group (Rutaceae).
AB - Zanthoxylum L. (prickly ash) is the only genus in the Citrus L. family (Rutaceae)
with a pantropical distribution. We present the first detailed phylogenetic and
biogeographic study of the genus and its close relatives in the proto-Rutaceae
group. Our phylogenetic analyses based on two plastid and two nuclear markers
show that the genus Toddalia Juss. is nested within Zanthoxylum, that earlier
generic and intrageneric classifications need revision, and that the
homochlamydeous flowers of the temperate species of Zanthoxylum are the result of
a reduction from heterochlamydeous flowers. The biogeographic analyses reveal a
Eurasian origin of Zanthoxylum in the Paleocene or Eocene with successive
intercontinental or long-range migrations. Zanthoxylum likely crossed the North
Atlantic Land Bridges to colonize the Americas in the Eocene, and migrated back
to the Old World probably via the Bering Land Bridge in the Oligocene or Miocene.
Zanthoxylum also colonized several Pacific Islands and the Hawaiian clade shows
phylogenetic incongruence between the plastid and nuclear datasets, suggesting
hybridization. The Hawaiian species are one of the rare examples of endemic
Hawaiian lineages that are older than the current main islands.
PMID- 29653176
TI - Hyperactive piggyBac transposase improves transformation efficiency in diverse
insect species.
AB - Even in times of advanced site-specific genome editing tools, the improvement of
DNA transposases is still on high demand in the field of transgenesis: especially
in emerging model systems where evaluated integrase landing sites have not yet
been created and more importantly in non-model organisms such as agricultural
pests and disease vectors, in which reliable sequence information and genome
annotations are still pending. In fact, random insertional mutagenesis is
essential to identify new genomic locations that are not influenced by position
effects and thus can serve as future stable transgene integration sites. In this
respect, a hyperactive version of the most widely used piggyBac transposase
(PBase) has been engineered. The hyperactive version (hyPBase) is currently
available with the original insect codon-based coding sequence (ihyPBase) as well
as in a mammalian codon-optimized (mhyPBase) version. Both facilitate
significantly higher rates of transposition when expressed in mammalian in vitro
and in vivo systems compared to the classical PBase at similar protein levels.
Here we demonstrate that the usage of helper plasmids encoding the hyPBase -
irrespective of the codon-usage - also strikingly increases the rate of
successful germline transformation in the Mediterranean fruit fly (Medfly)
Ceratitis capitata, the red flour beetle Tribolium castaneum, and the vinegar fly
Drosophila melanogaster. hyPBase-encoding helpers are therefore highly suitable
for the generation of transgenic strains of diverse insect orders. Depending on
the species, we achieved up to 15-fold higher germline transformation rates
compared to PBase and generated hard to obtain transgenic T. castaneum strains
that express constructs affecting fitness and viability. Moreover, previously
reported high sterility rates supposedly caused by hyPBase (iPB7), encoded by
ihyPBase, could not be confirmed by our study. Therefore, we value hyPBase as an
effective genetic engineering tool that we highly recommend for insect
transgenesis.
PMID- 29653177
TI - Additive potential of combination therapy against cryptococcosis employing a
novel amphotericin B and fluconazole loaded dual delivery system.
AB - Cryptococcus neoformans is one of the most lethal fungi causing mortality across
the globe. Immuno-competent patients and patients taking immuno-suppressive
medications are extremely susceptible to its infection. For effective removal of
cryptococcal burden, there is an urgent need for new forms of therapy. In the
present study, we have explored the potential effects of amphotericin B (AMB) and
fluconazole (FLC) in combination, against cryptococcosis in Swiss albino mice. To
enhance the therapeutic potential of the tested drugs, they were entrapped into
fibrin microspheres; a dual delivery vehicle comprising of poly-lactide co
glycolide (PLGA) microsphere that was additionally encapsulated into the fibrin
cross-linked plasma bead. Dynamics of fibrin microspheres included survival and
fungal burden in lung, liver and spleen of treated mice. While each drug was
effective in combination or alone, prominent additive potential of AMB and FLC
were clearly observed when used in fibrin microsphere. Significant reduction in
fungal burden and increase in survival rate of AMB + FLC-fibrin microspheres
treated mice shows an extensive accessibility of both tested drugs without any
side-effects. A full potential of two-drug combination encapsulated in fibrin
microspheres proposes an effective approach of safe delivery to the target site
in their intact form and decrease the drug associated toxicities.
PMID- 29653178
TI - Surfactants as stabilizers for biopharmaceuticals: An insight into the molecular
mechanisms for inhibition of protein aggregation.
AB - PURPOSE: Surfactants are common stabilizers, often added to biopharmaceuticals
formulations, but the mechanisms at the basis of their activity are unclear. The
aim of this work is to provide insight into the molecular factors underlying
surfactant effectiveness as protectants. METHODS: Molecular Dynamics simulations
of human growth hormone (hGH) in the presence of Tween 20 were performed. The
effect of Tween 20 was compared with the activity of commonly used protectants,
such as the disaccharides. RESULTS: We found that Tween 20 could prevent the self
association of hGH, leading to the formation of a protein-surfactant complex. In
the case of unfolded hGH, surfactant molecules were oriented with their
hydrophilic head in the direction of the protein hydrophobic patches. This
created a highly unstable situation, fostering refolding. In the case of native
hGH, Tween 20 molecules oriented with their lipophilic groups in the direction of
the protein surface. This thermodynamically stabilized the native conformation,
preventing unfolding. CONCLUSIONS: We found that the ability of surfactants to
foster protein refolding is related to their amphiphilic nature, and, more
specifically, to their specific orientation with respect to the protein surface.
A molecular mechanism explaining surfactant activity is proposed, which could
provide direction for improvements in biopreservation.
PMID- 29653179
TI - A distributed computational model for estimating room air level of constituents
due to aerosol emission from e-vapor product use.
AB - Most indoor air quality models reported in the literature are well-mixed models.
A well-mixed model estimates the room average concentration of constituents from
sources. It does not provide information on (1) how far and how fast the emitted
chemicals travel in the indoor space? And (2) how the concentration changes as a
function of distance from the emission source? We developed a distributed model,
using computational fluid dynamics and thermodynamics principles, which allows
for aerosol dispersion in an indoor space and includes evaporation and
condensation of constituents in a multi-compound aerosol mixture. The distributed
model can estimate the spatial and temporal variations of the concentration of
individual constituents present in the emitted aerosol in vapor and particulate
phases separately. Results from the model were compared with the published
experimental data and were found to be in good agreement. A sensitivity analysis
was performed to evaluate the impact of various parameters that affect the air
level of the emitted constituents within an indoor space, including rate of
emission, the rate of air exchange, etc. The model can also be used to estimate
the level of second hand exposure in a confined space where e-vapor products
(EVPs) are used.
PMID- 29653180
TI - Development and validation of analytical methodology for the quantification of
aldehydes in e-cigarette aerosols using UHPLC-UV.
AB - Aldehydes are produced in e-cigarette aerosols, as a result of the thermal
decomposition of vegetable glycerin, propylene glycerol and flavorings in the
atomizer. These aldehydes were collected with derivatization into 2,4
dinitrophenylhydrazine using impinger trapping. A new methodology for
simultaneous quantitative analysis of aldehydes in base liquids was developed and
validated. Chromatographic separation was carried out on a Jupiter Proteo 90A
column, with the mobile phase consisting of 0.1% formic acid in water and
acetonitrile, at a flow rate of 0.4 mL/min. Linearity was demonstrated over the
range of concentrations 0.025-10 MUg/mL, with correlation of determination >=
0.999. Intra- and inter-day % relative standard deviation and relative error were
<= 10%. The lower limit of detection and quantification were 0.008 and 0.025
MUg/mL, respectively. This method was further used for the quantification of
aldehydes emitted by different base liquids. It has been shown that vegetable
glycerin produces the highest percentage of aldehydes after thermal decomposition
compared to propylene glycerol. We propose that it can be used for future e
liquids emissions studies.
PMID- 29653181
TI - ZH-1 enhances the anticancer activity of gemcitabine via deoxyribonucleotide
synthesis and apoptotic pathway against A549 cells.
AB - The purpose of this study was to investigate the inhibitory effect of ZH-1
((6S,9aS,6aR,9bR)-6-(phenylcarbonyl)-6,6a,9a,9b-tetrahydro-8H-azolidino[3,4-a]b
enzo [e]indolizine-7,9-dione) and its potential interaction with gemcitabine in
A549 cells. MTT assay showed that the combined use of gemcitabine and ZH-1
presented a significant inhibition effect on A549 cell growth with the cell
viability from 82.3 +/- 5.6% to 51.0 +/- 6.6%. The CI value was 0.60 suggesting a
synergistic effect between these two drugs. HPLC-MS/MS data indicated that
combined treatment with gemcitabine and ZH-1 induced a significant decrease in
deoxyadenosine triphosphate, deoxycytidine triphosphate, deoxyguanosine
triphosphate and deoxythymidine triphosphate levels compared with use of
gemcitabine alone. Five RNs as well as seven dRNs were considered to be
significantly contributive to the discrimination of samples. Furthermore, western
blot analysis revealed that the combination treatment caused A549 cell apoptosis
via the intrinsic pathway by up-regulating Bax/Bcl-2 ratio, activating caspase-9,
caspase-3 and poly-ADP-ribose polymerase, and promoting caspase-7, caspase-9 and
poly-ADP-ribose polymerase cleavage. Collectively, the combined treatment with
gemcitabine and ZH-1 exerted a strong synergistic action on anticancer activity
through growth inhibition, perturbations in ribonucleotides and
deoxyribonucleotides and the activation of intrinsic apoptotic signaling pathway.
PMID- 29653182
TI - Detection of biogenic amines and microbial safety assessment of novel Meju
fermented with addition of Nelumbo nucifera, Ginkgo biloba, and Allium sativum.
AB - Meju, a cooked and fermented soy bean based food product, is used as a major
ingredient in Korean traditional fermented foods such as Doenjang. We developed a
novel type of Meju using single and combined extracts of Allium sativum (garlic
clove), Nelumbo nucifera (lotus leaves), and Ginkgo biloba (ginkgo leaves) at 1%
and 10% concentrations to improve the safety of Meju-based fermented products.
Biogenic amines (BAs) in protein-rich fermented food products pose considerable
toxical risks. The objective of this study was to investigate the effects of
adding selected plant extracts in Meju samples during fermentation. Nine BAs,
including tryptamine, 2-phenylethylamine, putrescine, cadaverine, agmatine,
histamine, tyramine, spermidine and spermine, were isolated from Meju samples
after sample derivatization with dansyl chloride and analyzed by high performance
liquid chromatography. As a result, all tested Meju samples with added plant
extracts showed total BAs levels in the range of 20.12 +/- 2.03 to 118.42 +/-
10.68 mg/100 g, which were below the safety limit set by various regulatory
authorities (USFDA/KFDA/EFSA). However, among all tested Meju samples, LOM10
(Meju fermented with Nelumbo nucifera at 10% concentration) showed higher levels
of BAs content than others either due to batch-to-batch variability or reduced
beneficial microorganisms and/or due to increase in BA forming microorganisms.
Also, none of the samples showed the aflatoxin level above the detection limit.
Furthermore, all the tested Meju samples improved microbial safety as confirmed
by the complete absence of Salmonella species and Staphylococcus aureus. However,
some of the Meju samples showed the presence of coliforms (in range of 1.6 * 100
1.1 * 103 CFU/g), which is under regulatory limits. These results suggested that
the use of plant extracts in Meju during fermentation have potential to improve
microbial and toxicological safety of Meju products.
PMID- 29653183
TI - Atropa belladonna neurotoxicity: Implications to neurological disorders.
AB - Atropa belladonna, commonly known as belladonna or deadly nightshade, ranks among
one of the most poisonous plants in Europe and other parts of the world. The
plant contains tropane alkaloids including atropine, scopolamine, and
hyoscyamine, which are used as anticholinergics in Food and Drug Administration
(FDA) approved drugs and homeopathic remedies. These alkaloids can be very toxic
at high dose. The FDA has recently reported that Hyland's baby teething tablets
contain inconsistent amounts of Atropa belladonna that may have adverse effects
on the nervous system and cause death in children, thus recalled the product in
2017. A greater understanding of the neurotoxicity of Atropa belladonna and its
modification of genetic polymorphisms in the nervous system is critical in order
to develop better treatment strategies, therapies, regulations, education of at
risk populations, and a more cohesive paradigm for future research. This review
offers an integrated view of the homeopathy and neurotoxicity of Atropa
belladonna in children, adults, and animal models as well as its implications to
neurological disorders. Particular attention is dedicated to the
pharmaco/toxicodynamics, pharmaco/toxicokinetics, pathophysiology,
epidemiological cases, and animal studies associated with the effects of Atropa
belladonna on the nervous system. Additionally, we discuss the influence of
active tropane alkaloids in Atropa belladonna and other similar plants on FDA
approved therapeutic drugs for treatment of neurological disorders.
PMID- 29653184
TI - Estrogen alleviates neuropathic pain induced after spinal cord injury by
inhibiting microglia and astrocyte activation.
AB - Neuropathic pain after spinal cord injury (SCI) is developed in about 80% of SCI
patients and there is no efficient therapeutic drug to alleviate SCI-induced
neuropathic pain. Here we examined the effect of estrogen on SCI-induced
neuropathic pain at below-level and its effect on neuroinflammation as underlying
mechanisms. Neuropathic pain is developed at late phase after SCI and a single
dose of 17beta-estradiol (100, 300 MUg/kg) were administered to rats with
neuropathic pain after SCI through intravenous injection. As results, both
mechanical allodynia and thermal hyperalgesia were significantly reduced by
17beta-estradiol compared to vehicle control. Both microglia and astrocyte
activation in the lamina I and II of L4-5 dorsal horn was also inhibited by
17beta-estradiol. In addition, the levels of p-p38MAPK and p-ERK known to be
activated in microglia and p-JNK known to be activated in astrocyte were
significantly decreased by 17beta-estradiol. Furthermore, the mRNA expression of
inflammatory mediators such as Il-1beta, Il-6, iNos, and Cox-2 was more
attenuated in 17beta-estradiol-treated group than in vehicle-treated group.
Particularly, we found that the analgesic effect by 17beta-estradiol was mediated
via estrogen receptors, which are expressed in dorsal horn neurons. These results
suggest that 17beta-estradiol may attenuate SCI-induced neuropathic pain by
inhibiting microglia and astrocyte activation followed inflammation.
PMID- 29653185
TI - Sex differences in the development of hepatic steatosis in cafeteria diet-induced
obesity in young mice.
AB - The present study was planned to improve our understanding about sex differences
in the development of hepatic steatosis in cafeteria diet-induced obesity in
young mice. Female (FCaf) and male (MCaf) mice fed a cafeteria diet had similar
body weight gain and adiposity index, but FCaf had a more extensive steatosis
than MCaf. FCaf livers exhibited a higher non-alcoholic fatty liver disease
activity score, elevated lipid percentage area (+34%) in Sudan III staining and
increased TG content (+25%) compared to MCaf. Steatosis in FCaf was not
correlated with changes in the transcript levels of lipid metabolism-related
genes, but a reduced VLDL release rate was observed. Signs of oxidative stress
were found in FCaf livers, as elevated malondialdehyde content (+110%), reduced
catalase activity (-36%) and increased Nrf2 and Hif1a mRNA expression compared to
MCaf. Interestingly, fibroblast growth factor 21 (Fgf21) mRNA expression was
found to be exclusively induced in MCaf, which also exhibited higher FGF21 serum
levels (+416%) and hepatic protein abundance (+163%) than FCaf. Moreover,
cafeteria diet increased Fgfr1, Fsp27 and Ucp1 mRNA expression in brown adipose
tissue of males (MCaf), but not females (FCaf). FGF21 hepatic production by male
mice seems to be part of a complex network of responses to the nutritional stress
of the cafeteria diet, probably related to the unfolded protein response
activation. Although aimed at the restoration of hepatic metabolic homeostasis,
the branch involving Fgf21 upregulation seems to be impaired in females,
rendering them incapable of reducing the hepatic lipid content and cellular
oxidative stress.
PMID- 29653186
TI - Constraint-induced movement therapy improves efficacy of task-specific training
after severe cortical stroke depending on the ipsilesional corticospinal
projections.
AB - Descending spinal pathways (corticospinal, rubrospinal, and reticulospinal) are
believed to contribute to functional recovery resulting from rehabilitative
training after stroke. However, the contribution of each pathway remains unclear.
In the current study, we investigated rehabilitation-induced functional recovery
and remodelling of the descending spinal pathways after severe cortical stroke in
rats followed by 3 weeks of various rehabilitation [constraint-induced movement
therapy (CIMT), skilled forelimb reaching, rotarod, and treadmill exercise].
Following photothrombotic stroke, 96% of corticospinal neurons in the
ipsilesional motor cortex were destroyed. Despite the preservation of 82% of
total spinal projection neurons (e.g. rubrospinal and reticulospinal projection
neurons), rats showed persistent and severe disability, especially in skilled
motor function. In this severe stroke model, only CIMT promoted functional
recovery, associated with increased corticospinal projections from the peri
infarct motor cortex. Rehabilitation-induced recovery was reversed when the
restored corticospinal neurons were destroyed by a second stroke. These data
indicate that training-induced functional recovery is dependent on ipsilesional
corticospinal projections, which highlights the importance of using strategies to
enhance survival, axonal remodelling, or regeneration of corticospinal neurons to
effectively restore function in severely affected stroke patients.
PMID- 29653187
TI - Mid-cervical spinal cord contusion causes robust deficits in respiratory
parameters and pattern variability.
AB - Mid-cervical spinal cord contusion disrupts both the pathways and motoneurons
vital to the activity of inspiratory muscles. The present study was designed to
determine if a rat contusion model could result in a measurable deficit to both
ventilatory and respiratory motor function under "normal" breathing conditions at
acute to chronic stages post trauma. Through whole body plethysmography and
electromyography we assessed respiratory output from three days to twelve weeks
after a cervical level 3 (C3) contusion. Contused animals showed significant
deficits in both tidal and minute volumes which were sustained from acute to
chronic time points. We also examined the degree to which the contusion injury
impacted ventilatory pattern variability through assessment of Mutual Information
and Sample Entropy. Mid-cervical contusion significantly and robustly decreased
the variability of ventilatory patterns. The enduring deficit to the respiratory
motor system caused by contusion was further confirmed through electromyography
recordings in multiple respiratory muscles. When isolated via a lesion, these
contused pathways were insufficient to maintain respiratory activity at all time
points post injury. Collectively these data illustrate that, counter to the
prevailing literature, a profound and lasting ventilatory and respiratory motor
deficit may be modelled and measured through multiple physiological assessments
at all time points after cervical contusion injury.
PMID- 29653189
TI - Overreliance link between asthmatic subjects and short-acting beta2 agonists.
AB - In this brief communication, it was described the overreliance link generated
between a young asthmatic subject and Short Acting Beta agonist (SABA)
bronchodilator. It was an attempt to delineate the stages of this conflicting
link where predominated the overreliance on SABA that might be one of the main
circumstances surrounding near fatal asthma attack. New approach is needed from
international guidelines to avoid development of such a problematic link between
asthmatic subjects and SABA.
PMID- 29653188
TI - Ketamine reduces deleterious consequences of spreading depolarizations.
AB - Recent work has implicated spreading depolarization (SD) as a key contributor the
progression of acute brain injuries, however development of interventions
selectively targeting SD has lagged behind. Initial clinical intervention efforts
have focused on observations that relatively high doses of the sedative agent
ketamine can completely suppress SD. However, blocking propagation of SD could
theoretically prevent beneficial effects of SD in surrounding brain regions.
Selective targeting of deleterious consequences of SD (rather than abolition)
could be a useful adjunct approach, and be achieved with lower ketamine
concentrations. We utilized a brain slice model to test whether deleterious
consequences of SD could be prevented by ketamine, using concentrations that did
not prevent the initiation and propagation of SD. Studies were conducted using
murine brain slices, with focal KCl as an SD stimulus. Consequences of SD were
assessed with electrophysiological and imaging measures of ionic and synaptic
recovery. Under control conditions, ketamine (up to 30 MUM) did not prevent SD,
but significantly reduced neuronal Ca2+ loading and the duration of associated
extracellular potential shifts. Recovery of postsynaptic potentials after SD was
also significantly accelerated. When SD was evoked on a background of mild
metabolic compromise, neuronal recovery was substantially impaired. Under
compromised conditions, the same concentrations of ketamine reduced ionic and
metabolic loading during SD, sufficient to preserve functional recovery after
repetitive SDs. These results suggest that lower concentrations of ketamine could
be utilized to prevent damaging consequences of SD, while not blocking them
outright and thereby preserving potentially protective effects of SD.
PMID- 29653190
TI - Evaluation of whole genome sequencing and software tools for drug susceptibility
testing of Mycobacterium tuberculosis.
AB - OBJECTIVES: Culture-based assays are currently the reference standard for drug
susceptibility testing for Mycobacterium tuberculosis. They provide good
sensitivity and specificity but are time consuming. The objective of this study
was to evaluate whether whole genome sequencing (WGS), combined with software
tools for data analysis, can replace routine culture-based assays for drug
susceptibility testing of M. tuberculosis. METHODS: M. tuberculosis cultures sent
to the Finnish mycobacterial reference laboratory in 2014 (n = 211) were
phenotypically tested by Mycobacteria Growth Indicator Tube (MGIT) for first-line
drug susceptibilities. WGS was performed for all isolates using the Illumina
MiSeq system, and data were analysed using five software tools (PhyResSE, Mykrobe
Predictor, TB Profiler, TGS-TB and KvarQ). Diagnostic time and reagent costs were
estimated for both methods. RESULTS: The sensitivity of the five software tools
to predict any resistance among strains was almost identical, ranging from 74% to
80%, and specificity was more than 95% for all software tools except for TGS-TB.
The sensitivity and specificity to predict resistance to individual drugs varied
considerably among the software tools. Reagent costs for MGIT and WGS were ?26
and ?143 per isolate respectively. Turnaround time for MGIT was 19 days (range 10
50 days) for first-line drugs, and turnaround time for WGS was estimated to be 5
days (range 3-7 days). CONCLUSIONS: WGS could be used as a prescreening assay for
drug susceptibility testing with confirmation of resistant strains by MGIT. The
functionality and ease of use of the software tools need to be improved.
PMID- 29653191
TI - Microbiota transplantation and/or CRISPR/Cas in the battle against antimicrobial
resistance.
PMID- 29653192
TI - Synergistic stabilisation of NOsGC by cinaciguat and non-hydrolysable
nucleotides: Evidence for sGC activator-induced communication between the heme
binding and catalytic domains.
AB - Nitric oxide sensitive guanylyl cyclase (NOsGC) is a heterodimeric enzyme
consisting of one alpha and one beta subunit. Each subunit consists of four
domains: the N-terminal heme-nitric oxide oxygen binding (HNOX) domain, a PAS
domain, a coiled-coil domain and the C-terminal catalytic domain. Upon activation
by the endogenous ligand NO or activating drugs, NOsGC catalyses the conversion
of GTP to cGMP. Although several crystal structures of the isolated domains are
known, the structure of the full-length enzyme and the interdomain conformational
changes during activation remain unsolved to date. In the current study, we
performed protein thermal shift assays of purified NOsGC to identify discrete
conformational states amenable to further analysis e.g. by crystallisation. A non
hydrolysable substrate analogue binding to the catalytic domain led to a subtle
change in melting temperature. An activator drug binding to the HNOX domain led
to a small increase. However, the combination of substrate analogue and activator
drug led to a marked synergistic increase from 51 degrees C to 60 degrees C.
This suggests reciprocal communication between HNOX domain and catalytic domain
and formation of a stable activated conformation amenable to further biophysical
characterization.
PMID- 29653193
TI - Treatment of periodontitis in smokers with multiple sessions of antimicrobial
photodynamic therapy or systemic antibiotics: A randomized clinical trial.
AB - BACKGROUND: The aim of this study was to evaluate the effects of non-surgical
periodontal therapies on smokers with chronic periodontitis, involving multiple
adjunctive applications of antimicrobial photodynamic therapy (aPDT), and
systemic metronidazole (MTZ) with amoxicillin (AMX). METHODS: All participants
were treated with scaling and root planing (SRP). Seventeen patients received 400
mg of MTZ and 500 mg of AMX three times per day for 7 days (MTZ + AMX).
Additionally, 17 patients received a placebo, and 17 patients were treated with
three applications of aPDT (immediately, 48 h and 96 h after SRP). Clinical and
microbiological examinations were performed at baseline and at 90 and 180 days
post-therapy. Subgingival samples were analyzed using real-time polymerase chain
reaction. RESULTS: After 180 days, the patients in groups MTZ + AMX and aPDT had
significantly lower mean probing depths, more clinical attachment level gains and
less bleeding on probing. At 180 days, in the moderate pocket there was a
reduction in the levels of Porphyromonas gingivalis and Prevotella nigrescens in
the MTZ + AMX group, while group aPDT showed a reduction in Prevotella
nigrescens. Furthermore, at 180 days, in the deep pocket a reduction in
Porphyromonas gingivalis, Prevotella intermedia and Prevotella nigrescens was
observed in group MTZ + AMX, as well as a reduction in the levels of Prevotella
intermedia and Prevotella nigrescens in group aPDT. CONCLUSION: In smokers with
periodontitis, the MTZ + AMX and aPDT treatments significantly improved the
effects of SRP.
PMID- 29653194
TI - Chronic agomelatine treatment prevents comorbid depression in the post-status
epilepticus model of acquired epilepsy through suppression of inflammatory
signaling.
AB - Inflammatory signal molecules are suggested to be involved in the mechanism
underlying comorbid depression in epilepsy. In the present study, we tested the
hypothesis that the novel antidepressant agomelatine, a potent melatonin MT1 and
MT2 receptor agonist and serotonin 5HT2C receptor antagonist, can prevent
depressive symptoms developed during the chronic epileptic phase by suppressing
an inflammatory response. Chronic treatment with agomelatine (40 mg/kg, i.p.) was
initiated an hour after the kainate acid (KA)-induced status epilepticus (SE) and
maintained for a period of 10 weeks in Wistar rats. Registration of spontaneous
motor seizures was performed through a video (24 h/day) and EEG monitoring.
Antidepressant activity of agomelatine was explored in the splash test, sucrose
preference test (SPT) and forced swimming test (FST) while anxiolytic effect was
observed through the novelty suppression-feeding test (NSFT) during chronic phase
in epileptic rats. The frequency of motor seizures detected by video and EEG
recording did not differ between vehicle and Ago group. Rats with registered
spontaneous motor seizures showed symptoms typical for depressive behavior that
included decreased grooming, anhedonia during the dark period and hopeless-like
behavior. Epileptic rats exhibited also anxiety with novelty-induced hypophagia.
This behavioral deficit correlated with increased signal markers of inflammation
(plasma levels of interleukin (IL)-1beta and activated glia in brain), while
plasma corticosterone levels were not changed. Agomelatine treatment during
epileptogenesis exerted a clear antidepressant effect by suppressing all
behavioral hallmarks, reducing plasma IL-1beta levels and preventing microgliosis
and astrogliosis in specific limbic regions. The present results suggest that
agomelatine treatment starting after SE can provide an effective therapy of
comorbid depression in chronic epileptic condition through suppression of
inflammatory signaling.
PMID- 29653195
TI - Genome-wide Integration Study of Circulating miRNAs and Peripheral Whole-Blood
mRNAs of Male Acute Ischemic Stroke Patients.
AB - Several circulating microRNAs (miRNAs) have been proved to serve as stable
biomarkers in blood for acute ischemic stroke (AIS). However, the functions of
these biomarkers remain elusive. By conducting the integration analysis of
circulating miRNAs and peripheral whole-blood mRNAs using bioinformatics methods,
we explored the biological role of these circulating markers in peripheral whole
blood at the genome-wide level. Stroke-related circulating miRNA profile data
(GSE86291) and peripheral whole-blood mRNA expression data (GSE16561) were
collected from the Gene Expression Omnibus (GEO) datasets. We selected male
patients to avoid any gender differences in stroke pathology. Male stroke-related
miRNAs (M-miRNAs) and mRNAs (M-mRNAs) were detected using GEO2R. Nine M-miRNAs
(five up- and four down-regulated) were applied to TargetScan to predict the
possible target mRNAs. Next, we intersected these targets with the M-mRNAs (38 up
and three down-regulated) to obtain the male stroke-related overlapped mRNAs (Mo
mRNAs). Finally, we analyzed biological functions of Mo-mRNAs using the Gene
Ontology (GO) and Kyoto Encyclopedia of Genes and Genomes (KEGG), and constructed
networks among the Mo-mRNAs, overlapped M-miRNAs (Mo-miRNAs), and their
functions. The Mo-mRNAs were enriched in functions such as platelet
degranulation, immune response, and pathways associated with phagosome biology
and Staphylococcus aureus infection. This study provides an integrated view of
interactions among circulating miRNAs and peripheral whole-blood mRNAs involved
in the pathophysiological processes of male AIS.
PMID- 29653196
TI - Transcription Factors in Regulatory and Protein Subnetworks during Generation of
Neural Stem Cells and Neurons from Direct Reprogramming of Non-fibroblastic Cell
Sources.
AB - Direct reprogramming of non-fibroblastic cells to the neuronal cell types
including induced neurons (iNs) and induced neural stem cells (iNSCs) has
provided an alternative approach for the direct reprogramming of fibroblasts to
those cells. However, to increase the efficiency of the reprogramming process the
underlying mechanisms should be clarified. In the current study, we analyzed the
gene expression profiles of five different cellular conversions to understand the
most significant molecular mechanisms and transcription factors (TFs) underlying
each conversion. For each conversion, we found the list of differentially
expressed genes (DEGs) and the list of differentially expressed TFs (DE-TFs)
which regulate expression of DEGs. Moreover, we constructed gene regulatory
networks based on the TF-binding sites' data and found the most central
regulators and the most active part of the networks. Furthermore, protein
complexes were identified from constructed protein-protein interaction networks
for DE-TFs. Finally, we proposed a list of main regulators for each conversion;
for example, in the direct conversion of epithelial-like cells (ECs) to iNSCs,
combination of centrality with active modules or protein complex analyses
highlighted the role of POU3F2, BACH1, AR, PBX1, SOX2 and NANOG genes in this
conversion. To the best of our knowledge, this study is the first one that
analyzed the direct conversion of non-fibroblastic cells toward iNs and iNSCs and
we believe that the expression manipulation of identified genes may increase
efficiency of these processes.
PMID- 29653197
TI - Epidemiologic practice and conduct guidelines: a new kid on the block.
PMID- 29653198
TI - The M3 multimorbidity index outperformed both Charlson and Elixhauser indices
when predicting adverse outcomes in people with diabetes.
AB - OBJECTIVES: Multimorbidity is common among those living with diabetes. The
purpose of this manuscript was to assess the performance of the recently
developed M3 multimorbidity index within a large, well-defined cohort of patients
with diabetes. STUDY DESIGN AND SETTING: We compared regression model performance
between three morbidity indices (M3, Charlson, and Elixhauser) for important
adverse outcomes (hospitalization, amputation, and mortality) among 217,207
patients with diabetes and compared the real-world applied impact of these
indices for modeling these diabetes outcomes against two key exposure variables
(ethnicity and deprivation). RESULTS: The M3 Index outperformed both Charlson and
Elixhauser indices in terms of the prediction of hospitalization events,
amputation events, and death. When applied to models that were investigating the
relationship between (a) ethnicity and (b) deprivation on risk of these outcomes,
the M3 Index also explained more confounding due to multimorbidity than either
Charlson or Elixhauser (e.g., shift in odds ratio toward the null when predicting
hospitalization: M3 Index 60%, Charlson 40%, and Elixhauser 24%). CONCLUSION:
These results give additional support for the utility of the M3 index in clinical
populations, adding to previous observations regarding its performance in a
general population setting.
PMID- 29653199
TI - High agreement was obtained across scores from multiple equated scales for social
anxiety disorder using item response theory.
AB - OBJECTIVES: There is no standardized approach to the measurement of social
anxiety. Researchers and clinicians are faced with numerous self-report scales
with varying strengths, weaknesses, and psychometric properties. The lack of
standardization makes it difficult to compare scores across populations that use
different scales. Item response theory offers one solution to this problem via
equating different scales using an anchor scale to set a standardized metric.
This study is the first to equate several scales for social anxiety disorder.
STUDY DESIGN AND SETTING: Data from two samples (n = 3,175 and n = 1,052),
recruited from the Australian community using online advertisements, were used to
equate a network of 11 self-report social anxiety scales via a fixed parameter
item calibration method. RESULTS: Comparisons between actual and equated scores
for most of the scales indicted a high level of agreement with mean differences
<0.10 (equivalent to a mean difference of less than one point on the standardized
metric). CONCLUSION: This study demonstrates that scores from multiple scales
that measure social anxiety can be converted to a common scale. Rescoring
observed scores to a common scale provides opportunities to combine research from
multiple studies and ultimately better assess social anxiety in treatment and
research settings.
PMID- 29653200
TI - A 67-item stress resilience item bank showing high content validity was developed
in a psychosomatic sample.
AB - OBJECTIVES: To develop the first item bank to measure stress resilience (SR) in
clinical populations. STUDY DESIGN AND SETTING: Qualitative item development
resulted in an initial pool of 131 items covering a broad theoretical SR concept.
These items were tested in n = 521 patients at a psychosomatic outpatient clinic.
Exploratory and confirmatory factor analysis, as well as other state-of-the-art
item analyses and item response theory were used for item evaluation and
calibration of the final item bank. RESULTS: Of the initial item pool of 131
items, we excluded 64 items (54 factor loading <0.5, four residual correlations
>0.3, two nondiscriminative item response curves, and four differential item
functioning). The final set of 67 items indicated sufficient model fit in
confirmatory factor analysis and item response theory analyses. In addition, a 10
item short form with high measurement precision (SE <= 0.32 in a theta range
between -1.8 and +1.5) was derived. Both the SR item bank and the SR short form
were highly correlated with an existing static legacy tool (Connor-Davidson
Resilience Scale). CONCLUSION: The final SR item bank and 10-item short form
showed good psychometric properties. When further validated, they will be ready
to be used within a framework of computer-adaptive tests for a comprehensive
assessment of the stress construct.
PMID- 29653201
TI - Kinetics of IgM and IgG antibodies after scrub typhus infection and the clinical
implications.
AB - OBJECTIVES: The serological detection of IgM antibodies is the most widely used
test to diagnose scrub typhus infection. However, the kinetics of IgM and IgG
antibodies post-infection remain elusive, which could contribute to false
positivity. The objective of this study was to document the nature of the
evolution of these antibody titres after infection. METHODS: Adult patients
previously confirmed to have scrub typhus by IgM ELISA, positive PCR, or both,
were included in this cross-sectional study. The levels of IgM and IgG antibodies
in serum samples were tested using an ELISA and the distribution curve was
plotted. RESULTS: Two hundred and three patients were included in this study.
Post-infection serum sampling was done between 1 month and 46 months after
documented infection. IgM levels declined gradually but remained elevated above
the diagnostic cut-off for up to 12 months post-infection. However, IgG levels
continued to rise reaching a peak at 10 months, followed by a gradual decline
over several months. In the majority of cases, the IgG levels remained above the
cut-off threshold for more than 36 months. CONCLUSIONS: Clinicians need to be
cautious in using a single serum sample for the detection of IgM to diagnose
scrub typhus, as it remains elevated for up to 12 months after the infection,
whereas the serum IgG level could be used as an indicator of past infection.
PMID- 29653202
TI - Clinicopathological features and outcomes of pythiosis.
AB - OBJECTIVES: Vascular pythiosis is a life-threatening infection caused by the
oomycete Pythium insidiosum. This article reports the clinical presentation,
serodiagnosis, pathology, and outcomes seen at the authors' institution. METHODS:
The cases of patients with proven vascular pythiosis at Ramathibodi Hospital,
Mahidol University, Bangkok, Thailand from January 2006 to December 2016 were
analyzed retrospectively. RESULTS: Thirteen patients were analyzed, eight of whom
had underlying thalassemias. Of the remaining five patients, one had aplastic
anemia, one had myelodysplasia, one had acute leukemia, one had cirrhosis, and
one had alcoholism. Neutropenic patients showed a rapid clinical deterioration.
Atypical presentations including carotid arteritis, aneurysm, brain abscess, and
stroke occurred in the non-thalassemic patients. Serology yielded positive
results in all cases, with a rapid turnaround time. Serology has the advantage of
providing a presurgical diagnosis, which allows prompt surgery and clinical cure
to be achieved. Pathology revealed a neutrophilic response in the acute phase and
a later shift to granuloma. Immunotherapy in combination with itraconazole and
terbinafine was given. The amputation rate was 77%, and disease-free surgical
margins were achieved in five cases (38%). The mortality rate was 31%.
CONCLUSIONS: This study highlights new aspects of pythiosis, such as the unusual
host, clinical presentation, serology as a marker for rapid diagnosis,
histopathology, and outcomes. Early recognition of the disease with prompt
multimodality treatment may improve survival.
PMID- 29653203
TI - Approximate Bayesian algorithm to estimate the basic reproduction number in an
influenza pandemic using arrival times of imported cases.
AB - BACKGROUND: In an influenza pandemic, arrival times of cases are a proxy of the
epidemic size and disease transmissibility. Because of intense surveillance of
travelers from infected countries, detection is more rapid and complete than on
local surveillance. Travel information can provide a more reliable estimation of
transmission parameters. METHOD: We developed an Approximate Bayesian Computation
algorithm to estimate the basic reproduction number (R0) in addition to the
reporting rate and unobserved epidemic start time, utilizing travel, and routine
surveillance data in an influenza pandemic. A simulation was conducted to assess
the sampling uncertainty. The estimation approach was further applied to the 2009
influenza A/H1N1 pandemic in Mexico as a case study. RESULTS: In the simulations,
we showed that the estimation approach was valid and reliable in different
simulation settings. We also found estimates of R0 and the reporting rate to be
1.37 (95% Credible Interval [CI]: 1.26-1.42) and 4.9% (95% CI: 0.1%-18%),
respectively, in the 2009 influenza pandemic in Mexico, which were robust to
variations in the fixed parameters. The estimated R0 was consistent with that in
the literature. CONCLUSIONS: This method is useful for officials to obtain
reliable estimates of disease transmissibility for strategic planning. We suggest
that improvements to the flow of reporting for confirmed cases among patients
arriving at different countries are required.
PMID- 29653204
TI - EphA3 maintains radioresistance in head and neck cancers through epithelial
mesenchymal transition.
AB - Radiotherapy is a well-established therapeutic modality used in the treatment of
many cancers. However, radioresistance remains a serious obstacle to successful
treatment. Radioresistance can cause local recurrence and distant metastases in
some patients after radiation treatment. Thus, many studies have attempted to
identify effective radiosensitizers. Eph receptor functions contribute to tumor
development, modulating cell-cell adhesion, invasion, neo-angiogenesis, tumor
growth and metastasis. However, the role of EphA3 in radioresistance remains
unclear. In the current study, we established a stable radioresistant head and
neck cancer cell line (AMC HN3R cell line) and found that EphA3 was expressed
predominantly in the radioresistant head and neck cancer cell line through DNA
microarray, real time PCR and Western blotting. Additionally, we found that EphA3
was overexpressed in recurrent laryngeal cancer specimens after radiation
therapy. EphA3 mediated the tumor invasiveness and migration in radioresistant
head and neck cancer cell lines and epithelial mesenchymal transition- related
protein expression. Inhibition of EphA3 enhanced radiosensitivity in the AMC HN
3R cell line in vitro and in vivo study. In conclusion, our results suggest that
EphA3 is overexpressed in radioresistant head and neck cancer and plays a crucial
role in the development of radioresistance in head and neck cancers by regulating
the epithelial mesenchymal transition pathway.
PMID- 29653205
TI - Varicella Zoster Virus Reactivation in Adult Survivors of Hematopoietic Cell
Transplantation: How Do We Best Protect Our Patients?
AB - Reactivation of varicella zoster virus (VZV) remains a significant public health
burden for long-term survivors of hematopoietic cell transplantation. Delayed
immune reconstitution after transplantation due to immunosuppression, post
transplant therapies, poor engraftment, and graft-versus-host disease leave a
large number of patients at risk for herpes zoster (shingles) and its highly
morbid complications. Although prophylaxis with acyclovir or valacyclovir has
reduced the incidence of VZV reactivation as long as prophylaxis is continued,
the incidence of disease in the late post-transplant period or after stopping
prophylaxis is greater in the hematopoietic cell transplantation population than
the general public. Therefore, additional interventions beyond long-term use of
prophylactic antivirals are required to suppress VZV. Vaccines to elicit VZV
specific immunity represent one method to enhance prevention of VZV reactivation,
but care must be taken with live vaccines. Inactivated vaccines have been
developed and require well-designed studies to determine their safety and
efficacy in this high-risk population. Here, we report the available evidence for
established and newly developed vaccines for VZV and discuss our view on their
role in protecting our transplant survivors against VZV reactivation.
PMID- 29653206
TI - Late Effects Screening Guidelines after Hematopoietic Cell Transplantation (HCT)
for Hemoglobinopathy: Consensus Statement from the Second Pediatric Blood and
Marrow Transplant Consortium International Conference on Late Effects after
Pediatric HCT.
AB - Allogeneic hematopoietic cell transplantation (HCT) can halt organ damage and
eliminate symptoms in hemoglobin disorders, including sickle cell disease (SCD)
and thalassemia major. Managing the residual manifestations of pre-HCT disease
complications and the long-term effects of HCT requires systematic monitoring,
follow-up and intervention when indicated. Late complications vary with age and
disease status at HCT and with transplant variables such as preparative regimen,
donor source and compatibility, and immune reconstitution. An international
consensus conference sponsored by the Pediatric Blood and Marrow Transplant
Consortium in May 2016 entitled "Late Effects Screening and Recommendations
Following HCT for Immune Deficiency and Nonmalignant Hematologic Disorders"
focused on follow-up after HCT for hemoglobinopathy. An earlier publication from
experts who participated in this session described the pathophysiology and
spectrum of complications that HCT recipients experience after HCT for SCD and
thalassemia major. This companion publication summarizes the consensus reached by
this group of experts about long-term follow-up guidelines after HCT for
hemoglobinopathy. In addition, these guidelines might also be included in studies
of novel curative therapies such as autologous HCT after hematopoietic progenitor
stem cell gene modification.
PMID- 29653207
TI - Teaching a Young Dog New Tricks: Modifications to the Post-Transplantation
Cyclophosphamide Haploidentical Transplantation Platform.
PMID- 29653208
TI - Efficacy and safety of ixekizumab over 4 years of open-label treatment in a phase
2 study in chronic plaque psoriasis.
AB - BACKGROUND: Ixekizumab has demonstrated improvement in patients with moderate-to
severe psoriasis by selectively targeting interleukin-17A, which is a
proinflammatory cytokine that is important in the pathogenesis of psoriasis.
OBJECTIVE: To report 4-year efficacy and safety results from the open-label
extension (OLE) of this phase 2 trial. METHODS: Analysis was by last observation
carried forward. Patients received ixekizumab, 120 mg, and then 80 mg
subcutaneously once every 4 weeks. RESULTS: Of the patients who completed the
randomized placebo-controlled trial, 93% entered the OLE. A 75% reduction in the
Psoriasis Area Severity Index score was reported in 82% of patients at week 208
of the OLE. A static Physician's Global Assessment score of 0 or 1 was reported
in 64% of patients, and a score of 0 was reported in 45% at week 208. Patients'
Dermatology Life Quality Index and Itch Visual Analog Scale scores decreased when
compared with baseline. Improvements were observed in other efficacy and health
outcome measures. Serious adverse events were observed in 16.7% of patients, and
87% had 1 or more treatment-emergent adverse events. Three patients had serious
infections. One patient reported 2 major cardiovascular events. LIMITATIONS: The
study was unblinded and lacked a placebo or active comparator. CONCLUSIONS:
Efficacy was shown to be maintained for up to 4 years of ixekizumab treatment.
PMID- 29653209
TI - Diversity in dermatology: Roadmap for improvement.
AB - The American Academy of Dermatology has taken an active stance in addressing the
lack of racial and ethnic diversity in the specialty. At the American Academy of
Dermatology President's Conference on Diversity in Dermatology, which was held on
August 5, 2017, key action items to increase the number of practicing board
certified dermatologists who are under-represented in medicine (UIM) were
identified in 3 main areas. The action items include increasing the pipeline of
UIM students applying to medical school, increasing UIM medical students'
exposure to the field of dermatology and their level of interest in it, and
increasing the number of UIM students recruited into dermatology residency
programs.
PMID- 29653211
TI - Dermoscopy is a useful bedside tool for the evaluation of cutaneous loxoscelism.
PMID- 29653210
TI - Neutrophilic dermatoses: Pathogenesis, Sweet syndrome, neutrophilic eccrine
hidradenitis, and Behcet disease.
AB - Neutrophilic dermatoses are a heterogeneous group of inflammatory skin disorders
that present with unique clinical features but are unified by the presence of a
sterile, predominantly neutrophilic infiltrate on histopathology. The morphology
of cutaneous lesions associated with these disorders is heterogeneous, which
renders diagnosis challenging. Moreover, a thorough evaluation is required to
exclude diseases that mimic these disorders and to diagnose potential associated
infectious, inflammatory, and neoplastic processes. While some neutrophilic
dermatoses may resolve spontaneously, most require treatment to achieve
remission. Delays in diagnosis and treatment can lead to significant patient
morbidity and even mortality. Therapeutic modalities range from systemic
corticosteroids to novel biologic agents, and the treatment literature is rapidly
expanding. The first article in this continuing medical education series explores
the pathogenesis of neutrophilic dermatoses and reviews the epidemiology,
clinical and histopathologic features, diagnosis, and management of Sweet
syndrome, neutrophilic eccrine hidradenitis, and Behcet disease.
PMID- 29653212
TI - Improving classification of melanocytic nevi: Association of BRAF V600E
expression with distinct histomorphologic features.
AB - BACKGROUND: A subset of melanomas carrying a B-Raf proto-oncogene,
serine/threonine kinase gene (BRAF) V600E mutation, which is the most common
targetable mutation in melanoma, arise in association with a melanocytic nevus
that is also harboring a BRAF V600E mutation. The detailed histomorphologic
characteristics of nevi positive for BRAF V600E have not been systematically
documented. OBJECTIVE: To identify histomorphologic features correlating with
BRAF V600E status in nevi. METHODS: We retrospectively identified melanocytic
nevi from our laboratory reporting system. We performed a histomorphologic
analysis and analysis of BRAF V600E expression by immunohistochemistry. RESULTS:
Thirteen nevi (14.8%) were negative and 76 (86.4%) were positive for BRAF V600E.
The nevi positive for BRAF V600E were predominantly dermal (predominantly dermal
growth in 55.3% of nevi positive for BRAF V600E and 15.4% of nevi negative for
BRAF V600E [P = .01]) and showed a congenital growth pattern (congenital growth
pattern in 51.3% of nevi positive for BRAF V600E and 15.4% of nevi negative for
BRAF V600E [P = .02]). Compared with nevi negative for BRAF V600E, those that
were positive for BRAF V600E often exhibited predominantly nested intraepidermal
melanocytes, larger junctional nests, abrupt lateral circumscription, and larger
cell size. Architectural disorder and inflammatory infiltrates were seen more
often in nevi negative for BRAF V600E. BRAF sequencing of a subset of nevi
confirmed the immunohistochemical results. LIMITATIONS: Limitations include the
study's retrospective design and the small sample size of nevi negative for BRAF
V600E. CONCLUSIONS: BRAF V600E is associated with distinct histomorphologic
features in nevi. These features may contribute to improving the accuracy of
classification and diagnosis of melanocytic neoplasms.
PMID- 29653213
TI - Neutrophilic dermatoses: Pyoderma gangrenosum and other bowel- and arthritis
associated neutrophilic dermatoses.
AB - Neutrophilic dermatoses are a heterogeneous group of inflammatory skin disorders
that present with unique clinical features but are unified by the presence of a
sterile, predominantly neutrophilic infiltrate on histopathology. The morphology
of cutaneous lesions associated with these disorders is heterogeneous, which
renders diagnosis challenging. Moreover, a thorough evaluation is required to
exclude diseases that mimic these disorders and to diagnose potential associated
infectious, inflammatory, and neoplastic processes. While some neutrophilic
dermatoses may resolve spontaneously, most require treatment to achieve
remission. Delays in diagnosis and treatment can lead to significant patient
morbidity and even mortality. Therapeutic modalities range from systemic
corticosteroids to novel biologic agents, and the treatment literature is rapidly
expanding. The second article in this continuing medical education series reviews
the epidemiology, clinical characteristics, histopathologic features, diagnosis,
and management of pyoderma gangrenosum as well as bowel-associated dermatosis
arthritis syndrome and the arthritis-associated neutrophilic dermatoses
rheumatoid neutrophilic dermatitis and adult Still disease.
PMID- 29653214
TI - Poly(propyleneimine) glycodendrimers non-covalently bind ATP in a pH- and salt
dependent manner - model studies for adenosine analogue drug delivery.
AB - Adenosine analogue drugs (such as fludarabine or cladribine) require transporter
mediated uptake into cells and subsequent phosphorylation for anticancer
activity. Therefore, application of nanocarrier systems for direct delivery of
active triphosphate forms has been proposed. Here, we applied isothermal
titration calorimetry and zeta potential titration to determine the stoichiometry
and thermodynamic parameters of interactions between 4th generation
poly(propyleneimine) dendrimers (unmodified or sugar-modified for increased
biocompatibility) and ATP as a model adenosine nucleotide. We showed that
glycodendrimers have the ability to efficiently interact with nucleoside
triphosphates and to form stable complexes via electrostatic interactions between
the ionized phosphate and amino groups on the nucleotide and the dendrimer,
respectively. The complexation process is spontaneous, enthalpy-driven and
depends on buffer composition (strongest interactions in organic buffer) and pH
(more binding sites in acidic pH). These properties allow us to consider maltose
modified dendrimers as especially promising carriers for adenosine analogues.
PMID- 29653215
TI - The effect of mesoporous silica impregnation on tribo-electrification
characteristics of flurbiprofen.
AB - Tribo-electrification is a common occurrence within the pharmaceutical industry
where solid dosage forms constitute majority of pharmaceutical formulations.
Tribo-electrification of powders leads to a range of complications such as
adhesion of particulate material to the processing equipment resulting in
segregation, affecting the content uniformity. Flurbiprofen, a highly charging
material, was used as a model drug to investigate the tribo-electrification and
adhesion characteristics by impregnating the model drug inside a mesoporous
silica matrix. The model drug was impregnated using i) solvent loading, and ii)
physical mixing methods, at varying degree of silica to drug ratio (5-20% w/w).
The resulting mixtures were tribo-charged using a custom built device based on a
shaking concept inside a stainless steel capsule, consisting of a Faraday cup and
connected to electrometer. The electrostatic charge and the percentage adhesion
of Flurbiprofen were reduced in both drug loading methods. The solvent
impregnation method using acetone was more successful at reducing the
electrostatic charge build up on flurbiprofen than physical powder mixing. The
percentage adhesion to the shaking capsule was reduced notably as a result of
loading the drug in the SBA-15 porous network. The results illustrate that the
incorporation of highly charged model drug inside a low-charging pharmaceutical
carrier system to be an effective approach in control the induction of tribo
electrification phenomena during powder processing.
PMID- 29653216
TI - Molecular investigation of HIV-1 cross-group transmissions during an outbreak
among people who inject drugs (2011-2014) in Athens, Greece.
AB - New diagnoses of HIV-1 infection among people who inject drugs (PWID) rocketed in
Athens, Greece between 2011 and 2014 (HIV-1 outbreak). Our aim was to identify,
during that period, potential cross-group transmissions between the within-Greece
PWID and other risk or national groups using molecular methods. Sequences from 33
PWID were outside the PWID-outbreak networks in Greece (PWID-imported
transmissions). Phylogenetic analyses on 28 of these sequences (subtypes A and B)
showed that 11 subtype B infections originated from Greece, whereas 8 and 7
subtype A strains were from former Soviet Union countries (AFSU) and Greece,
respectively. The putative source in half of the PWID-imported transmissions with
Greek origin was an individual who acquired HIV via sexual contact. During four
years of an HIV-1 outbreak among PWID in Athens, Greece, 33 individuals in this
group (4.6% of all diagnoses with phylogenetic analyses) are likely to represent
infections, sexually or injection-acquired, outside the within-Greece-PWID
outbreak networks. Combined molecular and traditional HIV surveillance to monitor
introductions of new strains, and interventions that aim at reducing the rate of
both injection and sexual risky practices are needed during drug injection
related HIV outbreaks.
PMID- 29653217
TI - Customizing poly(lactic-co-glycolic acid) particles for biomedical applications.
AB - : Nano- and microparticles have increasingly widespread applications in
nanomedicine, ranging from drug delivery to imaging. Poly(lactic-co-glycolic
acid) (PLGA) particles are the most widely-applied type of particles due to their
biocompatibility and biodegradability. Here, we discuss the preparation of PLGA
particles, and various modifications to tailor particles for applications in
biological systems. We highlight new preparation approaches, including
microfluidics and PRINT method, and modifications of PLGA particles resulting in
novel or responsive properties, such as Janus or upconversion particles. Finally,
we describe how the preparation methods can- and should-be adapted to tailor the
properties of particles for the desired biomedical application. Our aim is to
enable researchers who work with PLGA particles to better appreciate the effects
of the selected preparation procedure on the final properties of the particles
and its biological implications. STATEMENT OF SIGNIFICANCE: Nanoparticles are
increasingly important in the field of biomedicine. Particles made of polymers
are in the spotlight, due to their biodegradability, biocompatibility,
versatility. In this review, we aim to discuss the range of formulation
techniques, manipulations, and applications of poly(lactic-co-glycolic acid)
(PLGA) particles, to enable a researcher to effectively select or design the
optimal particles for their application. We describe the various techniques of
PLGA particle synthesis and their impact on possible applications. We focus on
recent developments in the field of PLGA particles, and new synthesis techniques
that have emerged over the past years. Overall, we show how the chemistry of PLGA
particles can be adapted to solve pressing biological needs.
PMID- 29653218
TI - Comparing Ran-Out Status of Inhaled Short-Acting Beta-Agonists in Emergency
Department Patients with Acute Asthma: 1996-1998 versus 2015-2017.
AB - BACKGROUND: Medication nonadherence, including running out of inhaled asthma
medications, is an important problem. OBJECTIVE: The objective of this study was
to examine the changes in the proportion of adults with acute asthma who ran out
of their short-acting beta-agonist (SABA) inhalers before presenting to the
emergency department (ED) between 1996--1998 and 2015-2017. METHODS: We analyzed
data from prospective multicenter observational cohort studies of ED adult
patients (aged 18-54 years) with acute asthma. Within the same 3 EDs, we
performed a structured interview during 2 time periods: 1996-1998 and 2015-2017.
We fitted multivariable models to compare ran-out status between the 2 periods,
adjusting for the baseline patient demographics, socioeconomic status, chronic
asthma factors, and health care utilization factors. We further adjusted for the
presence of a written action plan-an intervenable factor. RESULTS: The analytic
cohort comprised 353 patients (150 from the 1996-1998 studies and 203 from the
2015-2017 study). Over the approximately 20-year period, the proportion of
patients who ran out of SABA inhalers increased (18% in 1996-1998 vs 26% in 2015
2017). In the multivariable model, compared with patients in 1996-1998, those in
2015-2017 had a significantly higher risk of running out of their SABA inhalers
(adjusted odds ratio [OR] 2.01; 95% confidence interval [CI] 1.06-3.81; P = .03).
With further adjustment for the presence of a written action plan, this
difference attenuated (adjusted OR 1.66; 95% CI 0.75-3.68; P = .21). CONCLUSIONS:
Between 1996 and 2017, the proportion of ED patients with asthma who ran out of
SABA inhalers significantly increased. The increase was explained, at least
partially, by a lack of a written action plan.
PMID- 29653219
TI - Anaphylaxis knowledge in camp personnel.
PMID- 29653220
TI - Genetic analysis of Charcot-Marie-Tooth disease in Denmark and the implementation
of a next generation sequencing platform.
AB - Charcot-Marie-Tooth disease (CMT) is a heterogeneous group of hereditary
polyneuropathies. Variants in more than 80 different genes have been associated
with the disorder. In recent years, the introduction of next generation
sequencing (NGS) techniques have completely changed the genetic diagnostic
approach from the analysis of a handful of genes to the analysis of all genes
associated with CMT in a single run. In this study we describe the CMT
diagnostics in Denmark in 1992-2012, prior to the implementation of NGS, by
combining laboratory- and national registry data. We investigate the effect of
implementing a targeted NGS approach of 63 genes associated with CMT in the
diagnostic laboratory setting. This was performed by analyzing a cohort of 195
samples from patients previously analyzed by Sanger sequencing and quantitative
analysis for the common causes of CMT without reaching a molecular diagnosis. A
total of 1442 CMT analyses were performed in Denmark in the period 1992-2012; a
disease-causing variant was detected in 21.6% of the cases. Interestingly, the
diagnosis was genetically confirmed in significantly more women than men; 25.9%
compared to18.5%. In our study cohort, we found a 5.6% increase in the diagnostic
yield with the introduction of a targeted NGS approach.
PMID- 29653221
TI - An atypical phenotype of a patient with infantile spinal muscular atrophy with
respiratory distress type 1 (SMARD 1).
AB - Spinal muscular atrophy with respiratory distress type 1 (SMARD1) is a rare
autosomal recessive disease characterized by infancy-onset diaphragmatic palsy
and symmetrical distal muscular weakness. SMARD1 is caused by loss-of-function
mutations in IGHMBP2 gene. In this article, we report a male SMARD1 patient with
two compound heterozygous mutations (NM_002180.2: c.688C > G; p.(Gln230Glu)) and
(NM_002180.2: c.1737C > A; p.(Phe579Leu)), one of which (c.688C > G; ClinVar
accession: SUB3344743: SCV000612189) is novel. He suffered from diaphragmatic
palsy and distal muscular weakness from 6 months of age. His lower limbs were at
first in hypertonia, and then gradually progressed into hypotonia. More
interestingly, bronchoscopy has shown the diffuse tracheobronchomalacia, which
had been reported only once in a SMARD1 patient who also had the same mutation
(c.1737C > A) as our patient. We constructed the model of IGHMBP2 and mapped both
mutations in the structure to analyze the structural impact of both mutations
(c.688C > G and c.1737C > A) on the IGHMBP2 protein, which showed that mutation
c.688C > G reduces greatly the stability of domain 1A of IGHMBP2, while the
structural impact of c.1737C > A is not extensive.
PMID- 29653222
TI - Gemcitabine loaded microbubbles for targeted chemo-sonodynamic therapy of
pancreatic cancer.
AB - Pancreatic cancer remains one of the most lethal forms of cancer with a 10-year
survival of <1%. With little improvement in survival rates observed in the past
40 years, there is a significant need for new treatments or more effective
strategies to deliver existing treatments. The antimetabolite gemcitabine (Gem)
is the most widely used form of chemotherapy for pancreatic cancer treatment, but
is known to produce significant side effects when administered systemically. We
have previously demonstrated the benefit of combined chemo-sonodynamic therapy
(SDT), delivered using oxygen carrying microbubbles (O2MB), as a targeted
treatment for pancreatic cancer in a murine model of the disease. In this
manuscript, we report the preparation of a biotin functionalised Gem ligand for
attachment to O2MBs (O2MB-Gem). We demonstrate the effectiveness of chemo
sonodynamic therapy following ultrasound-targeted-microbubble-destruction (UTMD)
of the O2MB-Gem and a Rose Bengal loaded O2MB (O2MB-RB) as a targeted treatment
for pancreatic cancer. Specifically, UTMD using the O2MB-Gem and O2MB-RB
conjugates reduced the viability of MIA PaCa-2, PANC-1, BxPC3 and T110299
pancreatic cancer cells by >60% (p < 0.001) and provided significant tumour
growth delay (>80%, p < 0.001) compared to untreated animals when human xenograft
MIA PaCa-2 tumours were treated in SCID mice. The toxicity of the O2MB-Gem
conjugate was also determined in healthy non-tumour bearing MF1 mice and revealed
no evidence of renal or hepatic damage. Therefore, the results presented in this
manuscript suggest that chemo-sonodynamic therapy using the O2MB-Gem and O2MB-RB
conjugates, is potentially an effective targeted and safe treatment modality for
pancreatic cancer.
PMID- 29653223
TI - Acid-sensitive hybrid polymeric micelles containing a reversibly activatable cell
penetrating peptide for tumor-specific cytoplasm targeting.
AB - Cell-penetrating peptides (CPPs) have become a novel drug delivery system due to
their distinct advantages, including high cell transmembrane potency and ability
to carry cargo molecules inside cells. However, owing to their cationic charge
and non-specificity characteristics, the clinical application of CPPs is limited.
In the current study, we engineered a reversibly activatable cell-penetrating
peptide (RACPP), containing oligoarginine fused to a pH-sensitive masking
sequence via a polyglycine linker ((HE)10G5R6 or HE-CPP) with ultra-pH
sensitivity. The HE-CPP sequence was coupled to the surface of polyethyleneglycol
polylactic acid (PEG-PLA) polymer micelles (PMs-HE-CPP) to realize improve
specificity and targeted delivery of encapsulated paclitaxel (PTX). PTX/PMs-HE
CPP showed the satisfactory encapsulated efficiency, loading capacity, size
distribution as well as reversible charge-conversion in response to the
surrounding pH. The zeta potential of PMs-HE-CPP was negative at pH 7.5,
moderately positive at pH 6.5, and even more positive at a lower pH. Coumarin 6
loaded PMs-HE-CPP (C6/PMs-HE-CPP) showed enhanced tumor cellular uptake at a
mildly acidic tumor microenvironment (pH 6.5) via energy-dependent and clathrin
mediated endocytosis. Furthermore, PTX/PMs-HE-CPP had significantly higher
cytotoxicity toward mice breast cancer (4T1) cells at pH 6.5 versus at pH 7.4. In
vivo imaging studies in 4T1-BALB/c tumor xenograft models confirmed the tumor
targeting characteristic of PMs-HE-CPP. PTX/PMs-HE-CPP also exhibited improved
anti-tumor efficacy against unmodified polymer micelles and Taxol(r) in this
tumor model. Accordingly, not only do RACPPs show the great potential to endow
CPPs with specificity and reversible net-charge converting characteristic, they
are also able to improve the targeting effect of nanoparticles.
PMID- 29653224
TI - Tumor uptake of pegylated diabodies: Balancing systemic clearance and vascular
transport.
AB - The accumulation, dissemination and clearance of monoclonal antibody-based
therapeutics or imaging reagents targeting tumor associated antigens is governed
by several factors including affinity, size, charge, and valency. Tumor targeting
antibody fragments have distinct advantages over intact monoclonal antibodies
such as enhanced penetration within the tumor and rapid accumulation but are
subject to rapid clearance. Polyethylene glycol (PEG)-modified antibody fragments
can provide a way to balance tumor penetration and accumulation with improved
serum persistence. In this study, we use a diabody, the dimeric antibody
fragment, targeting the 5T4 antigen to assess the impact of PEGs of distinct size
and shape on tumor accumulation and pharmacokinetics (PK). We show that PEG
modified diabodies improved the PK of the parental diabody from a half-life of 40
min to over 40 h for the higher molecular weight PEG conjugated diabodies. This
improvement correlates with the increasing hydrodynamic size of pegylated
diabodies, and can serve as a better predictor of the PK behavior of pegylated
molecules than molecular weight alone. Tumor uptake profiles determined by
quantitative PET imaging differed significantly based on PEG size and shape with
diabody-PEG5K showing peak accumulation early on, but with the larger diabody
PEG20K showing better sustained tumor uptake at later time points. In addition,
we demonstrate that a diabody-PEG20K-B with a hydrodynamic radius (Rh) of 6 nm
had superior tumor uptake than the larger diabody-PEG40K-B with Rh of 12 nm,
indicating that beyond 6 nm, larger pegylated diabodies have a slower tumor
uptake rate while having comparable clearance kinetics. Our data demonstrate that
pegylated diabodies with Rh of ~6 nm have an optimal size and PK profile for
tumor uptake. Understanding the impact of pegylation on PK and tumor uptake could
facilitate the development of pegylated diabodies as therapeutics.
PMID- 29653225
TI - Self-regulation of the Peruvian food industry: health message cues in the context
of food and beverage advertisements.
AB - OBJECTIVES: One strategy to prevent the onset of non-communicable diseases is to
motivate healthy lifestyles through health media messages. In Peru, the food
industry is currently implementing such strategy with health message cues, in the
form of a small icon of a walking person or a healthy dish, appearing on
televised food and beverage advertisements. Yet the extent of this practice is
unknown. Thus, the objective of this study was three-fold: to identify (1) the
food and beverage advertisements showing health cues, (2) the types of health
cues, and (3) their length in time. STUDY DESIGN: Cross-sectional analysis of
televised food and beverage advertisements that children and adolescents
encounter on Peruvian television. METHODS: Content analysis of the presence of a
health cue, type of health cue (physical activity and healthy diets), and the
length in time of the health cue appearing on televised food and beverage
advertisements in Peru. RESULTS: Health cues appeared on over 70% of
advertisements for sugary drinks and tended to promote healthy diets more so than
physical activity. CONCLUSIONS: This study shows that the food industry is
currently advertising their products along with health message cues, and children
and adolescents are exposed to this practice. Thus, we call for further testing
of the effect of these health cues on children's and adolescents' food
preferences and behaviors.
PMID- 29653226
TI - Comparative and evolutionary analysis of the 14-3-3 family genes in eleven
fishes.
AB - 14-3-3 proteins are a type of highly conserved acidic proteins, which are
distributed over a wide variety of organisms and are involved in multiple
cellular processes. While the comparative and evolutionary analysis of this gene
family is unavailable in various fish species. In this study, we identified 101
putative 14-3-3 genes in 11 fish species and divided them into 5 groups via
phylogenetic analysis. Synteny analysis implied conserved and dynamic evolution
characteristics near the 14-3-3 gene loci in some vertebrates. We also found that
some recombination events have accelerated the evolution of this gene family.
Moreover, a positive selection site was also identified, and mutation of this
site could reduce the 14-3-3 stability. Divergent expression profiles of the
zebrafish 14-3-3 genes were further investigated under organophosphorus stress,
suggesting that they may be involved in the different osmoregulation and immune
response. The results will serve as a foundation for the further functional
investigation into the 14-3-3 genes in fishes.
PMID- 29653227
TI - RSRC1 and CPZ gene polymorphisms with neuroblastoma susceptibility in Chinese
children.
AB - Two new neuroblastoma susceptibility loci at 3q25 (RSRC1 rs6441201 G > A) and
4p16 (CPZ rs3796725 T > C and rs3796727 A > G) were identified by a genome-wide
association study (GWAS) involving Italians, African Americans and European
Americans. In this case-control study with 393 neuroblastoma cases and 812
controls, we investigated the association between these three polymorphisms and
neuroblastoma susceptibility in Chinese population. We found that participants
harboring the RSRC1 rs6441201A allele were associated with an increased risk of
neuroblastoma (AA vs. GG: adjusted OR = 1.55, 95% CI = 1.03-2.34, P = 0.036). No
significant association between the CPZ polymorphisms (rs3796725 T > C and
rs3796727A > G) and neuroblastoma susceptibility was observed. In conclusion, our
results confirm that the RSRC1 rs6441201A allele is associated with neuroblastoma
susceptibility in Chinese population.
PMID- 29653228
TI - Down-regulated let-7b-5p represses glycolysis metabolism by targeting AURKB in
asthenozoospermia.
AB - Glycolysis, through anaerobic respiration, can supply energy for human sperm
motility. MicroRNAs (miRNAs) could participate in the glycolytic pathway through
regulating target genes. To investigate the potential role of glycolysis-related
miRNAs in asthenozoospermia, TaqMan Low Density Array (TLDA) was used to screen
potentially functional miRNAs, and seven glycolysis-related miRNAs were isolated
to be related to asthenozoospermia. After qRT-PCR validation, only one seminal
plasma miRNA, let-7b-5p, was found significantly decreased in severe
asthenozoospermia cases compared with healthy controls. To further understand
whether let-7b-5p is involved in asthenozoospermia by regulating the glycolytic
pathway, we carried out gain-and-loss function study of let-7b-5p in GC-2 cells
and detected the glycolytic activities. Our results showed that knocking down let
7b-5p could inhibit glycolytic activities. Besides, we also found overexpressed
Aurkb (a target gene of let-7b-5p) could recapitulate the effects of knocking
down let-7b-5p. Our findings indicated that low expression of let-7b-5p could
repress glycolysis in asthenozoospermia by targeting AURKB.
PMID- 29653229
TI - The splicing of tiny introns of Paramecium is controlled by MAGO.
AB - The exon junction complex (EJC) is a key element of the splicing machinery. The
EJC core is composed of eIF4A3, MAGO, Y14 and MLN51. Few accessory proteins, such
as CWC22 or UPF3, bind transiently to the EJC. The EJC has been implicated in the
control of the splicing of long introns. To ascertain whether the EJC controls
the splicing of short introns, we used Paramecium tetraurelia as a model
organism, since it has thousands of very tiny introns. To elucidate whether EJC
affects intron splicing in P. tetraurelia, we searched for EJC protein-coding
genes, and silenced those genes coding for eIF4A3, MAGO and CWC22. We found that
P. tetraurelia likely assembles an active EJC with only three of the core
proteins, since MLN51 is lacking. Silencing of eIF4A3 or CWC22 genes, but not
that of MAGO, caused lethality. Silencing of the MAGO gene caused either an
increase, decrease, or no change in intron retention levels of some intron
containing mRNAs used as reporters. We suggest that a fine-tuning expression of
EJC genes is required for steady intron removal in P. tetraurelia. Taking into
consideration our results and those published by others, we conclude that the EJC
controls splicing independently of the intron size.
PMID- 29653230
TI - Regulatory network analysis of high expressed long non-coding RNA LINC00941 in
gastric cancer.
AB - Accumulating evidence suggests that the aberrant expression of long non-coding
RNAs is closely related to the carcinogenesis and progression of gastric cancer
(GC), which is a type of prevalent tumor with a high incidence and mortality
rate. However, it is still a challenge to find reliable biomarkers and to
understand their molecular mechanisms in GC. In this study, we first confirmed
that LINC00941was up-regulated in GC tumor tissues compared with adjacent normal
tissues by RT-PCR, and found that the expression level of LINC00941 was
correlated with invasion depth, lymphatic metastasis, and the TNM stage of
patients with GC. Furthermore, by performing enrichment analysis based on the co
expression network and regulatory network, we found that LINC00941 was associated
with cancer related biological processes such as cell cycle, cell communication,
cell migration, cell division, as well as processes associated with the immune
system. Our results suggested that LINC00941 may be a potential novel biomarker
for therapeutic or diagnostic of GC.
PMID- 29653231
TI - ECSM2, an endothelial specific VE-cadherin binding protein, has a tyrosine
phosphorylation site essential to cell migration.
AB - Endothelial cell-specific molecule 2 (ECSM2) is a transmembrane protein located
in cell-cell junction of endothelial cells (EC). ECSM2 was determined to play an
important role in vascular development, EC migration, apoptosis and
proliferation, however, no functional domains were determined in intracellular
and extracellular region of ECSM2. In current work, functional domains of ECSM2,
the relationship of ECSM2 with other endothelial specific protein such as VE
cadherin and the role of ECSM2 in neovascular diseases were determined. It was
shown that the 54th amino acid residue of ECSM2 extracellular domain was a
tyrosine phosphorylation site, whose mutation led to the loss of EGF-induced
tyrosine phosphorylation and inhibition of cell migration. In primary human
umbilical vein endothelial cells, ECSM2 bound with VE-cadherin and VEGF
stimulation enhanced their binding. In hepatocellular carcinoma, ECSM2 expression
was increased, as compared with para-cancerous tissue. This data firstly revealed
the functional sites of ECSM2, the crosstalk between ECSM2 and other endothelial
cell specific molecules, the expression of ECSM2 in tissues of angiogenesis
diseases, thus providing understanding about ECSM2 in depth.
PMID- 29653232
TI - GATA6 loss-of-function mutation contributes to congenital bicuspid aortic valve.
AB - Congenital bicuspid aortic valve (BAV), the most common form of birth defect in
humans, is associated with substantial morbidity and mortality. Increasing
evidence demonstrates that genetic risk factors play a key role in the
pathogenesis of BAV. However, BAV is a genetically heterogeneous disease and the
genetic determinants underpinning BAV in an overwhelming majority of patients
remain unknown. In the present study, the coding exons and flanking introns of
the GATA6 gene, which encodes a zinc-finger transcription factor essential for
the normal development of the aortic valves, were sequenced in 152 unrelated
patients with congenital BAV. The available relatives of a proband harboring an
identified GATA6 mutation and 200 unrelated, ethnically matched healthy
individuals used as controls were also genotyped for GATA6. The functional
characteristics of the mutation were analyzed by using a dual-luciferase reporter
assay system. As a result, a novel heterozygous GATA6 mutation, p.E386X, was
identified in a family with BAV transmitted in an autosomal dominant mode. The
nonsense mutation was absent in 400 control chromosomes. Biological assays
revealed that the mutant GATA6 protein had no transcriptional activity compared
with its wild-type counterpart. Furthermore, the mutation disrupted the
synergistic transcriptional activation between GATA6 and GATA4, another
transcription factor causally linked to BAV. In conclusion, this study firstly
associates GATA6 loss-of-function mutation with enhanced susceptibility to
familial BAV, which provides novel insight into the molecular mechanism of BAV,
implying potential implications for genetic counseling and personalized
management of BAV patients.
PMID- 29653234
TI - Mepolizumab use: Post-approval academic practice experience.
PMID- 29653233
TI - Three novel variants (p.Glu178Lys, p.Val245Met, p.Ser250Phe) of the phenylalanine
hydroxylase (PAH) gene impair protein expression and function in vitro.
AB - Phenylketonuria (PKU) is the most common inherited metabolic disease, an
autosomal recessive disorder affecting >10,000 newborns each year globally. It
can be caused by over 1000 different naturally occurring mutations in the
phenylalanine hydroxylase (PAH) gene. We analyzed three novel naturally occurring
PAH gene variants: p.Glu178Lys (c.532G>A), p.Val245Met (c.733G>A) and p.Ser250Phe
(c.749C>T). The mutant effect on the PAH enzyme structure and function was
predicted by bioinformatics software. Vectors expressing the corresponding PAH
variants were generated for expression in E. coli and in HEK293T cells. The RNA
expression of the three PAH variants was measured by quantitative reverse
transcription polymerase chain reaction (RT-qPCR). The mutant PAH protein levels
were determined by sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS
PAGE), western blot and enzyme-linked immunosorbent assay (ELISA). All three
variants were predicted to be pathogenic by bioinformatics analysis. The
transcription of the three PAH variants was similar to the wild type PAH gene in
HEK293T cells. In contrast, the levels of mutant PAH proteins decreased
significantly compared to the wild type control, in both E. coli and HEK293T
cells. Our results indicate that the three novel PAH gene variants (p.Glu178Lys,
p.Val245Met, p.Ser250Phe) impair PAH protein expression and function in
prokaryotic and eukaryotic cells.
PMID- 29653235
TI - Factors correlated with repeated aspirin dosing during aspirin desensitization.
AB - BACKGROUND: Aspirin desensitization is an appropriate procedure for many patients
with aspirin-exacerbated respiratory disease (AERD). Patients can require aspirin
re-dosing, which prolongs the desensitization process. The frequency of this is
not widely reported, nor is it known which patients will require multiple re
dosing. OBJECTIVE: To determine the frequency of and factors associated with
repeat aspirin re-dosing during desensitization. METHODS: Charts of aspirin
desensitization procedures from 2011 to 2016 at the University of Michigan
Allergy/Immunology Clinic were reviewed. Reactions with provoking doses and
number of dose repetitions were characterized. Previous AERD history, medical
history, medications, and baseline spirometry were also recorded. Bivariate
correlation and multivariate logistic regression were used to analyze
associations between patient characteristics and need for repeated dosing of
aspirin. RESULTS: A total of 84 positive-reacting patients during desensitization
were identified. Of these patients, 33% required 2 or more aspirin dose
repetitions during desensitization. Requiring 2 or more repeat doses during
desensitization was associated with male gender (odds ratio = 6.194, P = .008),
forced expiratory volume in 1 second (FEV1) decrease during desensitization (odds
ratio = 1.075 per percent point drop, P = .021), and initial aspirin provoking
dose during desensitization of 81 mg or lower (odds ratio = 11.111, P = .003). No
association was found with pre-desensitization medications, asthma severity, AERD
duration, or number/character of reported previous aspirin reactions. CONCLUSION:
During aspirin desensitization for AERD, approximately one third of our patients
require multiple repeat doses. Risk factors for multiple repeated doses include
male gender, drop in FEV1, and lower aspirin provoking doses during
desensitization. This information can help inform which patients may require
multiple re-dosing for desensitization.
PMID- 29653236
TI - Chromosome 1q23.3q31.1 deletion associated with decreased newborn screening of T
cell receptor rearrangement circles (TRECs).
PMID- 29653237
TI - Oral corticosteroids should be available on-hand at home for the next asthma
exacerbation!
PMID- 29653238
TI - The pediatric asthma yardstick: Practical recommendations for a sustained step-up
in asthma therapy for children with inadequately controlled asthma.
AB - Current asthma guidelines recommend a control-based approach to management
involving assessment of impairment and risk followed by implementation of
treatment strategies individualized according to the patient's needs and
preferences. However, for children with asthma, achieving control can be elusive.
Although tools are available to help children (and families) track and manage day
to-day symptoms, when and how to implement a longer-term step-up in care is less
clear. Furthermore, treatment is challenged by the 3 age groups of childhood
adolescence (12-18 years old), school age (6-11 years old), and young children
(<=5 years old)-and what works for 1 age group might not be the best approach for
another. The Pediatric Asthma Yardstick provides an in-depth assessment of when
and how to step-up therapy for the child with not well or poorly controlled
asthma. Development of this tool follows others in the Yardstick series,
presenting patient profiles and step-up strategies based on current guidance
documents, but modified according to newer data and the authors' combined
clinical experience. The objective is to provide clinicians who treat children
with asthma practical and clinically relevant recommendations for each step-up
and each intervention, with the intent of helping practitioners better treat
their pediatric patients with asthma, particularly those who do not always
respond to recommended therapies.
PMID- 29653239
TI - Comments on "vascularised fibula or induced membrane to treat congenital
pseudarthrosis of the tibia: A multicentre study of 18 patients with a mean 9.5
year follow-up" of F. Vigouroux, G. Mezzadri, R. Parot, A. Gazarian, S. Pannier,
F. Chotel. Published in Orthop Traumatol Surg Res. 2017 Sep;103:747-753.
PMID- 29653240
TI - Medial meniscus posterior root tear induces pathological posterior extrusion of
the meniscus in the knee-flexed position: An open magnetic resonance imaging
analysis.
AB - BACKGROUND: A medial meniscus posterior root tear (MMPRT) is defined as an injury
to the posterior meniscal insertion on the tibia. In MMPRT, the medial meniscus
(MM) hoop function is damaged, and the MM undergoes a medial extrusion into the
interior from the superior articular surface of the tibia. However, the details
of MM position and movement during knee joint movement are unclear in MMPRT
cases. The present study aims to evaluate MM position and movement via magnetic
resonance imaging (MRI) examination of the MM posterior extrusion (MMPE) at knee
flexion angles of 10 degrees and 90 degrees . We hypothesized that, during knee
flexion, the MM will shift to the posterior and the posterior extrusion will
increase compared to that when the knee is extended. MATERIALS AND METHODS:
Twenty-four patients were diagnosed with symptomatic MMPRT on open MRI
examination. Preoperative MMPE, anteroposterior interval (API) of the MM, and MM
medial extrusion (MMME) at knee flexion angles of 10 degrees and 90 degrees
were measured. RESULTS: For patients with MMPRT, the MMPE increased from -4.77+/
1.43mm to 3.79+/-1.17mm (p<0.001) when the knee flexion angle increased from 10
degrees to 90 degrees . Further, flexing the knee from 10 degrees to 90 degrees
decreased the API of the MM from 20.19+/-4.22mm to 16.41+/-5.14mm (p<0.001).
MMME showed no significant change between knee flexion angles of 10 degrees and
90 degrees . DISCUSSION: This study demonstrated that, in cases of MMPRT, the
MMPE clearly increases when the knee is flexed to 90 degrees , while MMME does
not change. Our results suggest that open MRI examination can be used to evaluate
the dynamic position of the posterior MM by scanning the knee as it flexes to 90
degrees . LEVEL OF EVIDENCE: IV: retrospective cohort study.
PMID- 29653241
TI - Navigation for lower limb alignment during internal fixation of complex tibial
plateau fractures.
AB - In complex fractures of the proximal tibial metaphysis and epiphysis, possible
adverse outcomes after internal fixation include not only joint surface
incongruity, but also lower limb malalignment requiring revision surgery.
Navigation has been proven effective for the intraoperative control of lower limb
alignment during osteotomy and knee arthroplasty. In complex traumatic fractures,
temporary fixation by a locking screw plate allows sensor positioning followed by
navigation maneuvers to adjust lower limb alignment. If malalignment is found,
the construct can be modified economically by altering the diaphyseal fixation
without modifying the metaphyseal screws. The objective of this study was to
describe the use of navigation in three patients who required internal fixation
of tibial-plateau fractures.
PMID- 29653242
TI - Effect of combined flexion and external rotation on measurements of the proximal
femur from anteroposterior pelvic radiographs.
AB - INTRODUCTION: Fixed flexion and external rotation contractures are common in
patients with hip osteoarthritis and, in particular, before total hip replacement
(THR). We aimed to answer the following question: how does combined flexion and
external rotation of the femur influence the radiographic assessment of (1)
femoral offset (FO) (2) neck-shaft angle (NSA) and (3) distance (parallel to the
femoral axis) from greater trochanter to femoral head center (GT-FHC)?
HYPOTHESIS: Combined flexion and external rotation impact the accuracy of two
dimensional (2D) proximal femur measurements. MATERIALS AND METHODS: Three
dimensional (3D) CT segmentations of the right femur from 30 male and 42 female
subjects were acquired and used to build a statistical shape model. A cohort
(n=100; M:F=50:50) of shapes was generated using the model. Each 3D femur was
subjected to external rotation (0 degrees -50 degrees ) followed by flexion (0
degrees -50 degrees ) in 10 degrees increments. Simulated radiographs of each
femur in these orientations were produced. Measurements of FO, NSA and GT-FHC
were automatically taken on the 2D images. RESULTS: Combined rotations influenced
the measurement of FO (p<0.05), NSA (p<0.001), and GT-FHC (p<0.001). Femoral
offset was affected predominantly by external rotation (19.8+/-2.6mm [12.2 to
26.1mm] underestimated at 50 degrees ); added flexion in combined rotations only
slightly impacted measurement error (20.7+/-3.1mm [13.2 to 28.8mm] underestimated
at 50 degrees combined). Neck-shaft angle was reduced with flexion when external
rotation was low (9.5+/-2.1 degrees [4.4 to 14.2 degrees ] underestimated at 0
degrees external and 50 degrees flexion) and increased with flexion when
external rotation was high (24.4+/-3.9 degrees [15.7 to 31.9 degrees ]
overestimated at 50 degrees external and 50 degrees flexion). Femoral head
center was above GT by 17.0+/-3.4mm [3.9 to 22.1mm] at 50 degrees external and
50 degrees flexion. In contrast, in neutral rotation, FHC was 12.2+/-3.4mm [3.9
to 22.1mm] below GT. DISCUSSION: This investigation adds to current understanding
of the effect of femoral orientation on preoperative planning measurements
through the study of combined rotations (as opposed to single-axis). Planning
measurements are shown to be significantly affected by flexion, external
rotation, and their interaction. LEVEL OF EVIDENCE: IV Biomechanical study.
PMID- 29653243
TI - What's new in periprosthetic joint infection: Diagnosis and bacteria.
PMID- 29653244
TI - Opioid use following cervical spine surgery: trends and factors associated with
long-term use.
AB - BACKGROUND CONTEXT: Limited or no data exist evaluating risk factors associated
with prolonged opioid use following cervical arthrodesis. PURPOSE: The objectives
of this study were to assess trends in postoperative narcotic use among
preoperative opioid users (OUs) versus non-opioid users (NOUs) and to identify
factors associated with postoperative narcotic use at 1 year following cervical
arthrodesis. STUDY DESIGN/SETTING: This is a retrospective observational study.
PATIENT SAMPLE: The patient sample included 17,391 patients (OU: 52.4%)
registered in the Humana Inc claims dataset who underwent anterior cervical
fusion (ACF) or posterior cervical fusion (PCF) between 2007 and 2015. OUTCOME
MEASURES: Prolonged opioid usage was defined as narcotic prescription filling at
1 year following cervical arthrodesis. METHODS: Based on preoperative opioid use,
patients were identified as an OU (history of narcotic prescription filled within
3 months before surgery) or a NOU (no preoperative prescription). Rates of opioid
use were evaluated preoperatively for OU and trended for 1 year postoperatively
for both OU and NOU. Multivariable regression techniques investigated factors
associated with the use of narcotics at 1 year following ACF and PCF. Based on
the model findings, a web-based interactive app was developed to estimate 1-year
postoperative risk of using narcotics following cervical arthrodesis
(http://neuro-risk.com/opiod-use/ or
https://www.neurosurgerycost.com/opioid/opioid_use). RESULTS: Overall, 87.4% of
the patients (n=15,204) underwent ACF, whereas 12.6% (n=2187) underwent PCF. At 1
month following surgery, 47.7% of NOUs and 82% of OUs had a filled opioid
prescription. Rates of prescription opioids declined significantly to 7.8% in
NOUs versus 50.5% in OUs at 3 months, but plateaued at the 6- to 12-month
postoperative period (NOU: 5.7%-6.7%, OU: 44.9%-46.9%). At 1 year, significantly
higher narcotic prescription filling rates were observed in OUs compared with
NOUs (45.3% vs. 6.3%, p<.001). Preoperative opioid use was a significant driver
of 1-year narcotic use following ACF (odds ratio [OR]: 7.02, p<.001) and PCF (OR:
6.98, p<.001), along with younger age (<=50 years), history of drug dependence,
and lower back pain. CONCLUSIONS: Over 50% of the patients used opioids before
cervical arthrodesis. Postoperative opioid use fell dramatically during the first
3 months in NOU, but nearly half of the preoperative OUs will remain on narcotics
at 1 year postoperatively. Our findings serve as a baseline in identifying
patients at risk of chronic use and encourage discontinuation of opioids before
cervical spine surgery.
PMID- 29653245
TI - Improved clinical documentation leads to superior reportable outcomes: An
accurate representation of patient's clinical status.
AB - INTRODUCTION: Clinical documentation can be an underappreciated. Trauma Centers
(TCs) are now routinely evaluated for quality performance. TCs with poor
documentation may not accurately reflect actual injury burden or comorbidities
and can impact accuracy of mortality measures. Markers exist to adjust crude
death rates for injury severity: observed over expected deaths (O/E) adjust for
injury; Case Mix Index (CMI) reflects disease burden, and Severity of Illness
(SOI) measures organ dysfunction. We aim to evaluate the impact of implementing a
Clinical Documentation Improvement Program (CDIP) on reported outcomes. METHODS:
Review of 2-years of prospectively collected data for trauma patients, during the
implementation of CDIP. A two-group prospective observational study design was
used to evaluate the pre-implementation and the post-implementation phase of
improved clinical documentation. T-test and Chi-Squared were used with
significance defined as p < 0.05. RESULTS: In the pre-implementation period,
there were 49 deaths out of 1419 (3.45%), while post-implementation period, had
38 deaths out of 1454 (2.61%), (non-significant). There was however, a
significant difference between O/E ratios. In the pre-phase, the O/E was 1.36 and
0.70 in the post-phase (p < 0.001). The two groups also differed on CMI with a
pre-group mean of 2.48 and a post-group of 2.87 (p < 0.001), indicating higher
injury burden in the post-group. SOI started at 2.12 and significantly increased
to 2.91, signifying more organ system dysfunction (p < 0.018). CONCLUSION:
Improved clinical documentation results in improved accuracy of measures of
mortality, injury severity, and comorbidities and a more accurate reflection in
O/E mortality ratios, CMI, and SOI.
PMID- 29653246
TI - Duodenal fistula: The most lethal surgical complication in a case series of
radical gastrectomy.
AB - BACKGROUND: Despite all advances regarding the surgical treatment of gastric
cancer (GC), duodenal stump fistula (DF) continues to negatively affect
postoperative outcomes. This study aimed to assess DF regarding its incidence,
risk factors, management and impact on overall survival. METHODS: We
retrospectively analyzed 562 consecutive patients who underwent gastrectomy for
GC between 2009 and 2017. Clinicopathological characteristics analysis was
performed comparing DF, other surgical fistulas and patients with uneventful
postoperative course. RESULTS: DF occurred in 15 (2.7%) cases, and 51 (9%)
patients had other surgical fistulas. Tumor located in the lower third of the
stomach (p = 0.021) and subtotal gastrectomy (p = 0.002) were associated with
occurrence of DF. The overall mortality rate was 40% for DF and 15.7% for others
surgical fistulas (p = 0.043). The median time of DF onset was on postoperative
day 9 (range 1-75). Conservative approach was performed in 8 patients and
surgical intervention in 7 cases. Age (OR 7.41, p = 0.012) and DF (OR 9.06,
p=0.020) were found to be independent risk factors for surgical mortality.
Furthermore, patients without fistula had better long-term survival outcomes
comparing to patients with any type of fistulas (p = 0.006). CONCLUSION: DF is
related with distal tumors and patients submitted to subtotal gastrectomy. It
affects not only the postoperative period with high morbidity and mortality
rates, but may also have a negative impact on long-term survival.
PMID- 29653247
TI - Somatosensory changes at forearm donor sites following three different surgical
flap techniques.
AB - BACKGROUND: The aim of this study was to investigate the somatosensory changes at
the forearm donor region after using different types of modified flap surgical
techniques. METHODS: Thirty-one patients, who underwent oral and maxillofacial
reconstructive surgery involving the use of a traditional radial forearm flap
(TRFF) or two modified radial forearm flap techniques (MRFF-I; MRFF-II),
participated in the study. Cold detection threshold (CDT), warm detection
threshold (WDT), cold pain threshold (CPT), heat pain threshold (HPT), pressure
pain threshold (PPT), mechanical detection threshold (MDT), and mechanical pain
threshold (MPT) were assessed at four sites of the forearms corresponding to the
middle of the vascular pedicle (VP) area, the middle of the forearm flap area,
and the corresponding contralateral sites (cVP and cFF) at about 5.0 +/- 1.9
months after the surgery. Data were analysed with one-way ANOVA, and post-hoc
tests were performed using Tukey's Honest Significant Difference test. RESULTS:
Significant differences between the VP and cVP sites were detected for WDT (P <
0.001) in TRFF and for WDT (P < 0.001) and MDT (P = 0.006) in MRFF-I. Significant
differences among TRFF, MRFF-I, and MRFF-II at the VP site were detected for CDT
(P = 0.022), WDT (P < 0.001), and MDT (P = 0.015). MRFF-II was associated with
significantly higher sensitivity compared to that of TRFF for WDT (P = 0.017) and
higher sensitivity compared to that of MRFF-I for CDT (P = 0.017), WDT (P <
0.001), and MDT (P = 0.013). CONCLUSIONS: Significant sensory loss was detected
for all types of surgical procedures with free forearm flaps. However, the MRFF
II was associated with a better sensory recovery at short follow-up after
surgery. These results suggest that a longer follow-up period and larger sample
size should be included in future studies.
PMID- 29653248
TI - Core trainee boot camp, a method for improving technical and non-technical skills
of novice surgical trainees. A before and after study.
AB - INTRODUCTION: The transition to surgical training can be a stressful time for
trainees and is most evident during national handover periods where new graduates
start and senior trainees rotate to new programmes. During this time, patient
mortality can increase and Hospital efficiency reduces. This influence is
compounded by the impact of working time directives. Intensive, simulation rich
training programmes or "Boot Camps" have been postulated as a solution. This
article highlights the development of a surgical boot camp for novice surgical
trainees and the impact this can have on training. METHOD: A novel surgical boot
camp was developed for all trainees within a surgical training region including
nine acute NHS trusts. Participating cohort of trainees completed pre and post
course questionnaires to assess technical and non-technical skills. RESULTS: 25
trainees attended and completed the pre and post boot camp questionnaire.
Significant improvements were seen with technical skills (p = 0.0429), overall
non-technical skills (p < 0.001) including leadership (p = 0.022), communication
(p = 0.010), situational awareness (p = 0.022), patient handover (p = 0.003),
ward round skills (p = 0.005) and outpatient skill (p = 0.002). Trainees reported
significantly increased ability to assess and manage a critically unwell patient
(p = 0.001) and a trauma patient (p = 0.001). 96% of trainees have utilised the
skills they learnt on Boot Camp and all trainees would recommend it as an
induction programme. CONCLUSION: Surgical Boot Camps offer a timely chance to
develop technical and non-technical skills whilst enhancing a trainee's
confidence and knowledge and reduce the patient safety impact of the handover
period.
PMID- 29653249
TI - Directing neuronal cell fate in vitro: Achievements and challenges.
AB - Human pluripotent stem cell (PSC) technology and direct somatic cell
reprogramming have opened up a promising new avenue in the field of neuroscience.
These recent advances allow researchers to obtain virtually any cell type found
in the human brain, making it possible to produce and study functional neurons in
laboratory conditions for both scientific and medical purposes. Although distinct
approaches have shown to be successful in directing neuronal cell fate in vitro,
their refinement and optimization, as well as the search for alternative
approaches, remains necessary to help realize the full potential of the
eventually derived neuronal populations. Furthermore, we are currently limited in
the number of neuronal subtypes whose induction is fully established, and
different cultivation protocols for each subtype exist, making it challenging to
increase the reproducibility and decrease the variances that are observed between
different protocols. In this review, we summarize the progress that has been made
in generating various neuronal subtypes from PSCs and somatic cells, with special
emphasis on chemically defined systems, transcription factor-mediated
reprogramming and epigenetic-based approaches. We also discuss the efforts that
are being made to increase the efficiency of current protocols and address the
potential for the use of these cells in disease modelling, drug discovery and
regenerative medicine.
PMID- 29653251
TI - Probabilistic guidance for catheter tip motion in cardiac ablation procedures.
AB - Radiofrequency catheter ablation is one of the commonly available therapeutic
methods for patients suffering from cardiac arrhythmias. The prerequisite of
successful ablation is sufficient energy delivery at the target site. However,
cardiac and respiratory motion, coupled with endocardial irregularities, can
cause catheter drift and dispersion of the radiofrequency energy, thus prolonging
procedure time, damaging adjacent tissue, and leading to electrical reconnection
of temporarily ablated regions. Therefore, positional accuracy and stability of
the catheter tip during energy delivery is of great importance for the outcome of
the procedure. This paper presents an analytical scheme for assessing catheter
tip stability, whereby a sequence of catheter tip motion recorded at sparse
locations on the endocardium is decomposed. The spatial sliding component along
the endocardial wall is extracted from the recording and maximal slippage and its
associated probability are computed at each mapping point. Finally, a global map
is generated, allowing the assessment of potential areas that are compromised by
tip slippage. The proposed framework was applied to 40 retrospective studies of
congenital heart disease patients and further validated on phantom data and
simulations. The results show a good correlation with other intraoperative
factors, such as catheter tip contact force amplitude and orientation, and with
clinically documented anatomical areas of high catheter tip instability.
PMID- 29653252
TI - Inactivation of ceramide synthase 2 catalytic activity in mice affects
transcription of genes involved in lipid metabolism and cell division.
AB - The replacement of two consecutive histidine residues by alanine residues in the
catalytic center of ceramide synthase 2 in a new transgenic mouse mutant (CerS2
H/A) leads to inactivation of catalytic activity and reduces protein level to 60%
of the WT level. We show here by qRT-PCR and transcriptome analyses that several
transcripts of genes involved in lipid metabolism and cell division are
differentially regulated in livers of CerS2 H/A mice. Thus, very long chain
ceramides produced by CerS2 are required for transcriptional regulation of target
genes. The hepatocellular carcinomata previously described in old CerS2 KO mice
were already present in 8-week-old CerS2 H/A animals and thus are caused by the
loss of CerS2 catalytic activity already during early life.
PMID- 29653254
TI - Interactions between risk factors in the prediction of onset of eating disorders:
Exploratory hypothesis generating analyses.
AB - OBJECTIVE: Because no study has tested for interactions between risk factors in
the prediction of future onset of each eating disorder, this exploratory study
addressed this lacuna to generate hypotheses to be tested in future confirmatory
studies. METHOD: Data from three prevention trials that targeted young women at
high risk for eating disorders due to body dissatisfaction (N = 1271; M age 18.5,
SD 4.2) and collected diagnostic interview data over 3-year follow-up were
combined to permit sufficient power to predict onset of anorexia nervosa (AN),
bulimia nervosa (BN), binge eating disorder (BED), and purging disorder (PD)
using classification tree analyses, an analytic technique uniquely suited to
detecting interactions. RESULTS: Low BMI was the most potent predictor of AN
onset, and body dissatisfaction amplified this relation. Overeating was the most
potent predictor of BN onset, and positive expectancies for thinness and body
dissatisfaction amplified this relation. Body dissatisfaction was the most potent
predictor of BED onset, and overeating, low dieting, and thin-ideal
internalization amplified this relation. Dieting was the most potent predictor of
PD onset, and negative affect and positive expectancies for thinness amplified
this relation. CONCLUSIONS: Results provided evidence of amplifying interactions
between risk factors suggestive of cumulative risk processes that were distinct
for each disorder; future confirmatory studies should test the interactive
hypotheses generated by these analyses. If hypotheses are confirmed, results may
allow interventionists to target ultra high-risk subpopulations with more
intensive prevention programs that are uniquely tailored for each eating
disorder, potentially improving the yield of prevention efforts.
PMID- 29653253
TI - Cholesterol and bile acid-mediated regulation of autophagy in fatty liver
diseases and atherosclerosis.
AB - Liver is the major organ that regulates whole body cholesterol metabolism.
Disrupted hepatic cholesterol homeostasis contributes to the pathogenesis of
nonalcoholic steatohepatitis, dyslipidemia, atherosclerosis, and cardiovascular
diseases. Hepatic bile acid synthesis is the major catabolic mechanism for
cholesterol elimination from the body. Furthermore, bile acids are signaling
molecules that regulate liver metabolism and inflammation. Autophagy is a highly
conserved lysosomal degradation mechanism, which plays an essential role in
maintaining cellular integrity and energy homeostasis. In this review, we discuss
emerging evidence linking hepatic cholesterol and bile acid metabolism to
cellular autophagy activity in hepatocytes and macrophages, and how these
interactions may be implicated in the pathogenesis and treatment of fatty liver
disease and atherosclerosis.
PMID- 29653255
TI - Promoting Father Involvement for Child and Family Health.
AB - Paternal involvement in children's lives is associated with a variety of child
outcomes, including improved cognition, improved mental health, reduced obesity
rates, and asthma exacerbation. Given this evidence, the American Academy of
Pediatrics has promoted actions by pediatricians to engage fathers in pediatric
care. Despite these recommendations, the mother-child dyad, rather than the
mother-father-child triad, remains a frequent focus of care. Furthermore,
pediatric care is often leveraged to improve maternal health, such as screening
for maternal depression, but paternal health is infrequently addressed even as
men tend to exhibit riskier behaviors, poorer primary care utilization, and lower
life expectancy. Therefore, increasing efforts by pediatric clinicians to engage
fathers may affect the health of both father and child. These efforts to engage
fathers are informed by currently used definitions and measures of father
involvement, which are discussed here. Factors described in the literature that
affect father involvement are also summarized, including culture and context;
interpersonal factors; logistics; knowledge and self-efficacy; and attitudes,
beliefs, and incentives. Innovative ways to reach fathers both in the clinic and
in other settings are currently under investigation, including use of behavior
change models, motivational interviewing, mobile technologies, peer support
groups, and policy advocacy efforts. These modalities show promise in effectively
engaging fathers and improving family health.
PMID- 29653250
TI - Pluripotent stem cell-based therapy for Parkinson's disease: Current status and
future prospects.
AB - Parkinson's disease (PD) is one of the most common neurodegenerative disorders,
which affects about 0.3% of the general population. As the population in the
developed world ages, this creates an escalating burden on society both in
economic terms and in quality of life for these patients and for the families
that support them. Although currently available pharmacological or surgical
treatments may significantly improve the quality of life of many patients with
PD, these are symptomatic treatments that do not slow or stop the progressive
course of the disease. Because motor impairments in PD largely result from loss
of midbrain dopamine neurons in the substantia nigra pars compacta, PD has long
been considered to be one of the most promising target diseases for cell-based
therapy. Indeed, numerous clinical and preclinical studies using fetal cell
transplantation have provided proof of concept that cell replacement therapy may
be a viable therapeutic approach for PD. However, the use of human fetal cells as
a standardized therapeutic regimen has been fraught with fundamental ethical,
practical, and clinical issues, prompting scientists to explore alternative cell
sources. Based on groundbreaking establishments of human embryonic stem cells and
induced pluripotent stem cells, these human pluripotent stem cells have been the
subject of extensive research, leading to tremendous advancement in our
understanding of these novel classes of stem cells and promising great potential
for regenerative medicine. In this review, we discuss the prospects and
challenges of human pluripotent stem cell-based cell therapy for PD.
PMID- 29653257
TI - beta-adrenergic receptors reduce the threshold for induction and stabilization of
LTP and enhance its magnitude via multiple mechanisms in the ventral but not the
dorsal hippocampus.
AB - The hippocampus is a functionally heterogeneous structure with the cognitive and
emotional signal processing ascribed to the dorsal (DH) and the ventral
hippocampus (VH) respectively. However, the underlying mechanisms are poorly
understood. Noradrenaline is released in hippocampus during emotional arousal
modulating synaptic plasticity and memory consolidation through activation of
beta adrenergic receptors (beta-ARs). Using recordings of field excitatory
postsynaptic potentials from the CA1 field of adult rat hippocampal slices we
demonstrate that long-term potentiation (LTP) induced either by theta-burst
stimulation (TBS) that mimics a physiological firing pattern of hippocampal
neurons or by high-frequency stimulation is remarkably more sensitive to beta-AR
activation in VH than in DH. Thus, pairing of subthreshold primed burst
stimulation with activation of beta-ARs by their agonist isoproterenol (1 MUM)
resulted in a reliable induction of NMDA receptor-dependent LTP in the VH without
affecting LTP in the DH. Activation of beta-ARs by isoproterenol during
application of intense TBS increased the magnitude of LTP in both hippocampal
segments but facilitated voltage-gated calcium channel-dependent LTP in VH only.
Endogenous beta-AR activation contributed to the stabilization and the magnitude
of LTP in VH but not DH as demonstrated by the effects of the beta-ARs antagonist
propranolol (10 MUM). Exogenous (but not endogenous) beta-AR activation strongly
increased TBS-induced facilitation of postsynaptic excitability in VH. In DH,
isoproterenol only produced a moderate and GABAergic inhibition-dependent
enhancement in the facilitation of synaptic burst responses. Paired-pulse
facilitation did not change with LTP at any experimental condition suggesting
that expression of LTP does not involve presynaptic mechanisms. These findings
suggest that beta-AR may act as a switch that selectively promotes synaptic
plasticity in VH through multiple ways and provide thus a first clue to
mechanisms that underlie VH involvement in emotionality.
PMID- 29653256
TI - Impact of Presence of Children on Indoor Tobacco Restrictions in Households of
Urban and Rural Adult Tobacco Users.
AB - OBJECTIVES: Secondhand smoke exposure in children is changing as a result of new
public policy and electronic nicotine products (e-cigarettes). We examined
factors related to self-imposed indoor household tobacco restrictions, with
emphasis on children in the household and associations with combustible and
noncombustible product use. METHODS: A cross-sectional survey of urban and rural
Ohio adult tobacco users classified participants as exclusive combustible users,
smokeless tobacco (SLT) users, e-cigarette users, or dual users. They were
further stratified according to combustible or noncombustible product use and the
presence of indoor tobacco use restrictions. Multiple logistic regression
determined factors associated with indoor tobacco restrictions. RESULTS: A total
of 1210 tobacco users participated, including 25.7% with children living in the
home. Half allowed combustible and two thirds allowed noncombustible tobacco use
indoors. Urban location (odds ratio [OR] = 1.58), younger age (OR = 0.88 per 5
year), male sex (OR = 1.40), college education (OR = 1.40), household income of
more than $15,000 (OR = 1.78), and being married (OR = 2.43) were associated with
a higher likelihood of banning combustible products indoors. SLT (OR = 8.12) and
e-cigarette (OR = 5.85) users were more likely to have indoor bans compared to
combustible users. Children in the household (OR = 1.89), older age (OR = 1.12
per 5 years), and nonwhite race (OR = 1.68) were associated with a higher
likelihood of banning noncombustible products indoors. Combustible (OR = 4.54)
and e-cigarette (OR = 3.04) users were more likely than SLT users to have indoor
bans. CONCLUSIONS: Indoor restrictions on tobacco use remain infrequent in homes
with children and are associated with user type and socioeconomic factors. Public
policy should target modifiable risk factors for in-home secondhand smoke
exposure.
PMID- 29653258
TI - Cadmium inhibits lysine acetylation and succinylation inducing testicular injury
of mouse during development.
AB - The toxic effects of cadmium (Cd) in the reproductive system have been confirmed,
and lysine acetylation and succinylation play important roles in spermatogenesis.
However, little attention determined whether Cd could affect lysine acylation and
how it might have an impact on the reproductive system. Therefore, with the goal
of contributing to this subject, we have examined the effects of Cd on lysine
acetylation and succinylation of proteins in the germ cells of male mice testes
during different developmental stages. We adopted intraperitoneal injection of
cadmium chloride (1.2 mg/kg body weight) in mice once every 5 days from postnatal
day 5-60. The results showed that Cd could restrict GAPDH activity, ATP and cAMP
levels of germ cells to inhibit lysine acetylation and succinylation in the
testes, inducing reproductive injuries. Cd also restricts acetylation of histone
H4K5 and H4K12, which could result in failure of spermiogenesis. Remarkably,
polarized acetylation occurs in meiosis, and high-level acetylation occurs
earlier than high-level succinylation during spermatogenesis. Moreover, Cd has a
limited effect on body weight but reduces the weight of the testis and litter
size. Our research may provide a new way to reveal the mechanisms of Cd
reproductive toxicity related to lysine acetylation and succinylation.
PMID- 29653259
TI - Bisphenol A and its derivatives decrease expression of chemerin, which reverses
its stimulatory action in ovarian cancer cells.
AB - Chemerin is an adipocyte-secreted protein that associates with obesity,
inflammation, metabolic dysfunction, and carcinogenesis. Previous studies have
shown human granulosa cells to produce bioactive chemerin and its receptor
CMKLR1. In the present study, we demonstrated that the mRNA level of chemerin
receptor is higher in a granulosa cell tumor cell line than in epithelial cancer
cells, whereas chemerin expression and secretion were lower. Various exogenous
factors, such as bisphenol A and its halogenated derivatives tetrabromobisphenol
A and tetrachlorobisphenol A, can affect adipokine expression. For this reason,
we investigated the effects of bisphenol A and its derivatives on the expression
of chemerin and its receptor. At low nanomolar concentrations, BPA, TBBPA, and
TCBPA decreased chemerin expression and secretion only in granulosa cell tumor
COV434 cells by both peroxisome proliferator-activated receptor gamma and
estrogen receptor signaling pathways. Chemerin treatment had no effect on
proliferation of ovarian non-cancer and cancer cell lines. However, we also found
evidence to support the inhibition of BPA- and TBBPA-induced cell proliferation
by chemerin. Taken together, our results indicate for the first time that BPA and
its derivatives down-regulate chemerin expression, which can suppress the ability
of BPA to induce proliferation. Moreover, both PPARgamma and ERs were involved in
the BPA-induced decrease in chemerin expression, and its ratio was crucial to
exert these effects.
PMID- 29653260
TI - Comparative proteomic analysis of fluoride treated rat bone provides new insights
into the molecular mechanisms of fluoride toxicity.
AB - Long-term excessive intake of fluoride (F) could lead to chronic fluorosis. To
explore the underlying molecular mechanisms, present study is designed to
elucidate the effect of fluoride on proteome expression of bone in sodium
fluoride (NaF)-treated SD rats. Hematoxylin and eosin (H&E) staining was used to
determine the severity of osteofluorosis, and bone samples were submitted for
iTRAQ analysis. The results showed that the cortical thickness and trabecular
area of femur bone in medium- and high-dose groups were higher than in control
group. Contrary to this, trabecular area was reduced in the low-dose group,
indicating that the bone mass was increased in medium- and high-dose groups, and
decreased in the low-dose group. Thirteen (13), 35, and 34 differentially
expressed proteins were identified in low-, medium-, and high-dose group,
respectively. The medium- and high-dose groups shared a more similar protein
expression pattern. These proteins were mainly associated with collagen
metabolism, proteoglycans (PGs), matrix metalloproteinases (MMPs), etc. The
results suggested that the effect of NaF on SD rats is in a dose-dependent
manner. Some key proteins found here may be involved in affecting the bone
tissues and bone marrow or muscle, and account for the complex pathology and
clinical symptoms of fluorosis.
PMID- 29653261
TI - Assessment of Obstetric and Gynecologic Food and Drug Administration Device
Approvals and Recalls.
AB - STUDY OBJECTIVE: To evaluate and compare the recall rates of obstetric and
gynecologic devices approved via the Food and Drug Administration's 510(k) and
premarket approval (PMA) processes. DESIGN: A retrospective observational study
(Canadian Task Force classification II-2). SETTING: Clinical settings in the
United States that use obstetric and gynecologic devices. SUBJECTS: Two thousand
two hundred forty-nine Food and Drug Administration-approved obstetric and
gynecologic devices that were recalled between November 1, 2002, and December 31,
2017. MEASUREMENTS AND MAIN RESULTS: The class of device, class of recall, date
of recall, and original approval process were obtained for each device. These
were compared against the total number of approved devices during this time
period in the PMA and 510(k) processes. Recall proportions of each process were
calculated and compared. A total of 685 devices were approved via the PMA
process, and 1564 devices were approved via the 510(k) process in the observed
time period. Of these, 1.17% of the PMA-approved devices and 15.98% of the 510(k)
approved devices were recalled (p < .001). There was an overall increase in
absolute device recall numbers over time in the 510(k) process, whereas the
number of recalls in the PMA process did not change with time. CONCLUSION: The
recall event rate for the 510(k) approval process is 13.6 times the rate for the
PMA approval process for obstetric and gynecologic devices. Analysis of the
results suggests improper device risk classification, inappropriate assignment of
the approval process, increased device malfunctions, recalls by the 510(k)
process and, therefore, increased risk to patients by these devices. This
warrants a call for improvement and increased scrutiny in the 510(k) approval
process for devices used in obstetrics and gynecology.
PMID- 29653262
TI - Laparoscopic Removal of Migration of a Chinese Ring Intrauterine Device.
PMID- 29653263
TI - Author's Reply.
PMID- 29653264
TI - Helminth infection in mice improves insulin sensitivity via modulation of gut
microbiota and fatty acid metabolism.
AB - Intestinal helminths are prevalent in individuals who live in rural areas of
developing countries, where obesity, type 2 diabetes, and metabolic syndrome are
rare. In the present study, we analyzed the modulation of the gut microbiota in
mice infected with the helminth Strongyloides venezuelensis, and fed either a
standard rodent chow diet or high-fat diet (HFD). To investigate the effects of
the microbiota modulation on the metabolism, we analyzed the expression of tight
junction proteins present in the gut epithelium, inflammatory markers in the
serum and tissue and quantified glucose tolerance and insulin sensitivity and
resistance. Additionally, the levels of lipids related to inflammation were
evaluated in the feces and serum. Our results show that infection with
Strongyloides venezuelensis results in a modification of the gut microbiota, most
notably by increasing Lactobacillus spp. These modifications in the microbiota
alter the host metabolism by increasing the levels of anti-inflammatory
cytokines, switching macrophages from a M1 to M2 pattern in the adipose tissue,
increasing the expression of tight junction proteins in the intestinal cells
(thereby reducing the permeability) and decreasing LPS in the serum. Taken
together, these changes correlate with improved insulin signaling and
sensitivity, which could also be achieved with HFD mice treated with probiotics.
Additionally, helminth infected mice produce higher levels of oleic acid, which
participates in anti-inflammatory pathways. These results suggest that modulation
of the microbiota by helminth infection or probiotic treatment causes a reduction
in subclinical inflammation, which has a positive effect on the glucose
metabolism of the host.
PMID- 29653265
TI - Proteomic analysis of chemosensory organs in the honey bee parasite Varroa
destructor: A comprehensive examination of the potential carriers for
semiochemicals.
AB - We have performed a proteomic analysis on chemosensory organs of Varroa
destructor, the honey bee mite, in order to identify putative soluble carriers
for pheromones and other olfactory cues emitted by the host. In particular, we
have analysed forelegs, mouthparts (palps, chelicera and hypostome) and the
second pair of legs (as control tissue) in reproductive and phoretic stages of
the Varroa life cycle. We identified 958 Varroa proteins, most of them common to
the different organs and stages. Sequence analysis shows that four proteins can
be assigned to the odorant-binding protein (OBP)-like class, which bear some
similarity to insect OBPs, but so far have only been reported in some
Chelicerata. In addition, we have detected the presence of two proteins belonging
to the Niemann-Pick family, type C2 (NPC2), which have also been suggested as
semiochemical carriers. Biological significance: The mite Varroa destructor is
the major parasite of the honey bee and is responsible for great economical
losses. The biochemical tools used by Varroa to detect semiochemicals produced by
the host are still largely unknown. This work contributes to understand the
molecular basis of olfaction in Varroa and, more generally, how detection of
semiochemicals has evolved in terrestrial non-hexapod Arthropoda. Moreover, the
identification of molecular carriers involved in olfaction can contribute to the
development of control strategies for this important parasite.
PMID- 29653266
TI - Proteome-based systems biology in chronic pain.
AB - Chronic pain represents a major medical challenge in the 21st century. Enormous
efforts have been invested towards deciphering the complexity of chronic pain
from different angles (molecular, physiological, psychosocial, and behavioral) in
both preclinical and clinical settings. While progress has been made, our
understanding of the underlying mechanisms of chronic pain remains insufficient.
Consequently, chronic pain treatment is often inadequate. It lacks efficacy in
most patients and is associated with detrimental side effects - a situation which
calls for urgent changes in pain research and management. In this review we
propose that protein-centric systems biology can significantly contribute to pain
research. This approach may introduce the long-awaited paradigm shift in pain
research from single targets to multidimensional cellular networks. We critically
discuss how recent advances in reproducible and comprehensive proteome profiling
can be exploited by pain researchers in the following ways: to gain mechanistic
insights into chronic pain and its diverse forms, to facilitate clinical trials
and the search for new drug targets, and to objectively assess chronic pain and
its stages in individual patients by defining so-called protein disease
signatures (PDS). We feel that the integration of proteomics into the toolbox of
pain researchers and physicians alike will open new avenues towards a better
understanding and management of chronic pain. SIGNIFICANCE STATEMENT: The immense
challenges associated with chronic pain call for urgent changes in pain research
and management. Here, we highlight the enormous potential of a proteome-based
systems biology approach for advancing our understanding of chronic pain from a
mechanistic, translational and clinical angle.
PMID- 29653267
TI - Emerging landscape of circular RNAs in lung cancer.
AB - Lung cancer, the leading cause of cancer deaths worldwide, is characterized with
malignant cell growth. Advances in next-generation sequencing has helped us
further understand RNA and identify novel circular RNAs (circRNAs) that may be
useful in the early diagnosis and treatment of lung cancer. Similar to other
noncoding RNAs, circRNAs present diverse biological functions in normal and
disease states, including various types of cancers. This review focuses mainly on
the poorly understood functions of circRNA in lung cancer. This paper also
summarizes the recent advances in circRNA biogenesis, analyzes the role of
circRNAs in cancers, and discusses the potential mechanisms of circRNAs in lung
cancer.
PMID- 29653268
TI - BBI608 inhibits cancer stemness and reverses cisplatin resistance in NSCLC.
AB - Non-small cell lung cancer (NSCLC) is the most common cause of cancer-related
deaths worldwide. While partial or complete tumor regression can be achieved in
patients, particularly with cisplatin-based strategies, these initial responses
are frequently short-lived and are followed by tumor relapse and chemoresistance.
Identifying the root of cisplatin resistance in NSCLC and elucidating the
mechanism(s) of tumor relapse, is of critical importance in order to determine
the point of therapeutic failure, which in turn, will aid the discovery of novel
therapeutics, new combination strategies and a strategy to enhance the efficacy
of current chemotherapeutics. It has been hypothesized that cancer stem cells
(CSCs) may be the initiating factor of resistance. We have previously identified
and characterized an aldehyde dehydrogenase 1 CSC subpopulation in cisplatin
resistant NSCLC. BBI608 is a small molecule STAT3 inhibitor known to suppress
cancer relapse, progression and metastasis. Here, we show that BBI608 can inhibit
stemness gene expression, deplete CSCs and overcome cisplatin resistance in
NSCLC.
PMID- 29653269
TI - MicroRNA-26a is a key regulon that inhibits progression and metastasis of c
Myc/EZH2 double high advanced hepatocellular carcinoma.
AB - The transcription factor c-Myc is a key driver for hepatocellular carcinomas
(HCCs), while the polycombrepressive complex 2 (PRC2) subunit EZH2 is an
essential biomarker of HCC. c-Myc epigenetically silences tumor suppressors by
recruiting PRC2 and inducing methylation of histone H3 lysine 27. However, it
remains elusive how they are regulated in HCC. We found here that microRNA-26a
(miR-26a) suppresses c-Myc, a classical Wnt pathway target gene, by targeting the
Wnt pathway coactivator, cyclin-dependent kinase 8 (CDK8); miR-26a also directly
targets and inhibits EZH2. The expression of MIR26A2, a predominant origin of miR
26a transcripts in hepatic cells, is repressed by c-Myc/PRC2, thereby forming a c
Myc/miR-26a/CDK8 regulatory circuit in HCC. Meanwhile, miR-26a suppresses
migration of HCC by targeting p21-activated kinase 2 (PAK2), a critical kinase
linking Rho GTPases to cytoskeleton reorganization. Consequently, in vivo
delivery of miR-26a remarkably suppressed the development of xenograft HCC and
metastasis of orthotopic HCC by downregulating c-Myc, CDK8 and PAK2. These
findings unraveled a novel mechanism of c-Myc and Wnt/beta-catenin interplay that
dictates HCC pathogenesis, and have implications for the potential applicability
of miRNA delivery in targeting the newly identified signaling axis and treating
metastatic HCCs.
PMID- 29653270
TI - Decompressive Craniotomy for Malignant Middle Cerebral Artery Infarction: Optimal
Timing and Literature Review.
AB - OBJECTIVE: To compare the results of early or delayed decompressive craniotomy
for patients with malignant middle cerebral artery infarction. METHODS: A
prospective randomized study was carried out of a series of 46 consecutive
patients with malignant middle cerebral artery territory infarction. Patients
were divided randomly into 2 groups: group I, 27 patients who were followed until
obvious deterioration of level of consciousness; group II, 19 patients who were
operated on prophylactically in 6 hours of presentation even with no clear
deterioration of level of consciousness or radiologic findings. Patients were
assessed clinically using the Glasgow Coma Scale, motor power by the United
Kingdom Medical Research Council, and functionally by the National Institutes of
Health Stroke Scale and modified Rankin Scale. Radiologically, patients had
primary magnetic resonance imaging on admission, followed by computed tomography
scan. Infarction behavior including volume of infarct area, midline shift, and
secondary hemorrhage were calculated. RESULTS: At final follow-up, both groups
showed good improvement in level of consciousness, motor power, and functional
outcome; however, statistically significant neurologic improvement was shown in
group II. Functional outcome also showed statistically significant improvement (P
< 0.05) in this ultraearly decompression group (group II). There was a
significant difference in mortality in both groups; more than half (52%) of group
I died as a result of delay in surgery or its other consequences. Another
significant difference was in the progression of infarction volume, which was
observed more in group I (statistically insignificant). CONCLUSIONS: Despite the
possible complications from surgery, early decompressive craniotomy (within 6
hours of ictus without waiting for neurologic deterioration) has a significant
impact on prognosis. Delay in transferring the patient, diagnosing the condition,
or taking the decision of surgery significantly affects mortality and overall
outcome.
PMID- 29653271
TI - Risk Factors, Additional Length of Stay, and Cost Associated with Postoperative
Ileus Following Anterior Lumbar Interbody Fusion in Elderly Patients.
AB - OBJECTIVE: To identify independent risk factors, additional length of stay, and
additional cost associated with postoperative ileus following anterior lumbar
interbody fusion in elderly patients. METHODS: The PearlDiver Patient Records
Database was queried for all Medicare patients >=65 years of age undergoing 1- or
2-level primary elective anterior lumbar interbody fusion from 2005 to 2014.
Independent risk factors, additional length of stay, and additional cost
associated with postoperative ileus were evaluated with multivariate analysis.
RESULTS: There were 13,139 patients identified, and 642 patients experienced
postoperative ileus within 3 days after surgery. Multivariate analysis identified
perioperative fluid or electrolyte imbalance (odds ratio = 4.03; 95% confidence
interval, 3.37-4.80; P < 0.001) and male sex (odds ratio = 1.72; 95% confidence
interval, 1.48-2.00; P < 0.001) as independent risk factors for ileus.
Multivariate analysis associated postoperative ileus with additional length of
stay of 2.83 +/- 0.11 days (P < 0.001) and additional cost of $2,349 +/- $419 (P
< 0.001). CONCLUSIONS: Patients with perioperative fluid and electrolyte
imbalances were 4 times as likely to experience postoperative ileus. Fluid
balance and electrolyte levels should be carefully monitored during the
perioperative period in patients undergoing anterior lumbar interbody fusion as a
potential means to reduce the incidence of postoperative ileus and the additional
length of stay and cost burden associated with this complication.
PMID- 29653272
TI - Evaluation of Microsurgery for Managing Giant or Complex Cerebral Aneurysms: A
Retrospective Study.
AB - OBJECTIVE: Surgical or endovascular treatment for giant or complex aneurysms is
challenging. The aims of this study were to evaluate clinical outcomes and
factors affecting the prognosis of giant or complex aneurysms and to better
establish the role of microsurgery in the management strategy. METHODS: One
hundred fifty-nine patients with surgically treated complex aneurysms were
included. Thirty-two patients (20.1%) had giant aneurysms (>=25 mm) and 57
(35.8%) had large aneurysms (>=15 mm). Poor outcome was defined as modified
Rankin Scale scores of 3-6. RESULTS: The mean aneurysm size was 17.0 mm (range,
1.6-47.5 mm). One hundred and sixteen aneurysms (80.0%) were in the anterior
circulation and 43 (27.0%) were in the posterior circulation. One hundred and
thirty-eight (86.8%) aneurysms were completely occluded without residual
aneurysms. Nineteen (11.9%) had minor aneurysm remnants; 2 (1.3%) had incomplete
occlusion. Two patients (1.3%) with giant basilar artery (BA) trunk aneurysms
experienced rupture of the treated aneurysm and died. Bypass surgery was combined
with microsurgery in 148 patients (93.1%). Perforating artery infarction was
observed postoperatively in 42 patients (26.4%), and poor outcome was observed in
29 (18.2%). Male sex (P = 0.016; adjusted odds ratio [OR], 4.524 [1.949-10.500]),
perforating artery infarction (P < 0.001; adjusted OR, 13.625 [5.329-34.837]),
and BA aneurysm location (P = 0.003; adjusted OR, 56.333 [6.830-464.657]) were
significantly related to poor outcome. The aneurysm size (P = 0.017; adjusted OR,
1.064 [1.021-1.107]), C1 aneurysm location (P = 0.042; adjusted OR, 2.591 [0.986
6.811]), and BA aneurysm location (P = 0.033; adjusted OR, 12.956 [3.197-52.505])
were significantly related to perforating artery infarction. CONCLUSIONS:
Microsurgery with bypass is effective for many different complex aneurysms,
except BA aneurysms.
PMID- 29653273
TI - Jacques-Joseph Bonhomme (1708-1793): Forgotten French Neuroanatomist and Surgeon.
AB - Although relatively little has been written of Jacques-Joseph Bonhomme's life
(1708-1793) and contribution, his descriptions of the brain "Traite de la
Cephalotomie" (Treatise on Dissection of the Head) were among the most accurate
of his day. Published in 1748 in Avignon (France), this book remained a valuable
resource to anatomists and surgeons as it was highlighted in many publications.
Bonhomme was one of the first surgeons to study brain details in the skull's
natural position. The brain was cut perpendicularly along the median line with
new techniques of dissection (by the bottom of the head and not by the top). He
has also been known for the accuracy of his illustrations. Bonhomme was appointed
anatomic demonstrator at the Hospital and the University of Avignon, a
prestigious position for a former "barber surgeon" at that time. His literary
merits established him as a respected scholar of neuroanatomy during his
lifetime. However, he did not have such a wide European reputation, probably
because he had no access to a chair of anatomy.
PMID- 29653274
TI - Letter to the Editor Regarding "Association Between Blood Glucose and Functional
Outcome in Intracerebral Hemorrhage: A Systematic Review and Meta-analysis".
PMID- 29653275
TI - Safety and Efficacy of Flow Diverter Treatment for Aneurysm in Small Cerebral
Vessels: A Systematic Review and Meta-Analysis.
AB - PURPOSE: To clarify the safety and efficacy of flow diverter (FD) treatment for
aneurysm in small cerebral vessels, we conducted a systematic review of the
literature analyzing perioperative and long-term clinical and angiographic
outcomes. METHODS: A comprehensive review of the up-to-date literature for
studies with >=10 patients related to FD treatment of small vessel aneurysms
published was performed. Random-effects meta-analysis was used to pool the
following outcomes: complete occlusion, technical procedural success,
perioperative mortality, perioperative stroke, procedure-related morbidity and
mortality, all complications, long-term mortality, and overall good outcome.
RESULTS: We included 26 noncomparative studies with 572 target aneurysms. The
technical procedural success rate was 96% (95% confidence interval [CI] 0.93
1.00). At final follow-up, the complete occlusion rate was 70% (95% CI 0.64
0.76). The all-cause morbidity rate was 20% (95% CI 0.14-0.25). Procedure-related
morbidity and mortality rates were 9% (95% CI 0.07-0.12) and 4% (95% CI 0.00
0.08), respectively. Overall long-term good outcome rate was 96% (95% CI 0.93
0.99). In the 3 subgroup analyses, complete occlusion rate of saccular aneurysms
was lower than that of nonsaccular aneurysms (55% vs. 73%, respectively; odds
ratio 0.40, 95% CI 0.17-0.98, P = 0.04). The procedure-related morbidity rate and
the good outcome rate were not significantly different in this subgroup. In the
other 2 subgroups in which we compared anterior circulation aneurysms with
posterior circulation aneurysms and single FD strategy with overlapped FD
strategy, the rates were also not significantly different. CONCLUSIONS: Our meta
analysis demonstrated that FD treatment of small vessel aneurysms is technically
feasible and effective with a high rate of complete occlusion. Although the
comorbidities of patients cannot be neglected, the FD approach was associated
with an acceptable rate of procedure-related morbidity and a satisfactory rate of
overall good outcome.
PMID- 29653276
TI - In Vivo Microscopy in Neurosurgical Oncology.
AB - Intraoperative neurosurgical histopathologic diagnoses rely on evaluation of
rapid tissue preparations such as frozen sections and smears with conventional
light microscopy. Although useful, these techniques are time consuming and
therefore cannot provide real-time intraoperative feedback. In vivo molecular
imaging techniques are emerging as novel methods for generating real-time
diagnostic histopathologic images of tumors and their surrounding tissues. These
imaging techniques rely on contrast generated by exogenous fluorescent dyes,
autofluorescence of endogenous molecules, fluorescence decay of excited
molecules, or light scattering. Large molecular imaging instruments are being
miniaturized for clinical in vivo use. This review discusses pertinent imaging
systems that have been developed for neurosurgical use and imaging techniques
currently under development for neurosurgical molecular imaging.
PMID- 29653277
TI - "Crick" in Neck Followed by Massage Led to Stroke: Uncommon Case of Vertebral
Artery Dissection.
AB - BACKGROUND: Vertebral artery dissection (VAD) is an important cause of stroke in
young and a known complication of spinal manipulation procedures, although
dissection following neck massage has rarely been reported in literature. Head
and neck massage by improperly trained salon employees is very popular and widely
practiced in developing countries like India. In the present report we present a
case of VAD following neck massage. MATERIAL AND METHODS: We present an unusual
case of VAD in a 30-year-old male patient following an episode of neck massage.
He developed headache, nausea, vomiting, blurred vision, diplopia, dizziness, and
ataxia following the procedure. Initial history and examination suggested that
the patient's symptoms were vascular in origin. We also discuss a brief review of
the pathology, diagnosis, symptomatology, treatment, prognosis, and occurrence of
this rare entity. RESULTS: Computed tomography and magnetic resonance imaging of
the brain revealed acute infarction of the left cerebellar hemisphere. Digital
subtraction angiography showed narrowing and dilatation of the V3 segment of the
left vertebral artery with narrowing of the V4 segment consistent with
dissection, along with a cavernous segment aneurysm of the contralateral internal
carotid artery. CONCLUSION: This report illustrates the potential hazards
associated with neck massage. The vertebral arteries are at risk for dissection,
which can lead to acute stroke. This case also suggests that careful history
taking and awareness of the symptoms of VAD are necessary to diagnose this entity
as timely diagnosis and treatment can prevent permanent disability or even death.
PMID- 29653278
TI - Psychometric Validation of the Korean Version of the Camberwell Assessment of
Need for the Elderly (CANE-K) in Dementia.
AB - PURPOSE: The prevalence of dementia has increased rapidly with an aging Korean
population. Compared to those without dementia, individuals with dementia have
more and complex needs. In this study, the Korean version of the Camberwell
Assessment of Need for the Elderly (CANE-K) was evaluated to determine its
suitability for individuals with dementia in Korea. METHODS: The CANE-K was
developed following linguistic validation. The reliability of the measurement was
examined with Cronbach's alpha coefficient. The factor structure and construct
validity were evaluated by performing exploratory factor analysis (EFA) and
confirmatory factor analyses (CFA). Pearson's correlation coefficients with
related measures were used to ensure concurrent validity. RESULTS: Four factors
extracted with EFA and CFA validated the model structure (X2 = 367.25, p = .000,
goodness of fit index = .84, adjusted goodness of fit index = .80, root mean
square error of approximation = .07, and comparative fit index = .83). Items on
the CANE-K loaded on the four factors in a range between .40 and .80. The output
of Pearson's correlation coefficient with cognitive impairment, behavioral
problems, activities of daily living and caregiver burden showed acceptable
concurrent validity. CONCLUSION: The CANE-K showed a reasonable degree of
reliability and validity. Therefore, it has good potential to appropriately
measure the needs and unmet needs of those with dementia.
PMID- 29653279
TI - The impact of auditory white noise on semantic priming.
AB - It has been proposed that white noise can improve cognitive performance for some
individuals, particularly those with lower attention, and that this effect may be
mediated by dopaminergic circuitry. Given existing evidence that semantic priming
is modulated by dopamine, this study investigated whether white noise can
facilitate semantic priming. Seventy-eight adults completed an auditory semantic
priming task with and without white noise, at either a short or long inter
stimulus interval (ISI). Measures of both direct and indirect semantic priming
were examined. Analysis of the results revealed significant direct and indirect
priming effects at each ISI in noise and silence, however noise significantly
reduced the magnitude of indirect priming. Analyses of subgroups with higher
versus lower attention revealed a reduction to indirect priming in noise relative
to silence for participants with lower executive and orienting attention. These
findings suggest that white noise focuses automatic spreading activation, which
may be driven by modulation of dopaminergic circuitry.
PMID- 29653280
TI - The beneficial effect of a speaker's gestures on the listener's memory for action
phrases: The pivotal role of the listener's premotor cortex.
AB - Memory for action phrases improves in the listeners when the speaker accompanies
them with gestures compared to when the speaker stays still. Since behavioral
studies revealed a pivotal role of the listeners' motor system, we aimed to
disentangle the role of primary motor and premotor cortices. Participants had to
recall phrases uttered by a speaker in two conditions: in the gesture condition,
the speaker performed gestures congruent with the action; in the no-gesture
condition, the speaker stayed still. In Experiment 1, half of the participants
underwent inhibitory rTMS over the hand/arm region of the left premotor cortex
(PMC) and the other half over the hand/arm region of the left primary motor
cortex (M1). The enactment effect disappeared only following rTMS over PMC. In
Experiment 2, we detected the usual enactment effect after rTMS over vertex,
thereby excluding possible nonspecific rTMS effects. These findings suggest that
the information encoded in the premotor cortex is a crucial part of the memory
trace.
PMID- 29653282
TI - Dropped head syndrome with proximal myopathy revealing AL amyloidosis.
PMID- 29653281
TI - Intrauterine and lactational exposure to fluoxetine enhances endothelial
modulation of aortic contractile response in adult female rats.
AB - The study aimed to evaluate if maternal exposure to fluoxetine (FLX) during
pregnancy and lactation would result in altered aortic reactivity in adult
offspring. We also sought to understand the role of endothelium derived relaxing
factors in aortic response. Wistar rats (75-80 days old), whose progenitors had
received FLX (5 mg/kg, FLX offspring) or tap water (control offspring) during
pregnancy and lactation were anesthetized, after which the aorta was removed and
cut into two rings, one with (Endo+) and the other without (Endo-) endothelium.
Concentration-effect curves for acetylcholine (ACh), sodium nitroprusside (SNP),
and phenylephrine (Phe) were performed. The vasodilation to ACh and SNP was
similar between control and FLX groups in both male and female offspring. In male
rats, the response to Phe was similar between the FLX and control groups on Endo+
and Endo- rings. The response to Phe was reduced on Endo+ rings from female FLX
when compared with the control group. The endothelium removal, as well as L-NAME,
indomethacin, and tranylcypromine incubation corrected the reduced Phe-induced
contraction in the aorta from the female FLX group. On the other hand, catalase,
NS-398, and L-NIL did not interfere with the vasoconstriction. The aortic level
of nitric oxide (NO) washigher in the female FLX than the control group. Although
endothelial NO synthase isoform and cyclooxygenase (COX)-1 expressions were
similar between the groups, there was a notable increment in neuronal NO synthase
expression in the aorta of FLX-exposed female rats, suggesting an important role
of this enzyme in the higher levels of NO. Our results show that developmental
exposure to FLX causes sex-specific alteration in aorticfunction through a
mechanism involving endothelial factors, probably NO and COX-1 products.
PMID- 29653283
TI - Camptocormia with trigeminal involvement revealing myositis with anti-Ku
antibodies.
PMID- 29653284
TI - A 3-year back and forth between Modic type 1 and 2 on MRI in chronic low back
pain.
PMID- 29653285
TI - Adipokines and bone status in a cohort of anorexic patients.
AB - INTRODUCTION: Bone loss in anorexia nervosa (AN) is multifactorial; its
mechanisms are not yet clearly understood and may vary depending on disease
duration and severity. To determine to what extent adipokines may be involved in
the bone alterations found in anorexic patients, we evaluated plasma levels for
leptin, adiponectin and Pref-1 against other clinical and biological parameters
in a population of anorexic patients split according to weight and bone status.
METHODS: Plasma concentrations of leptin, total adiponectin, high molecular
weight (HMW) adiponectin, and Pref-1 were measured. The ratio of HMW adiponectin
to total adiponectin - HMW (percentage) - was calculated. We divided our
population into 5 groups with different phenotypes characterizing the severity of
the disease and/or the severity of bone involvement: 1 - Normal BMD and body mass
index (BMI): recovery from AN; 2 - Osteopenia (-217kg/m2; 3
Osteopenia and BMI<=17kg/m2; 4 - Osteoporosis (Z-score<=-2) and BMI>17kg/m2; 5 -
Osteoporosis and BMI<=17kg/m2. RESULTS: The study involved 80 anorexia nervosa
patients. Mean BMI was 16.8+/-2.4kg/m2. No significant difference was found in
total and HMW adiponectin plasma concentrations between the 5 groups. HMW
(percentage) was significantly higher in group 5 compared to group 1. Leptin was
significantly lower in groups 3 and 5 compared to the other groups. For the whole
group femoral neck and hip BMD correlated negatively with total adiponectin and
HMW adiponectin. No correlation was found between BMD (whatever the site) and
plasma leptin. Multivariate analysis revealed that 2 factors - leptin and BMI -
explained 10% of the variance in spine BMD. For femoral neck BMD, the 2
explanatory factors were BMI and total adiponectin which explained 14% of the
variance in BMD. For total hip BMD, 27% of the variance in BMD was explained by 3
factors: leptin, BMI, and total adiponectin. CONCLUSION: Bone status in anorexia
nervosa is mainly determined by BMI, leptin and adiponectin.
PMID- 29653286
TI - Stromal cell-laden 3D hydrogel microwell arrays as tumor microenvironment model
for studying stiffness dependent stromal cell-cancer interactions.
AB - Tumor properties such as growth and metastasis are dramatically dependent on the
tumor microenvironment (TME). However, the diversity of the TME including the
stiffness and the composition of the extracellular matrix (ECM), as well as the
involvement of stromal cells, makes it extremely difficult to establish proper in
vitro models for studying tumor growth and metastasis. In this research, we
fabricated a stromal cell-laden microwell array system with tunable stiffness
ranging from 200 Pa up to 3 kPa, which covers the stiffness range of normal and
cancerous mammary tissues, to study the effect of ECM stiffness on stromal-cancer
interaction. Our results showed that, tumor spheroids closely interacted with the
pre-adipocyte stromal cells encapsulated within the microwell array, influencing
their differentiation and maturation degree in a stiffness related manner. They
inhibited adipogenesis in high stiffness tissue constructs that were at breast
cancer stiffness range, while the inhibition effect diminished in the low
stiffness tissue constructs that were at normal human breast tissue range.
Furthermore, the 3D structure of tumor spheroids was shown to be important for
the inhibition of the adipogenesis, as conditioned media from monolayer culture
of cancer cells did not show any significant effect. These results show, for the
first time in literature, that stromal-cancer interactions are highly dependent
on ECM stiffness. The biomimetic TME platform developed here is a powerful organ
specific cancer model for studying the involvement of stromal cells in early
mammary tumorigenesis and metastasis, and could be powerful platform for high
throughput drug discovery.
PMID- 29653288
TI - pH protective Y1 receptor ligand functionalized antiphagocytosis BPLP-WPU
micelles for enhanced tumor imaging and therapy with prolonged survival time.
AB - Nanoparticle-based tumor therapies are extensively studied; however, few are
capable of improving patient survival time due to premature drug leakage, off
target effects, and poor tissue penetration. Previously, we successfully
synthesized a novel family of Y1 receptor (Y1R) ligand modified, photoluminescent
BPLP nanobubbles and nanoparticles for targeted breast cancer ultrasound imaging;
however, increased accumulation could also be observed in the liver, kidney, and
spleen, suggesting significant interaction of the particles with macrophages in
vivo. Herein, for the first time, we imparted antiphagocytosis capability to Y1R
ligand functionalized BPLP-WPU polymeric micelles through the incorporation of a
CD47 human glycoprotein based self-peptide. Application of self-peptide modified,
DOX loaded micelles in vivo resulted in a 100% survival rate and complete tumor
necrosis over 100 days of treatment. In vivo imaging of SPION loaded, self
peptide modified micelles revealed effective targeting to the tumor site while
analysis of iron content demonstrated reduced particle accumulation in the liver
and kidney, demonstrating reduced macrophage interaction, as well as a 2-fold
increase of particles in the tumor. As these results demonstrate, Y1R ligand,
self-peptide modified BPLP-WPU micelles are capable of target specific cancer
treatment and imaging, making them ideal candidates to improve survival rate and
tumor reduction clinically.
PMID- 29653287
TI - Detecting the functional complexities between high-density lipoprotein mimetics.
AB - High-density lipoprotein (HDL) is a key regulator of lipid homeostasis through
its native roles like reverse cholesterol transport. The reconstitution of this
natural nanoparticle (NP) has become a nexus between nanomedicine and multi
disease therapies, for which a major portion of HDL functionality is attributed
to its primary scaffolding protein, apolipoprotein A1 (apoA1). ApoA1-mimetic
peptides were formulated as cost-effective alternatives to apoA1-based therapies;
reverse-4F (r4F) is one such peptide used as part of a nanoparticle platform.
While similarities between r4F- and apoA1-based HDL-mimetic nanoparticles have
been identified, key functional differences native to HDL have remained
undetected. In the present study, we executed a multidisciplinary approach to
uncover these differences by exploring the form, function, and medical
applicability of engineered HDL-mimetic NPs (eHNPs) made from r4F (eHNP-r4F) and
from apoA1 (eHNP-A1). Comparative analyses of the eHNPs through computational
molecular dynamics (MD), advanced microfluidic NP synthesis and screening
technologies, and in vivo animal model studies extracted distinguishable eHNP
characteristics: the eHNPs share identical structural and compositional
characteristics with distinct differences in NP stability and organization; eHNP
A1 could more significantly stimulate anti-inflammatory responses characteristic
of the scavenger receptor class B type 1 (SR-B1) mediated pathways; and eHNP-A1
could outperform eHNP-r4F in the delivery of a model hydrophobic drug to an in
vivo tumor. The biomimetic microfluidic technologies and MD simulations uniquely
enabled our comparative analysis through which we determined that while eHNP-r4F
is a capable NP with properties mimicking natural eHNP-A1, challenges remain in
reconstituting the full functionality of NPs naturally derived from humans.
PMID- 29653289
TI - Src activation decouples cell division orientation from cell geometry in
mammalian cells.
AB - Orientation of cell division plane plays a crucial role in morphogenesis and
regeneration. Misoriented cell division underlies many important diseases, such
as cancer. Studies with Drosophila and C. elegance models show that Src, a proto
oncogene tyrosine-protein kinase, is a critical regulator of this aspect of
mitosis. However, the role for Src in controlling cell division orientation in
mammalian cells is not well understood. Using genetic and pharmacological
approaches and two extracellular signals to orient cell division, we demonstrated
a critical role for Src. Either knockout or pharmacological inhibition of Src
would retain the fidelity of cell division orientation with the long-axis
orientation of mother cells. Conversely, re-expression of Src would decouple cell
division orientation from the pre-division orientation of the long axis of mother
cells. Cell division orientation in human breast and gastric cancer tissues
showed that the Src activation level correlated with the degree of mitotic
spindle misorientation relative to the apical surface. Examination of proteins
associated with cortical actin revealed that Src activation regulated the
accumulation and local density of adhesion proteins on the sites of cell-matrix
attachment. By analyzing division patterns in the cells with or without Src
activation and through use of a mathematical model, we further support our
findings and provide evidence for a previously unknown role for Src in regulating
cell division orientation in relation to the pre-division geometry of mother
cells, which may contribute to the misoriented cell division.
PMID- 29653290
TI - Paternal Disease Activity Is Associated With Difficulty in Conception Among Men
With Inflammatory Bowel Diseases.
PMID- 29653292
TI - The study on polymorphisms of Sep15 and TrxR2 and the expression of AP-1
signaling pathway in Kashin-Beck disease.
AB - The aim of the study was to investigate the association between rs5859 in Sep15,
rs1139793 in TrxR2 polymorphisms with the risks of KBD and to detect the
expression of AP-1 pathway in KBD subjects and in vitro. 208 KBD and 206 control
subjects were included. PCR-Restriction Fragment Length Polymorphism (RFLP),
Amplification Refractory Mutation Specific-PCR (ARMS-PCR) and Western Blotting
were conducted. The results showed the minor A-allele frequency of rs5859 in KBD
was statistically significantly higher than that in the control group (P < 0.05).
The cases carrying A-allele had a 2-fold (95%CI: 1.064-3.956) increased risk of
developing KBD compared with the G-allele carriers. There was no significant
difference in genotype and allele distribution of rs1139793 between KBD patients
and controls (P > 0.05). The frequency of the minor A allele of rs5859 was
significantly different in Chinese healthy population compared with European,
African and American. The frequency of the minor A allele of rs1139793 showed
significant difference when compared with African and American. The levels of
JunB, JunD, P65 proteins in KBD group were higher than those in control group (P
< 0.0001). The expression of JunB, JunD, P65 proteins all increased in tBHP
induced C28/I2 oxidative damage model compared with control group (P < 0.05) and
decreased after Se supplementation. Our finding indicated Sep15 is a possible
candidate susceptibility gene for KBD. Combined with the in vitro study, our
studies reveal novel insights into the mechanism of Se supplementation as an
antioxidant via inhibiting the AP-1 signaling pathway in patients with KBD.
PMID- 29653293
TI - Transcriptional profiling of murine osteoblast differentiation based on RNA-seq
expression analyses.
AB - Osteoblastic differentiation is a multistep process characterized by osteogenic
induction of mesenchymal stem cells, which then differentiate into proliferative
pre-osteoblasts that produce copious amounts of extracellular matrix, followed by
stiffening of the extracellular matrix, and matrix mineralization by
hydroxylapatite deposition. Although these processes have been well characterized
biologically, a detailed transcriptional analysis of murine primary calvaria
osteoblast differentiation based on RNA sequencing (RNA-seq) analyses has not
previously been reported. Here, we used RNA-seq to obtain expression values of
29,148 genes at four time points as murine primary calvaria osteoblasts
differentiate in vitro until onset of mineralization was clearly detectable by
microscopic inspection. Expression of marker genes confirmed osteogenic
differentiation. We explored differential expression of 1386 protein-coding genes
using unsupervised clustering and GO analyses. 100 differentially expressed
lncRNAs were investigated by co-expression with protein-coding genes that are
localized within the same topologically associated domain. Additionally, we
monitored expression of 237 genes that are silent or active at distinct time
points and compared differential exon usage. Our data represent an in-depth
profiling of murine primary calvaria osteoblast differentiation by RNA-seq and
contribute to our understanding of genetic regulation of this key process in
osteoblast biology.
PMID- 29653291
TI - Design strategies for physical-stimuli-responsive programmable nanotherapeutics.
AB - Nanomaterials that respond to externally applied physical stimuli such as
temperature, light, ultrasound, magnetic field and electric field have shown
great potential for controlled and targeted delivery of therapeutic agents.
However, the body of literature on programming these stimuli-responsive
nanomaterials to attain the desired level of pharmacologic responses is still
fragmented and has not been systematically reviewed. The purpose of this review
is to summarize and synthesize the literature on various design strategies for
simple and sophisticated programmable physical-stimuli-responsive
nanotherapeutics.
PMID- 29653294
TI - Sclerostin vaccination mitigates estrogen deficiency induction of bone mass loss
and microstructure deterioration.
AB - Sclerostin (SOST) is a Wnt signaling inhibitor detrimental to osteogenic
differentiation and bone mineral acquisition. While control of SOST action delays
the pathogenesis of skeletal disorders, the effects of SOST vaccination on the
estrogen deficiency-induced bone deterioration remain elusive. In this study, we
generated a SOST-Fc fusion protein which was composed of a SOST peptide Pro-Asn
Ala-Ile-Gly along with an IgG Fc fragment. SOST-Fc vaccination increased serum
anti-SOST antibody levels and reduced serum SOST concentrations in mice. In
vitro, anti-SOST serum attenuated the SOST-induced inhibition of osteogenic gene
expression in osteoblast cultures. Administration with SOST-Fc increased serum
levels of bone formation marker osteocalcin and alleviated the ovariectomy
escalation of serum resorption markers CTX-1 and TRAP5b concentrations. It
remarkably lessened the estrogen deficiency-mediated deterioration of bone
mineral density, morphometric characteristics of trabecular bone, and mechanical
strength of femurs and lumbar spines. The SOST-Fc-treated skeletal tissue
exhibited moderate responses to the adverse actions of ovariectomy to bone
mineral accretion, osteoclast surface, trabecular separation, and fatty marrow
histopathology. SOST-Fc treatment increased serum osteoclast-inhibitory factor
osteoprotegrin levels in conjunction with strong Wnt3a, beta-catenin, and TCF4
immunostaining in osteoblasts, whereas it weakened the estrogen deficiency
enhancement of osteoclast-promoting factor receptor activator of nuclear factor
kappaB ligand. Taken together, blockade of SOST action by SOST-Fc vaccination
sustains Wnt signaling, which harmonizes bone mineral accretion and resorption
reactions and thereby ameliorates ovariectomy-induced bone loss. This study
highlights SOST-Fc fusion protein as a new molecular therapeutic potential for
preventing from osteoporotic disorders.
PMID- 29653295
TI - Feasibility of a mindfulness-based cognitive therapy group intervention as an
adjunctive treatment for postpartum depression and anxiety.
AB - BACKGROUND: Many women experience moderate-to-severe depression and anxiety in
the postpartum period for which pharmacotherapy is often the first-line
treatment. Many breastfeeding mothers are reticent to increase their dose or
consider additional medication, despite incomplete response, due to potential
adverse effects on their newborn. These mothers are amenable to non
pharmacological intervention for complete symptom remission. The current study
evaluated the feasibility of an eight-week mindfulness-based cognitive therapy
(MBCT) intervention as an adjunctive treatment for postpartum depression and
anxiety. METHODS: Women were recruited at an outpatient reproductive mental
health clinic based at a maternity hospital. Participants had a diagnosis of
postpartum depression/anxiety within the first year following childbirth. They
were enrolled in either the MBCT intervention group (n = 14) or the treatment-as
usual control group (n = 16), and completed the Patient Health Questionnaire-9
(PHQ-9), the Generalized Anxiety Disorder-7 (GAD-7) questionnaire, and the
Mindful Attention Awareness Scale (MAAS) at baseline and at 4 weeks, 8 weeks, and
3 months following baseline. RESULTS: Multivariate analyses demonstrated that
depression and anxiety levels decreased, and mindfulness levels increased, in the
MBCT group, but not in the control group. Many of the between-group and over time
comparisons displayed trends towards significance, although these differences
were not always statistically significant. Additionally, the effect sizes for
anxiety, depression, and mindfulness were frequently large, indicating that the
MBCT intervention may have had a clinically significant effect on participants.
LIMITATIONS: Limitations include small sample size and the non-equivalent control
group design. CONCLUSIONS: We demonstrated that MBCT has potential as an
adjunctive, non-pharmacological treatment for postpartum depression/anxiety that
does not wholly remit with pharmacotherapy. (249 words).
PMID- 29653296
TI - The role of resting-state EEG localized activation and central nervous system
arousal in executive function performance in children with Attention
Deficit/Hyperactivity Disorder.
AB - OBJECTIVE: This study explored the relationships between resting-state
electroencephalogram (RS-EEG) localized activation and two important types of
executive functions (EF) to extend the prognostic utilization of RS-EEG in
children with Attention-Deficit/Hyperactivity Disorder (AD/HD). Also, the role of
central nervous system (CNS) arousal in the relationships was examined. METHODS:
Fifty-eight children with AD/HD participated in the study. RS-EEG localized
activation was derived from spectral power differences between EEG in eyes-closed
and eyes-open conditions. CNS arousal was measured based on alpha band power.
Common and everyday EF scores were obtained as EF outcomes. RESULTS: Frontal
delta activation predicted common EF ability and posterior alpha activation
predicted everyday EF. A serial mediation analysis found that lower CNS baseline
arousal was related to greater arousal and delta activation in series, which in
turn related to worse common EF. A follow-up study found that baseline arousal
was related to larger interference cost. CONCLUSIONS: RS-EEG is indicative of
individual differences in two important types of EF in children with AD/HD. Lower
CNS arousal may be a driving force for the poorer common EF performance.
SIGNIFICANCE: The current study supports prognostic utilization of RS-EEG and
AD/HD models that take resting brain activity into consideration in children with
AD/HD.
PMID- 29653297
TI - The efficacy of transcranial random noise stimulation (tRNS) on mood may depend
on individual differences including age and trait mood.
AB - OBJECTIVES: To assess whether changes in brain microstructures associated with
ageing and presence of cardiovascular risk factors (CVRF) reduce the efficacy of
transcranial electrical stimulation (tES) improving mood in euthymic older
adults. METHODS: Using excitatory high-frequency transcranial random noise
stimulation (tRNS) over bilateral dorsolateral prefrontal cortex, the effect on
mood was assessed in euthymic young adults (YA), older adults (HOA) and older
adults with CVRF (OVR). Active-tRNS or sham was applied over two sessions.
Positive and Negative Affect Schedule and Warwick Edinburgh Mental Well-being
Scale measured self-reported state mood before and after stimulation. Trait mood
was also measured using the Geriatric Depression Scale. RESULTS: Response to tRNS
seemed dependent on individual differences in age and trait mood. In HOA, more
negative trait mood was associated with more positive mood change after tRNS. OVR
showed a similar but reduced pattern of mood change to HOA. In YA, more positive
trait mood was associated with greater positive mood change after tRNS.
CONCLUSIONS: Age and trait mood may be important factors when examining the
efficacy of tES as an alternative treatment for depression. SIGNIFICANCE: Future
studies should consider how response to tES is affected by individual
differences.
PMID- 29653299
TI - Confocal total reflection X-ray fluorescence technology based on an elliptical
monocapillary and a parallel polycapillary X-ray optics.
AB - A total reflection X-ray fluorescence (TXRF) spectrometer based on an elliptical
monocapillary X-ray lens (MXRL) and a parallel polycapillary X-ray lens (PPXRL)
was designed. This TXRF instrument has micro focal spot, low divergence and high
intensity of incident X-ray beam. The diameter of the focal spot of MXRL was 16.5
um, and the divergence of the incident X-ray beam was 3.4 mrad. We applied this
TXRF instrument to the micro analysis of a single-layer film containing Ni
deposited on a Si substrate by metal vapor vacuum arc ion source.
PMID- 29653298
TI - Voluntary health insurance in Nigeria: Effects on takers and non-takers.
AB - Interventions aiming to simultaneously improve financial protection and quality
of care may provide an important avenue towards universal health coverage. We
estimate the effects of the introduction of the Kwara State Health Insurance
program in Nigeria on not only the insured but also the uninsured. A subsidized
voluntary low cost health insurance was offered by a private insurer as well as a
quality upgrade in selected health care facilities. Using propensity score
matching and panel data collected in 2009 and 2011 (n = 3509), we find that, for
the insured, the program increased health care utilization (36 percent, p <
0.000) and reduced out of pocket expenditure (63 percent, p < 0.000). However,
the uninsured in the area with upgraded facilities did not increase their care
utilization and even spent less on health care, which is problematic given that
67 percent of the population in the treatment area did not take up the insurance.
Our findings suggest that while voluntary health insurance combined with
investments in health care supply can increase health care use and financial
protection among those that take up the insurance, attention should be paid to
potential unintended effects on the - typically sizeable- group of people who do
not enroll in the insurance.
PMID- 29653300
TI - Comparison of solvent extraction and extraction chromatography resin techniques
for uranium isotopic characterization in high-level radioactive waste and barrier
materials.
AB - The development of Deep Geological Repositories (DGP) to the storage of high
level radioactive waste (HLRW) is mainly focused in systems of multiple barriers
based on the use of clays, and particularly bentonites, as natural and engineered
barriers in nuclear waste isolation due to their remarkable properties. Due to
the fact that uranium is the major component of HLRW, it is required to go in
depth in the analysis of the chemistry of the reaction of this element within
bentonites. The determination of uranium under the conditions of HLRW, including
the analysis of silicate matrices before and after the uranium-bentonite
reaction, was investigated. The performances of a state-of-the-art and widespread
radiochemical method based on chromatographic UTEVA resins, and a well-known and
traditional method based on solvent extraction with tri-n-butyl phosphate (TBP),
for the analysis of uranium and thorium isotopes in solid matrices with high
concentrations of uranium were analysed in detail. In the development of this
comparison, both radiochemical approaches have an overall excellent performance
in order to analyse uranium concentration in HLRW samples. However, due to the
high uranium concentration in the samples, the chromatographic resin is not able
to avoid completely the uranium contamination in the thorium fraction.
PMID- 29653301
TI - The moderating role of resilience on the relationship between perceived stress
and binge eating symptoms among young adult women.
AB - OBJECTIVE: Adolescence and young adulthood are developmental periods during the
life course that are sometimes associated with heightened stress and engagement
in binge eating. Binge eating has been linked to psychiatric comorbidity, poorer
physical health, and lower quality of life. However, less is known about
protective factors that could buffer against binge eating behaviors. The current
study examined the moderating role of resilience on the relationship between
perceived stress and binge eating symptoms among emerging adult female college
students. METHOD: Participants were 297 young adult women aged 18-25 years (Mage
= 19.22, SD = 1.51; 52% self-identifying as a racial/ethnic minority) with Body
Mass Index ranging from 15 to 66 (MBMI = 25.01, SD = 6.18). Women completed this
cross-sectional study while they were attending universities in the Western or
Southern United States. Participants provided demographic and height/weight
information, and completed the following measures: Perceived Stress Scale, Binge
Eating Scale, and Brief Resilience Scale. RESULTS: Higher perceived stress was
significantly associated with more severe binge eating symptoms (b = 0.31; p <
.01). In addition, higher resilience was associated with lower binge eating
pathology (b = -0.20; p < .01). Moderation was supported as the relationship
between perceived stress and binge eating symptoms varied by resilience level (b
= -0.16; p < .01). CONCLUSIONS: Women who perceived higher stress were more
likely to engage in binge eating relative to women experiencing low stress;
however, resilience attenuated this association. Resilience could be targeted to
reduce the negative effects of perceived stress on eating behaviors in young
women.
PMID- 29653302
TI - Jembrana disease virus Vif antagonizes the inhibition of bovine APOBEC3 proteins
through ubiquitin-mediate protein degradation.
AB - Viral infectivity factor (Vif) encoded by lentiviruses is essential for viral
replication and escaping from antiviral activity of host defensive factors
APOBEC3. Jembrana disease virus (JDV) causes an acute disease syndrome with
approximately 20% case fatality rate in Bali cattle. However, the interplay
mechanism between JDV Vif and Bos taurus APOBEC3 (btA3) is poorly understood. In
this study, we determined that JDV Vif recruits ElonginB, ElonginC(ELOB/C), Cul2
and RBX1 but without the need of CBF-beta to form E3 ubiquitin ligase and induces
the degradation of btA3 proteins. Further investigation identified BC-box
(T149LQ151) motif required for ELOB/C binding, Cul2 box (Y167xxxxV/X172) and a
zinc-binding motif (H95-C113-H115-C133) required for Cul2 binding in JDV Vif. The
precise mechanism of JDV Vif overcoming the antiviral activity of btA3 proteins
is helpful for the application of the broad spectrum antiviral drug targeting
conserved functional domains of various species Vif proteins in the future.
PMID- 29653303
TI - Effect of nanomaterials on arsenic volatilization and extraction from flooded
soils.
AB - Herein, we utilize sequential extraction and high-throughput sequencing to
investigate the effects of nanomaterial additives on As volatilization from
flooded soils. We reveal that maximum volatilization is achieved in the fourth
week and is followed by stabilization. The extent of volatilization decreased in
the order of control > nano-zerovalent iron >40-nm hydroxyapatite > nano-Fe3O4 >
20-nm hydroxyapatite > multilayer graphene oxide > high-quality graphene oxide.
The most abundant forms of As in soil corresponded to As-Fe and Al oxides. In
soil with low levels of As pollution, the contents of these species increased
after treatment with graphene oxides but decreased after treatment with other
nanomaterials, with an opposite trend observed for soil with high levels of As
pollution. The addition of nanomaterials influenced the activity of soil enzymes,
e.g., hydroxyapatites affected the activities of urease and alkaline phosphatase,
whereas graphene oxides significantly impacted that of peroxidase (P < 0.05). The
addition of nanomaterials (which can potentially inhibit microbial growth)
affected As levels by influencing the amount of As volatilized from polluted
soil. Moreover, As volatilization, enzyme activity, and As speciation were
observed to be mutually correlated (e.g., volatilization was negatively
correlated to peroxidase activity and the contents of amorphous crystalline
hydrous oxides of As-Fe and Al).
PMID- 29653305
TI - Differential bioaccumulation of mercury by zooplankton taxa in a mercury
contaminated reservoir Guizhou China.
AB - Mercury (Hg) contamination in aquatic systems remains a global concern with the
biomagnification of methylmercury (MeHg) through primary consumers (zooplankton)
to fish and humans. In this study, total mercury (THg) and MeHg concentrations
were analyzed in zooplankton collected from Baihua reservoir (Guizhou Province,
China). Our results demonstrated that THg and MeHg concentrations were strongly
correlated to zooplankton community and biomass composition. The THg
concentration was significantly higher in micro-zooplankton compared to meso
zooplankton and macro-zooplankton, and MeHg concentration increased significantly
as body size increased. Hg increases in zooplankton were influenced by the
numbers of calanoid copepods and Daphnia present relative to phytoplankton and
zooplankton biomass. Many zooplankton taxa in the three size-fractions were
affected by THg exposure. The biomasses of Bosmina longirostris, Thermocyclops
brevifurcatus, Asplanchna priodonta and Cyclops vicinus vicinus were positively
correlated with Hg accumulation, while Daphnia hyalina, and Phyllodiaptomus
tunguidus had a negative association. THg and MeHg bioaccumulation factors were
correlated with phosphorus and total nitrogen concentration, zooplankton biomass,
and chlorophyll-a concentration. Phosphorus loading was associated with increased
THg and MeHg accumulation in the zooplankton highlighting biomagification with
eutrophication. Chlorophyll-a levels were not correlated to THg and MeHg
accumulation in zooplankton when phytoplankton densities were >107 cells L-1 and
chlorophyll-a concentrations <9 MUgL-1. This finding contradicts the idea of MeHg
biodilution with increased algae biomass. However, changes in the phytoplankton
species and biomass altered the availability of food for zooplankton,
particularly micro-zooplankton and macro-zooplankton. Ultimately, the
bioaccumulation of MeHg and THg across lower trophic levels was based more on the
availability of preferred food resources than on total biological productivity.
PMID- 29653306
TI - Tissue-specific distribution and bioaccumulation potential of organophosphate
flame retardants in crucian carp.
AB - The concentrations, distributions, and bioaccumulation of nine organophosphate
flame retardants (OPFRs) were investigated in both abiotic and biotic media,
comprising river water, sediment, and crucian carp. The highest concentrations
were observed in liver (6.22-18.1 ng/g ww), and the levels in muscle (4.23-7.75
ng/g ww) and gonad (3.08-7.70 ng/g ww) were similar. In whole blood, tris(2
butoxyethyl) phosphate (TBOEP; 31.1-256 ng/mL) accounted for 90% of the total
OPFR concentration. Distributions of OPFRs differed between biotic and abiotic
media, as tris(2-chloroethyl) phosphate (TCEP), tris(1-chloro-2-propyl) phosphate
(TCIPP), and TBOEP were dominant in abiotic media, whereas triethyl phosphate
(TEP), tri-n-butyl phosphate (TNBP), TCEP, and TBOEP dominated in crucian carp.
The TNBP had remarkable accumulation potential among nine OPFRs, which the TNBP
concentrations in muscle increased with increased total length and body weight.
The higher perfusion rate of TNBP to female eggs were observed rather than to
male gonads as the concentrations were higher in males than in females, while the
opposite results were observed in gonad. Moreover, the concentration of TNBP in
female muscle began to decrease near maximum growth as a sexually dimorphic
difference in crucian carp. This is the first study to simultaneously investigate
the fate of OPFRs in biotic and abiotic media and to show sex differences.
PMID- 29653307
TI - Nitrogen concentration in moss compared with N load in precipitation and with
total N deposition in Switzerland.
AB - Intensification of farming and an increase in motorised traffic have led to
elevated nitrogen (N) emissions and thus to eutrophication of the environment,
which threatens the nutrient balance in ecosystems. Earlier studies have
demonstrated the suitability of mosses as biomonitors for measuring N deposition
by comparing the N concentration in moss with that in precipitation. In our study
however, we extended the comparison to the dry deposition of gases (nitrogen
dioxide, nitric acid, ammonia) and aerosols (nitrate, ammonium), which, together
with the N in precipitation, represent the main contributions to total N
deposition. The aim of including several N compounds was to see whether the
correlation with the N concentration in moss could be improved. We determined
total N input from the atmosphere to the ecosystem at 24 sites in Switzerland and
compared this value to the N concentration in two moss species collected <1000 m
from these sites. Including the gases and aerosols improved the correlation
between the N concentration in moss and N deposition. Ammonia was found to be the
most important of the additionally included compounds at these sites. Especially
at sites with a relatively high ammonia concentration in the air, the inclusion
of ammonia improved the correlation of the comparison. We also demonstrate that
the particular moss species tested had no influence on the correlation between N
in moss and total N deposition. Our data supports the suitability of mosses as
biomonitors for estimating N input into ecosystems.
PMID- 29653304
TI - A review of the pharmaceutical exposome in aquatic fauna.
AB - Pharmaceuticals have been considered 'contaminants of emerging concern' for more
than 20 years. In that time, many laboratory studies have sought to identify
hazard and assess risk in the aquatic environment, whilst field studies have
searched for targeted candidates and occurrence trends using advanced analytical
techniques. However, a lack of a systematic approach to the detection and
quantification of pharmaceuticals has provided a fragmented literature of
serendipitous approaches. Evaluation of the extent of the risk for the plethora
of human and veterinary pharmaceuticals available requires the reliable
measurement of trace levels of contaminants across different environmental
compartments (water, sediment, biota - of which biota has been largely
neglected). The focus on pharmaceutical concentrations in surface waters and
other exposure media have therefore limited both the characterisation of the
exposome in aquatic wildlife and the understanding of cause and effect
relationships. Here, we compile the current analytical approaches and available
occurrence and accumulation data in biota to review the current state of research
in the field. Our analysis provides evidence in support of the 'Matthew Effect'
and raises critical questions about the use of targeted analyte lists for
biomonitoring. We provide six recommendations to stimulate and improve future
research avenues.
PMID- 29653308
TI - Methodological evolution and frontiers of identifying, modeling and preventing
secondary crashes on highways.
AB - Secondary crashes (SCs) or crashes that occur within the boundaries of the impact
area of prior, primary crashes are one of the incident types that frequently
affect highway traffic operations and safety. Existing studies have made great
efforts to explore the underlying mechanisms of SCs and relevant methodologies
have been evolving over the last two decades concerning the identification,
modeling, and prevention of these crashes. So far there is a lack of a detailed
examination on the progress, lessons, and potential opportunities regarding
existing achievements in SC-related studies. This paper provides a comprehensive
investigation of the state-of-the-art approaches; examines their strengths and
weaknesses; and provides guidance in exploiting new directions in SC-related
research. It aims to support researchers and practitioners in understanding well
established approaches so as to further explore the frontiers. Published studies
focused on SCs since 1997 have been identified, reviewed, and summarized. Key
issues concentrated on the following aspects are discussed: (i) static/dynamic
approaches to identify SCs; (ii) parametric/non-parametric models to analyze SC
risk, and (iii) deployable countermeasures to prevent SCs. Based on the examined
issues, needs, and challenges, this paper further provides insights into
potential opportunities such as: (a) fusing data from multiple sources for SC
identification, (b) using advanced learning algorithms for real-time SC analysis,
and (c) deploying connected vehicles for SC prevention in future research. This
paper contributes to the research community by providing a one-stop reference for
research on secondary crashes.
PMID- 29653309
TI - Bioactive extracellular compounds produced by the dinoflagellate Alexandrium
minutum are highly detrimental for oysters.
AB - Blooms of the dinoflagellate Alexandrium spp., known as producers of paralytic
shellfish toxins (PSTs), are regularly detected on the French coastline. PSTs
accumulate into harvested shellfish species, such as the Pacific oyster
Crassostrea gigas, and can cause strong disorders to consumers at high doses. The
impacts of Alexandrium minutum on C. gigas have often been attributed to its
production of PSTs without testing separately the effects of the bioactive
extracellular compounds (BECs) with allelopathic, hemolytic, cytotoxic or
ichthyotoxic properties, which can also be produced by these algae. The BECs,
still uncharacterized, are excreted within the environment thereby impacting not
only phytoplankton, zooplankton but also marine invertebrates and fishes, without
implicating any PST. The aim of this work was to compare the effects of three
strains of A. minutum producing either only PSTs, only BECs, or both PSTs and
BECs, on the oyster C. gigas. Behavioral and physiological responses of oysters
exposed during 4 days were monitored and showed contrasted behavioral and
physiological responses in oysters supposedly depending on produced bioactive
substances. The non-PST extracellular-compound-producing strain primarily
strongly modified valve-activity behavior of C. gigas and induced hemocyte
mobilization within the gills, whereas the PST-producing strain caused
inflammatory responses within the digestive gland and disrupted the daily
biological rhythm of valve activity behavior. BECs may therefore have a
significant harmful effect on the gills, which is one of the first organ in
contact with the extracellular substances released in the water by A. minutum.
Conversely, the PSTs impact the digestive gland, where they are released and
mainly accumulated, after degradation of algal cells during digestion process of
bivalves. This study provides a better understanding of the toxicity of A.
minutum on oyster and highlights the significant role of BECs in this toxicity
calling for further chemical characterization of these substances.
PMID- 29653310
TI - A self-template and self-activation co-coupling green strategy to synthesize high
surface area ternary-doped hollow carbon microspheres for high performance
supercapacitors.
AB - Development of facile and cost-effective routes to achieve hierarchical porous
and heteroatoms-doped carbon architectures is urgently needed for high
performance supercapacitor application. In our study, ternary-doped (nitrogen,
phosphorus and oxygen) hollow carbon microspheres (NPO-HCSs) are fabricated by
one-step pyrolysis of single poly(cyclotriphosphazene-co-phloroglucinol) (PCPP)
microsphere, which is generated through a facile polymerization between
hexachlorocyclotriphosphazene and phloroglucinol at mild conditions. The whole
preparation process is not used any additional template or activating agent. The
obtained NPO-HCS-950 with average diameter of 580 nm and shell thickness of about
80 nm have a high specific surface area (2390 m2 g-1), a large pore volume (1.35
cm3 g-1), hierarchically interconnected pore texture, and uniform ternary
heteroatom doping (O: 3.04 at%; N: 1.33 at% and P: 0.67 at%). As an electrode
material for supercapacitors, the specific capacitance of the NPO-HCS-950 reaches
253 F g-1 of 1 A g-1 and 176 F g-1 at 20 A g-1, revealing superior rate
performance. The capacity retention after 10,000 consecutive charge-discharge
cycles at 20 A g-1 is up to 98.9%, demonstrating excellent cycling stability.
Moreover, the assembled symmetric supercapacitor using NPO-HCS-950 exhibits a
relatively high energy density of 17.6 W h kg-1 at a power density of 800 W kg-1.
Thus, a promising electrode material for high-performance supercapacitors is
obtained through a facile, green and scalable synthesis route.
PMID- 29653311
TI - As(V) removal capacity of FeCu bimetallic nanoparticles in aqueous solutions: The
influence of Cu content and morphologic changes in bimetallic nanoparticles.
AB - In this study, bimetallic nanoparticles (BMNPs) with different mass ratios of Cu
and Fe were evaluated. The influence of the morphology on the removal of
pollutants was explored through theoretical and experimental studies, which
revealed the best structure for removing arsenate (As(V)) in aqueous systems. To
evidence the surface characteristics and differences among BMNPs with different
mass proportions of Fe and Cu, several characterization techniques were used.
Microscopy techniques and molecular dynamics simulations were applied to
determine the differences in morphology and structure. In addition, X-ray
diffraction (XRD) was used to determine the presence of various oxides. Finally,
the magnetization response was evaluated, revealing differences among the
materials. Our cumulative data show that BMNPs with low amounts of Cu
(Fe0.9Cu0.1) had a non-uniform core-shell structure with agglomerate-type chains
of magnetite, whereas a Janus-like structure was observed in BMNPs with high
amounts of Cu (Fe0.5Cu0.5). However, a non-uniform core-shell structure
(Fe0.9Cu0.1) facilitated electron transfer among Fe, Cu and As, which increased
the adsorption rate (k), capacity (qe) and intensity (n). The mechanism of As
removal was also explored in a comparative study of the phase and morphology of
BMNPs pre- and post-sorption.
PMID- 29653312
TI - Improved chemo-photothermal therapy of hepatocellular carcinoma using chitosan
coated gold nanoparticles.
AB - A green method was used for producing gold nanoparticles (Au NPs) using chitosan
as a natural cationic, biodegradable and biocompatible polymer. In this method,
chitosan acts as a reducing and stabilizing agent for the synthesis of Au NPs.
Different concentrations of chitosan solutions (0.01%, 0.05%, 0.1%, 0.2%, 0.5%
and 1%) were applied. In an attempt to mitigate the side effects of anti-cancer
drug, 5-fluorouracil (5-FU), through reducing drug doses in photothermal therapy,
the formed positively-charged chitosan-wrapped Au NPs were used as a drug
delivery system for negatively charged 5-FU to hepatocellular carcinoma cells
(HepG2). Au NPs as well as 5-FU@Au nanocomposites were characterized with UV-VIS
spectroscopy, particle size, zeta potential, Fourier transform infrared
spectroscopy (FTIR), transmission electron microscopy (TEM) and High-Performance
Liquid Chromatography (HPLC). The chitosan concentration was shown to be an
important parameter for optimizing the dispersion of Au NPs and 5-FU@Au
nanocomposites over long time. This stability offers the 5-FU@Au nanocomposites
as good candidate for cancer treatment with reduced drug doses in photothermal
therapy. A 72% loading-efficiency of 5-FU was obtained. Cytotoxic assay was
carried out on HepG2 cell line and it reveals the effectiveness of 5-FU@Au
nanocomposites in the presence and absence of laser irradiation compared with the
free 5-FU. The cytotoxicity effect of free 5-FU and 5-FU@AuNPs nanocomposites was
studied, and it was found that the concentration of 5-FU@Au nanocomposites
required to attain 50% of inhibition growth rate was lower than that of free 5-FU
in absence of laser radiation and was much lower in presence of laser radiation.
PMID- 29653313
TI - Hydroalcoholic extracts of Myracrodruon urundeuva All. and Qualea grandiflora
Mart. leaves on Streptococcus mutans biofilm and tooth demineralization.
AB - OBJECTIVES: This study evaluated the effect of the hydroalcoholic extracts of
Myracrodruon urundeuva All. and Qualea grandiflora Mart. leaves (alone or
combined) on the viability of Streptococcus mutans biofilm and on the prevention
of enamel demineralization. METHODS: Strain of S. mutans (ATCC 21175) was
reactivated in BHI broth. Minimum inhibitory concentration, minimum bactericidal
concentration, minimum inhibition biofilm concentration and minimum eradication
biofilm concentration were determined in order to choose the concentrations to be
tested under biofilm model. S. mutans biofilm (5 * 105 CFU/ml) was produced on
bovine enamel, using McBain saliva under 0.2% sucrose exposure, for 3 days. The
biofilm was daily treated with the extracts for 1 min. The biofilm viability was
tested by fluorescence and the enamel demineralization was measured using TMR.
RESULTS: Myracrodruon urundeuva All. (Isolated or combined) at the
concentrationsc >=0.625 mg/ml was able to reduce bacteria viability, while Qualea
Grandflora Mart. alone had antimicrobial effect at 5 mg/ml only (p < 0.05). On
the other hand, none of the extracts were able to reduce enamel demineralization.
CONCLUSIONS: The hydroalcoholic extracts of Myracrodruon urundeuva All. and
Qualea grandiflora Mart. leaves (isolated or combined) have antimicrobial action;
however, they do not prevent enamel caries under S. mutans biofilm model.
PMID- 29653314
TI - Hemifacial microsomia (oculo-auriculo-vertebral spectrum) in an individual from
the Teramo Sant'Anna archaeological site (7th-12th centuries of the Common Era,
Italy).
AB - BACKGROUND: This study is based in an analysis of the skeletal remains of an
adult male from the Teramo Sant'Anna archaeological site (7th-12th centuries of
the Common Era, Teramo, Italy). RESULTS AND DISCUSSION: The individual shows
distinct abnormalities that principally involve asymmetric hypoplasia and
dysmorphogenesis of the facial skeleton. The combination of these findings and
the absence of abnormalities of the spine strongly suggest diagnosis of the
congenital malformation known as hemifacial microsomia. This very heterogeneous
syndrome affects primarily aural, ocular, oral and mandibular development.
Despite the lack of clinical information and the absence of soft tissue, it was
possible to perform a differential diagnosis for this palaeopathological case.
Mastication was probably altered considering that the mandible is extremely
asymmetric and lacks true condyles. The temporomandibular joints are present, but
the right one is hypoplastic and abnormal in shape. There is evidence of
bilateral dislocation, and the facial muscles are hypertrophic. CONCLUSIONS: This
case represents an important contribution to the palaeopathological literature
because this is an uncommon condition that has not been widely documented in
ancient skeletal remains.
PMID- 29653315
TI - EEG-based neurophysiological indicators of hallucinations in Alzheimer's disease:
Comparison with dementia with Lewy bodies.
AB - We studied neurophysiological indicators of hallucinations in Alzheimer's disease
patients with hallucinations (ADhall+), and compared them with nonhallucinating
AD (ADhall-) and dementia with Lewy bodies (DLBhall+) patients. Thirty-six
matched ADhall+ and 108 ADhall- and 29 DLBhall+ patients were selected from the
Amsterdam Dementia Cohort. Electroencephalography (EEG) spectral and functional
connectivity (FC) analyses (phase lag index) were performed. Quantitative and
visual EEG measures were combined in a random forest algorithm to determine which
EEG-based variable(s) play a role in hallucinations. ADhall+ patients showed
lower peak frequency (7.26 vs. 7.94 Hz, p < 0.01), alpha2-and beta-power, and
alpha2-FC but higher delta-power compared to ADhall-. ADhall+ showed lower delta
power, higher beta-power, and alpha1-FC than DLBhall+ but did not differ in peak
frequency (7.26 vs. 6.95 Hz), theta- or alpha-power. ADhall+ patients could be
differentiated from ADhall- and DLBhall+ with a weighted accuracy of 71% with
alpha1-power and 100% with beta-FC, the 2 most differentiating features. In sum,
EEG slowing and decrease in alpha1-and beta-band activity form potential
neurophysiological indicators of underlying cholinergic deficiency in ADhall+ and
DLBhall+.
PMID- 29653317
TI - Increased torsional stability by a novel femoral neck locking plate. The role of
plate design and pin configuration in a synthetic bone block model.
AB - BACKGROUND: In undisplaced femoral neck fractures, internal fixation remains the
main treatment, with mechanical failure as a frequent complication. As torsional
stable fixation promotes femoral neck fracture healing, the Hansson Pinloc(r)
System with a plate interlocking pins, was developed from the original hook pins.
Since its effect on torsional stability is undocumented, the novel implant was
compared with the original configurations. METHODS: Forty-two proximal femur
models custom made of two blocks of polyurethane foam were tested. The medial
block simulated the cancellous head, while the lateral was laminated with a glass
fiber filled epoxy sheet simulating trochanteric cortical bone. Two hollow metal
cylinders with a circumferential ball bearing in between mimicked the neck, with
a perpendicular fracture in the middle. Fractures were fixated by two or three
independent pins or by five configurations involving the interlocking plate (two
pins with an optional peg in a small plate, or three pins in a small, medium or
large plate). Six torsional tests were performed on each configuration to
calculate torsional stiffness, torque at failure and failure energy. FINDINGS:
The novel configurations improved parameters up to an average of 12.0
(stiffness), 19.3 (torque) and 19.9 (energy) times higher than the original two
pins (P < 0.001). The plate, its size and its triangular configuration improved
all parameters (P = 0.03), the plate being most effective, also preventing
permanent failure (P < 0.001). INTERPRETATION: The novel plate design with its
pin configuration enhanced torsional stability. To reveal clinical relevance a
clinical study is planned.
PMID- 29653316
TI - Clinical variability and onset age modifiers in an extended Belgian GRN founder
family.
AB - We previously reported a granulin (GRN) null mutation, originating from a common
founder, in multiple Belgian families with frontotemporal dementia. Here, we used
data of a 10-year follow-up study to describe in detail the clinical
heterogeneity observed in this extended founder pedigree. We identified 85
patients and 40 unaffected mutation carriers, belonging to 29 branches of the
founder pedigree. Most patients (74.4%) were diagnosed with frontotemporal
dementia, while others had a clinical diagnosis of unspecified dementia,
Alzheimer's dementia or Parkinson's disease. The observed clinical heterogeneity
can guide clinical diagnosis, genetic testing, and counseling of mutation
carriers. Onset of initial symptomatology is highly variable, ranging from age 45
to 80 years. Analysis of known modifiers, suggested effects of GRN rs5848,
microtubule-associated protein tau H1/H2, and chromosome 9 open reading frame 72
G4C2 repeat length on onset age but explained only a minor fraction of the
variability. Contrary, the extended GRN founder family is a valuable source for
identifying other onset age modifiers based on exome or genome sequences. These
modifiers might be interesting targets for developing disease-modifying
therapies.
PMID- 29653318
TI - Work of non-elastic deformation against the deformation ratio of the Subcompact
Car Class using the variable correlation method.
AB - The presented study considers a Subcompact Car Class in a new non-linear method
utilising the work W of car deformation defined as an algebraic function of
deformation ratio Cs. In order to develop the experimental data, the method of
variable correlation is utilised. Data from the NHTSA (National Highway Traffic
Safety Administration) database comprising numerous frontal crash tests are used
for the determination of mathematical model parameters. In the non-linear method
used up until now, the so-called energetic approach, collisions are treated as
non-elastic. The velocity threshold that defines the elastic collision was set to
be 11km/h. Such an approach, which is very simplified, determines the linear
dependence of energy lost during deformation on deformation coefficient Cs. This
coefficient is calculated as a mean value, taking into account the weights of
deformation points C1-C6. In this paper, the authors propose a more precise non
linear method in order to determine the work of deformation, and have used the
more complex form of deformation coefficient.
PMID- 29653319
TI - Analysis of fatal accidents with tractors in the Centre of Portugal: Ten years
analysis.
AB - Tractors have been described as one of the deadliest farming implements
concerning agricultural activity. In Portugal, the scientific investigations
about this problem are practically non-existent, with only statistical studies
performed by entities related to road traffic safety, not in accordance to the
study now performed, pinpointing the possibility of an underreporting of these
accidents. This work aims to characterize the fatal tractor accidents in
Portugal, autopsied at the Forensic Pathology Department of the Centre Branch of
the National Institute of Legal Medicine and Forensic Sciences of Portugal,
analysing several variables: gender, age, occupation, survival time, the victim
position in the tractor, cause of death, toxicological and histological exams,
year/month/day of the week, type of agricultural machine, existence of rollover
protective structures (ROPS), type of accident, ground conditions, circumstantial
information and geographic distribution of the accidents. All the autopsies
between 2005 and 2014 were analysed. The victim profile corresponded to a man
(89.5%), between 61 and 70 years old (33.3%), retired (43.9%), being the tractor
driver (45.6%). In most of the cases, death occurred in less than 24h after the
accident. These fatalities arose mainly in May and October. Rollover in sloping
land was the most common type of accident, and cranioencephalic, thoracic and
abdominal traumatic injuries were the main cause of death. In 16.2% of the cases,
blood alcohol concentration was above the lower limit established in our road
traffic law (<0.5g/L). There was lack information about the use of ROPS (95.9%),
and even when existent, the protections were not used or were incorrectly used.
This is the first national study involving the description of the forensic
achievements in each autopsy related to tractor accidents, and the corresponding
circumstances that contributed to the death. Many barriers remain about this
matter, but the Portuguese government begin to design several laws to prevent
this type of accidents. Future investigations should be performed to understand
the real dimension of tractor accidents in Portugal, and to comprehend the
efficiency of laws implemented by the government.
PMID- 29653320
TI - Is the "flipped" pedagogical model the answer to the challenges of rural nursing
education?: A discussion paper?
AB - Rural Australian health services face significant challenges such as aging
populations, access and retention of services and health practitioners as well as
difficulties with staff training due to geographic isolation. Educational
pedagogy, through a 'flipped' or 'flipped' classroom method has become popular in
nursing literature whereby discussion surrounding its effectiveness, ability to
increase performance, address learning outcomes and resolve the education
clinical practice divide is currently being explored. Several reviews that look
specifically at the validity and implementation of the flipped classroom pedagogy
into nursing education demonstrate a need for further scientific research.
Current literature examines the in-class on campus implementation of the
methodology but rarely does it consider the advantages or ways of implementing
such a method in a rural off campus nursing learning environment. The use of
technology is not the solution unless supported by interaction to develop
practical situational skills. The authors consider advantages and disadvantages
and identify central problems for the effective implementation of 'flipped' in
off-campus rural nursing education.
PMID- 29653321
TI - Neurodevelopmental comorbidities and seizure control 24 months after a first
unprovoked seizure in children.
AB - PURPOSE: To follow children with newly diagnosed unprovoked seizures to determine
(1) whether the prevalence of neurodevelopmental comorbidities and cerebral palsy
(CP) changed after the initial seizure, and (2) the association between studied
comorbidities and seizures 13-24 months after seizure onset or initiation of
treatment. METHODS: Analyses were based on 750 children (28 days-18 years) with a
first unprovoked seizure (index) included in a population-based Incidence
Registry in Stockholm between 2001 and 2006. The children were followed for two
years and their medical records were examined for a priori defined
neurodevelopmental/psychiatric comorbidities and CP and seizure frequency.
Baseline information was collected from medical records from before, and up to
six months after, the index seizure. Odds ratios (OR) of repeated seizures 13-24
months after the first seizure or after initiation of anti-epileptic drug
treatment was calculated by logistic regression and adjusted for age and sex.
RESULTS: At baseline, 32% of the children had neurodevelopmental/psychiatric
comorbidities or CP compared to 35%, 24 months later. Children with such
comorbidities more often experienced seizures 13-24 months after the index
seizure (OR 2.87, CI 2.07-3.99) with the highest OR in those with CP or attention
deficit hyperactivity disorder (ADHD). Children diagnosed at age <1 year
exhibited the highest prevalence of comorbidities as well as OR for repeated
seizures. A combination of young age and comorbidity was associated with an OR
for repeated seizures of 5.12 (CI 3.03-8.65). Among the children without
comorbidities 76% were seizure free 13-24 months after the index seizure or after
initiation of AED treatment compared to 53% of children with comorbidities.
CONCLUSIONS: This study indicates that neurodevelopmental comorbidities and CP in
children with epilepsy tend to be present already at seizure onset and that such
comorbidities are strong indicators of poor outcome regarding seizure control
with or without treatment.
PMID- 29653322
TI - Role and application of iron in water treatment for nitrogen removal: A review.
AB - It is crucial to have a review on the role of iron in water treatment for the
guidance towards the selection of appropriate processes, content of iron, and
application conditions, as there are few reviews available at present and the
systematic information is lacking for both researchers and engineers. The
objectives of this review are to summarize the state of arts with respect to iron
applied in nitrogen removal, discuss chemical and biological or bio-chemical
combined nitrogen removal pathways and processes coupled with iron, and to reveal
reaction mechanisms as well as providing references or even solutions to
pertinent the practical engineering application of nitrate removal coupling with
iron. The following information have been summarized and discussed in details:
(1) iron based materials with varieties of preparations and forms, (2) major
coupling ways of nitrogen removal methods or processes with iron application, (3)
chemical reaction equations about a variety of chemical and biological or bio
chemical combined processes and the main mechanisms. In addition, challenges
and/or drawbacks during the nitrogen removal processes will also be discussed in
this paper, which is aimed to seek better practical engineering applications of
nitrate removal coupling with iron.
PMID- 29653323
TI - Potential risk of coupling products between tetrahalobisphenol A and humic acid
prepared via oxidation with a biomimetic catalyst.
AB - Tetrahalobisphenol A (TXPBAs, X = Br or Cl), TBBPA and TCBPA, which are widely
used as flame retardants, ultimately disposed of in landfills. In landfills,
enzymatically oxidized TXBPAs can be covalently incorporated into humic acids
(HAs) to form coupling products (HA-TXBPAs). In the present study, HA-TXBPAs were
prepared by catalytic oxidation with iron(III)-phthalocyanine-tetrasulfate as a
model of oxidative enzymes. The stability of HA-TXBPAs was evaluated by
incubating them under physicochemical conditions of landfills (pH 9 and 50
degrees C). For HA-TBBPA, 18-26% of TBBPA was released from HA-TBBPA, due to the
acid dissociation of the loosely bound TBBPA. However, no additional release was
observed, even after 30 days, indicating that 74-82% of the TBBPA was
incorporated into the HA. For HA-TCBPA, 3-4% of TCBPA and a major byproduct, 4-(2
hydroxyisopropyl)-2,6-dichlorophenol, was found to be loosely incorporated into
HA. For both TBBPA and TCBPA, covalently bound organo-halogens were not released
during the 30 days of incubation. Inhibition of the growth of Chlamydomonas
reinhardtii was indicated when trace levels of TXBPAs (approximately 0.1 MUM)
were present. These results suggest that HA-TXBPAs contain not only covalently
incorporated TXBPAs but also loosely bound TXBPAs and halophenols. The latter in
HA-TXBPAs have the potential to leach from landfills and affect aquatic
ecosystems.
PMID- 29653324
TI - Phytoremediation of mine tailings with Atriplex halimus and organic/inorganic
amendments: A five-year field case study.
AB - Mine tailings have adverse chemical and physical conditions, including high
concentrations of metals and salts, low organic matter content, and unbalanced
rates of nutrients which limit the development of vegetation. A large scale field
experiment was conducted to reclaim a tailing pond by triggering the growth of
native species by spontaneous colonization by tilling (TL) the tailing pond
surface and using marble waste (CaCO3; MW), pig slurry (PS) and their combination
(MW + PS) as soil amendments. Soil physicochemical properties and water and DTPA
extractable metal concentrations of bulk and rhizosphere soils were analyzed
after five year from the application of the treatments. In addition, plants of
Atriplex halimus from each treatment were collected and metals in roots, leaves
and stems analyzed. Before amendments application, the studied pond showed a
neutral pH, high salinity and a moderate organic carbon content. After five
years, the pH value was significantly increased only in MW plot. The results
showed significant increases of DTPA-extractable Zn in MW and MW + PS plots, Pb
in all treatments except MW plot, Cd only in PS plot, and Cu only in MW + PS
plot. A. halimus was the most dominant species, growing spontaneously in all
plots, with lower vegetation cover in CT and MW plots, 6% and 2% respectively.
Application of MW increased leaf Pb accumulation by 2.5-fold and Cd by 55%, when
compared to the CT. The high initial salinity and probable substitution of metals
by Ca2+ on exchangeable surfaces of soil particles may be the reasons for higher
uptake of metals in MW plot when compared to the other plots. Although this plant
is widely utilized in contaminated sites for phytostabilization purposes, it may
absorb and translocate high concentrations of metals to the aboveground tissues
in saline contaminated sites.
PMID- 29653325
TI - Photocatalytic degradation of oilfield produced water using graphitic carbon
nitride embedded in electrospun polyacrylonitrile nanofibers.
AB - Separation and purification of oilfield produced water (OPW) is a major
environmental challenge due to the co-production of the OPW during petroleum
exploration and production operations. Effective capture of oil contaminant and
its in-situ photodegradation is one of the promising methods to purify the OPW.
Based on the photocatalytic capability of graphitic carbon nitride (GCN) which
was recently rediscovered, photodegradation capability of GCN for OPW was
investigated in this study. GCN was synthesized by calcination of urea and
further exfoliated into nanosheets. The GCNs were incorporated into
polyacrylonitrile nanofibers using electrospinning, which gave a liquid-permeable
self-supporting photocatalytic nanofiber mat that can be handled by hand. The
photocatalytic nanofiber demonstrated 85.4% degradation of OPW under visible
light irradiation, and improved the degradation to 96.6% under UV light.
Effective photodegradation of the photocatalytic nanofiber for OPW originates
from synergetic effects of oil adsorption by PAN nanofibers and oil
photodegradation by GCNs. This study provides an insight for industrial
application on purification of OPW through photocatalytic degradation under solar
irradiation.
PMID- 29653326
TI - Biodegradation-mediated alterations in acute toxicity of water-accommodated
fraction and single crude oil components in cold seawater.
AB - Hydrocarbon biodegradation may be slower in cold Arctic than in temperate
seawater, and this will affect the toxicity time window of the hydrocarbons. In
this study, the acute toxicities of water-soluble phases of 1,3
dimethylnaphthalene, phenanthrene, fluoranthene, and low energy water
accommodated fractions (LE-WAFs) of an evaporated (200 degrees C+) crude oil,
were screened by a Microtox bioassay during biodegradation in cold seawater (4-5
degrees C). The water-solubility of fluoranthene was too low to provoke a toxic
response at any time, whereas the toxicity of 1,3-dimethylnaphthalene and
phenanthrene decreased over time in relation to biotransformation of these
compounds. In LE-WAFs, the Microtox EC50 was associated with biodegradation of
the predominant hydrocarbons (naphthalenes, 2- to 3-ring PAH), as well as with
phenol degradation products. The acute toxicities of single hydrocarbons and LE
WAFs persisted for a longer period in the cold seawater than previously shown at
higher seawater temperatures. These results suggest implications for fate and
effects assessment of hydrocarbons after oil spills in cold environments, like
the Arctic. However, further biodegradation studies using Arctic seawater and
relevant species for toxicity testing are needed for confirmation.
PMID- 29653327
TI - Zn, Ni, Mn, Cr, Pb and Cu in soil-tea ecosystem: The concentrations, spatial
relationship and potential control.
AB - Excessive accumulation of heavy metals in tea has certain health risk to the
consumers. To discuss the spatial relationship between heavy metals in tea leaves
and soil in a county scale, 74 samples of tea leaves and corresponding subsoil
(15-30 cm) were collected in the tea plantations of Lishui, Nanjing, China. The
total Zn, Ni, Mn, Cr, Pb and Cu in both tea leaves and soil samples, as well as
pH value in soil were analyzed. The results of regression showed that the Mn and
Cr in tea leaves were increased when the Mn and Cr in soil were at high levels,
and higher pH decreased Zn, Ni, Mn and Cu contents in tea leaves. Moreover,
distance to village and metal industry had negative impacts on the Mn level in
soil. It is necessary to control the pH of soil in tea plantations which are
close to villages or metal industries, as well as, to search more appropriate
areas, where are far away from villages or metal industries, to plant tea should
be taken into consideration. In addition, 17.57% of the samples have higher
concentration of Pb than the maximum limits was found in tea plantation of
Lishui. Heavy metals (Cr) standards regarding leafy vegetables is used for
reference in this study, as there are no maximum levels of contaminants (MLC) in
tea. It is significant to construct a targeted standard of heavy metals contents
that could applicable throughout the world for reduce the health risks to the
consumers.
PMID- 29653329
TI - Multivariate relationships between microbial communities and environmental
variables during co-composting of sewage sludge and agricultural waste in the
presence of PVP-AgNPs.
AB - This study evaluated the contributions of environmental variables to the
variations in bacterial 16S rDNA, nitrifying and denitrifying genes abundances
during composting in the presence of polyvinylpyrrolidone coated silver
nanoparticles (PVP-AgNPs). Manual forward selection in redundancy analysis (RDA)
indicated that the variation in 16S rDNA was significantly explained by NO3--N,
while nitrifying genes were significantly related with pH, and denitrifying genes
were driven by NO3--N and TN. Partial RDA further revealed that NO3--N solely
explained 28.8% of the variation in 16S rDNA abundance, and pH accounted for
61.8% of the variation in nitrifying genes. NO3--N and TN accounted for 34.2% and
9.2% of denitrifying genes variation, respectively. The RDA triplots showed that
different genes shared different relationships with environmental parameters.
Based on these findings, a composting with high efficiency and quality may be
conducted in the future work by adjusting the significant environmental
variables.
PMID- 29653328
TI - A pragmatic study on efficacy, tolerability and long term acceptance of ketogenic
diet therapy in 74 South Indian children with pharmacoresistant epilepsy.
AB - PURPOSE: Significant challenges exist for Ketogenic Diet (KD) programs in many
populations, mainly due to the variations in local dietary preferences. Here we
report a single center experience of KD therapy in a cohort of South Indian
children with pharmaco-resistant epilepsies. METHODS: Children aged 0-18 years,
enrolled in the KD program for pharmacoresistant epilepsies of Amrita institute
of Medical Sciences, Kochi, Kerala, India (2010 - 2015) were included in this
pragmatic study. Diet efficacy was evaluated according to reduction in seizure
frequency and in the number of antiepileptic drugs (AED). Duration of retention,
reasons for discontinuation and the rate of adverse events were used for
assessing KD tolerability. RESULTS: Seventy four children were enrolled in the KD
program. Four children could not complete the initiation process. Median age at
KD initiation was 4.2years. 53 children had developmental delay. 89% were on 3 or
more AEDs. Baseline seizure frequency was >5/day in 52 children. KD was continued
for a median duration of 10.43 months. At the last contact, 59.4% reported
seizure reduction of more than 50%. More than 90% reduction was noted in 25
children (33.7%). 6(8.1%) of them became completely seizure free. Four children
expired during the study period and four children reported major adverse events
necessitating KD withdrawal. Main reasons for discontinuation of KD were poor
compliance, lack of response to diet and relapse of seizures. CONCLUSION: KD may
be a safe and effective option for children with pharmacoresistant epilepsies
even while on a traditional carbohydrate rich South Indian diet.
PMID- 29653330
TI - Response of greenhouse gas emissions and microbial community dynamics to
temperature variation during partial nitrification.
AB - This study investigated the greenhouse gas emission characteristics and microbial
community dynamics with the variation of temperature during partial
nitrification. Low temperature weakened nitrite accumulation, and partial
nitrification would shift to complete nitrification easily at 15 degrees C.
Based on CO2 equivalents (CO2-eq), partial nitrification process released 2.7 g
of greenhouse gases per gMLSS per cycle, and N2O accounted for more than 98% of
the total CO2-eq emission. The total CO2-eq emission amount at 35 degrees C was
45.6% and 153.4% higher than that at 25 degrees C and 15 degrees C,
respectively. During partial nitrification, the microbial community diversity
greatly declined compared with seed sludge. However, the diversity was enhanced
at low temperature. The abundance of Betaproteobacteria at class level increased
greatly during partial nitrification. Proteobacteria abundance declined while
Nitrospira raised at low temperature. The nosZ community abundance was not
affected by temperature, although N2O emission was varied with the operating
temperature.
PMID- 29653331
TI - Enhanced hydrolysis of mechanically pretreated cellulose in water/CO2 system.
AB - The aim of this work was to study promotion of ball milling and CO2 assistance on
cellulose hydrolysis kinetics in water medium. Kinetic behaviors were analyzed
based on first-order and shrinking core models. The results showed that cellulose
hydrolysis is enhanced by ball milling and CO2 assistance. Ball milling reduced
crystallinity and particle size of cellulose, resulting in high cellulose
conversion, while hydrolysis promoted by CO2 assistance was weaker. Double-layer
hydrolysis was observed for ball-milled cellulose, and rate constant in active
layer is higher. Based on double-layer shrinking core model (DL-SCM), activation
energy of cellulose conversion decreased from 73.6 to 39.8 kJ/mol when ball
milling and CO2 assistance were applied. Hydrolysis active layer was about 0.9
MUm, representing activated thickness of ball-milled cellulose. Hydrolysis
promotion by crystallinity and particle size reduction was distinguished via DL
SCM, and crystal evolution possesses greater improvement than particle size
decrease on hydrolysis of ball-milled cellulose.
PMID- 29653332
TI - Enhancing microalgae biofilm formation and growth by fabricating microgrooves
onto the substrate surface.
AB - Attachment of cells to substrate surface is the premise for biofilm formation. To
shelter microalgae cells from fluid shear stress and offer larger areas for
microalgae attachment, the inerratic microgrooves, which can act as anchor points
that offer larger areas for microalgae attachment and induce vortex to protect
cells from hydraulic shear stress, were designed and fabricated into substrate
surface. The results indicated that the shear stress on the surface with V
grooves was weaker than that on the surface with U-grooves, and 45 degrees V
grooves with the width of 200 MUm were benefit for cells attachment. The initial
attachment time was shortened to 50 min under the hydraulic shear stress of 0.02
Pa compared to that of 135 min on the surface without microgrooves. Subsequently,
the biofilm biomass concentration on the surface with 45 degrees V-grooves
increased by 14.29% to 165.84 g m-2 compared with that on flat substrates.
PMID- 29653333
TI - Mathematical model to appraise the inhibitory effect of phenolic compounds
derived from lignin for biobutanol production.
AB - This study aimed to establish a mathematical modeling to evaluate the inhibitory
effect of phenolic derivatives on acetone-butanol-ethanol (ABE) fermentation by
Clostridium saccharoperbutylacetonicum N1-4. Vanillin, 4-hydroxybenzoic acid, and
syringaldehyde were selected to represent guaiacyl, hydroxyphenyl, and syringyl
phenols, respectively, to be examined in a series of fed-batch experiments.
Results show the presence of phenolic derivatives blocked the pathway of the
assimilation of organic acids and reduced cell growth and glucose utilization.
The inhibition model projected that the levels of 0.13, 0.14, and 0.04 g L-1 for
vanillin, 4-hydroxybenzoic acid, and syringaldehyde, respectively, resulted in
25% inhibition of butanol production, whereas 100% inhibition was predicted at
the levels of 4.94, 4.37, and 4.20 g L-1 for vanillin, 4-hydroxybenzoic acid, and
syringaldehyde, respectively. Syringaldehyde was more toxic than the other two
compounds. The established model described that the phenolic compounds derived
from different phenyl propane monomers of lignin severely obstructed biobutanol
production.
PMID- 29653334
TI - Evaluation of the mass transfer effects on delignification kinetics of
atmospheric acetic acid fractionation of sugarcane bagasse with a shrinking-layer
model.
AB - A shrinking-layer model by modification of classical shrinking-core model was
developed based on cell wall multi-layered structure for delignification of
sugarcane bagasse with sulfuric acid (SA) catalyzed atmospheric acetic acid (AA)
fractionation. The general model for overall rate of delignification was obtained
and further employed to evaluate the mass transfer effects on delignification. It
was found that the external diffusion could be neglected under the studied
fractionation conditions, while the internal diffusion appeared to play an
important role. The effective diffusion coefficient for lignin within the biomass
was estimated as 6.7 * 10-14-1.6 * 10-12 m2/s depending on temperature, solid
content in the system and molecular weight of lignin. However, surface reaction
also seems to be a limiting step to the overall rate of delignification. At the
early stage of delignification, both internal diffusion and reaction were
controlling steps, while at the late stage reaction seems to be the controlling
step.
PMID- 29653335
TI - Influence of torrefaction with Mg-based additives on the pyrolysis of cotton
stalk.
AB - The study presented an approach to introduce Mg-based additives into cotton stalk
for strengthening deoxygenation effect during torrefaction. Then catalytic
pyrolysis of torrefied feedstock with Mg-based additives residue as catalyst was
performed at 550 degrees C for 10 min in a fixed-bed reactor. The effects of
torrefaction temperature (200, 230, 260, 290, 320, 350 degrees C), type of Mg
based additive (MgO and MgO-K2CO3), mass ratio of additive to biomass (0.5, 1 and
2) on pyrolysis were investigated. The results indicated that yields of bio-char
and bio-oil significantly increased and decreased with torrefaction temperature
rising to 350 degrees C. MgO inhibited pyrolysis bio-char yield increase with
torrefaction severity. MgO-K2CO3 increased H2 yield a lot from 1.39 to 3.67
mmol/g. It also effectively improved the aromatic hydrocarbons in bio-oil and the
reduction of acids. A maximum aromatic hydrocarbons yield of 16.05% was obtained
with MgO-K2CO3 (the mass ratio of 0.5:1) at torrefaction temperature of 320
degrees C.
PMID- 29653336
TI - Efficient degradation of lignin in raw wood via pretreatment with heteropoly
acids in gamma-valerolactone/water.
AB - The aim of this work was to study the degradation of lignin in raw wood via
pretreatment with heteropoly acids as substitutes for traditional H2SO4 in gamma
valerolactone/water. By optimizing catalyst concentration, reaction time and
temperature, the optimal lignin degradation conditions are obtained (130 degrees
C, 3 h and 20 mM silicotungstic acid). SEM and FTIR measurements demonstrated the
efficient lignin degradation ability of HPAs in the GVL/H2O solvent, with
negligible damage to cellulose within the raw wood. Furthermore, an elaborated
enzymatic hydrolysis study of the thus obtained cellulosic feedstock revealed its
suitability for enzymatic digestion, with great potential as starting material
for the production of fermentable sugar from biomass in future biorefinery
applications.
PMID- 29653337
TI - Investigation of microstructural abnormalities in white and gray matter around
hippocampus with diffusion tensor imaging (DTI) in temporal lobe epilepsy (TLE).
AB - OBJECTIVE: The objective of this study was to apply diffusion tensor imaging
(DTI) to investigate microstructural abnormalities in temporal lobe epilepsy
(TLE) with and without hippocampal sclerosis (HS). MATERIALS: Totally, 19
patients with TLE with HS and 23 patients with TLE without HS were included.
Fiber tracking fibers focused on the parahippocampal cingulum (PHC), cingulate
gyrus (CG), and fornix (FORX). Fractional anisotropy (FA) and mean diffusivity
(MD) values were obtained, and hippocampal volumes were measured. RESULTS:
Compared with the contralateral side, for the HS group, FA values of ipsilateral
CG and FORX were significantly decreased, and MD value of ipsilateral hippocampus
was significantly higher, with significantly declined ipsilateral hippocampal
volume. For the MRI-Neg group, FA values of ipsilateral CG, FORX, and hippocampus
were significantly decreased, while MD values of ipsilateral FORX and hippocampus
were significantly higher. Moreover, for the MRI-Neg group, the FA value of
contralateral PHC was significantly decreased. Fractional anisotropy values of
ipsilateral CG for both groups were significantly decreased, and FA value of
ipsilateral FORX for the HS group was significantly decreased. Furthermore, MD
value of ipsilateral hippocampus for the HS group was significantly higher, and
FA value of ipsilateral hippocampus for the MRI-Neg group was significantly
decreased. In addition, ipsilateral hippocampal volumes for both groups were
significantly decreased. Fractional anisotropy value of ipsilateral CG and FORX
had a correlation with the seizure frequency. CONCLUSION: Diffusion tensor
imaging can detect microstructural abnormalities in brain from patients with TLE,
which might be hard to find with routine Magnetic Resonance Imaging (MRI)
sequence.
PMID- 29653338
TI - Long-term effects of adjunctive perampanel on cognition in adolescents with
partial seizures.
AB - OBJECTIVE: The aim of this study was to evaluate long-term effects of adjunctive
perampanel on cognition, efficacy, growth, safety, and tolerability in
adolescents with inadequately controlled partial seizures. METHODS: Study 235, a
multicenter, randomized, double-blind, placebo-controlled, parallel-group, Phase
II study with an open-label extension phase (NCT01161524), was primarily designed
to assess the effects of adjunctive perampanel on cognition. Patients (aged >=12
to <18years) had a diagnosis of epilepsy with inadequately controlled partial
seizures, with or without secondary generalization, despite receiving 1-3
antiepileptic drugs. During the double-blind phase, adjunctive perampanel or
placebo was administered over a 6-week titration period and a 13-week maintenance
period up to 12mg/day. During the extension phase, all patients received
perampanel. Data from the extension phase are presented here. Study endpoints
included change from baseline in Cognitive Drug Research (CDR) measures of
cognition, seizure frequency, growth, development, the occurrence of treatment
emergent adverse events (TEAEs), and laboratory values. RESULTS: A total of 114
patients entered the extension phase (prior double-blind treatment: placebo,
n=41; perampanel, n=73). Perampanel had no effect on the CDR system global
cognition score, continuity of attention, quality of episodic memory, quality of
working memory, or speed of memory but was associated with a significant decline
in power of attention at end of treatment compared with baseline (p=0.03). There
were no effects on language skills or manual dexterity from baseline to end of
treatment. At Weeks 40-52, median reduction in seizure frequency was 74.1%, and
50% responder rate was 66.0%. There were no clinically relevant effects of
perampanel on growth or development at end of treatment compared with baseline.
Overall, 84.2% of patients experienced at least one TEAE and 70.2% experienced at
least one treatment-related TEAE. The most common TEAEs were dizziness (29.8%)
and somnolence (19.3%). The TEAEs resulted in the discontinuation of treatment in
6.1% of patients. CONCLUSIONS: In keeping with the 19-week double-blind phase,
long-term adjunctive treatment with perampanel did not have any significant
overall effects on the CDR system global cognition score in adolescent patients
with inadequately controlled partial seizures. Similar trends were observed
across the individual CDR system domains. Adjunctive perampanel showed sustained
long-term seizure control and had a safety and tolerability profile similar to
that observed in prior clinical studies.
PMID- 29653339
TI - Natural killer cells target and differentiate cancer stem-like
cells/undifferentiated tumors: strategies to optimize their growth and expansion
for effective cancer immunotherapy.
AB - Natural killer (NK) cells are known to select and differentiate cancer stem-like
cells/undifferentiated tumors via lysis, and secreted/membrane bound IFN-gamma
and TNF-alpha respectively, resulting in the control of tumor growth. Several in
vivo mouse models including humanized-BLT mice have been used to study the
biology and significance of NK cells in selection/differentiation of stem-like
tumors within the context of a reconstituted human immune system. In addition, we
discuss the evidence and significance of NK cell loss at the pre-neoplastic
stage. Therefore, because of their indispensable role in targeting
CSCs/undifferentiated tumors, NK-cells should be placed high in the armamentarium
of tumor therapy.
PMID- 29653340
TI - Magnitude and variability of gait characteristics when walking on an irregular
surface at different speeds.
AB - Different modes of perturbations have been used to understand how individuals
negotiate irregular surfaces, with a general notion that increased locomotion
variability induces a positive training stimulus. Individuals tend to walk slower
when initially exposed to such locomotion tasks, potentially influencing the
magnitude and variability of biomechanical parameters. This study investigated
theeffects of gait speed on lower extremity biomechanics when walking on an
irregular (IS) and regular surface (RS). Twenty physically active males walked on
a RS and IS at three different speeds (4 km/h, 5 km/h, 6 km/h). Lower extremity
kinematics (300 Hz) and surface electromyography (3000 Hz) were recorded during
the first 90 s of gait. Two-factor repeated measures ANOVA was used to determine
surface and speed effects (p < 0.05). Gait speed influences walking biomechanics
(kinematic and muscle activity parameters) the same irrespective of surface
condition. As walking speed increased, sagittal shoe-surface angle, maximum ankle
inversion, ankle abduction, knee and hip flexion increased during stance phase
when walking on the IS and RS (p < 0.05). Increasing walking speed caused
increased muscle activity of the tibialis anterior, peroneus longus,
gastrocnemius medialis, vastus medialis and biceps femoris (p < 0.05) on the IS
and RS during the gait cycle. Increased gait, kinematic and muscle activity
variability was reported at lower walking speed on both the IS and RS. Further,
irrespective of gait speed, walking on an IS triggers postural adjustments,
higher muscle activity and increased gait variability compared to RS walking. Our
findings suggest the benefits of training on the irregular surface may be further
enhanced at slower gait speeds.
PMID- 29653341
TI - Cotard syndrome in Tumefactive Multiple Sclerosis- A case report.
PMID- 29653342
TI - School refusal in adolescents with systemic lupus erythematosus (SLE): A case
series.
PMID- 29653343
TI - Pulsus alternans induced by spinal anesthesia.
PMID- 29653344
TI - A randomized controlled trial of comprehensive early intervention care in
patients with first-episode psychosis in Japan: 1.5-year outcomes from the J-CAP
study.
AB - The first episode of psychosis represents a critical period wherein comprehensive
early intervention in psychosis (EIP) may alter the course of illness. However,
evidence from randomized controlled trials that have examined the impact of
comprehensive EIP care on clinical and functional recovery assessed by
independent blinded raters is limited. The objective of this study was to conduct
a single-blinded multicenter trial comparing comprehensive EIP care and standard
care in young patients with first-episode psychosis (FEP) in Japan (J-CAP Study).
A total of 77 participants with FEP (aged 15-35 years) were randomized to receive
standard care or specialized comprehensive EIP care and were followed up for 1.5
years (trial no.: UMIN000005092). Function (measured with the Global Assessment
of Functioning) and clinical remission (defined by internationally standardized
criteria proposed by the Remission in Schizophrenia Working Group) were evaluated
by independent raters who were blinded to group assignment. Dropout rate and
other secondary outcomes were also examined. The specialized EIP care group had a
higher clinical remission rate (odds ratio, 6.3; 95% confidence interval, 1.0
37.9) and lower treatment dropout rate (odds ratio, 0.038; 95% confidence
interval, 0.002-0.923) than the standard care group, even after adjusting for
baseline characteristics. Functional improvement in the specialized EIP care
group was slightly higher than that in the standard care group, but this
difference was not statistically significant (p = 0.195). From the results, we
conclude that comprehensive EIP care may provide advantages over standard care in
patients with FEP.
PMID- 29653345
TI - Isomerization of astilbin and its application for preparation of the four
stereoisomers from Rhizoma Smilacis Glabrae.
AB - Astilbin is the most predominant flavonoid in Rhizoma Smilacis Glabrae (RSG) with
many bioactivities. The interconversion of the astilbin and its three
stereoisomers was found with incubation of RSG extract at different temperatures,
and the equilibrium ratios were calculated. Under certain conditions, neoastilbin
would replace astilbin and become the predominant flavonoid in RSG extract. The
effects of ascorbic acid, sucrose, sodium benzoate, beta-cyclodextrin (beta-CD)
and common metal ions on the isomerization and decomposition of astilbin were
studied. Ascorbic acid showed the best protective effect on the decomposition of
astilbin and its isomers, which may be attributed to its reducing and radical
scavenging ability. Besides, ascorbic acid also accelerated the isomerization of
astilbin. beta-CD suppressed both isomerization and decomposition of astilbin
through complexation between them. Most metal ions had inhibition effects on the
isomerization of astilbin. Al3+ could almost completely inhibit the
isomerization. The presence of Fe3+ caused the rapid decomposition of astilbin,
and Cu2+ also showed weak effects. Based on the isomerization study, a novel and
simple method for preparative separation of astilbin and neoastilbin from RSG
sample was developed. Astilbin and neoastilbin with purity of 93% and yield of
0.86% and 0.48% were obtained, respectively, which represent 46.8% of total
flavonoids in RSG sample. By controlling the isomerization conditions, astilbin
and neoastilbin could be used as the initial reactants to produce neoisoastilbin
and isoastilbin, respectively.
PMID- 29653346
TI - Simple and sensitive LC-MS/MS method for simultaneous determination of crizotinib
and its major oxidative metabolite in human plasma: Application to a clinical
pharmacokinetic study.
AB - In this study, a fast, simple and sensitive liquid chromatography-mass
spectrometry method was developed for simultaneous determination of crizotinib
and its major oxidative metabolite crizotinib-lactam in human plasma. The plasma
samples were deproteinated by using acetonitrile containing 0.1% formic acid as
precipitant whereas the chromatographic separation was obtained on a C18 column
with 0.1% formic acid aqueous and acetonitrile/methanol (v:v, 1:1) as mobile
phase. The mass detector was operated in positive selected reaction monitoring
mode. Precursor-to-product transitions were optimized to be m/z 450.1/260.1, m/z
464.1/98.1, and m/z 326.1/291.1 for crizotinib, crizotinib-lactam and midazolam
(internal standard), respectively. The established method was validated in
accordance with guidance issued by Food and Drug Administration. The assay showed
good linearity over the concentration ranges of 0.1-1000 ng/mL for crizotinib and
0.1-400 ng/mL for crizotinib-lactam, with correlation coefficients more than
0.999 (r > 0.999). The extraction recovery was more than 87.12%. No significant
matrix effect and carryover were observed. The precision (RSD, %) was less than
8.27%, whereas accuracy (RE, %) was within the range of -4.56 to 7.08%. The
validated method has been successfully applied to the clinical pharmacokinetic
study of crizotinib and crizotinib-lactam in human plasma after oral
administration of crizotinib at a single dose of 250 mg. The results revealed
that crizotinib was rapidly metabolized into its metabolite crizotinib-lactam and
the in vivo exposure of crizotinib-lactam was 38.50% of that of crizotinib.
PMID- 29653347
TI - Development and validation of a bioassay to evaluate binding of adalimumab to
cell membrane-anchored TNFalpha using flow cytometry detection.
AB - Physicochemical and structural properties of proteins used as active
pharmaceutical ingredients of biopharmaceuticals are determinant to carry out
their biological activity. In this regard, the assays intended to evaluate
functionality of biopharmaceuticals provide confirmatory evidence that they
contain the appropriate physicochemical properties and structural conformation.
The validation of the methodologies used for the assessment of critical quality
attributes of biopharmaceuticals is a key requirement for manufacturing under GMP
environments. Herein we present the development and validation of a flow
cytometry-based methodology for the evaluation of adalimumab's affinity towards
membrane-bound TNFalpha (mTNFalpha) on recombinant CHO cells. This in vitro
methodology measures the interaction between an in-solution antibody and its
target molecule onto the cell surface through a fluorescent signal. The
characteristics evaluated during the validation exercise showed that this
methodology is suitable for its intended purpose. The assay demonstrated to be
accurate (r2 = 0.92, slope = 1.20), precise (%CV <= 18.31) and specific (curve
fitting, r2 = 0.986-0.997) to evaluate binding of adalimumab to mTNFalpha. The
results obtained here provide evidence that detection by flow cytometry is a
viable alternative for bioassays used in the pharmaceutical industry. In
addition, this methodology could be standardized for the evaluation of other
biomolecules acting through the same mechanism of action.
PMID- 29653348
TI - Increased oxidative stress in the mitochondria isolated from lymphocytes of
bipolar disorder patients during depressive episodes.
AB - The present study aims to investigate the oxidative stress parameters in isolated
mitochondria, as well as looking at mitochondrial complex activity in patients
with Bipolar Disorder (BD) during depressive or euthymic episodes. This study
evaluated the levels of mitochondrial complex (I, II, II-III and IV) activity in
lymphocytes from BD patients. We evaluated the following oxidative stress
parameters: superoxide, thiobarbituric acid reactive species (TBARS) and carbonyl
levels in submitochondrial particles of lymphocytes from bipolar patients. 51
bipolar patients were recruited into this study: 34 in the euthymic phase, and 17
in the depressive phase. Our results indicated that the depressive phase could
increase the levels of mitochondrial superoxide, carbonyl and TBARS, and
superoxide dismutase, and could decrease the levels of mitochondrial complex II
activity in the lymphocytes of bipolar patients. It was also observed that there
was a negative correlation between the Hamilton Depression Rating Scale (HDRS)
and complex II activity in the lymphocytes of depressive bipolar patients. In
addition, there was a positive correlation between HDRS and superoxide,
superoxide dismutase, TBARS and carbonyl. Additionally, there was a negative
correlation between complex II activity and oxidative stress parameters. In
conclusion, our results suggest that mitochondrial oxidative stress and
mitochondrial complex II dysfunction play important roles in the depressive phase
of BD.
PMID- 29653349
TI - The role of lifetime anxiety history in the course of bipolar spectrum disorders.
AB - Individuals with bipolar spectrum disorder (BSD) frequently meet criteria for
comorbid anxiety disorders, and anxiety may be an important factor in the
etiology and course of BSDs. The current study examined the association of
lifetime anxiety disorders with prospective manic/hypomanic versus major
depressive episodes. Participants were 244 young adults (aged 17-26) with milder
forms of BSDs (i.e., bipolar-II, cyclothymia, BD-NOS). First, bivariate analyses
assessed differences in baseline clinical characteristics between participants
with and without DSM-IV anxiety diagnoses. Second, negative binomial regression
analyses tested whether lifetime anxiety predicted number of manic/hypomanic or
major depressive episodes developed during the study. Third, survival analyses
evaluated whether lifetime anxiety predicted time to onset of manic/hypomanic and
major depressive episodes. Results indicated that anxiety history was associated
with greater illness severity at baseline. Over follow-up, anxiety history
predicted fewer manic/hypomanic episodes, but did not predict number of major
depressive episodes. Anxiety history also was associated with longer time to
onset of manic/hypomanic episodes, but shorter time to onset of depressive
episodes. Findings corroborate past studies implicating anxiety disorders as
salient influences on the course of BSDs. Moreover, results extend prior research
by indicating that anxiety disorders may be linked with reduced manic/hypomanic
phases of illness.
PMID- 29653350
TI - Relevance of treated cocaine users' retrospective memory of first cocaine use.
AB - Little is known regarding between-subject variability in the subjective effects
of first cocaine use. This study retrospectively assesses the subjective effects
of first cocaine use in 160 current treatment-seeking cocaine use disorder
patients. Subjective effects of first cocaine use were evaluated with an ad-hoc
questionnaire used for cannabis effects. A principal component analysis (PCA) was
performed, with resulting factors correlated with clinical variables (alpha =
0.05). Four factors emerged in the PCA, namely Anxiety (accounting for 21.5% of
questionnaire variance), Disinhibition (17.3%), Tachypsychia (16%) and Calmness
(13%). Male gender was associated with Disinhibition and Tachypsychia. Cocaine
severity factors were associated with Disinhibition, Tachypsychia and Calmness.
Opiate, sedative and poppers uses were associated with Anxiety, Tachypsychia and
Calmness. The retrospective assessment of the subjective effects of first cocaine
use shows significant variability. The different dimensions of subjective first
effects are influenced by age, gender and previous substance use history, as well
as characteristics of first cocaine use and cocaine-related outcomes.
PMID- 29653351
TI - Early non-invasive detection of breast cancer using exhaled breath and urine
analysis.
AB - The main focus of this pilot study is to develop a statistical approach that is
suitable to model data obtained by different detection methods. The methods used
in this study examine the possibility to detect early breast cancer (BC) by
exhaled breath and urine samples analysis. Exhaled breath samples were collected
from 48 breast cancer patients and 45 healthy women that served as a control
group. Urine samples were collected from 37 patients who were diagnosed with
breast cancer based on physical or mammography tests prior to any surgery, and
from 36 healthy women. Two commercial electronic noses (ENs) were used for the
exhaled breath analysis. Urine samples were analyzed using Gas-Chromatography
Mass-Spectrometry (GC-MS). Statistical analysis of results is based on an
artificial neural network (ANN) obtained following feature extraction and feature
selection processes. The model obtained allows classification of breast cancer
patients with an accuracy of 95.2% +/- 7.7% using data of one EN, and an accuracy
of 85% for the other EN and for urine samples. The developed statistical analysis
method enables accurate classification of patients as healthy or with BC based on
simple non-invasive exhaled breath and a urine sample analysis. This study
demonstrates that available commercial ENs can be used, provided that the data
analysis is carried out using an appropriate scheme.
PMID- 29653352
TI - Online molecular image repository and analysis system: A multicenter
collaborative open-source infrastructure for molecular imaging research and
application.
AB - Molecular imaging serves as an important tool for researchers and clinicians to
visualize and investigate complex biochemical phenomena using specialized
instruments; these instruments are either used individually or in combination
with targeted imaging agents to obtain images related to specific diseases with
high sensitivity, specificity, and signal-to-noise ratios. However, molecular
imaging, which is a multidisciplinary research field, faces several challenges,
including the integration of imaging informatics with bioinformatics and medical
informatics, requirement of reliable and robust image analysis algorithms,
effective quality control of imaging facilities, and those related to
individualized disease mapping, data sharing, software architecture, and
knowledge management. As a cost-effective and open-source approach to address
these challenges related to molecular imaging, we develop a flexible,
transparent, and secure infrastructure, named MIRA, which stands for Molecular
Imaging Repository and Analysis, primarily using the Python programming language,
and a MySQL relational database system deployed on a Linux server. MIRA is
designed with a centralized image archiving infrastructure and information
database so that a multicenter collaborative informatics platform can be built.
The capability of dealing with metadata, image file format normalization, and
storing and viewing different types of documents and multimedia files make MIRA
considerably flexible. With features like logging, auditing, commenting, sharing,
and searching, MIRA is useful as an Electronic Laboratory Notebook for effective
knowledge management. In addition, the centralized approach for MIRA facilitates
on-the-fly access to all its features remotely through any web browser.
Furthermore, the open-source approach provides the opportunity for sustainable
continued development. MIRA offers an infrastructure that can be used as cross
boundary collaborative MI research platform for the rapid achievement in cancer
diagnosis and therapeutics.
PMID- 29653353
TI - A statistical shape model of the left ventricle from real-time 3D
echocardiography and its application to myocardial segmentation of cardiac
magnetic resonance images.
AB - OBJECT: We present in this paper the application of a statistical shape model of
the left ventricle (LV) built from transthoracic real time 3D echocardiography
(3DE) to segment the LV endocardium and epicardium in cardiac magnetic resonance
(CMR) images. MATERIAL AND METHODS: The LV model was built from a training
database constituted by over 9000 surfaces obtained from retrospectively selected
3DE examination of 435 patients with various pathologies. Three-dimensional
segmentation of the endocardium and the epicardium was obtained by processing CMR
images acquired in 30 patients with a dedicated active shape modelling (ASM)
algorithm using the proposed LV model. RESULTS: The segmentation results obtained
with the proposed method were compared with those obtained by the manual
reference technique; similarity was proven by computing: i) point to surface
distance (<2 mm), ii) Dice similarity coefficient (>89%), iii) Hausdorff distance
(~5 mm). This was furthermore confirmed by equivalence testing, linear regression
and Bland Altman analysis applied on derived clinical parameters, such as LV
volumes and mass. CONCLUSIONS: This study showed the potential usefulness of the
proposed inter-modal ASM approach featuring a 3DE-based LV model for the 3D
segmentation of the LV myocardium in CMR images.
PMID- 29653354
TI - A self-tuned graph-based framework for localization and grading prostate cancer
lesions: An initial evaluation based on multiparametric magnetic resonance
imaging.
AB - Multiparametric magnetic resonance imaging (mpMRI) has been established as the
state-of-the-art examination for the detection and localization of prostate
cancer lesions. Prostate Imaging-Reporting and Data System (PI-RADS) has been
established as a scheme to standardize the reporting of mpMRI findings. Although
lesion delineation and PI-RADS ratings could be performed manually, human
delineation and ratings are subjective and time-consuming. In this article, we
developed and validated a self-tuned graph-based model for PI-RADS rating
prediction. 34 features were obtained at the pixel level from T2-weighted (T2W),
apparent diffusion coefficient (ADC) and dynamic contrast enhanced (DCE) images,
from which PI-RADS scores were predicted. Two major innovations were involved in
this self-tuned graph-based model. First, graph-based approaches are sensitive to
the choice of the edge weight. The proposed model tuned the edge weights
automatically based on the structure of the data, thereby obviating empirical
edge weight selection. Second, the feature weights were tuned automatically to
give heavier weights to features important for PI-RADS rating estimation. The
proposed framework was evaluated for its lesion localization performance in mpMRI
datasets of 12 patients. In the evaluation, the PI-RADS score distribution map
generated by the algorithm and from the observers' ratings were binarized by
thresholds of 3 and 4. The sensitivity, specificity and accuracy obtained in
these two threshold settings ranged from 65 to 77%, 86 to 93% and 85 to 88%
respectively, which are comparable to results obtained in previous studies in
which non-clinical T2 maps were available. The proposed algorithm took 10s to
estimate the PI-RADS score distribution in an axial image. The efficiency
achievable suggests that this technique can be developed into a prostate MR
analysis system suitable for clinical use after a thorough validation involving
more patients.
PMID- 29653355
TI - Monte Carlo method for gamma spectrometry based on GEANT4 toolkit: Efficiency
calibration of BE6530 detector.
AB - The combination of gamma-ray spectrometry, the development of related Monte Carlo
method and the GEANT4 (GEometry ANd Tracking) toolkit have been developed for
gamma spectrometry simulation. The main objective was to validate simulation
models of broad energy germanium (BEGe) detector geometry built in our laboratory
(BE6530 model). Monte Carlo simulation of the geometry of BE6530 detector for
efficiency calibration was carried out with GEANT4 toolkit. The simulated
efficiencies curves using MC were compared with experimental results. Measurement
uncertainties for both simulation and experimental estimations of the efficiency
were assessed in order to see whether the consequences of the realistic
measurement fall inside adequate cut-off points. The validation of the simulation
was carried out by experimentally estimating the activity concentration in a
reference sample and the comparison showed good correlation between experimental
and simulation. Therefore, from the outcomes of this study, it can be concluded
that Monte-Carlo simulation is a helpful, reasonable option that additionally
gives more prominent adaptability, greater flexibility, precision and accuracy,
and gained time when determining the detector response and efficiency in routine
of environmental radioactivity monitoring.
PMID- 29653356
TI - Interaction of toxic chemicals with microplastics: A critical review.
AB - Occurrence of microplastics (MPs) in the environment has attracted great
attention as it has become a global concern. This review aims to systematically
demonstrate the role of marine microplastic as a novel medium for environmental
partitioning of chemicals in the ocean, which can cause toxic effects in the
ecological environment. This review assimilated and analyzed available data
published between 1972 and 2017 on the interaction between MPs and selected
chemicals. Firstly, the review analyzes the occurrence of chemicals in MPs and
outlines their distribution patterns. Then possible mechanisms of the interaction
between MPs and organic chemicals and potential controlling factors were
critically studied. Finally, the hazards of MPs and affiliated organic chemicals
to marine organisms were shortly summarized.
PMID- 29653357
TI - Controlling bromate formation in the Co(II)/peroxymonosulfate process by ammonia,
chlorine-ammonia and ammonia-chlorine pretreatment strategies.
AB - The Co(II)/peroxymonosulfate (Co(II)/PMS) process, producing sulfate radicals
(SO4*-), effectively removes organic pollutants in water, while producing a
significant amount of bromate (BrO3-) in the presence of bromide (Br-). This
paper investigates the ammonia (NH3) addition, chlorine-ammonia (Cl2-NH3) and
ammonia-chlorine (NH3-Cl2) pretreatment strategies in controlling BrO3- formation
in 20 min in the Co(II)/PMS process at pH 4.0. The addition of NH3 retarded the
BrO3- formation, but only at a reduction level of about 9.5% for NH3
concentration of 50 MUM, and was mainly attributed to the protonation of NH3 at
pH 4 (99.99% as NH4+, did not react with HOBr). Both the Cl2-NH3 and NH3-Cl2
pretreatment strategies at HOCl and NH3 dosages of 15 and 50 MUM, respectively,
reduced 95% or more of the overall BrO3- formation and retarded the BrO3-
formation, with the NH3-Cl2 pretreatment strategy outperforming Cl2-NH3. The
reduction of the BrO3- formation was mainly attributed to the formation of
monochloramine (NH2Cl) in both pretreatment strategies. NH2Cl effectively
outcompetes SO4*- to react with HOBr and forms NHBrCl, with the apparent reaction
rate constant between NH2Cl and HOBr more than 100 times faster than that between
SO4*- and HOBr. However, the oxidation/degradation of NHBrCl in the Co(II)/PMS
process reforms HOBr, and, although less in quantity, is oxidized to BrO3- at
higher Co(II) and Br- concentrations. Thus, the NH3-Cl2 and Cl2-NH3 pretreatment
strategies inhibit the BrO3- formation more significantly at lower Co(II) and Br-
concentrations. In all cases, the generation of SO4*- in 20 min was not affected
by the implementation of the three BrO3- pretreatment strategies.
PMID- 29653358
TI - Anaerobic treatment of N, N-dimethylformamide-containing wastewater by co
culturing two sources of inoculum.
AB - The complete methanogenic degradation of N, N-dimethylformamide (DMF) was
achieved in this study. Initially, DMF was found to be feebly degradable by a lab
scale submerged anaerobic membrane bioreactor (SAnMBR) using normal anaerobic
digestion sludge (ADS) even after 120-day's culturing. However, aerobic DMF
degrading activated sludge (AS) was rapidly cultivated in a continuous aeration
reactor (CAR). A specially designed anaerobic co-cultured sludge (ACS) made by
artificially mixing AS with ADS was successfully domesticated by a long term
repeated batch experiment. The results demonstrated that ACS could effectively
degrade over 5000 mg L-1 DMF for methane recovery. The metabolic pathway and
stoichiometric equation of DMF methanogenic degradation were also revealed and
verified in detail. It was confirmed that under the anaerobic condition, with the
help of enzyme, DMF converts into dimethylamine and formic acid, and the
intermediates are effectively fermented through methylotrophic/hydrogenotrophic
methanogenesis. Analysis of the microbial community suggested that some
facultatively anaerobic bacteria played the key roles in methanogenic degradation
due to their DMF-hydrolyzing ability. By co-culturing two sources of inoculum
under the anaerobic condition, the symbiosis of facultatively anaerobic DMF
hydrolyzing bacteria and methylotrophic/hydrogenotrophic methanogens makes
methanogenic degradation of DMF available. This study also provides a novel
sludge cultivation method for anaerobic treatment of degradation-resistant
organics.
PMID- 29653359
TI - The role of the gut microbiota in the pathology and prevention of liver disease.
AB - Several microorganisms belonging to the intestinal microbiota act in an ecosystem
responsible for maintaining the homeostasis and vital functions of human beings.
From birth to old age the diversity of the intestinal microbiota may change due
to environmental factors such as nutrition, immunity, diseases or the use of
antibiotics leading to dysbiosis. Improvement in microbiota diversity can be
achieved by modifying related risk factors through changes in lifestyle and a
healthy diet. Besides, the addition of probiotics, prebiotics or the combination
of both (symbiotics), can result in the improvement of the intestinal
permeability, inflammatory pathways and the immune system. Also, the use of
probiotics prevents harmful bacteria and their derived products (e.g.,
bacteriocins, endotoxins, hydrogen sulfide, etc.) to leak through the intestinal
wall to the circulation that results in the activation of signaling pathways that
may be implicated in liver disease. The liver receives a constant flow of noxious
entities that promote inflammation and oxidative stress. The use of probiotics
with clinical evidence in liver disease, represent a novel therapeutic
alternative, inducing positive changes in the balance of the intestinal
microbiota which lead to improvement in liver function tests (AST and ALT),
decreasing tumor necrosis factor-alpha (TNF-alpha), andblood cholesterol, among
other risk factors. In this review, we discuss the main elements that play a
leading role in the development of steatosis as well as the benefits of using
probiotics and the impact in the quality of life of patients that develop
cirrhosis.
PMID- 29653360
TI - Involvement of the NO/sGC/cGMP/K+ channels pathway in vascular relaxation evoked
by two non-quinazoline alpha1-adrenoceptor antagonists.
AB - The aim of this study was to explore the alpha1-adrenoceptor-independent
mechanisms involved in the vasorelaxant properties of two non-quinazoline alpha1
adrenoceptors antagonists (MH-76 and MH-79). Endothelium intact and endothelium
denuded rat aorta was contracted with 1 MUM phenylephrine to plateau, and the
vasodilatory effect of MH-76 and MH-79 was examined in the absence or presence of
inhibitors of the different signal transduction pathways. cGMP concetration was
measured in rat aorta (enzyme immunoassay kit). In human aortic endothelial cells
(HAEC) NO production was examined using a DAF-FM DA fluorescent indicator,
whereas in human aortic smooth muscle cells the influence of the title compounds
on K+ efflux was evaluated. The vasorelaxant effect of MH-76 and MH-79 was
attenuated by endothelium removal, Nomega-Nitro-l-arginine methyl ester (L-NAME)
and 1H-[1,2,4]Oxadiazolo[4,3-a]quinoxalin-1-one (ODQ) pretreatment to the level
characteristic for alpha1-adrenoreceptor blocking activity. In addition, the MH
76 and MH-79 induced relaxation was reduced by K+ channels blockers. In
endothelium intact rat aorta, MH-76 and MH-79 caused an increase in cGMP level,
whereas in HAEC they increased NO generation. In contrast, the reference,
quinazoline based alpha1-antagonist prazosin, did not influence NO production.
Our findings suggest that the mechanisms underlying the vasodilatory properties
of non-quinazoline based alpha1-adrenoceptors antagonists MH-76 and MH-79 involve
not only alpha1-adrenoceptor blocking activity but also the activation of the
endothelial NO-cGMP signalling pathway and the subsequent opening of K+ channels.
Our studies show that such double mechanism of action is superior to pure alpha1
adrenoceptor blockade, and may be considered as a promising alternative for the
prevention and treatment of cardiovascular diseases.
PMID- 29653361
TI - LncRNA ASAP1-IT1 positively modulates the development of cholangiocarcinoma via
hedgehog signaling pathway.
AB - Over the past decades, lncRNAs have attracted more and more attentions of
researchers. It has been verified that lncRNAs can modulate multiple biological
behaviors in various human cancers. LncRNA ASAP1-IT1 has been certified to be a
tumor facilitator in several malignant tumors. This study aims to investigate the
effects of dysregulated ASAP1-IT1 on biological processes of Cholangiocarcinoma.
The high expression level of ASAP1-IT1 was tested in Cholangiocarcinoma tissues
and cells with qRT-PCR. Upregulation of ASAP1-IT predicted the unfavorable
prognosis for Cholangiocarcinoma patients. Next, ASAP1-IT1 was knocked down in
cancerous cells for loss-of function assay. MTT, colony formation and transwell
and western bot assays were performed to demonstrate the specific impacts of
ASAP1-IT1 on proliferation, migration and EMT progression of Cholangiocarcinoma.
Cells. As a results, the Cholangiocarcinoma progression was inhibited. Hedgehog
signaling pathway has been discovered to be a treatment target in
Cholangiocarcinoma. In this study, the interaction between ASAP1-IT1 and hedgehog
pathway was specifically investigated. Smo and Gli1, two hedgehog-related
proteins were examined in Cholangiocarcinoma cells. The results of qRT-PCR and
western blot assay suggested that ASAP1-IT1 could positively modulate Smo and
Gli1 in Cholangiocarcinoma. Finally, rescue assays were carried out to prove that
ASAP1-IT1 could improve Cholangiocarcinoma progression and development via
hedgehog signaling pathway.
PMID- 29653362
TI - The long noncoding RNA ZFAS1 facilitates bladder cancer tumorigenesis by sponging
miR-329.
AB - The incidence and mortality rate of bladder cancer have dramatically expanded, so
it's urgent to discover new biomarker and therapeutic target for bladder cancer.
Recently, lncRNA has been identified as oncogene or tumor suppressor to regulate
the tumorigenesis. LncRNA ZFAS1 has been confirmed as oncogene in various tumors.
However, the expression, function, and underlying mechanism of ZFAS1 in bladder
carcinogenesis have yet to be totally clarified. In the current study, our data
demonstrated that ZFAS1 expression was significantly upregulated in bladder
cancer tissues and cell lines. Furthermore, Kaplan-Meier analysis revealed that
high ZFAS1 expression was significantly associated with unfavorable progression
free survival (PFS) (P = 0.0034 < 0.01) and overall survival (OS) (P = 0.0041 <
0.01) of bladder cancer patients. Moreover, silencing of ZFAS1 expression could
markedly suppress bladder cancer cells proliferation and colony formation, arrest
cell cycle, promote cell apoptosis and inhibit cell migration in vitro. In
addition, bioinformatics analysis, luciferase reporter assay, and pull down assay
revealed that ZFAS1 straightly interacted with miR-329. Lastly, rescue
experiments confirmed that miR-329 inhibitor reversed the tumor suppressing roles
of ZFAS1 knockdown on bladder cancer cells. Collectively, our results illuminated
that ZFAS1 could serve as an oncogene in the tumorigenesis of bladder cancer, and
discovered the functional regulatory network of ZFAS1 sponging miR-329.
PMID- 29653363
TI - Trapidil improves hemodynamic, echocardiographic and redox state parameters of
right ventricle in monocrotaline-induced pulmonary arterial hypertension model.
AB - BACKGROUND: Pulmonary arterial hypertension is a disease characterized by
increased pulmonary vascular resistance and redox imbalance, leading to failure
of right ventricle. Trapidil has been described to improve the redox balance and
cardiac conditions. HYPOTHESIS: Trapidil can improve the redox balance and
contribute to functional improvements of the RV in PAH. METHODS AND RESULTS:
Male, 5week-old Wistar rats were divided into four groups: Control, Control +
Trapidil, Monocrotaline and Monocrotaline + Trapidil. PAH was induced by an
intraperitoneal injection of monocrotaline 60 mg/kg at day 0. Treatment started
at day 7 (5 or 8 mg/kg/day) until day 14, when animals were euthanized after
echocardiography and catheterism. Right ventricular systolic pressure and
pressure/time derivatives were increased in monocrotaline animals. The increased
right ventricular diameters in monocrotaline groups were reduced with trapidil.
Monocrotaline groups showed higher lipid peroxidation and glutathione peroxidase
activity. Trapidil reduced NADPH oxidases activities and increased the reduced
glutathiones/total glutathiones ratio. Protein expression of phospholamban in RV
was diminished in monocrotaline groups, whereas expression of RyR and SERCA was
enhanced in the groups treated with trapidil. CONCLUSION: Our data suggest that
trapidil induces an improvement in RV remodeling in PAH model, mitigating the
progression of the disease.
PMID- 29653364
TI - MicroRNA-20a protects human aortic endothelial cells from Ox-LDL-induced
inflammation through targeting TLR4 and TXNIP signaling.
AB - MiR-20a has been previously reported to participate in the development of various
human diseases. However, the role of miR-20a in the pathology of atherosclerosis
remains elusive. The present study aimed to reveal the relationship between miR
20a expression and atherosclerosis using in vitro cell model. The expression
level of miR-20a was detected in human aortic endothelial cells (HAECs) under Ox
LDL exposure. Meanwhile, the regulatory effects of miR-20a on predicted targets
(TLR4 and TXNIP) were also determined. Moreover, the levels of key proteins and
inflammatory mediators in TLR4 and NLRP3 signaling were detected to further
confirm the regulatory effects of miR-20a. We found that miR-20a expression was
repressed under Ox-LDL condition, and both TLR4 and TXNIP acted as regulatory
targets of miR-20a. Overexpressed miR-20a reduced ROS generation under Ox-LDL
treatment, and this effect was restored by forced expression of TLR4. Moreover,
key molecules (including MyD88, TRIF, phosphorylated NF-kappaB (p65), NLRP3, ASC,
cleaved caspase-1, ICAM-1 and IL-1beta) in TLR4 and NLRP3 signaling were
significantly repressed under miR-20a overexpression. In conclusion, miR-20a
could negatively regulate TLR4 and NLRP3 signaling to protect HAECs from
inflammatory injuries, which provides a new insight into the inhibition of
atherosclerotic development.
PMID- 29653365
TI - Beneficial health effects of lupenone triterpene: A review.
AB - There are a large number of new structure compounds with good pharmacological
activity in the natural plants, can be applied to the treatment of human
diseases. Finding active ingredients from the plants is one of the important ways
to develop new drugs. Triterpenes are widespread in plants, and lupenone belongs
to lupane type triterpenoids. Lupenone is very common natural ingredient
distributed in multi-family plants including Asteraceae, Balanophoraceae,
Cactaceae, Iridaceae, Musaceae, Urticaceae, Leguminosae, Bombacaceae, etc., but
its distribution has no regular. The consumption of lupenone in vegetarian diet
is high in human life. Pharmacological screening of lupenone revealed various
pharmacological activities including anti-inflammatory, anti-virus, anti
diabetes, anti-cancer, improving Chagas disease without major toxicity. Based on
these important pharmacological activities, this review provides detailed account
of pre-clinical studies conducted to determine the utility of lupenone as a
therapeutic and chemopreventive agent for the treatment of various diseases.
PMID- 29653366
TI - Bufalin inhibits glioblastoma growth by promoting proteasomal degradation of the
Na+/K+-ATPase alpha1 subunit.
AB - Chansu is a traditional Chinese medicine that is generally recognized as a
specific inhibitor of Na+/K+-ATPase. Bufalin, an active component of Chansu, is
an endogenous steroid hormone with great potential as a cancer treatment.
However, the mechanism by which it exerts its antitumor activity requires further
research. Currently, the alpha1 subunit of Na+/K+-ATPase (ATP1A1) is known to
exert important roles in tumorigenesis, and the precise mechanisms underlying the
effect of Bufalin on the Na+/K+-ATPase alpha1 subunit was therefore investigated
in this study to determine its role in glioblastoma treatments. The effect of
ATP1A1 on the sensitivity of glioblastoma cells to Bufalin was investigated using
MTT assays, RT-PCR and siRNA. Western blot was also used to explore the important
roles of the ubiquitin-proteasome pathway in the Bufalin-mediated inhibition of
ATP1A1. Xenografted mice were used to examine the anti-tumor activity of Bufalin
in vivo. LC-MS/MS analysis was performed to determine the ability of Bufalin to
traverse the blood-brain barrier (BBB). The results indicated that Bufalin
inhibited the expression of ATP1A1 in glioblastoma by promoting the activation of
proteasomes and the subsequent protein degradation of ATP1A1, while Bufalin had
no effect on ATP1A1 protein synthesis. Bufalin also inhibited the expression of
ATP1A1 in xenografted mice and significantly suppressed tumor growth. These data
should contribute to future basic and clinical investigations of Bufalin. In
conclusion, Bufalin significantly inhibited the expression of ATP1A1 in
glioblastoma cells by activating the ubiquitin-proteasome signaling pathway.
Bufalin may therefore have the potential to be an effective anti-glioma drug for
human glioblastoma in the future.
PMID- 29653367
TI - Upregulation of lncRNA PlncRNA-1 indicates the poor prognosis and promotes glioma
progression by activation of Notch signal pathway.
AB - Prostate cancer-up-regulated long noncoding RNA 1(PlncRNA-1) has been
demonstrated to be increased in several cancers, which plays an oncogenic role in
the development of cancer. However, the exact functions and molecular mechanism
of PlncRNA-1 in the tumorigenesis of glioma has not been studied. In present
work, we firstly identified that PlncRNA-1 expression levels were prominently
augmented in glioma patient tissues and glioma cell lines compared with adjacent
noncancerous tissue and normal cells, respectively. Moreover, Kaplan-Meier
survival analysis indicated that glioma patients with high PlncRNA-1 expression
had shorter overall survival (OS) and progression-free survival (PFS) than those
with low PlncRNA-1 expression. Furthermore, loss-of-function assay showed that
PlncRNA-1 knockdown dramatically reduced cell proliferation, colony formation,
and promoted apoptosis of glioma cell lines. In addition, overexpression of
PlncRNA-1 promoted cell proliferation, stimulated cell colony formation, and
inhibited cell apoptosis in NHA cells. Mechanically, our results showed that
PlncRNA-1 significantly promoted activation of the Notch signal pathway through
regulation of Notch-1, Jag-1, and Hes-1 expression. Collectively, our results
implied that lncRNA PlncRNA-1 may exert tumor-promoting role in the development
and progression of glioma through modulation of Notch signal pathway, providing a
candidate therapeutic target for patients with glioma.
PMID- 29653368
TI - Synthesis of F127/PAA hydrogels for removal of heavy metal ions from organic
wastewater.
AB - Organic matters in wastewater dramatically impaired the removal capability of
adsorbents to heavy metal ions, thus greatly limited their applications for real
systems. Here we fabricated the F127/PAA hydrogels that could effectively remove
Cu2+ and Hg2+ from organic wastewater due to their anti-fouling properties
against organic matters. The F127/PAA hydrogels performed high swelling ratio and
mechanical stress, showing maximum adsorption capacities of Cu2+ and Hg2+ at
283.4 and 222.1 mg/g, respectively. For the BSA containing water, the removal
ratio of Cu2+ and Hg2+ by F127/PAA hydrogels could reach to 94.0% and 70.2%,
respectively. For the humic acid containing water, the corresponding data were
89.5% and 72.1%. Besides, five cyclic adsorption-desorptions in humic acid
solution and simulated cosmetic wastewater only slightly decreased the removal
efficiencies of F127/PAA hydrogels to Cu2+ and Hg2+. Our results suggested the
potential application of the F127/PAA hydrogels in treatment of real organic
wastewater.
PMID- 29653369
TI - Biocompatible Fe3+-TA coordination complex with high photothermal conversion
efficiency for ablation of cancer cells.
AB - Near-infrared (NIR) light absorbing nanomaterials, which can convert light to
heat energy, have great prospects in biomedical applications. In the current
work, Fe3+-TA (Tannic Acid) coordination complex formed by simple mixing of
tannic acid and FeCl3 solutions was explored as a novel photothermal agent. Due
to the strong absorbance in the near-infrared region induced by the coordination
effect between TA molecule and Fe3+ ion, the as-prepared Fe3+-TA complex
exhibited excellent photothermal performance with high photothermal conversion
efficiency of 77.3% and high photothermal stability. Upon the exposure to Fe3+-TA
aqueous dispersions with a concentration of 0.125 mg/mL, the cell mortality of
HeLa cells was more than 85% after being irradiated for 10 min under NIR light
(808 nm, 6 W cm-2). Besides, the Fe3+-TA complex exhibited ultralow cytotoxicity
since only biocompatible tannic acid and iron ions were used as raw materials.
Therefore, the merits of simple and convenient fabrication method, high
photothermal conversion efficiency and excellent biocompatibility endow the high
potential of Fe3+-TA complex as a photothermal agent for biomedical applications.
PMID- 29653370
TI - Fabrication of blue-fluorescent nanodiamonds modified with alkyl isocyanate for
cellular bioimaging.
AB - This paper describes the fabrication of water-dispersible nanodiamond (ND)
clusters with blue fluorescence for cellular bioimaging. Poly(ethylene glycol)
carboxyl methyl acid (mPEG-COOH) and alkyl isocyanates with different chain
lengths were conjugated onto the surface of the ND clusters for water
dispersibility and fluorescence via carbodiimide chemistry. The relative
fluorescence intensity was increased with the increases in the chain length of
alkyl isocyanate and also their conjugated concentration. The ND clusters
(average size of 37.6 nm and zeta potential of 26.6 mV) with mPEG-COOH and
octadecyl isocyanate (ODI) emitted relatively higher blue fluorescence intensity
under excitation at 350 nm as well as favorable water dispersibility. After
cellular uptake of the ND clusters, blue fluorescence inside the cells was
confirmed by confocal laser scanning microscopy. The ND clusters conjugated with
mPEG-COOH and ODI can potentially be used for cellular bioimaging.
PMID- 29653371
TI - Foreword: Special issue in honor of Alexander J. (Lex) Vega.
PMID- 29653372
TI - Letter to the Editor: History and clinical implications of PAPP-A2 in human
growth: When reflecting on idiopathic short stature leads to a specific and new
diagnosis: Understanding the concept of "low IGF-I availability".
AB - As a result of our publication of the first patients with short stature due to a
mutation in the gene for PAPP-A2 the question, "Why did you continue to study
these patients when they were not more than 2 SDS below normal?" has been
proposed surprisingly frequently. We would like to communicate our opinions on
why these patients were studied and share the experience on how this process took
place. In addition, the choice of treatment is also discussed. We believe that
this discovery process is a good example of good clinical practice and
international collaboration.
PMID- 29653373
TI - Combined effect of salt and drought on boron toxicity in Puccinellia tenuiflora.
AB - Boron toxicity is a worldwide problem, usually accompanied by salt (NaCl) and
drought. The combined stresses may induce complex toxicity to the plant. The aim
of the present study was to investigate how the combined stresses of salt and
drought affect B toxicity in plants. Puccinellia tenuiflora seedlings were
planted in vermiculite. A three (B) * three (salt) * three (drought) factorial
experiment (for a total of 27 treatments) was conducted. After a 30-day
cultivation, plants were harvested to determine dry weight and the concentrations
of B, Na+, K+, Ca2+, and Mg2+. Plant growth was inhibited by B toxicity, which
was alleviated by salt and drought. B stress enhanced B uptake and transport of
the plant, which was inhibited by salt and drought. B stress had a little effect
on K+ and Na+ concentration and caused Ca2+ and Mg2+ accumulation in the plant.
Salt addition increased Na+ concentration and inhibited Ca2+ and Mg2+
accumulation. Drought addition inhibited Na+ accumulation and enhanced Ca2+ and
Mg2+ accumulation. The combined stresses of salt and drought had a greater
alleviation on the inhibition of dry weight caused by B than individual salt and
drought. Besides, the combined stresses of salt and drought also enhanced B
uptake and inhibited B transport. The results indicate that salt, drought, and
the combined stresses of salt and drought all can alleviate B toxicity in P.
tenuiflora, the main mechanism of which is the restriction of B and Na+ uptake
caused by salt and drought. The combined stresses of salt and drought have a
greater effect on B toxicity than individual salt and drought.
PMID- 29653374
TI - The central role of hydrogen sulfide in plant responses to toxic metal stress.
AB - With the increase of industrial wastes, sewage irrigation, chemical fertilizers
and pesticides, metal contamination is increasingly serious. How to reduce the
environmental risk has become a compelling problem in cultivated land. As a
gaseous signal molecule, hydrogen sulfide (H2S) is involved in multiple plant
responses to toxic metal stress. Metal stress rapidly triggers endogenous H2S
production and exogenous H2S alleviates metal toxicity in plants. To elucidate
the role of H2S in metal tolerance, the physiological and molecular mechanisms of
H2S in alleviating metal toxicity is necessary to be reviewed. Here, the latest
progress on endogenous H2S metabolism and the role of H2S in plant responses to
toxic metal stress were summarized and discussed. The mechanisms of exogenous H2S
in alleviating metal toxicity is proposed.
PMID- 29653375
TI - Feeling fat in eating disorders: Testing the unique relationships between feeling
fat and measures of disordered eating in anorexia nervosa and bulimia nervosa.
AB - Although widely discussed in theories of eating disorders, the experience of
"feeling fat" in this population has received little research attention. This
study tested the unique relationships between feeling fat and measures of
problematic eating behaviours and attitudes. Data were analysed from individuals
with anorexia nervosa (AN; n = 123) and bulimia nervosa (BN; n = 51).
Correlations revealed considerable unshared variance between feeling fat and
shape and weight over-evaluation and depressive symptoms. Moreover, when over
evaluation and depressive symptoms were controlled, feeling fat predicted unique
variance in restraint and eating concerns. Findings offer some support for the
idea that feeling fat is a distinct and important component of body image
concerns in eating disorders. Further research that develops a standardized
measure of feeling fat is required. Further research that examines whether
feeling fat is an important treatment mechanism is also needed.
PMID- 29653376
TI - Cue discriminability predicts instrumental conditioning.
AB - Charting mental acts that succeed or fail under unconscious instances of
cognition informs debates on the nature and potential functions of consciousness.
A prominent method to exclude conscious contributions to cognition is to render
visual stimuli unconscious by short and pattern-masked presentations. Here, we
explore a combination of visual masking and pixel noise added to visual stimuli
as a method to adapt discriminability in a fine-grained fashion to subject- and
stimulus-specific estimates of perceptual thresholds. Estimates of the amount of
pixel noise corresponding to perceptual thresholds are achieved by psychometric
adaptive algorithms in an identification task. Afterwards, the feasibility of
instrumental conditioning is tested at four levels of cue discriminability
relative to previously acquired estimates of perceptual thresholds. In contrast
to previous reports (Pessiglione et al., 2008), no evidence for the feasibility
of instrumental condition was gathered when contributions of conscious cognition
were excluded.
PMID- 29653377
TI - Audio-visual sensory deprivation degrades visuo-tactile peri-personal space.
AB - Self-perception is scaffolded upon the integration of multisensory cues on the
body, the space surrounding the body (i.e., the peri-personal space; PPS), and
from within the body. We asked whether reducing information available from
external space would change: PPS, interoceptive accuracy, and self-experience.
Twenty participants were exposed to 15 min of audio-visual deprivation and
performed: (i) a visuo-tactile interaction task measuring their PPS; (ii) a
heartbeat perception task measuring interoceptive accuracy; and (iii) a series of
questionnaires related to self-perception and mental illness. These tasks were
carried out in two conditions: while exposed to a standard sensory environment
and under a condition of audio-visual deprivation. Results suggest that while PPS
becomes ill defined after audio-visual deprivation, interoceptive accuracy is
unaltered at a group-level, with some participants improving and some worsening
in interoceptive accuracy. Interestingly, correlational individual differences
analyses revealed that changes in PPS after audio-visual deprivation were related
to interoceptive accuracy and self-reports of "unusual experiences" on an
individual subject basis. Taken together, the findings argue for a relationship
between the malleability of PPS, interoceptive accuracy, and an inclination
toward aberrant ideation often associated with mental illness.
PMID- 29653378
TI - Possible origins of consciousness in simple control over "involuntary"
neuroimmunological action.
AB - The origin(s) and purpose(s) of consciousness continue to be fervently debated by
neuroscientists. A recent unconventional hypothesis put forth by Morsella et al.
suggests the primary function of consciousness is the integration, selection, and
execution of advantageous lower-level voluntary skeletal muscle behavior on
surrounding external environments. However, at main issue is whether more
precise, adaptable voluntary skeletal motor action, and therefore the
corresponding workings of consciousness, first emerged and evolved in animals to
exert control over external environments or internal ones regulated by less
flexible autonomic function. Using the example of voluntary immunomodulation, one
can identify the strengths and weaknesses of either rationale. For instance,
highly trained meditative techniques for immunomodulation more-or-less conform to
Morsella et al.'s assumptions on higher-level indirect conscious control of
autonomic function. Whereas, untrained skeletal motor resolution of infection
related approach-avoidance conflicts support conclusions contrary to those of
Morsella et al. In such cases, primitive voluntary changes in host respiration
rate and volume may selectively facilitate/inhibit acute autonomic
psychophysiological stress responses to pathogen insult. This and other types of
scenarios predictably give evolutionary and ecological rise to self-awareness of
(visceral) internal states as well as to voluntary regulation of internal state
action conflicts.
PMID- 29653379
TI - Enhanced erythrocyte antioxidant status following an 8-week aerobic exercise
training program in heavy drinkers.
AB - Alcohol-induced oxidative stress is involved in the development and progression
of various pathological conditions and diseases. On the other hand, exercise
training has been shown to improve redox status, thus attenuating oxidative
stress-associated disease processes. The purpose of the present study was to
evaluate the effect of an exercise training program that has been previously
reported to decrease alcohol consumption on blood redox status in heavy drinkers.
In a non-randomized within-subject design, 11 sedentary, heavily drinking men
(age: 30.3 +/- 3.5 years; BMI: 28.4 +/- 0.86 kg/m2) participated first in a
control condition for 4 weeks, and then in an intervention where they completed
an 8-week supervised aerobic training program of moderate intensity (50-60% of
the heart rate reserve). Blood samples were collected in the control condition
(pre-, post-control) as well as before, during (week 4 of the training program),
and after intervention (week 8 of the training program). Samples were analyzed
for total antioxidant capacity (TAC), thiobarbituric acid reactive substances
(TBARS), protein carbonyls (PC), uric acid (UA), bilirubin, reduced glutathione
(GSH), and catalase activity. No significant change in indices of redox status in
the pre- and post-control was observed. Catalase activity increased (p < 0.05)
after 8 weeks of intervention compared to week 4. GSH increased (p < 0.05) after
8 weeks of intervention compared to the control condition and to week 4 of
intervention. TAC, UA, bilirubin, TBARS, and PC did not significantly change at
any time point. Moreover, concentrations of GSH, TBARS, and catalase activity
negatively correlated with alcohol consumption. In conclusion, an 8-week aerobic
training program enhanced erythrocyte antioxidant status in heavy drinkers,
indicating that aerobic training may attenuate pathological processes caused by
alcohol-induced oxidative stress.
PMID- 29653380
TI - Fibroblast populated collagen lattices exhibit opposite biophysical conditions by
fibrin or hyaluronic acid supplementation.
AB - Fibrin and hyaluronic acid are important components of the provisional wound
matrix. Through interactions with fibroblasts, they provide biophysical cues that
regulate the viscoelastic properties of the extracellular matrix. To understand
the roles of fibrin and hyaluronic acid in a collagenous environment, we used
fibroblast populated collagen lattices (collagen, collagen-fibrin, and collagen
hyaluronic acid). Compared with collagen and collagen-hyaluronic acid cultures,
collagen-fibrin cultures showed less contraction, which is correlated with
increased elastic (G') and complex (|G*|) moduli, and reduced proportions of
dendritic fibroblasts, despite increased alphav integrin expression. Stiffness
decreased during culture in collagen-fibrin environment, meanwhile phase shift
(delta) values increased, clearly associated with the rise in fibrinolytic and
gelatinolytic activities. These processes changed the viscoelastic properties of
the system toward G' and |G*| values observed on day 5 in collagen cultures.
Although less collagen turnover was observed in collagen-fibrin cultures than in
collagen and collagen-hyaluronic acid cultures, collagen neosynthesis was
apparently insufficient to contribute to the overall viscoelastic properties of
the system. Collagen-hyaluronic acid cultures showed very limited changes during
time. Firstly, they exhibited the highest delta values, suggesting an increase in
the viscous behavior due to the hygroscopic properties of hyaluronic acid. These
results showed that fibrin and hyaluronic acid not only affect differently the
viscoelastic properties of the culture, they can tune fibroblastic activity by
regulating cell attachment and extracellular matrix remodeling.
PMID- 29653381
TI - Swelling of fiber-reinforced soft tissues is affected by fiber orientation, fiber
stiffness, and lamella structure.
AB - Native and engineered fiber-reinforced tissues are composites comprised of stiff
collagen fibers embedded within an extrafibrillar matrix that is capable of
swelling by absorbing water molecules. Tissue swelling is important for
understanding stress distributions between collagen fibers and extrafibrillar
matrix, as well as for understanding mechanisms of tissue failure. The swelling
behavior of fiber-reinforced tissues in the musculoskeletal system has been
largely attributed to the glycosaminoglycan content. Recent work demonstrated
anisotropy in the swelling response of the annulus fibrosus in the intervertebral
disc. It is well known that collagen fiber orientation affects elastic behavior,
but the effect of collagen fiber network on tissue swelling behavior is not well
understood. In this study, we developed three series of models to evaluate the
effect of collagen fiber orientation, fiber network architecture (i.e., single or
multi-fiber families within a layer), and fiber stiffness on bulk tissue
swelling, which was simulated by describing the extrafibrillar matrix as a
triphasic material, as proposed by Lai et al. Model results were within one
standard deviation of reported mean values for changes in tissue volume, width,
and thickness under free swelling conditions. The predicted swelling response of
single-fiber family structures was highly dependent on fiber orientation and the
number of lamellae in the bulk tissue. Moreover, matrix swelling resulted in
tissue to twist, which reduced fiber deformations, demonstrating a balance
between fiber deformation and matrix swelling. Large changes in fiber stiffness
(20 * increase) had a relatively small effect on tissue swelling (~ 2% decrease
in swelling). In conclusion, fiber angle, fiber architecture (defined as single-
versus multiple fiber families in a layer), and the number of layers in a single
fiber family structure directly affected tissue swelling behavior, including
fiber stretch, fiber reorientation, and tissue deformation. These findings
support the need to develop computational models that closely mimic the native
architecture in order to understand mechanisms of stress distributions and tissue
failure.
PMID- 29653382
TI - Acute exposure of white-tailed deer cortical bone to Staphylococcus aureus did
not result in reduced bone stiffness.
AB - Staphylococcus aureus (S. aureus) is the main source of osteomyelitis in adults.
The end-result of untreated osteomyelitis is bone necrosis and distraction of
bone structure. While bone tissue can heal and remodel its structure to
ameliorate its mechanical properties, so far no study has tested the mechanical
properties of cortical bone tissue exposed to S. aureus. With the increase usage
of bone banks as a source of bone graft supply, it is important to screen for any
possible pathology that may affect the bone graft success to function normally in
the receiving patient. This study tested the effect of acute exposure to S.
aureus on cortical bone stiffness. We have postulated that the incubation of
cortical bone with S. aureus for 48 h will result in a significant decrease in
bone stiffness. Sixty-five bone cubes (2 * 2 * 2 mm) were prepared from the
cranial and caudal aspects of four white-tailed deer mid-diaphysis humeri. First,
all bone samples were tested to determine their stiffness in the three principle
orientations (axial, radial and transverse). Next, bone samples were incubated
for 48 h with S. aureus (32 cubes, experimental group) or with sterile distilled
water (33 cubes, control group). Finally, all cubes were mechanically tested
again and each stiffness value was compared to the original value obtained from
the same cube. Our results revealed that overall, acute exposure to S. aureus did
not significantly decrease bone stiffness and thus our working hypothesis could
not be supported. Therefore, our findings support the current tissue collection
screening methods employed by bone-graft banks.
PMID- 29653383
TI - Characterizing fretting damage in different test media for cardiovascular device
durability testing.
AB - In vitro durability tests of cardiovascular devices are often used to evaluate
the potential for fretting damage during clinical use. Evaluation of fretting
damage is important because severe fretting can concentrate stress and lead to
the loss of structural integrity. Most international standards call for the use
of phosphate buffered saline (PBS) for such tests although there has been little
evidence to date that the use of PBS is appropriate in terms of predicting the
amount of fretting damage that would occur in vivo. In order to determine an
appropriate test media for in vitro durability tests where fretting damage is
being evaluated, we utilized an in vitro test that is relevant to cardiovascular
devices both in terms of dimensions and materials (nitinol, cobalt-chromium, and
stainless steel) to characterize fretting damage in PBS, deionized water (DIW),
and heparinized porcine blood. Overall, tests conducted in blood were found to
have increased levels of fretting damage over tests in DIW or PBS, although the
magnitude of this difference was smaller than the variability for each test
media. Tests conducted in DIW and PBS led to mostly similar amounts of fretting
damage with the exception of one material combination where DIW had greatly
reduced damage compared to PBS and blood. Differences in fretting damage among
materials were also observed with nitinol having less fretting damage than
stainless steel or cobalt-chromium. In general, evaluating fretting damage in PBS
or DIW may be appropriate although caution should be used when selecting test
media and interpreting results given some of the differences observed across
different materials.
PMID- 29653384
TI - Acute toxicity and associated mechanisms of four strobilurins in algae.
AB - Strobilurins have been reported highly toxic to non-target aquatic organisms but
few illustrated how they cause toxic effects on algae. This study investigated
the acute toxicity of Kresoxim-methy (KRE), Pyraclostrobin (PYR), Trifloxystrobin
(TRI) and Picoxystrobin (PIC) on two algae and their toxicity mechanisms. Four
strobilurins showed lower toxic effects on Chlorella pyrenoidsa but higher on
Chlorella vulgaris. bc1 complex activities in C. vulgaris were significantly
inhibited by all strobilurins, suggesting bc 1 complex might be the target of
strobilurin toxicity in algae. Moreover, SOD, CAT and POD activities were
significantly up-regulated by all doses of KRE, PYR and PIC. In contrast, low
concentrations of TRI stimulated SOD and POD activities but highest concentration
significantly inhibited those activities. Comet assays showed damaged DNA in C.
vulgaris by four strobulirins, suggesting their potential genotoxic threats to
algae. The results illustrated acute toxicity by strobulirins on algae and their
possible toxicity mechanisms.
PMID- 29653385
TI - Histological and ultrastructural alterations of the Italian newt (Lissotriton
italicus) skin after exposure to ecologically relevant concentrations of
nonylphenol ethoxylates.
AB - Nonylphenol ethoxylates (NPEs) are well known endocrine disruptors. Widespread
environmental contamination from NPEs is an issue of great concern. Despite
amphibians are often exposed to such contaminants, very little attention has been
dedicated to this vertebrate group. No information is available on the effects of
NPEs onto the amphibian skin and only few reports have been conducted on fish.
Here, histological and ultrastructural modifications of the skin have been
evaluated in the Italian newt Lissotriton italicus. After a short-term exposure
to two ecologically relevant concentrations of NP, severe pathological
alterations, both dose and time-dependent, have been observed. The main effects
were an increased mucous secretion, the dilation of the endomembrane, the
wrinkling of the epidermal surface, the appearance of tubercles, the increased
cellular turnover, continuous shedding processes. Some of the described skin
alterations can easily interfere with physiological functions, such as
osmoregulation and body protection, with detrimental consequences for the
amphibian populations.
PMID- 29653386
TI - Synergistic effects of resveratrol and melatonin on in vitro maturation of
porcine oocytes and subsequent embryo development.
AB - Resveratrol and melatonin are known for their antioxidant properties and have
various biological activities. The fact that they exhibit possible synergistic
effects in phytomedicine researches suggests the use of a combination of these
agents to promote porcine in vitro maturation (IVM) of oocytes. Therefore, we
investigated the effects of resveratrol and/or melatonin on this process; cumulus
oocyte complexes underwent IVM culture with four different conditions (control,
resveratrol, melatonin or their combination). Cumulus expansion, oocyte nuclear
maturation and subsequent embryo development after parthenogenetic activation
(PA) and somatic cell nuclear transfer (SCNT) were evaluated. In experiment 1,
all treatment groups significantly increased the proportion of complete cumulus
expansion (degree 4) compared to the control, showing no difference among the
treatment groups (P = 0.30). In experiment 2, oocytes matured with resveratrol
and the combination had significantly higher metaphase-II (MII) rates than the
control and melatonin groups, showing the highest (P < 0.05) MII rates in the
combination group. In experiment 3, all treatment groups significantly increased
blastocyst formation rates and total blastocyst cell numbers after PA compared to
the control, but especially the combination showed the highest (P < 0.05) total
cell numbers. In experiment 4, we selected the combination as the optimal
condition and used this IVM system prior to SCNT. The combination treatment
showed a significant (P < 0.05) increase in blastocyst formation rate and total
cell numbers after SCNT. In conclusion, our results suggest that the combination
of resveratrol and melatonin supported a synergistic increase in oocyte nuclear
maturation and total cell numbers of PA blastocysts and improved the development
of SCNT embryos.
PMID- 29653387
TI - Bovine uterus-derived exosomes improve developmental competence of somatic cell
nuclear transfer embryos.
AB - Exosomes widely exist in various tissues and body fluids, including blood, tissue
fluid, and urine. In the present study, exosomes were first isolated from the
early luteal phase uterus and confirmed through morphological examination,
immunofluorescence (IF) staining of special membrane antigen, and Western blot.
The effects of exosomes on the developmental competence of somatic cell nuclear
transfer (SCNT) embryos were investigated. Transmission electron microscopy
results showed that the isolated exsomes were spherical particles with a 50 nm
150 nm diameter. Immunostaining showed that the surface of these isolated
particles were CD9 positive, which was confirmed using Western blot.
Supplementing SCNT embryos with these isolated exsomes on day 4 of culture
significantly increased the blastocyst formation rate (31% vs. 34%, 40.3%, and
34.3%) and hatching rate (30.3% vs. 33.3%, 40.7%, and 35%) in comparison with the
non-supplementation (control), and day 3 and day 5 supplementation groups.
Blastocysts from the exsome supplementation groups showed higher inner cell
mass/trophectoderm cell ratio (48% vs 37.9%) and lower apoptosis index (2.1% vs
6.5%) than the control group. The gene expression analysis of the blastocysts
also showed that the exsomes supplementation significantly enhanced the
expression levels of IFNT and acrogranin and decreased the expression levels of
HSP70, BAX and BIP. In conclusion, the present study indicated that the early
luteal phase uterus secretes exosomes, which might play important roles in the
development of SCNT embryos.
PMID- 29653388
TI - Synchronization treatments previous to natural breeding anticipate and improve
the pregnancy rate of postpartum primiparous beef cows.
AB - Timed AI has become a potential tool to bypass postpartum acyclicity, yet only a
small percentage of the world bovine herd is inseminated. Most females are still
subjected to bull mating; therefore, the frequent occurrence of postpartum
anestrus may compromise their reproductive efficiency. Thus, the aim of this
study was to develop an approach that allows the early conception of postpartum
primiparous beef cows that are exposed to natural breeding (NB). For this
purpose, 350 primiparous Nelore cows 35-65 d postpartum were allocated into three
groups: Control (no hormonal treatment), TNB (hormonal protocol for timed-NB
without equine chorionic gonadotropin; eCG) and TNB + eCG (hormonal protocol for
TNB with eCG) groups. The protocol for TNB consisted of the insertion of a 1-g
progesterone device and the intramuscular (IM) administration of 2 mg estradiol
benzoate on D-9 (nine days before bull exposure), followed by device removal and
the administration of 1 mg estradiol cypionate IM on D0. An additional 300 IU of
eCG was given only to TNB + eCG cows. All cows were exposed to bull mating from
D0 to D105. Pregnancy was checked by ultrasonography every 50 d, gestational age
was estimated, and the number of new gestations every 21-d cycle (P21, P42, P63,
P84 and P105) was predicted. Control cows had lower pregnancy at P21 (5.7%c,
7/123) than TNB (30.4%b, 35/115) and TNB + eCG (51.8%a, 58/112; P = 0.001) cows.
Pregnancy rate increased across P42, P63 and P84 (P = 0.001) but remained higher
for TNB + eCG cows. Regarding time to conception, the TNB + eCG cows achieved a
greater than 50% pregnancy rate within the first days after bull exposure, while
the TNB and Control cows took more than 40 d and 90 d to achieve 50% pregnancy
rates, respectively. At the end of the breeding season (BS), TNB + eCG cows had a
21% higher pregnancy rate than the Control cows and a 16% higher rate than the
TNB cows. The probability of conceiving increased 1.5-fold for cows treated with
TNB (P = 0.0079) and 2.2-fold for cows additionally treated with eCG (P <
0.0001). The average interval between the onset of the BS and conception was
reduced (P < 0.0001) for the TNB + eCG cows (26.5 +/- 3.8c) compared to the TNB
(35.7 +/- 4.1b) and Control (64.7 +/- 3.9a days) cows. Thus, the use of TNB,
especially when associated with eCG, efficiently improved the early conception of
postpartum primiparous beef cows after exposure to NB. The increased number of
cows conceiving early in the BS is crucial to improve reproductive efficiency by
reducing the interval between parturitions and improving the number of pregnant
cows at the end of BS, thus resulting in greater farm income.
PMID- 29653389
TI - How does the microbial load affect the quality of equine cool-stored semen?
AB - Contaminating bacteria present in stallion ejaculates may compromise sperm
quality during storage. Different procedures have been used to reduce the load of
microorganisms in semen and avoid bacterial growth during storage. The aims of
this study were: 1) to evaluate different techniques to eliminate bacteria in
semen 2) to study the relationship between total microflora load (TML) and ROS
production; and 3) to determine if TML affects the functionality of cool-stored
sperm. Ejaculates from 11 stallions were split and processed in 3 ways: A.
extended semen; B. conventional centrifuged semen, and C. Single layer
centrifugation through Androcoll-E (SLC). All samples were preserved in INRA 96
at 5 degrees C for 72 h. Aliquots from native semen and from different
treatments were taken for bacteriological analysis at T0, T24, T48 and T72h of
storage and Total microbial load (TML: CFU (colony-forming units/ml) was
calculated. The ROS production (dichlorodihydrofluorescein diacetate for H2O2,
dihydroethidium for superoxide anion and CellROX deep red for total ROS),
viability (YO-PRO-1-Ethidium) and lipid peroxidation (BODIPY-C11) were assessed
by flow cytometry, and motility by CASA. The bacteria isolated were
Corynebacterium spp, Arcanobacterium spp, Bacillus spp, Dermobacter,
Staphylococcus spp, Streptococcus spp, Penicilium spp. TML of semen showed
correlations with live sperm (r: -0.771), dead sperm (r: 0.580), H2O2 production
(r: 0.740), and total ROS production (CellROX (+)) (r: -0.607), Total motility
(r: 0.587), Progressive motility (r: -0.566), VCL (r: -0.664), VSL (r: -0,569),
VAP (r: -0.534) (p <= 0.05). SLC removed 99.34% of the microbial load, which was
assicated with a significanlty reduced H2O2 production (p <= 0.05). However, only
samples treated with Androcoll-E had a higher total ROS production (CellROX +) (p
<= 0.05). These results suggest that CellROX stain probably identifies superoxide
production rather than H2O2 and this higher superoxide production may reflect an
intense sperm functionality. The bacterial load increased the production of H2O2
in cool-stored semen which was associated with lower tolerance to refrigeration.
SLC was the sperm processing technique that was most efficient at removing
bacteria, reducing H2O2 production and selecting the most functional sperm.
PMID- 29653390
TI - Fondaparinux versus nadroparin for thromboprophylaxis following minimally
invasive esophagectomy: A randomized controlled trial.
AB - BACKGROUND: The methodology of thromboprophylaxis post minimally invasive
esophagectomy (MIE) is unclear. Thus, we compared the efficacy and safety of
fondaparinux and nadroparin on the prophylaxis of venous thromboembolism (VTE)
after MIE. MATERIALS AND METHODS: We conducted a randomized, double-blind,
treatment-controlled study. Consecutive patients undergoing MIE randomly received
a single dose of either nadroparin 2850 AxaIU (Group H) or fondaparinux 2.5 mg
(Group F) daily. We used ultrasonography to identify deep vein thrombosis (DVT)
on postoperative day 7. The coagulation status was examined using
thromboelastography (TEG) prior to and at 0, 24, 48, and 72 h after the
operation. Bleeding events were recorded during anticoagulation therapy and
analysis was performed on an intention-to-treat basis. RESULTS: We randomly
assigned the patients to Group H (n = 57) or Group F (n = 59). Symptomatic or
asymptomatic DVT was identified in seven patients in Group H and one patient in
Group F (12.28% vs. 1.69%, p = 0.031). Pulmonary embolism developed in one
patient in Group H, and the VTE incidence was significantly lower in Group F than
Group H (1.69% vs. 14.04%, RR: 0.121, 95% CI: 0.016-0.935, p = 0.016). TEG
analysis showed a more inhibited coagulation profile of Group F compared with
Group H reflected by the significantly prolonged R time at 48 h and 72 h after
operation (6.8 +/- 2.2 min vs. 8.4 +/- 2.7 min, p = 0.005; 7.1 +/- 1.6 min vs.
9.2 +/- 3.7 min, p = 0.002). Bleeding events were not recorded in either group.
CONCLUSIONS: Fondaparinux could provide similar efficacy and safety in
postoperative thromboprophylaxis following MIE compared with nadroparin.
PMID- 29653391
TI - Sources of particulate matter in China: Insights from source apportionment
studies published in 1987-2017.
AB - Particulate matter (PM) in the atmosphere has adverse effects on human health,
ecosystems, and visibility. It also plays an important role in meteorology and
climate change. A good understanding of its sources is essential for effective
emission controls to reduce PM and to protect public health. In this study, a
total of 239 PM source apportionment studies in China published during 1987-2017
were reviewed. The documents studied include peer-reviewed papers in
international and Chinese journals, as well as degree dissertations. The methods
applied in these studies were summarized and the main sources in various regions
of China were identified. The trends of source contributions at two major cities
with abundant studies over long-time periods were analyzed. The most frequently
used methods for PM source apportionment in China are receptor models, including
chemical mass balance (CMB), positive matrix factorization (PMF), and principle
component analysis (PCA). Dust, fossil fuel combustion, transportation, biomass
burning, industrial emission, secondary inorganic aerosol (SIA) and secondary
organic aerosol (SOA) are the main source categories of fine PM identified in
China. Even though the sources of PM vary among seven different geographical
areas of China, SIA, industrial, and dust emissions are generally found to be the
top three source categories in 2007-2016. A number of studies investigated the
sources of SIA and SOA in China using air quality models and indicated that
fossil fuel combustion and industrial emissions were the most important sources
of SIA (total contributing 63.5%-88.1% of SO42-, and 47.3%-70% NO3-), and
agriculture emissions were the dominant source of NH4+ (contributing 53.9%-90%).
Biogenic emissions were the most important source of SOA in China in summer,
while residential and industrial emissions were important in winter. Long-term
changes of PM sources at two megacities of Beijing and Nanjing indicated that the
contributions of fossil fuel and industrial sources have been declining after
stricter emission controls in recent years. In general, dust and industrial
contributions decreased and transportation contributions increased after 2000.
PM2.5 emissions are predicted to decline in most regions during 2005-2030, even
though the energy consumptions except biomass burning are predicted to continue
to increase. Industrial, residential, and biomass burning sources will become
more important in the future in the businuess-as-usual senarios. This review
provides valuable information about main sources of PM and their trends in China.
A few recommendations are suggested to further improve our understanding the
sources and to develop effective PM control strategies in various regions of
China.
PMID- 29653392
TI - Electrolyzed water and mild-thermal processing of Atlantic salmon (Salmo salar):
Reduction of Listeria monocytogenes and changes in protein structure.
AB - This study investigated the effect of different electrolyzed water (EO)
solutions, including acidic electrolyzed water (AEW) and neutral electrolyzed
water (NEW), alone and in combination with mild thermal processing (50, 55, 60,
65 degrees C) at different exposure times (2, 6, 10 min) on the reduction of
Listeria monocytogenes on Atlantic salmon fillets. The effects of the EO water
solutions on cell wall structures of L. monocytogenes and on the secondary
structure of salmon protein were studied using Fourier Transform Infra-Red (FTIR)
spectroscopy. Temperature and time significantly influenced the efficacy of the
AEW and NEW. NEW has stronger antimicrobial properties as compared to AEW. The
highest bacterial reduction was observed at 65 degrees C after 10 min for salmon
treated with NEW, with a 5.6 log10 CFU/g reduction. Spectral features show that
the secondary protein structures in salmon muscle treated with NEW were less
affected than tissue treated with AEW as shown in a PCA model. In addition, the
FTIR spectra for L. monocytogenes showed that the NEW and AEW affected cell wall
properties differently; this might be due to the form of available chlorine in
NEW and AEW and to AEW having a lower pH. In general, NEW showed better
antimicrobial properties, particularly when combined with mild thermal processing
than AEW and also caused less alteration in protein structure. The findings of
this study may be used to improve the quality and safety of cured and smoked
ready-to-eat fish products.
PMID- 29653393
TI - Antifungal activity and action mechanisms of yeasts isolates from citrus against
Penicillium italicum.
AB - Penicillium italicum (Blue mold) is a major postharvest disease of citrus. An
alternative to controlling the disease is through the use of yeasts. The purpose
of the present study was to screen effective yeast antagonists against P.
italicum, isolated from soil, leaves, flowers, and citrus fruits, to assess the
action mechanisms of the yeast isolates that were demonstrated to be effective
for biocontrol, and to identify the most effective yeast isolates for the
biocontrol of blue mold. The in vitro assays showed that six yeast strains
inhibited up to 90% of the pathogen's mycelial growth. In vivo assays, evaluating
the incidence of blue mold on sweet oranges, the strains ACBL-04, ACBL-05, ACBL
10 and ACBL-11 were effective, demonstrating the potential for the blue mold
control when preventively applied, whereas the ACBL-08 strain showed a high
potential to preventive and curative applications. Additional studies on the
modes of action of these yeast strains showed that most of the evaluated yeast
strains did not produce antifungal substances, in sufficient quantities to
inhibit the pathogen growth. Competition for nutrients was not a biocontrol
strategy used by the yeast strains. The 'killer' activity might be the main
action mechanism involved in P. italicum biocontrol. This study indicated that
the multiple modes of action against the pathogen presented by yeasts may explain
why these strains provided P. italicum control under in vitro and in vivo
conditions. However, further studies in future might be able to elucidate the
'killer' activity and its interaction with pathogen cells and the bioproduct
production using Candida stellimalicola strains for control postharvest diseases.
PMID- 29653394
TI - Generation of Duchenne muscular dystrophy patient-specific induced pluripotent
stem cell line lacking exons 45-50 of the dystrophin gene (IITi001-A).
AB - Duchenne muscular dystrophy (DMD) is an X-linked progressive muscle degenerative
disease caused by mutations in the dystrophin gene. We generated induced
pluripotent stem cells (iPSCs) from a 13-year-old male patient carrying a
deletion mutation of exons 45-50; iPSCs were subsequently differentiated into
cardiomyocytes. iPSCs exhibit expression of the pluripotent markers (SOX2, NANOG,
OCT4), differentiation capacity into the three germ layers, normal karyotype,
genetic identity to the skin biopsy dermal fibroblasts and the patient-specific
dystrophin mutation.
PMID- 29653395
TI - Learning physical parameters from dynamic scenes.
AB - Humans acquire their most basic physical concepts early in development, and
continue to enrich and expand their intuitive physics throughout life as they are
exposed to more and varied dynamical environments. We introduce a hierarchical
Bayesian framework to explain how people can learn physical parameters at
multiple levels. In contrast to previous Bayesian models of theory acquisition
(Tenenbaum, Kemp, Griffiths, & Goodman, 2011), we work with more expressive
probabilistic program representations suitable for learning the forces and
properties that govern how objects interact in dynamic scenes unfolding over
time. We compare our model to human learners on a challenging task of estimating
multiple physical parameters in novel microworlds given short movies. This task
requires people to reason simultaneously about multiple interacting physical laws
and properties. People are generally able to learn in this setting and are
consistent in their judgments. Yet they also make systematic errors indicative of
the approximations people might make in solving this computationally demanding
problem with limited computational resources. We propose two approximations that
complement the top-down Bayesian approach. One approximation model relies on a
more bottom-up feature-based inference scheme. The second approximation combines
the strengths of the bottom-up and top-down approaches, by taking the feature
based inference as its point of departure for a search in physical-parameter
space.
PMID- 29653397
TI - When some triggers a scalar inference out of the blue. An electrophysiological
study of a Stroop-like conflict elicited by single words.
AB - Some studies in experimental pragmatics have concluded that scalar inferences
(e.g., 'some X are Y' implicates 'not all X are Y') are context-dependent
pragmatic computations delayed relative to semantic computations. However, it
remains unclear whether strong contextual support is necessary to trigger such
inferences. Here we tested if the scalar inference 'not all' triggered by some
can be evoked in a maximally neutral context. We investigated event-related
potential (ERP) amplitude modulations elicited by Stroop-like conflicts in
participants instructed to indicate whether strings of letters were printed with
all their letters in upper case or otherwise. In a randomized stream of non-words
and distractor words, the words all, some and case were either presented in
capitals or they featured at least one lower case letter. As expected, we found a
significant conflict-related N450 modulation when comparing e.g., 'aLl' with
'ALL'. Surprisingly, despite the fact that most responses from the same
participants in a sentence-picture verification task were literal, we also found
a similar modulation when comparing 'SOME' with e.g., 'SoMe', even though SOME
could only elicit such a Stroop conflict when construed pragmatically. No such
modulation was found for e.g., 'CasE' vs. 'CASE' (neutral contrast). These
results suggest that some can appear incongruent with the concept of 'all' even
when contextual support is minimal. Furthermore, there was no significant
correlation between N450 effect magnitude ('SOME' minus e.g., 'sOMe') and
pragmatic response rate recorded in the sentence-picture verification task.
Overall, this study shows for the first time that the pragmatic meaning of some
can be accessed in a maximally neutral context, and thus, that the scalar
inference 'not all' triggered by some should be construed as context-sensitive
rather than context-dependent, that is, more or less salient and relevant
depending on the context rather than entirely contingent upon it.
PMID- 29653396
TI - Gut microbiota recovery and immune response in ampicillin-treated mice.
AB - Ampicillin is applied in rodents to induce a temporarily depleted microbiota. To
elucidate whether bacteria are just temporarily suppressed or fully eliminated,
and how this affects the re-colonisation process, we compared the microbiota and
immune system in conventionally housed untreated mice with newly weaned
ampicillin treated mice subsequently housed in either a microbe containing
environment or in an isolator with only host associated suppressed bacteria to
recolonize the gut. Two weeks ampicillin treatment induced a seemingly germ-free
state with no bacterial DNA to reveal. Four weeks after treatment caeca were
still significantly enlarged in both treated groups, but bacteria re-appeared
even in isolator housed mice. While some suppressed bacteria were able to recover
and even dominate the community, the abundances and composition were far from the
untreated mice and differed between isolator and conventional housing. The
treatment reduced the innate cytokine expressions at least for three weeks after
treatment, and had a non-lasting reducing impact on the regulatory T cells, and a
more lasting impact on the natural killer T cells. We conclude that temporary
ampicillin treatment suppresses the majority but does not eliminate all the gut
microbiota members. The re-colonisation process is as such influenced by both
suppressed host associated bacteria and by environmental bacteria. Treated mice
do not re-obtain a complex gut microbiota comparable to untreated mice, and the
immune response and gut morphology reflect this. This is a concern when comparing
host parameters sensitive to microbial regulation after an antibiotic-induced
temporarily "germ-free" state.
PMID- 29653398
TI - Growth of symbolic number knowledge accelerates after children understand
cardinality.
AB - Children who achieve an early understanding of the cardinal value of number words
(cardinal knowledge) have a superior understanding of the relations among
numerals at school entry, controlling other factors (e.g., intelligence). We
tested the hypothesis that this pattern emerges because an understanding of
cardinal value jump starts children's learning of the relations among numerals.
Across two years of preschool, the cardinal knowledge of 179 children (85 boys)
was assessed four times, as was their understanding of the relative quantity of
Arabic numerals and competence at discriminating nonsymbolic quantities. Children
were more accurate on nonsymbolic than numeral comparisons before they understood
cardinality, but showed more rapid growth for numeral than nonsymbolic
comparisons once they understood cardinality. Moreover, and with the possible
exception of very small numerals (<5), before they understood cardinality
children were no better than chance in their numeral comparisons, but greatly
exceeded chance once they understood cardinality. These patterns were independent
of the age at which children became cardinal principle knowers and independent of
intelligence, executive function, and preliteracy skills. More broadly, the
results provide a developmental bridge between cardinal knowledge and school
entry number knowledge.
PMID- 29653399
TI - Contribution to the development of low frequency terahertz coherent Raman micro
spectroscopy and microscopy.
AB - We report the construction and characterization of a coherent Raman tabletop
system utilizing a novel astigmatic optical focusing geometry, a broadband
nanosecond optical parametric oscillator and volumetric Bragg filters assisting
3CBCRS measuring system for the first time. In order to illustrate the
versatility of the measurements and reveal the molecular information obtainable,
two well-characterized chemicals were selected. Polarization sensitive epi
detected 3CBCRS spectra of liquid CCl4 and calcite crystal were recorded and
analyzed. An unexpected polarization dependence of the signals of the lowest
frequency modes of CCl4 was observed. The 1122 third order susceptibility
component was phase flipped. The non-resonant susceptibility normalized 1122
component was found to be larger than the 1111 component for the lowest
vibrational modes. This anomalous comportment was attributable to the anisotropy
Raman tensor invariant in the third order nonlinear susceptibility tensor.
PMID- 29653400
TI - Polychlorinated biphenyls and chlorinated paraffins in home-produced eggs from an
e-waste polluted area in South China: Occurrence and human dietary exposure.
AB - The levels of polychlorinated biphenyls (PCBs) and short/median-chain chlorinated
paraffins (S/MCCPs) in 68 home-produced eggs collected in 2013 and 2016 from an
electronic-waste (e-waste) site in South China were measured and the human
dietary exposure to these two classes of contaminants via egg consumption was
calculated. The levels of PCBs, SCCPs, and MCCPs varied from 236 to 8870 ng/g
lipid weight (lw), 477 to 111,000 ng/g lw, and 125 to 91,100 ng/g lw,
respectively. There are no significant differences in the levels of PCBs, SCCPs,
and MCCPs between 2013 and 2016 (p > 0.05). The congener profiles of PCBs and
MCCPs were similar to each other between 2013 and 2016; however, the homologue
profiles of SCCPs were different. The Toxic Equivalent Quantities (TEQs) of ?DL
PCBs and the levels of ?ICES-6 PCBs strongly exceeded the limits set by EU
Regulation 1259/2011 (2.5 pg World Health Organization-TEQ2005 g-1 lw for DL
?PCBs and 40 ng/ g lw for ?ICES-6 PCBs). The estimated daily intakes (EDI) of
PCBs, SCCPs, and MCCPs by adults and children ranged between 5.57 and 1100, 11.8
and 11,900, and 3.62 and 11,400 ng/kg bw/d, respectively. PCBs pose serious
health risks for local residents, especially for children, due to the high ratios
of EDI (68% in 2013 and 70% in 2016 for adults and 100% for children) in excess
of the exposure limits.
PMID- 29653401
TI - Antibiotics and antibiotic resistance genes in global lakes: A review and meta
analysis.
AB - Lakes are an important source of freshwater, containing nearly 90% of the liquid
surface fresh water worldwide. Long retention times in lakes mean pollutants from
discharges slowly circulate around the lakes and may lead to high ecological risk
for ecosystem and human health. In recent decades, antibiotics and antibiotic
resistance genes (ARGs) have been regarded as emerging pollutants. The occurrence
and distribution of antibiotics and ARGs in global freshwater lakes are
summarized to show the pollution level of antibiotics and ARGs and to identify
some of the potential risks to ecosystem and human health. Fifty-seven
antibiotics were reported at least once in the studied lakes. Our meta-analysis
shows that sulfamethoxazole, sulfamerazine, sulfameter, tetracycline,
oxytetracycline, erythromycin, and roxithromycin were found at high
concentrations in both lake water and lake sediment. There is no significant
difference in the concentration of sulfonamides in lake water from China and that
from other countries worldwide; however, there was a significant difference in
quinolones. Erythromycin had the lowest predicted hazardous concentration for 5%
of the species (HC5) and the highest ecological risk in lakes. There was no
significant difference in the concentration of sulfonamide resistance genes (sul1
and sul2) in lake water and river water. There is surprisingly limited research
on the role of aquatic biota in propagation of ARGs in freshwater lakes. As an
environment that is susceptible to cumulative build-up of pollutants, lakes
provide an important environment to study the fate of antibiotics and transport
of ARGs with a broad range of niches including bacterial community, aquatic
plants and animals.
PMID- 29653402
TI - Long-term exposure to airborne particulate matter and NO2 and prevalent and
incident metabolic syndrome - Results from the Heinz Nixdorf Recall Study.
AB - INTRODUCTION: Recently, epidemiological studies have found a link between air
pollution (AP) and individual components of the metabolic syndrome (MetS), a
condition predisposing to cardiometabolic diseases. However, very few studies
have explored a possible association between air pollution and MetS. OBJECTIVE:
We analyzed the effects of long-term exposure to airborne particulate matter and
NO2 on prevalence and incidence of MetS. METHODS: We used data of the population
based prospective Heinz Nixdorf Recall study (baseline 2000-2003) to investigate
the association(s) between AP exposure and MetS prevalence at baseline (n = 4457)
and MetS incidence at first follow-up visit (n = 3074; average follow-up: 5.1
years). Mean annual exposure to size-fractioned particulate matter (PM10, PM2.5,
PMcoarse, and PM2.5abs) and nitrogen dioxide (NO2) was assessed using a land use
regression model. MetS was defined as central obesity plus two out of four
additional risk factors (i.e., elevated triglycerides, reduced high-density
lipoprotein cholesterol, elevated blood pressure or elevated plasma glucose). We
estimated odds ratios (ORs) of MetS prevalence and incidence per interquartile
range (IQR) of exposure, adjusting for demographic and lifestyle variables.
RESULTS: We observed a MetS prevalence of 20.7% (n = 922) and an incidence of
9.7% (n = 299). NO2 was positively associated with MetS prevalence, with an OR
increase per IQR of 1.12 (95%-CI 1.02-1.24, IQR = 6.1 MUg/m3). PM10 and PM2.5
were both borderline positively associated with MetS incidence, with ORs of 1.14
(95%-CI 0.99-1.32, IQR = 2.1 MUg/m3) and 1.19 (95%-CI 0.98-1.44, IQR = 1.5
MUg/m3) per IQR, respectively. CONCLUSION: In summary, we found a weak positive
association between air pollution and MetS. The strongest and most consistent
effects were observed between NO2 and prevalent MetS.
PMID- 29653403
TI - Concurrent phone texting alters crossing behavior and induces gait imbalance
during obstacle crossing.
AB - Texting during walking has become a very common daily activity and could alter
gait performance, especially during locomotion when additional visual attention
is demanded, such as obstacle crossing. The purpose of this study was to examine
biomechanical changes in obstructed gait characteristics while engaging in a
phone texting activity. Gait analyses were performed on ten young healthy adults
under the following two tasks: 1) walking and crossing an obstacle set at a 10%
of the subject's height and 2) walking and crossing an obstacle while responding
to a text message. Whole body motion data were collected with a 10-camera motion
capture system. Our data demonstrated that a conservative gait pattern was
adopted while performing texting when approaching and crossing over the obstacle,
which was indicated by slower walking speeds and greater toe-obstacle clearances.
This gait pattern was, however, accompanied by a greater body sway in the frontal
plane during crossing, which could be an indication of perturbed gait balance
control. Increased visual-attentional demand from a concurrent phone texting
could negatively impact young pedestrians' safety during obstacle crossing.
PMID- 29653404
TI - Static postural control among school-aged youth with Down syndrome: A systematic
review.
AB - BACKGROUND: Youth with Down syndrome are characterized by motor delays when
compared to typically developing (TD) youth, which may be explained by a lower
postural control or reduced postural tone. OBJECTIVE: In the present article, we
summarize research comparing the static postural control, assessed by
posturography, between youth with Down syndrome and TD youth. METHODS: A
systematic literature search was performed in 10 databases and seven studies,
published between 2001 and 2017, met our inclusion criteria. RESULTS: Based on
the present reviewed findings, it is impossible to conclude that children with
Down syndrome present significantly lower static postural control compared to TD
children. In contrast, findings showed that adolescents with Down syndrome tended
to present significantly lower static postural control compared to TD adolescents
when visual and plantar cutaneous inputs were disturbed separately or
simultaneously. CONCLUSION: The present findings should be interpreted with
caution given the limitations of the small number of reviewed studies. Therefore,
the static postural control among youth with Down syndrome should be further
investigated in future rigorous studies examining the contribution of a range of
sensory information.
PMID- 29653405
TI - Modeling margin of stability with feet in place following a postural
perturbation: Effect of altered anthropometric models for estimated extrapolated
centre of mass.
AB - BACKGROUND: Maintaining the centre of mass (CoM) of the body within the base of
support is a critical component of upright balance; the ability to accurately
quantify balance recovery mechanisms is critical for many research teams.
RESEARCH QUESTION: The purpose of this study was to investigate how exclusion of
specific body segments in an anthropometric CoM model influenced a dynamic
measure of postural stability, the margin of stability (MoS), following a support
surface perturbation. METHODS: Healthy young adults (n = 10) were instrumented
with kinematic markers and a safety harness. Sixteen support-surface
translations, scaled to ensure responses did not involve a change in base of
support, were then issued (backwards, forwards, left, or right). Whole-body CoM
was estimated using four variations of a 13-segment anthropometric model: i) the
full-model (WFM), and three simplified models, ii) excluding upper limbs (NAr);
iii) excluding upper and lower limbs (HTP); iv) pelvis CoM (CoMp). The CoM
calculated for each variant was then used to estimate extrapolated CoM (xCoM)
position and the resulting MoS within the plane of postural disturbance. RESULTS:
Comparisons of simplified models to the full model revealed significant
differences (p < 0.05) in MoS for all models in each perturbation condition;
however, the largest differences were following sagittal plane based
perturbations. Poor estimates of WFM MoS were most evident for HTP and CoMp
models; these were associated with the greatest values of RMS/maximum error,
poorest correlations, etc. The simplified models provided low-error approximates
for frontal plane perturbations. SIGNIFICANCE: Findings suggest that simplified
calculations of CoM can be used by researchers without reducing MoS measurement
accuracy; however, the degree of simplification should be context-dependent. For
example, CoMp models may be appropriate for questions pertaining to frontal plane
MoS; sagittal plane MoS necessitates inclusion of lower limb and HTP segments to
prevent underestimation of postural stability.
PMID- 29653406
TI - Introducing the risk aggregation problem to aquifers exposed to impacts of
anthropogenic and geogenic origins on a modular basis using 'risk cells'.
AB - Proof-of-concept is presented in this paper to a methodology formulated for
indexing risks to groundwater aquifers exposed to impacts of diffuse
contaminations from anthropogenic and geogenic origins. The methodology is for
mapping/indexing, which refers to relative values but not their absolute values.
The innovations include: (i) making use of the Origins-Source-Pathways-Receptors
Consequences (OSPRC) framework; and (ii) dividing a study area into modular Risk
(OSPRC) Cells to capture their idiosyncrasies with different origins. Field
measurements are often sparse and comprise pollutants and water table, which are
often costly; whereas supplementary data are general-purpose data, which are
widely available. Risk mapping for each OSPRC cell is processed by dividing a
study area into pixels and for each pixel, the risk from both anthropogenic and
geogenic origins are indexed by using algorithms related to: (i) Vulnerability
Indices (VI), which identify the potential for risk exposures at each pixel; and
(ii) velocity gradient, which expresses the potency to risk exposures across the
risk cell. The paper uses DRASTIC for anthropogenic VI but introduces a new
framework for geogenic VI. The methodology has a generic architecture and is
flexible to modularise risks involving any idiosyncrasies in a generic way in any
site exposed to environmental pollution risks. Its application to a real study
area provides evidence for the proof-of-concept for the methodology by a set of
results that are fit-for-purpose and provides an insight into the study area
together with the identification of its hotspots.
PMID- 29653407
TI - A new Alzheimer's disease cell model using B cells to induce beta amyloid plaque
formation and increase TNF alpha expression.
AB - Different cell models have been developed for the study of Alzheimer's disease
(AD) pathways. The neuronal dysfunction and cell death mechanisms that are
commonly found in this disease are due to the production of high levels of
cytokines and the formation of amyloid plaques. In the cell model introduced in
the present study, the production of these two important factors is induced by
using B cells from an AD patient. The B cells of an Alzheimer's patient and a
normal control were immortalized by using EBV (Epstein-Barr virus) to produce a
lymphoblastoid cell line (LCL). The amount of TNF alpha cytokine was evaluated at
the RNA and protein levels by RT-PCR and ELISA, respectively. The AD LCL was
cultured with SKNMC cells with and without treatment of TNF alpha siRNA. Amyloid
plaque formation was monitored by Congo-red staining and microscopy. The amount
of TNF alpha cytokine was significantly increased in the AD LCL compared to the
normal LCL. The AD LCL induced the formation of amyloid plaques in SKNMC cells.
The AD LCL treated with TNF alpha siRNA and co-cultured with SKNMC cells
decreased the size and number of amyloid plaques in SKNMC cells. This cellular
model is an appropriate model for studying Alzheimer's disease and the mechanisms
related to it, as well as for research on cytokine inhibitors, especially TNF
alpha inhibitors.
PMID- 29653408
TI - A new flavonoid from Stellera chamaejasme L., stechamone, alleviated 2,4
dinitrochlorobenzene-induced atopic dermatitis-like skin lesions in a murine
model.
AB - Stellera chamaejasme L. (family Thymelaeaceae), also known as 'Langdu', has been
traditionally used to treat of skin-related diseases, such as, psoriasis and skin
ulcers. The aim of this study was to identify the biologically active component
of S. chamaejasme and evaluate its preventive effects on IL-4 and mast cell
degranulation in RBL-2H3 cells and on the development of atopic dermatitis (AD)
in 2,4-dinitrochlorobenzene (DNCB)-treated SKH-1 hairless mice. A novel
flavonoid, genkwanin 5-O-xylosyl(1 -> 2)glucoside (named stechamone), and three
known compounds (umbelliferone, luteolin, and luteolin-7-O-glucoside) were
isolated from the aerial parts of S. chamaejasme using chromatographic methods.
Of these four compounds, stechamone most potently inhibited IL-4 production and
mast cell degranulation in RBL-2H3 cells. Topical application of 0.5% stechamone
improved atopic skin symptoms, including, erythema (redness), pruritus (itching),
exudation (weeping), excoriation (peeling), and lichenification (skin thickening)
in DNCB-treated AD mice by accelerating skin barrier recovery function and
suppressing inflammatory cell infiltration. In addition, stechamone attenuated
DNCB-induced increases in IL-4 (an inflammatory TH2 cytokine) expression and in
serum IgE levels in our murine model of AD. DNCB induced AD-like skin lesions,
but treatment with stechamone exhibited strong anti-atopic activity by regulating
skin barrier function and reducing inflammatory responses. The results obtained
suggest stechamone is a potential anti-atopic agent and treatment for skin
inflammatory diseases.
PMID- 29653409
TI - Relationship between blood parameters and Clonorchis sinensis infection: A
retrospective single center study.
AB - Our study aims to retrospectively investigate neutrophil-to-lymphocyte ratio
(NLR), platelet-to-lymphocyte ratio (PLR) and eosinophil-to-lymphocyte ratio
(ELR) in patients infected with Clonorchis sinensis. This study analyzes a total
of 151 patients with C. sinensis infections and 53 healthy control patients from
our hospital. We found close relationships between the three candidate markers
and the stages of C. sinensis infection-related biliary obstruction. The NLRs,
PLRs and ELRs of patients with C. sinensis infections were significantly higher
than those of healthy individuals; of those, ELRs showed the most superior
diagnostic accuracy (sensitivity = 62.9%, specificity = 92.5%). Further, we
constituted a logistic regression prediction model; applying two variables (age
and NLR) with a sensitivity of 88.89% and a specificity of 83.78% in
differentiating C. sinensis-related cholelithiasis from C. sinensis-untreated
patients. Cancer antigen 19-9 (CA19-9) is a commonly used marker in the diagnosis
of cholangiocarcinoma. Significant correlation was observed between NLR and CA19
9 in patients with C. sinensis-related cholangiocarcinoma (r = 0.590, P = 0.000).
In the receiver operating characteristic analysis for separating C. sinensis
related cholelithiasis and cholangiocarcinoma, the cutoff value of PLR was 145.14
with a sensitivity of 65.62% and a specificity of 68.89%; the sensitivity of CA19
9 was 75.00% with a specificity of 77.78%. PLR showed acceptable efficiency to
separate C. sinensis-related cholelithiasis from cholangiocarcinoma. In
conclusion, all of the candidate markers (PLRs, NLRs and ELRs) may act as the
valuable supplement in detecting C. sinensis infections and diseases.
PMID- 29653410
TI - Rescue of iCIKs transfer from PD-1/PD-L1 immune inhibition in patients with
resectable tongue squamous cell carcinoma (TSCC).
AB - OBJECTIVES: The purpose of this study is to evaluate the therapeutic efficacy and
the role of PD-1/PD-L1 pathway in tongue squamous cell carcinoma (TSCC) patients
treated with radical operation combined with chemotherapy and improving cytokine
induced killer cells (iCIKs) transfer. METHODS: Thirteen patients who received
radical resection and chemotherapy were enrolled in this study. PD-1/PD-L1
expression was evaluated in TSCC patients. ICIKs were cultured from patient
derived peripheral blood mononuclear cells (PBMCs) in vitro. The immunological
differences underlying iCIKs transfer were investigated through phenotype,
cytokine secretion and PD-1/PD-L1 inhibition analysis. RESULTS: The serum PD-L1
levels were elevated in the TSCC patients. PD-L1 was detected on both human TSCC
cells and tumour tissue sections. PD-1 expression was much higher on the PBMCs of
TSCC patients than on in vitro cultured iCIKs. Interruption of PD-1/PD-L1
interaction enhanced the cytotoxicity of iCIKs in vitro. CD3 + CD8+ T cell
proportion and cytokine IL-6 secretion decreased after chemotherapy. The infusion
of iCIKs effectively reversed the immunosuppression through the upregulation of
the CD3 + CD8+ T cell proportion and Th cell cytokine secretion (IFN-gamma, TNF
alpha, IL-4 and IL-6). Twelve responders are currently alive (95.7+ months),
another patient 83 months. CONCLUSION: Our findings indicated that the PD-1/PD-L1
interaction contributes to the immunosuppression in TSCC patients. ICIKs transfer
is an effective therapy to reverse the immunosuppression caused by surgical
procedures and chemotherapy and improve immune system function.
PMID- 29653412
TI - Sensorimotor gating deficits and effects of antipsychotics on the hyperactivity
in VGF-overexpressing mice.
AB - BACKGROUND: VGF nerve growth factor inducible (VGF) is a neuropeptide which is
expressed in neuronal cells and endocrine cells. VGF is induced by several
neurotrophic factors. The expression level of VGF in patients with schizophrenia
is increased in cerebrospinal fluid (CSF) and prefrontal cortex. In our previous
study, we generated mice in which the expression level of VGF in the brain was
increased. VGF-overexpressing mice exhibited abnormal behaviors including
hyperactivity. However, it remains unknown whether VGF-overexpressing mice
exhibit the endophenotype of schizophrenia and whether abnormal behaviors in
these mice can be improved by antipsychotics. METHODS: In the present study, we
investigated schizophrenia-like behaviors and the responsiveness to
antipsychotics in transgenic mice. RESULTS: VGF-overexpressing mice (1) exhibited
prepulse inhibition (PPI) impairment, (2) showed normalized hyperactivity
following antipsychotic drug treatment, and (3) showed abnormal responsiveness to
haloperidol. CONCLUSION: Upregulation of VGF may be implicated in the
pathophysiology of schizophrenia and abnormalities of dopaminergic signaling.
PMID- 29653411
TI - Autophagy inhibition attenuates hyperoxaluria-induced renal tubular oxidative
injury and calcium oxalate crystal depositions in the rat kidney.
AB - Hyperoxaluria-induced oxidative injury of renal tubular epithelial cell is a
casual and essential factor in kidney calcium oxalate (CaOx) stone formation.
Autophagy has been shown to be critical for the regulation of oxidative stress
induced renal tubular injury; however, little is known about its role in kidney
CaOx stone formation. In the present study, we found that the autophagy
antagonist chloroquine could significantly attenuate oxalate-induced autophagy
activation, oxidative injury and mitochondrial damage of renal tubular cells in
vitro and in vivo, as well as hyperoxaluria-induced CaOx crystals depositions in
rat kidney, whereas the autophagy agonist rapamycin exerted contrasting effects.
In addition, oxalate-induced p38 phosphorylation was significantly attenuated by
chloroquine pretreatment but was markedly enhanced by rapamycin pretreatment,
whereas the protective effect of chloroquine on rat renal tubular cell oxidative
injury was partly reversed by a p38 protein kinase activator anisomycin.
Furthermore, the knockdown of Beclin1 represented similar effects to chloroquine
on oxalate-induced cell oxidative injury and p38 phosphorylation in vitro. Taken
together, our results revealed that autophagy inhibition could attenuate oxalate
induced oxidative injury of renal tubular cell and CaOx crystal depositions in
the rat kidney via, at least in part, inhibiting the activation of p38 signaling
pathway, thus representing a novel role of autophagy in the regulation of oxalate
induced renal oxidative injury and CaOx crystal depositions for the first time.
PMID- 29653413
TI - Influence of propafenone on the anticonvulsant activity of various novel
antiepileptic drugs in the mouse maximal electroshock model.
AB - BACKGROUND: The main mechanism of action of propafenone (antiarrhythmic drug)
involves the inhibition of the fast inward sodium current during phase 0 of the
action potential. Sodium channel-blocking activity is also characteristic for
some antiepileptic drugs. Therefore, it could be assumed that propafenone may
also affect seizures. In the present study, we evaluated the effect of
propafenone on the protective effect of oxcarbazepine, lamotrigine, topiramate
and pregabalin against the maximal electroshock-induced seizures in mice.
METHODS: Anticonvulsant activity of propafenone was assessed with the maximal
electroshock seizure threshold (MEST) test. Influence of propafenone on the
anticonvulsant activity of antiepileptic drugs was estimated in the mouse maximal
electroshock model (MES). Drug-related adverse effects were determined in the
chimney test (motor coordination) and passive-avoidance task (long-term memory).
Brain concentrations of antiepileptics were assessed by fluorescence polarization
immunoassay. RESULTS: Propafenone at doses 60-90mg/kg significantly increased the
threshold of seizures, in turn at doses 5-50mg/kg did not affect this parameter.
Administration of propafenone at the subthreshold dose of 50mg/kg increased
antielectroshock activity of oxcarbazepine, topiramate and pregabalin, but not
that of lamotrigine. As regards adverse effects, propafenone alone and in
combination with antiepileptic drugs did not significantly impair motor
coordination or long-term memory in mice. Propafenone (50mg/kg) significantly
increased the brain level of pregabalin. Brain concentrations of topiramate and
oxcarbazepine were not affected. CONCLUSION: Our findings show that propafenone
has own anticonvulsant action and enhances efficacy of oxcarbazepine, topiramate
and pregabalin, but not that of lamotrigine, at least in experimental condition.
PMID- 29653414
TI - New insights on the modulatory roles of metformin or alpha-lipoic acid versus
their combination in dextran sulfate sodium-induced chronic colitis in rats.
AB - BACKGROUND: Dextran sulfate sodium (DSS)-induced colitis is the most widely used
model that resembles ulcerative colitis (UC) in human with challenging chronic
mechanistic oxidative stress-inflammatory/immunological cascades. In models of
acute colitis, reduction of oxidative stress and inflammatory burdens beside
manipulation of many transcriptional factors were achieved by metformin or alpha
lipoic acid (alpha-LA). Currently, in vivo DSS-induced chronic colitis was
conducted and the possible therapeutic roles of metformin and/or alpha-LA were
explored. METHODS: Chronic UC was induced by adding 5% DSS orally in drinking
water for 7days followed by 3% DSS in drinking water for 14days in adult male
albino Wistar rats. Intraperitoneal administration of alpha-LA (25mg/kg,
twice/day) and/or metformin (100mg/kg/day) were set at day 7 of DSS
administration and continued for 14days. Body weights, survival rates, disease
activity index (DAI), colonic oxidative stress markers, tumor necrosis factor
(TNF)-alpha levels, colonic nuclear factor-kappa-B (NF-kappaB)
immunohistochemical expression, and the colonic histopathological changes were
observed. RESULTS: Metformin or/and alpha-LA attenuated the severity of the DSS
induced colitis through improving the reductions in body weights, the DAI, the
colonic oxidative stress markers, TNF-alpha, and NF-kappaB levels, and the
morphological mucosal damage scores. Significant synergetic therapeutic effects
were observed with combined therapeutic regimens. CONCLUSION: Therapeutically,
metformin and alpha-LA could be administered in chronic colitis. The combination
of currently used pharmaceutics with natural and synthetic potent antioxidant
compounds will become a therapeutic strategy of choice for UC to improve the
quality of life if sufficient clinical trials are available.
PMID- 29653415
TI - Carotid arteritis causing amaurosis fugax and ischaemic cerebrovascular events in
neurosarcoidosis.
AB - OBJECTIVE: To present and review the vascular consequences of arteritis in
neurosarcoidosis. PATIENT AND METHODS: neurosarcoidosis is typically an
inflammatory disorder of the meninges surrounding the brain and spinal cord.
Although inflammation of small and medium sized vessels is seen pathologically
and vasculitis is occasionally described, a large intracerebral arteritis has not
previously been reported. A few case reports exist, however, which describe the
vascular consequences of large vessel compromise in the disorder. We review the
literature and present a new case with novel MRI features which imply carotid
arteritis. RESULTS: The case presented with a disorder of the carotid artery on
one side leading to a series of TIAs. Inflammation of the wall of the carotid
artery was seen adjacent to a granulomatous leptomeningitis. The disorder
responded to immunosuppressive therapy without recurrence. CONCLUSIONS: The
imaging features suggest a granulomatous infiltration of the carotid artery wall
leading to arteritis followed by disorganisation of the internal elastic lamina
and fibrosis. The data provide further insight into the pathogenesis of
neurological impairments in neurosarcoidosis. The MRI features of carotid
arteritis in neurosarcoidosis have not previously been demonstrated.
PMID- 29653416
TI - The structure of the Patient Health Questionnaire-9 in pregnant women in Spain.
AB - OBJECTIVE: To evaluate psychometric properties and the factor structure of the
Spanish version of the Patient Health Questionnaire (PHQ-9) in pregnant women
received care in an urban public hospital in Spain. RESEARCH DESIGN/SETTING: In a
cross-sectional study, the reliability and factor structure were examined.
Exploratory and confirmatory factor analyses were conducted to examine the latent
structure of the PHQ-9 with a pregnant Spanish-speaking sample (n = 445)
recruited during the first trimester in an obstetrics clinic in Madrid.
MEASUREMENTS AND FINDINGS: The Spanish version of PHQ-9 had moderate internal
consistency (alpha = 0.81). Exploratory factor analysis revealed a two-factor
(cognitive-affective, somatic) and three-factor (cognitive-affective, somatic,
pregnancy-related) structure of the PHQ-9, whereas confirmatory factor analyses
support a three-factor model (cognitive-affective, somatic, pregnancy-related) as
the best fit to the data. KEY CONCLUSIONS: The Spanish version of PHQ-9 is
reliable and can be used to screen for depression during pregnancy. A three
factor model structure is adequate to evaluate the components and symptoms of
depression for Spanish pregnant women. IMPLICATION FOR PRACTICE: Midwives can
screen for depression during the PHQ-9 and can tailor interventions to minimize
the adverse effects on mothers and infants, before and after birth.
PMID- 29653417
TI - Factors associated with Chinese nulliparous women's choices of mode of delivery:
A longitudinal study.
AB - BACKGROUND: Caesarean section rates have risen beyond the WHO recommended level
in many countries worldwide. An emerging epidemic in caesareans has appeared in
China over the past two decades, mainly driven by non-medical factors. China's
one-child policy was previously seen as a potential factor for contributing to
women's high caesarean section rates. The Chinese government has officially
announced a two-child policy in recent years, causing numerous families to
reshape their fertility intentions and birth preferences. There have been few
studies focusing on women's expected and actual delivery mode and the associated
factors affecting their choices of mode of delivery. OBJECTIVE: To investigate
nulliparous women's expected and actual mode of delivery, and the related factors
associated with delivery mode in the context of China's two-child policy. DESIGN,
SETTINGS, AND PARTICIPANTS: This longitudinal study approached 1368 pregnant
women who attended the antenatal clinic regularly at a tertiary specialized
women's hospital in Shanghai, China. Among the 1368 women, 1211 agreed to
participate and were investigated at admission of this study. METHODS: Data were
collected via questionnaires using Birth Attitude Questionnaire (BAQ), Subjective
Norm Questionnaire (SNQ), and Childbirth Self-Efficacy Scale (CSES). Descriptive
statistics and logistic regression analyses were used. RESULTS: Of 1211
participants, the number of women expecting a vaginal delivery at three pregnancy
stages accounted for 74%, 78%, and 83%, respectively. Finally 48% (520/1084)
ended up having a caesarean delivery. Caesarean section for lack of medical
indications accounted for 57% (297/520). Among women who met the requirements of
two-child policy, 39% planned to have a second child. In logistic regression
analyses, participants with stronger expectations of caesarean deliveries during
late pregnancy (aOR, 35.18; 95% CI, 12.66-97.74), having higher scores of birth
attitude toward CS (aOR, 1.13; 95% CI, 1.06-1.20), and with lower scores of
intrapartum childbirth self-efficacy (aOR, 0.85; 95% CI, 0.77-0.92) had
significantly higher odds of having an actual caesarean delivery. CONCLUSIONS:
During the initial period of China's two-child policy, the majority of women
value vaginal delivery whereas high caesarean section rate still becomes a great
concern in current China. Individual preferences, birth attitude, and childbirth
self-efficacy are altogether associated with women's different choices of mode of
delivery.
PMID- 29653418
TI - Simple and label-free pathogen enrichment via homobifunctional imidoesters using
a microfluidic (SLIM) system for ultrasensitive pathogen detection in various
clinical specimens.
AB - Diseases caused by pathogenic microorganisms including bacteria and viruses can
cause serious medical issues including death and result in huge economic losses.
Despite the myriad of recent advances in the rapid and accurate detection of
pathogens, large volume clinical samples with a low concentration of pathogens
continue to present challenges for diagnosis and surveillance. We here report a
simple and label-free approach via homobifunctional imidoesters (HIs) with a
microfluidic platform (SLIM) to efficiently enrich and extract pathogens at low
concentrations from clinical samples. The SLIM system consists of an assembled
double microfluidic chip for streamlining large volume processing and HIs for
capturing pathogens and isolating nucleic acids by both electrostatic and
covalent interaction without a chaotropic detergent or bulky instruments. The
SLIM system significantly increases the enrichment and extraction rate of
pathogens (up to 80% at 10 CFU (colony forming unit) in a 1 mL volume within 50
min). We demonstrated its clinical utility in large sample volumes from 46
clinical specimens including environmental swabs, saliva, and blood plasma. The
SLIM system showed higher sensitivity with these samples and could detect
pathogens that were below the threshold of detection with other methods. Finally,
by combining our SLIM approach with an isothermal optical sensor, pathogens could
be detected at a very high sensitivity in blood plasma samples within 80 min via
enrichment, extraction and detection steps. Our SLIM system thus provides a
simple, reliable, cost-effective and ultrasensitive pathogen diagnosis platform
for use with large volume clinical samples and would thus have significant
utility for various infectious diseases.
PMID- 29653419
TI - A sensitive signal-on photoelectrochemical sensor for tetracycline determination
using visible-light-driven flower-like CN/BiOBr composites.
AB - As a broad-spectrum antibiotic, tetracycline (TC) is widely used in agricultural
purposes and human therapy. More attention is paid to TC as a serious threat to
human health, including the fast spreading of antibiotic resistance gene and the
serious toxicity to aquatic organisms. Therefore, the timely and accurate
determination of TC residues is an urgent task to protect the safety of human.
Herein, an effective and facile photoelectrochemical sensor platform based on
carbon nitride/bismuth oxyhalide (CN/BiOBr) composites can be constructed for
monitoring TC. The flower-like CN/BiOBr composites are prepared via a simple one
pot ethylene glycol-assisted solvothermal process with the addition of ionic
liquid 1-hexadecyl-3-methylimidazolium bromide ([C16mim]Br). In view of matched
energy band positions of CN and BiOBr, the addition of CN can reduce the
recombination of photogenerated electron-hole pairs and improve the efficiency of
visible light utilization, leading to enhancing photoelectrochemical response of
BiOBr. Under light excitation, the photocurrent of CN/BiOBr composites is
drastically improved, which is 6 times as much as that of pure BiOBr. Considering
the superior photoelectrochemical performance, a photoelectrochemical sensor for
monitoring TC has been developed, displaying linearly enhanced photocurrent with
increasing the TC concentration. Two linear relationships received are from 8.0
to 4.0 * 102 ng mL-1, and 4.0 * 102 to 5.2 * 103 ng mL-1, respectively. The
detection limit is 3.8 ng mL-1. The photoelectrochemical sensor exhibits a series
of benefits including excellent stability, a wide linear range, a low detection
limit and good anti-interference ability. Therefore, this work may offer great
promises in providing a universal and efficient photoelectrochemical sensor for
the tetracycline detection, and pave the way of constructing more materials used
in photoelectrochemical detection field.
PMID- 29653421
TI - Functionalizing bottom ash from biomass power plant for removing methylene blue
from aqueous solution.
AB - In order to seek a possible path for utilization bottom ash, the solid waste from
biomass incineration for power generation, its basic characteristics were
investigated, and removing methylene blue (MB) from aqueous solution was
attempted as well. Results indicated bottom ash dominantly contained Si and Ca
related minerals with >35 mineral elements, meanwhile, it was typically
characterized by alkalinity (pH of 9.5) and low specific surface area (14.5m2/g).
As the only bottom ash was employed for removing MB, removal efficiency was lower
than 44%, however, it was greatly improved to 100% as hydrogen peroxide was
supplemented (final concentration of 4.0%). Based on the elucidated mechanisms,
Fenton-like reaction was triggered by bottom ash, which was dominantly
responsible for removing MB, rather than the adsorption by bottom ash. Besides,
increasing reaction temperature and duration dramatically promoted MB removal by
bottom ash with the aid of hydrogen peroxide (HBA). A great promotion on MB
removal from 92.3mg/g to 143.9mg/g was achieved as pH was adjusted from 2.0 to
5.0, indicating MB removal was pH-dependent. The maximum removal of 260.9mg/g was
achieved by HBA at a relatively high initial MB concentration of 2000.0mg/L,
suggesting a great potential in treating dye wastewater by functionalizing bottom
ash with the aid of hydrogen peroxide. Moreover, the released heavy metals and
derived by-products from MB removal were acceptable in consideration of their
potential environmental risks. Thus, the current work offers a new path to
valorize the solid waste in biomass electricity generation plant.
PMID- 29653420
TI - One-by-one imprinting in two eccentric layers of hollow core-shells: Sequential
electroanalysis of anti-HIV drugs.
AB - Double layered one-by-one imprinted hollow core-shells@ pencil graphite electrode
was fabricated for sequential sensing of anti-HIV drugs. For this, two eccentric
layers were developed on the surface of vinylated silica nanospheres to obtain
double layered one-by-one imprinted solid core-shells. This yielded hollow core
shells on treatment with hydrofluoric acid. The modified hollow core-shells
(single layered dual imprinted) evolved competitive diffusion of probe/analyte
molecules. However, the corresponding double layered one-by-one imprinted hollow
core-shells (outer layer imprinted with Zidovudine, and inner layer with
Lamivudine) were found relatively better owing to their bilateral diffusions into
molecular cavities, without any competition. The entire work is based on
differential pulse anodic stripping voltammetry at double layered one-by-one
imprinted hollow core-shells. This resulted in indirect detection of electro
inactive targets with limits of detection as low as 0.91 and 0.12 (aqueous
sample), 0.94 and 0.13 (blood serum), and 0.99 and 0.20 ng mL-1 (pharmaceutics)
for lamivudine and zidovudine, respectively in anti-HIV drug combination.
PMID- 29653422
TI - High-throughput sequencing reveals microbial communities in drinking water
treatment sludge from six geographically distributed plants, including
potentially toxic cyanobacteria and pathogens.
AB - The microbial community structures of drinking water treatment sludge (DWTS)
generated for raw water (RW) from different locations and with different source
types - including river water, lake water and reservoir water -were investigated
using high-throughput sequencing. Because the unit operations in the six DWTPs
were similar, community composition in fresh sludge may be determined by
microbial community in the corresponding RW. Although Proteobacteria,
Cyanobacteria, Bacteroidetes, Firmicutes, Verrucomicrobia, and Planctomycetes
were the dominant phyla among the six DWTS samples, no single phylum exhibited
similar abundance across all the samples, owing to differences in total
phosphorus, chemical oxygen demand, Al, Fe, and chloride in RW. Three genera of
potentially toxic cyanobacteria (Planktothrix, Microcystis and
Cylindrospermopsis), and four potential pathogens (Escherichia coli, Bacteroides
ovatus, Prevotella copri and Rickettsia) were found in sludge samples. Because
proliferation of potentially toxic cyanobacteria and Rickettsia in RW was mainly
affected by nutrients, while growth of Escherichia coli, Bacteroides ovatus and
Prevotella copri in RW may be influenced by Fe, control of nutrients and Fe in RW
is essential to decrease toxic cyanobacteria and pathogens in DWTS.
PMID- 29653423
TI - Combined effects of physical environmental conditions and anthropogenic
alterations are associated with macrophyte habitat fragmentation in rivers -
Study of the Danube in Serbia.
AB - We hypothesize that the physical features of river habitats and anthropogenic
hydromorphological alterations influence macrophyte communities and lead to
habitat fragmentation. Sampling included 1081 contiguous survey units positioned
in the main channel and side arms along 588km of the Danube River, along its
middle course. To identify habitat fragments, Multivariate Regression Tree
analysis (MRT) was applied on macrophyte and environmental data. Indicator
species analyses were combined with MRT. To identify habitat fragments on a scale
larger than final MRT groups, we set thresholds for an MRT complexity parameter.
We identified 20 fine, 7 medium, and 3 large scale habitat fragments. Damming was
the main fragmentation agent. Macrophyte communities show continuous variation at
all scales of habitat fragmentation. High species diversity indicates major
anthropogenic alteration of the river's hydrology and decline of the natural
riparian zone. Future studies of the macrophyte communities, and their habitat
fragmentation must include more factors (e.g. nutrient status, physicochemical
quality of the water, etc.), as well as assessment of the importance of
tributaries.
PMID- 29653424
TI - Methane in groundwater from a leaking gas well, Piceance Basin, Colorado, USA.
AB - Site-specific and regional analysis of time-series hydrologic and geochemical
data collected from 15 monitoring wells in the Piceance Basin indicated that a
leaking gas well contaminated shallow groundwater with thermogenic methane. The
gas well was drilled in 1956 and plugged and abandoned in 1990. Chemical and
isotopic data showed the thermogenic methane was not from mixing of gas-rich
formation water with shallow groundwater or natural migration of a free-gas
phase. Water-level and methane-isotopic data, and video logs from a deep
monitoring well, indicated that a shale confining layer ~125m below the zone of
contamination was an effective barrier to upward migration of water and gas. The
gas well, located 27m from the contaminated monitoring well, had ~1000m of
uncemented annular space behind production casing that was the likely pathway
through which deep gas migrated into the shallow aquifer. Measurements of soil
gas near the gas well showed no evidence of methane emissions from the soil to
the atmosphere even though methane concentrations in shallow groundwater (16 to
20mg/L) were above air-saturation levels. Methane degassing from the water table
was likely oxidized in the relatively thick unsaturated zone (~18m), thus
rendering the leak undetectable at land surface. Drilling and plugging records
for oil and gas wells in Colorado and proxies for depth to groundwater indicated
thousands of oil and gas wells were drilled and plugged in the same timeframe as
the implicated gas well, and the majority of those wells were in areas with
relatively large depths to groundwater. This study represents one of the few
detailed subsurface investigations of methane leakage from a plugged and
abandoned gas well. As such, it could provide a useful template for prioritizing
and assessing potentially leaking wells, particularly in cases where the leakage
does not manifest itself at land surface.
PMID- 29653425
TI - Microplastics and polycyclic aromatic hydrocarbons (PAHs) in Xiamen coastal
areas: Implications for anthropogenic impacts.
AB - Microplastics and polycyclic aromatic hydrocarbons (PAHs) were investigated to
study the influence of human activities and to find their possible relationship
on the coastal environments, where the coastal areas around Xiamen are undergoing
intensive processes of industrialization and urbanization in the southeast China.
The abundance of microplastics in Xiamen coastal areas was 103 to
2017particles/m3 in surface seawater and 76 to 333 particles/kg in sediments.
Concentrations of dissolved PAHs varied from 18.1 to 248ng/L in surface seawater.
The abundances of microplastics from the Western Harbor in surface seawater and
sediments were higher than those from other areas. Foams were dominated in
surface seawater samples, however, no foams were found in sediments samples. The
microscope selection and FTIR analysis suggested that polyethylene (PE) and
polypropylene (PP) were dominant microplastics. The cluster analysis results
demonstrated that fibers and granules had the similar sources, and films had
considerably correlation with all types of PAHs (3 or 4-ring PAHs and alkylated
PAHs). Plastic film mulch from agriculture practice might be a potential source
of microplastics in study areas. Results of our study support that river runoff,
watershed area, population and urbanization rate influence the distribution of
microplastics in estuarine surface water, and the prevalence of microplastic
pollution calls for monitoring microplastics at a national scale.
PMID- 29653426
TI - Evaluation of maximum potential gene flow from herbicide resistant Brassica napus
to its male sterile relatives under open and wind pollination conditions.
AB - Pollen-mediated gene flow (PMGF) from genetically modified (GM) Brassica napus to
its wild relatives by wind and insects is a major ecological concern in
agricultural ecosystems. This study conducted is to estimate maximum potential
gene flow and differentiate between wind- and bee-mediated gene flows from
herbicide resistant (HR) B. napus to its closely-related male sterile (MS)
relatives, B. napus, B. juncea and Raphanus sativus. Various markers, including
pods formation in MS plants, herbicide resistance, and SSR markers, were used to
identify the hybrids. Our results revealed the following: 1) maximum potential
gene flow (a maximum % of the progeny of pollen recipient confirmed hybrid) to MS
B. napus ranged from 32.48 to 0.30% and from 14.69 to 0.26% at 2-128 m from HR B.
napus under open and wind pollination conditions, respectively, and to MS B.
juncea ranged from 21.95 to 0.24% and from 6.16 to 0.16%, respectively; 2)
estimates of honeybee-mediated gene flow decreased with increasing distance from
HR B. napus and ranged from 17.78 to 0.03% at 2-128 m for MS B. napus and from
15.33 to 0.08% for MS B. juncea; 3) a small-scale donor plots would strongly
favour insect over wind pollination; 4) no gene flow occurred from HR B. napus to
MS R. sativus. Our approach and findings are helpful in understanding the
relative contribution of wind and bees to gene flow and useful for estimating
maximum potential gene flow and managing environmental risks associated with gene
flow.
PMID- 29653427
TI - Potential of Portuguese vine shoot wastes as natural resources of bioactive
compounds.
AB - Since annually a high amount of wastes is produced in vine pruning, the aim of
this study was to evaluate the potential of vine shoots from two Portuguese grape
varieties (Touriga Nacional - TN and Tinta Roriz - TR) to be used as a natural
source of phenolic compounds. To reach this goal, three techniques were explored,
namely microwave-assisted extraction (MAE), subcritical water extraction (SWE)
and conventional extraction (CE). The phenolic composition of the extracts,
antioxidant and biological activities were evaluated by spectrophotometry and
chromatography. MAE and SWE produced the highest concentrated extracts. TR vine
shoot variety had the highest antioxidant activity and total phenolic (32.1+/
0.9mggallicacidequivalents/g dry sample), as well as flavonoid content (18.7+/
1.2mgepicatechinequivalents/g dry sample). For the first time, the biological
activity of the vine shoot extracts was tested. Results demonstrated that all of
them had antimicrobial potential against different bacteria and yeasts, and the
ability of inhibiting alpha-amylase and acetylcholinesterase enzymes, with MAE TR
extracts being the most efficient. HPLC analysis enabled the identification of
different phenolic compounds, with gallic acid, catechin, myricetin and
kaempferol-3-O-rutinoside being the main contributors to the phenolic
composition. Portuguese vine shoot wastes could serve as easily accessible source
of natural antioxidants for the food or pharmaceutical industries.
PMID- 29653428
TI - The threshold of influent ammonium concentration for nitrate over-accumulation in
a one-stage deammonification system with granular sludge without aeration.
AB - Low-strength ammonium is still a challenge for the mainstream deammonification
because of nitrate over-accumulation. In this study, the threshold of influent
ammonium concentration of one-stage deammonification system with granular sludge
was investigated, by stepwise decreasing influent ammonium from high
concentrations (280mg/L to 140mg/L) to the low concentration (70mg/L) in 108d at
32 degrees C without aeration. Results showed that, under 70mg/L NH4+-N, DeltaNO3
-N/DeltaNH4+-N ratio increased to 0.2, deviated from the theoretical value of
0.11, with ammonium and TN removal efficiencies of 91% and 71%, respectively.
However, under both high ammonium concentrations (280mg/L and 140mg/L), nitrate
production stabilized at only 13%. Chloroflexi, Planctomycetes and Proteobacteria
contributed >70% of the communities under all three ammonium concentrations. As
influent ammonium decreasing, the relative abundances of bacteria for anammox,
aerobic oxidizing and denitrifying decreased, while NOB (nitrite oxidizing
bacteria) abundance increased greatly. So 70mg/L was the threshold of influent
ammonium concentration for stable deammonification without organic influent. It
was the decrease of functional bacteria and overgrowth of NOB that worsen the
deammonification performance under low-strength ammonium.
PMID- 29653429
TI - GIS-based groundwater potential analysis using novel ensemble weights-of-evidence
with logistic regression and functional tree models.
AB - The aim of the current study was to produce groundwater spring potential maps
using novel ensemble weights-of-evidence (WoE) with logistic regression (LR) and
functional tree (FT) models. First, a total of 66 springs were identified by
field surveys, out of which 70% of the spring locations were used for training
the models and 30% of the spring locations were employed for the validation
process. Second, a total of 14 affecting factors including aspect, altitude,
slope, plan curvature, profile curvature, stream power index (SPI), topographic
wetness index (TWI), sediment transport index (STI), lithology, normalized
difference vegetation index (NDVI), land use, soil, distance to roads, and
distance to streams was used to analyze the spatial relationship between these
affecting factors and spring occurrences. Multicollinearity analysis and feature
selection of the correlation attribute evaluation (CAE) method were employed to
optimize the affecting factors. Subsequently, the novel ensembles of the WoE, LR,
and FT models were constructed using the training dataset. Finally, the receiver
operating characteristic (ROC) curves, standard error, confidence interval (CI)
at 95%, and significance level P were employed to validate and compare the
performance of three models. Overall, all three models performed well for
groundwater spring potential evaluation. The prediction capability of the FT
model, with the highest AUC values, the smallest standard errors, the narrowest
CIs, and the smallest P values for the training and validation datasets, is
better compared to those of other models. The groundwater spring potential maps
can be adopted for the management of water resources and land use by planners and
engineers.
PMID- 29653430
TI - Photo-oxygenation for nitritation and the effect of dissolved oxygen
concentrations on anaerobic ammonium oxidation.
AB - Removal of nitrogen from wastewater without using electricity consuming aerators
was previously observed in photo-bioreactors with a mixed algal-bacterial
biomass. Algammox is the particular process based on algae, ammonium oxidizing
organisms and anammox bacteria. In this research the activity of anammox bacteria
in such an oxygen-producing environment was tested, as well as the effect of
short-duration increase in dissolved oxygen (DO) to values potentially inhibiting
anammox activity. Sequencing batch photo-bioreactors were fed with settled
domestic wastewater enriched with ammonium (200mgNH4+-N/L) and exposed to light
within the photosynthetic active range with intensity of about 500MUmol/m2.s.
Each cycle consisted of 12h illumination and 12h darkness. A well-settling
biomass (10days solids retention time) developed that carried out nitritation,
nitrification and anammox. Ammonium removal rate during the light period was
4.5mgN-NH4+/L.h, equal to 858mgN-NH4+/m2.h or 477mgN-NH4+/(mol photons). When the
reactors were aerated for 3h to temporarily increase the DO, anammox was
inhibited at bulk DO values larger than 0.4-1.0mg/L. For almost oxygen saturated
conditions, recovery time was about 9days. Algammox photo-bioreactors are
therefore able to overcome short periods of oxygen stress, provided they occur
only occasionally.
PMID- 29653431
TI - Inhibition of NAMPT sensitizes MOLT4 leukemia cells for etoposide treatment
through the SIRT2-p53 pathway.
AB - NAMPT (Nicotinamide phosphoribosyltransferase) catalyses the rate-limiting step
in the NAD biosynthesis from nicotinamide and thereby regulates the activity of
NAD-dependent enzymes. Cancer cells are highly dependent on NAD for energy and
DNA repair processes and are assumed to be more susceptible to an inhibition of
NAD synthesis than non-transformed cells. We aimed to investigate whether or not
inhibition of NAMPT with its specific inhibitor FK866 can sensitize leukemia
cells for chemotherapeutic agents. NAMPT protein abundance, enzymatic activity
and NAD concentrations were significantly higher in Jurkat and Molt-4 leukemia
cell lines compared to normal peripheral blood mononuclear cells. Combination of
etoposide and FK866 caused increased cell death in leukemia cell lines compared
to etoposide alone. Etoposide decreased protein abundance of NAD-dependent
deacetylases SIRTUIN1. After combining etoposide and FK866 treatment SIRTUIN2 was
further decreased and accumulation and acetylation of the downstream target p53
was further enhanced in MOLT4 cells. Concomitantly, protein abundance of p21 and
cleaved BAX was increased. Targeting NAMPT could be a novel therapeutic strategy
to enhance the efficacy of chemotherapeutic agents such as etoposide against
leukemia.
PMID- 29653432
TI - In-vitro evaluation and in-silico studies applied on newly synthesized amide
derivatives of N-phthaloylglycine as Butyrylcholinesterase (BChE) inhibitors.
AB - Amide derivatives of N-phthaloylglycine were synthesized under Schotten Baumann
reaction condition. The structures of synthesized compounds (4a-d) were
characterized by using FTIR, 1HNMR and EI-MS. The compounds were evaluated for
their in-vitro Butyrylcholinesterase inhibition and all of them exhibited good
activity against this enzyme. Compound 4a (IC50 = 6.5 +/- 0.1) was found to be
most potent compared with the reference compound Galantamine (IC50 = 6.6 +/-
0.00038) and the other compounds (4b,4c,4d) were also possess that activity and
hence can be employed for the discovery of lead compounds against Alzheimer's
disease. The depth analysis of the binding mechanism of these newly synthesized
compounds inside the binding gorge of BChE, an in silico technique, molecular
docking was performed. All the compounds were found to be well accommodated
within the binding pocket of BChE. Compounds 4a, 4b and 4c showed hydrogen
bonding interaction with binding site residue TYR332. Moreover, hydrophobic and
pi-pi interaction assisted the compounds to attain their enzyme inhibitory
activity. These theoretical studies showed significant correlation with
experimental results.
PMID- 29653433
TI - Mindfulness as a mediator of the association between adverse childhood
experiences and alcohol use and consequences.
AB - One-third of college students report past-year heavy episodic drinking, making
college student alcohol use an important area for continued research. Research
has consistently linked early experiences of adversity to problematic substance
use in adolescence and adulthood. Given the negative health consequences
associated with heavy episodic drinking, it is imperative to identify mechanisms
that contribute to this relation. Low levels of mindfulness have been linked to
early adversity as well as impulsivity and alcohol use, therefore, the current
study aims to examine the mediating role of mindfulness in the relation between
early adversity and current alcohol use and consequences. Undergraduate students
(N = 385) at a Midwestern university completed an online questionnaire assessing
experiences of childhood adversity, trait mindfulness, and current alcohol use
and related consequences. Results indicated that increased adverse experiences
and lower levels of mindfulness predicted both increased alcohol consumption and
consequences (ps < 0.025), with mindfulness mediating the relationships.
Mindfulness is a predictor of alcohol outcomes and appears to mediate the
relation between early adversity and alcohol use and consequences. Findings
suggest that students with a history of adversity are more likely to exhibit
lower levels of mindfulness, which may lead to an increase in alcohol consumption
and consequences in early adulthood. Targeted alcohol intervention efforts that
incorporate mindfulness skills may be particularly beneficial for those who have
experienced early adversity.
PMID- 29653434
TI - Elevated CO2 induces alteration in lignin accumulation in celery (Apium
graveolens L.).
AB - Carbon dioxide (CO2) is an important regulator of plant growth and development,
and its proportion in the atmosphere continues to rise now. Lignin is one of the
major secondary products in plants with vital biological functions. However, the
relationship between CO2 level and xylogenesis in celery is still unknown. In
order to investigate the effects of increasing CO2 concentration on lignin
accumulation in celery, 'Jinnanshiqin' were exposed to two CO2 applications, 400
(e0) and 1000 MUmol mol-1 (e1), respectively. Plant morphology and lignin
distribution in celery plants treated with elevated CO2 did not change
significantly. There was an upward trend on lignin content in celery leaves, and
the transcript abundance of 12 genes involved in lignin metabolism has altered in
response to elevated CO2. The effects of high level of CO2 on different tissues
were different. Our works confirmed that CO2 may play an important role in lignin
accumulation in celery leaves. The current study will offer new evidence to
understand the regulation mechanism of lignin biosynthesis under elevated CO2 and
provide a reference to improve celery quality by adjusting the growth
environment.
PMID- 29653435
TI - Functional characterization of the gene promoter for an Elaeis guineensis
phosphate starvation-inducible, high affinity phosphate transporter in both
homologous and heterologous model systems.
AB - Oil palm is grown in tropical soils with low bioavailability of Pi. A cDNA clone
specifically expressed under phosphate-starvation condition in oil palm roots was
identified as a high-affinity phosphate transporter (EgPHT1). The deduced amino
acid sequence has 6 transmembrane domains each at the N- and C-termini separated
by a hydrophilic linker. Comparison of promoter motifs within 1500 bp upstream of
ATG of 10 promoters from high- and low-affinity phosphate transporter from both
dicots and monocots including EgPHT1 was performed. The EgPHT1 promoter was fused
to beta-glucuronidase (GUS) reporter gene and its activity was analysed by
histochemical and fluorometric GUS assays in transiently transformed oil palm
tissues and T3 homozygous transgenic Arabidopsis plants. In response to Pi
starvation, no GUS activity was detected in oil palm leaves, but a strong
inducible activity was observed in the roots (1.4 times higher than the CaMV35S
promoter). GUS was specifically expressed in transgenic Arabidopsis roots under
Pi deficiency and starvation of the other macronutrients (N and K) did not induce
GUS activity. Eight motifs including ABRERATCAL (abscisic-acid responsive),
RHERPATEXPA7 (root hair-specific), SURECOREATSULTR11 (sulfur-deficiency
response), LTRECOREATCOR15 (temperature-stress response), MYB2CONSENSUSAT and
ACGTATERD1 (water-stress response) as well as two novel motifs, 3 (TAAAAAAA) and
26 (TTTTATGT) identified through pattern discovery, occur at significantly higher
frequency (p < 0.05) in the high-than the low-affinity phosphate transporter
promoters. The Pi deficiency-responsive elements in EgPHT1 includes the P1BS, W
box, E-box and the G-box. Thus, EgPHT1 is important for improving Pi uptake in
oil palm with potential for engineering efficient Pi acquisition.
PMID- 29653436
TI - A chloroplast-targeted cabbage DEAD-box RNA helicase BrRH22 confers abiotic
stress tolerance to transgenic Arabidopsis plants by affecting translation of
chloroplast transcripts.
AB - Although the roles of many DEAD-box RNA helicases (RHs) have been determined in
the nucleus as well as in cytoplasm during stress responses, the importance of
chloroplast-targeted DEAD-box RHs in stress response remains largely unknown. In
this study, we determined the function of BrRH22, a chloroplast-targeted DEAD-box
RH in cabbage (Brassica rapa), in abiotic stress responses. The expression of
BrRH22 was markedly increased by drought, heat, salt, or cold stress and by ABA
treatment, but was largely decreased by UV stress. Expression of BrRH22 in
Arabidopsis enhanced germination and plantlet growth under high salinity or
drought stress. BrRH22-expressing plants displayed a higher cotyledon greening
and better plantlet growth upon ABA treatment due to decreases in the levels of
ABI3, ABI4, and ABI5. Further, BrRH22 affected translation of several chloroplast
transcripts under stress. Notably, BrRH22 had RNA chaperone function. These
results altogether suggest that chloroplast-transported BrRH22 contributes
positively to the response of transgenic Arabidopsis to abiotic stress by
affecting translation of chloroplast genes via its RNA chaperone activity.
PMID- 29653438
TI - The effect of a cricket fielding session on glenohumeral range of motion and
active joint position sense.
AB - OBJECTIVE: To assess the effects of a cricket fielding session, at an identified
throwing injury risk workload, on shoulder joint position sense (JPS) and active
range of motion (AROM) in cricketers. DESIGN: Repeated measures observational
study. SETTING: Indoor cricket centre. PARTICIPANTS: Nineteen, asymptomatic
University cricketers. MAIN OUTCOME: AROM was assessed supine at 90 degrees
abduction and from this 10% off end range IR and ER was used as the position
matching angle to assess JPS. JPS error scores as well as AROM were assessed pre
and post a cricket fielding session consisting of 40 throws. RESULTS: Following
the cricket fielding session, no alteration in JPS in ER (p = 0.91) or IR (p =
0.27) was observed. There was however a significant decrease in IR (-3.9 degrees
) following the fielding drill (p = 0.007) while no significant change was
observed in ER or total motion. CONCLUSION: Active IR ROM is significantly
decreased immediately following a cricket fielding drill, while no alterations in
JPS were observed. High levels of eccentric stress have been reported in the
external rotators after throwing which may contribute to the acute
musculotendinous adaptations observed. Changes in IR may be a contributing factor
to workload-acquired shoulder throwing injuries.
PMID- 29653437
TI - Early infectious exposures are not associated with increased risk of pediatric
onset multiple sclerosis.
AB - OBJECTIVE: We sought to determine if early infectious exposures such as daycare,
early use of antibiotics, vaccinations and other germ exposures including
pacifier use and playing on grass are associated with multiple sclerosis (MS)
risk in children. METHODS: This was a case-control study of children with MS or
clinically isolated syndrome (CIS) and healthy controls enrolled at sixteen
clinics participating in the US Network of Pediatric MS Centers. Parents
completed a comprehensive environmental questionnaire that captured early
infectious exposures, habits, and illnesses in the first five years of life. A
panel of at least two pediatric MS specialists confirmed diagnosis of
participants. Association of early infectious variables with diagnosis was
assessed via multivariable logistic regression analyses, adjusting for age, sex,
race, ethnicity, US birth region, and socioeconomic status (SES). RESULTS:
Questionnaire responses for 326 eligible cases (mean age 14.9, 63.5% girls) and
506 healthy pediatric subjects (mean age 14.4, 56.9% girls) were included in
analyses. History of flu with high fever before age five (p = 0.01), playing
outside in grass and use of special products to treat head lice or scabies (p =
0.04) were associated with increased risk of MS in unadjusted analyses. In the
multivariable model adjusted for age, sex, race, ethnicity, and mother's highest
educational attainment, these results were not statistically significant.
Notably, antibiotic use (p = 0.22) and regular daycare attendance before age 6 (p
= 0.09) were not associated with odds of developing MS. CONCLUSION: Early
infectious factors investigated in this study were not associated with MS risk.
PMID- 29653439
TI - Medical cannabis: An oxymoron? Physicians' perceptions of medical cannabis.
AB - BACKGROUND: Medical cannabis policies are changing in many places around the
world, and physicians play a major role in the implementation of these policies.
The aim of this study was to gain a deeper understanding of physicians' views on
medical cannabis and its possible integration into their clinic, as well as to
identify potential underlying factors that influence these perceptions. METHODS:
Qualitative narrative analysis of in-depth interviews with twenty-four Israeli
physicians from three specialties (pain medicine, oncology and family medicine).
FINDINGS: Physicians disclosed contrasting narratives of cannabis, presenting it
as both a medicine and a non-medicine. These divergent positions co-existed and
were intertwined in physicians' accounts. When presenting cannabis as a non
medicine, physicians drew on conventional medicine and prohibition as narrative
environments. They emphasized the incongruence of cannabis with standards of
biomedicine and presented cannabis as an addictive drug of abuse. In contrast,
physicians drew upon unconventional medicine and palliative care as narrative
environments while presenting cannabis as a medicine. In this narrative,
physicians emphasized positive hands-on experiences with cannabis, and pointed to
the limits of conventional medicine. CONCLUSION: Physicians did not have a
consolidated perspective as to whether cannabis is a medicine or not, but rather
struggled with this question. The dualistic narratives of cannabis reflect the
lack of a dominant narrative environment that supports the integration of
cannabis into medical practice. This may in turn indicate barriers to the
implementation of medical cannabis policies. An awareness of physicians' views
and the different levels of their willingness to implement medical cannabis
policies is essential for policy developments in this evolving field.
PMID- 29653440
TI - Long-Term Outcome after Dynamic Graciloplasty for Treatment of Persistent Fecal
Incontinence in Patients with Anorectal Malformations.
AB - PURPOSE: Dynamic graciloplasty (DGP) has been used to treat severe fecal
incontinence since the 1980s. Previous studies have shown an inferior outcome in
patients with anorectal malformations (ARMs). Our experience has been that DGP
has been appreciated by ARM -patients. The objective of the study was to evaluate
the long-term outcome of DGP in our patients with ARM compared with patients with
other underlying conditions. MATERIALS AND METHODS: Twenty-three patients
operated with DGP at our institution from 1996 to 2010 were sent validated bowel
function and quality of life questionnaires. Eighteen of 23 responded. Seven had
ARM and 11 had other etiologies of fecal incontinence. The mean follow-up time
was 11.6 years (range, 5-17). RESULTS: Four of 7 of the patients with ARM and 8
of 11 of patients with other etiologies used their implants at follow-up. The
Miller incontinence score was slightly higher for patients with ARMs, but they
had less constipation and higher Fecal Incontinence Quality of Life (FIQL)- and
36-Item Short Form Health Survey (SF-36) scores. None of the differences were
statistically significant. CONCLUSION: This study cannot confirm earlier reports
in which DGP has an inferior outcome in patients with ARM. We therefore believe
that the procedure should remain a treatment option for selected patients.
PMID- 29653441
TI - The Impact of Groin Surgery during Childhood on the Incidence of Inguinal Hernia
Repair and Its Postoperative Complications in Adult Life.
AB - BACKGROUND: Pediatric inguinal hernia, hydrocele, and cryptorchidism are common
congenital anomalies affecting children, and require surgical intervention in
some cases. The association between surgical treatment of these conditions and
acquired inguinal hernia later in life is poorly understood. The aim of this
cohort study was to examine the effect of groin surgery during childhood on the
incidence and surgical outcome of inguinal hernia repair in adult life. MATERIALS
AND METHODS: Data from the Swedish Inpatient Register and the Swedish Hernia
Register were cross-linked using the patient personal identity numbers. The
incidence of inguinal hernia repair in patients 15 years or older in the study
cohort, as well as postoperative complication rates, were compared with the
expected incidence and complication rates extrapolated from the general Swedish
population in 2014, stratifying for age and gender. RESULTS: Note that 68,238
children aged 0 to 14 years were found to have undergone groin surgery between
1964 and 1998. The median follow-up time after an operation in the groin was 30.8
years (21.0-50.0). Of those, 1,118 were found to have undergone inguinal hernia
repair as adults (> 15 years old) between 1992 and 2013. The incidence of
inguinal hernia repair in the cohort was significantly higher than that expected
(1.43 [1.33-1.53]), both for men (1.32 [1.25-1.41]) and women (4.30 [3.28-5.55]).
The incidence was also increased in the subgroup of patients that had undergone
more than one procedure during childhood. No significant impact on postoperative
complication rate, reoperation rate, or operation time was identified.
CONCLUSION: Individuals undergoing surgery in the groin during childhood are at
increased risk for acquired inguinal hernia surgery later in life. Inguinal
surgery during childhood did not affect the outcome of hernia repair in adult
age.
PMID- 29653442
TI - Examination under Anesthesia in Patients with Recurrent Patellar Dislocation:
Prognostic Study.
AB - Surgical management of patellar instability is a matter of wide debate. While
some authors believe that isolated medial patellofemoral ligament (MPFL)
reconstruction is sufficient, others advocate procedures to treat the underlying
pathology, namely, patella alta and trochlear dysplasia. Radiological studies
have been traditionally used as a determinant of these pathologies and to
determine the need for an additional procedure. The value of examination under
anesthesia (EUA) in formulating a treatment plan remains unknown. Our aim is to
assess the prognostic value of EUA in assessing patients presenting for surgical
treatment of recurrent patellar dislocations. We retrospectively reviewed the
outcome after surgical treatment of 23 patients who had undergone isolated MPFL
reconstruction for recurrent patella dislocation by a single surgeon in our
tertiary center. All data were completed at the time of index surgery. Primary
outcome was recurrent instability requiring revision surgery. The mean age of the
23 patients undergoing MPFL reconstruction was 17.4 years of age. The median
trochlea tuberosity-trochlear groove (TT-TG) distance was 15 mm with a median
Caton-Deschamps ratio of 1.3. Of the 23 patients who underwent MPFL
reconstruction, 9 failed (39%). Median time to failure was 10.5 months. All
patients who failed MPFL reconstruction had a dislocating patella on EUA at >30
degrees of flexion. Radiological assessment of the knee to assess its morphology
is essential for preoperative surgical planning for patients with recurrent
patellar instability. Cutoff values to determine the need for surgical procedures
require a consistent method of imaging and are prone to flaws in measurement.
However, we demonstrated that EUA provides valuable additional information toward
an appropriate surgical approach.Based on our small cohort, we suggest that
patients in whom patella remains dislocated past 30 degrees of knee flexion on
EUA are unlikely to benefit from isolated MPFL reconstruction.
PMID- 29653443
TI - The Role of Clinical Examination in Predicting Relevant MRI Findings in Acute
Knee Injuries: A Retrospective Study.
AB - The objective of this study was to delineate the usefulness of clinical
examination and magnetic resonance imaging (MRI) in acute knee injuries. We aim
to establish whether the time period post acute knee injury is related to the
diagnostic accuracy of clinical examination and to investigate the strength of
specific clinical examination findings in predicting a clinically relevant MRI
abnormality. Seventy patients were referred to fracture clinic with an acute knee
injury who subsequently went on to be investigated with MRI over 12 months. These
patients were retrospectively analyzed looking at the time period they were
reviewed, the components that were assessed at physical examination, and the
results of their eventual MRI scan looking for any correlation. A greater
proportion of patients who were examined at 2 weeks had relevant positive
findings on MRI scan, p = 0.03. Range of movement and lateral joint line
tenderness were not associated with a positive MRI scan at any period after
injury. The presence of a moderate to large effusion was not associated with an
MRI abnormality if the examination was within 2 weeks of injury but was if
present 2 weeks after injury, p = 0.0001. Range of movement should not form part
of the decision making on whether an injury should be investigated with MRI.
Joint effusion in isolation within 2 weeks after injury should not be an
indication for MRI but a repeat clinical examination in 2 weeks, where if still
present, should be investigated with MRI.
PMID- 29653444
TI - Effect of the Distal Femoral Joint Line on Ligament Tensions in Flexion with
Cruciate-Retaining Total Knee Prostheses.
AB - Proper ligament tension in knee flexion within cruciate-retaining (CR) total knee
arthroplasty has long been associated with clinical success; however, traditional
balancing principles have assumed that the distal femoral joint line (DFJL)
affects only extension. The purpose of this study was to determine the effect
DFJL may have on ligament strains and tibiofemoral kinematics of CR knee designs
in flexion. A computational analysis was performed using a musculoskeletal
modeling system for two different knee implants, the high-flex CR (HFCR) and
guided-motion CR (GMCR). Tibiofemoral kinematics and ligament strain were
measured at 90-degree knee flexion while the implants' DFJL was incrementally
shifted proximally. Femoral implant position and kinematics were used to
determine the femur's anteroposterior position relative to the tibia. The change
in the femoral medial condyle position relative to the tibia was 0.33 mm and 0.53
mm more anterior per each 1-mm elevation of the DFJL for HFCR and GMCR,
respectively. The change in the lateral condyle position was 0.20 mm more
anterior and 0.06 mm more posterior for HFCR and GMCR, respectively. The strain
in the lateral and medial collateral ligaments changed minimally with elevation
of the DFJL. In both implants, strain increased in the anterior lateral and
posterior medial bundles of the posterior collateral ligament with elevation of
the DFJL, whereas strain decreased in the iliotibial band and iliotibial patellar
band. Our findings suggest that DFJL affects ligament tension at 90-degree knee
flexion and therefore flexion balance for CR implants. Elevating the DFJL to
address tight extension space in a CR knee while flexion space is well balanced
could result in increased flexion tension especially when the flexion-extension
mismatch is large. To achieve balanced flexion and extension, the amount of DFJL
elevation may need to be reduced.
PMID- 29653445
TI - Revision Anterior Cruciate Ligament Reconstruction Outcomes at a Minimum of 5
Year Follow-Up: A Systematic Review.
AB - Revision anterior cruciate ligament (ACL) reconstruction typically has worse
outcomes than primary reconstructions. Minimal long-term data exist regarding 5
year results. We chose to perform a systematic review to evaluate midterm (5
year) revision ACL reconstruction outcomes (patient-reported outcomes,
reoperation, stability, arthritis) in comparison to primary ACL reconstructions
at similar time points. Embase, Cochrane, and PubMed databases were queried, and
four studies met the inclusion criteria. Two authors reviewed and performed data
extraction. All were level 4 studies. Review of the studies demonstrated that
results at 5 years are consistently worse than those noted in primary
reconstructions for objective and patient-reported outcomes. Revision ACL
reconstruction outcomes remain worse than primary reconstructions at midterm 5
year follow-up. The level of evidence is 4.
PMID- 29653446
TI - Successful closure of a gastropulmonary fistula after esophagectomy using the
Apollo Overstitch and endoscopic vacuum therapy.
PMID- 29653447
TI - Rendezvous biliary recanalization with combined percutaneous transhepatic
cholangioscopy and double-balloon endoscopy.
PMID- 29653448
TI - Closure of a mucosal defect with clips and rubber band: a technical trick to
improve edge apposition in large mucosal defects.
PMID- 29653449
TI - Reintervention for stent occlusion after endoscopic ultrasound-guided
hepaticogastrostomy with novel use of a precut needle-knife.
PMID- 29653450
TI - The efficacy and feasibility of the beforehand-endoloop technique for colorectal
endoscopic submucosal dissection.
PMID- 29653451
TI - Fluoroscopy-guided peroral endoscopic myotomy for sigmoid-type achalasia.
PMID- 29653452
TI - The Impact of Hypoxemia on the Development of Retinopathy of Prematurity in
Infants Less Than 29 Weeks of Gestation.
AB - OBJECTIVE: To study the impact of cumulative exposure to hypoxemia on the
development of retinopathy of prematurity (ROP) in preterm infants less than 29
weeks' gestation. STUDY DESIGN: This is a retrospective analysis of the effect
of cumulative exposure to hypoxemia during the first 10 weeks of life in preterm
infants <29 weeks' gestation. Cumulative time spent at various levels of oxygen
saturation was calculated by converting the daily percentage of time to minutes
per day. Cumulative exposure to hypoxemia (cT<80 or oxygen saturation <80%) was
calculated weekly and compared between outcomes. The primary outcome was the
development of ROP requiring treatment. RESULTS: Cumulative hypoxemia exposure
was significantly associated with ROP requiring treatment. When adjusted for
other neonatal morbidities, only gestation was consistently associated with ROP
requiring treatment. CONCLUSION: Cumulative exposure to hypoxemia in the first
few weeks was not associated with ROP or treatment of ROP after adjustment for
confounders.
PMID- 29653453
TI - [Oral Health-Related Quality of Life in Rare Diseases with Oral Manifestations].
AB - OBJECTIVES: In 2013 the German Ministry of Health initiated a "National Plan of
Action for People with Rare Diseases" (NAMSE), in order to improve medical
treatment for people with rare diseases. The aim of NAMSE is to set up
appropriate medical care for those affected, as well as to obtain information on
the current state of care for these patients. The aim of this study was to obtain
information on the oral health-related quality of life (OHRQoL) of people with
rare diseases with oral involvement. METHODS: In the context of the NAMSE plan,
special consultation times were introduced for people with rare diseases with
oral involvement. Using the standardized OHIP 14 questionnaire, specific
information was acquired on OHRQoL, as was as general information on the disease
in question. RESULTS: During consultation hours, 50 people were treated who, on
average, travelled 143 km each way. On average, patients had to wait 5 years
before the final diagnosis of their rare disease was reached. The mean OHIP 14
overall score achieved was 26.4. DISCUSSION: The long distances travelled show
the effort involved for patients, especially for those who come for a series of
sessions. This has led to a call for a nationwide system of special consultation
sessions for rare diseases with oral involvement - something NAMSE has also
called for. There seems to be a markedly worse OHRQoL among all our patients.
Overall, rare diseases with oral involvement appear to benefit more from an
earlier diagnosis of the disease than do rare diseases without any oral
involvement.
PMID- 29653454
TI - How Does Autologous Breast Reconstruction Impact Downtime?
AB - BACKGROUND: Although autologous breast reconstruction is technically quite
demanding, it offers the best outcomes in terms of durable results, patient
perceptions, and postoperative pain. Many studies have focused on clinical
outcomes and technical aspects of such procedures, but few have addressed the
impact of various flaps on patient recovery times. This particular investigation
entailed an assessment of commonly used flaps, examining the periods of time
required to resume daily activities. METHODS: Multiple choice questionnaires
were administered to 121 patients after recovery from autologous reconstruction
to determine the times required in returning to specific physical activities. To
analyze results, the analysis of variance F-test was applied, and odds ratios
(ORs) were determined. RESULTS: Among the activities surveyed, recovery time was
not always a function of free-flap surgery. Additional treatments and
psychological effects also contributed. Adjuvant chemotherapy increased average
downtime by 2 weeks, and postoperative irradiation prolonged recovery as much as
4 weeks. Patient downtime was unrelated to flap type, ranging from 2.9 to 21.3
weeks for various activities in question. Deep inferior epigastric perforator
(DIEP) flaps yielded the highest OR and transverse upper gracilis (TUG) flaps the
lowest. CONCLUSION: Compared with superior gluteal artery perforator and TUG
flaps, the DIEP flap was confirmed as the gold standard in autologous breast
reconstruction, conferring the shortest recovery times. All adjuvant therapies
served to prolong patient recovery as well. Surgical issues, patient lifestyles,
and donor-site availability are other important aspects of flap selection.
PMID- 29653455
TI - Identification, Isolation and Determination of Biomarkers for Quality Control of
Bush Tea (Athrixia phyllicoides).
AB - Athrixia phylicoides, known as "bush tea", grows abundantly in South Africa. An
infusion of the leaves is used as a beverage and to treat a multitude of health
conditions. The aim of this study was to investigate the chemical variation
within A. phylicoides and to identify characteristic compounds for quality
control. Samples from 12 locations in South Africa were analysed using ultra
performance liquid chromatography. Hierarchical cluster analysis of the aligned
ultra-performance liquid chromatography-time-of-flight mass spectrometry data
indicated two groups on the resulting dendrogram, representing 48 samples. Five
marker compounds, identified through visual inspection and the construction of a
discriminant analysis model, were evident on the ultra-performance liquid
chromatography-MS profiles. Four of these compounds were isolated and identified,
three as hydroxy methoxyflavones and the fourth as a coumarate, using nuclear
magnetic resonance spectroscopy. An ultra-performance liquid chromatography
photodiode array method was developed and validated for the determination of the
marker compounds using the isolates as standards. The limits of detection for the
four compounds ranged from 0.92 - 2.50 ug/mL. Their recoveries at three
concentration levels (1.00, 10.0, and 100 ug/mL) were between 97.0 and 101%,
while acceptable intra- and inter-day precision was obtained as reflected by
percentage relative standard deviation values below 2.24%. The concentrations of
all the marker compounds were found to be higher in samples corresponding to
Group 1 of the dendrogram than in those from Group 2. This may be attributable to
differences in altitude, climate, and some edaphic factors. Identification of
these marker compounds will make a valuable contribution towards the quality
control and sustainable commercialisation of bush tea.
PMID- 29653456
TI - Hesperidin Prevents High Glucose-Induced Damage of Retinal Pigment Epithelial
Cells.
AB - The present study aimed to determine whether hesperidin, a plant-based active
flavanone found in citrus fruits, can prevent high glucose-induced retinal
pigment epithelial (RPE) cell impairment. Cultured human RPE cells (ARPE-19) were
exposed to a normal glucose concentration (5.5 mM) for 4 d and then soaked in
either normal (5.5 mM) or high (33.3 mM) concentrations of D-glucose with or
without different concentrations of hesperidin (10, 20, or 40 uM) for another 48
h. The survival rates of the cells were measured using a 3-(4,5-dimethyl thiazol
2-yl)-2,5-diphenyl tetrazolium bromide reduction assay. With the help of a
fluorescent probe, the intracellular production of reactive oxygen species (ROS)
was evaluated. Colorimetric assay kits were used to assess the antioxidant enzyme
activities, and western blotting was used to measure the expression of apoptosis
related protein. Hesperidin was effective in inhibiting high glucose-induced ROS
production, preventing loss of cell viability, and promoting the endogenous
antioxidant defense components, including glutathione peroxidase, superoxide
dismutase, catalase, and glutathione, in a concentration-dependent manner.
Furthermore, high glucose triggered cell apoptosis via the upregulation of
caspase-9/3, enhancement of cytochrome c release into the cytosol, and subsequent
interruption of the Bax/Bcl-2 balance. These detrimental effects were ameliorated
by hesperidin in a concentration-dependent manner. We conclude that through the
scavenging of ROS and modulation of the mitochondria-mediated apoptotic pathway,
hesperidin may protect RPE cells from high glucose-induced injury and thus may be
a candidate in preventing the visual impairment caused by diabetic retinopathy.
PMID- 29653457
TI - Difficulties in Differentiating Natural from Synthetic Alkaloids by Isotope Ratio
Monitoring using 13C Nuclear Magnetic Resonance Spectrometry.
AB - Within the food and pharmaceutical industries, there is an increasing legislative
requirement for the accurate labeling of the product's origin. A key feature of
this is to indicate whether the product is of natural or synthetic origin. With
reference to this context, we have investigated three alkaloids commonly
exploited for human use: nicotine, atropine, and caffeine. We have measured by
13C nuclear magnetic resonance spectrometry the position-specific distribution of
13C at natural abundance within several samples of each of these target
molecules. This technique is well suited to distinguishing between origins, as
the distribution of the 13C isotope reflects the primary source of the carbon
atoms and the process by which the molecule was (bio)synthesized. Our findings
indicate that labeling can be misleading, especially in relation to a supplied
compound being labeled as "synthetic" even though its 13C profile indicates a
natural origin.
PMID- 29653458
TI - [Assessment of Couples' Communication in Patients with Advanced Cancer:
Validation of a German Version of the Couple Communication Scale (CCS)].
AB - BACKGROUND: There are only a few valid instruments measuring couples'
communication in patients with cancer for German speaking countries. The Couple
Communication Scale (CCS) represents an established instrument to assess couples'
communication. However, there is no evidence regarding the psychometric
properties of the German version of the CCS until now and the assumed one factor
structure of the CCS was not verified for patients with advanced cancer yet.
METHOD: The CCS was validated as a part of the study "Managing cancer and living
meaningfully" (CALM) on N=136 patients with advanced cancer (>=18 years, UICC
state III/IV). The psychometric properties of the scale were calculated (factor
reliability, item reliability, average variance extracted [DEV]) and a
confirmatory factor analysis was conducted (Maximum Likelihood Estimation). The
concurrent validity was tested against symptoms of anxiety (GAD-7), depression
(BDI-II) and attachment insecurity (ECR-M16). RESULTS: In the confirmatory factor
analysis, the one factor structure showed a low, but acceptable model fit and
explained on average 49% of every item's variance (DEV). The CCS has an excellent
internal consistency (Cronbachs alpha=0,91) and was negatively associated with
attachment insecurity (ECR-M16: anxiety: r=- 0,55, p<0,01; avoidance: r=- 0,42,
p<0,01) as well as with anxiety (GAD-7: r=- 0,20, p<0,05) and depression (BDI-II:
r=- 0,27, p<0,01). CONCLUSION: The CCS is a reliable and valid instrument
measuring couples' communication in patients with advanced cancer.
PMID- 29653459
TI - [Cultural Influence on Attitude towards Psychotherapy - A Comparison of
Individuals of Turkish Origin with Individuals without Migration Background].
AB - AIM: The present study compares the attitude towards psychotherapy of persons
living in Germany with or without Turkish background. In this context, gender
related, disorder-specific and sociodemographic differences in openness towards
psychotherapy are taken into account. METHODS: Individuals of Turkish origin
(n=129) and individuals without migration background (n=129) were interviewed
with the Questionnaire on Attitudes towards Psychotherapeutic Treatment, the
Social Support Questionnaire, a short version of the Symptom-Checklist with the
subscales anxiety, depression and somatization, and a sociodemographic
questionnaire. RESULTS: The attitude towards psychotherapy is less positive in
Turkish migrants than in people without migration background. Females, depressive
individuals, persons with high social support, with children and a high level of
education are more open towards psychotherapy. DISCUSSION: The attitude towards
psychotherapy is influenced by gender, socio-demographic and disorder-specific
factors and in particular by cultural factors. CONCLUSION: These results
elucidate the need for better information about psychotherapy and the development
of intercultural consulting services for migrants in Germany.
PMID- 29653460
TI - [Doctor-Patient Communication Training in Simulated Situations: Emotions and
Perceptions of Simulated Patients during Patient-Centered Conversations].
AB - INTRODUCTION: The use of simulated patients (SPs) for doctor-patient
communication training has been established in medical curricula as an important
didactic method. The study addresses the question, if patients' emotions and
perceptions are represented adequately in patient-centered communication.
MATERIALS AND METHODS: 22 of 37 SPs of the Medical University of Vienna (12
women, 10 men) were asked openly about their feelings after having acted as an SP
in a semi-structured interview, which employed the Critical Incident Technique.
The interviews were recorded, transcribed, separated into situational analysis
units und analyzed deductively; we used the evidence based qualities of patient
centered communication and the "Nationaler Kompetenzbasierter Lernzielkatalog
Medizin" as a guideline. RESULTS: Out of 192 analysis units, 67 were evaluated as
positive and 125 as negative. The SPs reported positive feelings, such as
perceiving "stability and trust in relationships" (22%), perception of congruence
(15%), acceptance (27%) and empathy (36%). As to negative feelings, SPs reported
"perceiving instability" (18%), "incongruence" (11%), "lack of acceptance" (40%)
and "lack of empathy" (30%). Additionally, 50% of SPs were positively affected
when observing students' learning success. DISCUSSION: When SPs perceived patient
centered communication, they reported positive emotions. A lack of patient
centeredness, on the contrary, provoked negative emotions. An empathic attitude,
as well as a "lack of acceptance" with contrary effects had the strongest
influence on the SPs' mental state. The reaction of SPs to patient centeredness
is sufficiently authentic to reach learning objectives, however it is also
affected by reactions of SPs to the learning success of students, which is
irrelevant for the real-life doctor-patient interaction. CONCLUSION: SP reactions
are affected by students' attitudes. Students should therefore be prepared well
before interacting with SPs in a roleplay setting. While SPs' behavior is
authentic in patient-centered communication in general, SPs should be trained to
hide their positive emotions concerning students' learning success during
roleplay.
PMID- 29653461
TI - [Users' Experiences with Psychosocial Counselling Services in a Community Mental
Health Centre].
AB - OBJECTIVE: Analysis of users experiences with a low-threshold psychosocial
counselling and case management service across separate sectors (e. g.,
outpatient, inpatient) and legal provisions (e. g., V and XII Books of Social
Code). METHODS: Nine semi-structured interviews were conducted and analysed using
content analysis. RESULTS: Several aspects of the users' experiences with
counselling services have contributed towards their satisfaction with the
service. The importance of short waiting times and the on-call telephone service
as well as sufficient time taken for consultations, the availability of outreach
counselling and the quality of the relationship with the counsellor were all
highlighted. Potential for improvement was seen in the visibility of the service
in the community. CONCLUSION: The investigated counselling and case management
service can help to provide a low-threshold crisis intervention and could place
users in more custom-fit community integration services.
PMID- 29653462
TI - Docosahexaenoic acid reversed atherosclerotic changes in human endothelial cells
induced by palmitic acid in vitro.
AB - : Abnormal activity of atherosclerotic endothelial cells paving luminal surface
of blood vessels has been described in many diseases. It has been reported that
natural polyunsaturated fatty acids such as docosahexaenoic acid exert
therapeutic effects in atherosclerotic condition. Human umbilical vein
endothelial cells were treated with 1mM palmitic acid for 48 hours and exposed to
40MUM docosahexaenoic acid for the next 24 hours. Real-time polymerase chain
reaction analysis was used to measure the expression of PTX3, iNOS, and eNOS. The
level of nitric oxide was detected by Griess reagent. The transcription level of
genes participating in coagulation and blood pressure was studied by polymerase
chain reaction array. Docosahexaenoic acid improved the survival rate by reducing
apoptosis rate (P < .05). Compared with that of the group given palmitic acid,
attenuation of proinflammatory status was indicated by reduced interleukin-6 (P <
.05) and prostaglandin E2 levels. All genes PTX3, iNOS, and eNOS were down
regulated after being exposed to docosahexaenoic acid. Nitric oxide contents were
not changed in cells exposed to docosahexaenoic acid. Polymerase chain reaction
array confirmed the reduction of LPA, PDGFbeta, ITGA2, SERPINE1, and FGA after
exposure to docosahexaenoic acid for 24 hours (P < .05). Docosahexaenoic acid had
potential to blunt atherosclerotic changes in the modulation of genes controlling
blood coagulation, pressure, and platelet function. SIGNIFICANCE OF THE STUDY:
The current experiment showed that docosahexaenoic acid could reverse
atherosclerotic changes in human endothelial cells induced by palmitic acid. The
increased levels of interleukin-6 and prostaglandin E2 in atherosclerotic cells
were returned to near-to-normal status. Gene expression analysis showed a reduced
activity of genes participating in atherosclerotic endothelial cells treated by
docosahexaenoic acid. The expression of genes related to cell clotting activity
was also similar to that of normal cells.
PMID- 29653463
TI - Estimation of glycaemic control in the past month using ratio of glycated albumin
to HbA1c.
AB - AIMS: To evaluate comprehensively the use of the glycated albumin to HbA1c ratio
for estimation of glycaemic control in the previous month. METHODS: A total of
306 children with Type 1 diabetes mellitus underwent >=10 simultaneous
measurements of glycated albumin and HbA1c . Correlation and concordance rates
were examined between HbA1c measurements taken 1 month apart (DeltaHbA1c ) and
glycated albumin/HbA1c ratio fluctuations were calculated as Z-scores from the
cohort value at enrolment of this study cohort (method A) or the percent
difference from the individual mean over time (method B). RESULTS: Fluctuations
in glycated albumin/HbA1c ratio (using both methods) were weakly but
significantly correlated with DeltaHbA1c , whereas concordance rates were
significant for glycaemic deterioration but not for glycaemic improvement.
Concordance rates were higher using method B than method A. CONCLUSIONS: The
glycated albumin/HbA1c ratio was able to estimate glycaemic deterioration in the
previous month, while estimation of glycaemic improvement in the preceding month
was limited. Because method B provided a better estimate of recent glycaemic
control than method A, the individual mean of several measurements of the
glycated albumin/HbA1c ratio over time may also identify individuals with high or
low haemoglobin glycation phenotypes in a given population, such as Japanese
children with Type 1 diabetes, thereby allowing more effective diabetes
management.
PMID- 29653466
TI - Diabetes research in primary care: fiction, reality or essential?
PMID- 29653464
TI - MicroRNA-130b targets PTEN to induce resistance to cisplatin in lung cancer cells
by activating Wnt/beta-catenin pathway.
AB - More and more studies indicate the relevance of miRNAs in inducing certain drug
resistance. Our study aimed to investigate whether microRNA-130b-3p (miR-130b)
mediates the chemoresistance as well as proliferation of lung cancer (LC) cells.
MTS assay and apoptosis analysis were conducted to determine cell proliferation
and apoptosis, respectively. Binding sites were identified using a luciferase
reporter system, whereas mRNA and protein expression of target genes was
determined by RT-PCR and immunoblot, respectively. Mouse xenograft model was used
to evaluate the role of miR-130b in cisplatin resistance in vivo. The rising
level of miR-130b in cisplatin resistance LC cell lines (A549/CR and H446/CR)
versus its parental cell lines, indicated its crucial relevance for LC biology.
We identified PTEN as miR-130b's major target and inversely correlated with miR
130b expression in LC. Moreover, excessive miR-130b expression promoted drug
resistance and proliferation, decreased apoptosis of A549 cells. Suppression of
miR-130b enhanced drug cytotoxicity and reduced proliferation of A549/CR cells
both internally and externally. Particularly, miR-130b mediated Wnt/beta-catenin
signalling pathway activities, chemoresistance and proliferation in LC cell,
which was partially blocked following knockdown of PTEN. These findings suggest
that miR-130b targets PTEN to mediate chemoresistance, proliferation, and
apoptosis via Wnt/beta-catenin pathway. The rising level of miR-130b in cisplatin
resistance LC cell lines (A549/CR and H446/CR) versus its parental cell lines,
indicated its crucial relevance for LC biology. Moreover, excessive miR-130b
expression promoted drug resistance and proliferation, decreased apoptosis of
A549 cells. These findings suggest that miR-130b targets PTEN to mediate
chemoresistance, proliferation, and apoptosis via Wnt/beta-catenin pathway.
PMID- 29653465
TI - Steric/pi-Electronic Insulation of the carbo-Benzene Ring: Dramatic Effects of
tert-Butyl versus Phenyl Crowns on Geometric, Chromophoric, Redox, and Magnetic
Properties.
AB - Hexa-tert-butyl-carbo-benzene (C18 tBu6 ) and three phenylated counterparts (C18
tBum Ph6-m ; m=4, 2) have been synthesized. The peralkylated version (m=6)
provides experimental access to intrinsic features of the insulated C18 core
independently from the influence of pi-conjugated substituent. Over the series,
structural, spectroscopic, and electrochemical properties are compared with those
of the hexaphenylated reference (m=0). Anchoring tBu substituents at the C18
macrocycle is shown to enhance stability and solubility, and to dramatically
modify UV/Vis absorption and redox properties. Whereas all carbo-benzenes
reported previously were obtained as dark-reddish/greenish solids, crystals and
solutions of C18 tBu6 happen to be yellow (lambdamax =379 vs. 472 nm for C18 Ph6
). In comparison to C18 Ph6 , the reduction of C18 tBu6 remains reversible, but
occurs at twice as high an absolute potential (E1/2 =-1.36 vs. -0.72 V).
Systematic XRD analyses and DFT calculations show that the C18 ring symmetry is
the nearest to D6h for m=6, which indicates a maximum geometric aromaticity.
According to calculated nucleus-independent chemical shifts (NICS), the
macrocyclic magnetic aromaticity is also maximum for C18 tBu6 : NICS(0)=-17.2 ppm
versus (-18.0+/-0.1) ppm for the theoretical references C18 H6 and C18 F6 , and
13.5 ppm for C18 Ph6 . Accurate correlations of NICS(0) with experimentally
recorded or calculated maximum UV/Vis absorption wavelengths, lambdamax , and
chemical hardness, eta=ELUMO -EHOMO , are evidenced.
PMID- 29653467
TI - Biomarkers, omics, and the curve.
PMID- 29653468
TI - Use of fixed ratios in mixture studies, in vitro evidence of issues.
PMID- 29653469
TI - Professionalism in integrated environmental assessment and management.
PMID- 29653470
TI - Differences in vitellogenin production between laboratory-raised and wild fathead
minnows: Potential consequences for understanding estrogenic exposure in wild
populations.
PMID- 29653472
TI - Learned Discourses: Timely Scientific Opinions.
PMID- 29653471
TI - In a Nutshell.
PMID- 29653473
TI - Characterization of Carbapenemase-Producing Enterobacteriaceae from Patients in
Amman, Jordan.
AB - We sought to detect and determine the genetic diversity of carbapenemase
producing Enterobacteriaceae (CPE) isolated from clinical specimens in Amman,
Jordan. From five hospitals, a total of 2,759 isolates had antimicrobial
susceptibilities determined via Vitek II, of which 28 (1%) were carbapenem
resistant. Species identifications were determined via matrix-assisted laser
desorption ionization time-of-flight mass spectrometry and carbapenemase gene
detection via real-time PCR indicated that 23 (82.1%) isolates were Klebsiella
pneumoniae (OXA-48-like, n = 7; NDM, n = 14; OXA-48-like and NDM, n = 2), four
(14.2%) were Enterobacter cloacae complex (NDM, n = 3 and VIM, n = 1), and one
(3.5%) was Escherichia coli (NDM). Sequencing of carbapenemase gene amplicons
from a subset of isolates identified blaNDM-1, blaOXA-48, and blaVIM-4 alleles.
Strain typing detected seven different K. pneumoniae variable number tandem
repeat types, consistent with mostly sporadic occurrences along with limited
clonal spread. E. cloacae complex isolates were diverse by pulsed-field gel
electrophoresis, with a maximum relatedness of 70%. Plasmid restriction fragment
length polymorphism (pRFLP) revealed four distinct profiles associated with NDM
encoding plasmids that were positive for replicons of the FII(K)/FIB or FIB
incompatibility (Inc) groups via PCR-based replicon typing. OXA-48-encoding
IncL/M plasmids differed by two pRFLP bands. The results show diverse CPE produce
OXA-48 and NDM-1 enzymes in Jordan and that the carbapenemase genes are
distributed on diverse plasmids in Jordanian hospitals, with some limited
evidence for related clusters occurring, emphasizing the need for strict
infection control measures.
PMID- 29653474
TI - Antimicrobial Susceptibility Patterns of Brachyspira Species Isolated in Taiwan.
AB - Some members of the Brachyspira genus cause diseases such as swine dysentery (SD)
and porcine intestinal (or colonic) spirochetosis. Severe economic losses are
caused by decreased feed intake and increased feed conversion ratio, as well as
costs associated with treatment and death. A loss of clinical efficacy of some
antimicrobial agents authorized for treating SD has been observed in many
countries. The aim of this study was to analyze the antimicrobial susceptibility
of Brachyspira isolated from Taiwan and to investigate the mechanism of decreased
susceptibility to macrolides. A total of 55 Brachyspira isolates obtained from
the grower-finisher period were evaluated in this study. These isolates included
B. hyodysenteriae (n = 37), B. murdochii (n = 11), B. pilosicoli (n = 5), B.
intermedia (n = 1), and B. innocens (n = 1). Antimicrobial susceptibility testing
was performed to examine 12 selected antimicrobial agents. The results showed
that the 50% and 90% minimum inhibitory concentration (MIC) values of the tested
macrolides were all >256 MUg/ml. The MIC50 of lincomycin, tiamulin, carbadox,
olaquindox, ampicillin, amoxicillin, doxycycline, oxytetracycline, and gentamicin
were 32, 1, <=0.125, <=0.125, 0.5, 0.25, 2, 2, and 2 MUg/ml. The genetic basis of
the decreased susceptibility to tylosin and lincomycin in Brachyspira spp. was
investigated and the results showed a possible connection to the mutations at
position A2058 and G2032 of the 23S rRNA gene. These findings demonstrated that,
in Taiwan, there may be a decrease in susceptibility of Brachyspira spp. to
antimicrobials commonly used for the treatment of SD.
PMID- 29653475
TI - Plasmid-Mediated Quinolone Resistance in Gram-Negative Pathogens Isolated from
Cancer Patients in Egypt.
AB - Fluoroquinolones (FQs) are the drugs of choice for prophylaxis of bacterial
infections in immunocompromised cancer patients. This study aimed to investigate
FQ resistance and the prevalence of plasmid-mediated quinolone resistance (PMQR)
determinants in 239 Gram-negative isolates collected at a tertiary care cancer
hospital in Cairo, Egypt. Disc diffusion and broth microdilution tests showed
that 70.7% of the isolates were nonsusceptible to ciprofloxacin (MIC50 = 64
MUg/ml). Polymerase chain reaction (PCR) revealed that 53.6% of the isolates
carried at least one PMQR determinant, of which 23.4% were susceptible to
ciprofloxacin. The most prevalent gene, aac(6')-Ib-cr, was identified in 36.8% of
the isolates, while qnr genes were harbored by 31.0% (qnrS, 24.3%; qnrB, 7.1%,
and qnrA, 0.4%). The oqxAB genes were only detected in Klebsiella sp. isolates
(92.5%). PMQR determinants were more likely detectable among isolates recovered
from pediatric patients than adults (59.3% vs. 43.8%) and were significantly
associated with ceftriaxone and gentamicin resistance. A combined genetic
analysis using random amplified polymorphic DNA-PCR and enterobacterial
repetitive intergenic consensus-PCR showed that most of the qnr-positive isolates
were not clonal. Findings of the current study raised concerns about the efficacy
of prophylactic use of FQs in cancer patients in our region. It also demonstrates
the possible role of PMQR-positive ciprofloxacin-susceptible isolates in the
dissemination of resistance to other antimicrobial agents and the urgent need to
reconsider the existing FQ breakpoints defined by the Clinical and Laboratory
Standards Institute.
PMID- 29653476
TI - Evaluation of Different Screening Methodologies for the Detection of Methicillin
Resistant Staphylococcus aureus from Environmental Surfaces: Swabs, Gauzes, and
Polywipes.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) can survive for long periods
on inanimate objects, and therefore, environmental surfaces constitute an
important reservoir for dissemination. However, there is no standardized method
for the detection of MRSA from environmental surfaces. The aim of the present
study was to evaluate different screening methods to detect environmental MRSA
contamination. A total of 294 samples were obtained from inanimate surfaces at a
hospital in Luanda, Angola, and a hospital in Sao Tome and Principe, by three
different methodologies: (1) sterile swabs moistened in saline solution, (2)
sterile cotton gauzes moistened in tryptic soy broth, and (3) commercial
premoistened sterile sponges (polywipes). After a broth enrichment step, all
samples were plated onto tryptic soy agar and chromogenic selective media for S.
aureus and for MRSA. The S. aureus isolates were characterized by pulsed-field
gel electrophoresis, spa typing, multilocus sequence typing, and SCCmec typing.
Comparing the three screening methods, gauzes were the most effective (16 S.
aureus out of 98 samples; 16.3%), followed by polywipes (4/98; 4.1%) and swabs
(3/98; 3.1%). Moistened gauzes were the most sensitive method (p < 0.00001),
while screening with swabs was the least efficient (p = 0.00002). The majority of
the MRSA isolates (75%) belonged to the main clonal types previously found among
patients and healthcare workers in the same hospitals: ST5-IVa (n = 7; 44%) and
ST88-IVa (n = 5; 31%). The finding of MRSA on environmental surfaces is dependent
on the screening methodology. Moistened gauzes followed by a broth enrichment
step proved to be the most sensitive methodology compared to polywipes and swabs.
PMID- 29653477
TI - Porin Deficiency in Carbapenem-Resistant Enterobacter aerogenes Strains.
AB - PURPOSE: The more frequent reports of carbapenem-resistant Enterobacteriaceae
have raised the alarm for public health. Apart from the production of
carbapenemases, deficiency (decreased or loss of expression) of outer membrane
proteins (OMPs) has been proposed as a potentially important mechanism of
carbapenem resistance. The aim of the present study was to evaluate the
contribution of the major OMPs to carbapenem resistance in Enterobacter aerogenes
(CREA) isolates and also investigate the role of small RNAs (sRNAs) in inducing
porin-associated permeability defects. MATERIALS AND METHODS: The differential
expression of OMPs was analyzed in four clinical CREA isolates. omp35 and omp36
genes were further investigated by whole-genome sequencing, induction of
meropenem resistance, sRNA overexpression, OMP complementation assays, and
reverse transcription-quantitative PCR. RESULTS: All four isolates examined were
deficient in omp35 and omp36. Functional restoration of these two genes confirmed
their contribution to carbapenem resistance. The meropenem induction assay
further revealed that porin deficiency plays a role in carbapenem resistance
under antibiotic selection pressure. Single-point mutations in omp36 leading to
premature stop codons were detected in two of the isolates. Elevated expression
levels of the sRNAs micF and micC were detected in the other two porin-deficient
isolates, which were predicted to be potential porin regulators from whole-genome
sequencing. Overexpression of micF and micC downregulated the expression of Omp35
and Omp36, respectively. CONCLUSIONS: Porin deficiency plays an important role in
carbapenem resistance among clinical E. aerogenes isolates under regulation of
the sRNAs micC and micF. Furthermore, overexpression of micC and micF had a minor
to no impact on carbapenem minimum inhibitory concentrations, and thus, the
regulatory mechanism is likely to be complex.
PMID- 29653478
TI - Mupirocin at Subinhibitory Concentrations Induces Biofilm Formation in
Staphylococcus aureus.
AB - OBJECTIVES: Mupirocin is a useful antibiotic against superficial skin infections.
We compared the impact of mupirocin with a cephalosporin, a fluoroquinolone, an
aminoglycoside, and a macrolide on planktonic cell growth and biofilm formation
of methicillin-susceptible Staphylococcus aureus (MSSA) and methicillin-resistant
S. aureus (MRSA). MATERIALS AND METHODS: Minimum inhibitory concentration (MIC)
of mupirocin was determined against S. aureus strains used in this study. Biofilm
formation of S. aureus strains exposed to mupirocin was quantified by crystal
violet staining assay. Moreover, biofilm structure and viability of the biofilm
cells were visualized by Live/Dead staining assay. Biofilm-related gene
expression was investigated by quantitative real-time PCR. RESULTS: MRSA USA300
clone was resistant to mupirocin with MIC of 1,024 mg/L, while MRSA ATCC-43300
and MSSA ATCC-29213 were susceptible with MICs of 0.03 mg/L. Planktonic cell
growth of the S. aureus strains was inhibited by mupirocin in a dose-dependent
manner. However, some of the low concentrations of mupirocin less than the MICs
promoted biofilm formation. Confocal laser scanning microscopy of the biofilm
structures and cell viabilities showed established biofilms of slightly higher
cell density in the mupirocin treated groups, especially in the MRSA USA300
clone. Gene expression of RNAIII in planktonic cells and biofilms of MRSA USA300
clone showed the highest upregulation after initial exposure to sub-MIC of
mupirocin followed by downregulation, whereas the other antibiotics showed
various fluctuations. CONCLUSION: The results showed that subinhibitory
concentrations of mupirocin promoted biofilm formation of S. aureus, in
particular the MRSA USA300 clone.
PMID- 29653480
TI - Changes in Macrolide Resistance Among Group A Streptococci in Serbia and Clonal
Evolution of Resistant Isolates.
AB - In Serbia, the frequency of macrolide-resistant group A streptococci (MRGASs)
increased significantly from 2006 to 2009. MRGAS analysis in 2008 revealed the
presence of three major clonal lineages: emm75/mefA, emm12/mefA, and emm77/ermTR.
The aim of the present study was to determine the prevalence of macrolide
resistance and to evaluate variations in the clonal composition of MRGASs. The
study included 1,040 pharyngeal group A streptococci collected throughout Serbia,
which were tested for antimicrobial susceptibility. MRGAS isolates were further
characterized by the presence of resistance determinants, emm typing, and pulsed
field gel electrophoresis analysis. The prevalence of macrolide resistance was
9.6%, showing a slight decrease compared with the rate of 12.5% (2008).
Tetracycline resistance was present in 6% of isolates, while norfloxacin
nonsusceptibility detected for the first time in Serbia was 9.8%. The M phenotype
dominated (84%), followed by the constitutive macrolides, lincosamides, and
streptogramin B phenotype (12%). Five emm types were detected: emm75, emm12,
emm1, emm28, and emm89. The emm75/mefA (62%), emm12/mefA (14%), and
emm12/ermB/tetM (6%) were predominant clones and were found in both the present
and the previous study periods at different frequencies. The major change was the
loss of emm77/ermTR/tetO, which contributed to 15% of MRGASs in 2008.
PMID- 29653479
TI - Antimicrobial Susceptibility and Enterotoxin-Encoding Genes in Staphylococcus
spp. Recovered from Kitchen Equipment from a University Hospital in Rio de
Janeiro, Brazil.
AB - This study was conducted to determine the occurrence of antimicrobial resistance
and enterotoxin-encoding genes (EEGs) in Staphylococcus spp. recovered from
equipment used to prepare hospital meals, in a university hospital in Rio de
Janeiro, Brazil. Sixty samples were collected from semi-industrial equipment (one
blender and one mixer) in the hospital's kitchen. Resistance genes and SCCmec
types were detected by PCR. From the 40 isolates of Staphylococcus spp.
identified, 8 were Staphylococcus aureus. Thirty-two (80%) Staphylococcus spp.
isolates were resistant to at least one antimicrobial agent. Resistance genetic
determinants were detected: erm gene (Staphylococcus epidermidis [n = 2];
Staphylococcus hominis [n = 1]), mecA gene (S. epidermidis [n = 2]), and aa(6')
aph(2'') gene (Staphylococcus caprae [n = 1], S. epidermidis [n = 2], S. hominis
[n = 1], Staphylococcus pausteri [n = 1], Staphylococcus simulans [n = 1], and
Staphylococcus warneri [n = 1]). The presence of at least one EEG in 83% (n = 33)
of the isolates was identified. Two strains of S. epidermidis were methicillin
resistant S. epidermidis (MRSE) and harboring SCCmec type IV. Staphylococcus spp.
contaminated some hospital kitchen's equipment, indicating that hygiene
procedures should be improved. Results also indicate that meals can be a vehicle
to disseminate multiresistant Staphylococcus spp., including MRSE, and
Staphylococcus with EEGs.
PMID- 29653481
TI - ISAba1 Regulated OXA-23 Carbapenem Resistance in Acinetobacter baumannii Strains
in Durban, South Africa.
AB - AIM: This study investigated the molecular mechanisms of resistance to
carbapenems and cephalosporins in 24 consecutive, multidrug-resistant
Acinetobacter baumannii (MDRAB) isolates collected between January and April 2015
by a private sector laboratory in Durban, South Africa. RESULTS: All isolates
were resistant to all carbapenems tested. blaOXA-23 and blaOXA-51 genes were
found in 23 isolates, while blaOXA-24, blaOXA-48, and blaOXA-58 were absent in
all isolates. The most prevalent extended-spectrum beta-lactamase was TEM-116
(92%). blaADC was present in 83.3% of isolates, of which two were new variants
with three and five amino acid differences compared to Acinetobacter-derived
cephalosporinase (ADC)-1, the first at positions 64E -> K, 341N -> T, and 342R ->
G and the second at positions 24G -> D, 167S -> P, 283R -> F, 341N -> T, and 342R
-> G, respectively. All isolates were negative for blaPER, blaCMY, blaGES,
blaKPC, blaCTX-M, and blaSHV. Metallo-beta-lactamase IMP and VIM were absent in
all isolates, and NDM-1 was present in 1 isolate. ISAba1 was located upstream
blaOXA-23 in all isolates and upstream blaADC (30, 78, 79, 87 and the ADC
variants) in 54.2% of the ADC-carrying isolates. None of the isolates had ISAba1
inserted upstream blaOXA-51 gene. Four isolates were clonally related and showed
two clusters (A and B), while 20 isolates remained unclustered. There was no
direct relationship between the clusters and the hospitals they were isolated
from. CONCLUSIONS: This study reports the first NDM-1-producing carbapenem
resistant Acinetobacter baumannii isolate in South Africa and highlights the
presence of OXA-23, the known ADCs (ADC-30, ADC-78, ADC-79, and ADC-87), and two
new ADC variants associated with ISAba1 from the private health sector in Durban,
South Africa. The complexity and diversity of MDRAB severely limit treatment
options.
PMID- 29653482
TI - The First Report of Phenotypic and Molecular Characterization of Extended
Spectrum Beta-Lactamase-Producing Uropathogens in Sikkim and Darjeeling Hills of
India.
AB - Extended-spectrum beta-lactamase (ESBL)-producing bacteria are a global health
threat both in hospital and in community settings. The emergence of these
organisms poses major difficulty in treating infections. This study was carried
out to assess major ESBL-producing uropathogens in female patients of Sikkim and
Darjeeling by phenotypic and genotypic methods. Out of 1,516 urine samples, 454
uropathogens were isolated with a prevalence rate of 29.94%. Among them,
Escherichia coli (74.3%) was the predominant type followed by Klebsiella
pneumoniae (20.1%), Pseudomonas aeruginosa (2.4%), and Proteus mirabilis (1.98%).
Four different ESBL genes were detected in 63 isolates, which included CTX-M (n =
32), CTX-M+OXA-2 (n = 15), CTX-M-15+OXA-2+TEM (n = 6), OXA-2 (n = 5), TEM+CTX-M
15 (n = 2), TEM+OXA-2+SHV-76 (n = 2), and TEM (n = 1). All ESBL genes (bla genes)
were found on a plasmid, which was mostly of HI1, I1, FIA+FIB, FIA, and Y types
and was horizontally transferable. Among all ESBL genes, blaCTX-M-I5 group was
the most prevalent. The study of urinary tract infection (UTI) caused by ESBL
producing bacteria needs to be studied in other high-altitude parts of India to
understand the actual burden of UTI in the female.
PMID- 29653486
TI - PDGFR-beta inhibitor slows tumor growth but increases metastasis in combined
radiotherapy and Endostar therapy.
AB - BACKGROUND: Pericytes are pivotal mural cells of blood vessels and play an
essential role in coordinating the function of endothelial cells. Previous
studies demonstrated that Endostar, a novel endostatin targeting endothelial
cells, can enhance the effect of radiotherapy (RT). The present study addressed
whether inhibiting pericytes could potentially improve the efficacy of combined
RT and Endostar therapy. METHODS: Platelet-derived growth factor beta-receptor
inhibitor (CP673451) was chosen to inhibit pericytes and RT (12 Gy) was
delivered. Lewis lung carcinoma-bearing C57BL/6 mice were randomized into 3
groups: RT, RT + Endo, and RT + Endo + CP673451. Subsequently, tumor microvessel
density (MVD), pericyte coverage, tumor hypoxia, and lung metastasis were
monitored at different time points following different therapies. RESULTS:
Compared to the other two groups, RT + Endo + CP673451 treatment markedly
inhibited tumor growth with no improvement in the overall survival. Further
analyses clarified that in comparison to RT alone, RT + Endo significantly
reduced the tumor MVD, with a greater decrease noted in the RT + Endo + CP673451
group. However, additional CP673451 accentuated tumor hypoxia and enhanced the
pulmonary metastasis in the combined RT and Endostar treatment. CONCLUSIONS:
Tumor growth can be further suppressed by pericyte inhibitor; however, metastases
are potentially enhanced. More in-depth studies are warranted to confirm the
potential benefits and risks of anti-pericyte therapy.
PMID- 29653487
TI - Solute carrier family 34 member 2 overexpression contributes to tumor growth and
poor patient survival in colorectal cancer.
AB - Solute carrier family 34 member 2 (SLC34A2) is a well-known sodium-dependent
phosphate transporter that has recently been linked to cancer development.
However, its specific oncogenic role remains controversial in numerous human
malignancies, and is currently unknown in colorectal cancer (CRC). Therefore, in
this study we firstly used Oncomine database to determine its expression in
cancer tissues and found it is overexpressed in thyroid, ovarian and renal
cancer, while it is opposite in lung, breast and pancreas cancer. Using qRT-PCR
and western blot, we then demonstrated its overexpression in CRC tissues as
compared with adjacent normal tissues (n = 20). In a retrospective cohort
enrolling 190 CRC patients, we proved its expression was significantly correlated
with N stage. Furthermore, high SLC34A2 expression is associated with higher
postoperative metastasis rate and serves as an independent adverse factor
affecting patient prognosis. In subgroup analysis, SLC34A2 expression could
stratify the patient prognosis in stage II and III CRC, but failed in stage IV
CRC. In cellular assays in vitro, knockdown of SLC34A2 dramatically inhibited the
proliferation and colony formation, induced the apoptosis and arrests the cell
cycle progression of HCT-116 CRC cells. In cellular assays in vivo, knockdown of
SLC34A2 significantly inhibited the growth of xenografts, decreasing Ki-67 and
proliferating cell nuclear antigen (PCNA) expression and increasing apoptosis
rate. Taken together, our study indicates SLC34A2 plays a crucial promoting role
in CRC development and therefore has great potential to be further developed as a
reliable biomarker for CRC diagnosis and treatment.
PMID- 29653488
TI - Teroxirone suppresses growth and motility of human hepatocellular carcinoma
cells.
AB - AIMS: The prevalent human hepatocellular carcinoma (HCC) is a leading cause of
global cancer-related mortality. The small molecular weight triepoxide
derivative, 1,3,5-triazine-2,4,6(1H,3H,5H)-tri-one-1,3,5-tri-(oxiranylmethyl)
(teroxirone), has been proved effective against the proliferation of lung cancer
cells. The purpose is to further examine if teroxirone regulate growth and
metastatic potential of HCC cells with aims at disclosing more of the reaction
mechanisms. MAIN METHODS: Measurements of cell viability and flow cytometry were
conducted to test sensitivities of teroxirone against HCC cells. The signaling
pathway leading to apoptotic death was unraveled by Western blotting analysis.
The metastatic progression was evaluated by cell-based phenotype assay that
included migration, invasion, gelatin zymography and wound assay. The in vivo
drug efficiency was done in immune-deficient mice with the established xenograft
tumors. KEY FINDINGS: Teroxirone inhibited growth of HCC cells, but not hepatic
cells. The drug induced apoptosis in HCC cells bearing mutant p53. Pretreatment
of caspase-3 inhibitor restored cell viabilities by suppressing extrinsic pathway
mediated cell death. More experiments suggested that sub-apoptotic concentrations
of teroxirone mitigated migration, invasion and wound healing of HCC cells. The
drug reduced growth of the xenograft tumors as established in animal models by
activating apoptotic death. SIGNIFICANCE: The findings asserted that teroxirone
is an eligible addition to the existing options as an anticancer agent to
eliminate HCC.
PMID- 29653489
TI - Sarcodonin G Derivatives Exhibit Distinctive Effects on Neurite Outgrowth by
Modulating NGF Signaling in PC12 Cells.
AB - Sarcodonin G, one of the cyathane diterpenoids isolated from the mushroom
Sarcodon scabrosus, possesses pronounced neurotrophic activity but ambiguous
mechanical understanding. In this work, sarcodonin G was chosen as a lead
compound to prepare a series of 19- O-benzoyl derivatives by semisynthesis and
their neuritogenic activities were evaluated. 6 and 15 (10 MUM) were investigated
with opposite effects in PC12 cells. 6 exhibited a superior activity to
sarcodonin G by promoting NGF-induced neurite outgrowth, while 15 showed an
inhibitory effect. Supportingly, 6 and 15 (20 MUM) significantly induced and
suppressed neurite extension in primary cultured rat cortical neurons,
respectively. In mechanism, the two derivatives were revealed to influence NGF
induced neurite outgrowth in PC12 cells through the regulation of PKC-dependent
and -independent ERK/CREB signaling as well as the upstream TrkA receptor
phosphorylation. Furthermore, a possible pattern of interaction among NGF, 6/15
and TrkA was presented using molecular simulations. It revealed that 6/15 may
contribute to the stabilization of the NGF-TrkAd5 complex by establishing several
hydrophobic and hydrogen-bond interactions with NGF and TrkA, respectively. Taken
together, 6 and 15 modulate PKC-dependent and -independent ERK/CREB signaling
pathways possibly by influencing the binding affinity of NGF to the receptor
TrkA, and finally regulate neurite outgrowth in PC12 cells.
PMID- 29653490
TI - Safety Assessment of Bacillus thuringiensis Insecticidal Proteins Cry1C and Cry2A
with a Zebrafish Embryotoxicity Test.
AB - As a result of the large-scale planting of transgenic Bacillus thuringiensis (Bt)
crops, fish would be exposed to freely soluble Bt insecticidal protein(s) that
are released from Bt crop tissues into adjacent bodies of water or by way of
direct feeding on deposited plant material. To assess the safety of two Bt
proteins Cry1C and Cry2A to fish, we used zebrafish as a representative species
and exposed their embryos to 0.1, 1, and 10 mg/L of the two Cry proteins until
132 h post-fertilization and then several developmental, biochemical, and
molecular parameters were evaluated. Chlorpyrifos (CPF), a known toxicant to
aquatic organisms, was used as a positive control. Although CPF exposure resulted
in significant developmental, biochemical, and molecular changes in the zebrafish
embryos, there were almost no significant differences after Cry1C or Cry2A
exposure. Thus, we conclude that zebrafish embryos are not sensitive to Cry1C and
Cry2A insecticidal proteins at test concentrations.
PMID- 29653491
TI - Highlights of the Structure-Activity Relationships of Benzimidazole Linked
Pyrrolidines Leading to the Discovery of the Hepatitis C Virus NS5A Inhibitor
Pibrentasvir (ABT-530).
AB - Curative interferon and ribavirin sparing treatments for hepatitis C virus (HCV)
infected patients require a combination of mechanistically orthogonal direct
acting antivirals. A shared component of these treatments is usually an HCV NS5A
inhibitor. First generation FDA approved treatments, including the component NS5A
inhibitors, do not exhibit equivalent efficacy against HCV virus genotypes 1-6.
In particular, these first generation NS5A inhibitors tend to select for viral
drug resistance. Ombitasvir is a first generation HCV NS5A inhibitor included as
a key component of Viekira Pak for the treatment of patients with HCV genotype 1
infection. Since the launch of next generation HCV treatments, functional cure
for genotype 1-6 HCV infections has been achieved, as well as shortened treatment
duration across a wider spectrum of genotypes. In this paper, we show how we have
modified the anchor, linker, and end-cap architecture of our NS5A inhibitor
design template to discover a next generation NS5A inhibitor pibrentasvir (ABT
530), which exhibits potent inhibition of the replication of wild-type genotype 1
6 HCV replicons, as well as improved activity against replicon variants
demonstrating resistance against first generation NS5A inhibitors.
PMID- 29653492
TI - Visual Arts Education improves self-esteem for persons with dementia and reduces
caregiver burden: A randomized controlled trial.
AB - A Visual Arts Education program was tested among 26 pairs of persons with
dementia and their caregivers. Pairs were randomized to Visual Arts Education or
control groups, and each group met once per week for two months (8 weeks) to
participate in activities with a trained arts instructor. Groups were assessed at
baseline, two months, and six months. The Visual Arts Education group received
instruction and produced a different type of artistic work each week. The
pedagogical strategy was designed so that each activity was increasingly novel,
challenging, and complex. The control group viewed slide shows, participated in
discussions about art, and made paintings. At the six-month follow-up,
significant improvements in caregiver burden and self-esteem for the persons with
dementia were found in the Visual Arts Education group. The Visual Arts Education
pedagogical approach shows the potential for effectiveness for improving quality
of life for persons with dementia and their caregivers.
PMID- 29653493
TI - Intrarenal delivery of bFGF-loaded liposome under guiding of ultrasound-targeted
microbubble destruction prevent diabetic nephropathy through inhibition of
inflammation.
AB - Basic fibroblast growth factor (bFGF) has shown great therapeutic effects for
diabetic nephropathy (DN). However, its clinical applications are limited due to
its short half-life, low stability and poor penetration. Herein, a bFGF-loaded
liposome (bFGF-lip) was constructed and combined with ultrasound-targeted
microbubble destruction (UTMD) to overcome these drawbacks. bFGF-lip exhibited
spherical morphology with a diameter of 171.1 +/- 14.2 nm and a negative zeta
potential of -5.15 +/- 2.08 mV, exhibiting a sustained-release profile of bFGF.
DN rat models were successfully induced by streptozotocin. After treatment with
bFGF-lip + UTMD, the concentration of bFGF in kidney of DN rats was significantly
enhanced in comparison with free bFGF treatment. Additionally, the morphology and
the function of the kidneys were obviously recovered after bFGF-lip + UTMD
treatment as shown by ultrasonography and histological analyse. The molecular
mechanism was associated with the inhibition of renal inflammation. After
treatment with bFGF-lip + UTMD, the activation of NF-kappaB was obviously reduced
in the renal tissues, and downstream inflammatory mediators including TGF-beta1,
MCP-1, IL-6 and IL-1beta were also down regulated. In addition, inflammation
induced cellular apoptosis of renal tubular cells was also significantly
inhibited by detecting Bax, caspase-3 and Bcl-2. Therefore, bFGF-lip in
combination with UTMD might be a potential strategy to reverse the progression of
early DN.
PMID- 29653494
TI - Renal nerve stimulation identifies aorticorenal innervation and prevents
inadvertent ablation of vagal nerves during renal denervation.
AB - PURPOSE: Recently we reported the use of renal nerve stimulation (RNS) during
renal denervation (RDN) procedures. RNS induced changes in blood pressure (BP)
and heart rate are not fully delineated yet. We hypothesized that electrical
stimulation of the sympathetic nerve tissue in the renal artery would lead to an
increase in BP and vagal stimulation would cause a decrease in BP. We report the
different patterns of BP and heart rate responses elicited by RNS prior to RDN.
METHODS: 35 patients with drug-resistant hypertension were included. RNS was
performed under general anesthesia at four sites in the right and left renal
arteries, both before and immediately after RDN. RNS-induced BP and heart rate
changes were monitored. RESULTS: A total of 289 RNS sites in 35 patients were
analyzed. An increase in systolic BP of >10 mmHg was regarded as a positive BP
response to RNS. This pattern of response was observed in 180 sites (62%). 86 RNS
sites (30%) showed an indifferent response with BP changes <=10 mmHg. At 13 sites
(4.5%) RNS elicited a decrease in BP up to -8 mmHg. However, 10 RNS sites (3.5%)
showed a pronounced vagal response with hypotension and sinus cycle lengths
ranging between 4224-10272 milliseconds. These sites were distributed among two
patients. CONCLUSION: RNS identified sympathetic and parasympathetic nerve tissue
in the renal arteries. RNS can be potentially used to map nerve bundles and guide
selective ablation of sympathetic nerve fibers and prevent inadvertent ablation
of parasympathetic nerve tissue during RDN.
PMID- 29653495
TI - Effects of high hydrostatic pressure on the overall quality of Pera-Rio orange
juice during shelf life.
AB - The effect of high hydrostatic pressure on antioxidant activity, total phenolic
compounds, physicochemical characteristics, color, pectin methylesterase
activity, and microbiological count were evaluated during the shelf life of Pera
Rio orange juice. Pressurized (520 MPa, 60 C, for 360 s), non-processed and
pasteurized (95 C/30 s) orange juice were compared at zero time of storage.
Pressurized and pasteurized juices were studied during a refrigerated 90-day
shelf life. Pressurization did not cause expressive change in physicochemical
characteristics of Pera-Rio orange juice along shelf life, but significantly
reduced pectin methylesterase residual activity to 13% and microbiological counts
below detection levels up to 68 days of storage, with small counts (30.0 * 10
CFU/mL mesophilic aerobic bacteria and 20.7 * 10 CFU/mL yeast and mold) at 90
days, capable of ensuring the juice's stability along shelf life. Lightness ( L*)
and b* values were significantly reduced by high hydrostatic pressure during
shelf life, while a* values were significantly higher. Ascorbic acid decreased
around 80% during shelf life. Antioxidant activity remained stable after
processing and during storage.
PMID- 29653496
TI - Pigs are useful for the molecular study of bone inflammation and regeneration in
humans.
AB - Pigs are used with increased frequency to model different kinds of orthopedic
surgical conditions. In order to show the full potential of porcine models in
orthopedic research, it is therefore required to examine the expression of bone
regulatory genes in pigs affected by orthopedic surgery and compare it to the
expression in humans and mice as mice, are one of the most applied animal species
in orthopedics today. In the present study, the local molecular response to
drilling of a tibial implant cavity, and the subsequent insertion of a steel
implant was examined in a porcine model. Pigs were euthanized five days after
drilling of the bone. The molecular response of 73 different genes was analyzed
using a high-throughput quantitative polymerase chain reaction platform and
compared to histopathology. Histologically, it was found that bone remodeling was
initiated on day 5 after surgery and was associated with upregulation of several
genes involved in bone degradation and formation ( CTSK, ACP5, IBSP, RANK, RANKL
and COL1A1). Interleukin-6 and several acute-phase proteins (C3, SAA and ITIH4)
were significantly upregulated, indicating their importance in the initial
process of healing and osseointegration. All tested bone morphogenic proteins
(BMP2, -4 and -7) including their inhibitor noggin were also significantly
upregulated. Surprisingly, vascular endothelial growth factor A was not found to
be regulated five days after surgery while several other vascular growth factors
(ANGPT1, ANGPT2 and PTN) were upregulated. The pig was found to be a useful model
for elucidation of bone regulatory genes in humans.
PMID- 29653497
TI - Systematic review of surgical training on reperfused human cadavers.
AB - BACKGROUND: The role of reperfused human cadavers in surgical training has not
been established. METHODS: Reports describing reperfused human cadaver models in
terms of simulated surgeries, the use of tools to assess technical competency and
skills transfer to patients, cadaver status and reperfusion techniques were
included. RESULTS: Thirty-five reports were included. Most participants practised
vascular (n = 27), flap (n = 6) and trauma (n = 4) procedures. Training
progression was evaluated objectively in only two studies. In two publications,
flap techniques were practised on cadavers and repeated successfully in patients.
Eighteen studies employed whole bodies. Fresh and embalmed cadavers were both
used in 17 publications. Most embalmed cadavers were formalin-fixed (n = 10),
resulting in stiffness. Few trainings were offered on soft Thiel-embalmed
cadavers (n = 5). Only arteries were reperfused in 20 studies, while in 13
publications, the arteries and veins were filled. Arteries and/or veins were
mostly pressurized (n = 21) and arterial flow was generated in 14 studies.
CONCLUSIONS: Various reperfused human cadaver models exist, enabling practise of
mainly vascular procedures. Preservation method determines the level of
simulation fidelity. Thorough evaluation of these models as surgical training
tools and transfer effectiveness is still lacking.
PMID- 29653498
TI - Neurotensin-loaded PLGA/CNC composite nanofiber membranes accelerate diabetic
wound healing.
AB - Diabetic foot ulcers (DFUs) are a threat to human health and can lead to
amputation and even death. Recently neurotensin (NT), an inflammatory modulator
in wound healing, was found to be beneficial for diabetic wound healing. As we
demonstrated previously, polylactide-polyglycolide (PLGA) and cellulose
nanocrystals (CNCs) (PLGA/CNC) nanofiber membranes show good cytocompatibility
and facilitate fibroblast adhesion, spreading and proliferation. PLGA/CNC
nanofiber membranes are novel materials that have not been used previously as NT
carriers in diabetic wounds. This study aims to explore the therapeutic efficacy
and possible mechanisms of NT-loaded PLGA/CNC nanofiber membranes in full
thickness skin wounds in spontaneously diabetic mice. The results showed that NT
could be sustained released from NT-loaded PLGA/CNC composite nanofiber membranes
for 2 weeks. NT-loaded PLGA/CNC composite nanofiber membranes induced more rapid
healing than other control groups. After NT exposure, the histological scores of
the epidermal and dermal regeneration and the ratios of the fibrotic area to the
whole area were increased. NT-loaded PLGA/CNC composite nanofiber membranes also
decreased the expressions of the inflammatory cytokines IL-1beta and IL-6. These
results suggest that NT-loaded PLGA/CNC composite nanofiber membranes for
sustained delivery of NT should effectively promote tissue regeneration for the
treatment of DFUs.
PMID- 29653499
TI - Urinary cytokines and mRNA expression as biomarkers of disease activity in lupus
nephritis.
AB - Introduction Renal involvement is one of the most serious manifestations of
systemic lupus erythematosus, but non-invasive assessment of inflammatory
response in kidneys is challenging. In this study we aimed to validate markers of
active lupus nephritis (LN) using urine immune profiling. Methods Urine and serum
cytokines (17-plex array) and urine mRNA expression (~40 immune and glomerular
injury genes) were measured in LN patients with active disease ( n = 17) during
remission ( n = 16) and in healthy subjects ( n = 18). Results Urine and serum
levels of CCL2, CCL5 and CXCL10 were elevated in active LN as compared with
disease remission (best discrimination for urine CXCL10 and CCL2) and correlated
with LN activity. In the active disease, urinary cell transcriptome showed marked
upregulation of proinflammatory cytokines (e.g. TNF, CCL2, CCL5, CXCL10), and
type-1 immunity-related genes (e.g. CD3G, CD4, TBX21, IFNG). An active pattern of
gene expression was also observed in four patients in remission, who had
moderately increased urinary leucocyte count. Two patients from this group
developed renal exacerbation during the following 3 months. Markers of type-17
immune axis (e.g. IL-17A) were not significantly increased in active LN.
Conclusions Active LN patients were characterized by marked increase of
proinflammatory mediators in the urine. Urine cytokines (CCL2 and CXCL10) and
type-1 T-cell-related gene markers in the urine sediment had similar diagnostic
performance in detection of active LN.
PMID- 29653500
TI - Suspended polyhydroxyalkanoate microspheres as 3D carriers for mammalian cell
growth.
AB - Different forms of biopolyester PHBVHHx microspheres were prepared so as to
compare the mammalian cell behaviors in suspension cultivation system. Based on a
microbial terpolyester PHBVHHx consisting of 3-hydroxybutyrate (HB), 3
hydroxyvalerate (HV), and 3-hydroxyhexanoate (HHx), solid microspheres (SMSs),
hollow microspheres (HMSs), and porous microspheres (PMS) were successfully
prepared by a modified solvent evaporation method involving gas-in-oil-in-water
(G1/O/W2) double emulsion, water-in-oil-in-water (W1/O/W2) double emulsion and
oil-in-water (O/W) single emulsion, respectively. Generally, PMSs have diameters
ranging from 330 to 400 MUm with pore sizes of 10 to 60 MUm. The pores inside the
PMSs were found well interconnected compared with PHBVHHx prepared by the
traditional solvent evaporation method, resulting in the highest water uptake
ratio. When inoculated with human osteoblast-like cells lasting 6 days, PMS
showed much better cell attachment and proliferation compared with other less
porous microspheres due to its large inner space as a 3 D carrier. Cell migration
towards surface and other interconnected inner pores was clearly observable. Dead
or apoptotic cells were found more common among less porous SMSs or HMSs compared
with highly porous PMSs. It is therefore concluded that porous PHBVHHx
microspheres with larger surface open pores and interconnected inner pores can
serve as a carrier or scaffold supporting more and better cell growth for either
injectable purposes or simply supporting cell growth.
PMID- 29653501
TI - Hepatic alveolar echinococcosis.
PMID- 29653502
TI - Treatment with troxerutin protects against cisplatin-induced kidney injury in
mice.
AB - BACKGROUND: Cisplatin (CP) is a synthetic and anticancer drug, and one of the
major side effects of CP is nephrotoxicity. This study was done to evaluate the
renoprotective effects of troxerutin (Tro) in nephrotoxicity induced by CP in
male mice. METHODS: In this experimental study, 28 male mice were divided
randomly into four groups. Mice were treated with CP (20 mg/kg, i.p.) then Tro
(75 and 150 mg/kg/day, po) was administered for three consecutive days. Blood
samples were collected to determine serum creatinine (Cr) and blood urea nitrogen
(BUN) levels. The kidney tissues were used for histological examination and
biochemical assays. Malondialdehyde (MDA) level, superoxide dismutase (SOD) and
glutathione peroxidase (GPx) activity were assessed in renal tissue. RESULTS:
Results showed a significant increase in the Cr, BUN and MDA levels and a
significant decrease in the renal SOD and GPx activity by CP administration.
Treatment with Tro for three consecutive days attenuated these changes. Also, the
renoprotective effect of the Tro was confirmed by the histological examination of
the kidneys. CONCLUSIONS: Our results demonstrated that Tro has protective
effects against CP-induced nephrotoxicity through improving the biochemical
indices and the oxidative stress parameters.
PMID- 29653503
TI - Systematic review of participants' attitudes towards data sharing: a thematic
synthesis.
AB - Objectives Data sharing is well established in biological research, but evidence
on sharing of clinical trial or public health research study data remains
limited, in particular studies of research participants' perspectives of data
sharing. This study systematically reviewed international evidence of research
participants' attitudes towards the sharing of data for secondary research use.
Methods Systematic search of seven databases, and author-, citation- and
bibliography-follow up to identify studies examining research participants'
attitudes towards data sharing. Studies were thematically analysed using NVivo
v10 to identify recurring themes. Results Nine studies were eligible for
inclusion. Thematic analysis identified four key themes: (1) benefits of data
sharing, including benefit to participants or immediate community, benefits to
the public and benefits to science or research; (2) fears and harms, such as fear
of exploitation, stigmatization or repercussions, alongside concerns about
confidentiality and misuse of data; (3) data sharing processes, in particular the
role of consent in the process; and (4) the relationship between participants and
research such as trust in different types of research or organization and the
relationship with the original research team. Conclusions The available
literature on attitudes towards sharing data from clinical trials or public
health interventions remains scant. This study has identified four themes
regarding research participants' attitudes and preferences, which should be
considered by policy makers, and explored with further research.
PMID- 29653504
TI - How embedded is public involvement in mainstream health research in England a
decade after policy implementation? A realist evaluation.
AB - Objectives To explore how embedded patient and public involvement is within
mainstream health research following two decades of policy-driven work to
underpin health research with patient and public involvement in England. Methods
Realist evaluation using Normalization Process Theory as a programme theory to
understand what enabled patient and public involvement to be embedded as normal
practice. Data were collected through a national scoping and survey, and
qualitative methods to track patient and public involvement processes and impact
over time within 22 nationally funded research projects. Results In research
studies that were able to create reciprocal working relationships and to embed
patient and public involvement this was contingent on: the purpose of patient and
public involvement being clear; public contributors reflecting research end
beneficiaries; researchers understanding the value of patient and public
involvement; patient and public involvement opportunities being provided
throughout the research and ongoing evaluation of patient and public involvement.
Key contested areas included: whether to measure patient and public involvement
impact; seeking public contributors to maintain a balance between being research
aware and an outsider standpoint seen as 'authentically' lay; scaling-up patient
and public involvement embedded within a research infrastructure rather than risk
token presence and whether patient and public involvement can have a place within
basic science. Conclusions While patient and public involvement can be well
integrated within all types of research, policy makers should take account of
tensions that must be navigated in balancing moral and methodological
imperatives.
PMID- 29653505
TI - Non-tuberculous Mycobacterium species causing mycobacteriosis in farmed aquatic
animals of South Africa.
AB - BACKGROUND: Mycobacteriosis caused by non-tuberculous mycobacteria (NTM), is
among the most chronic diseases of aquatic animals. In addition, fish
mycobacteriosis has substantial economic consequences especially in the
aquaculture and fisheries industry as infections may significantly decrease
production and trade. Some fish NTM pathogens are highly virulent and zoonotic;
as such, infection of aquaria with these pathogens is a public health concern. In
this study, we report isolation of nine different NTM species from sixteen
aquatic animals including different fish species, frogs and a crocodile. Given
the clinical significance of Mycobacterium marinum and its close relation to
Mycobacterium tuberculosis, as well as the significance of ESAT 6 and CFP-10
secretion in mycobacterial virulence, we analysed the esxA and esxB nucleotide
sequences of M. marinum isolates identified in this study as well as other
mycobacteria in the public databases. RESULTS: Mycobacterium shimoidei,
Mycobacterium marinum, Mycobacterium chelonae, Mycobacterium septicum /M.
peregrinum and Mycobacterium porcinum were isolated from gold fish, Guppy, exotic
fish species in South Africa, koi and undefined fish, Knysna seahorse, as well
Natal ghost frogs respectively, presenting tuberculosis like granuloma. Other NTM
species were isolated from the studied aquatic animals without any visible
lesions, and these include Mycobacterium sp. N845 T, Mycobacterium fortuitum, a
member of the Mycobacterium avium complex, and Mycobacterium szulgai.
Phylogenetic analysis of mycobacteria, based on esxA and esxB genes, separated
slow growing from rapidly growing mycobacteria as well as pathogenic from non
pathogenic mycobacteria in some cases. CONCLUSIONS: Isolation of the different
NTM species from samples presenting granuloma suggests the significance of these
NTM species in causing mycobacteriosis in these aquatic animals. The study also
revealed the potential of esxA and esxB sequences as markers for phylogenetic
classification of mycobacteria. Observations regarding use of esxA and esxB
sequences for prediction of potential pathogenicity of mycobacteria warrants
further investigation of these two genes in a study employing NTM species with
well-defined pathogenicity.
PMID- 29653506
TI - The effect of the H-1 scaling factors tau and omega on the structure of H in the
single-step procedure.
AB - BACKGROUND: The single-step covariance matrix H combines the pedigree-based
relationship matrix [Formula: see text] with the more accurate information on
realized relatedness of genotyped individuals represented by the genomic
relationship matrix [Formula: see text]. In particular, to improve convergence
behavior of iterative approaches and to reduce inflation, two weights [Formula:
see text] and [Formula: see text] have been introduced in the definition of
[Formula: see text], which blend the inverse of a part of [Formula: see text]
with the inverse of [Formula: see text]. Since the definition of this blending is
based on the equation describing [Formula: see text], its impact on the structure
of [Formula: see text] is not obvious. In a joint discussion, we considered the
question of the shape of [Formula: see text] for non-trivial [Formula: see text]
and [Formula: see text]. RESULTS: Here, we present the general matrix [Formula:
see text] as a function of these parameters and discuss its structure and
properties. Moreover, we screen for optimal values of [Formula: see text] and
[Formula: see text] with respect to predictive ability, inflation and iterations
up to convergence on a well investigated, publicly available wheat data set.
CONCLUSION: Our results may help the reader to develop a better understanding for
the effects of changes of [Formula: see text] and [Formula: see text] on the
covariance model. In particular, we give theoretical arguments that as a general
tendency, inflation will be reduced by increasing [Formula: see text] or by
decreasing [Formula: see text].
PMID- 29653507
TI - No one size fits all-the development of a theory-driven intervention to increase
in-hospital mobility: the "WALK-FOR" study.
AB - BACKGROUND: There is growing evidence that mobility interventions can increase in
hospital mobility and prevent hospitalization-associated functional decline among
older adults. However, implementing such interventions is challenging, mainly due
to site-specific constraints and limited resources. The Systems Engineering
Initiative for Patient Safety (SEIPS 2.0) model has the potential to guide a
sustainable, site-tailored mobility intervention. Thus, the aim of the current
study is to demonstrate an adaptation process guided by the SEIPS 2.0 model to
articulate site-specific, culturally based interventions to improve in-hospital
mobility among older adults. METHODS: Six consecutive phases addressed each of
the model's elements in the research setting. Phase-1 aimed to determine a
measurable outcome: steps/d, measured with accelerometers, associated with
functional decline. Phase-2 included interviews with key persons in leadership
positions in the hospital to explore organizational factors affecting in-hospital
mobility. Phases-3 and 4 aimed to identify attitudes, knowledge, barriers, and
current behaviors of medical staff (n = 116) and patients (n = 203) related to
patient mobility. Phase-5 included four focus-groups with unit staff aimed at
developing an action plan while adapting existing intervention strategies to site
needs. Phase-6 relied on a steering committee that developed intervention
adaptation and implementation plans. RESULTS: Nine hundred steps/d was defined as
the intervention outcome. 40% of patients walked fewer than 900 steps/d
regardless of capability. Assessing or promoting mobility did not exist as a
separate task and thus was routinely overlooked. Several barriers to patients'
mobility were identified, specifically limited knowledge of practical aspects of
mobility. Consequently, staff adopted practical steps to address them. Nurses
were designated to assess mobility, and nursing assistants to support mobility.
Mobility was defined as a quality indicator to be documented in electronic
medical records and closely supervised by hospital and unit management.
Preliminary analyses of the "Walk FOR" protocol demonstrates its' ability to
reduce barriers, to re-shape staff attitudes and knowledge, and to increase in
hospital mobility of older adults. CONCLUSIONS: The SEIPS-2.0 model can serve as
a useful guide for implementing a site-tailored comprehensive mobility
intervention. This process, which relies on local resources, may promise
sustainable practice change that may support early effective rehabilitation and
recovery.
PMID- 29653508
TI - Dynamic transcriptome landscape of Asian domestic honeybee (Apis cerana)
embryonic development revealed by high-quality RNA sequencing.
AB - BACKGROUND: Honeybee development consists of four stages: embryo, larva, pupa and
adult. Embryogenesis, a key process of cell division and differentiation, takes 3
days in honeybees. However, the embryonic transcriptome and the dynamic
regulation of embryonic transcription are still largely uncharacterized in
honeybees, especially in the Asian honeybee (Apis cerana). Here, we employed high
quality RNA-seq to explore the transcriptome of Asian honeybee embryos at three
ages, approximately 24, 48 and 72 h (referred to as Day1, Day2 and Day3,
respectively). RESULTS: Nine embryo samples, three from each age, were collected
for RNA-seq. According to the staging scheme of honeybee embryos and the
morphological features we observed, our Day1, Day2 and Day3 embryos likely
corresponded to the late stage four, stage eight and stage ten development
stages, respectively. Hierarchical clustering and principal component analysis
showed that same-age samples were grouped together, and the Day2 samples had a
closer relationship with the Day3 samples than the Day1 samples. Finally, a total
of 18,284 genes harboring 55,646 transcripts were detected in the A. cerana
embryos, of which 44.5% consisted of the core transcriptome shared by all three
ages of embryos. A total of 4088 upregulated and 3046 downregulated genes were
identified among the three embryo ages, of which 2010, 3177 and 1528 genes were
upregulated and 2088, 2294 and 303 genes were downregulated from Day1 to Day2,
from Day1 to Day3 and from Day2 to Day3, respectively. The downregulated genes
were mostly involved in cellular, biosynthetic and metabolic processes, gene
expression and protein localization, and macromolecule modification; the
upregulated genes mainly participated in cell development and differentiation,
tissue, organ and system development, and morphogenesis. Interestingly, several
biological processes related to the response to and detection of light stimuli
were enriched in the first-day A. cerana embryogenesis but not in the Apis
mellifera embryogenesis, which was valuable for further investigations.
CONCLUSIONS: Our transcriptomic data substantially expand the number of known
transcribed elements in the A. cerana genome and provide a high-quality view of
the transcriptome dynamics of A. cerana embryonic development.
PMID- 29653509
TI - Population sensitivity of acute flaccid paralysis and environmental surveillance
for serotype 1 poliovirus in Pakistan: an observational study.
AB - BACKGROUND: To support poliomyelitis eradication in Pakistan, environmental
surveillance (ES) of wastewater has been expanded alongside surveillance for
acute flaccid paralysis (AFP). ES is a relatively new method of surveillance, and
the population sensitivity of detecting poliovirus within endemic settings
requires estimation. METHODS: Data for wild serotype 1 poliovirus from AFP and ES
from January 2011 to September 2015 from 14 districts in Pakistan were analysed
using a multi-state model framework. This framework was used to estimate the
sensitivity of poliovirus detection from each surveillance source and parameters
such as the duration of infection within a community. RESULTS: The location and
timing of poliomyelitis cases showed spatial and temporal variability. The
sensitivity of AFP surveillance to detect serotype 1 poliovirus infection in a
district and its neighbours per month was on average 30.0% (95% CI 24.8-35.8) and
increased with the incidence of poliomyelitis cases. The average population
sensitivity of a single environmental sample was 59.4% (95% CI 55.4-63.0), with
significant variation in site-specific estimates (median varied from 33.3-79.2%).
The combined population sensitivity of environmental and AFP surveillance in a
given month was on average 98.1% (95% CI 97.2-98.7), assuming four samples per
month for each site. CONCLUSIONS: ES can be a highly sensitive supplement to AFP
surveillance in areas with converging sewage systems. As ES for poliovirus is
expanded, it will be important to identify factors associated with variation in
site sensitivity, leading to improved site selection and surveillance system
performance.
PMID- 29653510
TI - Factors affecting adherence to antiretroviral therapy among pregnant women in the
Eastern Cape, South Africa.
AB - BACKGROUND: Context-specific factors influence adherence to antiretroviral
therapy (ART) among pregnant women living with HIV. Gaps exist in the
understanding of the reasons for the variable outcomes of the prevention of
mother-to-child transmission (PMTCT) programme at the health facility level in
South Africa. This study examined adherence levels and reasons for non-adherence
during pregnancy in a cohort of parturient women enrolled in the PMTCT programme
in the Eastern Cape, South Africa. METHODS: This was a mixed-methods study
involving 1709 parturient women in the Eastern Cape, South Africa. We conducted a
multi-centre retrospective analysis of the mother-infant pair in the PMTCT
electronic database in 2016. Semi-structured interviews of purposively selected
parturient women with self-reported poor adherence (n = 177) were conducted to
gain understanding of the main barriers to adherence. Binary logistic regression
was used to determine the independent predictors of ART non-adherence. RESULTS: A
high proportion (69.0%) of women reported perfect adherence. In the logistic
regression analysis, after adjusting for confounding factors, marital status,
cigarette smoking, alcohol use and non-disclosure to a family member were the
independent predictors of non-adherence. Analysis of the qualitative data
revealed that drug-related side-effects, being away from home, forgetfulness, non
disclosure, stigma and work-related demand were among the main reasons for non
adherence to ART. CONCLUSIONS: Non-adherence to the antiretroviral therapy among
pregnant women in this setting is associated with lifestyle behaviours, HIV
related stigma and ART side-effects. In order to eliminate mother-to-child
transmission of HIV, clinicians need to screen for these factors at every
antenatal clinic visit.
PMID- 29653511
TI - Increased circulating chemerin in patients with advanced carotid stenosis.
AB - BACKGROUND: Chemerin is an adipokine which plays a crucial role in
atherosclerosis. Here, we examined whether circulating chemerin is enhanced in
patients with advanced carotid stenosis. METHODS: Chemerin was quantified in 178
patients prior to carotid end arterectomy (CEA) and in age- and gender-matched
controls (n = 163). Chemerin levels were related to anthropometric, clinical and
metabolic characteristics of the patients. RESULTS: Chemerin levels were higher
in patients compared to controls (p < 0.001). Chemerin correlated to parameters
associated with inflammation such as C-reactive protein (CRP, p < 0.001),
leukocyte blood count (p < 0.001) and circulating TNF-alpha (p = 0.004) in the
patients. Chemerin levels did not differ between asymptomatic (n = 93) and
symptomatic patients who experienced an ischemic event within 6 months prior to
CEA (n = 85). However, in the case of high-grade carotid stenosis (>= 90%),
chemerin levels were higher in symptomatic (n = 44) compared to asymptomatic
patients (n = 41, p = 0.014). Chemerin was increased in patients with (n = 50)
compared to patients without (n = 128) coronary artery disease (CAD, p = 0.002).
A high level of chemerin increases the risk for CAD in patients (p = 0.0013).
CONCLUSIONS: Circulating chemerin is increased and correlates to inflammatory
parameters in patients with advanced carotid stenosis.
PMID- 29653512
TI - Transcriptome-wide identification of optimal reference genes for expression
analysis of Pyropia yezoensis responses to abiotic stress.
AB - BACKGROUND: Pyropia yezoensis, a marine red alga, is an ideal research model for
studying the mechanisms of abiotic stress tolerance in intertidal seaweed. Real
time quantitative polymerase chain reaction (RT-qPCR) is the most commonly used
method to analyze gene expression levels. To accurately quantify gene expression,
selection and validation of stable reference genes is required. RESULTS: We used
transcriptome profiling data from different abiotic stress treatments to identify
six genes with relatively stable expression levels: MAP, ATPase, CGS1, PPK, DPE2,
and FHP. These six genes and three conventional reference genes, UBC, EF1-alpha,
and eif4A, were chosen as candidates for optimal reference gene selection. Five
common statistical approaches (geNorm, DeltaCt method, NormFinder, BestKeeper,
and ReFinder) were used to identify the stability of each reference gene. Our
results show that: MAP, UBC, and FHP are stably expressed in all analyzed
conditions; CGS1 and UBC are stably expressed under conditions of dehydration
stress; and MAP, UBC, and CGS1 are stably expressed under conditions of
temperature stress. CONCLUSION: We have identified appropriate reference genes
for RT-qPCR in P. yezoensis under different abiotic stress conditions which will
facilitate studies of gene expression under these conditions.
PMID- 29653513
TI - Serum BTP concentrations are not affected by hepatic dysfunction.
AB - BACKGROUND: Beta Trace Protein (BTP) is a promising marker of glomerular
filtration rate (GFR). Equations to estimate GFR using BTP have been proposed.
Very little is known about BTP's production and metabolism. It has been
hypothesized that the liver metabolizes certain BTP isoforms. As such, hepatic
dysfunction may influence serum levels independently of GFR. This would impact on
the accuracy and precision of GFR estimates using BTP. The purpose of this study
was to assess the impact of cirrhosis on serum BTP concentrations. METHODS: BTP,
cystatin C (cysC) and creatinine (Cr) were measured in 99 cirrhotic subjects and
in matched controls. BTP/cysC and Cr/cysC ratios were compared between cases and
controls. This was repeated after stratification by Child Pugh category.
Comparisons of ratios between Child Pugh category A and combined B and C case
subjects were also performed. RESULTS: There were no differences in BTP/cysC
ratios between cases and controls for the entire cohort (0.80 vs 0.79) or for any
of the Child Pugh categories (p > 0.10). There were significant differences
between cases (1.09) and controls (0.73) for the BTP/Cr ratios (p < 0.001). The
BTP/Cr ratio was higher in those with more advanced cirrhosis as compared to
those with less severe cirrhosis (1.20 vs 1.03, p < 0.01). There were no
differences in BTP/cysC ratios between those with less severe and more advanced
cirrhosis (p = 0.25). CONCLUSIONS: This study suggests that hepatic dysfunction
does not influence serum BTP levels and argues against a significant role for the
liver in BTP metabolism. Confirmation in a larger group of patients with advanced
cirrhosis is required.
PMID- 29653514
TI - Genetic evidence suggests that GIS functions downstream of TCL1 to regulate
trichome formation in Arabidopsis.
AB - BACKGROUND: Trichome formation in Arabidopsis is regulated by a MBW complex
formed by MYB, bHLH and WD40 transcriptional factors, which can activate GLABRA2
(GL2) and the R3 MYB transcription factor genes. GL2 promotes trichome formation,
whereas R3 MYBs are able to block the formation of the MBW complex. It has been
reported that the C2H2 transcription factor GIS (GLABROUS INFLORESCENCE STEMS)
functions upstream of the MBW activator complex to regulate trichome formation,
and that the expression of TCL1 is not regulated by the MBW complex. However, gis
and the R3 MYB gene mutant tcl1 (trichomeless 1) have opposite inflorescence
trichome phenotypes, but their relationship in regulating trichome formation
remained unknown. RESULTS: By generating and characterization of the gis tcl1
double mutant, we found that trichome formation in the gis tcl1double and the
tcl1 single mutants were largely indistinguishable, but the trichome formation in
the 35S:TCL1/gis transgenic plant was similar to that in the gis mutant. By using
quantitative RT-PCR analysis, we showed that expression level of GIS was
increased in the triple mutant tcl1 try cpc, but the expression level of TCL1 was
not affected in the gis mutant. On the other hand, trichome morphology in both
gis tcl1 and 35S:TCL1/gis plants was similar to that in the gis mutant.
CONCLUSIONS: In summary, our results indicate that GIS may work downstream of
TCL1 to regulate trichome formation, and GIS has a dominant role in controlling
trichome morphology.
PMID- 29653515
TI - Relationship between muscle strength and fall episodes among the elderly: the
Yilan study, Taiwan.
AB - BACKGROUND: Fall episodes are not unusual among community residents, especially
the elderly, and lower muscle strength is an important issue to address in order
to prevent falls. METHODS: A community health survey was conducted in a suburban
area of Taiwan, and 1067 older adults were selected for enrollment in the present
study. All the enrolled subjects had been visited at their homes; the subjects'
strength of both hands and muscle mass of both legs were measured and well
established questionnaires were finished by certificated paramedic staffs.
RESULTS: The incidence of fall episodes in the previous 1 year in the Yilan
elderly population was 15.1%, and the female predominance was significant. A
significantly higher prevalence of cataracts was found in group who experienced a
fall in the past year (64% vs. 54.9% in the non-fall group). Mild or more severe
dementia was much more prevalent in the group who experienced a recent fall
(33.8% vs. 25.7% in the non-fall group). The strength of both hands tested as the
physical function was 17.6 +/- 8.0 kg in the recent fall group, significantly
weaker than that in the non-fall group (20.7 +/- 8.7 kg). Multivariate regression
analysis revealed a greater weekly exercise duration and greater strength of both
hands reduced the occurrence of falls among the whole and the female population.
The standardized effect sizes of hand grip strength between both groups, not
trivial, were 0.29 and 0.37 for the total population and the female subpopulation
respectively. CONCLUSIONS: Less weekly exercise duration and weaker muscle
strength were f ound to be independent risk factors of fall episode(s) in an
elderly Taiwanese population, especially in the female sub-population. Muscle
strength, measured by average of both hands grip strength, was the most
significantly factor of one-year fall episode(s) accessed retrospectively.
PMID- 29653516
TI - A rare case of skin blistering and esophageal stenosis in the course of
epidermolysis bullosa - case report and literature review.
AB - BACKGROUND: Epidermolysis bullosa (EB) constitutes a heterogenous group of rare
multisystem genetically transmitted disorders comprising several blistering muco
cutaneous diseases with a monogenic basis and either autosomal dominant or
autosomal recessive mode of inheritance. EB manifestation is not only limited to
the skin. Systemic signs might involve the nose, ear, eye, genitourinary tract
and upper gastrointestinal tract. The presence of particular symptoms is directly
determined by a type of altered skin protein. Gastrointestinal manifestation of
EB is most commonly reflected by esophageal stenosis due to recurrent esophageal
blistering, followed by consequent scarring. CASE PRESENTATION: Here we present a
case of a man with dystrophic EB and dysphagia, skin blistering, joints
contractures and missing nails. To our knowledge, the presented man is the oldest
one diagnosed with EB living in Poland. CONCLUSIONS: Management of an esophageal
stricture in such circumstances is based on endoscopic dilatation. However, in
most severe cases, placement of a gastrostomy tube is required. Despite great
advances in medicine, a targeted therapy in the course of EB has not been
established yet.
PMID- 29653517
TI - Advances in anesthesia technology are improving patient care, but many challenges
remain.
AB - Although significant advances in clinical monitoring technology and clinical
practice development have taken place in the last several decades, in this
editorial we argue that much more still needs to be done. We begin by identifying
many of the improvements in perioperative technology that have become available
in recent years; these include electroencephalographic depth of anesthesia
monitoring, bedside ultrasonography, advanced neuromuscular transmission
monitoring systems, and other developments. We then discuss some of the
perioperative technical challenges that remain to be satisfactorily addressed,
such as products that incorporate poor software design or offer a confusing user
interface. Finally we suggest that the journal support initiatives to help remedy
this problem by publishing reports on the evaluation of medical equipment as a
means to restore the link between clinical research and clinical end-users.
PMID- 29653518
TI - Genexpi: a toolset for identifying regulons and validating gene regulatory
networks using time-course expression data.
AB - BACKGROUND: Identifying regulons of sigma factors is a vital subtask of gene
network inference. Integrating multiple sources of data is essential for correct
identification of regulons and complete gene regulatory networks. Time series of
expression data measured with microarrays or RNA-seq combined with static binding
experiments (e.g., ChIP-seq) or literature mining may be used for inference of
sigma factor regulatory networks. RESULTS: We introduce Genexpi: a tool to
identify sigma factors by combining candidates obtained from ChIP experiments or
literature mining with time-course gene expression data. While Genexpi can be
used to infer other types of regulatory interactions, it was designed and
validated on real biological data from bacterial regulons. In this paper, we put
primary focus on CyGenexpi: a plugin integrating Genexpi with the Cytoscape
software for ease of use. As a part of this effort, a plugin for handling time
series data in Cytoscape called CyDataseries has been developed and made
available. Genexpi is also available as a standalone command line tool and an R
package. CONCLUSIONS: Genexpi is a useful part of gene network inference toolbox.
It provides meaningful information about the composition of regulons and delivers
biologically interpretable results.
PMID- 29653519
TI - RS3PE syndrome developing during the course of probable toxic shock syndrome: a
case report.
AB - BACKGROUND: Remitting seronegative symmetrical synovitis with pitting edema
(RS3PE) is a rare syndrome characterized by "remitting," "seronegative" (namely
rheumatoid factor-negative), and "symmetrical" synovitis with pitting edema on
the dorsum of the hands and feet. Recently, there have been reports that serum
vascular endothelial growth factor (VEGF) is elevated in this condition. CASE
PRESENTATION: An 85-year-old man visited our department with a rash that had
appeared 2 days earlier and a fever that had developed on the day of his visit.
Based on clinical findings of fever, erythema exudativum multiforme, transitory
hypotension, conjunctiva hyperemia, elevated creatine kinase, and desquamation,
we suspected toxic shock syndrome (TSS). Therefore, we started treatment with
vancomycin (1 g/day) and clindamycin (600 mg/day), after which his fever rapidly
remitted. However, pitting edema on the dorsum of his hands and feet appeared on
day 7, and the patient also had painful wrist and ankle joints. Additional tests
were negative for rheumatoid factor, and anti-cyclic citrullinated protein
antibodies were < 0.2 U/mL. Further, serum matrix metalloproteinase-3 (199.6
ng/mL; reference value <=123.8 ng/mL) and serum VEGF (191 pg/mL; reference value
<=38.3 pg/mL) levels were elevated, and human leukocyte antigen-A2 was detected.
The patient was thus diagnosed with RS3PE syndrome, for which he satisfied all
four diagnostic criteria: 1) pitting edema in the limbs, 2) acute onset, 3) age
>= 50 years, and 4) rheumatoid factor negativity. He was treated with oral
prednisolone, resulting in the normalization of his serum VEGF level to 34.5
pg/mL 1 month after starting treatment. It is currently 1 year since disease
onset, and although the patient has stopped taking prednisolone, there has been
no recurrence of RS3PE syndrome. CONCLUSIONS: To the best of our knowledge, this
is the first reported case of a patient developing RS3PE syndrome during the
clinical course of TSS. We propose that the onset mechanism involved an increase
in blood VEGF due to TSS, which induced RS3PE syndrome. As serum VEGF becomes
elevated with both severe infections associated with shock and RS3PE syndrome,
awareness that these conditions can occur concurrently is essential.
PMID- 29653520
TI - A modified sequence capture approach allowing standard and methylation analyses
of the same enriched genomic DNA sample.
AB - BACKGROUND: Bread wheat has a large complex genome that makes whole genome
resequencing costly. Therefore, genome complexity reduction techniques such as
sequence capture make re-sequencing cost effective. With a high-quality draft
wheat genome now available it is possible to design capture probe sets and to use
them to accurately genotype and anchor SNPs to the genome. Furthermore, in
addition to genetic variation, epigenetic variation provides a source of natural
variation contributing to changes in gene expression and phenotype that can be
profiled at the base pair level using sequence capture coupled with bisulphite
treatment. Here, we present a new 12 Mbp wheat capture probe set, that allows
both the profiling of genotype and methylation from the same DNA sample.
Furthermore, we present a method, based on Agilent SureSelect Methyl-Seq, that
will use a single capture assay as a starting point to allow both DNA sequencing
and methyl-seq. RESULTS: Our method uses a single capture assay that is
sequentially split and used for both DNA sequencing and methyl-seq. The resultant
genotype and epi-type data is highly comparable in terms of coverage and
SNP/methylation site identification to that generated from separate captures for
DNA sequencing and methyl-seq. Furthermore, by defining SNP frequencies in a
diverse landrace from the Watkins collection we highlight the importance of
having genotype data to prevent false positive methylation calls. Finally, we
present the design of a new 12 Mbp wheat capture and demonstrate its successful
application to re-sequence wheat. CONCLUSIONS: We present a cost-effective method
for performing both DNA sequencing and methyl-seq from a single capture reaction
thus reducing reagent costs, sample preparation time and DNA requirements for
these complementary analyses.
PMID- 29653521
TI - Risk factors for hepatitis E virus seropositivity in Dutch blood donors.
AB - BACKGROUND: A marked increase of hepatitis E cases has recently been observed in
the Netherlands. Causes of the (re-)emergence of hepatitis E virus (HEV) and
exact sources and routes of transmission of HEV infection are currently unknown.
We aimed to identify risk factors for HEV seropositivity. METHODS: Using the
Wantai EIA, 2100 plasma samples of blood donors from all over the Netherlands
aged 18-70 years were tested for anti-HEV IgG antibodies. A questionnaire on
socio-demographic characteristics, health, and potential risk factors for HEV
exposure was sent to these participants. RESULTS: The overall IgG-seroprevalence
was 31% (648/2100) and increased with age. Several food products were
independently associated with IgG-seropositivity in a multivariate analysis
adjusting for age and gender among 1562 participants who completed the
questionnaire: traditional Dutch dry raw sausages called "cervelaat", "fijnkost",
"salami" and "salametti" which are generally made from raw pork and beef (aOR
1.5; 95%CI 1.2-1.9), frequent consumption of bovine steak (aOR 1.3; 95%CI 1.0
1.7), and frequent consumption of smoked beef (aOR 1.3 95%CI 1.0-1.7). Although
not frequently reported, contact with contaminated water was also a risk factor
for seropositivity (aOR 2.5; 95%CI 1.5-4.4). Lower seroprevalence was associated
with eating raspberries, going out for dinner, and contact with wild animals and
dogs. CONCLUSION: Several pork food products, mainly dry raw sausages, and
contact with contaminated water were associated with past HEV infection in the
Netherlands. Further investigation is needed into the prevalence and infectivity
of HEV in these risk factor food products, as well as investigation of the
production methods and possible origin of HEV-contamination within these
sausages, e.g. very small amounts of pork liver, pig-derived blood products as
food additive, or the pork muscle tissue.
PMID- 29653522
TI - Correction to: A pattern learning-based method for temporal expression extraction
and normalization from multi-lingual heterogeneous clinical texts.
AB - After publication of the original article [1] it was noted that the captions
relating to Figs. 2 and 3 had been interchanged.
PMID- 29653523
TI - A video-based transdiagnostic REBT universal prevention program for internalizing
problems in adolescents: study protocol of a cluster randomized controlled trial.
AB - BACKGROUND: Internalizing problems are the most prevalent mental health problems
in adolescents. Transdiagnostic programs are promising manners to treat multiple
problems within the same protocol, however, there is limited research regarding
the efficacy of such programs delivered as universal prevention programs in
school settings. Therefore, the present study aims to investigate the efficacy of
a video-based transdiagnostic rational emotive behavioral therapy (REBT)
universal prevention program, for internalizing problems. The second objective of
the present paper will be to investigate the subsequent mechanisms of change,
namely maladaptive cognitions. METHODS: A two-arm parallel randomized controlled
trial will be conducted, with two groups: a video-based transdiagnostic REBT
universal prevention program and a wait list control. Power analysis indicated
that the study will involve 338 participants. Adolescents with ages between 12
and 17 years old, from several middle schools and high schools, will be invited
to participate. Assessments will be conducted at four time points: baseline (T1),
post-intervention (T2), 3 months follow-up (T3) and 12 months follow-up (T4).
Intent-to-treat analysis will be used in order to investigate significant
differences between the two groups in both primary and secondary outcomes.
DISCUSSION: This is the first randomized controlled trial that aims to
investigate the efficacy and mechanisms of change of a video-based
transdiagnostic REBT universal prevention program, delivered in a school context.
The present study has important implications for developing efficient prevention
programs, interactive, that will aim to target within the same protocol both
anxiety and depressive symptoms. TRIAL REGISTRATION: ClinicalTrials.gov:
NCT02756507 . Registered on 25 April 2016.
PMID- 29653524
TI - Smokers' unprompted comments on cigarette additives during conversations about
the genetic basis for nicotine addiction: a focus group study.
AB - BACKGROUND: Research designed to elicit smokers' cognitive and affective
reactions to information about chemicals that tobacco companies add to cigarettes
("additives") found that knowledge is limited. However, little is known about
smokers' unprompted thoughts and feelings about additives. Such information could
be used to shape future communication efforts. We explored the content and
possible functions of spontaneous statements about cigarette additives made by
smokers during a study examining reactions to learning about the genetic link to
nicotine addiction. METHODS: Adult smokers (N = 84) were recruited from a medium
sized Midwestern city. Focus groups (N = 13) were conducted between April
September 2012. Data were analyzed by 2 coders using thematic analysis. RESULTS:
Comments about cigarette additives arose without prompting by the focus group
moderator. Three main themes were identified: (1) discussing additives helped
participants navigate the conceptual link between smoking and genetics, (2)
additives were discussed as an alternative mechanism for addiction to cigarettes,
and (3) additives provided an alternative mechanism by which cigarette smoking
exacerbates physical harm. Notably, discussion of additives contained a pervasive
tone of mistrust illustrated by words like "they" and "them," by statements of
uncertainty such as "you don't know what they're putting into cigarettes," and by
negative affective verbalizations such as "nasty" and "disgusting". CONCLUSIONS:
Participants had distinct beliefs about cigarette additives, each of which seemed
to serve a purpose. Although mistrust may complicate communication about the
health risks of tobacco use, health communication experts could use smokers'
existing beliefs and feelings to better design more effective anti-smoking
messages.
PMID- 29653525
TI - Understanding the risk and protective factors associated with obesity amongst
Libyan adults - a qualitative study.
AB - BACKGROUND: There are a range of multifaceted behavioural and societal factors
that combine to contribute to the causes of obesity. However, it is not yet known
how particularly countries' cultural norms are contributing to the global obesity
epidemic. Despite obesity reaching epidemic proportions in Libya, since the
discovery of oil in 1959, there is a lack of information about obesity in Libyan
adults. This study sought to explore the views of key informants about the risk
and protective factors associated with obesity among Libyan men and women.
METHODS: A series of qualitative semi-structured interviews were conducted with
Libyan healthcare professionals and community leaders. RESULTS: Eleven main
themes (risk and protective factors) were identified, specifically: socio
demographic and biological factors, socioeconomic status, unhealthy eating
behaviours, knowledge about obesity, social-cultural influences, Libya's
healthcare facilities, physical activity and the effect of the neighbourhood
environment, sedentary behaviour, Libyan food-subsidy policy, and suggestions for
preventing and controlling obesity. CONCLUSIONS: Key recommendations are that an
electronic health information system needs to be implemented and awareness about
obesity and its causes and consequences needs to be raised among the public in
order to dispel the many myths and misconceptions held by Libyans about obesity.
The current political instability within Libya is contributing to a less-active
lifestyle for the population due to security concerns and the impact of curfews.
Our findings have implications for Libyan health policy and highlight the urgent
need for action towards mitigating against the obesity epidemic in Libya.
PMID- 29653526
TI - Clostridium difficile stool shedding in infants hospitalized in two neonatal
intensive care units is lower than previous point prevalence estimates using
molecular diagnostic methods.
AB - BACKGROUND: The point prevalence of Clostridium difficile stool shedding in
hospitalized infants from two neonatal intensive care units (NICUs) was examined
utilizing standard clinical testing compared with duplex PCR to identify
toxigenic and non-toxigenic C. difficile strains. METHODS: All infants from the
two NICUs affiliated with a single academic medical center were eligible for
inclusion. Stool collection was blinded to patient characteristics and occurred
during a one week period at each NICU and repeated with a second weeklong
collection 6 months later to increase sample size. Stools were tested for C.
difficile using EIA (GDH/toxin A/B) with samples testing +/+ or +/- subsequently
evaluated by Loop-Mediated Isothermal Amplification (LAMP) and by duplex PCR
amplification of tcdB and tpi (housekeeping) genes. Cytotoxicity assays were
performed on all samples positive for C. difficile by any modality. RESULTS:
Eighty-four stools were collected from unique infants for evaluation. EIA results
showed 6+/+ [7.1%], 7 +/- [8.3%], and 71 -/- [84.5%] samples. All 6 EIA +/+ were
confirmed as toxigenic C. difficile by LAMP; 6/7 EIA +/- were negative by LAMP
with one identified as invalid. Duplex PCR concurred with LAMP in all 6 stools
positive for toxigenic C. difficile. PCR identified 2 EIA -/- stools positive for
tpi, indicating shedding of non-toxigenic C. difficile. Cytotoxicity assay was
positive in 4/6 duplex PCR positive samples and negative for all stools that were
EIA +/- but negative by molecular testing. CONCLUSIONS: C. difficile blinded
point prevalence in infants from two NICUs was 7.1% by molecular methods; and
lower than expected based on historical incidence estimates. In house duplex PCR
had excellent concordance with clinically available LAMP and EIA tests, and added
detection of non-toxigenic C. difficile strain shedding. Evolving NICU care
practices may be influencing the composition of infant gut microbiota and
reducing the point prevalence of C. difficile shedding in NICU patient stools.
PMID- 29653527
TI - Preventing tobacco in vocational high schools: study protocol for a randomized
controlled trial of P2P, a peer to peer and theory planned behavior-based
program.
AB - BACKGROUND: In France, the issue of youth smoking remains a major challenge for
public health. School failure, socio-economic and socio-cultural backgrounds
influence the initiation and maintenance of smoking behavior in adolescents.
Vocational students are at particularly high risk of using psychoactive
substances, including tobacco. One of the most important factors is the
environment, whether family, friends or peers. Therefore, peer education has a
positive potential to change smoking behavior of adolescents. It has also been
demonstrated that the Theory of Planned Behavior (TPB) has yielded the best
prediction of intentions and behavior, in several health domains, including on
tobacco. However, it is usually confined to the measurement of processes by which
interventions change behavior, rather than to the development of these
interventions. The objective of this paper is to describe the protocol for a
randomized controlled trial of a peer intervention based on the TPB on a highly
exposed young population. METHODS/DESIGNS: This is a cluster randomized
controlled trial comparing an intervention group to a control group, randomized
into clusters (professional schools and classes) and stratified in three
departments (Herault, Aude and Gard) in the Languedoc-Roussillon region. The
primary issue is the prevalence of daily smoking at 24 months, defined by a daily
tobacco use of at least 1 cigarette, validated by CO levels in exhaled air. The
primary hypothesis is that intervention will lead to decrease the daily smoking
prevalence of 10% between the intervention group and the control group during a 2
year follow-up. DISCUSSION: The results from this trial will provide evidence on
the effectiveness of an innovative peer-to-peer intervention based on the TPB.
TRIAL REGISTRATION: ISRCTN: 37336035 , Retrospectively registered 11/12/2015.
PMID- 29653528
TI - Physical activity, sedentary time and sleep duration: associations with body
composition in 10-12-year-old Estonian schoolchildren.
AB - BACKGROUND: Physical activity, sedentary time, and sleep duration have been
associated with body composition among children. The purpose of the present study
was to assess the associations of objectively determined daily physical activity,
sedentary time, sleep duration and body composition indices in 10-12-year-old
children. METHODS: Two hundred and eleven schoolchildren (96 boys and 115 girls)
aged 10.9 +/- 0.7 years participated in this study. Objective physical activity
intensity and sedentary levels were measured for seven days by accelerometry.
Sleep duration was self-reported. Percentage of body fat, waist-to-height ratio
and fat free mass were calculated from measured anthropometric parameters.
Multiple linear regression models were used to examine the associations between
sleep duration, moderate-to-vigorous physical activity (MVPA), vigorous physical
activity (VPA) level and body composition indices. RESULTS: Boys exceeded girls
(p < 0.05) in time spent in MVPA and VPA levels. Only 4.3% of the children met
the current daily recommendation of at least 60 min MVPA per day. Sleep duration,
MVPA and VPA had a negative association with percentage of body fat and waist-to
height ratio. Vigorous physical activity had a positive association with fat-free
mass. Sedentary time had a positive association with percentage of body fat and
negative association with fat-free mass. CONCLUSIONS: The present study suggests
that both sleep duration and MVPA are associated with body composition
parameters. Higher levels of MVPA are associated with lower percentage of body
fat and waist-to-height ratio regardless of sleep duration. Sedentary time is
associated with higher values of percentage of body fat and lower fat-free mass
independently of sleep duration.
PMID- 29653529
TI - Scale-out of a community-based behavioral intervention for childhood obesity:
pilot implementation evaluation.
AB - BACKGROUND: Expanding the use of evidence-based behavioral interventions in
community settings has met with limited success in various health outcomes as
fidelity and dose of clinical interventions are often diluted when translated to
communities. We conducted a pilot implementation study to examine adoption of the
rigorously evaluated Healthier Families Program by Parks and Recreation centers
in 3 cities across the country (MI, GA, NV) with diverse socio-cultural
environments. METHODS: Using the RE-AIM framework, we evaluated the program both
quantitatively (pre/post surveys of health behavior change; attendance &
fidelity) and qualitatively (interviews with Parks and Recreation staff and
participants following the program). RESULTS: The 3 partner sites recruited a
total of 26 parent-child pairs. REACH: Among the 24 participants who completed
pre/post surveys, 62.5% were 25-34 years old, and average child age was 3.6 (SD
0.7) years. The distribution of self-reported race/ethnicity was 54% non-Hispanic
White, 38% non-Hispanic Black, and 8% Latino. EFFECTIVENESS: Qualitative
interviews with participants demonstrated increased use of the built environment
for physical activity and continued use of key strategies for health behavior
change. ADOPTION: Three of five (60%) collaborating sites proceeded with
implementation of the program. IMPLEMENTATION: The average attendance for the 12
week program was 7.6 (SD 3.9) sessions, with 71% attending > 50% of sessions.
Average fidelity for the 12 weekly sessions was 25.2 (SD 1.2; possible range 9
27). MAINTENANCE: All 3 partner sites continued offering the program after grant
funding was complete. CONCLUSIONS: This pilot is among the first attempts to
scale-out an evidence-based childhood obesity intervention in community Parks and
Recreation centers. While this pilot was not intended to confirm the efficacy of
the original trial on Body Mass Index (BMI) reduction, the effective and
sustained behavior change among a geographically and ethnically diverse
population with high attendance and fidelity demonstrates an effective approach
on which to base future large-scale implementation efforts to reduce childhood
obesity in community settings.
PMID- 29653530
TI - Predictors of physicians' stress related to information systems: a nine-year
follow-up survey study.
AB - BACKGROUND: Among the important stress factors for physicians nowadays are poorly
functioning, time consuming and inadequate information systems. The present study
examined the predictors of physicians' stress related to information systems
(SRIS) among Finnish physicians. The examined predictors were cognitive workload,
staffing problems, time pressure, problems in teamwork and job satisfaction,
adjusted for baseline levels of SRIS, age, gender and employment sector. METHODS:
The study has a follow-up design with two survey data collection waves, one in
2006 and one in 2015, based on a random sample of Finnish physicians was used.
The present study used a sample that included 1109 physicians (61.9% women; mean
age in 2015 was 54.5; range 34-72) who provided data on the SRIS in both waves.
The effects of a) predictor variable levels in 2006 on SRIS in 2015 and b) the
change in the predictor variables from 2006 to 2015 on SRIS in 2015 were analysed
with linear regression analyses. RESULTS: Regression analyses showed that the
higher level of cognitive workload in 2006 significantly predicted higher level
of SRIS in 2015 (beta = 0.08). The reciprocity of this association was tested
with cross-lagged structural equation model analyses which showed that the
direction of the association was from cognitive workload to SRIS, not from SRIS
to cognitive workload. Moreover, increases in time pressure (beta = 0.16) and
problems in teamwork (beta = 0.10) were associated with higher levels of SRIS in
2015, whereas job satisfaction increase was associated with lower SRIS (beta = -
0.06). CONCLUSIONS: According to our results, physicians' cognitive workload may
have long-lasting negative ramifications in regard to how stressful physicians
experience their health information systems to be. Thus, organisations should pay
attention to physicians workload if they wish physicians to master all the
systems they need to use. It is also important to provide physicians with enough
time and collegial support in their system-related problems, and in learning new
systems and system updates.
PMID- 29653531
TI - Improving social accountability processes in the health sector in sub-Saharan
Africa: a systematic review.
AB - BACKGROUND: Social accountability is a participatory process in which citizens
are engaged to hold politicians, policy makers and public officials accountable
for the services that they provide. In the Fifteenth Ordinary Session of the
Assembly of the African Union, African leaders recognized the need for strong,
decentralized health programs with linkages to civil society and private sector
entities, full community participation in program design and implementation, and
adaptive approaches to local political, socio-cultural and administrative
environments. Despite the increasing use of social accountability, there is
limited evidence on how it has been used in the health sector. The objective of
this systematic review was to identify the conditions that facilitate effective
social accountability in sub-Saharan Africa. METHODS: Electronic databases
(MEDLINE, PsycINFO, Sociological Abstracts, Social Sciences Abstracts) were
searched for relevant articles published between 2000 and August 2017. Studies
were eligible for inclusion if they were peer-reviewed English language
publications describing a social accountability intervention in sub-Saharan
Africa. Qualitative and quantitative study designs were eligible. RESULTS:
Fourteen relevant studies were included in the review. The findings indicate that
effective social accountability interventions involve leveraging partnerships and
building coalitions; being context-appropriate; integrating data and information
collection and analysis; clearly defined roles, standards, and responsibilities
of leaders; and meaningful citizen engagement. Health system barriers,
corruption, fear of reprisal, and limited funding appear to be major challenges
to effective social accountability interventions. CONCLUSION: Although global
accountability standards play an important guiding role, the successful
implementation of global health initiatives depend on national contexts.
PMID- 29653533
TI - Comparing private and public transport access to diabetic health services across
inner, middle, and outer suburbs of Melbourne, Australia.
AB - BACKGROUND: Melbourne, Australia is experiencing rapid population growth, with
much of this occurring in metropolitan outer suburban areas, also known as urban
growth areas. Currently little is known about differences in travel times when
using private and public transport to access primary and secondary services
across Melbourne's urban growth areas. Plan Melbourne Refresh, a recent strategic
land use document has called for a 20 min city, which is where essential services
including primary health care, can be accessed within a 20 min journey. Type 2
diabetes mellitus (T2DM) is a major chronic condition in Australia, with some of
Melbourne's growth areas having some of the highest prevalence across Australia.
This study explores travel times to diabetic health care services for populations
residing in inner, middle and outer suburbs of metropolitan Melbourne. METHOD:
Geographic information systems (GIS) software were used to map the location of
selected diabetic primary and secondary health care service providers across
metropolitan inner, middle, outer established, outer urban growth and outer
fringe areas of Melbourne. An origin-destination matrix was used to estimate
travel distances from point of origin (using a total of approximately 50,000
synthetic residential addresses) to the closest type of each diabetic health care
service provider (destinations) across Melbourne. ArcGIS was used to estimate
travel times for private transport and public transport; comparisons were made by
area. RESULTS: Our study indicated increased travel times to diabetic health
services for people living in Melbourne's outer growth and outer fringe areas
compared with the rest of Melbourne (inner, middle and outer established).
Compared with those living in inner city areas, the median time spent travelling
to diabetic services was between 2.46 and 23.24 min (private motor vehicle) and
12.01 and 43.15 min (public transport) longer for those living in outer suburban
areas. Irrespective of travel mode used, results indicate that those living in
inner and middle suburbs of Melbourne have shorter travel times to access
diabetic health services, compared with those living in outer areas of Melbourne.
Private motor vehicle travel times were approximately 4 to 5 times faster than
public transport modes to access diabetic health services in all areas.
CONCLUSION: Those living in new urban growth communities spend considerably more
time travelling to access diabetic health services - particularly specialists -
than those living in established areas across Melbourne.
PMID- 29653532
TI - An internet-based intervention for people with psychosis (EviBaS): study protocol
for a randomized controlled trial.
AB - BACKGROUND: Evidence shows that internet-based self-help interventions are
effective in reducing symptoms for a wide range of mental disorders. To date,
online interventions treating psychotic disorders have been scarce, even though
psychosis is among the most burdensome disorders worldwide. Furthermore, the
implementation of cognitive-behavioral therapy (CBT) for psychosis in routine
health care is challenging. Internet-based interventions could narrow this
treatment gap. Thus, a comprehensive CBT-based online self-help intervention for
people with psychosis has been developed. The aim of this study is the evaluation
of the feasibility and efficacy of the intervention compared with a waiting list
control group. METHODS: The intervention includes modules on delusion, voice
hearing, social competence, mindfulness, and seven other domains. Participants
are guided through the program by a personal moderator. Usage can be amended by
an optional smartphone app. In this randomized controlled trial, participants are
allocated to a waiting list or an intervention of eight weeks. Change in positive
psychotic symptoms of both groups will be compared (primary outcome) and
predictors of treatment effects will be assessed. DISCUSSION: To our knowledge,
this project is one of the first large-scale investigations of an internet-based
intervention for people with psychosis. It may thus be a further step to broaden
treatment options for people suffering from this disorder. TRIAL REGISTRATION:
NCT02974400 (clinicaltrials.gov), date of registration: November 28th 2016.
PMID- 29653534
TI - A phylogenomic framework and timescale for comparative studies of tunicates.
AB - BACKGROUND: Tunicates are the closest relatives of vertebrates and are widely
used as models to study the evolutionary developmental biology of chordates.
Their phylogeny, however, remains poorly understood, and to date, only the 18S
rRNA nuclear gene and mitogenomes have been used to delineate the major groups of
tunicates. To resolve their evolutionary relationships and provide a first
estimate of their divergence times, we used a transcriptomic approach to build a
phylogenomic dataset including all major tunicate lineages, consisting of 258
evolutionarily conserved orthologous genes from representative species. RESULTS:
Phylogenetic analyses using site-heterogeneous CAT mixture models of amino acid
sequence evolution resulted in a strongly supported tree topology resolving the
relationships among four major tunicate clades: (1) Appendicularia, (2) Thaliacea
+ Phlebobranchia + Aplousobranchia, (3) Molgulidae, and (4) Styelidae + Pyuridae.
Notably, the morphologically derived Thaliacea are confirmed as the sister group
of the clade uniting Phlebobranchia + Aplousobranchia within which the precise
position of the model ascidian genus Ciona remains uncertain. Relaxed molecular
clock analyses accommodating the accelerated evolutionary rate of tunicates
reveal ancient diversification (~ 450-350 million years ago) among the major
groups and allow one to compare their evolutionary age with respect to the major
vertebrate model lineages. CONCLUSIONS: Our study represents the most
comprehensive phylogenomic dataset for the main tunicate lineages. It offers a
reference phylogenetic framework and first tentative timescale for tunicates,
allowing a direct comparison with vertebrate model species in comparative
genomics and evolutionary developmental biology studies.
PMID- 29653535
TI - Efficacy of shared decision-making on treatment adherence of patients with
bipolar disorder: a cluster randomized trial (ShareD-BD).
AB - BACKGROUND: Shared decision-making (SDM) is a model of interaction between
doctors and patients in which both actors contribute to the medical decision
making process. SDM has raised great interest in mental healthcare over the last
decade, as it is considered a fundamental part of patient-centered care. However,
there is no research evaluating the efficacy of SDM compared to usual care (CAU),
as it relates to quality of care and more specifically treatment adherence, in
bipolar disorder (BD). METHODS/DESIGN: This is a 12-month multi-centre, cluster
randomized controlled trial comparing the efficacy of SDM to CAU. Adult BD
patients (n = 300) will be eligible after stabilization for at least 4 weeks
following an acute mood episode. The intervention will consist of applying the
standardized SDM process as developed by the Ottawa Hospital Research Institute
in order to choose the maintenance treatment of BD. A multidisciplinary team
developed a decision aid "choose my long-term treatment with my doctor" for BD
patients to clarify possible therapeutic options. Primary outcome will assess the
patient's level of adherence (based on hetero-evaluation) of ongoing treatment at
12 months. Secondary outcomes will assess the difference between the 2 groups of
patients in terms of adherence to maintenance drug therapy based on other
measures (self-assessment scale and plasma levels of mood stabilizers).
Additionally, other dimensions will be assessed: decisional conflict,
satisfaction with care and involvement in decision making, beliefs about
treatment, therapeutic relationship, knowledge about information for medical
decision and clinical outcomes (depression, mania, functioning and quality of
life). The primary endpoint will be analysed without adjustment by comparison of
adherence scores between the two groups using Student t-tests or Mann-Whitney
tests according to the variable distribution. A set of secondary analyses will be
adjusted for covariates of clinical interest using generalized linear mixed
regression models. DISCUSSION: This will be the first study evaluating the effect
of an SDM intervention on patient adherence in BD. This is also an innovative
protocol because it proposes the development of an evidence-based tool that
should help patients and clinicians to initiate discussions regarding the use of
BD treatment. TRIAL REGISTRATION: The study has been registered with
ClinicalTrials.gov as NCT03245593 .
PMID- 29653536
TI - Acceptability of HIV self-sampling kits (TINY vial) among people of black African
ethnicity in the UK: a qualitative study.
AB - BACKGROUND: Increasing routine HIV testing among key populations is a public
health imperative, so improving access to acceptable testing options for those in
need is a priority. Despite increasing targeted distribution and uptake of HIV
self-sampling kits (SSKs) among men who have sex with men in the UK, little is
known about why targeted SSK interventions for black African users are not as
wide-spread or well-used. This paper addresses this key gap, offering insight
into why some groups may be less likely than others to adopt certain types of SSK
interventions in particular contexts. These data were collected during the
development phase of a larger study to explore the feasibility and acceptability
of targeted distribution of SSKs to black African people. METHODS: We undertook 6
focus groups with members of the public who self-identified as black African (n =
48), 6 groups with specialists providing HIV and social services to black African
people (n = 53), and interviews with HIV specialist consultants and policy-makers
(n = 9). Framework analysis was undertaken, using inductive and deductive
analysis to develop and check themes. RESULTS: We found three valuable components
of targeted SSK interventions for this population: the use of settings and
technologies that increase choice and autonomy; targeted offers of HIV testing
that preserve privacy and do not exacerbate HIV stigma; and ensuring that the
specific kit being used (in this case, the TINY vial) is perceived as simple and
reliable. CONCLUSIONS: This unique and rigorous research offers insights into
participants' views on SSK interventions, offering key considerations when
targeting this population.. Given the plethora of HIV testing options, our work
demonstrates that those commissioning and delivering SSK interventions will need
to clarify (for users and providers) how each kit type and intervention design
adds value. Most significantly, these findings demonstrate that without a strong
locus of control over their own circumstances and personal information, black
African people are less likely to feel that they can pursue an HIV test that is
safe and secure. Thus, where profound social inequalities persist, so will
inequalities in HIV testing uptake - by any means.
PMID- 29653537
TI - Achieving successful community engagement: a rapid realist review.
AB - BACKGROUND: Community engagement is increasingly seen as crucial to achieving
high quality, efficient and collaborative care. However, organisations are still
searching for the best and most effective ways to engage citizens in the shaping
of health and care services. This review highlights the barriers and enablers for
engaging communities in the planning, designing, governing, and/or delivering of
health and care services on the macro or meso level. It provides policymakers and
professionals with evidence-based guiding principles to implement their own
effective community engagement (CE) strategies. METHODS: A Rapid Realist Review
was conducted to investigate how interventions interact with contexts and
mechanisms to influence the effectiveness of CE. A local reference panel,
consisting of health and care professionals and experts, assisted in the
development of the research questions and search strategy. The panel's input
helped to refine the review's findings. A systematic search of the peer-reviewed
literature was conducted. RESULTS: Eight action-oriented guiding principles were
identified: Ensure staff provide supportive and facilitative leadership to
citizens based on transparency; foster a safe and trusting environment enabling
citizens to provide input; ensure citizens' early involvement; share decision
making and governance control with citizens; acknowledge and address citizens'
experiences of power imbalances between citizens and professionals; invest in
citizens who feel they lack the skills and confidence to engage; create quick and
tangible wins; take into account both citizens' and organisations' motivations.
CONCLUSIONS: An especially important thread throughout the CE literature is the
influence of power imbalances and organisations' willingness, or not, to address
such imbalances. The literature suggests that 'meaningful participation' of
citizens can only be achieved if organisational processes are adapted to ensure
that they are inclusive, accessible and supportive of citizens.
PMID- 29653538
TI - Route, early or energy? ... Protein improves protein balance in critically ill
patients.
PMID- 29653539
TI - The phospholipase DDHD1 as a new target in colorectal cancer therapy.
AB - BACKGROUND: Our previous study demonstrates that Citrus-limon derived
nanovesicles are able to decrease colon cancer cell viability, and that this
effect is associated with the downregulation of the intracellular phospholipase
DDHD domain-containing protein 1 (DDHD1). While few studies are currently
available on the contribution of DDHD1 in neurological disorders, there is no
information on its role in cancer. This study investigates the role of DDHD1 in
colon cancer. METHODS: DDHD1 siRNAs and an overexpression vector were transfected
into colorectal cancer and normal cells to downregulate or upregulate DDHD1
expression. In vitro and in vivo assays were performed to investigate the
functional role of DDHD1 in colorectal cancer cell growth. Quantitative
proteomics using SWATH-MS was performed to determinate the molecular effects
induced by DDHD1 silencing in colorectal cancer cells. RESULTS: The results
indicate that DDHD1 supports colon cancer cell proliferation and survival, since
its downregulation reduces in vitro colon cancer cell viability and increases
apoptosis rate, without affecting normal cells. On the contrary, in vivo studies
demonstrate that the xenograft tumors, derived from DDHD1-overexpressing cells,
have a higher proliferation rate compared to control animals. Additionally, we
found that functional categories, significantly affected by DDHD1 silencing, were
specifically related to cancer phenotype and for the first time associated to
DDHD1 activity. CONCLUSIONS: In conclusion, this study provides the first
evidence confirming the role of DDHD1 in cancer, providing a possibility to
define a new target to design more effective therapies for colon cancer patients.
PMID- 29653540
TI - Clinical manifestation and arthroscopic treatment of symptomatic posterior
cruciate ligament cyst.
AB - BACKGROUND: Ganglion cyst of cruciate ligaments is a rare lesion; the prevalence
is 0.3-0.8%. The purpose of this study was to present clinical features of
symptomatic posterior cruciate ligament (PCL) cyst, introduce the arthroscopic
excision technique, and evaluate the clinical outcome. METHODS: A series of 11
patients with symptomatic PCL cyst from November 2012 to December 2014 were
involved in this retrospective study. Detailed medical history collecting and
physical examination were conducted. Magnetic resonance imaging (MRI) scan was
used to confirm the diagnosis. Arthroscopic resection was performed, and the
sample of the cyst was taken for pathologic examination. The follow-up averaged
30.7 months. International Knee Documentation Committee (IKDC) score, the range
of motion (ROM), and MRI evaluations were obtained pre- and postoperatively to
assess the surgical outcome. SPSS software was used for statistics analysis.
RESULTS: Eight males and 3 females with 6 left knees and 5 right knees were
enrolled, the mean age was 34.4 years, and the duration of symptom was 19.0
months. All cases had a definite history of knee trauma or injury. The most
common symptom was knee pain at flexion or in flexion-associated activities. MRI
revealed the location and size of the cyst in each case. Pathologic examination
showed the cyst wall was composed of dense fibroconnective tissue and widespread
thick bundles of collagen, which is similar to the structure of ganglion cyst. At
the final follow-up, MRI evaluation showed no cyst recurrence. The preoperative
ROM and IKDC score were 2.3 degrees to 108.6 degrees and 40.5 +/- 11.3,
respectively, compared with the postoperative ROM and IKDC score which were 0
degrees to 134.1 degrees and 85.5 +/- 4.8 (p < 0.05) separately. CONCLUSIONS:
We conclude that the etiology of symptomatic PCL cyst is most likely associated
with trauma, pain on flexion is a typical manifestation of symptomatic PCL cyst,
MRI evaluation is an ideal examination for the diagnosis, and arthroscopic
resection of symptomatic PCL cysts has a good outcome with no recurrence.
PMID- 29653541
TI - Cosmetic outcome as rated by patients, doctors, nurses and BCCT.core software
assessed over 5 years in a subset of patients in the TARGIT-A Trial.
AB - BACKGROUND: The purpose of this research was to assess agreement between four
rating systems of cosmetic outcome measured in a subset of patients with early
breast cancer participating in the randomised TARGIT-A trial. TARGIT-A compared
risk-adapted single-dose intra-operative radiotherapy (TARGIT-IORT) to whole
breast external beam radiotherapy (EBRT). METHODS: Patients, their Radiation
Oncologist and Research Nurse completed a subjective cosmetic assessment
questionnaire before radiotherapy and annually thereafter for five years.
Objective data previously calculated by the validated BCCT.core software which
utilizes digital photographs to score symmetry, colour and scar was also used.
Agreement was assessed by the Kappa statistic and longitudinal changes were
assessed by generalized estimating equations. RESULTS: Overall, an Excellent-Good
(EG) cosmetic result was scored more often than a Fair-Poor (FP) result for both
treatment groups across all time points, with patients who received TARGIT-IORT
scoring EG more often than those who received EBRT however this was statistically
significant at Year 5 only. There was modest agreement between the four rating
systems with the highest Kappa score being moderate agreement which was between
nurse and doctor scores at Year 1 with Kappa = 0.46 (p < 0.001), 95% CI (0.24,
0.68). CONCLUSION: Despite similar overall findings between treatment groups and
rating systems, the inter-rater agreement was only modest. This suggests that the
four rating systems utilized may not necessarily be used interchangeably and it
is arguable that for an outcome such as cosmetic appearance, the patient's point
of view is the most important. TRIAL REGISTRATION: TARGIT-A ISRCTN34086741 ,
Registered 21 July 2004, retrospectively registered.
PMID- 29653542
TI - Decreased outlet angle of the superior cerebellar artery as indicator for
dolichoectasia in late onset Pompe disease.
AB - BACKGROUND: Lysosomal alpha-glucosidase deficiency (Pompe disease) not only leads
to glycogen accumulation in skeletal muscle, but also in the cerebral arteries.
Dolichoectasia of the basilar artery (BA) has been frequently reported. Therefore
progression of BA dolichoectasia in late onset Pompe patients (LOPD) was studied.
METHODS: BA length, diameter and volume, and cerebral lesions were analysed by
MRI/TOF-MR angiography or CT/CT angiography in 20 LOPD patients and 40 controls
matching in age, sex- and cardiovascular risk factors. The height of BA
bifurcation was assessed semi-quantitatively using the Smoker's criteria and
quantitatively by measuring the outlet angle of the superior cerebellar artery
(SUCA). Nine patients were followed over 5 years. RESULTS: The height of the BA
bifurcation was abnormal in 12/20 (60%) LOPD patients and in 12/40 (30%) matched
controls. The SUCA outlet angle was reduced in LOPD patients compared to controls
(127 +/- 33 degrees vs. 156 +/- 32 degrees , p = 0.0024). The diameter, length
and volume of the BA were significantly increased in LOPD patients compared to
controls. 12/20 (60%) LOPD patients and 27/40 (68%) controls presented white
matter lesions. During 5 years 2/9 LOPD patients developed an abnormal height of
BA bifurcation according to the Smoker's criteria and in all patients the SUCA
outlet angle decreased (138 +/- 34 degrees vs. 128 +/- 32 degrees , p = 0.019).
One patient with prominent basilar dolichoectasia experienced a thalamic
hemorrhage. CONCLUSION: Pompe disease is associated with BA dilation, elongation
and elevated bifurcation height of the BA which might result in cerebrovascular
complications. The SUCA outlet angle seems to be useful for monitoring the
progression of BA dolichoectasia.
PMID- 29653543
TI - The effect of sugar and processed food imports on the prevalence of overweight
and obesity in 172 countries.
AB - BACKGROUND: Studies find that economic, political, and social globalization - as
well as trade liberalization specifically - influence the prevalence of
overweight and obesity in countries through increasing the availability and
affordability of unhealthful food. However, what are the mechanisms that connect
globalization, trade liberalization, and rising average body mass index (BMI)? We
suggest that the various sub-components of globalization interact, leading
individuals in countries that experience higher levels of globalization to
prefer, import, and consume more imported sugar and processed food products than
individuals in countries that experience lower levels of globalization. METHOD:
This study codes the amount of sugar and processed food imports in 172 countries
from 1995 to 2010 using the United Nations Comtrade dataset. We employ country
specific fixed effects (FE) models, with robust standard errors, to examine the
relationship between sugar and processed foods imports, globalization, and
average BMI. To highlight further the relationship between the sugar and
processed food import and average BMI, we employ a synthetic control method to
calculate a counterfactual average BMI in Fiji. CONCLUSION: We find that sugar
and processed food imports are part of the explanation to increasing average BMI
in countries; after controlling for globalization and general imports and
exports, sugar and processed food imports have a statistically and substantively
significant effect in increasing average BMI. In the case of Fiji, the increased
prevalence of obesity is associated with trade agreements and increased imports
of sugar and processed food. The counterfactual estimates suggest that sugar and
processed food imports are associated with a 0.5 increase in average BMI in Fiji.
PMID- 29653544
TI - Bone marrow suppression as a complication of total skin helical tomotherapy in
the treatment of mycosis fungoides.
AB - BACKGROUND: Total skin electron beam therapy (TSEBT) is an effective treatment in
mycosis fungoides. Total skin helical tomotherapy (TSHT) may be an alternative to
TSEBT and may offer several dosimetric and treatment advantages. There are
currently very few published treatment results using TSHT in place of TSEBT for
treatment of mycosis fungoides. CASE PRESENTATION: Two patients with mycosis
fungoides were treated at our institution using TSHT. The first patient was a 69
year-old Caucasian female with stage IVA2 (T2 N3 M0 B2) disease who was treated
to a dose of 12 Gy in 8 fractions, with a bone marrow mean dose of 1.66 Gy and
V10 = 0.41%. Two weeks after ending treatment the patient developed
myelosuppression including grade 4 thrombocytopenia and required blood and
platelet transfusions. The second patient was a 29-year-old Caucasian female with
stage I (T2 N0 M0 B0) disease. This patient previously had been treated for
mycosis fungoides using helical tomotherapy (HT) at a dose of 20 Gy to a
localized region and experienced mild thrombocytopenia at that time. The patient
then underwent retreatment 17 months later with TSHT to a dose of 12 Gy in 6
fractions with a mean bone marrow dose of 2.3 Gy and V10 = 4.28%. This patient
once again experienced myelosuppression that included grade 4 thrombocytopenia.
She also required blood and platelet transfusions. CONCLUSIONS: Both patients
treated with TSHT experienced severe bone marrow suppression including grade 4
thrombocytopenia. This was more severe than expected considering the relatively
low overall prescription dose and despite a planning constraint placed on the
bone marrow of a mean dose of < 2 Gy. These outcomes suggest that patients
treated using TSHT should be closely monitored for myelosuppression and caution
used even when treating to a dose of 12 Gy.
PMID- 29653545
TI - Effectiveness of school dental screening on dental visits and untreated caries
among primary schoolchildren: study protocol for a cluster randomised controlled
trial.
AB - BACKGROUND: Dental caries is one of the most common diseases affecting children
in Saudi Arabia despite the availability of free dental services. School-based
dental screening could be a potential intervention that impacts uptake of dental
services, and subsequently, dental caries' levels. The purpose of this study is
to evaluate the effectiveness of two alternative approaches for school-based
dental screening in promoting dental attendance and reducing untreated dental
caries among primary schoolchildren. METHODS: This is a cluster randomised
controlled trial comparing referral of screened-positive children to a specific
treatment facility (King Saud University Dental College) against conventional
referral (information letter advising parents to take their child to a dentist).
A thousand and ten children in 16 schools in Riyadh, Saudi Arabia, will be
recruited for the trial. Schools (clusters) will be randomly selected and
allocated to either group. Clinical assessment for dental caries will be
conducted at baseline and after 12 months by dentists using the World Health
Organisation (WHO) criteria. Data on sociodemographic, behavioural factors and
children's dental visits will be collected through structured questionnaires at
baseline and follow-up. The primary outcome is the change in number of teeth with
untreated dental caries 12 months after referral. Secondary outcomes are the
changes in the proportions of children having untreated caries and of those who
visited the dentist over the trial period. DISCUSSION: This project should
provide high level of evidence on the clinical benefits of school dental
screening. The findings should potentially inform policies related to the
continuation/implementation of school-based dental screening in Saudi Arabia.
TRIAL REGISTRATION: ClinicalTrials.gov , ID: NCT03345680 . Registered on 17
November 2017.
PMID- 29653546
TI - Diagnostic accuracy of blood sucrose as a screening test for equine gastric ulcer
syndrome (EGUS) in weanling foals.
AB - BACKGROUND: Equine gastric ulcer syndrome is an important cause of morbidity in
weanling foals. Many foals are asymptomatic, and the development of an
inexpensive screening test to ensure an early diagnosis is desirable. The
objective of this study was to determine the diagnostic accuracy of blood sucrose
for diagnosis of EGUS in weanling foals. RESULTS: 45 foals were studied 7 days
before and 14 days after weaning. The diagnostic accuracy of blood sucrose for
diagnosis of gastric lesions (GL); glandular lesions (GDL); squamous lesions
(SQL) and clinically significant gastric lesions (CSL) at 45 and 90 min after
administration of 1 g/kg of sucrose via nasogastric intubation was assessed using
ROC curves and calculating the AUC. For each lesion type, sucrose concentration
in blood was compared to gastroscopy; and sensitivities (Se) and specificities
(Sp) were calculated across a range of sucrose concentrations. Cut-off values
were selected manually to optimize Se. Because of concerns over the validity of
the gold standard, additional Se, Sp, and lesion prevalence data were
subsequently estimated and compared using Bayesian latent class analysis. Using
the frequentist approach, the prevalence of GL; GDL; SQL and CSL before weaning
was 21; 9; 7 and 8% respectively; and increased to 98; 59; 97 and 82%
respectively after weaning. At the selected cut-off, Se ranged from 84 to 95% and
Sp ranged from 47 to 71%, depending upon the lesion type and time of sampling. In
comparison, estimates of Se and Sp were consistently higher when using a Bayesian
approach, with Se ranging from 81 to 97%; and Sp ranging from 77 to 97%,
depending upon the lesion type and time of sampling. CONCLUSIONS: Blood sucrose
is a sensitive test for detecting EGUS in weanling foals. Due to its poor
specificity, it is not expected that the sucrose blood test will replace
gastroscopy, however it may represent a clinically useful screening test to
identify foals that may benefit from gastroscopy. Bayesian latent class analysis
represents an alternative method to evaluate the diagnostic accuracy of the blood
sucrose test in an attempt to avoid bias associated with the assumption that
gastroscopy is a perfect test.
PMID- 29653547
TI - Wicked problems and a 'wicked' solution.
AB - BACKGROUND: 'Wicked' is the term used to describe some of the most challenging
and complex issues of our time, many of which threaten human health. Climate
change, biodiversity loss, persisting poverty, the advancing obesity epidemic,
and food insecurity are all examples of such wicked problems. However there is a
strong body of evidence describing the solutions for addressing many of these
problems. Given that much is known about how many of these problems could be
addressed - and given the risks of not acting - what will it take to create the
'tipping point' needed for effective action? MAIN BODY: A recent (2015) court
ruling in The Hague held that the Dutch government's stance on climate change was
illegal, ordering them to cut greenhouse gas emissions by at least 25% within 5
years (by 2020), relative to 1990 levels. The case was filed on behalf of 886
Dutch citizens, suing the government for violating human rights and climate
changes treaties by failing to take adequate action to prevent the harmful
impacts of climate change. This judicial ruling has the potential to provide a
way forward, inspiring other civil movements and creating a template from which
to address other wicked problems. CONCLUSION: This judicial strategy to address
the need to lower greenhouse gas emissions in the Netherlands is not a magic
bullet, and requires a particular legal and institutional setting. However it has
the potential to be a game-changer - providing an example of a strategy for
achieving domestic regulatory change that is likely to be replicable in some
countries elsewhere, and providing an example of a particularly 'wicked' (in the
positive, street-slang sense of the word) strategy to address seemingly
intractable and wicked problems.
PMID- 29653549
TI - Response to "in regard to "Tran A, Zhang J, Woods K, Yu V, Nguyen D, Gustafson G,
Rosen L, Sheng K. Treatment planning comparison of IMPT, VMAT and 4pi
radiotherapy for prostate cases"".
AB - In regard to our recently published paper entitled "Treatment planning comparison
of IMPT, VMAT and 4pi radiotherapy for prostate cases", a question was raised
whether "4pi" was used appropriately to describe the non-coplanar planning and
delivery space. In this letter, the term use is explained from both theoretical
and practical perspectives. It is concluded that the self-explanatory term
provides a flexible description of non-coplanar radiotherapy with beam
orientation optimization. Confusions with this term can be avoided by
understanding the evolving and machine/patient specific nature of 4pi planning.
PMID- 29653550
TI - Mecasin treatment in patients with amyotrophic lateral sclerosis: study protocol
for a randomized controlled trial.
AB - BACKGROUND: Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative
disease that causes paralysis of limb, swallowing, and breathing muscles.
Riluzole, the Food and Drug Administration-approved drug for ALS, provides
minimal benefit, prolonging patient life by only 2-3 months. Previous studies
have found a neuro-protective and anti-neuroinflammatory effect of Mecasin, with
retrospective studies providing suggestive evidence for a beneficial effect of
Mecasin. The aim of this study was to develop a protocol to determine the proper
dosage of Mecasin. METHODS: This is a phase II-A, multi-center, randomized study
with three arms. Thirty-six patients with ALS will be randomly assigned to one of
three groups, each receiving the standard treatment with 100 mg of riluzole in
addition to one of 1.6 g of Mecasin, 2.4 g of Mecasin, or a placebo. The Primary
outcome is the Korean version of the Amyotrophic Lateral Sclerosis Functional
Rating Scale-Revised result after 12 weeks of treatment. Secondary outcomes
include results of the Short Form Health Survey-8, Medical Research Council
Scale, Visual Analogue Scale for Pain, Hamilton Rating Scale for Depression,
Fatigue Severity Scale, Patient Global Impression of Change, pulmonary function
test, forced expiratory volume in 1 s and its ratio to forced vital capacity,
creatine kinase, and body weight. The frequencies of total adverse events and
serious adverse events will be described and documented. The trial protocol has
been approved by the Institutional Review Board of the Wonkwang University
Gwangju and Sanbon Hospital (2016-5-4 and 2016-34-01, respectively). An
Investigational New Drug status (30731) was granted by the Korea Food and Drug
Administration. DISCUSSION: This trial will aim to identify the optimal dosage of
Mecasin. Additionally, it will test the efficacy and safety of Mecasin in
conjunction with standard treatment, riluzole, for alleviating the functional
decline in patients with ALS. TRIAL REGISTRATION: Korean National Clinical Trial
Registry CRIS; KCT0001984 . Registered on 28 July 2016.
PMID- 29653551
TI - Open pelvic fracture: the killing fracture?
AB - BACKGROUND: Open pelvic fractures are rare but represent a serious clinical
problem with high mortality rates. The purpose of this study was to evaluate the
outcomes of open pelvic fractures in our clinic and to compare the results from
our patient group with those of closed fractures and with the literature from the
past decade. METHODS: Data of patients older than 16 years of age who were
admitted to our hospital with a pelvic fracture between January 1, 2004, and
December 31, 2014, were analyzed. The collected data were patient demographics,
mechanism of injury, RTS, ISS, transfusion requirement during the first 24 h,
Gustilo-Anderson and Faringer classification, number and type of interventions
complications, mortality, and length of stay. RESULTS: Twenty-four of 492
patients (5% of all pelvic fracture patients) had an open fracture. Their mean
age was 36 years, the mean ISS was 31, and the mean number of transfused packed
red blood cells was 5.5. These numbers were all significantly higher than in the
patients with a closed fracture, although they were comparable to other studies
with open fractures. The mortality was 4% in the open group versus 14% in the
closed group (p = 0.23). The reported mortality in the literature ranges between
4 and 45%. CONCLUSION: Open pelvic fractures are relatively rare but are a cause
of significant morbidity. In this series, we treated patients with open pelvic
fractures successfully, with a survival rate of 96%. There was no significant
difference in survival rate between open and closed pelvic fractures. Compared
with other studies, the mortality in our study was relatively low.
PMID- 29653548
TI - Linking neuronal lineage and wiring specificity.
AB - Brain function requires precise neural circuit assembly during development.
Establishing a functional circuit involves multiple coordinated steps ranging
from neural cell fate specification to proper matching between pre- and post
synaptic partners. How neuronal lineage and birth timing influence wiring
specificity remains an open question. Recent findings suggest that the
relationships between lineage, birth timing, and wiring specificity vary in
different neuronal circuits. In this review, we summarize our current
understanding of the cellular, molecular, and developmental mechanisms linking
neuronal lineage and birth timing to wiring specificity in a few specific systems
in Drosophila and mice, and review different methods employed to explore these
mechanisms.
PMID- 29653552
TI - Independent prognostic genes and mechanism investigation for colon cancer.
AB - PROPOSE: We aimed to explore the potential molecular mechanism and independent
prognostic genes for colon cancer (CC). METHODS: Microarray datasets GSE17536 and
GSE39582 were downloaded from Gene Expression Omnibus. Meanwhile, the whole CC
related dataset were downloaded from The Cancer Genome Atlas (TCGA) database.
Differentially expressed mRNA (DEMs) were identified between cancer tissue
samples and para-carcinoma tissue samples in TCGA dataset, followed by the KEGG
pathway and GO function analyses. Furthermore, the clinical prognostic analysis
including overall survival (OS) and disease-free survival (DFS) were performed in
all three datasets. RESULTS: A total of 633 up- and 321 down-regulated mRNAs were
revealed in TCGA dataset. The up-regulated mRNAs were mainly assembled in
functions including extracellular matrix and pathways including Wnt signaling.
The down-regulated mRNAs were mainly assembled in functions like Digestion and
pathways like Drug metabolism. Furthermore, up-regulation of UL16-binding protein
2 (ULBP2) was associated with OS in CC patients. A total of 12 DEMs including
Surfactant Associated 2 (SFTA2) were potential DFS prognostic genes in CC
patients. Meanwhile, the GRP and Transmembrane Protein 37 (TMEM37) were two
outstanding independent DFS prognostic genes in CC. CONCLUSIONS: ULBP2 might be a
potential novel OS prognostic biomarker in CC, while GRP and TMEM37 could be
served as the independent DFS prognostic genes in CC. Furthermore, functions
including extracellular matrix and digestion, as well as pathways including Wnt
signaling and drug metabolism might play important roles in the process of CC.
PMID- 29653553
TI - Promoting and sustaining a historical and global effort to prevent sepsis: the
2018 World Health Organization SAVE LIVES: Clean Your Hands campaign.
AB - Sepsis is estimated to affect more than 30 million patients with potentially five
million deaths every year worldwide. Prevention of sepsis, as well as early
recognition, diagnosis and treatment, can't be overlooked to mitigate this global
public health threat. World Health Organization (WHO) promotes hand hygiene in
health care through its annual global campaign, SAVE LIVES: Clean Your Hands
campaign on 5 May every year. The 2018 campaign targets sepsis with the overall
theme "It's in your hands; prevent sepsis in health care".
PMID- 29653554
TI - Does full sternotomy have more significant impact than the cardiopulmonary bypass
time in patients of mitral valve surgery?
AB - BACKGROUND: Over the past decade, minimally invasive mitral valve surgery (MIMVS)
has grown in popularity. Less invasive approaches to mitral valve surgery are
increasingly used for improved cosmesis. We sought to compare these minimally
invasive approaches fairly with conventional full sternotomy approaches by using
propensity-matching methods. METHODS: From January 2011 to January 2017, a total
of 1120 isolated mitral valve operations were performed at our institution. Data
were retrospectively collected on all patients, and a logistic regression model
was created to predict selection to a minimally invasive versus conventional
sternotomy approach. Propensity scores were then generated based on the
regression model and matched pairs created using 1:1 nearest neighbor matching.
There were 165 matched pairs in the analysis (sternotomy, n = 165;MIMVS, n =
165). Clinical outcomes included bypass and cross-clamp time, length of
hospitalization, morbidity, and mortality. Patient details and follow-up outcomes
were compared using multivariate, and Kaplan-Meier analyses. RESULTS: The
minimally invasive approach led to slightly longer cardiopulmonary bypass time
(99 +/- 25 vs 88 +/- 17 min, p <0.001), and cross-clamp time (65 +/- 13 vs 49 +/-
11 min, p<0.001). Overall, no significant differences existed among major in
hospital complications between groups. There were no differences between the
matched groups in 30-day mortality (1.2% vs 0.6%, p >0.05). However, Chest tube
drainage was lower at 6 and 24 h after a minimally invasive approach (30 +/- 5
mL) and 120 +/- 20 mL than after conventional sternotomy 175 +/- 50 mL and 400 +/
150 mL at these times (p < 0.001). Transfusion was less frequent after minimally
invasive surgery than after conventional surgery (15.7% vs 40.6%, p < 0.001).
Patients undergoing minimally invasive surgery spent less time on ventilation
support (6.2 +/- 1.1 h vs 10.4 +/- 2.7, p < 0.001). The multivariable regression
analysis showed the full sternotomy was an independent risk factor for the
propensity-adjusted likelihood of postoperative transfusion, re-exploration for
bleeding, and postoperative ventilation support (p < 0.05). But the duration of
cardiopulmonary bypass time was not an independent risk factor. The mean duration
of survival follow-up was 4.4 +/- 1.2 years. However, comparison of survival
curves between the two groups revealed no significant difference (P = 0.203).
With regard to freedom from valve-related morbidity, there was no significant
difference between groups (P = 0 .574). CONCLUSION: Within that portion of the
spectrum of mitral valve surgery in which propensity matching was possible,
minimally invasive mitral valve surgery has cosmetic, blood product use, and
respiratory advantages over conventional surgery, and no apparent detriments.
However, minimally invasive mitral valve surgery required a slightly longer
cardiopulmonary bypass time and cross-clamp time. Minimally invasive mitral valve
surgery represents a safe and effective surgical technique that we believe should
be used more routinely in the surgical management of mitral valve disease. MIMVS
provides equally durable midterm results as the standard sternotomy approach.
PMID- 29653555
TI - Feasibility of an online mindfulness-based program for patients with melanoma:
study protocol for a randomised controlled trial.
AB - BACKGROUND: People with a melanoma diagnosis are at risk of recurrence,
developing a new primary or experiencing disease progression. Previous studies
have suggested that fear of a cancer recurrence is clinically relevant in this
group of patients and, if not addressed, can lead to distress. Mindfulness-based
interventions have been shown to alleviate symptoms of anxiety and depression
among various groups of cancer patients. Online mindfulness-based interventions
have the potential to reach people unable to attend face-to-face interventions
due to limitations such as cancer-related illness, transportation or time
constraints. This study aims to (1) examine whether individuals with a melanoma
diagnosis are willing to participate and adhere to a 6-week online mindfulness
based intervention and (2) explore potential benefits of the program on fear of
cancer recurrence, worries, rumination, perceived stress and trait mindfulness to
inform the design of a clinical trial. METHODS/DESIGN: This is a single-site
randomised controlled trial of a feasibility study. Seventy-five participants
with stage 2c or 3 melanoma will be recruited from a melanoma outpatient clinic
and randomised (2:1) either to an online mindfulness-based program (intervention)
or to usual care (control). The intervention is a 6-week program specifically
developed for this study. It consists of videos describing the concept of
mindfulness, short daily guided meditation practices (5-10 min), automated
meditation reminders and instructions for applying mindfulness in daily life to
enhance wellbeing. All participants will complete questionnaires at baseline and
at 6-week post-randomisation. Participants in the control group will be given
access to the online program at the end of the study. Primary outcomes are
overall recruitment; retention; extent of questionnaire completion; and usability
and acceptability of, and adherence to, the program. The secondary outcomes are
fear of cancer recurrence, worries, rumination, perceived stress and trait
mindfulness measured using validated instruments. DISCUSSION: This feasibility
study will evaluate participants' satisfaction with the program and identify
barriers to recruitment and adherence. The recruitment and data collection
process will highlight methodological aspects to address in the planning of a
larger scale study assessing the impact of an online mindfulness-based
intervention on fear of cancer recurrence and wellbeing. TRIAL REGISTRATION:
Australian New Zealand Clinical Trials Registry, ACTRN12617000081314 . Registered
on 16 January 2017.
PMID- 29653557
TI - Impact of Myeloproliferative neoplasms on patients' employment status and work
productivity in the United States: results from the living with MPNs survey.
AB - BACKGROUND: Patients with the myeloproliferative neoplasms (MPNs) myelofibrosis
(MF), polycythemia vera (PV), and essential thrombocythemia (ET) are at increased
risk for thrombotic and cardiovascular events and experience a variety of
burdensome symptoms. However, there is a paucity of data in the biomedical
literature about how MPNs impact productivity in the workplace. This analysis of
the Living with MPNs survey was conducted to evaluate the impact of MPNs on
employment, career potential, and work productivity. METHODS: This cross
sectional online survey included respondents aged 18-70 years living in the
United States with a diagnosis of MF, PV, or ET. The survey consisted of ~ 100
questions related to MPN diagnosis, disease-related medical history, MPN-related
symptoms and functional status, changes in employment and work productivity, and
impact on daily activities since diagnosis. The MPN Symptom Assessment Form Total
Symptom Score (MPN-SAF TSS) was used to assess symptom burden. The Work
Productivity and Activity Impairment Specific Health Problem questionnaire (WPAI
SHP) was used to assess the effects of MPNs on work productivity and activity (7
day recall) among currently employed respondents. Correlations between MPN-SAF
TSS and WPAI-SHP scores were calculated using Spearman's coefficients. RESULTS:
Of 904 respondents, 592 were employed (MF, n = 174; PV, n = 248; ET, n = 170) at
the time of their MPN diagnosis. Approximately half (50.5%) of the 592 employed
survey respondents reported >=1 change in employment status because of their
diagnosis, most commonly "left a job" (30.2%) "went on medical disability leave"
(24.8%), and "had reductions in work hours for at least 3 months" (21.8%). Among
respondents who remained employed at the time of survey participation (n = 398),
mean WPAI-SHP scores were as follows: absenteeism, 6.9%; presenteeism, 27.4%;
overall work impairment, 31.1%; and activity impairment, 32.8%. WPAI-SHP scores
positively correlated with MPN-SAF TSS (correlation coefficients, 0.37-0.70; P <
0.001). CONCLUSIONS: Half of the employed respondents had an employment status
change (eg, leaving a job, medical disability leave, early retirement) because of
their disease since the diagnosis. Currently employed respondents reported
meaningful impairments in work productivity and activities of daily living that
were attributable to their MPNs, and the degree of impairments highlighted the
severity of symptom burden.
PMID- 29653556
TI - Non-endometrioid and high-grade endometrioid endometrial cancers show DNA
fragmentation factor 40 (DFF40) and B-cell lymphoma 2 protein (BCL2)
underexpression, which predicts disease-free and overall survival, but not DNA
fragmentation factor 45 (DFF45) underexpression.
AB - BACKGROUND: The expression of DNA fragmentation factor 45 (DFF45) and B-cell
lymphoma 2 (BCL2) in glands of the normal human endometrium is related to phases
of the menstrual cycle and decreases after menopause, whereas the expression of
DNA fragmentation factor 40 (DFF40) is stable. Moreover, DF45, BCL2 and DFF40
underexpression has been reported in numerous malignancies, including uterine
leiomyosarcomas. In this study, we aimed to investigate DFF45, BCL2 and DFF40
expression in endometrioid and non-endometrioid types of endometrial cancers
(ECs). We also evaluated the correlations between DFF45, BCL2 and DFF40
expression levels and clinicopathological parameters and determined the value of
these three proteins as prognostic markers of disease-free survival (DFS) and
overall survival (OS). METHODS: Immunohistochemistry was performed to evaluate
DFF45, BCL2 and DFF40 expression in 342 cases of ECs. Student's t-test, the Mann
Whitney U-test, and the chi-squared test were used for the statistical analyses
as appropriate. The Cox-Mantel test, Cox's proportional hazard model, and
relative risk analyses were used to evaluate associations between DFF40, DFF45,
and BCL2 expression and clinicopathological characteristics. RESULTS: DFF40 and
BCL2, but not DFF45, were significantly underexpressed in non-endometrioid and
high-grade endometrioid ECs compared with low- and moderate-grade endometrioid
ECs. Women with DFF40- and BCL2-negative tumors had higher risks of disease
recurrence, lymph node involvement, lympho-vascular space infiltration, and deep
myometrial invasion compared with women with DFF40- and BCL2-positive tumors.
Additionally, women with DFF40- and BCL2-negative tumors had significantly lower
OS and DFS than women with DFF40- and BCL2-positive tumors. A multivariable
analysis of the model, including the clinicopathological characteristics and
immunohistochemical results, showed that negative BCL2 expression, lymph node
involvement, and high-stage and high-grade disease were independent predictors of
OS, whereas negative BCL2 expression, lymph node involvement, and high-stage
disease were independent predictors of DFS. CONCLUSIONS: Compared with low- and
moderate-grade endometrioid ECs, non-endometrioid and high-grade endometrioid ECs
showed significant DFF40 and BCL2 underexpression. The absence of DFF40 and BCL2
expression negatively affects DFS and OS. Further prospective studies are
warranted to assess the potential utility of DFF40 and BCL2 as targets in the
diagnosis or treatment of ECs.
PMID- 29653558
TI - Acute hydrops with a 180-degree massive edematous cavern demonstrated by three
dimensional view of anterior segment optical coherence tomography in a patient
with pellucid marginal corneal degeneration, a case report.
AB - BACKGROUND: Pellucid marginal corneal degeneration is a non-inflammatory disorder
complicated by severe inferior corneal thinning. The central portion of the
cornea, consequently, appears to protrude outwards, decreasing vision by means of
an irregular stigmatism. Additionally, acute hydrops can occur in case of
Descemet's membrane rupture. CASE PRESENTATION: A 41-year-old Japanese woman
presenting with severe visual loss in the left eye was examined and observed to
have had full thickness corneal perforation as well as a Descemet membrane
rupture with massive edema in the corneal stroma. Anterior segment optical
coherence tomography-based corneal topography revealed a distorted crab claw sign
indicating pellucid marginal corneal degeneration. The Descemet membrane rupture
allowed acute hydrops to occur which was especially noteworthy given the scale of
edema present within the stroma, rotating 180 degrees along the limbus, causing a
smiley-face like lesion. We visualized it via a gonioscopic three-dimensional
optical coherence tomography to build a three-dimensional video. Patient history
revealed a previous acute hydrops in the right eye as well, which was ultimately
treated with anterior lamellar keratoplasty, suggesting the pellucid marginal
corneal degeneration had a classic bilateral involvement, which was also
characterized with bilateral acute hydrops. CONCLUSION: This appears to be a very
rare and interesting presentation of bilateral pellucid marginal degeneration,
wherein not only acute hydrops formed bilaterally, but the cavity within the
cornea stroma was exceptionally large with an unusual shape. Using the
gonioscopic three-dimensional optical coherence tomography imaging, we were able
to easily visualize the massive intrastromal cavern, and appropriately planned
the crescent-shaped anterior lamellar keratoplasty. The 3d video constructed
using this data is particularly elucidative compared to 2d images. As such, we
recommend utilizing 3d imaging in cases where more conventional topography is not
as explanatory with respect to precise nature of deformation.
PMID- 29653559
TI - Serum microRNA signatures and metabolomics have high diagnostic value in gastric
cancer.
AB - BACKGROUND: Many novel diagnostic biomarkers have been developed for gastric
cancer (GC) recently. We chose two methods with high diagnostic value, the
detection of serum microRNAs and metabolomics based on gas chromatography/mass
spectrometry (GC/MS), and aimed to establish appropriate models. METHODS: We
reviewed the diagnostic accuracies of all microRNAs identified by previous
diagnostic tests. Then appropriate microRNAs and their combinations were
validated the diagnostic value. We included 80 patients with GC and 82 healthy
controls (HCs) and detected the expression of the microRNAs. GC/MS analysis was
conducted, and we used three multivariate statistical analyses to establish
diagnostic models. The concentrations of carcinoembryonic antigen (CEA) and
carbohydrate antigen 19-9 (CA19-9) were detected for comparison with the novel
models. RESULTS: Sixty-seven published studies and 70 microRNAs were finally
included in the systematic review. MiR-18a, miR-19a, miR-21, miR-92a, miR-199a
and miR-421 were chosen to further validate their diagnostic efficiencies. Five
of those microRNAs in GC patients had significantly different expression. The
combination of miR-19a and miR-92a had the highest area under the curve (AUC) at
0.850 with a sensitivity of 91.3% and a specificity of 61.0%. The GC/MS analysis
performed an excellent diagnostic value and the AUC reached 1.0. CONCLUSION:
There is a good potential for microRNAs and GC/MS analysis as new diagnostic
methods in view of their high diagnostic value compared with traditional
biomarkers.
PMID- 29653560
TI - ZBP-89 and Sp1 contribute to Bak expression in hepatocellular carcinoma cells.
AB - BACKGROUND: Kruppel family member zinc binding protein 89 (ZBP-89), also known as
ZNF148, regulates Bak expression via binding to GC-rich promoter domain. It is
not clear if other GC-rich binding factors, such as Sp family members, can
interact with ZBPp-89 on Bak expression. This study aims to elucidate the
mechanism of Bak expression regulation by ZBP-89 and Sp proteins, based on in
vitro experiment and The Cancer Genome Atlas (TCGA) hepatocellular carcinoma
(HCC) data cohort. METHODS: We downloaded TCGA hepatocellular carcinoma (HCC)
cohort data to analysis the association of Bak transcription level with ZBP-89
and Sp proteins transcription level. HCC cell lines and liver immortal non-tumour
cell lines were used for mechanism study, including western blotting analysis,
expression vector mediated gene expression and siRNA interference. RESULTS:
Results showed that cancer tissues have higher Bak transcription level compared
with adjacent non-cancer tissues. Bak transcription level was correlated with Sp1
and Sp3 expression level, while no correlation was found in ZBP-89 and Bak,
neither Sp2 nor Sp4. Mithramycin A (MMA) induced Bak expression in a dose
dependent manner. Western blotting results showed Sp1 overexpression increased
Bak expression both in liver immortal non-tumour cells and HCC cells.
Interference Sp1 expression could inhibit Bak expression alone. ZBP-89 siRNA
suppressed Bak expression even in the presence of MMA treatment and S1
overexpression. Additionally, Bak and Sp1 level were associated with HCC patient
survival. CONCLUSIONS: Bak expression required ZBP-89 and Sp1 cooperative
regulation simultaneously.
PMID- 29653561
TI - Micro-RNA-186-5p inhibition attenuates proliferation, anchorage independent
growth and invasion in metastatic prostate cancer cells.
AB - BACKGROUND: Dysregulation of microRNA (miRNA) expression is associated with
hallmarks of aggressive tumor phenotypes, e.g., enhanced cell growth,
proliferation, invasion, and anchorage independent growth in prostate cancer
(PCa). METHODS: Serum-based miRNA profiling involved 15 men diagnosed with non
metastatic (stage I, III) and metastatic (stage IV) PCa and five age-matched
disease-free men using miRNA arrays with select targets confirmed by quantitative
real-time PCR (qRT-PCR). The effect of miR-186-5p inhibition or ectopic
expression on cellular behavior of PCa cells (i.e., PC-3, MDA-PCa-2b, and LNCaP)
involved the use bromodeoxyuridine (BrdU) incorporation, invasion, and colony
formation assays. Assessment of the impact of miR-186-5p inhibition or
overexpression on selected targets entailed microarray analysis, qRT-PCR, and/or
western blots. Statistical evaluation used the modified t-test and ANOVA
analysis. RESULTS: MiR-186-5p was upregulated in serum from PCa patients and
metastatic PCa cell lines (i.e., PC-3, MDA-PCa-2b, LNCaP) compared to serum from
disease-free individuals or a normal prostate epithelial cell line (RWPE1),
respectively. Inhibition of miR-186-5p reduced cell proliferation, invasion, and
anchorage-independent growth of PC-3 and/or MDA-PCa-2b PCa cells. AKAP12, a tumor
suppressor target of miR-186-5p, was upregulated in PC-3 and MDA-PCa-2b cells
transfected with a miR-186-5p inhibitor. Conversely, ectopic miR-186-5p
expression in HEK 293 T cells decreased AKAP12 expression by 30%. Both pAKT and
beta-catenin levels were down-regulated in miR-186-5p inhibited PCa cells.
CONCLUSIONS: Our findings suggest miR-186-5p plays an oncogenic role in PCa.
Inhibition of miR-186-5p reduced PCa cell proliferation and invasion as well as
increased AKAP12 expression. Future studies should explore whether miR-186-5p may
serve as a candidate prognostic indicator and a therapeutic target for the
treatment of aggressive prostate cancer.
PMID- 29653562
TI - Stereotactic body radiation therapy using a respiratory-gated volumetric
modulated arc therapy technique for small hepatocellular carcinoma.
AB - BACKGROUND: Volumetric-modulated arc therapy (VMAT) is a highly sophisticated
linear accelerator-based treatment method, and allows dose rate-changing
intensity modulation with gantry rotation. We report our clinical experiences
with stereotactic body radiation therapy (SBRT) using a respiratory-gated VMAT
technique for patients with hepatocellular carcinoma (HCC) when established
curative treatments cannot be applied. METHODS: A total of 119 patients (139
lesions) with HCC who were treated with SBRT were registered between March 2012
and July 2013 at our institution. A dose of 10-15 Gy per fraction was applied
over 3-4 consecutive days, resulting in a total dose of 30-60 Gy. RESULTS: The
median follow-up period was 25.8 months (range, 3.2-36.8 months). The overall 3
year survival rate was 83.8%. The local control rate at 3 years was 97.0% in all
treated lesions. Multivariate analysis revealed that the Child-Pugh class before
SBRT had significant effects on overall survival (Child-Pugh A: hazard ratio =
0.463; 95% CI, 0.262-0.817; p = 0.008). CONCLUSIONS: SBRT using a respiratory
gated VMAT technique was an excellent ablative treatment modality for patients
with HCC. SBRT is a good alternative treatment for patients with small HCCs that
are unsuitable for surgical resection or local ablative therapy.
PMID- 29653563
TI - A rabbit model of corneal Ectasia generated by treatment with collagenase type
II.
AB - BACKGROUND: To investigate use of collagenase type II for generating a rabbit
model of corneal ectasia. METHODS: Ten New Zealand white rabbits were used with
right eyes treated as the experimental group and left eyes treated as the control
group. After epithelial debridement, a collagenase type II solution (200 MUL of 5
mg/mL) was applied in the experimental group at room temperature (24 degrees C)
for 30 min, and a 200 MUL solution without collagenase was applied in the control
group. Slit-lamp microscopy, the mean keratometry (Km), and central cornea
thickness (CCT) were examined before and after the procedure. Corneas were
obtained on day 14 for biomechanical evaluation. RESULTS: No obvious inflammatory
reaction was observed in all eyes after the procedure. A statistically
significant increase in Km (1.54 +/- 1.29D vs - 0.82 +/- 0.44D at day7 and 0.89
+/- 0.89D vs - 2.11 +/- 1.02D at day14) and a statistically significant decrease
in CCT (- 23.10 +/- 12.17 MUm vs 6.20 +/- 16.51 MUm at day7 and - 16.10 +/- 10.46
MUm vs 11.60 +/- 0.88 MUm at day14) were observed in the experimental group
compared with the control group. The mean stresses and elastic modulus at 5%,
10%, 15%, and 20% deformities in the experimental group decreased and the
differences in elastic modulus between the two groups were statistically
significant at 10% and 15% deformities. CONCLUSIONS: Collagenase type II
treatment results in mimic KC with increased corneal keratometry and corneal
thinning and a lower elastic modulus. An animal model for corneal ectasia can be
generated by treatment with collagenase type II.
PMID- 29653564
TI - Prognostic value of serum CYFRA 21-1 1 in patients with anal canal squamous cell
carcinoma treated with radio(chemo)therapy.
AB - BACKGROUND: We aimed to assess the prognostic value of CYFRA 21-1 in a series of
patients with anal canal squamous cell carcinoma treated by radiation-based
therapy. METHODS: All patients with anal cancer referred between September 2005
and July 2013 were considered. Patients with diagnosis of anal squamous cell
carcinoma and in whom pre- and post-treatment serum CYFRA 21-1 levels were
available were included. Serum CYFRA 21-1 levels at initial workup and after
therapy were collected. Survival rates were estimated using the Kaplan-Meier
method. Cox regression analysis was used to evaluate prognostic variables for
prediction of outcomes. RESULTS: Eighty-two patients were included. Median follow
up was 60 months (range: 8-128). Pre-treatment serum CYFRA 21-1 levels were
significantly correlated with tumour stage (p < 0.001). Normal post-treatment
serum CYFRA 21-1 level was significantly correlated with tumour complete response
(p = 0.004). Elevated post-treatment serum CYFRA 21-1 level was significantly
associated with poorer progression-free survival (p = 0.02) and overall survival
(p = 0.003). T stage and post-treatment serum CYFRA 21-1 were independent
prognostic factors for overall survival (p = 0.04 and 0.03, respectively).
CONCLUSIONS: Serum CYFRA 21-1 appears to be a useful marker for the monitoring of
anal squamous cell carcinoma patients. Elevated post-treatment value appears to
be correlated with treatment failure.
PMID- 29653565
TI - Identification of H2O2 induced oxidative stress associated microRNAs in HLE-B3
cells and their clinical relevance to the progression of age-related nuclear
cataract.
AB - BACKGROUND: This study is aimed to screen out the microRNAs (miRNAs) associated
with H2O2 induced oxidative stress in human lens epithelial B3 (HLE-B3) cell
lines and investigate their relations with the progression of age-related nuclear
cataract. METHODS: H2O2 was used to induce oxidative stress in HLE-B3 cells. A
genome-wide expression profiling of miRNAs in HLE-B3 cells was performed to
select the differentially expressed miRNAs before and after H2O2 treatment. The
selected miRNAs were validated by RT-PCR and fluorescence in situ hybridization
(FISH). Clinical specimens were divided into three groups according to the Lens
Opacities Classification System III (LOCSIII) and the expression levels of the
selected miRNAs were tested by RT-PCR in the three groups. Bioinformatics
analyses were applied to predict the target genes of the miRNA hits and construct
the miRNA regulatory network. The expression level of MAPK14 was analyzed by
Western blot. RESULTS: The H2O2 induced oxidative stress model of HLE-B3 cells
was established. Nineteen upregulated and 30 downregulated miRNAs were identified
as differentially expressed miRNAs. Seven of the total 49 were validated in the
cell model. RT-PCR of the clinical samples showed that the expression levels of
miR-34a-5p, miR-630 and miR-335-3p were closely related with the severity of
nuclear opacity. The images taken from FISH confirmed the results of RT-PCR.
There were 172 target genes of the three miRNAs clustered in the category of
response to stress. The regulatory network demonstrated that 23 target genes were
co-regulated by multiple miRNAs. MAPK14 was the target gene of three miRNAs and
the result were verified by Western blot. CONCLUSION: Up-regulation of miR-34a-5p
and miR-630 and down-regulation of miR-335-3p are related with the progression of
age-related nuclear cataract and the underlying mechanism awaits further
functional research to reveal.
PMID- 29653566
TI - The association between minor recurrent aphthous stomatitis (RAS), children's
poor oral condition, and underlying negative psychosocial habits and attitudes
towards oral hygiene.
AB - BACKGROUND: Minor Recurrent Aphthous Stomatitis (RAS) represents a disease which
is very difficult to prevent. This case-control study focused on possible
associations between minor Recurrent Aphthous Stomatitis in children, their oral
health, and underlying behavioral indexes of children's attitudes and habits
pertaining to (home) oral hygiene, with the further goal of enabling the dentist
to prevent these specific kind of lesions, both from a clinical and a broader
psychosocial perspective. METHODS: Four hundred one school-children (5-10 years
old) in Milan (Italy) were submitted to an intra-oral examination, and
interviewed with the aid of a brief psychosocial questionnaire. RESULTS: At the
clinical level, statistically significant associations were observed between the
presence of decayed teeth and minor Recurrent Aphthous Stomatitis (Odds Ratio:
3.15; 95% CI: lower limit 1.06; upper limit: 9.36; Z-test: 2.07, p = 0.039; Chi
square = 4.71, p = 0.030), and between the Decayed Missing or Filled Teeth (DMFT)
index and minor aphthous stomatitis (Odds Ratio: 3.30; 95% CI: lower limit 1.13;
upper limit: 9.67; Z-test = 2.18, p = 0.029; Chi-square = 5.27; p = 0.022), both
results pointing to a significant increase-by circa 3 times-in the risk of
developing minor Recurrent Aphthous Stomatitis in children exposed to the two
above-identified factors (i.e., the presence of decayed teeth and a clearly
compromised oral condition, as signaled by the DMFT index), if compared with the
risk run by their non-exposed counterparts. At the psychosocial level of
analysis, statistically significant associations were observed (1) between
children's practice of spontaneously brushing teeth when not at home and a
comparatively lower (i.e. better) Decayed Missing or Filled Teeth index (Chi
square: 8.95; p = 0.011), and (2) between receiving parental aid (e.g., proper
brushing instructions) while practicing home oral hygiene and a significantly
reduced presence of decayed teeth (Chi-square = 5.40; p = .067; Spearman's Rho, p
= .038). Further, significant associations were also observed between children's
reported severity of dental pain and both (a) the presence of decayed teeth (Chi
square = 10.80; p = 0.011), and (b) children's (poor) oral health condition as
expressed by the Decayed Missing or Filled Teeth index (Chi-square = 6.29; p =
0.043). Interestingly, specific lifestyles and social status, showed no
systematic association to other clinical or psychological/psychosocial indices.
CONCLUSIONS: These systematic relations suggest that, in the presence of
Recurrent Aphthous Stomatitis in pediatric patients, the dentist should carefully
monitor children for potential carious lesions, implement protocols of prevention
to control Recurrent Aphthous Stomatitis disease in children affected by caries,
and also be particularly aware of the right or wrong habits children may acquire
in the course of continued social exchange with their caregivers and peers.
PMID- 29653567
TI - Population-level distribution and putative immunogenicity of cancer neoepitopes.
AB - BACKGROUND: Tumor neoantigens are drivers of cancer immunotherapy response;
however, current prediction tools produce many candidates requiring further
prioritization. Additional filtration criteria and population-level understanding
may assist with prioritization. Herein, we show neoepitope immunogenicity is
related to measures of peptide novelty and report population-level behavior of
these and other metrics. METHODS: We propose four peptide novelty metrics to
refine predicted neoantigenicity: tumor vs. paired normal peptide binding
affinity difference, tumor vs. paired normal peptide sequence similarity, tumor
vs. closest human peptide sequence similarity, and tumor vs. closest microbial
peptide sequence similarity. We apply these metrics to neoepitopes predicted from
somatic missense mutations in The Cancer Genome Atlas (TCGA) and a cohort of
melanoma patients, and to a group of peptides with neoepitope-specific immune
response data using an extension of pVAC-Seq (Hundal et al., pVAC-Seq: a genome
guided in silico approach to identifying tumor neoantigens. Genome Med 8:11,
2016). RESULTS: We show neoepitope burden varies across TCGA diseases and HLA
alleles, with surprisingly low repetition of neoepitope sequences across patients
or neoepitope preferences among sets of HLA alleles. Only 20.3% of predicted
neoepitopes across TCGA patients displayed novel binding change based on our
binding affinity difference criteria. Similarity of amino acid sequence was
typically high between paired tumor-normal epitopes, but in 24.6% of cases,
neoepitopes were more similar to other human peptides, or bacterial (56.8% of
cases) or viral peptides (15.5% of cases), than their paired normal counterparts.
Applied to peptides with neoepitope-specific immune response, a linear model
incorporating neoepitope binding affinity, protein sequence similarity between
neoepitopes and their closest viral peptides, and paired binding affinity
difference was able to predict immunogenicity (AUROC = 0.66). CONCLUSIONS: Our
proposed prioritization criteria emphasize neoepitope novelty and refine patient
neoepitope predictions for focus on biologically meaningful candidate
neoantigens. We have demonstrated that neoepitopes should be considered not only
with respect to their paired normal epitope, but to the entire human proteome,
and bacterial and viral peptides, with potential implications for neoepitope
immunogenicity and personalized vaccines for cancer treatment. We conclude that
putative neoantigens are highly variable across individuals as a function of
cancer genetics and personalized HLA repertoire, while the overall behavior of
filtration criteria reflects predictable patterns.
PMID- 29653568
TI - Prevalence and intensity of catastrophic health care expenditures in Iran from
2008 to 2015: a study on Iranian household income and expenditure survey.
AB - BACKGROUND: Households exposure to catastrophic health expenditure is a valuable
measure to monitor financial protection in health sector payments. The present
study had two aims: first, to estimate the prevalence and intensity of
catastrophic health expenditures (CHE) in Iran. Second, to investigate main
factors that influence the probability of CHE. METHODS: CHE is defined as an
occasion in which a household's out-of-pocket (OOP) spending exceeds 40% of the
total income that remains after subtraction of living expenses. This study used
the data from eight national repeated cross-sectional surveys on households'
income and expenditure. The proportion of households facing CHE, as a prevalence
measure, was estimated for rural and urban areas. The intensity of CHE was also
calculated using overshoot and mean positive overshoot (MPO) measures. The
factors affecting the CHE were also analyzed using logistic random effects
regression model. We also used ArcMap 10.1 to display visually disparities across
the country. RESULTS: An increasing number of Iranians has been subject to
catastrophic health care costs over the study period in both rural and urban
areas (CHE = 2.57% in 2008 and 3.25% in 2015). In the same period, the overshoot
of CHE and the mean positive overshoot ranged from 0.26% to 0.65% and from 12.26%
to 20.86%, respectively. The average absolute monetary value of OOP spending per
month has been low in rural areas over the years, but the prevalence of CHE has
been higher than urban areas. Generally put, rural settlement, higher income,
receiving inpatient and outpatient services, and existence of elderly people in
the household led to increase in CHE prevalence (p < 0.05). Interestingly,
provinces with more limited geographical and cultural accessibility had the
lowest CHE. CONCLUSIONS: According to the findings, Iran's healthcare system has
failed to realize the aim of five-year national development plan regarding CHE
prevalence (1% CHE prevalence according to the plan). Therefore, revision of
financial health care protection policies focusing on pre-payments seems
mandatory. For instance, these policies should extend the interventions that
target low-income populations particularly in rural areas, provide more coverage
for catastrophic medical services in basic benefit packages, and develop
supplementary health insurance.
PMID- 29653569
TI - ACUDIN - ACUpuncture and laser acupuncture for treatment of DIabetic peripheral
Neuropathy: a randomized, placebo-controlled, partially double-blinded trial.
AB - BACKGROUND: Diabetic peripheral neuropathy (DPN) is the most common complication
of diabetes mellitus with significant clinical sequelae that can affect a
patient's quality of life. Metabolic and microvascular factors are responsible
for nerve damage, causing loss of nerve function, numbness, painful sensory
symptoms, and muscle weakness. Therapy is limited to anti-convulsant or anti
depressant drugs for neuropathic pain and paresthesia. However, reduced
sensation, balance and gait problems are insufficiently covered by this
treatment. Previous data suggests that acupuncture, which has been in use in
Traditional Chinese Medicine for many years, may potentially complement the
treatment options for peripheral neuropathy. Nevertheless, more objective data on
clinical outcome is necessary to generally recommend acupuncture to the public.
METHODS: We developed a study design for a prospective, randomized (RCT), placebo
controlled, partially double-blinded trial for investigating the effect of
acupuncture on DPN as determined by nerve conduction studies (NCS) with the sural
sensory nerve action potential amplitude as the primary outcome. The sural
sensory nerve conduction velocity, tibial motor nerve action potential amplitude,
tibial motor nerve conduction velocity, the neuropathy deficit score, neuropathy
symptom score, and numeric rating scale questionnaires are defined as secondary
outcomes. One hundred and eighty patients with type 2 diabetes mellitus will be
randomized into three groups (needle acupuncture, verum laser acupuncture, and
placebo laser acupuncture). We hypothesize that needle and laser acupuncture have
beneficial effects on electrophysiological parameters and clinical and subjective
symptoms in relation to DPN in comparison with placebo. DISCUSSION: The ACUDIN
trial aims at investigating whether classical needle acupuncture and/or laser
acupuncture are efficacious in the treatment of DPN. For the purpose of an
objective parameter, NCS were chosen as outcome measures. Acupuncture treatment
may potentially improve patients' quality of life and reduce the socio-economic
burden caused by DPN. TRIAL REGISTRATION: German Clinical Trial Register (DRKS),
No. DRKS00008562 , trial search portal of the WHO (
http://apps.who.int/trialsearch/ ).
PMID- 29653570
TI - Susceptibility to short-term ozone exposure and cardiovascular and respiratory
mortality by previous hospitalizations.
AB - BACKGROUND: Ozone (O3) has been associated with cardiorespiratory mortality
although few studies have explored susceptible populations based on prior
disease. We aimed to investigate the role of previous hospitalization on the
association between short-term exposure to O3 and cardiovascular (CV) and
respiratory mortality. METHODS: We performed time series analyses using
generalized additive models and case-crossover on 136,624 CV and 23,281
respiratory deaths in Stockholm County (1990-2010). Deaths were linked to
hospital admissions data. We constructed 2-day and 7-day averages using daily 8-h
maximum for O3 and hourly values for PM2.5, PM10, NO2, and NOx from a fixed
monitor. RESULTS: We observed a 0.7% (95% CI: 0.1%, 1.3%) and 2.7% (95% CI: 0.8%,
4.6%) higher risk of CV and respiratory death per 10 MUg/m3 higher 2-day and 7
day average O3 respectively. Individuals previously hospitalized for myocardial
infarction demonstrated 1.8% (95% CI: 0.4%, 3.4%) higher risk of CV death per 10
MUg/m3 higher 2-day average O3 and similar associations were observed in
individuals with no previous hospitalization for any cause. Individuals with
previous hospitalizations did not show susceptibility towards O3-related risk of
respiratory mortality. We observed no associations for other pollutants.
CONCLUSION: Short-term ozone exposure is associated with CV and respiratory
mortality and our results may suggest higher susceptibility to CV mortality
following O3 exposure in individuals previously hospitalized for myocardial
infarction. Higher risks were also observed in individuals with cardiovascular
death as their first presentation of disease.
PMID- 29653571
TI - Why ethnicity and gender matters for fertility intention among married young
people: a baseline evaluation from a gender transformative intervention in rural
India.
AB - BACKGROUND: Social inequities in early child bearing persist among young married
people, especially among tribal populations in India. Rural women belonging to
tribal groups and those coming from poor households are more likely to give birth
before age 18. This paper explores the connection between ethnicity, gender and
early fertility intention among young married people in rural India. METHODS: The
data is drawn from a cross sectional baseline evaluation of an intervention
programme in rural India. A sample of 273 married young people was taken.
Respondents were selected using systematic random sampling. Logistic Regression
was used to assess the effect of being a tribal on early fertility intention and
also to determine if covariates associated with early fertility intention
differed by tribal status. Qualitative data was analysed using deductive content
analysis approach. RESULTS: Bivariate and logistic regression results indicated
that young married people from tribal communities had higher odds of planning a
child within one year of marriage than non-tribals (OR = 1.47, p-value-0.079).
Findings further suggest that early fertility intention among tribals is driven
by gender factors and higher education and among non-tribals, higher education
and awareness on contraception are key predictors. Among tribals, the odds of
planning a child within one year of marriage was strongly associated with
inequitable gender norms (OR = 1.94, p-value-0.002). Higher education showed
significant positive association with non-tribals (OR = 0.19, p-value-0.014) and
positive association with tribals (OR = 0.56, p-value-0.416). Qualitative
investigation confirms that fertility desires of young married people are
strongly influenced by gender norms especially among tribal populations.
CONCLUSION: Early child bearing was underpinned by complex ethnic factors and
gender norms. Preference for early child bearing was seen most among tribal
communities. Gender attitudes were a cause of concern especially among tribal
groups. These results suggest that efforts to improve early child birth will
require changing gender norms related to fertility among tribals as well as
social equity issues including higher education among non-tribals and tribals.
PMID- 29653572
TI - Impact of parents' need for care on middle-aged women's lifestyle and
psychological distress: evidence from a nationwide longitudinal survey in Japan.
AB - BACKGROUND: Many studies have separately addressed the associations of informal
caregiving with coresidence, a caregiver's work status, and health conditions,
but not jointly. We examined how their parents' need for care affects middle-aged
women's lifestyle and psychological distress, considering the potential
simultaneity of decisions on caregiving and living adjustments. METHODS: We used
22,305 observations of 7037 female participants (aged 54-67 years) from a
nationwide longitudinal survey in Japan conducted during 2009 and 2013. We
considered the occurrence of parents' need for care (OPNC) as an external event
and estimated regression models to explain how it affected the probabilities of
the participants becoming caregivers, coresiding with parents, and working
outside the home. We further conducted the mediation analysis to examine how the
impact of OPNC on participants' psychological distress measured by Kessler 6 (K6)
scores was mediated by caregiving and living adjustments. RESULTS: OPNC made
30.9% and 30.3% of middle-aged women begin informal caregiving for parents and
parents-in-law, respectively, whereas the impact on residential arrangement with
parents or work status was non-significant or rather limited. OPNC raised middle
aged women' K6 scores (range: 0-24) by 0.368 (SE: 0.061) and 0.465 (SE: 0.073)
for parents and parents-in-law, respectively, and informal caregiving mediated
those impacts by 37.7% (95% CI: 15.6-68.2%) and 44.0% (95% CI: 22.2-75.4%),
respectively. By contrast, the mediating effect of residential arrangement with
parents or work status was non-significant. CONCLUSIONS: Results underscore the
fact that OPNC tends to promote middle-aged women to begin informal caregiving
and worsen their psychological distress.
PMID- 29653573
TI - A systematic review of non-antibiotic measures for the prevention of urinary
tract infections in pregnancy.
AB - BACKGROUND: Urinary tract infections (UTIs) are common in pregnancy and account
for the highest proportion of primary care antibiotic prescriptions issued to
pregnant women in the UK. It is well known that antibiotic use is associated with
increased antimicrobial resistance and therefore measures to minimise antibiotic
use for UTI prevention have been studied. The efficacy and safety of these
measures in pregnancy have not been addressed and therefore the aim of this study
was to systematically review the literature to identify and evaluate potential
measures to prevent UTIs in pregnant women. METHODS: Ten databases (EMBASE, AMED,
BNI, CINAHL, Medline, PubMed, PsycINFO, Cochrane Trials, Scopus and Science
Direct) were systematically searched in July 2017 for studies reporting non
antibiotic measures to prevent UTIs in pregnancy. The terms ("urinary tract
infection" or UTI or bacteriuria or cystitis) AND (prevention) AND (pregnan*)
were used. The quality of the publications was appraised using the Critical
Appraisal Skills Programme (CASP) checklists for cohort study, case-control study
and randomised controlled trial. The results were synthesised using a textual
narrative approach. RESULTS: Search results yielded 3276 publications and after
reviewing titles and removing duplicates, 57 full text articles were assessed for
eligibility and eight were included in the review. Five different approaches
(hygiene measures, cranberry juice, immunisation, ascorbic acid and Canephron(r)
N) have been identified, all of which are reported to be safe in pregnancy.
CONCLUSION: The quality of the evidence varied considerably and only hygiene
measures were supported by evidence to be recommended in practice. Future work
needs to concentrate on strengthening the evidence base through improved design
and reporting of studies with a focus on immunisation, ascorbic acid and
Canephron(r) N.
PMID- 29653574
TI - Primary sarcomatoid urothelial carcinoma of the ureter: a case report and review
of the literature.
AB - BACKGROUND: Sarcomatoid urothelial carcinoma is a very dangerous malignant tumour
derived from the epithelium. Primary sarcomatoid carcinoma of the ureter is
extremely rare in clinical practice. The prognosis of this kind of disease is
really poor, and there is still not a diagnosis standard in the world. CASE
PRESENTATION: An 82-year-old female patient who had intermittent waist pain
without any other symptoms, had diagnosed as urothelial cancer on computerised
tomography urography. Considering the patient's age and quality of life, we made
a preserving kidneys resection of the local tumour. The tumour was composed of
sarcomatous and carcinomatous elements, and immunohistochemical examination
showed that tumour cells were positive for cytokeratin, epithelial membrane
antigen, vimentin, and GATA3 markers. There were no complications after 1-hour
surgery. After 3 months, there was no signs of recurrence and metastasis.
CONCLUSION: This case was a patient with sacomatoid urothelial carcinoma. Through
a transurethral resection with laser, the patient recovered well, and there was
no sign of any recurrence of the tumour after 3 months. With the development of
technology and science, more and more cancerous patients' living quality and
survival rate were improved. Maybe it is essential for urologists and scientists
to entirely understand the characteristics of the sarcomatoid urothelial
carcinoma and make a better clinical guideline.
PMID- 29653575
TI - Enhanced stability of a chimeric hepatitis B core antigen virus-like-particle
(HBcAg-VLP) by a C-terminal linker-hexahistidine-peptide.
AB - BACKGROUND: Virus-like-particles (VLPs) are attractive nanoparticulate scaffolds
for broad applications in material/biological sciences and medicine. Prior their
functionalization, specific adaptations have to be carried out. These adjustments
frequently lead to disordered particles, but the particle integrity is an
essential factor for the VLP suitability. Therefore, major requirements for
particle stabilization exist. The objective of this study was to evaluate novel
stabilizing elements for functionalized chimeric hepatitis B virus core antigen
virus-like particles (HBcAg-VLP), with beneficial characteristics for vaccine
development, imaging or delivery. RESULTS: The effects of a carboxy-terminal
polyhistidine-peptide and an intradimer disulfide-bridge on the stability of
preclinically approved chimeric HBcAg-VLPs were assessed. We purified recombinant
chimeric HBcAg-VLPs bearing different modified C-termini and compared their
physical and chemical particle stability by quantitative protein-biochemical and
biophysical techniques. We observed lower chemical resistance of T = 3- compared
to T = 4-VLP (triangulation number) capsids and profound impairment of
accessibility of hexahistidine-peptides in assembled VLPs. Histidines attached to
the C-terminus were associated with superior mechanical and/or chemical particle
stability depending on the number of histidine moieties. A molecular modeling
approach based on cryo-electron microscopy and biolayer interferometry revealed
the underlying structural mechanism for the strengthening of the integrity of
VLPs. Interactions triggering capsid stabilization occur on a highly conserved
residue on the basis of HBcAg-monomers as well as on hexahistidine-peptides of
adjacent monomers. This new stabilization mechanism appears to mimic an
evolutionary conserved stabilization concept for hepadnavirus core proteins.
CONCLUSIONS: These findings establish the genetically simply transferable C
terminal polyhistidine-peptide as a general stabilizing element for chimeric
HBcAg-VLPs to increase their suitability.
PMID- 29653576
TI - Meningeal carcinomatosis: three case-reports.
AB - BACKGROUND: Meningeal carcinomatosis (MC) is characterized by diffuse
infiltration of tumor cells in meninges. There is no tumor mass in the brain and
parenchyma of the spinal cord. MC is divided into primary and metastatic types.
MC cases were previously diagnosed postoperatively or at autopsy. Recent advances
in spinal abbreviation cytology and imaging have led to increase in number of
reported cases. In this study, we discuss the manifestations of MC patients based
on magnetic resonance imaging (MRI) findings, as well as the correlation between
the manifestations and pathology. CASE PRESENTATION: MC was confirmed in all
three cases by lumbar puncture and gadopentetate dimeglumine-enhanced magnetic
resonance imaging. Due to different primary diseases, the patients had specific
imaging manifestations. CONCLUSION: Enhanced MRI examination is extremely
sensitive for detecting abnormalities in meninges, which plays a very important
role in the diagnosis of MC. Since meninges of some MC patients cannot be
enhanced, the enhanced MRI examination cannot be replaced by conventional
cerebrospinal abbreviation examination. Attribute to the diversity of MR contrast
agents, which could provide higher lesion conspicuity and enhances lesion
detection, there may be some more choices to improve the detection rate of MC
patients and prolong their survival lifetime.
PMID- 29653578
TI - Electronic cigarette use in Greece: an analysis of a representative population
sample in Attica prefecture.
AB - BACKGROUND: The purpose was to assess prevalence and correlates of electronic
cigarette (e-cigarette) use in Greece in 2017. METHODS: A cross-sectional survey
of a representative sample of 4058 adults living in Attica prefecture (35% of the
Greek adult population) was performed in May 2017 through telephone interviews.
Prevalence and frequency of e-cigarette use were assessed according to the
smoking status, and logistic regression analysis was performed to identify
correlates of use. RESULTS: Current smoking was reported by 32.6% of
participants. Ever e-cigarette use was reported by 54.1% (51.4-56.8%) of current
smokers, 24.1% (21.7-26.5%) of former smokers and 6.5% (5.3-7.7%) of never
smokers. Past experimentation was the most prevalent pattern of e-cigarette use
among ever users (P < 0.001). Almost 80% of ever and 90% of current e-cigarette
users were using nicotine. Extrapolated to the whole Attica population (3.1
million), there were 1 million current smokers, 848,000 ever e-cigarette users
and 155,000 current e-cigarette users. The majority of current e-cigarette users
(62.2%) were former smokers. Only 0.2% of never smokers were current e-cigarette
users. One out of 20 participants considered e-cigarettes a lot less harmful than
smoking. Being current or former smoker were the strongest correlates current e
cigarette use (OR 30.82, 95%CI 10. 21-69.33 and OR 69.33, 95%CI 23.12-207.90
respectively). CONCLUSIONS: E-cigarette use in Greece is largely confined to
current or former smokers, while current use and nicotine use by never smokers is
extremely rare. The majority of current e-cigarette users were former smokers.
Most participants overestimate the harmfulness of e-cigarettes relative to
smoking.
PMID- 29653577
TI - Nanotechnology based approaches for detection and delivery of microRNA in
healthcare and crop protection.
AB - Nanobiotechnology has the potential to revolutionize diverse sectors including
medicine, agriculture, food, textile and pharmaceuticals. Disease diagnostics,
therapeutics and crop protection strategies are fast emerging using nanomaterials
preferably nanobiomaterials. It has potential for development of novel
nanobiomolecules which offer several advantages over conventional treatment
methods. RNA nanoparticles with many unique features are promising candidates in
disease treatment. The miRNAs are involved in many biochemical and developmental
pathways and their regulation in plants and animals. These appear to be a
powerful tool for controlling various pathological diseases in human, plants and
animals, however there are challenges associated with miRNA based nanotechnology.
Several advancements made in the field of miRNA therapeutics make it an
attractive approach, but a lot more has to be explored in nanotechnology assisted
miRNA therapy. The miRNA based technologies can be employed for detection and
combating crop diseases as well. Despite these potential advantages,
nanobiotechnology applications in the agricultural sector are still in its
infancy and have not yet made its mark in comparison with healthcare sector. The
review provides a platform to discuss nature, role and use of miRNAs in
nanobiotechnology applications.
PMID- 29653580
TI - The effect of a portion size intervention on French fries consumption, plate
waste, satiety and compensatory caloric intake: an on-campus restaurant
experiment.
AB - BACKGROUND: One of the driving factors of dietary overconsumption throughout the
last decennia is the increase of food portion sizes. Larger portions induce
higher daily energy intake, so reducing portion size may reduce intake of excess
calories. However, real-life studies about the effects of portion size reduction
are lacking. Therefore, this study examined the effect of a French fries portion
size reduction on French fries consumption, French fries plate waste, satiety and
caloric intake during the subsequent afternoon among university students and
employees in a Belgian on-campus restaurant setting. Moreover, this study
evaluated consumers' perception about the portion size reduction. METHODS: The
study took place over a two-time (i.e. baseline and intervention week) 4-day
period (Tuesday-Friday) in the on-campus restaurant where +/-1200 meals are
served every day. French fries' portions were reduced by 20% by replacing the
usual porcelain bowl served during the baseline week (+/-200 g) with smaller
volume paper bags during the intervention week (+/-159 g) in a pre-post real-life
experiment. French fries consumption and plate waste were measured in 2056
consumers at baseline and 2175 consumers at intervention. Additionally,
interviews were conducted directly after lunch and again between 4 and 6 p.m. on
the same day to assess satiety and caloric intake at pre and post in a small
subsample of both French fries consumers (n = 19) and non-French fries consumers
(n = 14). Post-intervention, the same subsample was interviewed about their
perception of the portion size reduction (n = 28). RESULTS: Total French fries
intake decreased by 9.1%, and total plate waste decreased by 66.4%. No
differences were found in satiety or caloric intake between baseline and
intervention week among the French fries' consumers. The majority (n = 24, 86%)
of French fries consumers noticed the reduction in portion size during the
intervention. Although most participants (n = 19, 68%) perceived the reduced
portion size as sufficient, only a minority of participants (n = 9, 32%)
indicated post-intervention that they would agree with a permanent
implementation. CONCLUSIONS: Reducing portion size may lead to reduced caloric
intake, without changing perceived levels of satiety.
PMID- 29653579
TI - Delivery of siRNA in vitro and in vivo using PEI-capped porous silicon
nanoparticles to silence MRP1 and inhibit proliferation in glioblastoma.
AB - BACKGROUND: Multidrug resistance-associated protein 1 (MRP1) overexpression plays
a major role in chemoresistance in glioblastoma multiforme (GBM) contributing to
its notorious deadly nature. Although MRP1-siRNA transfection to GBM in vitro has
been shown to sensitise the cells to drug, MRP1 silencing in vivo and the
phenotypic influence on the tumour and normal tissues upon MRP1 down-regulation
have not been established. Here, porous silicon nanoparticles (pSiNPs) that
enable high-capacity loading and delivery of siRNA are applied in vitro and in
vivo. RESULT: We established pSiNPs with polyethyleneimine (PEI) capping that
enables high-capacity loading of siRNA (92 ug of siRNA/mg PEI-pSiNPs), and
optimised release profile (70% released between 24 and 48 h). These pSiNPs are
biocompatible, and demonstrate cellular uptake and effective knockdown of MRP1
expression in GBM by 30%. Also, siRNA delivery was found to significantly reduce
GBM proliferation as an associated effect. This effect is likely mediated by the
attenuation of MRP1 transmembrane transport, followed by cell cycle arrest. MRP1
silencing in GBM tumour using MRP1-siRNA loaded pSiNPs was demonstrated in mice
(82% reduction at the protein level 48 h post-injection), and it also produced
antiproliferative effect in GBM by reducing the population of proliferative
cells. These results indicate that in vitro observations are translatable in
vivo. No histopathological signs of acute damage were observed in other MRP1
expressing organs despite collateral downregulations. CONCLUSIONS: This study
proposes the potential of efficient MRP1-siRNA delivery by using PEI-capped
pSiNPs in achieving a dual therapeutic role of directly attenuating the growth of
GBM while sensitising residual tumour cells to the effects of chemotherapy post
resection.
PMID- 29653581
TI - Where we should focus? Myths and misconceptions of long acting contraceptives in
southern nations, nationalities and People's region, Ethiopia: qualitative study.
AB - BACKGROUND: Despite its wider benefits and access made at community level,
contraceptive methods are one of underutilized services in study area and it is
believed to be influenced by misconceptions and socio cultural values. This study
was designed to explore women's perceptions, myths and misconception to inform
program implementers. METHODS: Study was conducted in Southern Nations,
Nationalities and People's Region, Ethiopia in 2015. Five focus group discussions
with 50 women of reproductive age and 10 key informant interviews with providers
and program officers were done. The discussions and interviews were tape
recorded, transcribed verbatim and analyzed manually using framework analysis
with deductive and descriptive approaches. RESULTS: Improving community awareness
about contraceptives and benefits of contraceptive utilization were acknowledged
by majority of participants. Long acting methods were less preferred due to
perceived side effects, myths and misconceptions and desire to have more
children. Additionally, socio-economic status and partner influence were listed
as reason for non-use. Poor provider-client interaction on available methods was
also reported as system related gap. CONCLUSION: Program implementers need to
address fears, myths and misconceptions. Quality of family planning counselling
should be monitored.
PMID- 29653582
TI - MBL2 gene polymorphism rs1800450 and rheumatic fever with and without rheumatic
heart disease: an Egyptian pilot study.
AB - BACKGROUND: Rheumatic fever (RF) is the result of an autoimmune response to
pharyngitis caused by infection with Streptococcus pyogenes. RF is most prevalent
in Africa and the Middle East. Rheumatic heart disease (RHD) is the most serious
complication of RF. Mannose-binding lectin 2 gene (MBL2) has been reported to be
correlated with different cardiac conditions. In Egyptian patients as a new
studied ethnic population, it is the first time to evaluate the association
between MBL2 gene polymorphism rs1800450 and RF with and without RHD. METHODS:
One hundred and sixty RF patients (80 with RHD and 80 without RHD) and eighty
healthy ethnically matched controls were studied. MBL2 (rs1800450) was genotyped
by real-time PCR using TaqMan(r) allele discrimination assay. The MBL level was
measured by ELISA. Westergren erythrocytes sedimentation rate (ESR), anti
streptolysin O titer (ASOT), C-reactive protein (CRP) and complements (C3 and C4)
were determined. RESULTS: The AA genotype with high production of MBL was
associated with increased risk of RHD more than the B allele carrying subjects.
However, MBL2 genotype related to the low production of MBL was more frequently
observed in those patients without RHD. CONCLUSIONS: Our results suggested the
involvement of MBL2 (rs1800450) polymorphism and its protein in RHD pathogenesis.
Also, it might be a promising future strategy to utilize this polymorphism to
help differentiate patients with RHD from those without RHD.
PMID- 29653583
TI - New additions to the cancer precision medicine toolkit.
AB - New computational and database-driven tools are emerging to aid in the
interpretation of cancer genomic data as its use becomes more common in clinical
evidence-based cancer medicine. Two such open source tools, published recently in
Genome Medicine, provide important advances to address the clinical cancer
genomics data interpretation bottleneck.
PMID- 29653585
TI - Most-enhancing tumor volume by MRI radiomics predicts recurrence-free survival
"early on" in neoadjuvant treatment of breast cancer.
AB - BACKGROUND: The hypothesis of this study was that MRI-based radiomics has the
ability to predict recurrence-free survival "early on" in breast cancer
neoadjuvant chemotherapy. METHODS: A subset, based on availability, of the ACRIN
6657 dynamic contrast-enhanced MR images was used in which we analyzed images of
all women imaged at pre-treatment baseline (141 women: 40 with a recurrence, 101
without) and all those imaged after completion of the first cycle of
chemotherapy, i.e., at early treatment (143 women: 37 with a recurrence vs. 105
without). Our method was completely automated apart from manual localization of
the approximate tumor center. The most enhancing tumor volume (METV) was
automatically calculated for the pre-treatment and early treatment exams.
Performance of METV in the task of predicting a recurrence was evaluated using
ROC analysis. The association of recurrence-free survival with METV was assessed
using a Cox regression model controlling for patient age, race, and hormone
receptor status and evaluated by C-statistics. Kaplan-Meier analysis was used to
estimate survival functions. RESULTS: The C-statistics for the association of
METV with recurrence-free survival were 0.69 with 95% confidence interval of
[0.58; 0.80] at pre-treatment and 0.72 [0.60; 0.84] at early treatment. The
hazard ratios calculated from Kaplan-Meier curves were 2.28 [1.08; 4.61], 3.43
[1.83; 6.75], and 4.81 [2.16; 10.72] for the lowest quartile, median quartile,
and upper quartile cut-points for METV at early treatment, respectively.
CONCLUSION: The performance of the automatically-calculated METV rivaled that of
a semi-manual model described for the ACRIN 6657 study (published C-statistic
0.72 [0.60; 0.84]), which involved the same dataset but required semi-manual
delineation of the functional tumor volume (FTV) and knowledge of the pre
surgical residual cancer burden.
PMID- 29653584
TI - Exposure to the gut microbiota drives distinct methylome and transcriptome
changes in intestinal epithelial cells during postnatal development.
AB - BACKGROUND: The interplay of epigenetic processes and the intestinal microbiota
may play an important role in intestinal development and homeostasis. Previous
studies have established that the microbiota regulates a large proportion of the
intestinal epithelial transcriptome in the adult host, but microbial effects on
DNA methylation and gene expression during early postnatal development are still
poorly understood. Here, we sought to investigate the microbial effects on DNA
methylation and the transcriptome of intestinal epithelial cells (IECs) during
postnatal development. METHODS: We collected IECs from the small intestine of
each of five 1-, 4- and 12 to 16-week-old mice representing the infant, juvenile,
and adult states, raised either in the presence or absence of a microbiota. The
DNA methylation profile was determined using reduced representation bisulfite
sequencing (RRBS) and the epithelial transcriptome by RNA sequencing using paired
samples from each individual mouse to analyze the link between microbiota, gene
expression, and DNA methylation. RESULTS: We found that microbiota-dependent and
independent processes act together to shape the postnatal development of the
transcriptome and DNA methylation signatures of IECs. The bacterial effect on the
transcriptome increased over time, whereas most microbiota-dependent DNA
methylation differences were detected already early after birth. Microbiota
responsive transcripts could be attributed to stage-specific cellular programs
during postnatal development and regulated gene sets involved primarily immune
pathways and metabolic processes. Integrated analysis of the methylome and
transcriptome data identified 126 genomic loci at which coupled differential DNA
methylation and RNA transcription were associated with the presence of intestinal
microbiota. We validated a subset of differentially expressed and methylated
genes in an independent mouse cohort, indicating the existence of microbiota
dependent "functional" methylation sites which may impact on long-term gene
expression signatures in IECs. CONCLUSIONS: Our study represents the first genome
wide analysis of microbiota-mediated effects on maturation of DNA methylation
signatures and the transcriptional program of IECs after birth. It indicates that
the gut microbiota dynamically modulates large portions of the epithelial
transcriptome during postnatal development, but targets only a subset of
microbially responsive genes through their DNA methylation status.
PMID- 29653586
TI - Sub-chronic toxicopathological study of lantadenes of Lantana camara weed in
Guinea pigs.
AB - BACKGROUND: In the field conditions, animals regularly consume small quantities
of lantana leaves either while grazing or due to mixing with regular fodder. The
hypothesis of this study was that consumption of lantana toxins over a long
period of time leads to progression of sub-clinical disease. Toxicopathological
effects of sub-chronic (90 days) administration of lantadenes of L. camara were
investigated in guinea pigs. For this, a total of 40 animals were divided into 5
groups whereby groups I, II, III and IV were orally administered lantadenes,
daily at the dose of 24, 18, 12, and 6 mg/kg bw, respectively while group V was
control. The animals were evaluated by weekly body weight changes, haematology,
serum liver and kidney markers, tissue oxidative markers and histopathology.
RESULTS: The results of significant decrease in weekly body weights, haematology,
liver and kidney marker enzymes (alanine aminotransaminase, aspartate
aminotransaminase, acid phosphatase and creatinine), oxidation stress markers
(lipid peroxidation, reduced glutathione, superoxide dismutase and catalase) in
liver and kidneys, histopathology, and confirmation of fibrous collagenous tissue
proliferation by Masson's Trichome stain showed that lantadenes led to a dose
dependent toxicity in decreasing order with the highest dose (24 mg/kg bw)
producing maximum lesions and the lowest dose (6 mg/kg bw) producing minimum
alterations. CONCLUSIONS: The study revealed that lantadenes which are considered
to be classical hepatotoxicants in acute toxicity produced pronounced
nephrotoxicity during sub-chronic exposure. Further studies are needed to
quantify the levels of lantadenes in blood or serum of animals exposed to lantana
in field conditions which would help to assess the extent of damage to the vital
organs.
PMID- 29653587
TI - Three-dimensional printed PLA scaffold and human gingival stem cell-derived
extracellular vesicles: a new tool for bone defect repair.
AB - BACKGROUND: The role of bone tissue engineering in the field of regenerative
medicine has been a main research topic over the past few years. There has been
much interest in the use of three-dimensional (3D) engineered scaffolds (PLA)
complexed with human gingival mesenchymal stem cells (hGMSCs) as a new
therapeutic strategy to improve bone tissue regeneration. These devices can mimic
a more favorable endogenous microenvironment for cells in vivo by providing 3D
substrates which are able to support cell survival, proliferation and
differentiation. The present study evaluated the in vitro and in vivo capability
of bone defect regeneration of 3D PLA, hGMSCs, extracellular vesicles (EVs), or
polyethyleneimine (PEI)-engineered EVs (PEI-EVs) in the following experimental
groups: 3D-PLA, 3D-PLA + hGMSCs, 3D-PLA + EVs, 3D-PLA + EVs + hGMSCs, 3D-PLA +
PEI-EVs, 3D-PLA + PEI-EVs + hGMSCs. METHODS: The structural parameters of the
scaffold were evaluated using both scanning electron microscopy and
nondestructive microcomputed tomography. Nanotopographic surface features were
investigated by means of atomic force microscopy. Scaffolds showed a
statistically significant mass loss along the 112-day evaluation. RESULTS: Our in
vitro results revealed that both 3D-PLA + EVs + hGMSCs and 3D-PLA + PEI-EVs +
hGMSCs showed no cytotoxicity. However, 3D-PLA + PEI-EVs + hGMSCs exhibited
greater osteogenic inductivity as revealed by morphological evaluation and
transcriptomic analysis performed by next-generation sequencing (NGS). In
addition, in vivo results showed that 3D-PLA + PEI-EVs + hGMSCs and 3D-PLA + PEI
EVs scaffolds implanted in rats subjected to cortical calvaria bone tissue damage
were able to improve bone healing by showing better osteogenic properties. These
results were supported also by computed tomography evaluation that revealed the
repair of bone calvaria damage. CONCLUSION: The re-establishing of the integrity
of the bone lesions could be a promising strategy in the treatment of accidental
or surgery trauma, especially for cranial bones.
PMID- 29653588
TI - The emerging role of fibrocytes in ocular disorders.
AB - The fibrocyte, which was first described in 1994, is a type of circulating
mesenchymal progenitor cell in the peripheral blood. Fibrocytes play important
roles in chronic inflammation, wound healing, tissue remodeling, and fibrosis.
Emerging evidence indicates that fibrocytes are involved in a wide variety of
ocular disorders associated with inflammation and fibrosis. In this review, we
summarize recent advances regarding the general characteristic profile of
fibrocytes, molecular mechanisms underlying the fibrocyte recruitment to target
tissues, their differentiation into fibroblasts, and the potential role of
fibrocytes in ocular disease. Given the critical role of fibrocytes in ocular
disorders, fibrocytes may serve as a promising pharmaceutical target in the
development of novel therapeutic strategies to treat ocular inflammation and
fibrosis.
PMID- 29653589
TI - Histone H3K9 and H4 Acetylations and Transcription Facilitate the Initial CENP
AHCP-3 Deposition and De Novo Centromere Establishment in Caenorhabditis elegans
Artificial Chromosomes.
AB - BACKGROUND: The centromere is the specialized chromatin region that directs
chromosome segregation. The kinetochore assembles on the centromere, attaching
chromosomes to microtubules in mitosis. The centromere position is usually
maintained through cell cycles and generations. However, new centromeres, known
as neocentromeres, can occasionally form on ectopic regions when the original
centromere is inactivated or lost due to chromosomal rearrangements. Centromere
repositioning can occur during evolution. Moreover, de novo centromeres can form
on exogenously transformed DNA in human cells at a low frequency, which then
segregates faithfully as human artificial chromosomes (HACs). How centromeres are
maintained, inactivated and activated is unclear. A conserved histone H3 variant,
CENP-A, epigenetically marks functional centromeres, interspersing with H3.
Several histone modifications enriched at centromeres are required for centromere
function, but their role in new centromere formation is less clear. Studying the
mechanism of new centromere formation has been challenging because these events
are difficult to detect immediately, requiring weeks for HAC selection. RESULTS:
DNA injected into the Caenorhabditis elegans gonad can concatemerize to form
artificial chromosomes (ACs) in embryos, which first undergo passive inheritance,
but soon autonomously segregate within a few cell cycles, more rapidly and
frequently than HACs. Using this in vivo model, we injected LacO repeats DNA,
visualized ACs by expressing GFP::LacI, and monitored equal AC segregation in
real time, which represents functional centromere formation. Histone H3K9 and H4
acetylations are enriched on new ACs when compared to endogenous chromosomes. By
fusing histone deacetylase HDA-1 to GFP::LacI, we tethered HDA-1 to ACs
specifically, reducing AC histone acetylations, reducing AC equal segregation
frequency, and reducing initial kinetochroe protein CENP-AHCP-3 and NDC-80
deposition, indicating that histone acetylations facilitate efficient centromere
establishment. Similarly, inhibition of RNA polymerase II-mediated transcription
also delays initial CENP-AHCP-3 loading. CONCLUSIONS: Acetylated histones on
chromatin and transcription can create an open chromatin environment, enhancing
nucleosome disassembly and assembly, and potentially contribute to centromere
establishment. Alternatively, acetylation of soluble H4 may stimulate the initial
deposition of CENP-AHCP-3-H4 nucleosomes. Our findings shed light on the
mechanism of de novo centromere activation.
PMID- 29653591
TI - Is heavy eccentric calf training superior to wait-and-see, sham rehabilitation,
traditional physiotherapy and other exercise interventions for pain and function
in mid-portion Achilles tendinopathy?
AB - BACKGROUND: Mid-portion Achilles tendinopathy (AT) is prevalent amongst athletic
and non-athletic populations with pain, stiffness and impaired function typically
reported. While different management options exist, loading protocols remain the
best available intervention and have been shown to be effective in the management
of AT. Trials investigating loading in AT have used a variety of different
protocols, and recent narrative reviews suggest that no protocol is superior to
another when comparing outcomes in pain and function. However, there has been no
systematic review or meta-analysis completed to determine this. Furthermore, the
narrative review did not consider wait-and-see or sham interventions, thus a
systematic review and met-analysis which includes wait-and-see or sham
interventions is warranted. METHODS: A systematic review and meta-analyses will
be conducted as per the PRISMA guidelines. The databases PUBMED, CINAHL (Ovid)
and CINAHL (EBSCO) will be searched for articles published from inception to 31
December 2017. Our search focuses on studies examining the improvement of pain
and function when completing a loading program for mid-portion AT. Only
randomised/ quasi-randomised trials will be included while case reports and case
series will be excluded. The primary outcome assessing pain and function will be
the Victorian Institute Sports Assessment - Achilles (VISA-A). Two reviewers will
screen articles, extract data and assess the risk of bias independently with a
third reviewer resolving any disagreements between the two reviewers. A meta
analysis will then be performed on the data (if appropriate) to determine if the
traditional heavy load calf training protocol described by Alfredson is superior
to wait-and-see, sham intervention, traditional physiotherapy, and other forms of
exercise rehabilitation. DISCUSSION: This systematic review and meta-analysis
will allow us to investigate if there are difference in pain and function when
comparing wait-and-see, sham interventions, traditional physiotherapy and
different exercise interventions to the traditional heavy eccentric calf training
protocol for mid-portion Achilles tendon pain. SYSTEMATIC REVIEW REGISTRATION:
PROSPERO registration number CRD42018084493 .
PMID- 29653590
TI - Stem cell-derived mitochondria transplantation: a novel strategy and the
challenges for the treatment of tissue injury.
AB - Damage of mitochondria in the initial period of tissue injury aggravates the
severity of injury. Restoration of mitochondria dysfunction and mitochondrial
based therapeutics represent a potentially effective therapeutic strategy.
Recently, mitochondrial transfer from stem cells has been demonstrated to play a
significant role in rescuing injured tissues. The possible mechanisms of
mitochondria released from stem cells, the pathways of mitochondria transfer
between the donor stem cells and recipient cells, and the internalization of
mitochondria into recipient cells are discussed. Moreover, a novel strategy for
tissue injury based on the concept of stem cell-derived mitochondrial
transplantation is pointed out, and the advantages and challenges are summarized.
PMID- 29653593
TI - Wash-resistance of pirimiphos-methyl insecticide treatments of window screens and
eave baffles for killing indoor-feeding malaria vector mosquitoes: an
experimental hut trial, South East of Zambia.
AB - BACKGROUND: The effectiveness of long-lasting insecticidal-treated nets (LLINs)
and indoor residual spraying (IRS) for malaria control is threatened by
resistance to commonly used pyrethroid insecticides. Rotations, mosaics,
combinations, or mixtures of insecticides from different complementary classes
are recommended by the World Health Organization (WHO) for mitigating against
resistance, but many of the alternatives to pyrethroids are prohibitively
expensive to apply in large national IRS campaigns. Recent evaluations of window
screens and eave baffles (WSEBs) treated with pirimiphos-methyl (PM), to
selectively target insecticides inside houses, demonstrated malaria vector
mortality rates equivalent or superior to IRS. However, the durability of
efficacy when co-applied with polyacrylate-binding agents (BA) remains to be
established. This study evaluated whether WSEBs, co-treated with PM and BA have
comparable wash resistance to LLINs and might therefore remain insecticidal for
years rather than months. METHODS: WHO-recommended wire ball assays of
insecticidal efficacy were applied to polyester netting treated with or without
BA plus 1 or 2 g/sq m PM. They were then tested for insecticidal efficacy using
fully susceptible insectary-reared Anopheles gambiae mosquitoes, following 0, 5,
10, 15, then 20 washes as per WHO-recommended protocols for accelerated ageing of
LLINs. This was followed by a small-scale field trial in experimental huts to
measure malaria vector mortality achieved by polyester netting WSEBs treated with
BA and 2 g/sq m PM after 0, 10 and then 20 standardized washes, alongside
recently applied IRS using PM. RESULTS: Co-treatment with BA and either dosage of
PM remained insecticidal over 20 washes in the laboratory. In experimental huts,
WSEBs treated with PM plus BA consistently killed similar proportions of
Anopheles arabiensis mosquitoes to PM-IRS (both consistently >= 94%), even after
20 washes. CONCLUSION: Co-treating WSEBs with both PM and BA results in wash
resistant insecticidal activity comparable with LLINs. Insecticide treatments for
WSEBs may potentially last for years rather than months, therefore, reducing
insecticide consumption by an order of magnitude relative to IRS. However,
durability of WSEBs will still have to be assessed in real houses under
representative field conditions of exposure to wear and tear, sunlight and rain.
PMID- 29653592
TI - Amiodarone-induced reversible and irreversible hepatotoxicity: two case reports.
AB - BACKGROUND: Amiodarone is a highly effective treatment for supraventricular and
ventricular tachyarrhythmia; however, it could be associated with several serious
adverse effects, including liver injury. CASE PRESENTATION: We report the
clinical and histological features of two contrasting Japanese patients with
amiodarone-induced reversible and irreversible hepatotoxicity. One patient with
amiodarone-induced irreversible hepatotoxicity showed liver cirrhosis during
treatment with amiodarone and died of hepatic failure; the other patient, who had
reversible hepatotoxicity, showed a reversible course of liver function and
imaging after discontinuation of amiodarone. CONCLUSIONS: We emphasize the
importance of close monitoring of liver enzymes and evaluation of liver computed
tomographic imaging as well as liver biopsy during treatment with amiodarone, and
discontinuation should be considered when amiodarone-induced hepatotoxicity is
suspected.
PMID- 29653594
TI - Bronze Age meat industry: ancient mitochondrial DNA analyses of pig bones from
the prehistoric salt mines of Hallstatt (Austria).
AB - OBJECTIVE: In the Bronze Age Hallstatt metropolis ('Salzkammergut' region, Upper
Austria), salt richness enabled the preservation of pork meat to sustain people's
livelihood suggesting an organized meat production industry on a yearly basis of
hundreds of pigs. To pattern the geographic and temporal framework of the early
management of pig populations in the surrounding areas of Hallstatt, we want to
gain insights into the phylogeographic network based on DNA sequence variation
among modern pigs, wild boars and prehistoric (likely) domestic pigs. RESULTS: In
this pilot study, we successfully adapted ancient DNA extraction and sequencing
approaches for the analysis of mitochondrial DNA sequence variation in ten
prehistoric porcine teeth specimens. Minimum-spanning network analyses revealed
unique mitochondrial control region DNA haplotypes ranging within the variation
of modern domestic pig and wild boar lineages and even shared haplotypes between
prehistoric and modern domestic pigs and wild boars were observed.
PMID- 29653595
TI - Public attitudes in Japan toward participation in whole genome sequencing
studies.
AB - BACKGROUND: Recent innovations in gene analysis technology have allowed for rapid
and inexpensive sequencing of entire genomes. Thus, both conducting a study using
whole genome sequencing (WGS) in a large population and the clinical application
of research findings from such studies are currently feasible. However, to
promote WGS studies, understanding and voluntary participation by the general
public is needed. Therefore, it is essential to investigate the general public's
attitude toward and understanding of WGS studies. The primary goal of our
research is to investigate these issues and to discover how they relate to
research participation in WGS studies. METHODS: A survey of awareness regarding
WGS and studies using WGS was conducted with a sample of 2000 or more
participants using a self-administered questionnaire posted on the Internet
between February 20 and 21, 2015. Prior to the survey, we briefly explained WGS
and WGS study-related issues to the respondents in order to provide them with the
minimum knowledge required to answer the questionnaire. We then conducted an
analysis, including cross-classification. RESULTS: For the question regarding
interest in WGS, 46.6% of participants responded "Yes." 70.7% of all respondents
said that they were interested in some kinds of findings that could be obtained
from WGS studies. Regarding participation in WGS studies, 29.0% were interested
in participating. The demographic factors significantly related to attitudes
toward research participation were age, level of education, and employment
status. The results also suggest that concerns about WGS have a positive effect
on people's willingness to participate. Furthermore, it was shown that for people
who were not interested in their gene-related information, concerns about WGS
negatively impacted their willingness to participate. However, for people who
were interested in their gene-related information, their concerns might not have
impacted their willingness to participate. DISCUSSION AND CONCLUSIONS: This
research has shown several key factors that affect the willingness of the general
public for the participation to the WGS studies. One of the unexpected findings
is that concerns toward WGS studies generally have positive effect on the
peoples' attitude. It will be interesting to further investigate into the various
types of concerns that people in different groups have about WGS.
PMID- 29653596
TI - A meta-analysis of public microarray data identifies biological regulatory
networks in Parkinson's disease.
AB - BACKGROUND: Parkinson's disease (PD) is a long-term degenerative disease that is
caused by environmental and genetic factors. The networks of genes and their
regulators that control the progression and development of PD require further
elucidation. METHODS: We examine common differentially expressed genes (DEGs)
from several PD blood and substantia nigra (SN) microarray datasets by meta
analysis. Further we screen the PD-specific genes from common DEGs using GCBI.
Next, we used a series of bioinformatics software to analyze the miRNAs, lncRNAs
and SNPs associated with the common PD-specific genes, and then identify the mTF
miRNA-gene-gTF network. RESULT: Our results identified 36 common DEGs in PD blood
studies and 17 common DEGs in PD SN studies, and five of the genes were
previously known to be associated with PD. Further study of the regulatory miRNAs
associated with the common PD-specific genes revealed 14 PD-specific miRNAs in
our study. Analysis of the mTF-miRNA-gene-gTF network about PD-specific genes
revealed two feed-forward loops: one involving the SPRK2 gene, hsa-miR-19a-3p and
SPI1, and the second involving the SPRK2 gene, hsa-miR-17-3p and SPI. The long
non-coding RNA (lncRNA)-mediated regulatory network identified lncRNAs associated
with PD-specific genes and PD-specific miRNAs. Moreover, single nucleotide
polymorphism (SNP) analysis of the PD-specific genes identified two significant
SNPs, and SNP analysis of the neurodegenerative disease-specific genes identified
seven significant SNPs. Most of these SNPs are present in the 3'-untranslated
region of genes and are controlled by several miRNAs. CONCLUSION: Our study
identified a total of 53 common DEGs in PD patients compared with healthy
controls in blood and brain datasets and five of these genes were previously
linked with PD. Regulatory network analysis identified PD-specific miRNAs,
associated long non-coding RNA and feed-forward loops, which contribute to our
understanding of the mechanisms underlying PD. The SNPs identified in our study
can determine whether a genetic variant is associated with PD. Overall, these
findings will help guide our study of the complex molecular mechanism of PD.
PMID- 29653597
TI - Phosphorylated TDP-43 (pTDP-43) aggregates in the axial skeletal muscle of
patients with sporadic and familial amyotrophic lateral sclerosis.
AB - Muscle atrophy with weakness is a core feature of amyotrophic lateral sclerosis
(ALS) that has long been attributed to motor neuron loss alone. However, several
studies in ALS patients, and more so in animal models, have challenged this
assumption with the latter providing direct evidence that muscle can play an
active role in the disease. Here, we examined the possible role of cell
autonomous pathology in 148 skeletal muscle samples from 57 ALS patients,
identifying phosphorylated TAR DNA-binding protein (pTDP-43) inclusions in the
muscle fibers of 19 patients (33.3%) and 24 tissue samples (16.2% of specimens).
A muscle group-specific difference was identified with pTDP-43 pathology being
significantly more common in axial (paraspinous, diaphragm) than appendicular
muscles (P = 0.0087). This pathology was not significantly associated with
pertinent clinical, genetic (c9ALS) or nervous system pathologic data, suggesting
it is not limited to any particular subgroup of ALS patients. Among 25 non-ALS
muscle samples, pTDP-43 inclusions were seen only in the autophagy-related
disorder inclusion body myositis (IBM) (n = 4), where they were more diffuse than
in positive ALS samples (P = 0.007). As in IBM samples, pTDP-43 aggregates in ALS
were p62/ sequestosome-1-positive, potentially indicating induction of autophagy.
Phospho-TDP-43-positive ALS and IBM samples also showed significant up-regulation
of TARDBP and SQSTM1 expression. These findings implicate axial skeletal muscle
as an additional site of pTDP-43 pathology in some ALS patients, including
sporadic and familial cases, which is deserving of further investigation.
PMID- 29653598
TI - Economic impact of bovine cysticercosis and taeniosis caused by Taenia saginata
in Belgium.
AB - BACKGROUND: Bovine cysticercosis (BCC) (due to Taenia saginata) is often claimed
to cause considerable economic losses to the livestock industry, particularly in
beef cattle, but recent studies estimating the economic impact are lacking. The
current study aimed to quantify the annual economic impact of BCC in Belgium from
2012 to 2016, by gathering data from diverse sources in the meat and human health
sectors. RESULTS: In Belgium, on average, 15 carcasses with generalised
infections and 1168 carcasses with localised ones are detected upon meat
inspection each year. The highest proportion of the total economic losses due to
bovine cysticercosis were borne by the cattle owners with an average economic
cost of ?3,408,455/year: ?2,954,061/year due to BCC insurance, ?453,024/year due
to value losses of beef of uninsured carcasses (i.e. freezing process) and
?1370/year due to destruction costs of uninsured carcasses with generalised
infections. The slaughterhouses suffered an economic impact of ?210,806/year.
They were responsible for inspection costs related to meat inspection in general,
administration, processing and deboning of infected carcasses (?597,856/year),
value losses (?34,848/year) and destruction costs (?105/year) of carcasses
insured by the slaughterhouses (unofficial insurance) (5% of slaughtered
animals). On the other hand, the slaughterhouses gained a total of ?422,004/year
due to unofficial insurance fees. Thirty percent of all slaughtered animals were
officially insured against BCC and the insurance company generated an income of
?2,322,337/year. The economic impact related to taeniosis (10,991 patients
annually) amounted to a maximum of ?795,858/year. CONCLUSION: BCC and taeniosis
due to T. saginata have a large economic impact in Belgium, mainly due to the
insurance costs for BCC. These results indicate the need for reducing the number
of BCC and taeniosis cases to avoid the costs and losses related to this
parasite.
PMID- 29653599
TI - Effects of antibiotic treatment on the fecundity of Rhipicephalus
haemaphysaloides ticks.
AB - BACKGROUND: Endosymbiotic bacteria inhabit a variety of arthropods including
ticks and may have multiple effects on the host's survival, reproduction or
pathogen acquisition and transmission. Rhipicephalus haemaphysaloides is one of
the most widely distributed tick species in China. The symbiotic bacteria
composition and their impacts to R. haemaphysaloides ticks have not been studied.
The present study investigated the composition of microbial community in R.
haemaphysaloides ticks and then assessed the effects of endosymbionts on the
host's fecundity by antibiotic treatment experiments. METHODS: The microbial
population of female and male R. haemaphysaloides ticks was analyzed using
Illumina Miseq sequencing of 16S rRNA gene. Thirty engorged female ticks were
then randomly divided into five groups and injected with ampicillin,
ciprofloxacin, kanamycin, tetracycline, or phosphate-buffered solution (PBS),
respectively. Effects of antibiotic treatments on maternal oviposition, egg
hatching and density of endosymbionts were evaluated. RESULTS: Illumina Miseq
sequencing showed that Coxiella and Rickettsia were the predominant bacterial
genera inhabiting R. haemaphysaloides ticks. Antibiotic treatment experiments
found that kanamycin reduced the density of Coxiella-like endosymbiont (Coxiella
LE hereafter) in eggs, ciprofloxacin reduced the density of Rickettsia-like
endosymbiont (Rickettsia-LE), and tetracycline had effect on both endosymbionts,
while ampicillin affected neither. Meanwhile hatching rates of eggs were observed
to decrease greatly in the kanamycin or tetracycline-treated group but maintained
in the ampicillin or ciprofloxacin-treated group. Furthermore, the reduced
hatching rates were found to be associated with density of Coxiella-LE in eggs.
CONCLUSIONS: The findings indicate that Coxiella-LE is essential for the
reproduction of R. haemaphysaloides ticks, and that kanamycin can be used to
study the role of Coxiella-LE on ticks.
PMID- 29653601
TI - Erratum to "Neurotrophins and specific receptors in the oviduct tracts of
Japanese quail (Coturnix coturnix japonica)" [AANAT 210C (2017) 112-120].
PMID- 29653600
TI - First record of the nematode Libyostrongylus dentatus Hoberg, Lloyd & Omar, 1995
(Trichostrongylidae) in ostriches (Struthio camelus Linnaeus, 1758)
(Struthionidae) outside the Americas.
AB - BACKGROUND: Libyostrongylus douglassii, Libyostrongylus dentatus and
Libyostrongylus magnus are nematodes that infect ostriches. The first species has
been identified in ostriches from Africa, Europe, Americas and Oceania. Although
the natural range of ostriches is Africa, L. dentatus was first described in
birds from the USA and later identified in Brazil, where co-infections with L.
douglassii have been commonly reported. Libyostrongylus magnus is known from the
original description only. There are a few reports on infections with L.
douglassii in ostriches from Africa and all farmed birds examined are from the
southern region of the continent. The aim of this report was to verify
Libyostrongylus spp. infections in wild ostriches from Ethiopia. Fecal samples
from ostriches, Struthio molybdophanes, were collected and submitted to
coproculture. Infective larvae were identified to the species level based on
general morphology and morphometry. In addition, phylogenetic analysis of the
first and second internal transcribed spacer (ITS1 and ITS2) of the nuclear
ribosomal DNA was performed. RESULTS: Infective larvae from Ethiopian ostriches
had the morphological characteristics of L. dentatus. Confidence interval
estimate for sheath tail length from Ethiopian Libyostrongylus sp. isolates
overlapped one for Brazilian L. dentatus. Neighbor-joining and Maximum Likelihood
phylogenetic trees based on sequences of the ITS1 and ITS2 regions revealed that
the Ethiopian samples belong to the L. dentatus species clade. Monospecific
infections with L. dentatus were confirmed in Ethiopian wild ostriches, opposed
to the co-infections typically found in the Americas. CONCLUSIONS: To our
knowledge, this is the first record of L. dentatus from African ostriches, the
region from which this parasite originated.
PMID- 29653602
TI - Reply to: A protocolized approach to veno-arterial extracorporeal membrane
oxygenation for massive pulmonary embolism.
PMID- 29653603
TI - Guidelines for post-resuscitation care should include management of acute kidney
injury and use of renal replacement therapy.
PMID- 29653604
TI - Reply to: Guidelines for post-resuscitation care should include management of
acute kidney injury and use of renal replacement therapy.
PMID- 29653605
TI - Future prospects and challenges for Alzheimer's disease drug development in the
era of the NIA-AA Research Framework.
PMID- 29653608
TI - NIA commentary on the NIA-AA Research Framework: Towards a biological definition
of Alzheimer's disease.
PMID- 29653607
TI - The National Institute on Aging and the Alzheimer's Association Research
Framework for Alzheimer's disease: Perspectives from the Research Roundtable.
AB - The Alzheimer's Association's Research Roundtable met in November 2017 to explore
the new National Institute on Aging and the Alzheimer's Association Research
Framework for Alzheimer's disease. The meeting allowed experts in the field from
academia, industry, and government to provide perspectives on the new National
Institute on Aging and the Alzheimer's Association Research Framework. This
review will summarize the "A, T, N System" (Amyloid, Tau, and Neurodegeneration)
using biomarkers and how this may be applied to clinical research and drug
development. In addition, challenges and barriers to the potential adoption of
this new framework will be discussed. Finally, future directions for research
will be proposed.
PMID- 29653610
TI - Abnormal white matter: Expanding the GLUT1-D phenotype.
PMID- 29653609
TI - Further expansion of the genetic GABA-A-opathies.
PMID- 29653611
TI - #VaccinesWork... don't they?
PMID- 29653612
TI - The fog of development: evaluating the Millennium Villages Project.
PMID- 29653606
TI - NIA-AA Research Framework: Toward a biological definition of Alzheimer's disease.
AB - In 2011, the National Institute on Aging and Alzheimer's Association created
separate diagnostic recommendations for the preclinical, mild cognitive
impairment, and dementia stages of Alzheimer's disease. Scientific progress in
the interim led to an initiative by the National Institute on Aging and
Alzheimer's Association to update and unify the 2011 guidelines. This unifying
update is labeled a "research framework" because its intended use is for
observational and interventional research, not routine clinical care. In the
National Institute on Aging and Alzheimer's Association Research Framework,
Alzheimer's disease (AD) is defined by its underlying pathologic processes that
can be documented by postmortem examination or in vivo by biomarkers. The
diagnosis is not based on the clinical consequences of the disease (i.e.,
symptoms/signs) in this research framework, which shifts the definition of AD in
living people from a syndromal to a biological construct. The research framework
focuses on the diagnosis of AD with biomarkers in living persons. Biomarkers are
grouped into those of beta amyloid deposition, pathologic tau, and
neurodegeneration [AT(N)]. This ATN classification system groups different
biomarkers (imaging and biofluids) by the pathologic process each measures. The
AT(N) system is flexible in that new biomarkers can be added to the three
existing AT(N) groups, and new biomarker groups beyond AT(N) can be added when
they become available. We focus on AD as a continuum, and cognitive staging may
be accomplished using continuous measures. However, we also outline two different
categorical cognitive schemes for staging the severity of cognitive impairment: a
scheme using three traditional syndromal categories and a six-stage numeric
scheme. It is important to stress that this framework seeks to create a common
language with which investigators can generate and test hypotheses about the
interactions among different pathologic processes (denoted by biomarkers) and
cognitive symptoms. We appreciate the concern that this biomarker-based research
framework has the potential to be misused. Therefore, we emphasize, first, it is
premature and inappropriate to use this research framework in general medical
practice. Second, this research framework should not be used to restrict
alternative approaches to hypothesis testing that do not use biomarkers. There
will be situations where biomarkers are not available or requiring them would be
counterproductive to the specific research goals (discussed in more detail later
in the document). Thus, biomarker-based research should not be considered a
template for all research into age-related cognitive impairment and dementia;
rather, it should be applied when it is fit for the purpose of the specific
research goals of a study. Importantly, this framework should be examined in
diverse populations. Although it is possible that beta-amyloid plaques and
neurofibrillary tau deposits are not causal in AD pathogenesis, it is these
abnormal protein deposits that define AD as a unique neurodegenerative disease
among different disorders that can lead to dementia. We envision that defining AD
as a biological construct will enable a more accurate characterization and
understanding of the sequence of events that lead to cognitive impairment that is
associated with AD, as well as the multifactorial etiology of dementia. This
approach also will enable a more precise approach to interventional trials where
specific pathways can be targeted in the disease process and in the appropriate
people.
PMID- 29653613
TI - Lessons from the Millennium Villages Project: a personal perspective.
PMID- 29653614
TI - Inequalities in child mortality: real data or modelled estimates?
PMID- 29653615
TI - Reducing the risk of postoperative trichiasis: lessons from a clinical trial.
PMID- 29653616
TI - Humanitarian disaster for Rohingya refugees: impending natural hazards and
worsening public health crises.
PMID- 29653617
TI - A new era for community health in countries of low and middle income?
PMID- 29653618
TI - Community health and equity of outcomes: the Partners In Health experience.
PMID- 29653619
TI - Can Zika virus antibodies cross-protect against dengue virus?
PMID- 29653620
TI - Can Zika virus antibodies cross-protect against dengue virus? - Authors' reply.
PMID- 29653621
TI - Observations from indigenous languages in Northern Territory, Australia.
PMID- 29653623
TI - Correction to Lancet Glob Health 2018; 6: e447-59.
PMID- 29653622
TI - Authorship trends in The Lancet Global Health: only the tip of the iceberg?
PMID- 29653624
TI - Correction to Lancet Glob Health 2017; 5: e501-11.
PMID- 29653625
TI - The Millennium Villages Project: a retrospective, observational, endline
evaluation.
AB - BACKGROUND: The Millennium Villages Project (MVP) was a 10 year, multisector,
rural development project, initiated in 2005, operating across ten sites in ten
sub-Saharan African countries to achieve the Millennium Development Goals (MDGs).
In this study, we aimed to estimate the project's impact, target attainment, and
on-site spending. METHODS: In this endline evaluation of the MVP, we
retrospectively selected comparison villages that best matched the project
villages on possible confounding variables. Cross-sectional survey data on 40
outcomes of interest were collected from both the project and the comparison
villages in 2015. Using these data, as well as on-site spending data collected
during the project, we estimated project impacts as differences in outcomes
between the project and comparison villages; target attainment as differences
between project outcomes and prespecified targets; and on-site spending as
expenditures reported by communities, donors, governments, and the project.
Spending data were not collected in the comparison villages. FINDINGS: Averaged
across the ten project sites, we found that impact estimates for 30 of 40
outcomes were significant (95% uncertainty intervals [UIs] for these outcomes
excluded zero) and favoured the project villages. In particular, substantial
effects were seen in agriculture and health, in which some outcomes were roughly
one SD better in the project villages than in the comparison villages. The
project was estimated to have no significant impact on the consumption-based
measures of poverty, but a significant favourable impact on an index of asset
ownership. Impacts on nutrition and education outcomes were often inconclusive
(95% UIs included zero). Averaging across outcomes within categories, the project
had significant favourable impacts on agriculture, nutrition, education, child
health, maternal health, HIV and malaria, and water and sanitation. A third of
the targets were met in the project sites. Total on-site spending decreased from
US$132 per person in the first half of the project (of which $66 was from the
MVP) to $109 per person in the second half of the project (of which $25 was from
the MVP). INTERPRETATION: The MVP had favourable impacts on outcomes in all MDG
areas, consistent with an integrated rural development approach. The greatest
effects were in agriculture and health, suggesting support for the project's
emphasis on agriculture and health systems strengthening. The project
conclusively met one third of its targets. FUNDING: The Open Society Foundations,
the Islamic Development Bank, and the governments of Japan, South Korea, Mali,
Senegal, and Uganda.
PMID- 29653626
TI - Effective interventions for unintentional injuries: a systematic review and
mortality impact assessment among the poorest billion.
AB - BACKGROUND: Between 1990 and 2015, the global injury mortality declined, but in
countries where the poorest billion live, injuries are becoming an increasingly
prevalent cause of death. The vulnerability of this population requires immediate
attention from policy makers to implement effective interventions that lessen the
burden of injuries in these countries. Our aim was two-fold; first, to review all
the evidence on effective interventions for the five main types of unintentional
injury; and second, to estimate the potential number of lives saved by effective
injury interventions among the poorest billion. METHODS: For our systematic
review we used references in the Disability Control Priorities third edition, and
searched PubMed and the Cochrane database for papers published until Sept 10,
2016, using a comprehensive search strategy to find interventions for the five
major causes of unintentional injuries: road traffic crashes, falls, drowning,
burns, and poisoning. Studies were included if they presented evidence with
significant effects sizes for any outcome; no inclusions or exclusions made on
the basis of where the study was carried out (ie, low-income, middle-income, or
high-income country). Then we used data from the Global Burden of Disease 2015
study and a Monte Carlo simulation technique to estimate the potential annual
attributable number of lives saved among the poorest billion by these evidence
based injury interventions. We estimated results for 84 countries where the
poorest billion live. FINDINGS: From the 513 papers identified, 47 were eligible
for inclusion. We identified 11 interventions that had an effect on injury
mortality. For road traffic deaths, the most successful interventions in
preventing deaths are speed enforcement (>80 000 lives saved per year) and drink
driving enforcement (>60 000 lives saved per year). Interventions potentially
most effective in preventing deaths from drowning are formal swimming lessons for
children younger than 14 years (>25 000 lives saved per year) and the use of
creches to supervise younger children (younger than 5 years; >10 000 lives saved
per year). We did not find sufficient evidence on interventions for other causes
of unintentional injuries (poisoning, burns, and falls) to run similar
simulations. INTERPRETATION: Based on the little available evidence, key
interventions have been identified to prevent lives lost from unintentional
injuries among the poorest billion. This Article provides guidance to national
authorities on evidence-based priority interventions that can reduce the burden
of injuries among the most vulnerable members of the population. We also identify
an important gap in knowledge on the effectiveness and the mortality impacts of
injury interventions. FUNDING: Partly supported by the Fogarty International
Center of the US National Institutes of Health (Chronic Consequences of Trauma,
Injuries, Disability Across the Lifespan: Uganda; #D43TW009284).
PMID- 29653627
TI - National and regional under-5 mortality rate by economic status for low-income
and middle-income countries: a systematic assessment.
AB - BACKGROUND: The progress to achieve the fourth Millennium Development Goal in
reducing mortality rate in children younger than 5 years since 1990 has been
remarkable. However, work remains to be done in the Sustainable Development Goal
era. Estimates of under-5 mortality rates at the national level can hide
disparities within countries. We assessed disparities in under-5 mortality rates
by household economic status in low-income and middle-income countries (LMICs).
METHOD: We estimated country-year-specific under-5 mortality rates by wealth
quintile on the basis of household wealth indices for 137 LMICs from 1990 to
2016, using a Bayesian statistical model. We estimated the association between
quintile-specific and national-level under-5 mortality rates. We assessed the
levels and trends of absolute and relative disparity in under-5 mortality rate
between the poorest and richest quintiles, and among all quintiles. FINDINGS: In
2016, for all LMICs (excluding China), the aggregated under-5 mortality rate was
64.6 (90% uncertainty interval [UI] 61.1-70.1) deaths per 1000 livebirths in the
poorest households (first quintile), 31.3 (29.5-34.2) deaths per 1000 livebirths
in the richest households (fifth quintile), and in between those outcomes for the
middle quintiles. Between 1990 and 2016, the largest absolute decline in under-5
mortality rate occurred in the two poorest quintiles: 77.6 (90% UI 71.2-82.6)
deaths per 1000 livebirths in the poorest quintile and 77.9 (72.0-82.2) deaths
per 1000 livebirths in the second poorest quintile. The difference in under-5
mortality rate between the poorest and richest quintiles decreased significantly
by 38.8 (90% UI 32.9-43.8) deaths per 1000 livebirths between 1990 and 2016. The
poorest to richest under-5 mortality rate ratio, however, remained similar (2.03
[90% UI 1.94-2.11] in 1990, 1.99 [1.91-2.08] in 2000, and 2.06 [1.92-2.20] in
2016). During 1990-2016, around half of the total under-5 deaths occurred in the
poorest two quintiles (48.5% in 1990 and 2000, 49.5% in 2016) and less than a
third were in the richest two quintiles (30.4% in 1990, 30.5% in 2000, 29.9% in
2016). For all regions, differences in the under-5 mortality rate between the
first and fifth quintiles decreased significantly, ranging from 20.6 (90% UI 15.9
25.1) deaths per 1000 livebirths in eastern Europe and central Asia to 59.5 (48.5
70.4) deaths per 1000 livebirths in south Asia. In 2016, the ratios of under-5
mortality rate in the first quintile to under-5 mortality rate in the fifth
quintile were significantly above 2.00 in two regions, with 2.49 (90% UI 2.15
2.87) in east Asia and Pacific (excluding China) and 2.41 (2.05-2.80) in south
Asia. Eastern and southern Africa had the smallest ratio in 2016 at 1.62 (90% UI
1.48-1.76). Our model suggested that the expected ratio of under-5 mortality rate
in the first quintile to under-5 mortality rate in the fifth quintile increases
as national-level under-5 mortality rate decreases. INTERPRETATION: For all LMICs
(excluding China) combined, the absolute disparities in under-5 mortality rate
between the poorest and richest households have narrowed significantly since
1990, whereas the relative differences have remained stable. To further narrow
the rich-and-poor gap in under-5 mortality rate on the relative scale, targeted
interventions that focus on the poorest populations are needed. FUNDING: National
University of Singapore, UN Children's Fund, United States Agency for
International Development, and the Bill & Melinda Gates Foundation.
PMID- 29653628
TI - Changing cancer survival in China during 2003-15: a pooled analysis of 17
population-based cancer registries.
AB - BACKGROUND: From 2003 to 2005, standardised 5-year cancer survival in China was
much lower than in developed countries and varied substantially by geographical
area. Monitoring population-level cancer survival is crucial to the understanding
of the overall effectiveness of cancer care. We therefore aimed to investigate
survival statistics for people with cancer in China between 2003 and 2015.
METHODS: We used population-based data from 17 cancer registries in China. Data
for the study population was submitted by the end of July 31, 2016, with follow
up data on vital status obtained on Dec 31, 2015. We used anonymised, individual
cancer registration records of patients (aged 0-99 years) diagnosed with primary,
invasive cancers from 2003 to 2013. Patients eligible for inclusion had data for
demographic characteristics, date of diagnosis, anatomical site, morphology,
behaviour code, vital status, and last date of contact. We analysed 5-year
relative survival by sex, age, and geographical area, for all cancers combined
and 26 different cancer types, between 2003 and 2015. We stratified survival
estimates by calendar period (2003-05, 2006-08, 2009-11, and 2012-15). FINDINGS:
There were 678 842 records of patients with invasive cancer who were diagnosed
between 2003 and 2013. Of these records, 659 732 (97.2%) were eligible for
inclusion in the final analyses. From 2003-05 to 2012-15, age-standardised 5-year
relative survival increased substantially for all cancers combined, for both male
and female patients, from 30.9% (95% CI 30.6-31.2) to 40.5% (40.3-40.7). Age
standardised 5-year relative survival also increased for most cancer types,
including cancers of the uterus (average change per calendar period 5.5% [95% CI
2.5-8.5]), thyroid (5.4% [3.2-7.6]), cervix (4.5% [2.9-6.2]), and bone (3.2% [2.1
4.4]). In 2012-15, age-standardised 5-year survival for all patients with cancer
was higher in urban areas (46.7%, 95% CI 46.5-47.0) than in rural areas (33.6%,
33.3-33.9), except for patients with oesophageal or cervical cancer; but
improvements in survival were greater for patients residing in rural areas than
in urban areas. Relative survival decreased with increasing age. The increasing
trends in survival were consistent with the upward trends of medical expenditure
of the country during the period studied. INTERPRETATION: There was a marked
overall increase in cancer survival from 2003 to 2015 in the population covered
by these cancer registries in China, possibly reflecting advances in the quality
of cancer care in these areas. The survival gap between urban and rural areas
narrowed over time, although geographical differences in cancer survival
remained. Insight into these trends will help prioritise areas that need
increased cancer care. FUNDING: National Key R&D Program of China, PUMC Youth
Fund and the Fundamental Research Funds for the Central Universities, and Major
State Basic Innovation Program of the Chinese Academy of Medical Sciences.
PMID- 29653630
TI - A "shocking" new code status.
PMID- 29653629
TI - Oral doxycycline for the prevention of postoperative trachomatous trichiasis in
Ethiopia: a randomised, double-blind, placebo-controlled trial.
AB - BACKGROUND: Trachomatous trichiasis is treated surgically to prevent sight loss.
Unfavourable surgical outcomes remain a major challenge. We investigated the
hypothesis that doxycycline might reduce the risk of postoperative trichiasis
following surgery in patients with trachomatous trichiasis through anti-matrix
metalloproteinase and anti-inflammatory activity. METHODS: In this randomised,
double-blind, placebo-controlled trial, adults (aged >18 years) with upper lid
trachomatous trichiasis in association with tarsal conjunctive scarring were
recruited through community-based screening and surgical outreach campaigns in
Ethiopia. Individuals who had previously had eyelid surgery were excluded.
Participants were randomly assigned (1:1), with random block sizes of four or
six, to receive oral doxycycline (100 mg once a day) or placebo for 28 days
immediately after trichiasis surgery. Randomisation was stratified by surgeon.
Patients, investigators, surgeons, and all other study team members were masked
to study group allocation and treatment. Participants were examined at 10 days,
and 1, 6, and 12 months after surgery. The primary outcome was the cumulative
proportion of individuals who developed postoperative trichiasis by 12 months.
Primary analyses were done in all participants who attended at least one of the
four follow-up assessments. Safety analyses were done in all participants who
attended either the 10 day or 1 month follow-up assessments. This trial is
registered with the Pan African Clinical Trials Registry, number
PACTR201512001370307. FINDINGS: Between Dec 21, 2015, and April 6, 2016, 1000
patients with trichiasis were enrolled and randomly assigned to treatment (499
patients to doxycycline, 501 patients to placebo). All but one participant
attended at least one follow-up assessment. Thus, 999 participants were assessed
for the primary outcome: 498 in the doxycycline group and 501 in the placebo
group. By month 12, 58 (12%) of 498 patients in the doxycycline group and 62
(12%) of 501 patients in the placebo group had developed postoperative trichiasis
(adjusted odds ratio 0.91, 95% CI 0.61 to 1.34, p=0.63), with a risk difference
of -0.5% (-4.5% to 3.5%). Significantly more patients in the doxycycline group
had an adverse event than in the placebo group (18 [4%] of 498 vs six [1%] of
501; odds ratio 3.09, 95% CI 1.21-7.84; p=0.02). The most frequent adverse events
in the doxycycline group were gastritis symptoms (n=9), constipation (n=4), and
diarrhoea (n=4). INTERPRETATION: Doxycycline did not reduce the risk of
postoperative trichiasis and is therefore not indicated for the improvement of
outcomes following trachomatous trichiasis surgery. Surgical programmes should
continue to make efforts to strengthen surgical training and supervision to
improve outcomes. FUNDING: The Wellcome Trust.
PMID- 29653632
TI - Outcomes of hospitalization in adults with Fontan palliation: The Mayo Clinic
experience.
AB - : The outcomes of hospitalization in the Fontan population have not been
specifically studied. The purpose of this study was to describe outcomes of
hospitalization (frequency and indications for hospitalization, and in-hospital
mortality) in this population and to determine how these outcomes differ from
those of other adults with congenital heart disease (CHD). METHODS: This was a
retrospective study of adult Fontan patients hospitalized at Mayo Clinic
Rochester in 1990-2015. We selected age- and gender-matched control group of
patients with repaired CHD and biventricular circulation hospitalized within the
study period. RESULTS: A total of 367 Fontan patients (age 31+/-7 years and 259
[71%] with atriopulmonary Fontan) had 853 hospital admissions in 4 years (58
hospitalizations per 100 patient-years). The most common indications were
arrhythmia (n=188, 22%), heart failure (n=169, 20%), and cardiac surgery (n=133,
16%). Overall in-hospital mortality was 4% (n=38), and the highest in-hospital
mortality occurred in patients hospitalized for cardiac surgery (n=15, 11%) and
heart failure (n=13, 8%). In comparison to the repaired CHD and biventricular
circulation group, the Fontan group had more frequent hospitalizations (22 vs 58
per 100 patient-years, P<.001) and higher overall in-hospital mortality (1% vs
5%, P<.001), mortality after cardiac surgery (2% vs 11%, P=.01), and mortality
for heart failure-related hospitalizations (2% vs 8%, P=.04). CONCLUSIONS: Adults
with Fontan palliation had more frequent hospitalization and in-hospital
mortality compared to the rest of the CHD population. Arrhythmia and heart
failure were the most common indications for hospitalization. Perhaps optimal
management of heart failure and arrhythmia may improve outcomes in this
population.
PMID- 29653631
TI - Impact of hormone therapy on Medicare spending in the Women's Health Initiative
randomized clinical trials.
AB - BACKGROUND: Randomized trials can compare economic as well as clinical outcomes,
but economic data are difficult to collect. Linking clinical trial data with
Medicare claims could provide novel information on health care utilization and
cost. METHODS: We linked data from Medicare claims of women >=65 years old who
had Medicare fee-for-service coverage with their clinical data from the Women's
Health Initiative trials of conjugated equine estrogens plus medroxyprogesterone
acetate (CEE+MPA) versus placebo and of CEE-alone versus placebo. The primary
outcome was total Medicare spending during the intervention phase of the trial,
and the secondary outcomes were spending on diseases hypothesized a priori to be
sensitive to the effects of hormone therapy. RESULTS: In the CEE+MPA trial, 4,557
participants >=65 years old were included. Women randomly assigned to CEE+MPA had
4% higher mean Medicare spending overall ($45,690 vs $43,920, P = .08) but 0.5%
lower spending for hormone-sensitive diseases ($3,526 vs $3,547, P = .07), with
73% higher spending for coronary heart disease (P = .045) and 122% higher
spending for pulmonary embolism (P = .026). In the CEE-alone trial, 3,107
participants were included. Total spending among women randomly assigned to CEE
was 3.3% higher ($75,411 vs $72,997, P = .16), and 1.7% higher spending for
hormone-sensitive diseases ($5,213 vs $5,127, P = .57), but with 39% lower
spending for hip fracture (p<0.03). CONCLUSIONS: Menopausal hormone therapy
increased spending for some diseases, but decreased spending for others. These
offsetting effects led to modest (3%-4%), nonsignificant increases in overall
spending among women aged 65 years and older.
PMID- 29653633
TI - Permanent pacemaker use among patients with heart failure and preserved ejection
fraction: Findings from the Acute Decompensated Heart Failure National Registry
(ADHERE) National Registry.
AB - BACKGROUND: Heart failure with preserved ejection fraction may be associated with
chronotropic incompetence, but little is known about the incidence and prevalence
of permanent pacemaker use in this population or factors associated with its use.
METHODS: We analyzed patients with heart failure with preserved ejection fraction
(ie, left ventricular ejection fraction greater than 40%) from the ADHERE
registry (2001-2006) linked with Medicare claims. We described the use of both
prevalent and incident permanent pacemakers in heart failure with preserved
ejection fraction and determined factors associated with pacemaker use with
logistic regression models. RESULTS: Among 13,881 patients with heart failure
with preserved ejection fraction, 3136 (22.6%) had a permanent pacemaker, and of
these patients, 636 had a permanent pacemaker implanted during hospitalization.
Permanent pacemaker use was more common among older patients (81 vs 79 years; P <
.001), men (38% vs 34%; P < .001), patients with atrial fibrillation (58% vs 36%;
P < .001), and patients with wider QRS duration (140 ms vs 94 ms; P < .001).
Rates of digoxin, aldosterone antagonist, and loop diuretic use were slightly
higher in patients with a permanent pacemaker compared with patients with no
permanent pacemaker. Factors associated with both prevalent and incident
pacemaker use included age, fast or slow heart rate, atrial fibrillation, and
lower body mass index. CONCLUSIONS: Use of permanent pacemakers is relatively
common among patients with heart failure with preserved ejection fraction.
PMID- 29653634
TI - Rationale and design of the Statins Evaluation in Coronary procedUres and
REvascularization: The SECURE-PCI Trial.
AB - BACKGROUND: Previous evidence suggests that acute treatment with statins reduce
atherosclerotic complications, including periprocedural myocardial infarction,
but currently, there are no large, adequately powered studies to define the
effects of early, high-dose statins in patients with acute coronary syndrome
(ACS) and planned invasive management. OBJECTIVES: The main goal of Statins
Evaluation in Coronary procedUres and REvascularization (SECURE-PCI) Trial is to
determine whether the early use of a loading dose of 80 mg of atorvastatin before
an intended percutaneous coronary intervention followed by an additional dose of
80 mg 24 hours after the procedure will be able to reduce the rates of major
cardiovascular events at 30 days in patients with an ACS. DESIGN: The SECURE-PCI
study is a pragmatic, multicenter, double-blind, placebo-controlled randomized
trial planned to enroll around 4,200 patients in 58 different sites in Brazil.
The primary outcome is the rate of major cardiovascular events at 30 days defined
as a composite of all-cause mortality, nonfatal acute myocardial infarction,
nonfatal stroke, and coronary revascularization. SUMMARY: The SECURE PCI is a
large randomized trial testing a strategy of early, high-dose statin in patients
with ACS and will provide important information about the acute treatment of this
patient population.
PMID- 29653635
TI - ASCEND: A Study of Cardiovascular Events iN Diabetes: Characteristics of a
randomized trial of aspirin and of omega-3 fatty acid supplementation in 15,480
people with diabetes.
AB - OBJECTIVES: The use of aspirin for the secondary prevention of cardiovascular
disease (CVD) is firmly established, and the proportional reductions in heart
attacks and strokes appear to be similar in people with and without diabetes.
Uncertainty remains about the role of antiplatelet treatments for primary
prevention of CVD, and guidelines vary in their recommendations. It has also been
hypothesized that long-term aspirin can prevent gastro-intestinal and other
cancers. Observational studies suggest associations between higher intakes of
omega-3 fatty acids (FA) and lower rates of CVD, but there is no large-scale
randomized evidence to support using prophylactic omega-3 FA supplementation in
primary prevention. ASCEND is a randomized trial assessing whether 100 mg daily
aspirin safely prevents CVD and cancer in patients with diabetes without known
arterial disease. It is also assessing whether supplementation with 1 g omega-3
FA daily prevents CVD. This paper describes the methods and baseline
characteristics of the randomized participants. METHODS AND RESULTS: Between 2005
and 2011, using mail-based methods, 15,480 people with diabetes were randomized
to aspirin versus placebo and, in a factorial design, to omega-3 FA
supplementation versus placebo. Blood and urine samples were collected to allow
baseline stratification by biochemical prognostic variables (e.g. HbA1c, blood
lipids). Follow-up is for a median of at least 7 years. CONCLUSIONS:
Demonstrating that prophylactic aspirin safely reduces the risk of CVD or cancer
in the primary prevention setting, or that omega-3 FA supplementation prevents
CVD, would be relevant to hundreds of millions of people worldwide who are
currently not receiving such therapies. The results of ASCEND will be reported in
2018.
PMID- 29653636
TI - Rationale and design of the comParIson Of sacubitril/valsartaN versus Enalapril
on Effect on nt-pRo-bnp in patients stabilized from an acute Heart Failure
episode (PIONEER-HF) trial.
AB - OBJECTIVE: The objective is to assess the safety, tolerability, and efficacy of
sacubitril/valsartan compared with enalapril in patients with heart failure (HF)
with a reduced ejection fraction (EF) stabilized during hospitalization for acute
decompensated HF. BACKGROUND: Sacubitril/valsartan, a first-in-class angiotensin
receptor-neprilysin inhibitor, improves survival among ambulatory HF patients
with a reduced EF. However, there is very limited experience with the in-hospital
initiation of sacubitril/valsartan in patients who have been stabilized following
hospitalization for acute decompensated HF. METHODS: PIONEER-HF is a 12-week,
prospective, multicenter, double-blind, randomized controlled trial enrolling a
planned 882 patients at more than 100 participating sites in the United States.
Medically stable patients >18 years of age with an EF <40% and an amino terminal
pro b-type natriuretic peptide >1600 pg/mL or b-type natriuretic peptide >400
pg/mL are eligible for participation no earlier than 24 hours and up to 10 days
from initial presentation while still hospitalized. Patients are randomly
assigned 1:1 to in-hospital initiation of sacubitril/valsartan titrated to 97/103
mg by mouth twice daily versus enalapril titrated to 10 mg by mouth twice daily
for 8 weeks. All patients receive open-label treatment with sacubitril/valsartan
for the remaining 4 weeks of the study. The primary efficacy end point is the
time-averaged proportional change in amino terminal-pro b-type natriuretic
peptide from baseline through weeks 4 and 8. Secondary and exploratory end points
include serum and urinary biomarkers as well as clinical outcomes. Safety end
points include the incidence of angioedema, hypotension, renal insufficiency, and
hyperkalemia. CONCLUSION: The PIONEER-HF trial will inform clinical practice by
providing evidence on the safety, tolerability, and efficacy of in-hospital
initiation of sacubitril/valsartan among patients who have been stabilized
following an admission for acute decompensated HF with a reduced EF.
PMID- 29653639
TI - Changes in renal function in patients with atrial fibrillation: Efficacy and
safety of the non-vitamin K antagonist oral anticoagulants.
PMID- 29653638
TI - Government continues to have an important role in promoting cardiovascular
health.
PMID- 29653637
TI - Genome-wide and candidate gene approaches of clopidogrel efficacy using
pharmacodynamic and clinical end points-Rationale and design of the International
Clopidogrel Pharmacogenomics Consortium (ICPC).
AB - RATIONALE: The P2Y12 receptor inhibitor clopidogrel is widely used in patients
with acute coronary syndrome, percutaneous coronary intervention, or ischemic
stroke. Platelet inhibition by clopidogrel shows wide interpatient variability,
and high on-treatment platelet reactivity is a risk factor for atherothrombotic
events, particularly in high-risk populations. CYP2C19 polymorphism plays an
important role in this variability, but heritability estimates suggest that
additional genetic variants remain unidentified. The aim of the International
Clopidogrel Pharmacogenomics Consortium (ICPC) is to identify genetic
determinants of clopidogrel pharmacodynamics and clinical response. STUDY DESIGN:
Based on the data published on www.clinicaltrials.gov, clopidogrel intervention
studies containing genetic and platelet function data were identified for
participation. Lead investigators were invited to share DNA samples, platelet
function test results, patient characteristics, and cardiovascular outcomes to
perform candidate gene and genome-wide studies. RESULTS: In total, 17 study sites
from 13 countries participate in the ICPC, contributing individual patient data
from 8,829 patients. Available adenosine diphosphate-stimulated platelet function
tests included vasodilator-stimulated phosphoprotein assay, light transmittance
aggregometry, and the VerifyNow P2Y12 assay. A proof-of-principle analysis based
on genotype data provided by each group showed a strong and consistent
association between CYP2C19*2 and platelet reactivity (P value=5.1 * 10-40).
CONCLUSION: The ICPC aims to identify new loci influencing clopidogrel efficacy
by using state-of-the-art genetic approaches in a large cohort of clopidogrel
treated patients to better understand the genetic basis of on-treatment response
variability.
PMID- 29653640
TI - Efficacy and safety of dabigatran compared with warfarin in patients with atrial
fibrillation in relation to renal function over time-A RE-LY trial analysis.
PMID- 29653641
TI - Health promotion: A step beyond prevention in cardiology.
PMID- 29653642
TI - Improving patient risk communication: Translating cardiovascular risk into
standardized risk percentiles.
AB - BACKGROUND: Current cholesterol guidelines recommend using 10-year risk of
atherosclerotic cardiovascular disease (ASCVD) to guide informed decisions
regarding statin therapy, yet patients may have difficulty conceptualizing
absolute risk estimates. Peer comparisons may provide an improved tool for
patient risk comprehension. METHODS: Using data from the 2009-2014 National
Health and Nutrition Examination Survey (NHANES), we estimated standardized risk
percentiles for various age-, sex-, and race-specific subgroups based on their 10
year ASCVD risks using the Pooled Cohort Equations. RESULTS: We examined 9160
adults in NHANES who were free of cardiovascular disease and had complete
clinical data. Among specific age, sex, and race groups, we estimated the
distribution of 10-year risk, calculating the 10-year risk corresponding to each
percentile in order to generate standardized cardiovascular risk percentiles.
Estimated 10-year ASCVD absolute risk varied markedly by age, sex, and race
subgroups. A 10-year risk of 7.0% would put a 55 year-old black male in the 20th
percentile relative to his peers (ie, at lower risk than 80% of his peers),
whereas a 10-year risk of 7.0% would put a 55 year-old white female in the 95th
percentile (i.e., only 5% of her peers would have higher risk). Standardized
cardiovascular risk percentiles by age, race, and sex are available online at
populationrelativerisk.dcri.org. CONCLUSION: Cardiovascular risk varies
substantially by age, sex, and race. These data allow for 10-year absolute risks
of ASCVD to be translated into a standardized cardiovascular risk percentile,
providing patients with information that is easy to understanding regarding how
their personal risk of cardiovascular disease compares with their age-, sex-, and
race-matched peers.
PMID- 29653643
TI - Factors of health in the protection against death and cardiovascular disease
among adults with subclinical atherosclerosis.
AB - BACKGROUND: Although cardiovascular disease (CVD) prevention traditionally
emphasizes risk factor control, recent evidence also supports the promotion of
"health factors" associated with cardiovascular wellness. However, whether such
health factors exist among adults with advanced subclinical atherosclerosis is
unknown. We aimed to study the association between health factors and events
among persons with elevated coronary artery calcium (CAC). METHODS: Self-reported
health-factors studied included nonsmoking, physical activity, Mediterranean
style diet, sleep quality, emotional support, low stress burden, and absence of
depression. Measured health-factors included optimal weight, blood pressure,
lipids, and glucose. Multivariable-adjusted Cox models examined the association
between health factors and incident CVD or mortality, independent of risk factor
treatment. Accelerated failure time models assessed whether health factors were
associated with relative time delays in disease onset. RESULTS: Among 1,601 Multi
Ethnic Study of Atherosclerosis participants with CAC >100 without baseline
clinical atherosclerotic CVD, mean age was 69 (+/-9) years, 64% were male, and
median CAC score was 332 Agatston units. Over 12 years of follow-up, nonsmoking,
high-density lipoprotein cholesterol levels >40 mg/dL for men and >50 mg/dL for
women, and low stress burden were inversely associated with ASCVD (hazard ratios
ranging from 0.58 to 0.71, all P<.05). Nonsmoking, glucose levels <100 mg/dL,
regular physical activity, and low stress burden were inversely associated with
mortality (hazard ratios ranging from 0.40 to 0.77, all P<.05). Each of these
factors was also associated with delays in onset of clinical disease, as was
absence of depression. CONCLUSIONS: Adults with elevated CAC appear to have
healthy lifestyle options to lower risk and delay onset of CVD, over and above
standard preventive therapies.
PMID- 29653645
TI - Preoperative factors associated with worsening in health-related quality of life
following coronary artery bypass grafting in the Randomized On/Off Bypass (ROOBY)
trial.
AB - : For advanced coronary disease, coronary artery bypass graft (CABG) surgery
generally improves patients' symptoms and long-term survival. Unfortunately, some
patients experience worse health-related quality of life (HRQL) after CABG. The
objective of this study is to report the frequency and risk factors associated
with 1-year post-CABG HRQL deterioration. METHODS: From 2002 to 2007, 2203
"Randomized On/Off Bypass" (ROOBY) trial patients randomly received either off
pump or on-pump CABG at 18 VA medical centers. Subjects completed both baseline
and 1-year Seattle Angina Questionnaire (SAQ) and the Veterans Rand 36 (VR-36)
questionnaires to assess HRQL. Using previously published criteria, the rates of
clinically significant changes were determined for the SAQ [angina frequency
(AF), physical limitation (PL), and quality of life (QoL)] and VR36 [mental
component score (MCS) and physical component score (PCS)] subscales. Multivariate
regression models were then used to identify pre-CABG patient characteristics
associated with worsened 1-year HRQL status for each subscale. RESULTS: Over 80%
of patients had an improvement or no change in SAQ and VR-36 subscale scores 1
year after CABG. The HRQL scale-specific deterioration rates were 4.5% SAQ-AF,
16.8% SAQ-PL, 4.9% SAQ-QoL, 19.4% VR36-MCS, and 13.5% VR36-PCS. Predictors of 1
year HRQL deterioration were diabetes and smoking for the SAQ-AF; diabetes,
chronic obstructive pulmonary disease (COPD), and peripheral vascular disease
(PVD) for SAQ-PL; COPD and depression for the SAQ-QoL; diabetes for VR36-PCS, and
history of stroke and depression for VR36-MCS. The baseline score was an
independent predictor for worsening in all the subscales studied. CONCLUSIONS:
Among VA patients, less than 20% experienced worse HRQL 1 year after CABG. For
patients with low symptom burden at baseline, diabetes, smoking, depression, PVD,
COPD, and a prior stroke, clinicians should be more cautious in pre-CABG
counseling as to their anticipated HRQL improvements.
PMID- 29653644
TI - Bioresorbable Polymer-Coated Orsiro Versus Durable Polymer-Coated Resolute Onyx
Stents (BIONYX): Rationale and design of the randomized TWENTE IV multicenter
trial.
AB - AIM: The aim was to compare in a noninferiority trial the efficacy and safety of
2 contemporary drug-eluting stents (DESs): a novel, durable polymer-coated stent
versus an established bioabsorbable polymer-coated stent. METHODS AND RESULTS:
The BIONYX trial (ClinicalTrials.gov-no.NCT02508714) is an investigator
initiated, prospective, randomized, patient- and assessor-blinded, international,
multicenter study in all-comer patients with all types of clinical syndromes and
lesions who require percutaneous coronary interventions with DES. Patients at 7
study sites in the Netherlands, Belgium, and Israel were randomly assigned (1:1,
stratified for gender and diabetes mellitus) to treatment with the novel,
zotarolimus-eluting, durable polymer-coated Resolute Onyx stent that has a
radiopaque, thin-strut, CoreWire stent platform versus the sirolimus-eluting,
bioresorbable polymer-coated Orsiro stent (reference device) that has a very thin
strut, cobalt-chromium stent backbone. The primary end point is the 1-year
incidence of the composite clinical end point target vessel failure consisting of
cardiac death, target vessel-related myocardial infarction, or clinically
indicated target vessel revascularization. A power calculation, assuming a target
vessel failure rate of 6.0% (noninferiority margin 2.5%), revealed that 2,470
study patients would give the study 80% power (alpha level 5%), allowing for up
to 3% loss to follow-up. The first patient was enrolled on October 7, 2015; on
December 23, 2016, the last patient entered the study. CONCLUSIONS: BIONYX is a
large-scale, prospective, randomized, international, multicenter trial comparing
a novel DES with durable coating versus a reference DES with biodegradable
coating in all-comers. The study is the first randomized assessment of the
Resolute Onyx stent, which is an often-used DES outside the United States.
PMID- 29653646
TI - Changes in glomerular filtration rate and outcomes in patients with atrial
fibrillation.
AB - BACKGROUND: Patients with kidney disease are more likely to develop atrial
fibrillation (AF) than individuals with normal renal function, and more likely to
suffer ischemic stroke (IS)/thromboembolism (TE). We investigated the
relationship of kidney function evolution to IS/TE, mortality and bleeding in AF
patients. METHODS: In a cohort of 8962 AF patients, 2653 had serum creatinine
data, with 10894 patient-years of follow-up. Patients were stratified into
quartiles of estimated glomerular filtration rate (eGFR) evolution (in mL/min per
1.73 m2/year). RESULTS: Rates of events (IS/TE, bleeding, mortality) increased
with worsening eGFR by quartiles. The risk of events was particularly increased
when patients in the 4th quartile were compared to others. Renal impairment per
se was not an independent predictor of IS/TE but was an independent predictor of
bleeding, whilst eGFR worsening was an independent predictor both for IS/TE
(Hazard Ratio [HR] 1.573, 95%CI 1.160-2.134 for patients in the last quartile)
and for bleeding events (HR 1.543, 95%CI 1.157-2.004). Worsening eGFR did not
improve the predictive ability of the CHA2DS2VASc and HAS-BLED scores for
identifying a higher risk of IS/TE or bleeding events, respectively. When the
benefit of IS reduction was balanced against the increased risk of bleeding
events, the net clinical benefit was positive in favor of OAC use (vs non-use) in
patients with worsening eGFR. CONCLUSIONS: Rates of IS/TE, mortality and bleeding
increased with worsening eGFR >4.81 mL/min per 1.73 m2. Worsening eGFR was an
independent predictor of IS/TE and of bleeding, and a better predictor of IS/TE
than renal impairment in AF.
PMID- 29653648
TI - Temporal changes in radial access use, associates and outcomes in patients
undergoing PCI using rotational atherectomy between 2007 and 2014: results from
the British Cardiovascular Intervention Society national database.
AB - AIMS: Access site choice for cases requiring rotational atherectomy (PCI-ROTA) is
poorly defined. Using the British Cardiovascular Intervention Society PCI
database, temporal changes and contemporary associates/outcomes of access site
choice for PCI-ROTA were studied. METHODS AND RESULTS: Data were analysed from
11,444 PCI-ROTA procedures performed in England and Wales between 2007 and 2014.
Multivariate logistic regression was used to identify predictors of access site
choice and its association with outcomes. RESULTS: For PCI-ROTA, radial access
increased from 19.6% in 2007 to 58.6% in 2014. Adoption of radial access was
slower in females, those with prior CABG, and in patients with chronic occlusive
(CTO) or left main disease. In 2013/14, the strongest predictors of femoral
artery use were age (OR 1.02, [1.005-1.036], P = .008), CTO intervention (OR
1.95, [1.209-3.314], P = .006), and history of previous CABG (OR 1.68, [1.124
2.515], P = .010). Radial access was associated with reductions in overall length
of stay, and increased rates of same-day discharge. Procedural success rates were
similar although femoral access use was associated with increased access site
complications (2.4 vs. 0.1%, P < .001). After adjustment for baseline
differences, arterial complications (OR 15.6, P < .001), transfusion (OR 12.5, P
= .023) and major bleeding OR 6.0, P < .001) remained more common with FA use.
Adjusted mortality and MACE rates were similar in both groups. CONCLUSIONS: In
contemporary practice, radial access for PCI-ROTA results in similar procedural
success when compared to femoral access but is associated with shorter length of
stay, and lower rates of vascular complication, major bleeding and transfusion.
PMID- 29653649
TI - Two-year follow-up of patients treated with dabigatran for stroke prevention in
atrial fibrillation: Global Registry on Long-Term Antithrombotic Treatment in
Patients with Atrial Fibrillation (GLORIA-AF) registry.
AB - BACKGROUND AND PURPOSE: GLORIA-AF is a large, global, prospective registry
program of newly diagnosed atrial fibrillation (AF) patients with >=1 stroke risk
factors. We describe the effectiveness and safety of dabigatran etexilate over 2
years from routine clinical practice in nearly 3000 patients from GLORIA-AF who
are newly diagnosed with non-valvular AF and at risk of stroke. METHODS:
Consecutive enrollment into phase II of GLORIA-AF was initiated following
approval of dabigatran for stroke prevention in non-valvular AF. Within this
Phase II, 2937 dabigatran patients completed 2-year follow-up by May 2016 and
were eligible for analysis. Patients who took at least 1 dose of dabigatran
(n=2932) were used to estimate incidence rates. RESULTS: Overall incidence rates
per 100 person-years of 0.63 (95% confidence interval [CI], 0.42-0.92) for
stroke, 1.12 (0.83-1.49) for major bleeding, 0.47 (0.29-0.72) for myocardial
infarction, and 2.69 (2.22-3.23) for all-cause death were observed. For patients
taking 150 mg dabigatran twice daily (BID), corresponding rates (95% CI) were
0.56 (0.30-0.94), 1.00 (0.64-1.47), 0.48 (0.25-0.83), and 2.07 (1.55-2.72),
respectively. For patients taking 110 mg dabigatran BID, event rates (95% CI)
were 0.67 (0.33-1.20), 1.16 (0.70-1.80), 0.43 (0.17-0.88), and 3.16 (2.36-4.15).
CONCLUSIONS: These global data confirm the sustained safety and effectiveness of
dabigatran over 2 years of follow-up, consistent with the results from clinical
trials as well as contemporary real-world studies. WHAT IS KNOWN: * Non-vitamin K
antagonist (VKA) anticoagulants (NOACs) are the preferred therapy for prevention
of ischemic stroke based on phase 3 trials, but there is insufficient information
on their efficacy and safety in daily practice, based on prospectively collected
data. WHAT IS NEW: * This study shows that in non-valvular AF patient population,
with up to 2 years of follow-up, the use of dabigatran led to a low incidence of
ischemic stroke, major bleeding, and myocardial infarction in routine clinical
care, confirming the sustained safety and effectiveness of dabigatran in clinical
practice over 2 years of follow-up.
PMID- 29653650
TI - Comparison of adverse event and device problem rates for transcatheter aortic
valve replacement and Mitraclip procedures as reported by the Transcatheter Valve
Therapy Registry and the Food and Drug Administration postmarket surveillance
data.
AB - BACKGROUND: Although outcomes data on transcatheter aortic valve replacement
(TAVR) and transcatheter mitral valve repair (Mitraclip) are available via the
Transcatheter Valve Therapy (TVT) registry, dissemination of TVT data is often
delayed. The Food and Drug Administration's Manufacturer and User Facility Device
Experience (MAUDE) system collects postmarket outcomes data in public medical
device reports. We used natural language processing to compare the event rates
for TAVR and Mitraclip in the TVT registry and from MAUDE data. METHODS: We
identified all medical device reports related to TAVR and Mitraclip from December
2011 through December 2014. Our primary objective was to demonstrate that event
rates in TVT and MAUDE were not significantly different. We also compared TVT
event rates for TAVRs performed in 2014 to MAUDE-derived event rates for the
Sapien XT and CoreValve devices, both Food and Drug Administration-approved in
2014. RESULTS: Regression analysis demonstrated close correlation between TVT and
MAUDE rates for both TAVR and Mitraclip, with R2 values of 0.86 and 0.77,
respectively. The rates for all events except bleeding were not statistically
significantly different. We demonstrated similar increased rates of permanent
pacemaker implantation in the 2014 TVT and MAUDE data sets consistent with
approval of the CoreValve. CONCLUSIONS: We demonstrated that natural language
processing technology sorted through raw MAUDE data, allowing identification of
the most common events associated with TAVR and Mitraclip procedures, and that
MAUDE-derived event rates were not statistically significantly different from TVT
event rates. This technology has important public health implications because it
improves postmarket surveillance of implantable devices and permits rapid and
early dissemination of vital information.
PMID- 29653651
TI - Ultrahigh-resolution ultrasound characterization of access site trauma and
intimal hyperplasia following use of a 7F sheathless guide versus 6F sheath/guide
combination for transradial artery PCI: Results of the PRAGMATIC trial.
AB - : There exist limited data on the relative degree of acute injury and late
healing of the radial artery after transradial artery (TRA) percutaneous coronary
intervention (PCI) with a 7F sheathless guide catheter compared with a 6F
sheath/guide combination. We used ultrahigh-resolution (55 MHz) vascular
ultrasound to compare intimal-medial thickening (IMT) and early and late radial
artery (RA) injury resulting from a sheathless 7F guide catheter versus a 6F
sheath/guide combination for TRA-PCI. METHODS: Forty-one consecutive consenting
patients undergoing elective nonemergent TRA-PCI at a single institution from
June 2016 to December 2016 were included. Patients were randomized (stratified by
sex) to undergo TRA-PCI using a 7F sheathless guide catheter versus a 6F
sheath/6F guide combination. Ultrahigh-resolution vascular ultrasound (55MHz) of
the RA access site was performed at 24hours and 90days post-TRA-PCI. The primary
outcome of the study was a noninferiority comparison of radial artery IMT
thickness at 90days. PCI success rates, fluoroscopy times, number of guides used,
and crossover rates to a femoral approach were also compared. RESULTS: Baseline
characteristics were similar between groups. Radial arterial IMT (mm) was similar
between the 7F sheathless and 6F sheath/guide groups at 24hours (0.27 vs 0.29,
respectively; P=.43) and at 90days (0.35 vs 0.34, respectively; P=.96). The P
value for the noninferiority testing of a 0.07-mm limit was .002. Limited access
site intimal tears were relatively common in both groups at 24hours (4 vs 5,
P=.53) but often healed by 90days. Radial artery occlusion was infrequent at
90days (2 vs 1, P=.10), and no frank dissections were noted. PCI success rates
(100% vs 95%, P=.59), fluoroscopy times (16 vs 12minutes, P=.17), number of
guides used (1.1 vs 1.2, P=.48), and femoral crossover rates (0% vs 0%) were
similar between the 2 respective groups. CONCLUSIONS: A 7F sheathless approach to
TRA-PCI results in no more IMT and early or late RA trauma than a standard 6F
sheath/guide combination, rendering the 7F sheathless technique an attractive
option for complex TRA-PCI.
PMID- 29653647
TI - Prognostic value of viral eradication for major adverse cardiovascular events in
hepatitis C cirrhotic patients.
AB - BACKGROUND: The objective was to examine the role of a sustained virological
response (SVR) on major adverse cardiovascular events (MACEs) in patients with
compensated hepatitis C virus (HCV) cirrhosis. METHODS: Patients with the
following criteria were enrolled in 35 French centers: (1) biopsy-proven HCV
cirrhosis; (2) Child-Pugh A; (3) positive viremia; and (4) no prior liver
complication, and then prospectively followed. All patients received HCV
treatment after inclusion. MACEs included stroke, myocardial infarction, ischemic
heart disease, heart failure, peripheral arterial disease, cardiac arrest, and
cardiovascular death. SVR, defined as negative viremia 12 weeks posttreatment,
was considered as a time-dependent covariate, and its effect on MACE occurrence
was assessed. The median follow up was 57.5 months, ending in December 2015.
RESULTS: Sixty-two of 878 (7.1%) patients presented a total of 79 MACEs. The main
predictive baseline factors of MACEs were Asian ethnic origin, history of MACEs,
arterial hypertension, diabetes mellitus, current smoking, low serum albumin
level, high total bilirubin level, and low platelet count. In multivariate
analysis, SVR was associated with a decreased risk of MACEs (hazard ratio=0.35,
95% CI 0.09-0.97, P=.044), whereas Asian ethnic origin, arterial hypertension,
smoking, and low serum albumin level remained predictive of MACE occurrence. The
5-year survival rate was 60.1% versus 87.5% in patients who did versus those who
did not present a MACE (P<.001). CONCLUSIONS: In patients with compensated HCV
related cirrhosis, Asian ethnic origin, arterial hypertension, smoking, and low
serum albumin are independent predictive factors of cardiovascular events,
whereas an SVR is associated with a decreased rate of cardiovascular events.
PMID- 29653652
TI - Symptomatic event reduction with extended-duration betrixaban in acute medically
ill hospitalized patients.
AB - BACKGROUND: Approximately 15%-30% of patients in trials of medical
thromboprophylaxis will have missing compression ultrasound (CUS) data. The goal
of the present analysis was to perform analyses to minimize missing data.
METHODS: The APEX trial randomized 7,513 acutely medically ill hospitalized
patients to thromboprophylaxis with either betrixaban for 35-42 days or
enoxaparin for 6-14 days. A modified intent-to-treat (mITT) analysis was
performed and included all subjects administered study drug, irrespective of CUS
performance, and an analysis of symptomatic events which do not require
performance of a CUS (symptomatic deep vein thrombosis, nonfatal pulmonary
embolism, and venous thromboembolism (VTE)-related mortality). RESULTS: In the
mITT population, betrixaban significantly reduced the primary end point (which
included both symptomatic and CUS events) (165 [4.4%] vs 223 [6.0%]; relative
risk = 0.75; 95% CI 0.61-0.91; P = .003; absolute risk reduction [ARR] = 1.6%;
number needed to treat [NNT] = 63). Betrixaban also reduced symptomatic VTE
through day 42 (35 [1.28%] vs 54 [1.88%], hazard ratio [HR] = 0.65; 95% CI 0.42
0.99; P = .044; ARR = 0.6%; NNT=167) as well as through day 77 (37 [1.02%] vs 67
[1.89%]; HR= 0.55; 95% CI 0.37-0.83; P = .003; ARR = 0.87%; NNT=115) as well as
the individual end point of nonfatal pulmonary embolism (9 [0.25%] vs 20 [0.55%];
HR= 0.45; 95% CI 0.21-0.99; P = .041; ARR = 0.30%; NNT=334). On an "as-treated"
basis, 80 mg of betrixaban reduced VTE-related mortality through day 77 (10
[0.34%] vs. 22 [0.79%]; HR=0.46; 95% CI 0.22-0.96; P = .035; ARR = 0.45%;
NNT=223). CONCLUSION: In an mITT analysis of all patients administered study
drug, extended-duration betrixaban reduced the primary end point as well as
symptomatic events. In an as-treated analysis, 80 mg of betrixaban reduced VTE
related death.
PMID- 29653653
TI - Left ventricular ejection fraction reassessment post-myocardial infarction:
Current clinical practice and determinants of adverse remodeling.
AB - BACKGROUND: Left ventricular (LV) dysfunction may be sustained or aggravated
during the convalescent months following an acute myocardial infarction (MI) and
is difficult to predict. We sought to determine current practice patterns of LV
ejection fraction (LVEF) reassessment during the months following MI and evaluate
the predictors and clinical significance of LVEF change in a prospective post-MI
patient cohort. METHODS: Patients with an acute MI between June 2010 and August
2014 were identified using the Alberta Provincial Project for Outcome Assessment
in Coronary Heart Disease registry. Patients with initial LV dysfunction (LVEF
<40% with first MI or <45% with multiple MI events) underwent a protocol-driven
repeat LVEF assessment in follow-up if routine LVEF reassessment was not
performed. RESULTS: Of 5,964 MI patients, follow-up LVEF assessments were
attained for 442 of the 695 patients who had significant LV dysfunction. A
sizable proportion (25%) had either no increase or a decline in LVEF. Adverse
remodeling was associated with an anterior MI location, a greater peak serum
troponin T, and a higher baseline LVEF at time of MI. Adverse LV remodeling
conferred a 3-fold risk of death (hazard ratio 3.0, 95% CI 1.6-5.7, P=.001)
adjusted for baseline LVEF, anterior MI location, and medication use.
CONCLUSIONS: Current practice of LVEF reassessment during the convalescent months
post-MI is suboptimal despite a sizeable proportion of patients that undergo
adverse LV remodeling. Targeting processes affecting low rates of LVEF
reassessment may reduce missed care opportunities and ensure that patients
consistently receive appropriate evidence-based and guideline-recommended care.
PMID- 29653654
TI - Hospital evaluation of health literacy and associated outcomes in patients after
acute myocardial infarction.
AB - BACKGROUND: Low health literacy is common in the United States and may affect
outcomes after myocardial infarction (MI). How often hospitals screen for low
health literacy is unknown. METHODS: We surveyed 122 hospitals in the TRANSLATE
ACS study and divided them into those that reported routinely (>75% of patients),
selectively (1%-75%), or never (0%) screening MI patients for low health literacy
prior to discharge. We performed logistic regression with random intercepts to
compare 6-week and 6-month patient-reported medication adherence and
multivariable Cox regression to compare 1-year major adverse cardiovascular
events and all-cause readmission risks between hospital groups. RESULTS: Overall,
25 (20.5%), 47 (38.5%), and 50 (41.0%) hospitals reported routinely, selectively,
or never screening patients for low health literacy, respectively. Patients
discharged from hospitals that routinely screened were more likely to report 6
week medication adherence [routinely: adjusted odds ratio (OR) 1.26, 95% CI 1.01
1.57; selectively: adjusted OR 1.19, 95% CI 1.00-1.43, both referenced to those
discharged from hospitals that never screened]. Compared with hospitals that
never screened health literacy, 1-year major adverse cardiovascular events were
similar for hospitals that reported routinely screening (adjusted HR 0.92, 95% CI
0.75-1.14) or selectively screening (adjusted HR 1.01, 95% CI 0.84-1.21).
Hospitals that reported selectively screening health literacy were associated
with a lower adjusted risk of 1-year all-cause readmission (adjusted HR 0.89, 95%
CI 0.79-1.00, P=.041). CONCLUSION: Only a minority of US hospitals routinely
screen MI patients for low health literacy. Hospital screening was associated
with higher medication adherence and lower readmission risk. Further
investigation is needed to understand how inpatient screening can be implemented
to improve longitudinal post-MI care.
PMID- 29653655
TI - Straight deep hypothermic circulatory arrest: Should we definitively give up or
should we keep on?
PMID- 29653656
TI - Data support continued role for straight deep hypothermic circulatory arrest.
PMID- 29653657
TI - Clampless facilitated anastomosis with HEARSTRING to reduce cerebral damage
during off-pump coronary bypass grafting. Don't forget it: Easy to use!
PMID- 29653658
TI - Use of clampless facilitating devices in patients with low-grade aortic disease:
is the cost justified by the theoretic risk reduction?
PMID- 29653659
TI - A tug on the heartstrings.
PMID- 29653660
TI - Readmission rates: Haven't I seen you somewhere before?
PMID- 29653661
TI - Pulmonem et pectus.
PMID- 29653662
TI - Is parameter T staging influenced by tumor behavior?
PMID- 29653663
TI - New era defining a novel clinical T staging in non-small cell lung cancer.
PMID- 29653664
TI - We are on the slope together.
PMID- 29653665
TI - Intraoperative extracorporeal membrane oxygenation and the possibility of
postoperative prolongation improve survival in bilateral lung transplantation.
AB - OBJECTIVES: The value of intraoperative extracorporeal membrane oxygenation
(ECMO) in lung transplantation remains controversial. In our department, ECMO has
been used routinely for intraoperatively unstable patients for more than 15
years. Recently, we have extended its indication to a preemptive application in
almost all cases. In addition, we prolong ECMO into the early postoperative
period whenever graft function does not meet certain quality criteria or in
patients with primary pulmonary hypertension. The objective of this study was to
review the results of this strategy. METHODS: All standard bilateral lung
transplantations performed between January 2010 and June 2016 were included in
this single-center, retrospective analysis. Patients were divided into 3 groups:
group I-no ECMO (n = 116), group II-intraoperative ECMO (n = 343), and group III
intraoperative and prolonged postoperative ECMO (n = 123). The impact of
different ECMO strategies on primary graft function, short-term outcomes, and
patient survival were analyzed. RESULTS: The use of intraoperative ECMO was
associated with improved 1-, 3-, and 5-year survival compared with non-ECMO
patients (91% vs 82%, 85% vs 76%, and 80% vs 74%; log-rank P = .041). This effect
was still evident after propensity score matching of both cohorts. Despite the
high number of complex patients in group III, outcome was excellent with higher
survival rates than in the non-ECMO group at all time points. CONCLUSIONS:
Intraoperative ECMO results in superior survival when compared with
transplantation without any extracorporeal support. The concept of prophylactic
postoperative ECMO prolongation is associated with excellent outcomes in
recipients with pulmonary hypertension and in patients with questionable graft
function at the end of implantation.
PMID- 29653666
TI - Cysticercosis-rare but important.
PMID- 29653667
TI - A review on wetting and water condensation - Perspectives for CO2 condensation.
AB - Liquefaction of vapor is a necessary, but energy intensive step in several
important process industries. This review identifies possible materials and
surface structures for promoting dropwise condensation, known to increase
efficiency of condensation heat transfer. Research on superhydrophobic and
superomniphobic surfaces promoting dropwise condensation constitutes the basis of
the review. In extension of this, knowledge is extrapolated to condensation of
CO2. Global emissions of CO2 need to be minimized in order to reduce global
warming, and liquefaction of CO2 is a necessary step in some carbon capture,
transport and storage (CCS) technologies. The review is divided into three main
parts: 1) An overview of recent research on superhydrophobicity and promotion of
dropwise condensation of water, 2) An overview of recent research on
superomniphobicity and dropwise condensation of low surface tension substances,
and 3) Suggested materials and surface structures for dropwise CO2 condensation
based on the two first parts.
PMID- 29653668
TI - Almost one-half of cracked posterior teeth were symptomatic, and pain to cold was
the most common finding.
PMID- 29653669
TI - Hall technique probably has higher success rates than a nonrestorative treatment
or conventional restorations in primary molars with carious lesions.
PMID- 29653670
TI - Benefits of implementing pain-related disability and psychological assessment in
dental practice for patients with temporomandibular pain and other oral health
conditions.
AB - BACKGROUND: Evidence in the field of dentistry has demonstrated the importance of
pain-related disability and psychological assessment in the development of
chronic symptoms. The Diagnostic Criteria for Temporomandibular Disorders offer a
brief assessment for the diagnostic process in patients with orofacial pain (Axis
II). The authors describe relevant outcomes that may guide general oral health
care practitioners toward tailored treatment decisions and improved treatment
outcomes and provide recommendations for the primary care setting. METHODS: The
authors conducted a review of the literature to provide an overview of knowledge
about Axis II assessment relevant for the general oral health care practitioner.
RESULTS: The authors propose 3 domains of the Axis II assessment to be used in
general oral health care: pain location (pain drawing), pain intensity and
related disability (Graded Chronic Pain Scale [GCPS]), and psychological distress
(Patient Health Questionnaire-4 [PHQ-4]). In the case of localized pain, low GCPS
scores (0-II), and low PHQ-4 scores (0-5), patients preferably receive treatment
in primary care. In the case of widespread pain, high GCPS scores (III-IV), and
high PHQ-4 scores (6-12), the authors recommend referral to a multidisciplinary
team, especially for patients with temporomandibular disorder (TMD) pain.
CONCLUSIONS: The authors recommend psychological assessment at first intake of a
new adult patient or for patients with persistent TMD pain. The authors recommend
the pain-related disability screening tools for all TMD pain symptoms and for
dental pain symptoms that persist beyond the normal healing period. PRACTICAL
IMPLICATIONS: A brief psychological and pain-related disability assessment for
patients in primary care may help the general oral health care practitioner make
tailored treatment decisions.
PMID- 29653672
TI - Antibiotic oral pack was effective in reducing the rate of oronasal fistula in
patients undergoing cleft palatoplasty.
PMID- 29653671
TI - Membrane exposure may decrease the benefits of guided bone regeneration on bone
levels in the short term.
PMID- 29653673
TI - [Deep alkali burns: Evaluation of a two-step surgical strategy].
AB - INTRODUCTION: Chemical burns are rare but often lead to deep cutaneous lesions.
Alkali agents have a deep and long lasting penetrating power, causing burns that
evolve over several days. The local treatment for these patients is excision of
the wound and split thickness skin graft. Early excision and immediate skin
grafting of alkali burns are more likely to be complicated by graft failure and
delayed wound healing. We propose a two-step method that delays skin grafting
until two-three days after burn wound excision. RESULTS: Our population included
25 controls and 16 cases. Men were predominant with a mean age of 41.9 years. In
78% of cases, burns were located on the lower limbs. The mean delay between the
burn and excision was 16.5 days. In cases, the skin graft was performed at a mean
of 11.3 days after the initial excision. We did not unveil any significant
difference between both groups for the total skin surface affected, topography of
the burns and the causal agent. Wound healing was significantly shorter in cases
vs controls (37.5 days vs 50.3 days; P<0.025). Furthermore, we observed a
decreased number of graft failures in cases vs controls (13.3% vs 46.7%;
P=0.059). CONCLUSION: Our study shows the relevance of a two-step surgical
strategy in patients with alkali chemical burns. Early excision followed by
interval skin grafting is associated with quicker wound healing and decreased
rate of graft failure.
PMID- 29653674
TI - Driving behaviour while self-regulating mobile phone interactions: A human
machine system approach.
AB - Mobile phone distracted driving is a recurrent issue in road safety worldwide.
Recent research on driving behaviour of distracted drivers suggests that in
certain circumstances drivers seem to assume safer behaviours while using a
mobile phone. Despite a high volume of research on this topic, self-regulation by
mobile phone distracted drivers is not well understood as many driving simulator
experiments are designed to impose an equal level of distraction to participants
being tested for their driving performance. The aim of this research was to
investigate the relationship between self-regulatory secondary task performance
and driving. By a driving simulator experiment in which participants were allowed
to perform their secondary tasks whenever they feel appropriate, the driving
performance of 35 drivers aged 18-29 years was observed under three phone
conditions including non-distraction (no phone use), hands-free interactions and
visual-manual interactions in the CARRS-Q advanced driving simulator. Drivers'
longitudinal and lateral vehicle control observed across various road traffic
conditions were then modelled by Generalized Estimation Equations (GEE) with
exchangeable correlation structure accounting for heterogeneity resulting from
multiple observations from the same driver. Results show that the extent of
engagement in the secondary task influence both longitudinal and lateral control
of vehicles. Drivers who engaged in a large number of hands-free interactions are
found to select lower driving speed. In contrast, longer visual-manual
interactions are found to result in higher driving speed among drivers self
regulating their secondary task. Among the road traffic conditions, drivers
distracted by their self-regulated secondary tasks are found to select lower
speeds along the s-curve compared to straight and motorway segments. In summary,
the applied human-machine system approach suggests that road traffic demands play
a vital role in both secondary task management and driving performance.
PMID- 29653675
TI - Dangerous intersections? A review of studies of fatigue and distraction in the
automated vehicle.
AB - The impacts of fatigue on the vehicle driver may change with technological
advancements including automation and the increasing prevalence of potentially
distracting in-car systems. This article reviews the authors' simulation studies
of how fatigue, automation, and distraction may intersect as threats to safety.
Distinguishing between states of active and passive fatigue supports
understanding of fatigue and the development of countermeasures. Active fatigue
is a stress-like state driven by overload of cognitive capabilities. Passive
fatigue is produced by underload and monotony, and is associated with loss of
task engagement and alertness. Our studies show that automated driving reliably
elicits subjective symptoms of passive fatigue and also loss of alertness that
persists following manual takeover. Passive fatigue also impairs attention and
automation use in operators of Remotely Piloted Vehicles (RPVs). Use of in
vehicle media has been proposed as a countermeasure to fatigue, but such media
may also be distracting. Studies tested whether various forms of phone-based
media interacted with automation-induced fatigue, but effects were complex and
dependent on task configuration. Selection of fatigue countermeasures should be
guided by an understanding of the form of fatigue confronting the operator.
System design, regulation of level of automation, managing distraction, and
selection of fatigue-resilient personnel are all possible interventions for
passive fatigue, but careful evaluation of interventions is necessary prior to
deployment.
PMID- 29653676
TI - Financial and recovery worry one year after traumatic injury: A prognostic,
registry-based cohort study.
AB - BACKGROUND: Levels of stress post-injury, especially after compensable injury,
are known to be associated with worse long-term recovery. It is therefore
important to identify how, and in whom, worry and stress manifest post-injury.
This study aimed to identify demographic, injury, and compensation factors
associated with worry about financial and recovery outcomes 12 months after
traumatic injury. METHODS: Participants (n = 433) were recruited from the
Victorian Orthopaedic Trauma Outcomes Registry and Victorian State Trauma
Registry after admission to a major trauma hospital in Melbourne, Australia.
Participants completed questionnaires about pain, compensation experience and
psychological wellbeing as part of a registry-based observational study. RESULTS:
Linear regressions showed that demographic and injury factors accounted for 11%
and 13% of variance in financial and recovery worry, respectively. Specifically,
lower education, discharge to inpatient rehabilitation, attributing fault to
another and having a compensation claim predicted financial worry. Worry about
recovery was only predicted by longer hospital stay and attributing fault to
another. In all participants, financial and recovery worry were associated with
worse pain (severity, interference, catastrophizing, kinesiophobia, self
efficacy), physical (disability, functioning) and psychological (anxiety,
depression, PTSD, perceived injustice) outcomes 12 months post-injury. In
participants who had transport (n = 135) or work (n = 22) injury compensation
claims, both financial and recovery worry were associated with sustaining
permanent impairments, and reporting negative compensation system experience 12
months post-injury. Financial worry 12 months post-injury was associated with not
returning to work by 3-6 months post-injury, whereas recovery worry was
associated with attributing fault to another, and higher healthcare use at 6-12
months post-injury. CONCLUSIONS: These findings highlight the important
contribution of factors other than injury severity, to worry about finances and
recovery post-injury. Having a compensation claim, failure to return to work and
experiencing pain and psychological symptoms also contribute to elevated worry.
As these factors explained less than half of the variance in worry, however,
other factors not measured in this study must play a role. As worry may increase
the risk of developing secondary mental health conditions, timely access to
financial, rehabilitation and psychological supports should be provided to people
who are not coping after injury.
PMID- 29653677
TI - Longevity, Metabolic Disease, and Community Health.
AB - In the United States, the average lifespan has increased despite the dramatic
increase in obesity, diabetes, and other conditions that worsen during aging. As
the longevity of US population increases, it is critical to understand the
factors that impact aging populations especially as age-related disease and
declining health becomes more prevalent. Diabetes related to obesity has become
much more prevalent throughout the United States and globally. Further, the
prevalence of age-related health problems accelerate in lower income communities
with less access to health care. All these factors become critical as individuals
age. Furthermore, in communities with less availability to health care, diagnosis
may be delayed and treatments are initiated at a much later stage in disease. As
such, the costs of medical care skyrocket leading to higher costs both to the
community and to taxpayers. This chapter reviews some key health problems and
issues in community health and healthy aging, recognizing the importance of
organizations and programs that provide education and support to the aging
population. Finally, cultural differences in approaches to healthy aging provide
important insights and lessons for optimizing quality of life during aging.
PMID- 29653678
TI - A Comparative Approach to Metabolic Aspects of Aging: Conserved Mechanisms and
Effects of Calorie Restriction and Environment.
AB - Metabolic systems and the function of these systems are complex, involving
biochemical pathways, endocrine, neuroendocrine systems, and physiological
systems and interact with environmental conditions. Studies in animal models have
been invaluable in gaining an understanding of the mechanisms involved in
metabolic endocrine changes during normal aging and with conditions, such as
diabetes and obesity. Together, these studies have revealed some conserved
mechanisms and identified specific biomarkers of aging related to metabolic
changes. Further, characterization of these mechanisms provides an opportunity to
develop interventions and treatments for both humans and other vertebrates. This
chapter will provide an overview of age-related changes in metabolism from
studies in human populations and the perspective of information gained from
comparative animal models. Detailed molecular mechanisms and endocrine pathways
have already been discussed in other chapters of this volume. Finally, calorie
restriction (CR) has shown consistent benefit to age-related disease incidence
with effects that has been consistent across animal models These studies on the
effects of CR enable further discernment of disease versus healthy aging
processes.
PMID- 29653679
TI - Metabolic Aspects of Aging.
AB - Metabolic interventions involving undernutrition but not malnutrition (e.g.,
caloric restriction, CR) are effective strategies for improving both health and
longevity in species ranging from lower organisms to nonhuman primates. Initial
human trials to test the effects of sustained, reduced energy intake have yielded
promising health benefits. Through intense research efforts in understanding the
molecular mechanisms of CR, three cellular pathways have now been identified
although the precise details remain unknown. More recently, circadian regulation
has been recognized as a novel mediator for CR effects in mice. Harnessing the
molecular insights into CR, novel nutritional interventions and pharmacological
application of CR mimetics have been tested showing great promise in
simultaneously improving metabolic function and providing overall health
benefits. Additional research is needed to identify efficacious therapeutics that
can be safely and practically translated to human studies in promoting
healthspan.
PMID- 29653680
TI - Obesity, Metabolism, and Aging: A Multiscalar Approach.
AB - Obesity contributes to the aging process through the alteration of metabolic
pathways evidenced biochemically in the relationship between caloric restriction
and longevity. Humans have entered into an era of metabolism and aging entirely
unprecedented in their evolution, with a diet that, for many, contains a majority
of calories as sugar and yields an expected lifespan of over 80years in
industrialized nations. Deeply embedded in the complex issue of obesity are
questions of behavior, causality versus correlation, and appropriate models. For
example, are primates a better reference than mice for studying metabolic
connections between obesity and aging? We consider those issues from the
standpoint of life-history theory, especially implications of the interplay of
refined sugar and socioeconomic disparities for the future of human health.
PMID- 29653681
TI - The Intersection of Curandismo and Western Medicine and Their Epidemiological
Impact for Aging Mexican Americans.
AB - : The rising costs of health care in the United States continue to stimulate
interest in alternative health care options among Americans. Western medicine is
also beginning to pay more attention to these alternative practices and their
utility for successful treatment of illness. Alternative health care practices
have always been used by Hispanics whose mortality and morbidity profiles have
stimulated interest from researchers. Though Hispanics occupy some of the lowest
socioeconomic positions in the United States, they have higher life expectancy
rates and comparable rates of morbidity for many health conditions relative to
other racial/ethnic groups. This has been referred to as the "Hispanic health
advantage" and the "Hispanic health paradox" [Turra CM, Elo IT. The impact of
salmon bias on hispanic mortality advantage: new evidence from social security
data. Popul Res Policy Rev. 2008;27:515. Franzini L, Fernandez-Esquer ME.
Socioeconomic, cultural, and personal influences on health outcomes in low income
Mexican-origin individuals in Texas. Soc Sci Med. 2004;59(8):1629-1646. George M.
The Mexican-American health paradox: the collective influence of sociocultural
factors on Hispanic health outcomes. DISCUSSIONS: 2013;9(2):2-3. Gallo LC, Penedo
FJ, Espinosa de los Monteros K, Argueles W. Resiliency in the face of
disadvantage: do hispanic cultural characteristics protect health outcomes. J
Pers. 2009;77(6):1707-1746. Turra CM, Goldman N. Socioeconomic differences in
mortality among U.S. adults: insights into the Hispanic paradox. J Gerontol.
2007;62(3):184-192]. Even when controlling for socioeconomic status and
education, life expectancy for Hispanics is greater than that of whites (CDIC,
2015). Debate about the causes of this paradox continues and some data indicates
that the paradox is specific to older Hispanics (i.e., middle and older ages).
Among Hispanic ethnic groups, this "paradox" has been most salient for Mexican
Americans, therefore, this chapter focuses on Mexican Americans and the
intersections of traditional health care practices among Mexican Americans
(curandismo) with the practice of Western medicine to explore how they combine
and conflict to impact aging Hispanics in three health areas: diabetes,
menopause, and dementia.
PMID- 29653682
TI - The Necessity of Active Muscle Metabolism for Healthy Aging: Muscular Activity
Throughout the Entire Day.
AB - There is more need for "a movement-movement" than ever before. The percentage of
seniors in our population is rising exponentially. Sedentary lifestyles
throughout the lifespan have become the norm, including inactive youth and a
sedentary workforce. Preventable chronic diseases caused by sedentary living have
both lowered the quality of life for those directly affected or their families,
and have created an unsustainable economic dilemma. In this article, we explain
that whether it is a sedentary student, worker, or retiree, the most neglected
but essential facts are as follows. By far, the most potent and rapid way to
raise the rate of healthy metabolic and cardiovascular processes is through the
immediate benefits of muscle contractions. Working muscle demands more energy and
fuel than any other tissue in the body, but during inactivity the metabolic rate
of muscle is relatively low. Depending on the type of contraction, muscle type,
and other factors, the local fuel requirements within the working muscle can help
to manage metabolic risks through a variety of processes, such as blood glucose
utilization, uptake of unhealthy blood triglycerides, and increased blood flow.
Given the large amount of time that people spend inactive each day, there is an
enormous opportunity to raise the bar in optimizing health throughout the entire
lifespan. Developed correctly, safe and low effort muscular activity can be
performed for relatively long periods of time each day by the elderly and all
segments of the population to optimize health and well being during aging.
PMID- 29653683
TI - Dwarf Mice and Aging.
AB - Dwarf mice have been studied for many decades, however, the focus of these
studies shifted in 1996 when it was shown by Brown-Borg and her coworkers that
Ames dwarf (Prop1df) mice are exceptionally long-lived. Since then, Snell dwarf
(Pit1dw) and growth hormone receptor knockout (GHR-KO, a.k.a. Laron dwarf) mice
were also shown to be exceptionally long-lived, presumably due to their growth
hormone (GH)-deficiency or -resistance, respectively. What is of equal importance
in these dwarf mice is their extended health span, that is, these animals have a
longer period of life lived free of frailty and age-related diseases. This review
article focuses on recent studies conducted in these dwarf mice, which concerned
brown and white adipose tissue biology, microRNA (miRNA) profiling, as well as
early-life dietary and hormonal interventions. Results of these studies identify
novel mechanisms linking reduced GH action with extensions of both life span and
health span.
PMID- 29653685
TI - Corrigendum to "Hygroscopic behavior of water-soluble matter in marine aerosols
over the East China Sea" [Sci. Total Environ. 578 (2017) 307-316].
PMID- 29653684
TI - Cellular Metabolism and Aging.
AB - Metabolic changes are hallmarks of aging and genetic and pharmacologic
alterations of relevant pathways can extend life span. In this review, we will
outline how cellular biochemistry and energy homeostasis change during aging. We
will highlight protein quality control, mitochondria, epigenetics, nutrient
sensing pathways, as well as the interplay between these systems with respect to
their impact on cellular health.
PMID- 29653686
TI - Pegvaliase for the treatment of phenylketonuria: Results of a long-term phase 3
clinical trial program (PRISM).
AB - BACKGROUND: Phenylketonuria (PKU) is caused by phenylalanine hydroxylase (PAH)
deficiency that results in phenylalanine (Phe) accumulation. Pegvaliase,
PEGylated recombinant Anabaena variabilis phenylalanine ammonia lyase (PAL),
converts Phe to trans-cinnamic acid and ammonia, and is a potential enzyme
substitution therapy to lower blood Phe in adults with PKU. METHODS: Two Phase 3
studies, PRISM-1 and PRISM-2, evaluated the efficacy and safety of pegvaliase
treatment using an induction, titration, and maintenance dosing regimen in adults
with PKU. In PRISM-1, pegvaliase-naive participants with blood Phe >600 MUmol/L
were randomized 1:1 to a maintenance dose of 20 mg/day or 40 mg/day of
pegvaliase. Participants in PRISM-1 continued pegvaliase treatment in PRISM-2, a
4-part clinical trial that includes an ongoing, open-label, long-term extension
study of pegvaliase doses of 5 mg/day to 60 mg/day. RESULTS: Of 261 participants
who received pegvaliase treatment, 72.0% and 32.6% reached >=12 months and >= 24
months of study treatment, respectively, and 65% are still actively receiving
treatment. Mean (SD) blood Phe was 1232.7 (386.4) MUmol/L at baseline, 564.5
(531.2) MUmol/L at 12 months, and 311.4 (427) MUmol/L at 24 months, a decrease
from baseline of 51.1% and 68.7%, respectively. Within 24 months, 68.4% of
participants achieved blood Phe <=600 MUmol/L, 60.7% of participants achieved
blood Phe <=360 MUmol/L, below the upper limit recommended in the American
College of Medical Genetics and Genomics PKU management guidelines, and 51.2%
achieved blood Phe <=120 MUmol/L, below the upper limit of normal in the
unaffected population. Improvements in neuropsychiatric outcomes were associated
with reductions in blood Phe and were sustained with long-term pegvaliase
treatment. Adverse events (AEs) were more frequent in the first 6 months of
exposure (early treatment phase) than after 6 months of exposure (late treatment
phase); 99% of AEs were mild or moderate in severity and 96% resolved without
dose interruption or reduction. The most common AEs were arthralgia (70.5%),
injection-site reaction (62.1%), injection-site erythema (47.9%), and headache
(47.1%). Acute systemic hypersensitivity events consistent with clinical National
Institute of Allergy and Infectious Diseases and the Food Allergy and Anaphylaxis
Network anaphylaxis criteria were observed in 12 participants (17 events); of
these, 6 participants remained on treatment. Acute systemic hypersensitivity
events including potential events of anaphylaxis were not associated with
immunoglobulin E, and all events resolved without sequelae. CONCLUSION: Results
from the PRISM Phase 3 program support the efficacy of pegvaliase for the
treatment of adults with PKU, with a manageable safety profile in most
participants. The PRISM-2 extension study will continue to assess the long-term
effects of pegvaliase treatment.
PMID- 29653687
TI - Implementation of a quality improvement project for universal genetic testing in
women with ovarian cancer.
AB - OBJECTIVE: The National Comprehensive Cancer Network recommends all women with
ovarian cancer be offered genetic testing. Despite a decade of endorsement, many
oncology practitioners have yet to make this a part of routine practice. Referral
to genetic counseling and completion of genetic testing among patients at
substantial risk of germline mutations are significantly lacking, adversely
affecting patient care and squandering an opportunity to maximize cancer
prevention efforts. This project determined the impact and feasibility of
implementing a basic model for universal referral to genetic counseling and
completion of genetic testing in women with a diagnosis of ovarian cancer in an
academic gynecology oncology practice with access to electronic health records
(EHRs). METHODS: Patients diagnosed with ovarian cancer from January 2008 to
November 2013 were retrospectively reviewed to determine the baseline referral
rate for genetic counseling and testing completion in our practice.
Implementation of a process change model combining provider training, patient
education, enhanced electronic health record documentation and improved patient
appointment scheduling strategies were implemented. We then prospectively
collected data on all newly diagnosed ovarian cancer patients that had not
already undergone genetic testing presenting from December 1, 2013 to November
30, 2016. RESULTS: Genetic referral rates, genetic counseling and testing
completion rates were markedly improved. Pre-implementation our genetic testing
rate was 27% and post implementation our testing rate was 82% (p-value<=0.001).
CONCLUSIONS: Low cost interventions that target education of both providers and
patients regarding the importance of genetic testing along with utilization of
the EHR and streamlined patient appointment services can significantly increase
rates of genetic testing completion.
PMID- 29653688
TI - Evaluation and suggestions for improving the FIGO 2000 staging criteria for
gestational trophoblastic neoplasia: A ten-year review of 1420 patients.
AB - BACKGROUND: To re-evaluate the efficacy of the prognostic factors currently
employed in the treatment of malignant gestational trophoblastic neoplasia.
METHODS: Clinical data from the Gestational Trophoblastic Disease (GTD) Center at
Peking Union Medical Hospital (PUMCH) collected between January 2002 and December
2013 were retrospectively analyzed. Univariate and multivariate analyses of
prognostic factors were performed using the Cox proportional hazards model. A new
hazard ratio (HR)-based prognostic scoring scale was established and compared
with the original scoring system. RESULTS: In total, 1420 cases were included in
the study (median follow-up=40months, overall complete remission (CR) rate=95.8%,
relapse rate=7.1%, mortality rate=5.5%, median disease-free survival
(DFS)=36months). Low-risk (0-6 points) and high-risk (>=6 points) patients
exhibited CR rates of 99.8% (915/917) and 88.5% (445/503) and mortality rates of
0.3% and 15.1% (P<0.001), respectively. Univariate and multivariate analyses
showed that age, pretreatment serum levels of human chorionic gonadotropin beta
subunit (beta-hCG) and maximum tumor diameter were not independent prognostic
risk factors. Antecedent pregnancy, the interval from the index pregnancy, the
number of metastases and a history of failed chemotherapy treatments were
independent prognostic risk factors. By modifying the scoring system based on the
variables identified in a Cox analysis, we significantly increased the area under
the receiver operating characteristics (ROC) curve. CONCLUSION: Though effective,
the accuracy of the International Federation of Gynecology and Obstetrics (FIGO)
2000 Trophoblastic Neoplasia Staging System requires improvement. Irrelevant
prognostic factors should be removed, and the weights of other factors should be
adjusted appropriately.
PMID- 29653689
TI - LPS enhances platelets aggregation via TLR4, which is related to mitochondria
damage caused by intracellular ROS, but not extracellular ROS.
AB - Platelet is an important cell contributing to hemostasis and immunity. Bacterial
lipopolysaccharide (LPS), mainly functioning by stimulating toll-like receptor 4
(TLR4), mediates platelet activation and sepsis. However, the inter-relationship
between these players in sepsis remains unknown. We found that the aggregation of
platelets was enhanced in complete blood of sepsis patients than that of healthy
donors. PRP isolated from complete blood of healthy donors was used in the
following study to filter out the interference of irrelevant cells. The results
shown that the maximum aggregation rate (MAR) was significantly higher in LPS
challenged PRP model than that of controls, and administration of the specific
TLR4 inhibitor, TAK242, reduced the MAR in this model. LPS promoted P-selectin
expression and intracellular ROS production, and both TAK242 and N-acetyl-L
cysteine (NAC) could depressed the LPS-induced increase of P-selectin and
intracellular ROS. H2O2 administration increased P-selectin expression partially
but had little effect on intracellular ROS, thought it increased mitochondrial
damage. In vivo, LPS increased both intracellular ROS and CD62P comparing with
that of controls, effects that were prevented by TAK242. Furthermore, platelet
aggregation through LPS-TLR4 pathway was involved in AKT, PKC and p38
phosphorylation but not cGMP/cAMP pathway. In conclusion, this study shows that
intracellular ROS, not extracellular ROS such as H2O2, plays a crucial role in
facilitating platelet aggregation via LPS/TLR4 pathway, and this process was
involved in AKT, PKC and p38 phosphorylation but not cGMP/cAMP pathway. The
results would helpful for understanding the role of intracellular ROS and LPS
TLR4 pathway in platelet aggregation.
PMID- 29653690
TI - Protective efficacy of a plasmid DNA vaccine against transgene-specific tumors by
Th1 cellular immune responses after intradermal injection.
AB - With DNA vaccines, it is important to monitor the movement of transfectants and
to overcome immune deviations. We used a pCMV-LacZ plasmid (expressing beta
galactosidase) and a pcDNA-hNIS plasmid (expressing the human sodium/iodide
symporter [hNIS] gene) as non-secreted visual-imaging markers. Transfectants
carrying the hNIS or LacZ gene migrated to peripheral lymphoid tissues. hNIS
expressing cells were observed specifically in the LNs and spleen. Anti-beta
galactosidase was detected in LacZ DNA immunized mice after boosting twice,
suggestive of Th2 humoral immune responses. Antibody isotyping defined the
humoral immune response. A dominant IgG2a type occurred in hNIS-immunized mice in
ELISAs. IgG2a/IgG1 ratios increased after hNIS DNA vaccination. High levels of
INF-gamma-secreting cells were identified in ELISpot and increased IFN-gamma
levels were found in cytokine ELISAs. Tumor growth decreased in hNIS DNA
immunized mice. In conclusion, humoral immune responses switched to the Th1
cellular immune response, even though we administered plasmid DNA by intra dermal
injection.
PMID- 29653691
TI - [Epicapsular stars].
PMID- 29653692
TI - Model-based analyses of the cesium dynamics in the small mesotrophic reservoir,
Pond 4. I. Estimating the inventories of and the fluxes among the pond's major
biotic components.
AB - A model of cesium (Cs) dynamics among the principal biotic and abiotic components
of an 11.4-ha impoundment is described. The model is derived from analyses of
field measurements of Cs-133 concentrations in pond components for 500 days
following the addition of 4 kg of stable Cs-133 to the system. This study differs
from similar experiments in which radionuclides, or their stable analogs have
been added to small ponds in that the biomasses of key pond components were also
obtained. The Cs-133 concentrations and biomasses were used to compute the
dynamics of Cs-133 inventories and fluxes among the pond components. The model
permits interesting comparisons of Cs-133 transport and fate over time among the
pond's abiotic components, primary producers, and two-orders of consumers. The
importance of the submerged macrophyte and periphyton community in controlling
the transport and fate of the added Cs-133 is quantified. Macrophytes intercepted
much of the Cs-133 and slowed its ultimate sequestration by the sediments. The
macrophytes' rapid absorption and slow release of Cs-133 prolonged the
availability of the element to other pond biota. These data are being used within
a subsequent paper to further develop the model into one in which the Cs-133
kinetics are described by transfer coefficients so that effects of changing
environmental variables and remediation options can be explored.
PMID- 29653693
TI - Four years follow up of ACY1 deficient patient and pedigree study.
AB - Aminoacylase 1 deficiency (ACY1D) is a rare inborn error of metabolism
characterized by increased urinary excretion of N-acetylated amino acids.
Clinical phenotypes of 15 known patients with ACY1 deficiency have been described
up to now. Findings are greatly variable, ranging from normality to relevant
neurological and psychiatric impairments, but clinical follow up has been rarely
reported. To partially fill this gap, we present a detailed clinical description
and the outcome four years post-diagnosis of a patient already described, with
mild intellectual disability, language delay, autistic traits and compound
heterozygous mutations in ACY1.
PMID- 29653694
TI - Trophic transfer of microplastics and mixed contaminants in the marine food web
and implications for human health.
AB - Plastic litter has become one of the most serious threats to the marine
environment. Over 690 marine species have been impacted by plastic debris with
small plastic particles being observed in the digestive tract of organisms from
different trophic levels. The physical and chemical properties of microplastics
facilitate the sorption of contaminants to the particle surface, serving as a
vector of contaminants to organisms following ingestion. Bioaccumulation factors
for higher trophic organisms and impacts on wider marine food webs remain
unknown. The main objectives of this review were to discuss the factors
influencing microplastic ingestion; describe the biological impacts of associated
chemical contaminants; highlight evidence for the trophic transfer of
microplastics and contaminants within marine food webs and outline the future
research priorities to address potential human health concerns. Controlled
laboratory studies looking at the effects of microplastics and contaminants on
model organisms employ nominal concentrations and consequently have little
relevance to the real environment. Few studies have attempted to track the fate
of microplastics and mixed contaminants through a complex marine food web using
environmentally relevant concentrations to identify the real level of risk. To
our knowledge, there has been no attempt to understand the transfer of
microplastics and associated contaminants from seafood to humans and the
implications for human health. Research is needed to determine bioaccumulation
factors for popular seafood items in order to identify the potential impacts on
human health.
PMID- 29653695
TI - Membrane-attached mammalian cytochromes P450: An overview of the membrane's
effects on structure, drug binding, and interactions with redox partners.
AB - Mammalian cytochromes P450 are an important class of enzymes involved in the
biotransformation of many endo- and exogenous compounds. Cytochrome P450 isoforms
are attached to the membrane of the endoplasmic reticulum or mitochondria, and
their catalytic domains move along the membrane surface while being partially
immersed in the membrane environment. Their active sites are connected to both
the membrane and cytosolic environments via a complex network of access channels.
Consequently, they can accept substrates from both environments. The membrane
also supports the interactions of cytochromes P450 with their redox partners. In
this review, we provide an overview of current knowledge of the structure,
flexibility, and interactions with substrates and redox partners of cytochrome
P450 on membranes, amalgamating information derived from both experiments and
simulations.
PMID- 29653696
TI - Manganese Increases the Sensitivity of the cGAS-STING Pathway for Double-Stranded
DNA and Is Required for the Host Defense against DNA Viruses.
AB - Manganese (Mn) is essential for many physiological processes, but its functions
in innate immunity remain undefined. Here, we found that Mn2+ was required for
the host defense against DNA viruses by increasing the sensitivity of the DNA
sensor cGAS and its downstream adaptor protein STING. Mn2+ was released from
membrane-enclosed organelles upon viral infection and accumulated in the cytosol
where it bound directly to cGAS. Mn2+ enhanced the sensitivity of cGAS to double
stranded DNA (dsDNA) and its enzymatic activity, enabling cGAS to produce
secondary messenger cGAMP in the presence of low concentrations of dsDNA that
would otherwise be non-stimulatory. Mn2+ also enhanced STING activity by
augmenting cGAMP-STING binding affinity. Mn-deficient mice showed diminished
cytokine production and were more vulnerable to DNA viruses, and Mn-deficient
STING-deficient mice showed no increased susceptibility. These findings indicate
that Mn is critically involved and required for the host defense against DNA
viruses.
PMID- 29653697
TI - An Interleukin-25-Mediated Autoregulatory Circuit in Keratinocytes Plays a
Pivotal Role in Psoriatic Skin Inflammation.
AB - Psoriasis is a chronic autoinflammatory skin disease. Although interleukin-17,
derived from lymphocytes, has been shown to be critical in psoriasis, the
initiation and maintenance of chronic skin inflammation has not been well
understood. IL-25 (also called IL-17E), another IL-17 family cytokine, is well
known to regulate allergic responses and type 2 immunity. Here we have shown that
IL-25, also highly expressed in the lesional skin of psoriasis patients, was
regulated by IL-17 in murine skin of a imiquimod (IMQ)-induced psoriasis model.
IL-25 injection induced skin inflammation, whereas germline or keratinocyte
specific deletion of IL-25 caused resistance to IMQ-induced psoriasis. Via IL
17RB expression in keratinocytes, IL-25 stimulated the proliferation of
keratinocytes and induced the production of inflammatory cytokines and
chemokines, via activation of the STAT3 transcription factor. Thus, our data
demonstrate that an IL-17-induced autoregulatory circuit in keratinocytes is
mediated by IL-25 and suggest that this circuit could be targeted in the
treatment of psoriasis patients.
PMID- 29653699
TI - Sporadic acute benign calf myositis: Systematic literature review.
AB - Acute benign calf myositis is a rare infection-associated syndrome presenting
with calf pain that occurs in epidemics or sporadically. Epidemic cases are
usually associated with influenza virus type B. Sporadic cases, however, might be
associated with a large number of microorganisms. Furthermore, during an outbreak
there is a great alertness that promotes earlier diagnosis. In contrast, there is
likely a lower awareness regarding the sporadic form, compromising early and
correct diagnosis. In order to characterize the sporadic form of acute calf
myositis and increase the knowledge of this condition, we systematically reviewed
the literature reporting sporadic cases. We identified 72 reports, including 451
patients, 325 males and 126 females. Sporadic acute benign calf myositis affected
subjects <=18 years of age (N = 450; 99%), who followed a prodromal flu-like
illness (N = 411; 91%), presented with pain and tenderness affecting only the
calves for <=11/2 weeks (N = 441; 99%) and was never complicated by kidney
involvement. The creatine kinase ratio was >=10 in 310 (70%) out of 444 cases.
Microbiological studies identified an infectious trigger in 181 cases, mostly
influenza virus (type B more frequently than type A), Dengue, Ebstein-Barr or
Parainfluenza virus and Mycoplasma pneumoniae. Sporadic acute benign calf
myositis is a self-limited condition that can usually be diagnosed on a clinical
basis. Unlike the epidemic form, many cases are due to microorganisms other than
influenza virus B or A.
PMID- 29653698
TI - Progression from latent infection to active disease in dynamic tuberculosis
transmission models: a systematic review of the validity of modelling
assumptions.
AB - Mathematical modelling is commonly used to evaluate infectious disease control
policy and is influential in shaping policy and budgets. Mathematical models
necessarily make assumptions about disease natural history and, if these
assumptions are not valid, the results of these studies can be biased. We did a
systematic review of published tuberculosis transmission models to assess the
validity of assumptions about progression to active disease after initial
infection (PROSPERO ID CRD42016030009). We searched PubMed, Web of Science,
Embase, Biosis, and Cochrane Library, and included studies from the earliest
available date (Jan 1, 1962) to Aug 31, 2017. We identified 312 studies that met
inclusion criteria. Predicted tuberculosis incidence varied widely across studies
for each risk factor investigated. For population groups with no individual risk
factors, annual incidence varied by several orders of magnitude, and 20-year
cumulative incidence ranged from close to 0% to 100%. A substantial proportion of
modelled results were inconsistent with empirical evidence: for 10-year
cumulative incidence, 40% of modelled results were more than double or less than
half the empirical estimates. These results demonstrate substantial disagreement
between modelling studies on a central feature of tuberculosis natural history.
Greater attention to reproducing known features of epidemiology would strengthen
future tuberculosis modelling studies, and readers of modelling studies are
recommended to assess how well those studies demonstrate their validity.
PMID- 29653700
TI - Introduction: Continuing story of premature progesterone elevation.
AB - Since the late 1980s premature progesterone elevation has repeatedly caught the
attention of investigators, not only for its importance, but also because studies
have shown differing results and conclusions, constituting an unexplainable
sequence of doubts and uncertainties. This issue's Views and Reviews section
seeks to present a sequence of short and complementary papers summarizing the
whole story and updating the reader on the current information and perspectives
on premature progesterone elevation.
PMID- 29653701
TI - Premature progesterone elevation in controlled ovarian stimulation: to make a
long story short.
AB - Over the past decades many of us have contributed to the controversy surrounding
the origins and consequences of premature progesterone elevation during
controlled ovarian stimulation. In this article, we attempt to retrace the
progression of information on this complex subject which required reviewing a
number of publications that often contradicted one another. The definition of
premature progesterone elevation, the pathophysiological mechanisms underlying
the high peripheral progesterone levels, and the debated consequences of this
event on in vitro fertilixation-embryo transfer outcome will be addressed from a
historical perspective.
PMID- 29653702
TI - Endocrine mechanisms and assay issues in premature progesterone elevation in
assisted reproductive technology.
AB - Progesterone elevation occurring in the late phases of controlled ovarian
stimulation (COS) has been reported for over 25 years. Yet doubts remain
regarding the mechanisms at play in this phenomenon and its net consequences on
assisted reproductive technology outcome, which is known to occur in poor and
good assisted reproductive technology responders. The pathophysiology of end-COS
progesterone elevation encountered in gonadotropin-suppressed cycles is different
from that prevailing at the time of, and just after, ovulation. The different
divergence in practical consequences of end-COS progesterone elevation led to
review the progesterone assays developed for measuring progesterone in the luteal
phase of the menstrual cycle, but commonly used for measuring all forms of
progesterone elevation.
PMID- 29653703
TI - Premature progesterone elevation: targets and rescue strategies.
AB - Progesterone elevation during the late follicular phase of ovarian stimulation
for in vitro fertilization negatively impacts the assisted reproductive
technology-outcome. The evidence available supports an advanced endometrial
maturation and a direct negative effect on its receptivity. On the other hand,
some retrospective analysis suggests an impairment of oocyte and embryo quality.
Recent publications confirm that enhanced follicle-stimulating hormone
stimulation towards the end of the follicular phase of ovarian stimulation is the
main course of progesterone elevation. A key element in preventing this event is
the individualization of ovarian stimulation according to the patient's ovarian
reserve and the adaption of the stimulation dosage during late follicular phase
according to the patient's response. Additional measures as corticosteroid
administration, avoidance of prolonged stimulation and cycle segmentation with
freeze-all-policy can be discussed.
PMID- 29653704
TI - Time-lapse imaging.
PMID- 29653705
TI - Time-lapse imaging: clearly useful to both laboratory personnel and patient
outcomes versus just because we can doesn't mean we should.
PMID- 29653706
TI - Medicine and architecture: a blueprint.
PMID- 29653707
TI - Next generation sequencing for preimplantation genetic testing for aneuploidy:
friend or foe?
PMID- 29653708
TI - Leveraging real-world data to move toward more personalized fertility treatment.
PMID- 29653709
TI - Arcuate uterus: a legitimate pathological entity?
PMID- 29653710
TI - When is recombinant luteinizing hormone supplementation beneficial during ovarian
stimulation?
PMID- 29653711
TI - To invade, or to observe, that is the question.
PMID- 29653712
TI - Is insurance coverage for in vitro fertilization a good thing?
PMID- 29653713
TI - Assessing new technology: what is best for the patient, the surgeon, and the
healthcare system.
PMID- 29653714
TI - Ovulation induction with pulsatile gonadotropin releasing hormone: missing in
action.
PMID- 29653715
TI - Androgens and endometrium: new lessons from the corpus luteum via the adrenal
cortex?
PMID- 29653716
TI - Optimizing fertility preservation with microscopic onco-testicular sperm
extraction.
PMID- 29653717
TI - Recombinant luteinizing hormone supplementation in assisted reproductive
technology: a systematic review.
AB - OBJECTIVE: To assess the role of recombinant human LH (r-hLH) supplementation in
ovarian stimulation for ART in specific subgroups of patients. DESIGN: Systematic
review. SETTING: Centers for reproductive care. PATIENT(S): Six populations were
investigated: 1) women with a hyporesponse to recombinant human FSH (r-hFSH)
monotherapy; 2) women at an advanced reproductive age; 3) women cotreated with
the use of a GnRH antagonist; 4) women with profoundly suppressed LH levels after
the administration of GnRH agonists; 5) normoresponder women to prevent ovarian
hyperstimulation syndrome; and 6) women with a "poor response" to ovarian
stimulation, including those who met the European Society for Human Reproduction
and Embryology Bologna criteria. INTERVENTION(S): Systematic review. MAIN OUTCOME
MEASURE(S): Implantation rate, number of oocytes retrieved, live birth rate,
ongoing pregnancy rate, fertilization rate, and number of metaphase II oocytes.
RESULT(S): Recombinant hLH supplementation appears to be beneficial in two
subgroups of patients: 1) women with adequate prestimulation ovarian reserve
parameters and an unexpected hyporesponse to r-hFSH monotherapy; and 2) women 36
39 years of age. Indeed, there is no evidence that r-hLH is beneficial in young
(<35 y) normoresponders cotreated with the use of a GnRH antagonist. The use of r
hLH supplementation in women with suppressed endogenous LH levels caused by GnRH
analogues and in poor responders remains controversial, whereas the use of r-hLH
supplementation to prevent the development of ovarian hyperstimulation syndrome
warrants further investigation. CONCLUSION(S): Recombinant hLH can be proposed
for hyporesponders and women 36-39 years of age.
PMID- 29653718
TI - Reintervention risk and quality of life outcomes after uterine-sparing
interventions for fibroids: a systematic review and meta-analysis.
AB - OBJECTIVES: To compare uterine-sparing treatment options for fibroids in terms of
reintervention risk and quality of life. DESIGN: Systematic review and meta
analysis according to PRISMA guidelines. SETTING: Not applicable. PATIENT(S):
Women with uterine fibroids undergoing a uterine-sparing intervention.
INTERVENTIONS(S): Not applicable. MAIN OUTCOME MEASURE(S): 1) Reintervention risk
after uterine-sparing treatment for fibroids after 12, 36, and 60 months; and 2)
quality of life outcomes, based on validated questionnaires. Two separate
analyses were performed for the procedures that used an abdominal approach
(myomectomy, uterine artery embolization [UAE], artery ligation, high-intensity
focused ultrasound [HIFU], laparoscopic radiofrequency ablation [RFA]) and for
the procedures managing intracavitary fibroids (hysteroscopic approach, including
hysteroscopic myomectomy and hysteroscopic RFA). RESULT(S): There were 85
articles included for analysis, representing 17,789 women. Stratified by
treatment options, reintervention risk after 60 months was 12.2% (95% confidence
interval [CI] 5.2%-21.2%) for myomectomy, 14.4% (95% CI 9.8%-19.6%) for UAE,
53.9% (95% CI 47.2%-60.4%) for HIFU, and 7% (95% CI 4.8%-9.5%) for hysteroscopy.
For the other treatment options, no studies were available at 60 months. For
quality of life outcomes, symptoms improved after treatment for all options. The
HIFU procedure had the least favorable outcomes. CONCLUSION(S): Despite the
substantial heterogeneity of the study population, this meta-analysis provides
valuable information on relative treatment efficacy of various uterine-sparing
interventions for fibroids, which is relevant when counseling patients in daily
practice. Furthermore, this study demonstrates that long-term data, particularly
for the newest uterine-sparing interventions, are urgently needed.
PMID- 29653719
TI - Using microscope for onco-testicular sperm extraction for bilateral testis
tumors.
AB - OBJECTIVE: To demonstrate a step-by-step approach to the use of the operating
microscope for onco-testicular sperm extraction. DESIGN: Video presentation.
SETTING: University hospital. PATIENT(S): A 34-year-old man (status post right
orchiectomy at another institution for pT3 pure seminoma with negative
preoperative tumor markers) was referred for contralateral orchiectomy for
multifocal left testis mass and fertility preservation. A postoperative semen
analysis for attempted cryopreservation of ejaculated semen identified
azoospermia. INTERVENTION(S): Left radical orchiectomy, left microsurgical onco
testicular sperm extraction (TESE). MAIN OUTCOME MEASURE(S): Intraoperative
technique with commentary highlighting tips for successful fertility preservation
via microsurgical onco-TESE. Discussion of alternatives. RESULT(S): This video
provides a step-by-step guide to microsurgical onco-TESE coordinated with radical
orchiectomy for testis cancer as a means of fertility preservation in an
azoospermic patient. Preoperative imaging with scrotal ultrasound can serve as a
useful guide for targeting microdissection to areas of normal testicular
parenchyma for extraction of seminiferous tubules likely to host normal
spermatogenesis. This patient had successful recovery and cryopreservation of
abundant testicular sperm following targeted ex-vivo testicular microdissection.
CONCLUSION(S): Microsurgical onco-TESE may be offered to azoospermic patients
when undergoing orchiectomy for testis cancer. Use of preoperative imaging and
the surgical microscope guide surgical dissection and optimize sperm recovery.
PMID- 29653720
TI - The ethical and deontological charter of the French faculties of medicine and
odontology.
PMID- 29653721
TI - One last Who am I!
PMID- 29653722
TI - War, Climate Change, and Migration.
PMID- 29653723
TI - Characterization of the duodenal bacterial microbiota in patients with pancreatic
head cancer vs. healthy controls.
AB - An increasing number of reports have demonstrated that there is an association
between the presence of pathogenic microorganisms and pancreatic cancer. However,
the role of the duodenal microbiota in pancreatic carcinogenesis remains unknown.
In this study, duodenal mucosal microbiota was analyzed in 14 patients with
pancreatic head cancer and 14 healthy controls using 16S rRNA gene pyrosequencing
methods. Plasma endotoxin activity and the concentrations of the proinflammatory
cytokine IL-6 and C-reactive protein (CRP) were measured in blood samples. The
urea breath test was used to detect Helicobacter pylori infections. Endoscopic
duodenal mucosal biopsies were evaluated by histological examinations.
Statistical comparisons of inflammatory factors revealed significantly higher
levels of CRP and IL-6 in the pancreatic cancer group as compared to healthy
controls. Patients with pancreatic cancer also had a higher incidence of H.
pylori infections and showed mucosal changes, including villous abnormalities and
diffuse inflammatory cell infiltration in the lamina propria. The sequences
analysis showed that based on linear discriminant analysis effect size (LEfSe)
analysis at the genus level, Acinetobacter, Aquabacterium, Oceanobacillus,
Rahnella, Massilia, Delftia, Deinococcus, and Sphingobium were more abundant in
the duodenal mucosa of pancreatic cancer patients, whereas the duodenal
microbiotas of healthy controls were enriched with Porphyromonas, Paenibacillus,
Enhydrobacter, Escherichia, Shigella, and Pseudomonas. These results reveal a
picture of duodenal microbiota in pancreatic head cancer patients that could be
useful in future trials investigating the role of gut microbiota in pancreatic
cancer.
PMID- 29653724
TI - The effect of DLC-coating deposition method on the reliability and mechanical
properties of abutment's screws.
AB - OBJECTIVE: To characterize the mechanical properties of different coating methods
of DLC (diamond-like carbon) onto dental implant abutment screws, and their
effect on the probability of survival (reliability). METHODS: Seventy-five
abutment screws were allocated into three groups according to the coating method:
control (no coating); UMS - DLC applied through unbalanced magnetron sputtering;
RFPA-DLC applied through radio frequency plasma-activated (n=25/group). Twelve
screws (n=4) were used to determine the hardness and Young's modulus (YM). A 3D
finite element model composed of titanium substrate, DLC-layer and a counterpart
were constructed. The deformation (MUm) and shear stress (MPa) were calculated.
The remaining screws of each group were torqued into external hexagon abutments
and subjected to step-stress accelerated life-testing (SSALT) (n=21/group). The
probability Weibull curves and reliability (probability survival) were calculated
considering the mission of 100, 150 and 200N at 50,000 and 100,000 cycles.
RESULTS: DLC-coated experimental groups evidenced higher hardness than control
(p<0.05). In silico analysis depicted that the higher the surface Young's
modulus, the higher the shear stress. Control and RFPA showed beta<1, indicating
that failures were attributed to materials strength; UMS showed beta>1 indicating
that fatigue contributed to failure. High reliability was depicted at a mission
of 100N. At 200N a significant decrease in reliability was detected for all
groups (ranging from 39% to 66%). No significant difference was observed among
groups regardless of mission. Screw fracture was the chief failure mode.
SIGNIFICANCE: DLC-coating have been used to improve titanium's mechanical
properties and increase the reliability of dental implant-supported restorations.
PMID- 29653725
TI - Numerical fatigue analysis of premolars restored by CAD/CAM ceramic crowns.
AB - OBJECTIVES: The purpose of this study was to estimate the fatigue life of
premolars restored with two dental ceramics, lithium disilicate (LD) and polymer
infiltrated ceramic (PIC) using the numerical method and compare it with the
published in vitro data. METHODS: A premolar restored with full-coverage crown
was digitized. The volumetric shape of tooth tissues and crowns were created in
Mimics(r). They were transferred to IA-FEMesh for mesh generation and the model
was analyzed with Abaqus. By combining the stress distribution results with
fatigue stress-life (S-N) approach, the lifetime of restored premolars was
predicted. RESULTS: The predicted lifetime was 1,231,318 cycles for LD with
fatigue load of 1400N, while the one for PIC was 475,063 cycles with the load of
870N. The peak value of maximum principal stress occurred at the contact area
(LD: 172MPa and PIC: 96MPa) and central fossa (LD: 100MPa and PIC: 64MPa) for
both ceramics which were the most seen failure areas in the experiment. In the
adhesive layer, the maximum shear stress was observed at the shoulder area (LD:
53.6MPa and PIC: 29MPa). SIGNIFICANCE: The fatigue life and failure modes of all
ceramic crown determined by the numerical method seem to correlate well with the
previous experimental study.
PMID- 29653726
TI - Fabrication and characterisation of a novel biomimetic anisotropic
ceramic/polymer-infiltrated composite material.
AB - OBJECTIVE: To fabricate and characterise a novel biomimetic composite material
consisting of aligned porous ceramic preforms infiltrated with polymer. METHOD:
Freeze-casting was used to fabricate and control the microstructure and porosity
of ceramic preforms, which were subsequently infiltrated with 40-50% by volume
UDMA-TEGDMA polymer. The composite materials were then subjected to
characterisation, namely density, compression, three-point bend, hardness and
fracture toughness testing. Samples were also subjected to scanning electron
microscopy and computerised tomography (Micro-CT). RESULTS: Three-dimensional
aligned honeycomb-like ceramic structures were produced and full interpenetration
of the polymer phase was observed using micro-CT. Depending on the volume
fraction of the ceramic preform, the density of the final composite ranged from
2.92 to 3.36g/cm3, compressive strength ranged from 206.26 to 253.97MPa, flexural
strength from 97.73 to 145.65MPa, hardness ranged from 1.46 to 1.62GPa, and
fracture toughness from 3.91 to 4.86MPam1/2. SIGNIFICANCE: Freeze-casting
provides a novel method to engineer composite materials with a unique aligned
honeycomb-like interpenetrating structure, consisting of two continuous phases,
inorganic and organic. There was a correlation between the ceramic fraction and
the subsequent, density, strength, hardness and fracture toughness of the
composite material.
PMID- 29653727
TI - Department of Error.
PMID- 29653728
TI - Prenatal diagnosis of trisomy 18 rescue resulting in mosaic of two different
diploid cell lines in a hydropic fetus.
PMID- 29653729
TI - Circulation of influenza in backyard productive systems in central Chile and
evidence of spillover from wild birds.
AB - Backyard productive systems (BPS) are recognized as the most common form of
animal production in the world. However, BPS frequently exhibit inherent
biosecurity deficiencies, and could play a major role in the epidemiology of
animal diseases and zoonoses. The aim of this study was to determine if influenza
A viruses (IAV) were prevalent in backyard poultry and swine BPS in central
Chile. Through active surveillance in Valparaiso and Metropolitan regions from
2012 - 2014, we found that influenza virus positivity by real-time RT-PCR (qRT
PCR) ranged from 0% during winter 2012-45.8% during fall 2014 at the farm level.
We also obtained an H12 hemagglutinin (HA) sequence of wild bird origin from a
domestic Muscovy duck (Cairina moschata), indicating spillover from wild birds
into backyard poultry populations. Furthermore, a one-year sampling effort in 113
BPS in the Libertador Bernardo O'Higgins (LGB OHiggins) region showed that 12.6%
of poultry and 2.4% of swine were positive for IAV by enzyme-linked immunosorbent
assay (ELISA), indicative of previous exposure of farm animals to IAV. This study
highlights the need for improved IAV surveillance in backyard populations given
the close interaction between domestic animals, wild birds and people in these
farms, particularly in an understudied region, like South America.
PMID- 29653730
TI - Beliefs, intentions, and beyond: A qualitative study on the adoption of
sustainable gastrointestinal nematode control practices in Flanders' dairy
industry.
AB - Emerging anthelmintic resistance emphasizes the need for sustainable control
approaches against gastrointestinal nematode (GIN) infections in cattle. The
uptake of diagnostic methods for sustainable control could enable more informed
treatments and reduce excessive anthelmintic use. Unfortunately, the adoption of
such methods remains relatively poor. A better understanding of farmers'
motivations and behaviour would help to develop applicable advises and
communication strategies for sustainable worm control strategies. A previous
study created a general model for adoption intention of GIN diagnostics on dairy
farms and measured the most important factors driving this intention (Vande Velde
et al., 2015). The current research aimed to dig deeper into this model for the
beliefs underlying these factors, and to identify additional factors impelling
this specific behaviour. Data were collected through 22 semi-structured
interviews with dairy farmers. Using analytic induction analysis, data were moved
between deduction and induction. Results show that the adoption process of
diagnostic methods for GIN occurs through three different phases: adoption
intention, actual adoption and maintenance. Low infection awareness and low
priority ('top of mind') of the disease are important barriers for adopting
sustainable GIN control. Secondly, farmer behaviour is guided by two important
social norms: the opinion of their veterinarian and their fellow farmers.
However, farmers hold an incongruent relationship with both norms throughout
different stages of behaviour: they do not value other farmers' opinions as a
positive reference (intention phase), but follow and mimic their behaviour as a
group (action phase). The veterinarian is seen as the most important positive
reference, but also the responsible actor for GIN control. As such, the farmers
do not hold themselves responsible for implementing sustainable control
strategies. Thirdly, different types of motivations influence different sorts of
behaviours. Sustainable behaviour such as use of diagnostics will be influenced
by moral motives, while management behaviour such as treatment is raised by more
economic motives. Finally, not only performing, but also maintaining behaviour is
important to fully address the adoption of sustainable control. As such, to
maintain the adoption on farm, planning could be an important contribution. These
insights can be used by animal health organizations and industry by exploiting
motivations, social norms and planning to encourage the uptake of diagnostic
approaches in GIN control.
PMID- 29653731
TI - Detection of influenza A virus from agricultural fair environment: Air and
surfaces.
AB - Agricultural fairs facilitate an environment conducive to the spread of influenza
A virus with large numbers of pigs from various different locales comingling for
several days (5-8 days). Fairs are also associated with zoonotic transmission of
influenza A virus as humans have unrestricted contact with potentially infected
swine throughout the fair's duration. Since 2005, the Centers for Disease Control
and Prevention has reported 468 cases of variant influenza A virus, with most
cases having had exposure to swine at agricultural fairs. Many mechanisms have
been proposed as potential direct and indirect routes of transmission that may be
enhancing intra- and inter-species transmission of influenza A virus at fairs.
This study examines airborne respiratory droplets and portable animal-care items
as potential routes of transmission that may be contributing to enhanced viral
spread throughout the swine barn and the resulting variant cases of influenza A.
Air samples were taken from inside swine barns at 25 fairs between the years 2013
and 2014. Influenza A virus was detected molecularly in 11 of 59 (18.6%) air
samples, representing 4 of the 25 fairs. Viable H1N1 virus, matching virus
recovered from swine at the fair, was recovered from the air at one fair in 2013.
During the summer of 2016, 75 of 400 (18.8%) surface samples tested positive for
molecular presence of influenza A virus and represented 10 of 20 fairs. Seven
viral isolates collected from four fairs were recovered from the surfaces. Whole
genome sequences of the viruses recovered from the surfaces are >99% identical to
the viruses recovered from individual pigs at each respective fair. The detection
and recovery of influenza A virus from both the air and surfaces found within the
swine barn at agricultural fairs provide evidence for potential viral
transmission through these routes, which may contribute to both intra- and inter
species transmission, threatening public health. These findings reinforce the
need for new and improved mitigation strategies at agricultural fairs in order to
reduce the risk to animal and public health.
PMID- 29653732
TI - A longitudinal study of faecal shedding of Yersinia enterocolitica and Yersinia
pseudotuberculosis by Merino lambs in south-eastern Australia.
AB - A prospective longitudinal study was conducted to investigate potential risk
factors for faecal shedding of Yersinia enterocolitica and Y. pseudotuberculosis
by Merino lambs in four flocks in south-eastern Australia. The primary aims of
the study were to determine the seasonal patterns of shedding of pathogenic Y.
enterocolitica and Y. pseudotuberculosis, and to evaluate putative risk factors
for faecal shedding of these organisms, including worm egg count, live-weight and
growth rate. The risk of shedding varied markedly between Yersinia spp., farms,
seasons and years. Shedding of Y. pseudotuberculosis occurred predominately in
winter, whereas Y. enterocolitica was commonly isolated from faeces throughout
the year. Moderate to high prevalences of shedding of each organism occurred in
the absence of outbreaks of yersiniosis. In general, for shedding of Y.
pseudotuberculosis, animals with moderate or high worm egg counts were at
increased risk of shedding compared with animals with low worm egg counts. Sheep
with higher average daily weight gains were at decreased risk of shedding Y.
enterocolitica but at increased risk of shedding Y. pseudotuberculosis. Live
weight was not significantly associated with risk of shedding either species.
This study highlighted that exposure to determinants of shedding Y.
enterocolitica and Y. pseudotuberculosis differ between farms and over time
within farms. Shedding is likely influenced by environmental, animal and
management factors. Our results indicate that different or additional risk
factors are required for yersiniosis over those that cause faecal shedding of
Yersinia spp., because moderate to high prevalences of shedding were not always
associated with outbreaks of clinical disease.
PMID- 29653733
TI - The prevalence of PCV2 viremia in newborn piglets on four endemically infected
Dutch sow farms is very low.
AB - Porcine circovirus type 2 (PCV2) systemic disease is currently considered one of
the most relevant infectious diseases in swine industry worldwide from an
economical point of view. Although piglets generally become diseased between 8
and 16 weeks of age, they can be infected much earlier, even already in utero.
However, data on the prevalence of PCV2 infection in newborn piglets are very
variable (lower than 40 up to 82%) and most of the studies have been performed in
US. In European pig farms, using group-housing systems for gestating sows, a
different herd PCV2 infection and immunological status may be expected and was
recently reported in Germany. If that is the current scenario in most European
farms, strategies to prevent horizontal transmission become essential for the
control of the infection. The aim of our study was to determine the PCV2
prevalence in newborn piglets on 4 endemically infected farms in the Netherlands
under European conditions. Eleven sows and 8 piglets per litter from 4 farms
selected by their assumed PCV2 endemic infection status were sampled. Plasma from
piglets was analysed with a PCV2 qPCR and serum from the sows was analysed with a
commercial circovirus IgG ELSIA, circovirus IgM ELISA and PCV2 qPCR. In none of
the samples from the piglets PCV2 was detected by the qPCR. None of the samples
from the sows tested positive in the qPCR and circovirus IgM ELISA. The true- and
apparent prevalence of IgG at herd and sow level were 0.75 and 0.81 and, 0.30 and
0.32, respectively, and no statistically significant association with sow parity
was observed. These results reveal a very low prevalence of PCV2 in newborn
piglets on endemically infected farms in The Netherlands, opening the opportunity
of re-evaluation of the control measures applied in these farms.
PMID- 29653734
TI - Using multi-criteria risk ranking methodology to select case studies for a
generic risk assessment framework for exotic disease incursion and spread through
Europe.
AB - We present a novel approach of using the multi-criteria pathogen prioritisation
methodology as a basis for selecting the most appropriate case studies for a
generic risk assessment framework. The approach uses selective criteria to rank
exotic animal health pathogens according to the likelihood of introduction and
the impact of an outbreak if it occurred in the European Union (EU). Pathogens
were evaluated based on their impact on production at the EU level and
international trade. A subsequent analysis included criteria of relevance to
quantitative risk assessment case study selection, such as the availability of
data for parameterisation, the need for further research and the desire for the
case studies to cover different routes of transmission. The framework
demonstrated is flexible with the ability to adjust both the criteria and their
weightings to the user's requirements. A web based tool has been developed using
the RStudio shiny apps software, to facilitate this.
PMID- 29653735
TI - Development of a risk assessment tool for improving biosecurity on pig farms.
AB - In the present study a risk assessment tool was developed for improving
biosecurity on pig farms as part of a voluntary program for PRRS control on farms
located in NE Spain. The arrival of the PRRS virus through different routes was
estimated based on their likelihood of harbouring the virus and the estimation of
a score for the probability of introduction. For each possible route of
introduction or spread within the herds, single or combined biosecurity measures
aimed at reducing the probability of PRRS virus transmission were selected.
Results showed that the scores for the probability of introduction and spreading
were related to a highly variable application of biosecurity measures. The tool
developed in the present study may be suitable for identifying where efforts
should be focused in biosecurity actions forming part of disease control
programs.
PMID- 29653736
TI - A time-series approach for clustering farms based on slaughterhouse health
aberration data.
AB - A large amount of data is collected routinely in meat inspection in pig
slaughterhouses. A time series clustering approach is presented and applied that
groups farms based on similar statistical characteristics of meat inspection data
over time. A three step characteristic-based clustering approach was used from
the idea that the data contain more info than the incidence figures. A stratified
subset containing 511,645 pigs was derived as a study set from 3.5 years of meat
inspection data. The monthly averages of incidence of pleuritis and of pneumonia
of 44 Dutch farms (delivering 5149 batches to 2 pig slaughterhouses) were
subjected to 1) derivation of farm level data characteristics 2) factor analysis
and 3) clustering into groups of farms. The characteristic-based clustering was
able to cluster farms for both lung aberrations. Three groups of data
characteristics were informative, describing incidence, time pattern and degree
of autocorrelation. The consistency of clustering similar farms was confirmed by
repetition of the analysis in a larger dataset. The robustness of the clustering
was tested on a substantially extended dataset. This confirmed the earlier
results, three data distribution aspects make up the majority of distinction
between groups of farms and in these groups (clusters) the majority of the farms
was allocated comparable to the earlier allocation (75% and 62% for pleuritis and
pneumonia, respectively). The difference between pleuritis and pneumonia in their
seasonal dependency was confirmed, supporting the biological relevance of the
clustering. Comparison of the identified clusters of statistically comparable
farms can be used to detect farm level risk factors causing the health
aberrations beyond comparison on disease incidence and trend alone.
PMID- 29653737
TI - Random and systematic sampling error when hooking fish to monitor skin fluke
(Benedenia seriolae) and gill fluke (Zeuxapta seriolae) burden in Australian
farmed yellowtail kingfish (Seriola lalandi).
AB - The Australian farmed yellowtail kingfish (Seriola lalandi, YTK) industry monitor
skin fluke (Benedenia seriolae) and gill fluke (Zeuxapta seriolae) burden by
pooling the fluke count of 10 hooked YTK. The random and systematic error of this
sampling strategy was evaluated to assess potential impact on treatment
decisions. Fluke abundance (fluke count per fish) in a study cage (estimated
30,502 fish) was assessed five times using the current sampling protocol and its
repeatability was estimated the repeatability coefficient (CR) and the
coefficient of variation (CV). Individual body weight, fork length, fluke
abundance, prevalence, intensity (fluke count per infested fish) and density
(fluke count per Kg of fish) were compared between 100 hooked and 100 seined YTK
(assumed representative of the entire population) to estimate potential selection
bias. Depending on the fluke species and age category, CR (expected difference in
parasite count between 2 sampling iterations) ranged from 0.78 to 114 flukes per
fish. Capturing YTK by hooking increased the selection of fish of a weight and
length in the lowest 5th percentile of the cage (RR = 5.75, 95% CI: 2.06-16.03, P
value = 0.0001). These lower end YTK had on average an extra 31 juveniles and 6
adults Z. seriolae per Kg of fish and an extra 3 juvenile and 0.4 adult B.
seriolae per Kg of fish, compared to the rest of the cage population (P-value <
0.05). Hooking YTK on the edge of the study cage biases sampling towards the
smallest and most heavily infested fish in the population, resulting in poor
repeatability (more variability amongst sampled fish) and an overestimation of
parasite burden in the population. In this particular commercial situation these
finding supported that health management program, where the finding of an
underestimation of parasite burden could provide a production impact on the study
population. In instances where fish populations and parasite burdens are more
homogenous, sampling error may be less severe. Sampling error when capturing fish
from sea cage is difficult to predict. The amplitude and direction of this error
should be investigated for a given cultured fish species across a range of
parasite burden and fish profile scenarios.
PMID- 29653738
TI - Meta-analysis of the efficacy of Leptospira serovar Hardjo vaccines to prevent
urinary shedding in cattle.
AB - Leptospirosis is a zoonosis often associated with occupational exposure from
livestock that can be prevented by animal vaccination. Several trials have
assessed vaccine efficacy in livestock but there have been no attempts to
evaluate these trials jointly. This systematic review and meta-analysis aimed to
estimate vaccine efficacy to prevent urinary shedding of Leptospira serovar
Hardjo (Hardjo) in cattle. Three databases were used to search for relevant
papers published from 1980 to 2015 evaluating commercial vaccines to prevent
urinary shedding of leptospires after artificial conjunctival or natural
challenge. A total of 1237 articles were initially identified. Eight articles
containing information from nine trials that assessed vaccine efficacy to prevent
Hardjo urinary shedding, as per bacteriological culture, were included in the
meta-analysis. Fixed effects Mantel-Haenszel (MH) and a Bayesian random effects
meta-analyses were used to estimate the efficacy of vaccination to prevent Hardjo
shedding in urine. Vaccine efficacy against Hardjo challenge was 88.7% (95% CI
81.0%-93.2%) in the MH meta-analysis and 89.9% (95% probability interval 80.6%
94.9%) in the Bayesian random effects meta-analysis. There was no evidence of
heterogeneity of study results (p = 0.17). The estimated vaccine efficacy to
prevent urinary shedding of Hardjo in cattle may be sufficient to reduce disease
incidence in animals and exposure risk of people working in close contact with
cattle.
PMID- 29653739
TI - Risk factors for cutaneous myiasis (blowfly strike) in pet rabbits in Great
Britain based on text-mining veterinary electronic health records.
AB - Blowfly strike is a devastating and often rapidly fatal disease in rabbits. In
Great Britain (GB), Lucilia sericata is the primary causative species. Despite
its severity, there has been minimal investigatory work into the disease in
rabbits. Here we used text mining to screen electronic health records (EHRs) from
a large sentinel network of 389 veterinary practices in GB between March 2014 and
April 2017 for confirmed cases of blowfly strike in rabbits. Blowfly strike was
identified in 243 of 42,226 rabbit consultations (0.6%), affecting 205 individual
rabbits. The anatomical site of recorded blowfly strike lesions was
overwhelmingly the perineal area (n = 109, 52.4%). Less commonly lesions were
observed affecting other areas of the body (n = 9, 4.3%) and head (n = 8, 3.8%);
in 83 consultations (39.9%), the affected area was not specified. Of the rabbits
presenting with blowfly strike, 44.7% were recorded as being euthanized or died.
A case control study was used to identify risk factors for blowfly strike in this
population. Whilst sex and neuter status in isolation were not significantly
associated with blowfly strike, entire female rabbits showed a 3.3 times greater
odds of being a case than neutered female rabbits. Rabbits five years of age and
over were more than 3.8 times likely to present for blowfly strike. For every 1
degrees C rise in environmental temperature between 4.67 degrees C and 17.68
degrees C, there was a 33% increase risk of blowfly strike, with cases peaking in
July or August. Overall blowfly strike cases started earlier and peaked higher in
the south of Great Britain. The most northerly latitude studied was at lower risk
of blowfly strike than the most southerly (OR = 0.50, p < 0.001). There appeared
to be no significant relationship between blowfly strike in rabbits and either
the sheep density or rural and urban land coverage types. The results presented
here can be used for targeted health messaging to reduce the impact of this
deadly disease for rabbits. We propose that real-time temporal and spatial
surveillance of the rabbit disease may also help inform sheep control, where the
seasonal profile is very similar, and where routine surveillance data is also not
available. Our results highlight the value of sentinel databases based on EHRs
for research and surveillance.
PMID- 29653740
TI - Is syndromic data from rural poultry farmers a viable poultry disease reporting
tool and means of identifying likely farmer responses to poultry disease
incursion?
AB - Syndromic surveillance is a well described tool used in developed countries for
alerting authorities to livestock disease incursions. However, little work has
been done to evaluate whether this could be a viable tool in countries where
disease reporting infrastructure and resources is poor. Consequently, a syndrome
based questionnaire study in Eastern Zambia was designed to gather data on
previous encounters farmers had with poultry diseases, as well as control
measures they use to mitigate them. Descriptive statistics and logistic
regression were used to analyse the data. Farmers reported an overall annual
disease incidence in rural poultry for eastern Zambia of 31% (90% CI 29-32%).
Occurrence of poultry disease in the last 12 months was associated with use of
middlemen to purchase poultry products (p = 0.05, OR = 7.87), poultry products
sold or given away from the farm (p = 0.01, OR = 1.92), farmers experiencing a
period with more trade of poultry and its products (p = 0.04, OR = 1.70),
presence of wild birds near the farm or village (p = 0.00, OR = 2.47) and poultry
diseases being reported from neighbouring farms or villages (p = 0.00, OR =
3.12). The study also tentatively identified three poultry diseases (Newcastle
Disease, Gumboro Disease and Fowl Pox) from the thirty-four disease syndromes
provided by farmers. Farmers reported an incidence of 27% for Newcastle Disease
in 2014. When compared with the state veterinary services data which reported
Newcastle Disease incidence at 9% in 2014, it seems syndromic data obtained from
farmers may be more sensitive in identifying disease incursion. Thirty-six
remedies and strategies farmers use to treat and control these diseases were
revealed. The main control strategy for identified diseases was vaccination and
the main treatment was unspecified herbs, which warrants further investigation
and presents an opportunity for further research in ethno-veterinary medicine.
More still, this study identified chilli, Aloe Vera, garlic onion, moringa, and
ash as traditional remedies that are commonly being used in Eastern Zambia, and
which are also used to treat poultry diseases in Zimbabwe and Botswana. Only
fourteen remedies described are conventionally accepted by veterinarians as
remedies and disease control measures for poultry diseases. This study shows that
syndromic data obtained from farmers is a useful disease reporting tool and could
be used as an effective means of alerting authorities to disease incursion. In
addition, it shows that these data may give a more accurate estimate of incidence
for certain diseases than current surveillance methods and could be useful in
assessing significant risk factors associated with disease occurrence.
PMID- 29653741
TI - EASL Clinical Practice Guidelines for the management of patients with
decompensated cirrhosis.
PMID- 29653742
TI - Neurocognitive correlates of medication-induced addictive behaviours in
Parkinson's disease: A systematic review.
AB - Dopaminergic medication can induce severe addictive behaviours (e.g.,
pathological gambling) in susceptible Parkinson's disease (PD) patients. It is
still unknown which particular neurocognitive processes become exacerbated or
dysfunctional in PD patients with addictive behaviours. We sought to
systematically review the relevant literature to identity potential
neurocognitive correlates of medication-induced addictive behaviours in PD. We
framed our review around neurocognitive processes central to four dominant
accounts of substance addiction: 'aberrant learning', 'incentive sensitization',
'impulsivity to compulsivity' and 'impaired response inhibition and salience
attribution'. Searches of the PubMed and Scopus databases were completed on June
23, 2017. To be included, studies were required to involve: (a) medicated PD
patients, without a history of deep brain stimulation, with and without addictive
behaviours; (b) a reward-related or decision-making task; and (c) statistical
comparison of addictive and non-addictive groups' 'on' medication performance on
the task(s). Studies were summarised qualitatively with statistically significant
(p<.05) group differences and effect sizes (Cohen's d) highlighted. 35 studies
were included. Findings showed that the extant literature is highly
heterogeneous. The domains of reward and punishment learning, reflection
impulsivity and disadvantageous decision-making exemplify this. More homogeneity
exists in domains in which (a) neurocognitive dysfunction is not apparent (motor
control, cognitive/attentional flexibility and cognitive control) or (b) typical
neurocognitive processes appear exacerbated by medication (reward motivation and
choice impulsivity). Future large-scale neurocognitive studies are still required
to develop our scientific understanding of addictive behaviours in PD and aid
their clinical treatment and prediction.
PMID- 29653743
TI - Functional connectivity of the ventral tegmental area and avolition in subjects
with schizophrenia: a resting state functional MRI study.
AB - Avolition, a deficit in goal-directed behavior, is a key aspect of negative
symptoms. It is highly prevalent in schizophrenia and is associated to poor
functional outcome and to measures of real life motivation, indicating that
central to the concept is the lack of interest and motivation. In this study we
tested the hypothesis that avolition is related to altered connectivity within
dopaminergic cortico-striatal circuits involved in motivation processes. Since
dopamine input to these circuits derives mostly from the ventro-tegmental area
(VTA), we investigated the relationships between the resting-state functional
connectivity (RS-FC) of the VTA and avolition in twenty-six subjects with
schizophrenia (SCZ), treated with second-generation antipsychotics only, compared
to twenty-two healthy controls (HC). SCZ, in comparison to HC, showed
significantly reduced RS-FC of the VTA with bilateral ventro-lateral prefrontal
cortex (VLPFC), bilateral insular cortex (IC) and right (R) lateral occipital
complex (LOC) and increased RS-FC of the VTA with bilateral dorso-lateral
prefrontal cortex (DLPFC). Significant negative correlations were found between
avolition and RS-FC of the VTA with the bilateral IC, R VLPFC and R LOC.
According to our findings, avolition is linked to a disconnectivity of the VTA
from several key cortical regions involved in the integration of value
information with action selection. These findings are in line with translational
animal models of "auto-activation apathy".
PMID- 29653744
TI - Low expression of B-Cell-Associated protein 31 is associated with unfavorable
prognosis in human colorectal cancer.
AB - : Colorectal cancer (CRC) is one of the most common cancers worldwide. B cell
associated protein 31 (BAP31) was shown to participate in the apoptosis, and to
be an immunotherapy target and a, prognostic factor for cancer, but its role in
CRC has not been elucidated. In this study, we examined the expression of BAP31
in CRC to evaluate its prognostic values. We investigated the BAP31 expression
level in 142 tissues (108 CRC and 17 paired human adjacent normal mucosa, and 17
liver metastatic CRC tissues) from 108 patients, using tissue microarray-based
immunohistochemistry. We further investigated the association between BAP31
expression and overall survival (OS) and disease-free survival (DFS) in 77 CRC
patients using Kaplan-Meier analysis. Univariate and multivariate Cox regression
analyses were applied to evaluate the potential prognostic value of BAP31 in CRC
patients. BAP31 expression level was significantly increased in CRC tissues (p =
0.0014) and liver metastatic CRC tissues (p < 0.0001) compared with corresponding
adjacent normal mucosa. BAP31 expression was also significantly increased in
liver metastatic CRC tissues compared with corresponding primary CRC tissues (p =
0.0116). Kaplan-Meier analyses showed that CRC patients with low BAP31 expression
had significantly lower survival rate (p = 0.001) and lower disease-free survival
rate (P = 0.009). Furthermore, multivariate Cox analysis showed that BAP31 was an
independent prognostic factor for OS (hazard ratio = 0.410, 95% confidence
interval = 0.195-0.862, p = 0.019). CONCLUSIONS: Our study demonstrated that
BAP31 is a potential prognostic marker for CRC patients after surgery.
PMID- 29653745
TI - Loss of PTEN in high grade advanced stage triple negative breast ductal cancers
in African American women.
AB - INTRODUCTION: PTEN is a tumor suppressor gene that inhibits cell proliferation by
inhibiting the phosphoinositide 3-kinase (PI3 K) signaling pathway. The
significance of PTEN mutations resulting in variable PTEN expression and their
impact on prognosis of breast cancer is not well established. The objective of
our study was to correlate the immunohistochemical expression of PTEN in the four
major subtypes of breast carcinoma (Luminal A, Luminal B, HER2 positive, and
Triple Negative) in a population of 202 African-American (AA) females with other
clinicopathological factors. MATERIALS AND METHODS: Tissue microarrays (TMAs)
were constructed from FFPE tumor blocks from primary ductal breast carcinomas in
202 African-American females. Five micrometer sections were stained with a mouse
monoclonal antibody against PTEN. The sections were evaluated for the intensity
of cytoplasmic and nuclear reactivity. Bivariate analysis was done via chi2
analysis and survivability data was calculated via the generation of Kaplan-Meier
curves (SPSS v19). RESULTS: Loss of PTEN expression was associated with ER
negative (p = 0.021), PR negative (p = 0.024) and triple negative (p = 0.0024)
breast ductal cancers. It was marginally associated with distant metastasis (p =
0.074). There was no association between PTEN loss and recurrence-free survival
or overall survival. CONCLUSION: In our study, a statistically significant
association between PTEN loss and the triple negative breast cancers (TNBC) was
found in AA women. PTEN inhibits PI3 K resulting in decreased activation of
downstream effector, mammalian target of rapamycin (mTOR). Loss of PTEN results
in cell proliferation through activation of mTOR. Targeted therapy with mTOR
inhibitors might be useful in the treatment of TNBC.
PMID- 29653746
TI - SRT1720, a potential sensitizer for radiotherapy and cytotoxicity effects of NVB
BEZ235 in metastatic breast cancer cells.
AB - BACKGROUND: Chemo-radio therapy (CRT) resistance is a main barrier in treating
the triple negative breast cancer (TNBC). The success of conventional treatment
may be ameliorated by elevating the responsiveness of the cancer cells to CRT.
NVP-BEZ235 as a PI3K/AKT/mTOR dual inhibitor has been shown promising results in
treating breast cancer cells. However, potential radiation-sensitizing effect of
NVP-BEZ235 in TNBC remained unclear. In addition, SIRT-1 activation state and
environmental cytokine were identified as being responsible for cancer cells
responses to CRT. Herein, we investigate the role of interleukin 6 (IL-6) as a
tumor environmental cytokine and SIRT1 in the effectiveness of NVP-BEZ235 plus
radiotherapy. MATERIAL AND METHODS: TNBC cells were pre-treated with/without IL-6
and were exposed to single and combination of SRT1720 (SIRT1 activator)/EX-527
(SIRT1 inhibitor) and/or NVP-BEZ235 and/or gamma radiation. The effect of our
treatments on cellular growth was determined by MTT and the cellular death and
CSCs percentage were determined by Flow cytometry. Senescence detection kit was
used to assay the effect of our treatments on cellular senescence induction.
RESULTS: Activation of SIRT1 via SRT1720 increased the efficacy of CRT in TNBC
cells, especially when IL-6 exists in tumor microenvironment. Additionally, IL-6
pre-treatment followed by exposure to SRT1720 and NVP-BEZ235 significantly
increased sensitivity of the cancer stem cells to radiation (p < 0.05).
CONCLUSION: Our result shows that combination of NVP-BEZ235 and SRT1720 may
effectively improve late stage breast cancer cells therapeutics approach.
Activation of SIRT1 and STAT3 in resistance breast cancer cells improves the in
vitro therapeutic efficacy of CRT.
PMID- 29653747
TI - MiR-449a suppresses cell migration and invasion by targeting PLAGL2 in breast
cancer.
AB - BACKGROUND: Breast cancer is one of the most common malignancies worldwide.
However, the detailed molecular mechanisms underlying breast cancer metastasis
are still incompletely clear. MicroRNAs (miRNAs) play a crucial role in cancer
metastasis. In this study, we aimed to analyze the expression and function of miR
449a in breast cancer. MATERIAL AND METHODS: A total of 15 human primary breast
cancer tissues and adjacent non-cancerous tissues (10 pairs) were obtained. MiR
449a was examined in tumor tissues and adjacent nontumorous tissues of breast
cancer patients and cell lines by real-time PCR. The protein expression levels
were analyzed by western blot and immunohistochemistry staining. Luciferase
reporter assays was used to validate the target of miR-449a. The effect of miR
449a on breast cancer cell migration and invasion were studied in vitro and in
vivo. RESULTS: The expression levels of miR-449a were significantly decreased in
breast cancer tissues and cell lines. Overexpression of miR-449a suppressed
breast cancer cell proliferation, clone formation, migration, invasion and
metastasis in vitro and in vivo. Pleomorphic adenoma gene like-2 (PLAGL2) was
identified as a major target of miR-449a. Both overexpression of miR-449a
inhibited the expression of PLAGL2 significantly and the knockdown of PLAGL2
expression inhibited the breast cancer cell proliferation and metastasis.
CONCLUSION: We demonstrate the miR-449a tumor suppressor role in breast cancer
cell migration and invasion via targeting PLAGL2. These findings suggesting that
miR-449a/PLAGL2 could serve as a therapeutic strategy for targeting breast
cancer.
PMID- 29653748
TI - Cervical Spine Fractures in Patients Undergoing Palliative Radiotherapy to the
Cervical Spine: Implications for Practice.
PMID- 29653749
TI - Women's Free-text Comments on their Quality of Life: An Exploratory Analysis from
the UK Standardisation of Breast Radiotherapy (START) Trials for Early Breast
Cancer.
AB - AIMS: Exploratory analysis of patients' unsolicited written comments in the first
2 years of the Standardisation of Breast Radiotherapy (START) trial quality of
life study highlighted a potential effect of non-treatment-related problems on
the ratings and interpretation of patient self-reported questionnaires. At 5
years of follow-up all eligible subjects were invited to write comments to
further explore these findings. MATERIALS AND METHODS: Using inductive
qualitative methods informed by the exploratory analysis, comments were allocated
to relevant themes. Key patient-reported outcome measures (PROMs), clinical and
demographic factors were collated for patients who did and did not comment at 5
years and comparisons between the groups explored. RESULTS: Of 2208 women
completing baseline PROMs, 482 proffered comments from 0 to 24 months, forming
nine distinct themes, including chronic conditions, life events and psychosocial
concerns. At 5 years, 1041/1727 (60.3%) women contributed comments, of whom 500
randomly selected participants formed the sample for analysis. Findings revealed
comorbidity, impaired physical functioning and psychosocial problems as key
themes, with prevalent adverse effects from local and systemic treatments. Eight
new themes emerged at 5 years, including ageing, concerns about future cancer and
positive aspects of care. Women commenting were better educated, slightly older
and more likely to have had chemotherapy compared with non-commenters. They had
significantly worse PROM scores for global health and key quality of life domains
relevant to the difficulties they revealed. CONCLUSIONS: Difficult personal
circumstances and other health concerns affected many women's PROM ratings at 5
years of follow-up, in addition to ongoing cancer treatment effects. Greater
attention to multiple sources of distress and adversity could facilitate
personalised care and aid interpretation of PROMs.
PMID- 29653750
TI - Induced pluripotent stem cells with NOTCH1 gene mutation show impaired
differentiation into smooth muscle and endothelial cells: Implications for
bicuspid aortic valve-related aortopathy.
AB - OBJECTIVE: The NOTCH1 gene mutation has been identified in bicuspid aortic valve
patients. We developed an in vitro model with human induced pluripotent stem
cells (iPSCs) to evaluate the role of NOTCH1 in smooth muscle and endothelial
cell (EC) differentiation. METHODS: The iPSCs were derived from a patient with a
normal tricuspid aortic valve and aorta. The NOTCH1 gene was targeted in iPSCs
with the Clustered Regularly Interspaced Short Palindromic Repeats/CRISPR
associated protein 9 nuclease (Cas9) system. The NOTCH1-/- (NOTCH1 homozygous
knockout) and isogenic control iPSCs (wild type) were differentiated into neural
crest stem cells (NCSCs) and into cardiovascular progenitor cells (CVPCs). The
NCSCs were differentiated into smooth muscle cells (SMCs). The CVPCs were
differentiated into ECs. The differentiations of SMCs and ECs were compared
between NOTCH1-/- and wild type cells. RESULTS: The expression of NCSC markers
(SRY-related HMG-box 10 and transcription factor AP-2 alpha) was significantly
lower in NOTCH1-/-NCSCs than in wild type NCSCs. The SMCs derived from NOTCH1-/-
NCSCs showed immature morphology with smaller size and decreased expression of
all SMC-specific contractile proteins. In NOTCH1-/-CVPCs, the expression of ISL1,
NKX2.5, and MYOCD was significantly lower than that in isogenic control CVPCs,
indicating impaired differentiation from iPSCs to CVPCs. The NOTCH1-/-ECs derived
from CVPCs showed significantly lower expression of cluster of differentiation
105 and cluster of differentiation 31 mRNA and protein, indicating a defective
differentiation process. CONCLUSIONS: NOTCH1 is critical in SMC and EC
differentiation of iPSCs through NCSCs and CVPCs, respectively. NOTCH1 gene
mutations might potentially contribute to the development of thoracic aortic
aneurysms by affecting SMC differentiation in some patients with bicuspid aortic
valve.
PMID- 29653751
TI - Esophageal injury: Tissue is always the issue.
PMID- 29653752
TI - Frailty as a risk predictor in cardiac surgery: Beyond the eyeball test.
PMID- 29653753
TI - Goldilocks meets heparin dosing-enough but not too much.
PMID- 29653754
TI - Finding a needle in a haystack of needles: The difficulty of defining a
consistently meaningful cytokine signature.
PMID- 29653755
TI - Prophylactic tricuspid repair: The battle is lost, but the war is not over.
PMID- 29653756
TI - The London sign (patterned bruising of blunt abdominal trauma).
AB - Patterned bruising of abdominal wall in blunt trauma is called London sign. It
indicates that the impacting force is sharp and severe enough to cause visceral
injury. Despite its practical significance this sign is seldom described in
textbooks and there are no journal articles about it. This communication is
intended to draw the attention of pediatric surgeons to this useful clinical
sign.
PMID- 29653757
TI - No-fistula vs. fistula type anorectal malformation: Outcome comparative study.
AB - INTRODUCTION: Anorectal malformation (ARM) is a congenital defect that exists in
varying presentations and no-fistula type (NFT) ARM is a rare high-type category.
We aim to report our experience with management of this anomaly and its outcome,
compared to the more common fistula-type (FT) ARM. METHODS: A retrospective
review of medical records of patients diagnosed with high ARM and 3years and
older, for the period between September 2000 and January 2015 was conducted.
Demographic, anatomic, and outcome data were obtained for each group and
compared. Quality of life data were collected using the Krickenbeck
classification and assessed as documented at clinic visits as well as phone
interviews. RESULTS: There were 100 patients managed for ARM during that period
and were 3years or older. Sixteen of them were NFT (16%). For comparison purposes
each NFT patient was matched with 3 FT patients. We have analyzed data on 44
patients with FT (44 males), and 16 with NFT (12 males and 4 females). The
occurrence of Down syndrome in NFT patients was 56.2% compared to 0% in the FT
patients (p=<0.0001). Quality of Life data showed no significant differences
between the two groups with p-values of 0.39, 1.0, and 1.0 for Voluntary Bowel
Movement, Soiling, and Constipation respectively. CONCLUSION: NFT ARM represents
a significant number at our population (16%). There is a strong association
between NFT ARM and Down syndrome. NFT ARM has similar outcomes compared to the
FT ARM. TYPE OF STUDY: Clinical research paper. LEVEL OF EVIDENCE: Level III.
PMID- 29653758
TI - The "perfect" reader study.
AB - A reader study, i.e. a diagnostic accuracy study aiming to assess clinical
performance of one technology versus another, on the basis of image
interpretation by a group of human readers, requires an accurate design, with the
precise definition of each element concurring to the study itself. In this paper,
the main issues to take into consideration when designing reader studies are
described, and the characteristics of a number of indices of diagnostic accuracy
are summarized. Special care is given to the description of the multiple sources
of bias, which should be controlled in order to assure study soundness and allow
consistent conclusions. Although a "perfect" reader study does not exist, because
bias cannot be completely eliminated, a good study would be designed to minimize
the bias effects, and possible unavoidable bias should be described in study
reporting and used to provide a correct interpretation of results.
PMID- 29653759
TI - Drinking water treatment sludge as an effective additive for biogas production
from food waste; kinetic evaluation and biomethane potential test.
AB - The effect of drinking water treatment sludge (DWTS) as a mixture additive, on
biogas and methane production from food waste was studied. Mesophilic anaerobic
digestion of food waste with 5 concentrations of DWTS (0, 2, 6, 12, and 18 ppm)
was carried out. It was found that DWTS can significantly enhance biogas and
methane yield. The highest biogas (671 Nml/g VS) as well as methane yield (522
Nml/g VS) was observed when 6 mg/kg DWTS was added. This is equal to 65 and 58
percent increase in comparison with the control digester. The calculated lag time
for methane was found to be in between 3.3 and 4.7 days. The DWTS also reduced
the lag phase and retention time. The biogas experimental data was fitted with
the modified Gompertz and the first-order kinetic models with R2 higher than
0.994 and 0.949, respectively. The ratio of the experimental biogas production to
the theoretical biogas production (E) for control sample was 0.53 while for other
samples containing additive were higher than 0.78.
PMID- 29653761
TI - Lipoprotein(a) levels are doubled in left-handed patients with diabetes.
PMID- 29653760
TI - A Novel Amino Lipid Series for mRNA Delivery: Improved Endosomal Escape and
Sustained Pharmacology and Safety in Non-human Primates.
AB - The success of mRNA-based therapies depends on the availability of a safe and
efficient delivery vehicle. Lipid nanoparticles have been identified as a viable
option. However, there are concerns whether an acceptable tolerability profile
for chronic dosing can be achieved. The efficiency and tolerability of lipid
nanoparticles has been attributed to the amino lipid. Therefore, we developed a
new series of amino lipids that address this concern. Clear structure-activity
relationships were developed that resulted in a new amino lipid that affords
efficient mRNA delivery in rodent and primate models with optimal
pharmacokinetics. A 1-month toxicology evaluation in rat and non-human primate
demonstrated no adverse events with the new lipid nanoparticle system.
Mechanistic studies demonstrate that the improved efficiency can be attributed to
increased endosomal escape. This effort has resulted in the first example of the
ability to safely repeat dose mRNA-containing lipid nanoparticles in non-human
primate at therapeutically relevant levels.
PMID- 29653762
TI - Concerns regarding 'off-target' activity of genome editing endonucleases.
AB - Genome editing (GE) tools ensure targeted mutagenesis and sequence-specific
modification in plants using a wide resource of customized endonucleases; namely,
zinc-finger nucleases (ZFNs), and transcription activator-like effector nucleases
(TALENs), and the CRISPR (clustered regularly interspaced short palindromic
repeats)/Cas (CRISPR-associated protein) system. Among these, in recent times
CRISPR/Cas9 has been widely used in functional genomics and plant genetic
modification. A significant concern in the application of GE tools is the
occurrence of 'off-target' activity and induced mutations, which may impede
functional analysis and gene activity studies. Moreover, the 'off-target'
activity results in either not reported or unknown, difficult to detect, produce
non-quantifiable cellular signaling and physiological effects. In the past few
years, several experimental methods have been developed to identify undesired
mutations and to curtail 'off-target' cleavage. Improvement in target specificity
and minimizing 'off-target' activity will offer better applications of GE
technology in plant biology and crop improvement.
PMID- 29653763
TI - The significance of levels of organization for scientific research: A heuristic
approach.
AB - The concept of 'levels of organization' has come under fire recently as being
useless for scientific and philosophical purposes. In this paper, we show that
'levels' is actually a remarkably resilient and constructive conceptual tool that
can be, and in fact is, used for a variety of purposes. To this effect, we
articulate an account of the importance of the levels concept seen in light of
its status as a major organizing concept of biology. We argue that the usefulness
of 'levels' is best seen in the heuristic contributions the concept makes to
treating and structuring scientific problems. We illustrate this with two
examples from biological research.
PMID- 29653765
TI - Necessity for additional sensitivity analysis and the clinical implications of
risk identification with nonventilator hospital-acquired pneumonia.
PMID- 29653764
TI - Radiation dose reduction by adjusting bolus tracking parameters in a 320-detector
row scanner.
AB - BACKGROUND: The importance of bolus tracking (BT) regarding total effective
radiation dose (ERD) in the era of advanced coronary computed tomography
angiography (CTA) has been ignored. We aimed to investigate whether adjusting BT
parameters reduces ERD. METHODS: Adults consecutively referred to CTA (n = 289)
in a 320 detector-row scanner were distributed into four BT protocols according
to delay time and time between intermittent scans, as follows: A (n = 70, delay
10s, intermittent scans 1s); B (n = 79, delay 10s, intermittent scans 2s); C (n =
68, delay 15s, intermittent scans 1s); and D (n = 72, delay 15s, intermittent
scans 2s). Image quality was assessed. RESULTS: The overall ERD in BT and AP were
0.32 +/- 0.14 mSv and 6.06 +/- 0.66 mSv, respectively. ERD in BT was different
among protocols (A:0.44 +/- 0.14 mSv; B:0.32 +/- 0.10 mSv; C:0.28 +/- 0.14 mSv;
D:0.23 +/- 0.09 mSv; p < 0.001), with no loss in image quality. Adjusted for
potential confounders (heart rate, tube current and acquisition window), protocol
D provided the highest reduction in total ERD (beta = -0.33, p = 0.004).
CONCLUSION: Delaying initiation of BT images (and acquiring them less frequently)
reduces radiation dose and does not impair image quality.
PMID- 29653766
TI - [Correlation between pneumoperitoneum and surgical findings and morbidity and
mortality in newborns with necrotising enterocolitis].
AB - INTRODUCTION: Surgical intervention in necrotising enterocolitis (NEC) is correct
when there is intestinal gangrene. This is evident when gangrene produces
perforation and pneumoperitoneum, with this being the only universally accepted
radiological indication for the surgical intervention of NEC. OBJECTIVE: To
perform an analysis on patients with surgically managed NEC, including
determining how the decision to intervene is reached, the outcomes, and if
patients with perforation had a pneumoperitoneum. METHODS: Retrospective review
of neonates with surgical NEC over a period of 10years (2006-2015). An analysis
was made of pre-surgical x-ray findings, which were compared with surgical ones,
in addition to the morbidity and mortality, depending on the presence (N+) or
absence (N-) of pneumoperitoneum. An evaluation was also made of the
interobserver concordance with a paediatric radiologist blinded to the clinical
reason using the kappa agreement index. RESULTS: A total of 53 neonates were
included in the study. Surgical treatment was indicated after observing
pneumoperitoneum in 36%. In the remaining neonates, the surgical decision was
made after noting a clinical and metabolic deterioration with classical x-ray
findings. Intestinal perforation was observed in 39% of the N- neonates. There
were no statistical differences between either group on analysing the excised
intestinal length, days of intubation, starting of enteral nutrition, and the
mortality rate. Comparisons in terms of duration of symptoms and total hospital
stay were statistically significant (7 vs. 2 days, P=.008; 127 vs. 79 days,
P=.003, respectively), with both being more favourable in the N+ group. These
differences remained when the groups were adjusted by birthweight. CONCLUSIONS:
Surgical indication has to be done on an ensemble of clinical and radiological
evidence, as 39% of the neonates in the N- groups were perforated. In our study,
the presence of a pneumoperitoneum did not correlate with a worse prognosis.
PMID- 29653767
TI - Response from Dr. Long et al.
PMID- 29653768
TI - Clinical innovation in stroke: getting the simple things right.
PMID- 29653770
TI - A Comparative Study of Carvedilol Versus Metoprolol Initiation and 1-Year
Mortality Among Individuals Receiving Maintenance Hemodialysis.
AB - BACKGROUND: Carvedilol and metoprolol are the beta-blockers most commonly
prescribed to US hemodialysis patients, accounting for ~80% of beta-blocker
prescriptions. Despite well-established pharmacologic and pharmacokinetic
differences between the 2 medications, little is known about their relative
safety and efficacy in the hemodialysis population. STUDY DESIGN: A retrospective
cohort study using a new-user design. SETTING & PARTICIPANTS: Medicare-enrolled
hemodialysis patients treated at a large US dialysis organization who initiated
carvedilol or metoprolol therapy from January 1, 2007, through December 30, 2012.
PREDICTOR: Carvedilol versus metoprolol initiation. OUTCOMES: All-cause
mortality, cardiovascular mortality, and intradialytic hypotension (systolic
blood pressure decrease >= 20mmHg during hemodialysis plus intradialytic saline
solution administration) during a 1-year follow-up period. MEASUREMENTS: Survival
models were used to estimate HRs and 95% CIs in mortality analyses. Poisson
regression was used to estimate incidence rate ratios (IRRs) and 95% CIs in
intradialytic hypotension analyses. Inverse probability of treatment weighting
was used to adjust for several demographic, clinical, laboratory, and dialysis
treatment covariates in all analyses. RESULTS: 27,064 individuals receiving
maintenance hemodialysis were included: 9,558 (35.3%) carvedilol initiators and
17,506 (64.7%) metoprolol initiators. Carvedilol (vs metoprolol) initiation was
associated with greater all-cause (adjusted HR, 1.08; 95% CI, 1.02-1.16) and
cardiovascular mortality (adjusted HR, 1.18; 95% CI, 1.08-1.29). In subgroup
analyses, similar associations were observed among patients with hypertension,
atrial fibrillation, heart failure, and a recent myocardial infarction, the main
cardiovascular indications for beta-blocker therapy. During follow-up, carvedilol
(vs metoprolol) initiators had a higher rate of intradialytic hypotension
(adjusted IRR, 1.10; 95% CI, 1.09-1.11). LIMITATIONS: Residual confounding may
exist. CONCLUSIONS: Relative to metoprolol initiation, carvedilol initiation was
associated with higher 1-year all-cause and cardiovascular mortality. One
potential mechanism for these findings may be the increased occurrence of
intradialytic hypotension after carvedilol (vs metoprolol) initiation.
PMID- 29653771
TI - Ensuring safe and optimal medication use in older community residents:
Collaboration between a nurse and a pharmacist.
AB - The purpose of this retrospective review is to describe 1) a nurse-pharmacist
collaboration within a home based nurse-occupational therapist-handyman program
called CAPABLE and 2) potential medication problems and 3) information
communicated to participants and prescribers about those problems. A chart review
was performed for each participant that one CAPABLE nurse referred to the
pharmacists. We identified recommendations provided by pharmacists, synthesized
common questions posed to the pharmacists' and developed exemplar cases of
participant encounters. Fifty-nine participants were reviewed. The median number
of total medications was 11 (IQR 9-14.5). Participants were most commonly taking
antihypertensives (93%), statins (66%), and supplements/vitamins (61%).
Pharmacists provided 83 unique recommendations for the 59 participants. The
recommendations from the pharmacist were communicated for 49 of the 59
participants (83%), by the nurse. The nurse-pharmacist collaboration identified
medication-related problems and solutions aimed at improving the quality of life
for home-dwelling seniors with functional limitations.
PMID- 29653772
TI - Correlates and influences of taking an afternoon nap on nocturnal sleep in
Chinese elderly: A qualitative study.
AB - Taking afternoon naps is common among elderly people, but the information about
napping from qualitative viewpoints is limited. This study aimed to describe
napping experience from the perspectives of Chinese elderly, specifically on nap
taking correlates and its influences on nocturnal sleep. Data were gathered via
individual in-depth interviews with 50 Chinese elderly in Taiwan. The majority of
the nappers napped for 1-2 hours between 12 PM and 1 PM. Nap promoting factors
included "belief in afternoon nap taking benefits," "nothing to do," "low energy
level," "compensation -for disturbed sleep" and "extreme weather." Nap taking (>1
hr.) was found to be associated with delay of the onset of sleep, reduction of
sleep duration, and light sleep. Short afternoon napping (<1 hour) is recommended
for the elderly. Future research should determine the direction of the causative
association between afternoon nap-taking and nocturnal sleep.
PMID- 29653769
TI - Estimating Time to ESRD in Children With CKD.
AB - RATIONALE & OBJECTIVE: The KDIGO (Kidney Disease: Improving Global Outcomes)
guideline for chronic kidney disease (CKD) presented an international
classification system that ranks patients' risk for CKD progression. Few data for
children informed guideline development. STUDY DESIGN: Observational cohort
study. SETTINGS & PARTICIPANTS: Children aged 1 to 18 years enrolled in the North
American Chronic Kidney Disease in Children (CKiD) cohort study and the European
Effect of Strict Blood Pressure Control and ACE Inhibition on the Progression of
CRF in Pediatric Patients (ESCAPE) trial. PREDICTOR: Level of estimated
glomerular filtration rate (eGFR) and proteinuria (urine protein-creatinine ratio
[UPCR]) at study entry. OUTCOME: A composite event of renal replacement therapy,
50% reduction in eGFR, or eGFR<15mL/min/1.73m2. eGFR was estimated using the CKiD
derived "bedside" equation. ANALYTICAL APPROACH: Accelerated failure time models
of the composite outcome using a conventional generalized gamma distribution.
Likelihood ratio statistics of nested models were used to amalgamate levels of
similar risk. RESULTS: Among 1,232 children, median age was 12 (IQR, 8-15) years,
median eGFR was 47 (IQR, 33-62) mL/min/1.73m2, 60% were males, and 13% had
UPCRs>2.0mg/mg at study entry. 6 ordered stages with varying combinations of eGFR
categories (60-89, 45-59, 30-44, and 15-29mL/min/1.73m2) and UPCR categories
(<0.5, 0.5-2.0, and >2.0mg/mg) described the risk continuum. Median times to
event ranged from longer than 10 years for eGFRs of 45 to 90mL/min/1.73m2 and
UPCRs<0.5mg/mg to 0.8 years for eGFRs of 15 to 30mL/min/1.73m2 and UPCRs>2mg/mg.
Children with glomerular disease were estimated to have a 43% shorter time to
event than children with nonglomerular disease. Cross-validation demonstrated
risk patterns that were consistent across the 10 subsample validation models.
LIMITATIONS: Observational study, used cross-validation rather than external
validation. CONCLUSIONS: CKD staged by level of eGFR and proteinuria
characterizes the timeline of progression and can guide management strategies in
children.
PMID- 29653773
TI - Can Biannual Ultrasound Surveillance Detect Smaller Second Cancers or Detect
Cancers Earlier in Patients with Breast Cancer History?
AB - The aim of the work described here was to evaluate whether surveillance with
biannual ultrasound (US) plus annual mammography (biannual group) for women with
a history of breast cancer surgery results in earlier detection or in the
detection of smaller second cancers than annual US plus mammography (annual
group). Additionally, we compared the prevalence of distant metastases or
palpable second cancers between the biannual and annual groups. The institutional
review board of our institution approved this retrospective study, and patient
consent was waived. Between January 2011 and December 2012, we retrospectively
reviewed the clinical and imaging follow-up of 3023 patients with mammographic
and US surveillance after breast cancer surgery to assess second cancers detected
by local surveillance (locoregional recurrence, contralateral breast cancer or
distant metastasis). The biannual and annual groups were divided with respect to
the mean surveillance interval and compared with respect to clinicopathologic
findings. Multivariable logistic regression with propensity score methods was
used to examine the effect of the type of surveillance on outcomes. As for the
size of the second cancer, no difference was seen between the biannual and annual
groups (12.8 +/- 6.6 mm vs. 14.1 +/- 7.1 mm, p = 0.461); neither was there a
significant difference between the groups in the presence of symptoms at the time
of diagnosis of the second cancer (17.0% [8/47] vs. 10% [2/20], p = 0.711).
Regardless of detection by local surveillance, the prevalence of distant
metastases did not differ between the two groups (1.1% [27/2370] vs. 1.0%
[7/653], p = 0.88) on univariate or multivariate analysis. The results of our
retrospective study indicate that second cancers detected by biannual US
surveillance in patients with a history of breast cancer surgery are not smaller
and do not occur earlier than those detected by annual US surveillance. However,
a randomized controlled study is required to verify these results before they can
be generalized to clinical practice.
PMID- 29653774
TI - Pre-Operative Planning Using Real-Time Virtual Sonography, an MRI/Ultrasound
Image Fusion Technique, for Breast-Conserving Surgery in Patients with Non-Mass
Enhancement on Breast MRI: A Preliminary Study.
AB - The purpose of this retrospective study was to evaluate the effect of pre
operative planning using real-time virtual sonography (RVS), a magnetic resonance
imaging (MRI)/ultrasound (US) image fusion technique on breast-conserving surgery
(BCS) in patients with non-mass enhancement (NME) on breast MRI. Between 2011 and
2015, we enrolled 12 consecutive patients who had lesions with NME that exceeded
the US hypo-echoic area, in which it was particularly difficult to evaluate the
tumor margin. During pre-operative planning before breast-conserving surgery, RVS
was used to delineate the enhancing area on the breast surface after additional
supine breast MRI was performed. We analyzed both the surgical margin positivity
rate and the re-operation rate. All NME lesions corresponded to the index cancer.
In all patients, the diameter of the NME lesion was greater than that of the hypo
echoic lesion. The median diameters of the NME and hypo-echoic lesions were 24 mm
(range: 12-39 mm) and 8.0 mm (range: 4.9-18 mm), respectively (p = 0.0002). After
RVS-derived skin marking was performed on the surface of the affected breast,
lumpectomy and quadrantectomy were conducted in 7 and 5 patients, respectively.
The surgical margins were negative in 10 (83%) patients. Two patients with
positive margins were found to have ductal carcinoma in situ in 1 duct each, 2.4
and 3.2 mm from the resection margin, respectively. None of the patients required
additional resection. Although further prospective studies are required, the
findings of our preliminary study suggest that it is very well possible that the
use of RVS-derived skin marking during pre-operative planning for BCS in patients
with NME would have resulted in surgical outcomes similar to or better than those
obtained without the use of such marking.
PMID- 29653775
TI - Evidence and Indications for Percutaneous Closure of the Left Atrial Appendage.
PMID- 29653776
TI - Reversibility of Alcohol Dilated Cardiomyopathy.
PMID- 29653777
TI - New-onset or Pre-existing Atrial Fibrillation in Acute Coronary Syndromes: Two
Distinct Phenomena With a Similar Prognosis.
AB - INTRODUCTION AND OBJECTIVES: The management and risk stratification of patients
with atrial fibrillation (AF) and acute coronary syndromes constitute a
challenge. We aimed to evaluate the prognostic impact of AF whether present at
admission or occurring during hospitalization for acute coronary syndromes, as
well as trends in treatments and outcome. METHODS: Data derived from 35 958
patients enrolled between 2004 and 2015 in the AMIS Plus registry were
retrospectively analyzed. RESULTS: Pre-existing AF (pre-AF) was present in 1644
(4.7%) while new-onset AF (new-AF) was evident in 309 (0.8%). Presentation with
ST-segment elevation myocardial infarction and need for hemodynamic support was
frequent in patients with AF, especially in those with new onset of the
arrhythmia. A change of the medical and interventional approaches was observed
with a progressive increase in oral anticoagulation prescription and referral for
angiography and percutaneous coronary interventions in pre-AF patients. Despite
different baseline risk profile and clinical presentations, both AF groups showed
high in-hospital and 1-year mortality (in-hospital new-AF vs pre-AF [OR, 0.79;
95%CI, 0.53-1.17; P = .246]; 1-year mortality new-AF vs pre-AF [OR, 0.72; 95%CI,
0.31-1.67; P = .448]) Pre-AF but not new-AF independently predicted in-hospital
mortality. While mortality declined over the study period for patients with pre
AF, it remained stable among new-AF patients. CONCLUSIONS: While pre-AF is
independently associated with in-hospital mortality, new-AF may reflect a worse
hemodynamic impact of the acute coronary syndromes, with the latter ultimately
driving the prognosis.
PMID- 29653778
TI - Immobilization of a phosphonium ionic liquid on a silica monolith for hydrophilic
interaction chromatography.
AB - A methodology for preparing phosphonium-based ionic liquid modified silica-based
monolithic capillary columns is presented. The silica monolithic columns with
dimensions of 150 * 0.1 mm were modified by a phosphonium-based ionic liquid
(trioctyl(3/4-vinylbenzyl)phosphonium chloride) via 3-(trimethoxysilyl)propyl
methacrylate. The prepared columns were evaluated under hydrophilic interaction
liquid chromatography separation conditions, employing a sample mixture
containing purine and pyrimidine bases and nucleosides. Detection was made by UV.
The high efficiency of the original silica monolith was preserved even after
modification, and it reached values in the range of 98,000-174,000 theoretical
plates/m. The effects of the concentration of acetonitrile in the mobile phase,
the presence of additives in the mobile phase, such as, acetic acid or ammonium
acetate, and the pH of the mobile phase on the separation of some selected
analytes were investigated. The prepared columns showed different separation
selectivity compared to silica, phenyl and sulfobetaine stationary phases.
PMID- 29653779
TI - Melamine sponge decorated with copper sheets as a material with outstanding
properties for microextraction of sulfonamides prior to their determination by
high-performance liquid chromatography.
AB - In this study, the modification/loading of melamine sponge with metallic copper
sheets (CuMeS) is discussed. The CuMeS is prepared in a fast, singe-step
procedure, where concurrent production of copper oxides is avoided. The as
prepared CuMeS is utilized to develop a sensitive and selective sample
preparation procedure to extract sulfonamides (SAs) from milk and water samples.
The surface of the resulting CuMeS, after drying is rendered hydrophobic enabling
hydrophobic interactions. To the best of our knowledge, this is the first time
that the benefits of the high affinity of copper for SAs are reaped for
analytical purposes. Due to the high selectivity, the proposed CuMeS-based
procedure acts both as extraction and clean-up for the quantitative determination
of SAs. The analytical method developed herein, which is based on the extractive
potential of CuMeS, has the merits of wide linearity (including concentrations
above and below the maximum residue limit of SAs), low limits of quantification
(0.025-0.057MUgL-1 for lake water and 0.23-1.05MUgL-1 for milk samples), high
enrichment factors and highly satisfactory recoveries and repeatability. The
analytical method is validated according to the Commission Decision 657/2002/EC.
Owing to the low cost of CuMeS and the straightforward procedure followed the
proposed method can be applied to routine analysis of SAs.
PMID- 29653780
TI - Implementation of multicriteria decision analysis in design of experiment for
dispersive liquid-liquid microextraction optimization for chlorophenols
determination.
AB - A novel and efficient approach to optimization of extraction step prior the
chromatographic determination of nine chlorinated phenols is described. It is
based on the combination of design of experiments and multicriteria decision
analysis. Such an approach is used to optimize dispersive liquid-liquid
microextraction procedure for the determination of 9 chlorophenols in water
samples. Three parameters are optimized - sample volume, volume of disperser
solvent and extraction solvent. Combination of the technique for order of
preference by similarity to ideal solution with central composite design allows
to perform multi-analyte procedure optimization. It gives information about the
efficiency of the system for every experimental plan point in terms of closeness
to ideal solution. The optimal conditions for extraction of chlorophenols are 76
MUL of extraction solvent, 0.6 mL of dispersive solvent and 6.7 mL of water
sample. The presented approach has the potential to be applied in variety of
optimization systems.
PMID- 29653782
TI - Epicuticular wax on leaf cuticles does not establish the transpiration barrier,
which is essentially formed by intracuticular wax.
AB - It is well established that waxes built up the barrier properties of cuticles,
since their extraction in organic solvent e.g. chloroform increases diffusion of
water and organic compounds by 1-2 orders of magnitude. Leaf surface waxes can be
divided in epicuticular (on the surface of the cuticular membrane) and
intracuticular (embedded in the cutin polymer) waxes. Until today there are only
limited investigations dealing with the question to what extent epi- or
intracuticular waxes contribute to the formation of the transpiration barrier.
For Prunus laurocerasus previous studies have shown that epicuticular waxes do
not contribute to the formation of the transpiration barrier. This approach
successfully established for P. laurocerasus was applied to further species in
order to check whether this finding also applies to a broader spectrum of
species. Epicuticular wax was mechanically removed using collodion from the
surface of either isolated cuticular membranes or intact leaf discs of ten
further plant species differing in total wax amounts, wax compositions and
transport properties. Scanning electron microscopy, which was performed to
independently verify the successful removal of the surface waxes, indicated that
two consecutive treatments with collodion were sufficient for a complete removal
of epicuticular wax. The treated surfaces appeared smooth after removal. The
total wax amounts removed with the two collodion treatments and the residual
amount of waxes after collodion treatment were quantified by gas chromatography
and mass spectrometry. This showed that epicuticular waxes essentially consisted
of long-chain aliphatic molecules (e.g. alkanes, primary alcohols, fatty acids),
whereas intracuticular wax was composed of both, triterpenoids and long-chain
aliphatic molecules. Cuticular transpiration using combined replicates was
measured before and after removal of surface wax. Results clearly indicated that
two consecutive collodion treatments, or the corresponding solvent treatments
(diethyl ether:ethanol) serving as control, did not increase cuticular
transpiration of the ten further leaf species investigated. Our results lead to
the conclusion that epicuticular wax does not contribute to the formation of the
transpiration barrier of leaves.
PMID- 29653781
TI - Neoadjuvant systemic treatment for breast cancer in Italy: The Italian Society of
Surgical Oncology (SICO) Breast Oncoteam survey.
AB - The Italian Society of Surgical Oncology (SICO) Breast Oncoteam developed a
survey to explore the state of the art of neoadjuvant treatment for breast cancer
in Italy, specifically focusing on cases treated during the two-year period 2014
2015. A questionnaire was sent to Italian Breast Units with a minimum of 150 new
breast cancer cases treated/year according to the Senonetwork directory and to
the SICO Breast Oncoteam Breast Unit network. A total of 23/107 Breast Units
submitted the survey, reporting a total amount of 20156 cases of breast carcinoma
(17241 invasive, 2915 in situ) treated in the biennium, corresponding
approximately to 20% of newly diagnosed breast cancers in Italy. In the United
States, medical treatment before surgery for breast cancer is indicated in about
22.7% of newly diagnosed cases according to the National Cancer Database, while a
German study reported approximately 20% of cases treated with neoadjuvant
therapy. In our survey, a total of 1673/17241 cases (9.7%) were treated with
neoadjuvant therapy, ranging from 2.9% to 23.6% according to different centres,
showing heterogeneity in neoadjuvant treatment indications, even in
multidisciplinary breast units. Better resources should be engaged to achieve a
standardised quality indicator for neoadjuvant treatment, and this indicator
could be included among the European Society of Breast Cancer Specialists
(EUSOMA) quality indicators. In the near future, we plan to develop a second
survey to better test improvements in the employment of neoadjuvant therapy after
the expiry of the 2016 European Parliament deadline and after the 2017 St. Gallen
Conference recommendations.
PMID- 29653783
TI - In Reply to Drs Sikri and Bhattachar.
PMID- 29653785
TI - Icatibant for the treatment of orolingual angioedema following the administration
of tissue plasminogen activator.
PMID- 29653784
TI - Adenoid cystic carcinoma of head and neck: A retrospective clinical analysis of a
single institution.
PMID- 29653786
TI - Compression with a pocket-sized ultrasound device to diagnose proximal deep vein
thrombosis.
AB - INTRODUCTION: Compression ultrasonography (CUS) is a validated technique for the
diagnosis of deep venous thrombosis (DVT), but has never been studied with pocket
sized ultrasound device (PUD). The main objective of this study was to assess the
diagnostic performance of CUS made by emergency physicians (EPs) using a PUD.
MATERIALS: This was a prospective, diagnostic test assessment, single-center
study. Patients underwent VCU performed by a trained EP with PUD (CUS-PUD) for
searching proximal DVT (PDVT) and were then seen by an expert vascular physician
who blindly performed a duplex venous ultrasound, which was the criterion
standard. CUS-PUD's diagnostic performance was evaluated by sensitivity (Se),
specificity (Sp), and positive and negative predictive values (PPV and NPV).
RESULTS: The sample included 57 patients of whom 56 were analyzed. Eleven (20%)
PDVT were diagnosed with CUS-PUD: 7 (64%) femoral and 4 (36%) popliteal. The CUS
PUD's Se was 100% [72%; 100%], Sp 100% [92%; 100%]. The PPV was 100% [74%; 100%],
and the NPV was 100% [90%; 100%]. CONCLUSION: CUS-PUD performed with a pocket
sized ultrasound appears to be feasible in emergency practice for the diagnosis
of proximal DVT. A study with a larger sample size will have to describe the
accuracy.
PMID- 29653787
TI - The early chain of care in bacteraemia patients: Early suspicion, treatment and
survival in prehospital emergency care.
AB - INTRODUCTION: Bacteraemia is a first stage for patients risking conditions such
as septic shock. The primary aim of this study is to describe factors in the
early chain of care in bacteraemia, factors associated with increased chance of
survival during the subsequent 28days after admission to hospital. Furthermore,
the long-term outcome was assessed. METHODS: This study has a quantitative design
based on data from Emergency Medical Services (EMS) and hospital records.
RESULTS: In all, 961 patients were included in the study. Of these patients,
13.5% died during the first 28days. The EMS was more frequently used by non
survivors. Among patients who used the EMS, the suspicion of sepsis already on
scene was more frequent in survivors. Similarly, EMS personnel noted the ESS code
"fever, infection" more frequently for survivors upon arriving on scene. The
delay time from call to the EMS and admission to hospital until start of
antibiotics was similar in survivors and non-survivors. The five-year mortality
rate was 50.8%. Five-year mortality was 62.6% among those who used the EMS and
29.5% among those who did not (p<0.0001). CONCLUSION: This study shows that among
patients with bacteraemia who used the EMS, an early suspicion of sepsis or
fever/infection was associated with improved early survival whereas the delay
time from call to the EMS and admission to hospital until start of treatment with
antibiotics was not. 50.8% of all patients were dead after five years.
PMID- 29653789
TI - Comparative analysis of incapacitated versus forcible sexual assault in a
community-based population.
PMID- 29653788
TI - Shift in U.S. payer responsibility for the acute care of violent injuries after
the Affordable Care Act: Implications for prevention.
AB - BACKGROUND: Investment in violence prevention programs is hampered by lack of
clearly identifiable stakeholders with a financial stake in prevention. We
determined the total annual charges for the acute care of injuries from
interpersonal violence and the shift in financial responsibility for these
charges after the Medicaid expansion from the Affordable Care Act in 2014.
METHODS: We analyzed all emergency department (ED) visits from 2009 to 2014 with
diagnosis codes for violent injury in the Nationwide Emergency Department Sample
(NEDS). We used sample weights to estimate total charges with adjusted
generalized linear models to estimate charges for the 15% of ED visits with
missing charge data. We then calculated the share attributable by payer and
determined the difference in proportion by payer from 2013 to 2014. RESULTS:
Between 2009 and 2013, the uninsured accounted for 28.2-31.3% of annual charges
for the acute care of violent injury, while Medicaid was responsible for a
similar amount (29.0-31.0%). In 2014, there were $10.7 billion in total charges
for violent injury. Medicaid assumed the greatest share, 39.8% (95% CI: 38.0
41.5%, $3.5-5.1 billion), while the uninsured accounted for 23.6% (95% CI: 22.2
24.9%, $2.0-3.0 billion), and Medicare accounted for 7.8% (95% CI: 7.7-8.0%, $0.7
1.0 billion). CONCLUSION: After Medicaid expansion, taxpayers are now accountable
for nearly half of the $10.7 billion in annual charges for the acute care of
violent injury in the U.S. These findings highlight the benefit to state Medicaid
programs of preventing interpersonal violence.
PMID- 29653790
TI - Association of fentanyl use in rapid sequence intubation with post-intubation
hypotension.
AB - BACKGROUND: The anesthesia literature has reported that pre-intubation fentanyl
use is associated with post-intubation hypotension which is a risk factor of poor
post-emergency department (ED) prognosis. However, little is known about the
relations between fentanyl use for intubation and post-intubation hypotension in
the ED. We aimed to determine whether pretreatment with fentanyl was associated
with a higher risk of post-intubation hypotension in the ED. METHODS: We
conducted a secondary analysis of data of ED airway management collected from a
multicenter prospective study of 14 Japanese EDs from February 2012 through
November 2016. We included all adult non-cardiac-arrest patients who underwent
rapid sequence intubation for medical indication. Patients were divided into
fentanyl and non-fentanyl groups. The primary outcome was post-intubation
hypotension (systolic blood pressure <=90mmHg) in the ED. RESULTS: Of 1263
eligible patients, 466 (37%) patients underwent pretreatment with fentanyl. The
fentanyl group had a higher risk of post-intubation hypotension (17% vs. 6%;
unadjusted OR, 1.73; 95%CI, 1.01-2.97; P=0.048) compared to the non-fentanyl
group. In the multivariable analysis adjusting for age, sex, weight, principal
indication, sedatives, intubator's specialty, number of intubation attempts, and
patient clustering within EDs, the fentanyl group had a higher risk of post
intubation hypotension (adjusted OR, 1.87; 95%CI, 1.05-3.34; P=0.03) compared to
the non-fentanyl group. In the sensitivity analysis using propensity score
matching, this association remained significant (OR, 3.17; 95%CI, 1.96-5.14;
P<0.01). CONCLUSION: In this prospective multicenter study of ED airway
management, pretreatment with fentanyl in rapid sequence intubation was
associated with higher risks of post-intubation hypotension.
PMID- 29653791
TI - Minimal Clinically Important Difference and Substantial Clinical Benefit After
Revision Hip Arthroscopy.
AB - PURPOSE: To define minimal clinically important difference (MCID) and substantial
clinical benefit (SCB) in revision hip arthroscopy. METHODS: The modified Harris
Hip Score (mHHS), the Hip Outcome Score (HOS), and the international Hip Outcome
Tool (iHOT-33) were administered to revision hip arthroscopy patients. At 1 year
postoperatively, patients graded their hip function based on anchor responses.
SCB was defined as both a net change and an absolute value. Receiver operating
characteristic analysis with area under the curve was used to confirm
psychometric values. A distribution-based method was used for MCID. RESULTS:
Forty-nine patients were included with a mean age of 29.7 (+/-8.6) years. The
most common indication for revision hip arthroscopy was residual femoroacetabular
impingement (FAI; N = 34; 69.4%) followed by capsular management (N = 8; 16.3%).
At 1-year follow-up, 34 patients reported feeling improved. Outcome score change
corresponding to MCID and SCB net change for the mHHS, HOS Activities of Daily
Living (ADL), HOS Sports, and iHOT-33 was 7.9/23.1, 7.9/16.2, 13.1/25.0, and
12.8/25.5, respectively. A higher proportion of patients with residual FAI
achieved MCID compared with patients with other diagnoses. On the preoperative
HOS ADL, HOS Sports, and iHOT-33, patients scoring below 67.7 (0.78), 55.6
(0.81), and 35.7 (0.73) were significantly more likely to achieve SCB
postoperatively. Thirty-four patients (73.9%) were classified as receiving
physical function improvement, and on the HOS Sports, MCID was achieved by 65%
whereas 43% met the SCB criteria. CONCLUSIONS: MCID values ranged from 7.9 on the
mHHS and the HOS ADL to 13.1 on the HOS Sports. SCB net change ranged from 16.2
on the HOS ADL to 25.2 on the iHOT-33, whereas absolute SCB ranged from 82.4 on
the iHOT-33 to 84.7 on the mHHS. Residual FAI and capsular management were the
most common indications for revision surgery with patients who underwent surgery
for the former found to be most likely to achieve clinically significant
improvement. LEVEL OF EVIDENCE: Level IV, case series.
PMID- 29653792
TI - Arthroscopic Treatment of Iliopsoas Snapping in Patients With Radiographic
Acetabular Dysplasia Using Iliopsoas Fractional Lengthening and Capsular
Plication.
AB - PURPOSE: The purpose of this study was to evaluate the greater than 2-year
patient-reported outcomes (PROs) and patient satisfaction of patients who were
treated with hip arthroscopy for snapping iliopsoas tendons that were painful
with concomitant acetabular dysplasia and who underwent iliopsoas lengthening for
symptomatic iliopsoas tendon snapping with concomitant capsular plication and
treatment of hip impingement. Secondary measures included observation of the
change in the Tonnis grade at greater than 2 years' follow-up. METHODS: Between
July 2009 and December 2011, data on patients with a lateral center-edge angle
(LCEA) of less than 25 degrees (range, 19 degrees -24 degrees ) who underwent
hip arthroscopy with central-compartment iliopsoas fractional lengthening and
capsular plication were prospectively collected and retrospectively reviewed.
Interportal capsular repair was performed using between 2 and 5 simple sutures.
Patients also underwent procedures to treat hip impingement pathology. All
patients had preoperative and minimum 2-year postoperative PRO measures: modified
Harris Hip Score, Hip Outcome Score-Activities of Daily Living subscale, Hip
Outcome Score-Sports-Specific subscale, and Non-arthritic Hip Score. The visual
analog scale score and patient satisfaction with surgery (from 0 to 10) were also
collected. Radiographs were analyzed preoperatively and at latest follow-up to
assess progression of the Tonnis grade. RESULTS: We analyzed 32 patients who met
the inclusion criteria (30 female and 2 male patients; mean age, 25 years). The
mean LCEA and anterior center-edge angle were 21.6 degrees and 25.5 degrees ,
respectively. Four patients required revision arthroscopy for labral retears.
Among the 28 patients who did not undergo revision surgery, the modified Harris
Hip Score, Hip Outcome Score-Activities of Daily Living subscale, Hip Outcome
Score-Sports-Specific subscale, and Non-arthritic Hip Score improved from 68.7 to
83.5, from 64.9 to 86.6, from 71.6 to 86.7, and from 52.6 to 75.8, respectively
(P < .001). The visual analog scale score improved from 5.6 preoperatively to 1.9
at latest follow-up (P < .001). The mean patient satisfaction rating was 8.0.
There was no radiographic progression of the Tonnis grade at final follow-up.
CONCLUSIONS: This study showed that patients with an LCEA of less than 25 degrees
and associated painful iliopsoas snapping can be treated by addressing
concomitant pathology and performing central-compartment fractional lengthening
of the iliopsoas tendon with concomitant capsular plication and have high
satisfaction, improvement in PROs, and improved pain scores, without significant
progression of osteoarthritis. LEVEL OF EVIDENCE: Level IV, case series.
PMID- 29653793
TI - Medical Malpractice Litigation Following Arthroscopic Surgery.
AB - PURPOSE: Our study aims to analyze a variety of factors involving malpractice
lawsuits following arthroscopy, focusing on reasons for lawsuit and establishing
predictors for the outcome of the lawsuit. METHODS: Two legal databases,
VerdictSearch and Westlaw, were queried for arthroscopic cases in adult patients.
For all included cases, clinical and demographic data were recorded. The effects
of plaintiff demographics, joint involved, lawsuit allegation, case ruling, and
size of indemnity payments were assessed. RESULTS: Of the 240 included cases, 62
(26%) resulted in plaintiff verdict, 160 (67%) resulted in defense verdict, and
18 (8%) were settled without trial. Plaintiff demographics (age and sex) had no
effect on the case ruling. There was no statistical difference between indemnity
awards for plaintiff verdicts ($1,013,494) and settled cases ($848,331; P = .13).
Patient death was noted in 20 cases (8.3%); a significantly higher proportion of
these cases were settled versus went to trial (P = .0022), including 19 patients
(95%) who had knee arthroscopy and 16 deaths (80%) resulting from a pulmonary
embolus. Plaintiff verdict or settlement were seen significantly more frequently
for vascular complications and wrong-sided surgery. Alternatively, defense
verdicts followed lawsuits alleging surgeon technical error. Wrong-sided surgery,
retained instruments, deep venous thrombosis, and postoperative infections were
seen at a significantly higher proportion after knee arthroscopy than after
arthroscopy of other joints. Similarly, neurological injury was significantly
associated with elbow and hip arthroscopy, while allegations of technical error
by the surgeon and block-related complications were associated with shoulder
arthroscopy. CONCLUSIONS: Plaintiff verdict or settlement were seen for vascular
complications and wrong-sided surgery, while defense verdicts followed lawsuits
alleging surgeon technical error and block-related complications. We also
identified types of allegations that were associated with arthroscopy of
different joints. All but one case of patient death (20 cases) were noted to
involve knee arthroscopy, and an overwhelming majority resulted due to a
pulmonary embolism. This information helps the arthroscopic surgeon better
counsel patients and employ strategies to mitigate preventable complications.
LEVEL OF EVIDENCE: Level IV, case series.
PMID- 29653794
TI - Treatment for Symptomatic SLAP Tears in Middle-Aged Patients Comparing Repair,
Biceps Tenodesis, and Nonoperative Approaches: A Cost-Effectiveness Analysis.
AB - PURPOSE: To evaluate the cost-effectiveness of nonoperative management, primary
SLAP repair, and primary biceps tenodesis for the treatment of symptomatic
isolated type II SLAP tear. METHODS: A microsimulation Markov model was
constructed to compare 3 strategies for middle-aged patients with symptomatic
type II SLAP tears: SLAP repair, biceps tenodesis, or nonoperative management. A
failed 6-month trial of nonoperative treatment was assumed. The principal outcome
measure was the incremental cost-effectiveness ratio in 2017 U.S. dollars using a
societal perspective over a 10-year time horizon. Treatment effectiveness was
expressed in quality-adjusted life-years (QALY). Model results were compared with
estimates from the published literature and were subjected to sensitivity
analyses to evaluate robustness. RESULTS: Primary biceps tenodesis compared with
SLAP repair conferred an increased effectiveness of 0.06 QALY with cost savings
of $1,766. Compared with nonoperative treatment, both biceps tenodesis and SLAP
repair were cost-effective (incremental cost-effectiveness ratio values of
$3,344/QALY gained and $4,289/QALY gained, respectively). Sensitivity analysis
showed that biceps tenodesis was the preferred strategy in most simulations
(52%); however, for SLAP repair to become cost-effective over biceps tenodesis,
its probability of failure would have to be lower than 2.7% or the cost of biceps
tenodesis would have to be higher than $14,644. CONCLUSIONS: When compared with
primary SLAP repair and nonoperative treatment, primary biceps tenodesis is the
most cost-effective treatment strategy for type II SLAP tears in middle-aged
patients. Primary biceps tenodesis offers increased effectiveness when compared
with both primary SLAP repair and nonoperative treatment and lower costs than
primary SLAP repair. LEVEL OF EVIDENCE: Level III, economic decision analysis.
PMID- 29653795
TI - Arthroscopic Cartilage Lesion Preparation in the Human Cadaveric Knee Using a
Curette Technique Demonstrates Clinically Relevant Histologic Variation.
AB - PURPOSE: To examine the quality of arthroscopic cartilage debridement using a
curette technique by comparing regional and morphologic variations within
cartilage lesions prepared in human cadaveric knee specimens for the purpose of
cartilage repair procedures. A secondary aim was to compare the histologic
properties of cartilage lesions prepared by surgeons of varying experience.
METHODS: Standardized cartilage lesions (8 mm * 15 mm), located to the
medial/lateral condyle and medial/lateral trochlea were created within 12 human
cadaver knees by 40 orthopaedic surgeons. Participants were instructed to create
full-thickness cartilage defects within the marked area, shouldered by uninjured
vertical walls of cartilage, and to remove the calcified cartilage layer, without
violating the subchondral plate. Histologic specimens were prepared to examine
the verticality of surrounding cartilage walls at the front and rear aspects of
the lesions, and to characterize the properties of the surrounding cartilage, the
cartilage wall profile, the debrided lesion depth, bone sinusoid access, and the
bone surface profile. Comparative analysis of cartilage wall verticality measured
as deviation from perpendicular was performed, and Spearman's rank correlation
analysis was used to examine associations between debrided wall verticality and
surgeon experience. RESULTS: Mean cartilage wall verticality relative to the base
of the lesion was superior at the rear aspect of the lesion compared to the front
aspect (12.9 degrees vs 29.2 degrees , P < .001). Variability was identified in
the morphology of the surrounding cartilage (P < .001), cartilage wall profile (P
= .016), debrided lesion depth (P = .028), bone surface profile (P = .040), and
bone sinusoid access (P = .009), with sinusoid access identified in 42% of cases.
There was no significant association of cartilage lesion wall verticality and
surgeon years in practice (rs = 0.161, P = .065) or arthroscopic caseload (rs =
0.071, P = .419). CONCLUSIONS: Arthroscopic cartilage lesion preparation using
standard curette technique in a human cadaveric knee model results in inferior
perpendicularity of the surrounding cartilage walls at the front aspect of the
defect, compared to the rear aspect. This technique has shown significant
variability in the depth of debridement, with debridement depths identified as
either too superficial or too deep to the calcified cartilage layer in more than
60% of cases in this study. Surgeon experience does not appear to impact the
morphologic properties of cartilage lesions prepared arthroscopically using ring
curettes. CLINICAL RELEVANCE: To optimize restoration of hyaline-like cartilage
tissue, careful attention to prepared cartilage lesion morphology is advised when
arthroscopically performing cartilage repair, given the tendency for standard
curette technique to create inferior verticality of cartilage walls at the front
of the lesion, and the variable depth of debridement achieved.
PMID- 29653796
TI - Anatomic Tunnel Placement Is Not Feasible by Transclavicular-Transcoracoid
Drilling Technique for Coracoclavicular Reconstruction: A Cadaveric Study.
AB - PURPOSE: To evaluate the feasibility of anatomic tunnel placement by a
transclavicular-transcoracoid drilling technique and with reference to the
coracoclavicular ligaments' insertional anatomy and their orientations. METHODS:
We used 12 fresh-frozen human cadaveric shoulders (6 matched pairs; mean age, 70
years; age range, 51-82 years) to simulate intraoperative tunnel placement with
the transclavicular-transcoracoid drilling technique. After both the conoid and
trapezoid ligaments were identified, two 2.5-mm guide pins were inserted from the
clavicle to the coracoid, passing the centers of the clavicular and coracoid
insertions of the conoid and the trapezoid ligaments, in a collinear fashion to
the orientation of both ligaments. The entry point of the drill at the clavicle
and the exit point at the coracoid undersurface, as well as the tunnel
orientations, were measured. Complications due to the procedure, including a
breach of the bone cortex of the clavicle and/or coracoid process, were recorded.
RESULTS: The transclavicular-transcoracoid drilling technique for anatomic conoid
ligament tunnel placement resulted in a medial cortical breach at the coracoid
process in 6 of 12 shoulders. In the remaining 6 shoulders without a breach, the
distance of the exit point from the medial cortex of the inferior coracoid
process was only 3.6 +/- 4.3 mm. For anatomic trapezoid ligament tunnel
placement, no medial cortex breaching at the coracoid process occurred. However,
the distance of the exit point was 3.1 +/- 4.2 mm, indicating an eccentric
location to the medial cortex of the coracoid process, similar to the conoid
ligament. CONCLUSIONS: This cadaveric study showed that anatomic tunnel placement
by the transclavicular-transcoracoid drilling technique would not be feasible
without breaching or almost breaching the medial cortex of the coracoid process.
CLINICAL RELEVANCE: The transclavicular-transcoracoid drilling technique for CC
ligament reconstruction may not reproduce the anatomy of the CC ligaments but may
place the coracoid process at high risk of fracture during tunnel placement.
PMID- 29653797
TI - Association Between Ethnicity and Postoperative Hyperglycemia in a Southeast
Asian Population Undergoing Cardiac Surgery.
AB - OBJECTIVE: Postoperative hyperglycemia is a known risk factor for adverse
outcomes following cardiac surgery. Therefore, the authors investigated the
association between ethnicity and postoperative hyperglycemia in a Southeast
Asian multiethnic population undergoing cardiac surgery. DESIGN: Perioperative
data were analyzed prospectively. SETTING: Perioperative data were obtained from
2008 to 2010 at the 2 main heart centers in Singapore. PARTICIPANTS: Data from
911 adult patients were collected. INTERVENTIONS: All patients underwent elective
cardiac surgery. MEASUREMENTS AND MAIN RESULTS: Perioperative variables, genetic
associations, and outcomes of hyperglycemic versus normoglycemic patients were
compared. Of the 911 patients analyzed, 47.7% (n = 435) were diabetic and 77.7%
(n = 708) had postoperative hyperglycemia. Patients with postoperative
hyperglycemia after cardiac surgery were more likely to have diabetes; be female,
older, and more obese; and have hypertension and renal impairment. Patients of
Indian ethnicity had a significantly higher incidence of postoperative
hyperglycemia (86.7%, p = 0.043), as compared to Malays (79.1%) and Chinese
(75.9%). Ethnicity was identified as an independent risk factor for postoperative
hyperglycemia, with Indians having a significantly higher risk than Chinese (OR
2.115, p = 0.015). Although Indian ethnicity was associated with the presence of
angiotensin-converting enzyme D allele (65.7%, p = 0.044), no genetic
associations with postoperative hyperglycemia were identified. Postoperative
hyperglycemia also was associated significantly with poorer outcomes of longer
high-dependency unit stay and new-onset cardiac arrhythmias. CONCLUSION: The
authors' findings demonstrated Indian ethnicity as an independent risk factor of
postoperative hyperglycemia, likely due to insulin resistance and exaggerated
hyperglycemic stress response, emphasizing the need for ethnic-based data unique
to each population group.
PMID- 29653798
TI - Identification of Leishmania (Viannia) species and clinical isolates of
Leishmania (Leishmania) amazonensis from Brazil using PCR-RFLP of the heat-shock
protein 70 gene reveals some unexpected observations.
AB - Hsp70 is a cytoplasmic heat-shock protein, encoded by a multicopy tandemly
repeated gene that has recently been gaining popularity as a valuable marker for
typing Leishmania species. In this study, we used a previously described hsp70
PCR-RFLP method for identifying Brazilian Leishmania isolates. We identified two
distinct L. (L.) amazonensis hsp70 alleles that resulted in two different RFLP
patterns. Also, we found RFLP polymorphisms amongst L. (Viannia) naiffi strains.
The profiles of both L. (V.) shawi and L. (V.) lindenbergi were very similar to
those of other L. (Viannia) species. The observations described herein reflect
the polymorphism found within species of Leishmania and indicate that results
from this hsp70 PCR-RFLP method should be used with caution when typing isolates
from clinical cases of leishmaniasis and Leishmania species from Brazil.
PMID- 29653799
TI - Factors associated with pregnancy intention among women who have experienced a
short birth interval: findings from the 2009 to 2011 Mississippi and 2009
Tennessee Pregnancy Risk Assessment Monitoring System.
AB - PURPOSE: One-third of all pregnancies in the United States are conceived within
18 months of a prior live birth. Preventing unintended pregnancies may help to
decrease the prevalence of pregnancies with these short interpregnancy intervals.
However, data on factors associated with pregnancy intention among women who have
had short birth intervals are sparse. Pregnancy Risk Assessment Monitoring System
data were used to further evaluate these associations. METHODS: Because only
Mississippi and Tennessee Pregnancy Risk Assessment Monitoring System include a
survey question about birth interval length, this analysis was limited to women
from those states who recently had a short birth interval (n = 384). Pregnancy
intention and demographic, lifestyle, and reproductive data were obtained from
surveys and birth certificates. Logistic regression was used to obtain odds
ratios (ORs) and 95% confidence intervals (CIs). RESULTS: Nearly 76% of women
with short birth intervals reported their pregnancy as unintended. Women who were
non-Hispanic black or consumed alcohol during pregnancy had statistically
significant increased odds of reporting the pregnancy with a short birth interval
as being unintended (OR = 3.98; 95% CI: 1.73-9.16 and OR = 10.56; 95% CI: 1.80
61.83, respectively). CONCLUSIONS: Although all women should be counseled on
postpartum contraceptive use, findings suggest that important subpopulations of
women may benefit from more targeted counseling during prenatal care visits and
the immediate postpartum hospital stay regarding the importance of using
contraception to not only better space pregnancies but also prevent unintended
pregnancies.
PMID- 29653800
TI - Use of supplemental oxygen in patients with pulmonary arterial hypertension in
REVEAL.
AB - BACKGROUND: Supplemental low-flow oxygen is recommended by treatment guidelines
as supportive therapy for patients with pulmonary arterial hypertension (PAH),
based largely on expert opinion. Reduced diffusing capacity of lung carbon
monoxide (DLCO) is associated with increased mortality in PAH. Reduced DLCO is
also associated with relative hypoxemia, making the effects of supplemental
oxygen use of particular interest in this sub-population. METHODS: Patients in
the Registry to Evaluate Early and Long-term PAH Disease Management (REVEAL), a 5
year observational study of Group 1 PAH, were categorized by presence or absence
of supplemental oxygen use and by degree of DLCO reduction. Kaplan-Meier survival
estimates were calculated by group. RESULTS: Of 3,046 patients, 57% used
supplemental oxygen and 43% did not. Supplemental oxygen users had worse
prognostic factors and more PAH-specific medication use. Of the 424 patients with
severe DLCO reduction (<40% of predicted), 76% used oxygen and 24% did not.
Patients with severe DLCO reduction who used supplemental oxygen had a
significantly lower risk of all-cause mortality than those who did not (hazard
ratio 0.56; 95% confidence interval 0.39 to 0.83; p = 0.0033). This was true for
newly diagnosed and previously diagnosed patients. There was no relationship
between oxygen use and outcomes in patients with no, mild, or moderate DLCO
reduction. CONCLUSIONS: In this observational study, the risk of death was
significantly lower for patients with severe DLCO reduction who received
supplemental oxygen compared with those who did not. A randomized trial is
warranted to further investigate the relationship between supplemental oxygen use
and outcomes in PAH.
PMID- 29653801
TI - Impact of MaxZeroTM needle-free connector on the incidence of central venous
catheter-related infections in surgical intensive care unit.
AB - BACKGROUND: Central venous catheter-related infections (CRIs) are a complication
of central venous catheters in intensive care unit (ICU). Some needle-free
connectors have been designed to decrease CRI, but there is a lack of data
concerning their impact on infection. OBJECTIVES: The objective was to explore
the impact of MaxZeroTM connectors (BD; Franklin Lakes, US) on CRI in ICU.
METHODS: Observational, pre-post design study (2011-2013 and 2014-2016) conducted
in the surgical ICU of a tertiary care hospital (18 beds). Patients with a
central venous catheter and a length of stay >=48 h were included. The connectors
replaced all disposable caps used on infusion stopcocks and ramps. The primary
parameter was to compare the incidence of CRI between the "before" period and the
"after" period. RESULTS: A total of 1633 patients were included (789 "before" and
844 "after"). There was no difference between groups concerning the global
duration of catheterisation (12.5 +/- 11.5 days vs. 12.1 +/- 10.9 days). There
were 61 CRIs before and 28 CRIs after the introduction of connectors; the
incidence of CRI in the "before" group was 20.33 CRI/year (6.18 CRI per 1000
catheter-days) vs. 9.33 CRI/year (2.73 CRI per 1000 catheter-days) in the "after"
group (incidence rate ratio = 0.44; 95% confidence interval = 0.28-0.68, p <
0.001). However, after a global analysis of the 6-year period, when adjusting for
seasonal effect and pre-existing linear trend, the effect was no longer
significant (adjusted incidence rate ratio = 0.57; 95% confidence interval = 0.24
1.35, p = 0.20). CONCLUSIONS: Our results do not allow us to conclude to a
potential beneficial effect of MaxZeroTM on CRI but are compatible with its
prolonged and safe use in ICU. Only future prospective works will be able to
confirm the value of these connectors for CRI prevention.
PMID- 29653802
TI - The Impacts of Peptic Ulcer on Stroke Recurrence.
AB - BACKGROUND: Peptic ulcer has been associated with an increased risk of stroke.
This study aimed to evaluate the impacts of peptic ulcer on stroke recurrence and
mortality. SUBJECTS AND METHODS: Patients with first-ever ischemic stroke were
retrospectively confirmed with or without a history of peptic ulcer. The primary
end point was defined as fatal and nonfatal stroke recurrence. Risks of 1-year
fatal and nonfatal stroke recurrence were analyzed with the Kaplan-Meier method.
Predictors of fatal and nonfatal stroke recurrence were evaluated with the Cox
proportional hazards model. RESULTS: Among the 2577 enrolled patients with
ischemic stroke, 129 (5.0%) had a history of peptic ulcer. The fatal and nonfatal
stroke recurrence within 1 year of the index stroke was higher in patients with
peptic ulcer than in patients without peptic ulcer (12.4% versus 7.2%, P = .030).
Cox proportional hazards model detected that age (hazard ratio [HR] = 1.018, 95%
confidence interval [CI] 1.005-1.031, P = .008), hypertension (HR = 1.397, 95% CI
1.017-1.918, P = .039), and history of peptic ulcer (HR = 1.853, 95% CI 1.111
3.091, P = .018) were associated with stroke recurrence. CONCLUSIONS: Ischemic
stroke patients with peptic ulcer may have an increased risk of stroke
recurrence. The results emphasize the importance of appropriate prevention and
management of peptic ulcer for secondary stroke prevention.
PMID- 29653803
TI - Unique Angiographic Appearances of Moyamoya Disease Detected with 3-Dimensional
Rotational Digital Subtraction Angiography Imaging Showing the Hemodynamic
Status.
AB - BACKGROUND: The aim of this study was to identify the unique morphological
arterial features in patients with moyamoya disease on 3-dimensional rotational
digital subtraction angiography. MATERIALS AND METHODS: One hundred seven
hemispheres of 58 consecutive patients with moyamoya disease that were analyzed
with fused 3-dimensional images of internal carotid angiograms and vertebral
angiograms that were marked with different colors were reviewed. Angiographic
findings in the posterior watershed area were classified, and the utility of the
classification was analyzed by comparing it with clinical presentations and
quantitative hemodynamic parameters obtained with positron emission tomography.
RESULTS: Two unique angiographic appearances were identified. A vacant vessel
appearance (no arterial inflow despite absence of cortical infarction) was
observed mostly in transient ischemic attack hemispheres. In hemispheres with a
vacant vessel appearance, cerebral blood flow was decreased, cerebral blood
volume was increased, and mean transit time was prolonged significantly (P =
.00017, P = .0061, and P = .00026, respectively). A cocktail vessel appearance
(mixture of carotid and vertebral arterial flow) was most commonly observed in
asymptomatic cases, as well as in ischemic hemispheres. Cerebral blood volume
increased and mean transit time was prolonged significantly (P = .036 and P =
.014, respectively) in hemispheres with a cocktail vessel appearance. The trend
of progression in hemodynamic severity in the order of normal appearance,
cocktail vessel appearance, and vacant vessel appearance in the watershed area
was statistically significant. CONCLUSION: Fused 3-dimensional digital
subtraction angiography demonstrated unique angiographic features in the
watershed area, and this represented the degree of cerebral hemodynamic
impairment in moyamoya disease.
PMID- 29653804
TI - Urgent Detection of Acute Type A Aortic Dissection in Hyperacute Ischemic Stroke
or Transient Ischemic Attack.
AB - BACKGROUND AND PURPOSE: Urgent diagnosis of acute Stanford type A aortic
dissection (AAD) in patients with acute ischemic stroke (AIS) or transient
ischemic attack (TIA) is sometimes challenging. We assessed predictive values for
markers of AAD in patients with AIS or TIA. METHODS: Consecutive patients with
AIS or TIA with AAD who presented to our emergency room within 4.5 hours of
symptom onset between 2007 and 2014 were compared with patients without AAD seen
between 2012 and 2014. RESULTS: Data were obtained for 24 patients with AIS or
TIA with AAD (15 women; mean age, 75 +/- 12 years) and 812 patients without AAD
(305 women; mean age, 73 +/- 12 years). Compared with patients without AAD,
patients with AAD displayed significantly higher systolic blood pressure (SBP)
laterality (30 +/- 20 mm Hg versus 12 +/- 11 mm Hg), initial D-dimer
concentration (median 38.1 ug/mL versus 1.3 ug/mL), and mediastinal width-to
chest width (M/C) ratio on x-ray (.35 +/- .05 versus .29 +/- .05), and more
frequently showed common carotid artery (CCA) dissection on carotid
ultrasonography (84% versus 1%) and pericardial effusion on echocardiography (43%
versus 0%). Sensitivity and specificity to identify AAD were 80% and 75% for SBP
laterality 17 mm Hg or greater; 100% and 86% for D-dimer concentration 4.1 ug/mL
or greater; 75% and 76% for M/C ratio .32 or greater; 84% and 99% for CCA
dissection; and 43% and 100% for pericardial effusion, respectively. CONCLUSIONS:
High D-dimer level may provide the most reliable screening test for AAD in
patients with AIS or TIA. CCA dissection on ultrasonography appears to represent
the most disease-specific finding and shows acceptable sensitivity.
PMID- 29653806
TI - Fake medicines: fighting on all fronts.
PMID- 29653805
TI - Intravenous Thrombolysis for Stroke Patients with G6PD Deficiency.
AB - BACKGROUND AND PURPOSE: No reports regarding the safety of thrombolysis in acute
stroke patients with a G6PD deficiency have been published to date. Here we aimed
to evaluate the safety of intravenous thrombolysis for G6PD-deficient stroke
patients. METHODS: We enrolled each patient with acute ischemic stroke who
arrived in our stroke unit within the therapeutic window and received systemic
thrombolysis using recombinant tissue plasminogen activator (rt-PA), between
January 2015 and March 2016. The primary clinical outcome was measured 3 months
after treatment, and defined as a "good" outcome by a modified Rankin Scale (mRS)
score of 0-2. Major safety outcomes were incidences of intracranial hemorrhage
(ICH) or mortality at 90 days. RESULTS: A total of 96 individuals were analyzed,
of which 20 patients were G6PD deficient. The rates of ICH after rt-PA treatment
were 12% the in G6PD-deficient group versus 15% in G6PD non-deficient group, and
the incidences of symptomatic intracranial hemorrhage were also similar between
the G6PD-deficient and non-deficient cohorts. No hemolysis crisis occurred, and
no significant difference in mortality rate was found between the 2 groups. The
overall rate of a good outcome at 3 months after stroke in the whole cohort was
60%, whereas 50% of patients achieved an excellent outcome (mRS 0-1) in the G6PD
deficient cohort, and 42% in the G6PD non-deficient group. CONCLUSIONS:
Thrombolytic therapy for patients with G6PD deficiency seems to pose a similar
risk of ICH and clinical outcome to those with G6PD non-deficiency.
PMID- 29653807
TI - HEROs: Design of a Mixed-Methods Formative Research Phase for an Ecocultural
Intervention to Promote Healthy Eating and Activity Behaviors in Rural Families
With Preschoolers.
AB - OBJECTIVE: To describe the mixed-methods formative research phase in the
development of the Healthy Environments Study (HEROs), a technology-based,
interactive family intervention to promote healthy eating and activity behaviors
for young children in the home environment. DESIGN: A mixed-method iterative
approach, using ecocultural theory as a framework, will guide the development of
both quantitative and qualitative formative research assessments. SETTING: Rural
eastern Colorado. PARTICIPANTS: Low-income families (n = 200) with preschool-aged
children enrolled at 6 Head Start/preschool centers. MAIN OUTCOME MEASURES:
Quantitative and qualitative methodologies will garner insights into 4 key topic
areas: (1) food behaviors and environments (Remote Food Photography Method,
parent focus group, and survey), (2) physical activity behaviors and environments
(parent interview and survey), (3) mobile device use (parent survey and
interview), and (4) daily life (ecocultural family interview and teacher/staff
group discussions). ANALYSIS: Results will be interpreted in combination to allow
for a holistic understanding of participant behaviors, beliefs, attitudes and
values related to each of the 4 topic areas. Collectively, outcomes will provide
a comprehensive picture of preschoolers' daily life and inform intervention
design and strategies to enhance preschoolers' eating and activity behaviors in
the home environment.
PMID- 29653808
TI - The AO international fellowship: South American perspective of a career changing
experience.
PMID- 29653809
TI - Process Evaluation of an Intervention for the Management of Neuropsychiatric
Symptoms in Young-Onset Dementia.
AB - OBJECTIVES: A process evaluation was performed for an intervention aimed at
improvement of the management of neuropsychiatric symptoms in young-onset
dementia. Data about sample quality and intervention quality was evaluated to
better understand internal and external validity. In addition, data about the
implementation strategy and factors affecting implementation were evaluated to
improve further implementation of the intervention. DESIGN: A model proposed by
Leontjevas and colleagues consisting of first-order (validity) and second-order
(implementation) data was used. SETTING AND PARTICIPANTS: Care units delivering
specialized treatment and support for residents with young-onset dementia.
MEASURES: A description of the recruitment, randomization procedure, and
intervention reach was carried out to determine sample quality. To determine
intervention quality, data on satisfaction, relevance, feasibility, and fidelity
were collected through a questionnaire and reports logged on the server of the
web-based intervention. A description of the implementation strategy was
provided. Barriers and facilitators for implementation were collected by a
questionnaire and analyzed by deductive content analysis. RESULTS: Care units
varied in size and were recruited from different geographical regions in the
Netherlands. The informed consent rate of the residents was 87.7%. The majority
of the nursing home staff were satisfied with the intervention. However, parts of
the intervention were perceived as less relevant for their own organization. The
feasibility of the intervention was considered low. The fidelity differed between
care units. The implementation strategy did not overcome all barriers. Factors
affecting implementation covered 3 themes: organizational aspects, culture of the
organization, and aspects of the intervention. CONCLUSIONS: In general, our
results showed sufficient internal and external validity, warranting further
effect analyses. Adaptations to specific steps of the care program should be
considered to increase feasibility and sustainability. In addition, integration
of the care program into the electronic health records is expected to further
improve implementation.
PMID- 29653811
TI - Correction to Lancet Diabetes Endocrinol 2018; 6: 809-20.
PMID- 29653810
TI - Urban-Rural Differences in Skilled Nursing Facility Rehospitalization Rates.
AB - OBJECTIVES: To examine the association of rurality with skilled nursing facility
(SNF) all-cause 30-day risk-adjusted rehospitalization rates. DESIGN: Cross
sectional study combining Center for Medicare and Medicaid Services Nursing Home
Compare (CMS-NHC) website for 30-day risk-adjusted rehospitalization rates for
2014-2015 with SNF organizational and community variables. PARTICIPANTS: 12,261
non-hospital based skilled nursing facilities in the US. MEASUREMENTS: We
estimated a multiple linear regression model of percentage all-cause unplanned
risk-adjusted rehospitalization rate within 30 days after a hospital discharge
and admission to the SNF averaged over the third and fourth quarters of 2014 and
the first and second quarters of 2015. The model uses robust standard errors.
RESULTS: After controlling for community- and SNF-level resources, the risk
adjusted rehospitalization rates for SNFs are lowest in rural areas and large
rural towns followed by SNFs in suburban and then urban areas. CONCLUSION: The
rural culture that includes a strong sense of connectedness among residents may
contribute to lower SNF rehospitalization rates. Our results suggest that rural
SNFs may avoid future reimbursement penalties and decreased admissions from
patients discharged from hospitals because of their lower rehospitalizaton rates.
However, because this is the first study to address this topic, additional
research is needed.
PMID- 29653812
TI - Vitamin D supplementation and lipoprotein metabolism: A randomized controlled
trial.
AB - BACKGROUND: Vitamin D deficiency is associated with an unfavorable lipid profile,
but whether and how vitamin D supplementation affects lipid metabolism is
unclear. OBJECTIVE: To examine the effects of vitamin D supplementation on lipid
and lipoprotein parameters. METHODS: This is a post hoc analysis of the single
center, double-blind, randomized, placebo-controlled Styrian Vitamin D
Hypertension Trial (2011-2014). Two hundred individuals with arterial
hypertension and 25-hydroxyvitamin D concentrations of <75 nmol/L were randomized
to 2800 IU of vitamin D daily or placebo for 8 weeks. RESULTS: One hundred sixty
three participants (62.2 [53.1-68.4] years of age; 46% women) had available lipid
data and were included in this analysis. Vitamin D supplementation significantly
increased total cholesterol, triglycerides, very-low-density lipoprotein (VLDL)
triglycerides, low-density lipoprotein (LDL) triglycerides, high-density
lipoprotein (HDL) triglycerides, apolipoprotein B (ApoB), LDL-ApoB, ApoCII,
ApoCIII, phospholipids, and ApoE (P < .05 for all). Except for ApoCII and ApoCIII
and HDL-triglycerides, all other treatment effects remained statistically
significant after adjustment for multiple testing with the Benjamini and Hochberg
false discovery rate method. There was a nonsignificant increase in LDL
cholesterol. Furthermore, no significant effects were seen on free fatty acids,
lipoprotein (a), ApoAI, ApoAII, VLDL cholesterol, VLDL-ApoB, HDL cholesterol, LDL
diameter, and VLDL diameter. CONCLUSIONS: The effects of vitamin D on lipid
metabolism are potentially unfavorable. They require further investigation in
view of the wide use of vitamin D testing and treatment.
PMID- 29653813
TI - Predictors of Long-Term Response With Pazopanib in Patients With Advanced Renal
Cell Carcinoma.
AB - BACKGROUND: Pazopanib is among the current standards of care for first-line
treatment of patients with unresectable advanced renal-cell carcinoma (aRCC) or
metastatic renal-cell carcinoma. This real-world study aimed to characterize
those with long-term response to pazopanib in the treatment of aRCC in a
community oncology setting, and to identify predictors of long-term response.
PATIENTS AND METHODS: aRCC patients treated with first-line pazopanib were
classified as having long-term or non-long-term response (progression-free
survival [PFS] of >= 18 or < 18 months, respectively). Baseline patient
demographics and clinical characteristics were evaluated and compared between the
2 groups. Differences in PFS and overall survival were also evaluated. RESULTS: A
total of 153 eligible patients were identified, of which 33 (21.6%) and 120
(78.4%) patients were identified as having disease with long-term and non-long
term response, respectively. The median PFS for those with long-term response was
27.2 months (95% confidence interval [CI], 23.0-35.2) versus 6.9 months (95% CI,
5.0-8.6) for those with non-long-term response. Median overall survival was not
reached (NR) for those with long-term response (95% CI, NR to 39.1) compared to
15.3 months (95% CI, 12.3-21.6) for those with non-long-term response. Baseline
Eastern Cooperative Oncology Group Performance Status (ECOG PS) of 0 (vs. ECOG PS
of 1 and >= 2) and history of nephrectomy were identified as significant
predictors of long-term response to pazopanib. CONCLUSION: In aRCC patients
treated with first-line pazopanib, 22% had a long-term response. Significant
predictors of long-term response included an ECOG PS of 0 and a history of
nephrectomy.
PMID- 29653814
TI - Predictors of Cancer-specific Survival After Disease Recurrence in Patients With
Renal Cell Carcinoma: The Effect of Time to Recurrence.
AB - INTRODUCTION: A few studies addressed predictive factors of cancer-specific
mortality (CSM) in patients with recurrent renal cell carcinoma (RCC) following
surgery. Time to recurrence (TTR) is an important predictor of CSM in various
types of cancers. The aim of our study was to describe the course of RCC
following disease recurrence and to identify prognostic factors that influence
CSM with a special focus on TTR. MATERIALS AND METHODS: Retrospective analysis of
331 patients who experienced disease recurrence after radical nephrectomy (n =
307) or partial nephrectomy (n = 24) with curative intent. A Cox proportional
hazards regression model addressed the association between various
clinicopathologic features and CSM after disease recurrence. TTR was defined as
time from surgery to occurrence of disease recurrence. RESULTS: Of the 331
patients, 232 (70%) were male, and 99 (30%) were female. The median age at
surgery was 62 years (interquartile range, 53-69 years). Median time from
nephrectomy to disease recurrence was 1.2 years (interquartile range, 0.5-3.3
years). Of the recurrences, 63 (19%) were local, and 268 (81%) were distant.
Shorter time to recurrence (P = .0008), female gender (P = .035), and distant
versus local recurrence location (P < .0001) were found to be independently
associated with CSM following disease recurrence. CONCLUSIONS: In patients
experiencing disease recurrence after nephrectomy for presumably localized RCC,
shorter TTR, female gender, and distant recurrence were found to be associated
with worse CSM. The inclusion of these factors into risk-stratification tools may
help patient counseling and decision-making regarding type and regimen of salvage
treatment.
PMID- 29653816
TI - An ulcer on the ventral tip of tongue.
PMID- 29653815
TI - Matrix-associated chondrocyte transplantation for reconstruction of articulating
surfaces in the temporomandibular joint: a pilot study covering medium- and long
term outcomes of 6 patients.
AB - OBJECTIVE: Matrix-associated chondrocyte transplantation is routinely used in
joints of the extremities but not in the temporomandibular joint (TMJ). STUDY
DESIGN: We report the first case series in 7 patients of a tissue engineering
approach to regenerate severely degraded articulating surfaces in the TMJ by
simultaneously completely resurfacing both the mandibular condyle and the
articular eminence/glenoid fossa with a commercially available collagen sponge
seeded with autologous cells stabilized within a fibrin matrix. To facilitate
healing, we temporarily employed a silicone membrane to protect the engineered
tissues. The indications for surgery were posttraumatic fibro-osseous ankylosis,
ankylosing osteoarthritis, or late-stage osteoarthritis. RESULTS: Six of the
patients were recalled for follow-up after 3 years 6 months to 12 years 1 month.
The maximum incisal opening was 18.2 +/- 9.2 mm (range, 9-33 mm) before and 31.2
+/- 13.6 mm (range, 12-47 mm) at the latest follow-up. Histologic specimens taken
at 4 months showed beginning differentiation of fibrocytes into chondrocytes,
whereas at 3 and 11 years, mature hyaline cartilage-not typical for the TMJ-was
present. CONCLUSIONS: We conclude that the reconstruction of TMJ surfaces by
matrix-associated chondrocyte transplantation may become a routine method for
cartilage regeneration in the TMJ in the future.
PMID- 29653817
TI - [Radiation therapy in patients with inflammatory bowel disease. A review].
AB - Inflammatory bowel disease (IBD), including Crohn's disease and ulcerative
colitis, are multifactorial diseases characterized by a chronic intestinal
inflammation. Abdominal and pelvic irradiation can result in acute or chronic
digestive toxicity. A few old studies on small population samples have suggested
an increase of gastro-intestinal toxicities in patients with IBD in case of
irradiation. Nevertheless, the physiopathology is unknown. More recent studies,
including new irradiation techniques, have shown less toxicity events in these
patients with IBD. There are no recommendations for irradiation in patients with
IBD. This review aims to report recent data on this topic and discuss them
regarding radiation parameters.
PMID- 29653818
TI - Corrigendum to "The enhancement and inhibition of mercury reduction by natural
organic matter in the presence of Shewanella oneidensis MR-1" [Chemosphere 194,
(March 2018) 515-522].
PMID- 29653819
TI - Update on International Cooperative Groups Studies in Thoracic Malignancies: The
Emergence of Immunotherapy.
AB - Cancer cooperative groups have historically played a critical role in the
advancement of non-small-cell lung cancer therapy. Representatives from
cooperative groups worldwide convene at the International Lung Cancer Congress
annually. The International Lung Cancer Congress had its 17th anniversary in the
summer of 2016. The present review highlights the thoracic malignancy studies
discussed by presenters. The included studies are merely a sample of the trials
of thoracic malignancies ongoing globally.
PMID- 29653820
TI - Afatinib as First-line Treatment of Older Patients With EGFR Mutation-Positive
Non-Small-Cell Lung Cancer: Subgroup Analyses of the LUX-Lung 3, LUX-Lung 6, and
LUX-Lung 7 Trials.
AB - BACKGROUND: Afatinib is approved in the US, Europe, and several other regions for
first-line treatment for epidermal growth factor receptor mutation-positive
(EGFRm+) non-small-cell lung cancer (NSCLC). PATIENTS AND METHODS: Treatment
naive patients with advanced EGFRm+ NSCLC were randomized to afatinib (40 mg/d)
versus cisplatin/pemetrexed (LUX-Lung 3 [LL3]) or cisplatin/gemcitabine (LUX-Lung
6 [LL6]), or versus gefitinib (250 mg/d; LUX-Lung 7 [LL7]). We report subgroup
analyses according to age, including 65 years or older versus younger than 65
years (preplanned; LL3/LL6) and additional cutoffs up to 75 years and older
(exploratory; LL7). Progression-free survival (PFS), overall survival (OS), and
adverse events (AEs) were evaluated. RESULTS: Among the 134 of 345 (39%) and 86
of 364 (24%) patients aged 65 years and older in LL3 and LL6, median PFS was
improved with afatinib versus chemotherapy (LL3: hazard ratio [HR], 0.64 [95%
confidence interval (CI), 0.39-1.03]; LL6: HR, 0.16 [95% CI, 0.07-0.39]).
Afatinib significantly improved OS versus chemotherapy in elderly patients with
Del19+ NSCLC in LL3 (HR, 0.39 [95% CI, 0.19-0.80]). Among the 40 of 319 patients
(13%) aged 75 years or older in LL7, median PFS (HR, 0.69 [95% CI, 0.33-1.44])
favored afatinib, consistent with the overall population. Afatinib-associated AEs
in older patients were consistent with the overall populations. CONCLUSIONS:
Subgroup analyses of the LL3, LL6, and LL7 trials show that afatinib is an
effective and tolerable treatment for patients with EGFRm+ NSCLC, independent of
age.
PMID- 29653821
TI - Physician-Assisted Death Psychiatric Assessment: A Standardized Protocol to
Conform to the California End of Life Option Act.
AB - BACKGROUND: The California End of Life Option Act (EOLOA), which legalized
physician-assisted death (PAD), became effective in 2016. The EOLOA does not
require a mental health consultation in all cases nor does it state the standards
for the mental health assessment. University of California, San Francisco Medical
Center (UCSFMC) policy makers decided to require a mental health assessment of
all patients seeking PAD under the EOLOA. OBJECTIVES: The Department of
Psychiatry was tasked with developing a standard protocol for the mental health
assessment of patients seeking PAD. METHODS: Members of the consultation-liaison
(C-L) service developed a document to guide members in completing the mental
health evaluations for patients requesting PAD. RESULTS: A committee at UCSFMC
developed a clinical protocol informed by the law with an additional local
expectation of an evaluation by a psychiatrist or clinical psychologist. The C-L
psychiatry group at UCSF developed a standard protocol for the psychiatric
assessment for use by clinicians performing these assessments. Attention to the
cognitive, mood, and decisional capacity status pertinent to choosing PAD is
required under the clinical guidance document. Case vignettes of 6 patients
evaluated for PAD are presented. CONCLUSIONS: The local adoption of the
California EOLOA by UCSFMC requires a mental health assessment of all patients
requesting EOL services at UCSF. The clinical guideline for these assessments was
locally developed, informed by the literature on EOL in other jurisdictions where
it has already been available.
PMID- 29653822
TI - Robust Frankia phylogeny, species delineation and intraspecies diversity based on
Multi-Locus Sequence Analysis (MLSA) and Single-Locus Strain Typing (SLST)
adapted to a large sample size.
AB - Diazotrophic Actinobacteria of the genus Frankia represent a challenge to
classical bacterial taxonomy as they include many unculturable strains. As a
consequence, we still have a poor understanding of their diversity, evolution and
biogeography. In this study, a Multi-Locus Sequence Analysis (MLSA) using atpD,
dnaA, ftsZ, pgk, and rpoB loci was done on a large set of cultured and uncultured
strains, compared to 16S rRNA and correlated to Average Nucleotide Identity (ANI)
from available Frankia genomes. MLSA provided a robust resolution of Frankia
genus phylogeny and clarified the status of unresolved species and complex of
species. The robustness of single-gene topologies and their congruence with the
MLSA tree were tested. Lateral Gene Transfers (LGT) were few and scattered,
suggesting they had no impact on the concatenate topology. The pgk marker -
providing the longest sequence, highest mean genetic divergence and least
occurrence of LGT - was used to survey an unequalled number of Alnus-infective
Frankia - mainly uncultured strains from a broad range of host-species and
geographic origins. This marker allowed reliable Single-Locus Strain Typing
(SLST) below the species level, revealed an undiscovered taxonomical diversity,
and highlighted the effect of cultivation, sporulation phenotype and host plant
species on symbiont richness, diversity and phylogeny.
PMID- 29653823
TI - "Society of Hematologic Oncology (SOHO) State of the Art Updates and Next
Questions"-Treatment of ALL.
AB - The outcome of adult acute lymphoblastic leukemia (ALL) has substantially
improved by adopting pediatric-inspired regimens, and approximately half of the
patients are nowadays cured. The evaluation of minimal residual disease currently
represents the most important prognostic indicator, which drives treatment
algorithms, which include allogeneic stem cell transplantation (allo-SCT)
allocation. Indeed, for high-risk patients, allo-SCT should be pursued as soon as
possible, whereas in standard-risk patients this procedure should be avoided also
in light of related toxicity and because there are no significant benefits.
Furthermore, better characterization of the molecular genetic events can drive
therapeutic decisions: a historical example in this respect is represented by the
use of tyrosine kinase inhibitors (TKIs) in Philadelphia chromosome-positive ALL;
in the upcoming future, TKIs might be used also in other subgroups, such as
breakpoint cluster region/Abelson 1-like cases and others with deregulated
tyrosine kinases. Finally, the greatest progress is currently achieved with new
immunotherapies targeting frequently expressed surface antigens in ALL. It is
also a new chance for elderly ALL patients, so far spared from intensive
chemotherapy and allo-SCT. These targeted therapies will substantially change
this treatment algorithm and the great challenge is to find optimal sequence of
the extended therapy options in an individual patient.
PMID- 29653824
TI - Health and safety management system to improve the self-protection plans in
intensive care units.
PMID- 29653825
TI - Re: Association between fish consumption, dietary omega-3 fatty acids and
persistent organic pollutants intake, and type 2 diabetes in 18 First Nations in
Ontario, Canada.
PMID- 29653826
TI - The surgery and repeat aspiration outcomes of the atypia of undetermined
significance/follicular lesion of undetermined significance category in The
Bethesda System for Reporting Thyroid Cytopathology.
AB - BACKGROUND/OBJECTIVE: The atypia of undetermined significance/follicular lesion
of undetermined significance (AUS/FLUS) category is one of six diagnostic
categories of The Bethesda System for Reporting Thyroid Cytopathology (TBSRTC).
In this study, we report the diagnostic distribution of thyroid fine needle
aspiration (FNA) cytology and analyze the outcome of AUS/FLUS cases. METHODS: A
total of 29,937 thyroid FNA results, reported between April 2012 and December
2016, were retrieved from the database of a medical center. We reviewed the
electronic medical records and analyzed the management of these patients.
RESULTS: Overall frequency of AUS/FLUS is 3.1% in our laboratory, which is at the
lower limit of the recommended range. Of these, 891 reports of AUS/FLUS from 770
patients were identified. Out of the 770 patients, 367 had surgical intervention.
In these 367 patients, final surgical pathology yielded 204 (55.6%) malignancies,
12 indeterminateness (3.3%), and 151 (41.1%) benignity. Among these surgical
patients, 113 (30.8%) had received a repeat FNA of the thyroid before thyroid
resection. The difference between the malignancy rates among patients who
directly received surgery after the first AUS/FLUS diagnosis (132 of 254, 52.0%)
and patients having a repeat FNA before surgery (72 of 113, 63.7%) was not
statistically significant. CONCLUSION: Our results are in agreement with AUS/FLUS
diagnoses in less than 7% of specimens, and confirm that it is appropriate to
perform either a repeat thyroid FNA or thyroid lobectomy, with the clinical
decision being subject to the standardized management protocols of the second
edition of TBSRTC in the AUS/FLUS category.
PMID- 29653827
TI - Dwarf chickens with low monocytes/macrophages phagocytic activity show low
antibody titers but greater performance.
AB - Monocytes/macrophages phagocytosis has key roles in inflammatory responses.
However, systematic research on the effects of monocytes/macrophages phagocytosis
on production and reproductive performance in dwarf chickens is lacking. In this
study, we developed the HCT-8-MTT method to detect monocytes/macrophages
phagocytosis product (PP) which was accuracy, flexible, and saving time. Based on
PP in 990 dwarf chickens (890 hens and 100 cocks), chickens were divided into
high phagocytosis product group (HPPG) and low phagocytosis product group (LPPG).
In production performance, chickens in LPPG have higher laying rate at 24 wk and
71 wk and higher average egg weight at 23 wk and 24 wk than in HPPG (P < 0.05).
The levels of follicle-stimulating hormone and luteinizing hormone were higher in
LPPG than in HPPG at 58 wk (P < 0.01). In the reproductive performance, the
fertilization rate in LPPG was higher than that in HPPG at 45 wk, 49 wk, and 53
wk (P < 0.05). Chickens in LPPG have higher hatchability than HPPG at 45 wk and
49 wk (P < 0.05). In LPPG, the mRNA expression levels of follicle-stimulating
hormone receptor and CD9 in the follicle were higher than HPPG (P < 0.05). In the
immune response, chickens with higher PP levels showed higher antibody titers for
the avian influenza virus H9 inactivated vaccine (P < 0.01). Therefore,
monocytes/macrophages PP was positively associated with antibody titers and
negatively related to production and reproductive performance, and these findings
have practical applications for the optimization of production in the poultry
industry.
PMID- 29653828
TI - Ostrich specific semen diluent and sperm motility characteristics during in vitro
storage.
AB - The dilution of semen is a very important initial process for semen processing
and evaluation, storage and preservation in vitro and efficient artificial
insemination. The aim of the study was to evaluate the effect of two synthetic
diluents (OS1 and OS2) on ostrich sperm motility parameters during in vitro
storage. Formulation of OS1 was based on macro minerals (Na, K, P, Ca, Mg) and
OS2 on the further addition of micro minerals (Se and Zn), based on mineral
concentration determined in the ostrich seminal plasma (SP). Sperm motility was
evaluated at different processing stages (neat, after dilution, during storage
and after storage) by measuring several sperm motility variables using the Sperm
Class Analyzer(r) (SCA). Processing (dilution, cooling and storage) of semen for
in vitro storage purposes decreased the values for all sperm motility variables
measured. The percentage motile (MOT) and progressive motile (PMOT) sperm
decreased 20% to 30% during 24 h of storage, independent of diluent type. Quality
of sperm swim (LIN, STR and WOB), however, was sustained during the longer
storage periods (48 h) with the OS2 diluent modified with Se and Zn additions.
Quality of sperm swim with use of OS1 was 6% to 8% less for the LIN, STR, and WOB
variables. Male fitted as a fixed effect accounted for >60% of the variation for
certain sperm motility variables (PMOT, MOT, VCL, VSL, VAP and ALH) evaluated at
different processing stages. Semen from specific males had sustained sperm
motility characteristics to a greater extent than that of other males during the
24-h storage period.
PMID- 29653829
TI - Mineral profiling of ostrich (Struthio camelus) seminal plasma and its
relationship with semen traits and collection day.
AB - Successful assisted reproduction techniques, with specific focus on in vitro
semen storage for artificial insemination, are dependent on certain key elements
which includes the biochemical profiling of semen. The objective of this study
was to complete an ostrich seminal plasma (SP) evaluation by inductively coupled
plasma mass spectrometry (ICP-MS) among seven males at different daily intervals
(day 1, 3, 7, 11, 15, 19, 21, 23, 25, 26, 27, 28) for a period of 28 days during
spring (August to September) for mineral profiling. The effect of collection day
and male on sperm concentration, semen volume and seminal plasma volume, was
explored as well as the relationships amongst these specific sperm traits and SP
minerals. Variation amongst SP mineral concentrations, accounted for by the fixed
effects of sperm concentration, semen volume, seminal plasma volume, collection
day and male, ranged from 18% to 77%. Male had the largest effect on variation in
SP minerals, namely: phosphorus (P), potassium (K), calcium (Ca), sodium (Na),
boron (B), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), molybdenum (Mo),
barium (Ba), arsenic (As) and selenium (Se). Sperm concentration instigated
fluctuations of P, magnesium (Mg), B, zinc (Zn), Fe, aluminium (Al), Se,
manganese (Mn) and lead (Pb). Semen volume had an effect on Na, K, B, Pb and Ba
while seminal plasma volume only influenced variation in Na. There were
fluctuations among collection days of specific micro minerals, Ni and Mo, with
initial Ni concentrations being relatively greater and Mo at lesser
concentrations. Semen volume, seminal plasma volume and sperm concentration
varied amongst males. Sperm concentrations during the initial collection days, 1
and 3, were less than that for days 7 to 28. Significant variation of SP minerals
and sperm characteristics among ejaculates and males suggest an association of
these specific elements with sperm function and are, therefore, considered to be
of potential importance to success of assisted reproduction technology for the
ostrich. The relationship amongst sperm concentration and collection day confirms
the need to conduct an initial period of collection to stabilise a greater sperm
concentration to optimise sperm numbers for artificial insemination purposes.
PMID- 29653830
TI - Jean-Martin Charcot and Parkinson's disease: Teaching and teaching materials.
AB - James Parkinson's 1817 seminal article was not well known in France until 1861,
when Jean-Martin Charcot and his friend, Alfred Vulpian, published a detailed
description in French of paralysis agitans. Their article provided clinical
information to help French physicians make an accurate diagnosis by considering
gait, shaking and rigidity as well as masked facies. As Charcot always had a
strong desire to teach, this article describes his lessons on Parkinson's disease
from 1868 to 1888, and also examines the teaching approach he used to pass on his
latest findings to his students and colleagues. Charcot also used his role as
thesis advisor to disseminate Parkinson's work, and seven of the theses he
oversaw, which until now have been overlooked, reveal another facet of his
teacher-student relationship. These dissertations provided Charcot with an
opportunity to highlight what he had already identified concerning what is today
referred to as 'Parkinson-plus syndromes'. Finally, this report concludes with an
historical survey of the teaching materials that Paul Richer and Albert Londe
developed for the Master at La Salpetriere to provide him with visual
documentation.
PMID- 29653831
TI - Does the Movement Assessment Battery for Children-2 at 3 years of age predict
developmental coordination disorder at 4.5 years of age in children born very
preterm?
AB - BACKGROUND: Very preterm children (VPT) are at high risk for developmental
coordination disorder (DCD). The Movement Assessment Battery for Children-2 (MABC
2) Test is commonly used to identify children with DCD, but little is known about
the predictive validity of this assessment in this population. AIMS: The aims of
this study were to determine if MABC-2 scores at 3 years can predict DCD at 4.5
years and if DCD can be reliably identified in VPT children at 3 years. METHODS
AND PROCEDURES: In a retrospective sample of 165 VPT children, logistic
regression was used to determine if the MABC-2 score at 3 years is predictive of
DCD at 4.5 years. Cross-tabulations were used to determine the relationship
between scores at 3 years and DCD at 4.5 years. OUTCOMES AND RESULTS: MABC-2
scores at age 3 were a significant predictor (OR = 0.82, p = 0.001) of DCD
diagnosis at 4.5 years. The MABC-2 has excellent sensitivity (90%), moderate
specificity (69%), small to moderate positive predictive value (38%) and high
negative predictive value (97%). CONCLUSIONS AND IMPLICATIONS: The MABC-2 is
highly sensitive in identifying VPT children with DCD, but also has many false
positives. MABC-2 scores can reliably predict VPT children who are not at risk of
DCD.
PMID- 29653832
TI - Screening of ligands for redox-active europium using magnetic resonance imaging.
AB - We report a screening procedure to predict ligand coordination to EuII and EuIII
using magnetic resonance imaging in which bright images indicate complexation and
dark images indicate no complexation. Here, paramagnetic GdIII is used as a
surrogate for EuIII in the screening procedure to enable detection with magnetic
resonance imaging. The screening procedure was tested using a set of eight
ligands with known coordination to EuII and EuIII, and results were found to be
consistent with expected binding. Validation of the screening procedure with
known coordination chemistry enables use with new ligands in the future.
PMID- 29653833
TI - Validation by Cardiac Catheterization of Noninvasive Estimation of Time Constant
of Left Ventricular Pressure Decline as an Index of Relaxation by Speckle
Tracking Echocardiography.
AB - There has been no established echocardiographic parameter to accurately assess
left ventricular (LV) relaxation. Impaired LV relaxation assessed by the time
constant of LV pressure decline (Tau) is one of the major components of diastolic
dysfunction. We sought to noninvasively estimate Tau (eTau) by speckle tracking
echocardiography (STE) and to validate the eTau against Tau by catheterization.
Tau was reported to be calculated using the isovolumic relaxation time (IVRT), LV
end-systolic pressure, and left atrial (LA) pressure. We reported that pulmonary
capillary wedge pressure (ePCWP) can be noninvasively and accurately estimated as
10.8 - 12.4 * Log (LA active emptying function/minimum LA volume index).
Therefore, the eTau by STE is noninvasively calculated using the formula: eTau =
IVRT / (ln 0.9 * systolic blood pressure-ln ePCWP). Echocardiographic parameters
were measured just before catheterization in 110 patients with cardiac disease
(age 72 +/- 8). There was a good correlation between the eTau and Tau by
catheterization (r = 0.69, p <0.001), whereas IVRT and the e' had a poor
correlation with Tau (r = 0.33 and -0.33, respectively). The sensitivity and
specificity of the eTau to predict prolonged Tau (>48 ms) was 77% and 91%,
respectively, with area under curve of 0.87 using an optimal cutoff of 48 ms.
Bland-Altman analysis revealed a good agreement between the eTau and Tau. In
conclusion, this study demonstrated that the eTau by our noninvasive method has a
good correlation with Tau obtained by cardiac catheterization. LV relaxation may
be noninvasively and accurately estimated by STE.
PMID- 29653834
TI - Intracellular Receptor Modulation: Novel Approach to Target GPCRs.
AB - Recent crystal structures of multiple G protein-coupled receptors (GPCRs) have
revealed a highly conserved intracellular pocket that can be used to modulate
these receptors from the inside. This novel intracellular site partially overlaps
with the G protein and beta-arrestin binding site, providing a new manner of
pharmacological intervention. Here we provide an update of the architecture and
function of the intracellular region of GPCRs, until now portrayed as the
signaling domain. We review the available evidence on the presence of
intracellular binding sites among chemokine receptors and other class A GPCRs, as
well as different strategies to target it, including small molecules, pepducins,
and nanobodies. Finally, the potential advantages of intracellular (allosteric)
ligands over orthosteric ligands are also discussed.
PMID- 29653835
TI - Positron Emission Tomography Studies of the Glial Cell Marker Translocator
Protein in Patients With Psychosis: A Meta-analysis Using Individual Participant
Data.
AB - BACKGROUND: Accumulating evidence suggests that the immune system may be an
important target for new treatment approaches in schizophrenia. Positron emission
tomography and radioligands binding to the translocator protein (TSPO), which is
expressed in glial cells in the brain including immune cells, represents a
potential method for patient stratification and treatment monitoring. This study
examined whether patients with first-episode psychosis and schizophrenia had
altered TSPO levels compared with healthy control subjects. METHODS: PubMed was
searched for studies comparing patients with psychosis with healthy control
subjects using second-generation TSPO radioligands. The outcome measure was total
distribution volume (VT), an index of TSPO levels, in frontal cortex, temporal
cortex, and hippocampus. Bayes factors (BFs) were applied to examine the relative
support for higher, lower, or no difference in patients' TSPO levels compared
with healthy control subjects. RESULTS: Five studies, with 75 participants with
first-episode psychosis or schizophrenia and 77 healthy control subjects, were
included. BFs showed strong support for lower VT in patients relative to no
difference (all BFs > 32), or relative to higher VT (all BFs > 422), in all brain
regions. From the posterior distributions, mean patient-control differences in
standardized VT values were -0.48 for frontal cortex (95% credible interval
[CredInt] = -0.88 to 0.09), -0.47 for temporal cortex (CredInt = -0.87 to -0.07),
and -0.63 for hippocampus (CredInt = -1.00 to -0.25). CONCLUSIONS: The lower
levels of TSPO observed in patients may correspond to altered function or lower
density of brain immune cells. Future studies should focus on investigating the
underlying biological mechanisms and their relevance for treatment.
PMID- 29653836
TI - Port Baseline Biological Surveys and seaweed bioinvasions in port areas: What's
the matter in the Adriatic Sea?
AB - One of the objectives of the BALMAS project was to conduct Port Baseline
Biological Surveys of native and non-indigenous benthic flora in 12 Adriatic
ports. Samples of macroalgae growing on vertical artificial substrates were
collected in spring and autumn 2014 and/or 2015. A total number of 248 taxa, 152
Rhodophyta, 62 Chlorophyta, and 34 Ochrophyta, were identified. Of these, 13 were
non-indigenous seaweeds, mainly filamentous macroalgae, that were probably
introduced through hull fouling. Some of these taxa had already been described in
the study areas, others were recorded for the first time, a few were no longer
detected at sites where they had previously been recorded (e.g. Sargassum
muticum). Some other NISS reported for the Adriatic Sea, were not collected at
any sampling site (i.e. Caulerpa cylindracea, Codium fragile). Possible reasons
for the absence of these species are discussed.
PMID- 29653837
TI - The Relation between alpha-Helical Conformation and Amyloidogenicity.
AB - Amyloid fibrils are stable aggregates of misfolded proteins and polypeptides that
are insoluble and resistant to protease activity. Abnormal formation of amyloid
fibrils in vivo may lead to neurodegenerative disorders and other systemic
amyloidosis, such as Alzheimer's, Parkinson's, and atherosclerosis. Because of
their clinical importance, amyloids are under intense scientific research. It is
believed that short polypeptide segments within proteins are responsible for the
transformation of correctly folded proteins into parts of larger amyloid fibrils
and that this transition is modulated by environmental factors, such as pH, salt
concentration, interaction with the cell membrane, and interaction with metal
ions. Most studies on amyloids focus on the amyloidogenic sequences. The focus of
this study is on the structure of the amyloidogenic alpha-helical segments
because the alpha-helical secondary structure has been recognized to be a key
player in different stages of the amyloidogenesis process. We have previously
shown that the alpha-helical conformation may be expressed by two parameters
(theta and rho) that form orthogonal coordinates based on the Ramachandran
dihedrals (phi and psi) and provide an illuminating interpretation of the alpha
helical conformation. By performing statistical analysis on alpha-helical
conformations found in the Protein Data Bank, an apparent relation between alpha
helical conformation, as expressed by theta and rho, and amyloidogenicity is
revealed. Remarkably, random amino acid sequences, whose helical structures were
obtained from the most probable dihedral angles, revealed the same dependency of
amyloidogenicity, suggesting the importance of alpha-helical structure as opposed
to sequence.
PMID- 29653839
TI - Significance of Anti-Myosin Antibody Formation in Patients With Myocardial
Infarction: A Prospective Observational Study.
AB - BACKGROUND: Anti-myosin antibodies (AMAs) are often formed in response to
myocardial infarction (MI) and have been implicated in maladaptive cardiac
remodelling. We aimed to: (1) compare AMA formation in patients with Non-ST
Elevation MI (NSTEMI) and ST-Elevation MI (STEMI); (2) evaluate factors
predicting autoantibody formation; and, (3) explore their functional
significance. METHODS: Immunoglobulin M (IgM) and Immunoglobulin G (IgG) AMA
titres were determined in serum samples collected at admission, 3 and 6 months
post MI. The relationship between demographic and clinical data, and antibody
formation, was investigated to determine factors predicting antibody formation
and functional significance. RESULTS: Forty-three patients were consecutively
recruited; 74.4% were positive for IgM at admission, compared with 23.3% for IgG.
Mean IgG levels increased by 1.24% (+/-0.28) at 3 months, and 13.55% (+/-0.13) at
6 months post MI. Mean antibody levels were significantly higher in the NSTEMI
cohort at both follow-up time points for IgG (p<0.001, p<0.0001), but not IgM
(p=0.910, p=0.066). A moderately positive correlation between infarct size and
increase in mean IgM concentration was observed at 3 months (r(98)=0.455;
p=0.015). Anti-myosin antibody formation was not associated with an unfavourable
outcome at follow-up. CONCLUSIONS: Anti-myosin antibodies are formed in a
significant proportion of patients following MI, particularly among those with
NSTEMI. While IgM levels fall after infarction, IgG levels increase and persist
beyond 6 months of follow-up. This raises the possibility that they may
contribute to long-term myocardial damage and dysfunction. Future research should
focus on the specific epitopes that are targeted by these antibodies, and their
functional significance. This may result in the emergence of novel therapies to
attenuate cardiac dysfunction in MI patients.
PMID- 29653838
TI - A Multicolor Split-Fluorescent Protein Approach to Visualize Listeria Protein
Secretion in Infection.
AB - Listeria monocytogenes is an intracellular food-borne pathogen that has evolved
to enter mammalian host cells, survive within them, spread from cell to cell, and
disseminate throughout the body. A series of secreted virulence proteins from
Listeria are responsible for manipulation of host-cell defense mechanisms and
adaptation to the intracellular lifestyle. Identifying when and where these
virulence proteins are located in live cells over the course of Listeria
infection can provide valuable information on the roles these proteins play in
defining the host-pathogen interface. These dynamics and protein levels may vary
from cell to cell, as bacterial infection is a heterogeneous process both
temporally and spatially. No assay to visualize virulence proteins over time in
infection with Listeria or other Gram-positive bacteria has been developed.
Therefore, we adapted a live, long-term tagging system to visualize a model
Listeria protein by fluorescence microscopy on a single-cell level in infection.
This system leverages split-fluorescent proteins, in which the last strand of a
fluorescent protein (a 16-amino-acid peptide) is genetically fused to the
virulence protein of interest. The remainder of the fluorescent protein is
produced in the mammalian host cell. Both individual components are
nonfluorescent and will bind together and reconstitute fluorescence upon
virulence-protein secretion into the host cell. We demonstrate accumulation and
distribution within the host cell of the model virulence protein InlC in
infection over time. A modular expression platform for InlC visualization was
developed. We visualized InlC by tagging it with red and green split-fluorescent
proteins and compared usage of a strong constitutive promoter versus the
endogenous promoter for InlC production. This split-fluorescent protein approach
is versatile and may be used to investigate other Listeria virulence proteins for
unique mechanistic insights in infection progression.
PMID- 29653840
TI - Entrustable Professional Activities (EPAs) for Simulation Leaders: The Time Has
Come.
PMID- 29653841
TI - Medical Students Teaching Medical Students Surgical Skills: The Benefits of Peer
Assisted Learning.
AB - OBJECTIVE: Teaching surgical skills is a labor intensive process, requiring a
high tutor to student ratio for optimal success, and teaching for undergraduate
students by consultant surgeons is not always feasible. A surgical skills course
was developed, with the aim of assessing the effectiveness of undergraduate
surgical peer-assisted learning. DESIGN: Five surgical skills courses were
conducted looking at eight domains in surgery, led by foundation year doctors and
senior medical students, with a tutor to student ratio of 1:4. Precourse and
postcourse questionnaires (Likert scales 0-10) were completed. Mean scores were
compared precourse and postcourse. SETTING: Surgical skills courses took place
within clinical skills rooms in the Queen Elizabeth Hospital Birmingham (UK).
PARTICIPANTS: Seventy students (59 medical, 2 dental, and 9 physician associate
students) from a range of academic institutions across the UK completed the
course. RESULTS: There was an overall increase in mean scores across all eight
domains. Mean improvement score precourse and postcourse in WHO surgical safety
checklist (+3.94), scrubbing (+2.99), gowning/gloving (+3.34), knot tying
(+5.53), interrupted sutures (+5.89), continuous sutures (+6.53), vertical
mattress sutures (+6.46), and local anesthesia (+3.73). CONCLUSIONS: Peer
assisted learning is an effective and feasible method for teaching surgical
skills in a controlled environment, subsequently improving confidence among
healthcare undergraduates. Such teaching may provide the basis for feasibly mass
producing surgical skills courses for healthcare students.
PMID- 29653842
TI - Hearing loss in older adults - From epidemiological insights to national
initiatives.
AB - The broader implications of hearing loss for the health and functioning of older
adults have begun to be demonstrated in epidemiologic studies. These research
findings on the association between hearing loss and poorer health outcomes have
formed the foundation for national initiatives on hearing loss and public health.
These national initiatives range from the Aging and Cognitive Health Evaluation
in Elders (ACHIEVE) clinical trial to the recent passage of the bipartisan Over
The-Counter Hearing Aid Act. Utilizing population health research methodologies
to study hearing loss can provide the foundation for initiating top-down
approaches to increase the adoption and accessibility of hearing care for older
Americans with hearing loss.
PMID- 29653844
TI - Alfonso de la Pena Pineda and the Urology exchange by correspondence.
AB - INTRODUCTION: In 1943, the brothers Alfonso and Emilio de la Pena Pineda proposed
creating a communication system by correspondence as in North America, an idea
welcomed by Spanish urologists, a system that was named the Urology Exchange by
Correspondence. MATERIAL AND METHODS: A thorough reading and detailed analysis
was conducted of content from 1944 to 1953, with an average of 3 pages of folio
size of each copy. RESULT: A total of 105 issues containing 234 urological
presentations were sent, signed by 67 Spanish urologists, 2 Portuguese urologists
and 1 general surgeon. Thirty-nine of these presentations were translations of a
similar U.S. publication. The subject matter corresponded to curious or rare
personal cases, concerning conditions or problems of the most common urological
diseases in that era, of which only 20 of those articles stand out. In general,
the content is of little use and interest, which prompted the gradual decline in
the collaboration until it disappeared. CONCLUSION: The proposal was answered
mostly by those who had a professional relationship with the chair of Urology of
the Faculty of Medicine of Madrid directed by Alfonso de la Pena. The subject
matter of the personal case studies, most of which lacked importance, lead to the
gradual decline in the contribution of presentations until its eventual end. Only
a few articles stand out. The study's major value consists of collecting and
analysing the content of what is highly likely the only complete collection in
existence, given that it was not edited for printing and was distributed only as
correspondence and cannot therefore be found in public libraries.
PMID- 29653843
TI - Neer Award 2018: Platelet-derived growth factor receptor alpha co-expression
typifies a subset of platelet-derived growth factor receptor beta-positive
progenitor cells that contribute to fatty degeneration and fibrosis of the murine
rotator cuff.
AB - BACKGROUND AND HYPOTHESIS: After massive tears, rotator cuff muscle often
undergoes atrophy, fibrosis, and fatty degeneration. These changes can lead to
high surgical failure rates and poor patient outcomes. The identity of the
progenitor cells involved in these processes has not been fully elucidated.
Platelet-derived growth factor receptor beta (PDGFRbeta) and platelet-derived
growth factor receptor alpha (PDGFRalpha) have previously been recognized as
markers of cells involved in muscle fibroadipogenesis. We hypothesized that
PDGFRalpha expression identifies a fibroadipogenic subset of PDGFRbeta+
progenitor cells that contribute to fibroadipogenesis of the rotator cuff.
METHODS: We created massive rotator cuff tears in a transgenic strain of mice
that allows PDGFRbeta+ cells to be tracked via green fluorescent protein (GFP)
fluorescence. We then harvested rotator cuff muscle tissues at multiple time
points postoperatively and analyzed them for the presence and localization of
GFP+ PDGFRbeta+ PDGFRalpha+ cells. We cultured, induced, and treated these cells
with the molecular inhibitor CWHM-12 to assess fibrosis inhibition. RESULTS: GFP+
PDGFRbeta+ PDGFRalpha+ cells were present in rotator cuff muscle tissue and,
after massive tears, localized to fibrotic and adipogenic tissues. The frequency
of PDGFRbeta+ PDGFRalpha+ cells increased at 5 days after massive cuff tears and
decreased to basal levels within 2 weeks. PDGFRbeta+ PDGFRalpha+ cells were
highly adipogenic and significantly more fibrogenic than PDGFRbeta+ PDGFRalpha-
cells in vitro and localized to adipogenic and fibrotic tissues in vivo.
Treatment with CWHM-12 significantly decreased fibrogenesis from PDGFRbeta+
PDGFRalpha+ cells. CONCLUSION: PDGFRbeta+ PDGFRalpha+ cells directly contribute
to fibrosis and fatty degeneration after massive rotator cuff tears in the mouse
model. In addition, CWHM-12 treatment inhibits fibrogenesis from PDGFRbeta+
PDGFRalpha+ cells in vitro. Clinically, perioperative PDGFRbeta+ PDGFRalpha+ cell
inhibition may limit rotator cuff tissue degeneration and, ultimately, improve
surgical outcomes for massive rotator cuff tears.
PMID- 29653845
TI - Inhibition of caspase-1 prolongs survival of mice infected with rabies virus.
AB - Rabies virus infects almost all mammals resulting in lethal disease. To date
there is no treatment available for symptomatic rabies and there is an urgent
need to develop treatment strategies that would prolong survival, thereby
providing a window of opportunity for the host to mount a protective immune
response. We hypothesized that both virus and excessive immune response
contribute to disease and that interfering with both is necessary to prevent
lethal disease. Here, we have inhibited the pro-inflammatory response associated
with pyroptosis and showed that inhibition of CASP-1 had a beneficial effect on
survival time. Our results confirm that some inflammatory responses may be
involved in the pathogenesis of severe disease and the results suggest that
effective intervention includes inhibition of virus and host response.
PMID- 29653846
TI - "When you are injected, the baby is protected:" Assessing the acceptability of a
maternal Tdap vaccine based on mothers' knowledge, attitudes, and beliefs of
pertussis and vaccinations in Lusaka, Zambia.
AB - INTRODUCTION: Severe and fatal pertussis infections are concentrated among
infants who are too young to be protected through routine vaccinations. Maternal
Tdap (mTdap), which is now the standard of care in the US and UK, is considered
to be the most effective way to address this gap in preventative care. Little is
known about how pregnant women in low-resource settings might view mTdap. To
inform strategies for mTdap implementation in these contexts, public health
researchers sought to understand knowledge, attitudes, and beliefs toward
pertussis and maternal vaccines and assess the barriers to vaccine acceptance.
METHODS: We conducted focus group discussions (FGDs) among mothers who
participated in a longitudinal birth cohort study at the Chawama primary health
center in Lusaka, Zambia. Since SAMIPS was not a clinical trial, but instead an
observational cohort study, registration on clinicaltrials.gov was not required.
Trained interviewers conducted the FGDs in January 2016 using a semi-structured
interview guide, exploring participants' knowledge, attitudes and beliefs toward
pertussis and vaccines. We analyzed the transcripts using Nvivo v.11 software.
RESULTS: Fifty mothers participated across 7 FGDs. Mothers had limited knowledge
of pertussis and vaccines, yet expressed generally positive views of vaccinating
themselves and their children. Participants conveyed very little vaccine
hesitancy around maternal vaccinations, and discussed how they could protect
their children's health. Mothers also highlighted barriers and facilitators to
vaccine uptake, which included partner involvement, feelings of maternal
authority over healthcare decision-making, and community rumors about Western
medicine. CONCLUSION: Mothers viewed vaccinations as an important method to keep
their children healthy, despite cultural myths and misconceptions about pertussis
and vaccines. FGD results suggest that vaccine acceptability is high in Zambia,
which is a critical factor to vaccine uptake. A strategy addressing myths and
misconceptions should be prioritized if and when mTdap is introduced across low
resource settings.
PMID- 29653848
TI - Inactivated infectious bronchitis virus vaccine encapsulated in chitosan
nanoparticles induces mucosal immune responses and effective protection against
challenge.
AB - Avian infectious bronchitis virus (IBV) is one of the most important viral
diseases of poultry. The mucosa of upper respiratory tract, specially the
trachea, is the primary replication site for this virus. However, conventional
inactivate IBV vaccines usually elicit reduced mucosal immune responses and local
protection. Thus, an inactivated IBV vaccine containing BR-I genotype strain
encapsulated in chitosan nanoparticles (IBV-CS) was produced by ionic gelation
method to be administered by oculo-nasal route to chickens. IBV-CS vaccine
administered alone resulted in markedly mucosal immune responses, characterized
by high levels of anti-IBV IgA isotype antibodies and IFNgamma gene expression at
1dpi. The association of live attenuated Massachusetts IBV and IBV-CS vaccine
also induced strong mucosal immune responses, though a switch from IgA isotype to
IgG was observed, and IFNgamma gene expression peak was late (at 5 dpi). Efficacy
of IBV-CS was evaluated by tracheal ciliostasis analysis, histopathology
examination, and viral load determination in the trachea and kidney. The results
indicated that IBV-CS vaccine administered alone or associated with a live
attenuated heterologous vaccine induced both humoral and cell-mediated immune
responses at the primary site of viral replication, and provided an effective
protection against IBV infection at local (trachea) and systemic (kidney) sites.
PMID- 29653847
TI - Local thermal reaction after influenza vaccination: Quantification by infrared
imaging and biometric considerations.
AB - BACKGROUND: Extensive clinical investigations are mandatory to evaluate the
safety and reactogenicity of vaccines. The recording of common adverse events
like injection site soreness or general discomfort derives from individual
subjective perceptions. Thermal imaging at the injection site possibly provides a
non-subjective and a non-invasive approach to supplement this evaluation.
RESULTS: A protocol for quantified injection-site infrared imaging included 86
participants during a flu vaccine campaign, 40% of whom had a thermal reaction of
1 degrees C; 25-30% had no thermal response. There was little subjective pain
reporting and no clinical correlations were observed except with post-vaccination
erythema. Higher responses were linked with advanced age and multiple previous
vaccinations. CONCLUSION: Evan if influenza vaccine was only moderately
reactogenic, a thermal response was detectable in about 70% of vaccinees, though
no relationship to reactogenicity was seen. Infrared imaging might however be a
prospective tool for individual studies of vaccine-induced vascular responses.
PMID- 29653849
TI - Direct-to-adolescent text messaging for vaccine reminders: What will parents
permit?
AB - BACKGROUND: Direct-to-adolescent text messaging may be a consideration for
vaccine reminders, including human papilloma virus (HPV), but no studies have
explored the minimum age at which parents would allow adolescents to receive a
text message. METHODS: We distributed a survey to parents of 10-17 year olds
during any office visit in two practice based research networks in South Carolina
and Oklahoma. We asked about parental preference for receiving vaccine reminders
for their adolescent, whether they would allow the healthcare provider to
directly message their adolescent, and if so, what would be the acceptable
minimum age. RESULTS: In 546 surveys from 11 practices, parents of females were
more supportive of direct-to-teen text message reminders than were parents of
males, (75% v. 60%, p < .001). The median age at which parents would allow direct
text messages from physicians' offices was 14 in females compared to 15 in males,
p = .049. We found a correlation between the child's age and the youngest age at
which parents would allow a direct text message. Of the parents who permitted a
text message directly to their adolescent, most reported an allowable age higher
than their adolescent's current age until the age of 15. CONCLUSION: Our study
suggests that direct-to-adolescent text messaging would be allowed by parents for
older adolescents. This supports an intervention aimed at older adolescents, such
as for receipt of MCV4 dose #2, delayed HPV vaccine series completion and annual
influenza vaccination.
PMID- 29653850
TI - Mumps disease in Beijing in the era of two-dose vaccination policy, 2005-2016.
AB - BACKGROUND: Since the introduction of mumps-containing vaccines (MuCV) in 1995 in
Beijing, two-dose MuCV vaccination policy has been used, with the 1st and 2nd
doses given at 18 months and 6 years of age, respectively. METHODS: Mumps
epidemiology during 2005-2016 was described using surveillance data. Vaccine
effectiveness (VE) of MuCV against disease was estimated for cases born during
2002-2009 and reported in 2016. VE against complications was estimated for all
cases. MuCV coverage was estimated for children born during 1999-2015 using data
from Beijing Immunization Information System. RESULTS: Overall mumps incidence
decreased from 30.38/100,000 persons in 2005 to 10.26/100,000 persons in 2016.
Incidence declines in children aged <15 years. No significant incidence change
occurred in adults aged >=20 years. Incidence in persons aged 15-19 years
increased by 132.73% in 2012 when compared with in 2005. Rates of
meningitis/encephalitis, orchitis, and other complications among cases decreased
during 2005-2016. The majority (97%) of outbreaks occurred in schools. Total
number of outbreaks and average outbreak size decreased during 2005-2016. Among
outbreak-related cases, 69.54%, 29.67% and 0.79% had received 0 dose, 1dose and 2
doses of MuCV, respectively. Coverage of the 1st MuCV dose at 2-5 years of age
increased by 42.75% during 2005-2016. Coverage of the 2nd MuCV dose at 6-14 years
of age increased by 12.87% during 2013-2016. Overall VE estimates of MuCV against
mumps disease were 74.51% (95% CI: 65.57-81.34%) for 1 dose and 83.16% (95% CI:
78.60-86.31%) for 2 doses. Both VE estimates increased by birth cohorts. VE
estimate against complications for 2-dose MuCV was higher than for 1 dose.
CONCLUSIONS: Increasing MuCV coverage achieved declining mumps incidence and
complication rate. Current epidemiology supported 2-dose MuCV vaccination policy.
The incidence rise in persons aged 15-19 years in 2012 and waning immunity for
the 2nd MuCV dose merited close follow-up.
PMID- 29653851
TI - [Iso Kikuchi syndrome: Congenital onychodysplasia of the index fingers, or COIF
syndrome].
PMID- 29653852
TI - [Cutaneous localization of Rosai-Dorfman Disease].
PMID- 29653853
TI - [Alopecia areata under ipilimumab].
PMID- 29653854
TI - Esophagectomy in patients with liver cirrhosis: a systematic review and Bayesian
meta-analysis.
AB - INTRODUCTION: Patients with esophageal carcinoma and concomitant liver cirrhosis
carry a high operative risk and may be denied esophagectomy. We performed a
systematic review of the literature and meta-analysis to investigate
postoperative outcomes in these patients. METHODS: Studies reporting outcomes
after esophagectomy in patients with liver cirrhosis were searched in Medline,
Embase, Cochrane Library, ISI Web of Science, and Scopus until June 2017,
matching the terms "liver cirrhosis", "esophageal neoplasm" and/or "esophageal
surgery". Extracted data included study characteristics, demographic and clinical
patient characteristics, type of surgical procedure, and postoperative outcomes.
A systematic review and Bayesian meta-analysis were performed. RESULTS: Five
observational, retrospective and single-arm studies with a total of 157 patients
were included. The main cause of death was liver failure followed by
pneumonia/sepsis and anastomotic leak. Ascites and pleural effusion were the most
frequent postoperative complications (pooled rates 36% and 34%, respectively).
The pooled morbidity rate was 74% (95% HPD=46-81%) while the pooled mortality was
18% (95% HPD=17-27%). Study heterogeneity (tau2) was low, ranging from 0.046 to
0.080. An incidental diagnosis of liver cirrhosis was reported in 15.6% of
patients in one series. Five-year survival was similar between cirrhotic and non
cirrhotic patients but was statistically significantly higher in patients with
MELD score<10. CONCLUSIONS: Sound scientific evidence with regard to efficacy and
outcomes of esophagectomy in patients with concomitant liver cirrhosis is
lacking. There is a need to properly select these frail patients to reduce
postoperative morbidity and mortality rates.
PMID- 29653855
TI - Determination of Ra-226, Ra-228 and K-40 specific activities in samples of
mineral fertilizers marketed in the city of Rio de Janeiro, Brazil.
AB - Fertilizer samples were collected in the city of Rio de Janeiro and were analyzed
using HPGe detector. The specific activities of Ra-226 ranged from 1.48 Bq/kg to
597 Bq/kg, of Ra-228 from 2.66 Bq/kg to 832 Bq/kg and of K-40 from 16 Bq/kg to
13941 Bq/kg. The risk to human health was found to be negligible.
PMID- 29653856
TI - OSL properties of KMgF3:Tm3+ for dosimetric applications as OSL dosimeter.
AB - The optically stimulated luminescence (OSL) properties of undoped and Tm3+-doped
KMgF3 fluoroperovskite have been investigated for the first time. KMgF3 compounds
were synthesized by solid state reaction method and two different procedures were
employed to improve the contact among the reagents, namely, the compressed powder
was put either in an alumina crucible or in a platinum foil. The maximum OSL
emission was found in samples prepared in an alumina crucible and doped with 0.5%
mol of thulium. The radioluminescence (RL) spectrum shows two emission peaks at
455 and 360 nm, which can be ascribed to the 1D2-3F4 and 1D2-3H6 transitions of
Tm3+ cations. The OSL dosimetric properties of the most promising composition,
namely, KMgF3:Tm3+ (0.5% mol), have also been determined and analyzed. This
compound exhibits good linearity in the dose range from 0.1 up to 100 Gy and
satisfactory repeatability with a percentage standard deviation of 2.4%.
Therefore, an OSL fading of approximately 75% in the first 36 h of storage is
observed and then, the response remains almost constant. These characteristics,
together with a minimum detectable dose of 0.04 Gy and the rapid erasing of the
OSL signal after 100 s of stimulation, which makes feasible to bleach completely
the residual OSL in order to restore the sample between dose measurements,
suggest the potential of this perovskite as OSL dosimeter.
PMID- 29653857
TI - A Randomized Phase II Study of FOLFOX6/Bevacizumab With or Without Pelareorep in
Patients With Metastatic Colorectal Cancer: IND.210, a Canadian Cancer Trials
Group Trial.
AB - BACKGROUND: Oncolytic reovirus pelareorep might preferentially infect and destroy
rat sarcoma (RAS)-activated cells, and has preclinical and early clinical
activity against colorectal cancer (CRC). PATIENTS AND METHODS: After a 6-patient
safety run-in, 103 patients with metastatic CRC were randomly assigned to
standard first-line leucovorin/5-FU/oxaliplatin (FOLFOX6)/bevacizumab
(FOLFOX/BEV) every 2 weeks with (n = 51) or without (n = 52) pelareorep 3 * 1010
tissue culture infective dose 50 on days 1 to 5 (cycles 1, 2, 4, and alternate
cycles thereafter). The primary end point was progression-free survival (PFS).
Secondary end points included overall survival (OS), objective response rate
(ORR), quality of life, and correlative analyses. RESULTS: At 13 months' median
follow-up, PFS was inferior in the pelareorep arm (median 7 vs. 9 months; hazard
ratio [HR], 1.59 [80% confidence interval (CI), 1.18-2.15]; P = .046). There was
no statistical difference in OS (median, 19.2 vs. 20.1 months; HR, 1.22; P =
.38). An increased ORR was observed with pelareorep (adjusted odds ratio, 2.52
[80% CI, 1.44-4.41]; P = .03), but with a shorter median duration of response (5
vs. 9 months; P = .028). Pelareorep patients experienced more hypertension and
proteinuria, and were more likely to omit bevacizumab before progression. A trend
to lower dose intensity and shorter oxaliplatin and bevacizumab treatment
duration was observed with pelareorep. CONCLUSION: Combination pelareorep with
FOLFOX/BEV was tolerable with an increased ORR, but PFS was inferior. Subgroup
analysis of baseline variables including Kirsten rat sarcoma oncogene did not
identify subgroups with PFS benefit. Decreased treatment intensity with standard
agents likely contributed to the lack of benefit with pelareorep. Future studies
might consider alternate pelareorep/chemotherapy strategies or combination
therapy with novel agents.
PMID- 29653859
TI - Chemodenervation for the Treatment of Facial Dystonia: A Report by the American
Academy of Ophthalmology.
AB - PURPOSE: To review the medical literature on the outcomes and complications of
various Food and Drug Administration-approved botulinum toxins for benign
essential blepharospasm (BEB) and hemifacial spasm (HFS). METHODS: Literature
searches were last conducted in February 2017 in PubMed for articles published in
English and in the Cochrane Library database without language limitations;
studies published before 2000 were excluded. The combined searches yielded 127
citations. Of these, 13 articles were deemed appropriate for inclusion in this
assessment, and the panel methodologist assigned ratings to them according to the
level of evidence. RESULTS: A combined total of 1523 patients (1143 with BEB and
380 with HFS) were included in the 13 studies. Five studies provided level I
evidence, 2 studies provided level II evidence, and 6 studies provided level III
evidence. Pretarsal injections were more efficacious than preseptal injections
(96% vs. 86%, respectively). Pretarsal injections also resulted in a higher
response rate on clinical scales (P < 0.05) and a longer duration of maximum
response for both HFS and BEB. Patients with HFS require lower overall doses of
onabotulinumtoxinA than patients with BEB for a similar duration of effect.
Adverse events were dose related, and they occurred more frequently in patients
who were given more units. CONCLUSIONS: Level I evidence supports the efficacy of
Botox (Allergan Corp., Irvine, CA), Meditoxin, and Xeomin (Merz Pharmaceuticals,
Frankfurt am Main, Germany) for the treatment of BEB. Meditoxin and Botox have
equivalent effectiveness and incidence of adverse events for BEB and HFS. Dysport
(Ipsen Biopharmaceuticals, Inc, Paris, France) seems to have efficacy similar to
Botox and Meditoxin for BEB and HFS, but any definitive conclusions from the 2
level II studies in this review are limited by differences in the methodologies
used. Higher doses of Botox and Dysport result in more adverse events. Repeated
treatments using Botox seem to maintain efficacy for treatment of facial
dystonias over a follow-up period of at least 10 years, based on level III
evidence.
PMID- 29653858
TI - Phase II Randomized, Double-Masked, Vehicle-Controlled Trial of Recombinant Human
Nerve Growth Factor for Neurotrophic Keratitis.
AB - PURPOSE: To evaluate the safety and efficacy of topical recombinant human nerve
growth factor (rhNGF) for treating moderate-to-severe neurotrophic keratitis
(NK), a rare degenerative corneal disease resulting from impaired corneal
innervation. DESIGN: Phase II multicenter, randomized, double-masked, vehicle
controlled trial. PARTICIPANTS: Patients with stage 2 (moderate) or stage 3
(severe) NK in 1 eye. METHODS: The REPARO phase II study assessed safety and
efficacy in 156 patients randomized 1:1:1 to rhNGF 10 MUg/ml, 20 MUg/ml, or
vehicle. Treatment was administered 6 drops per day for 8 weeks. Patients then
entered a 48- or 56-week follow-up period. Safety was assessed in all patients
who received study treatment, whereas efficacy was by intention to treat. MAIN
OUTCOME MEASURES: Corneal healing (defined as <0.5-mm maximum diameter of
fluorescein staining in the lesion area) was assessed by masked central readers
at week 4 (primary efficacy end point) and week 8 (key secondary end point) of
controlled treatment. Corneal healing was reassessed post hoc by masked central
readers using a more conservative measure (0-mm staining in the lesion area and
no other persistent staining). RESULTS: At week 4 (primary end point), 19.6% of
vehicle-treated patients achieved corneal healing (<0.5-mm lesion staining)
versus 54.9% receiving rhNGF 10 MUg/ml (+35.3%; 97.06% confidence interval [CI],
15.88-54.71; P < 0.001) and 58.0% receiving rhNGF 20 MUg/ml (+38.4%; 97.06% CI,
18.96-57.83; P < 0.001). At week 8 (key secondary end point), 43.1% of vehicle
treated patients achieved less than 0.5-mm lesion staining versus 74.5% receiving
rhNGF 10 MUg/ml (+31.4%; 97.06% CI, 11.25-51.49; P = 0.001) and 74.0% receiving
rhNGF 20 MUg/ml (+30.9%; 97.06% CI, 10.60-51.13; P = 0.002). Post hoc analysis of
corneal healing by the more conservative measure (0-mm lesion staining and no
other persistent staining) maintained statistically significant differences
between rhNGF and vehicle at weeks 4 and 8. More than 96% of patients who healed
after controlled rhNGF treatment remained recurrence free during follow-up.
Treatment with rhNGF was well tolerated; adverse effects were mostly local, mild,
and transient. CONCLUSIONS: Topical rhNGF is safe and more effective than vehicle
in promoting healing of moderate-to-severe NK.
PMID- 29653860
TI - A Deep Learning Algorithm for Prediction of Age-Related Eye Disease Study
Severity Scale for Age-Related Macular Degeneration from Color Fundus
Photography.
AB - PURPOSE: Age-related macular degeneration (AMD) is a common threat to vision.
While classification of disease stages is critical to understanding disease risk
and progression, several systems based on color fundus photographs are known.
Most of these require in-depth and time-consuming analysis of fundus images.
Herein, we present an automated computer-based classification algorithm. DESIGN:
Algorithm development for AMD classification based on a large collection of color
fundus images. Validation is performed on a cross-sectional, population-based
study. PARTICIPANTS: We included 120 656 manually graded color fundus images from
3654 Age-Related Eye Disease Study (AREDS) participants. AREDS participants were
>55 years of age, and non-AMD sight-threatening diseases were excluded at
recruitment. In addition, performance of our algorithm was evaluated in 5555
fundus images from the population-based Kooperative Gesundheitsforschung in der
Region Augsburg (KORA; Cooperative Health Research in the Region of Augsburg)
study. METHODS: We defined 13 classes (9 AREDS steps, 3 late AMD stages, and 1
for ungradable images) and trained several convolution deep learning
architectures. An ensemble of network architectures improved prediction accuracy.
An independent dataset was used to evaluate the performance of our algorithm in a
population-based study. MAIN OUTCOME MEASURES: kappa Statistics and accuracy to
evaluate the concordance between predicted and expert human grader
classification. RESULTS: A network ensemble of 6 different neural net
architectures predicted the 13 classes in the AREDS test set with a quadratic
weighted kappa of 92% (95% confidence interval, 89%-92%) and an overall accuracy
of 63.3%. In the independent KORA dataset, images wrongly classified as AMD were
mainly the result of a macular reflex observed in young individuals. By
restricting the KORA analysis to individuals >55 years of age and prior exclusion
of other retinopathies, the weighted and unweighted kappa increased to 50% and
63%, respectively. Importantly, the algorithm detected 84.2% of all fundus images
with definite signs of early or late AMD. Overall, 94.3% of healthy fundus images
were classified correctly. CONCLUSIONS: Our deep learning algoritm revealed a
weighted kappa outperforming human graders in the AREDS study and is suitable to
classify AMD fundus images in other datasets using individuals >55 years of age.
PMID- 29653861
TI - Phase I Trial of Recombinant Human Nerve Growth Factor for Neurotrophic
Keratitis.
PMID- 29653862
TI - Influence of low FODMAP and gluten-free diets on disease activity and intestinal
microbiota in patients with non-celiac gluten sensitivity.
AB - BACKGROUND & AIMS: Non-celiac gluten sensitivity (NCGS) is characterized by
intestinal and extra-intestinal symptoms triggered by ingestion of gluten.
However, non-gluten triggers have recently been implicated, and a FODMAP
(fermentable oligo-, di-, monosaccharides and polyols)-reduced diet can partially
improve symptoms in NCGS. Our aim was to analyze the effect of a low FODMAP
versus a gluten-free diet (GFD) on clinical symptoms, psychological well-being,
intestinal inflammation and integrity, and stool microbiota. METHODS: Nineteen
patients with NCGS and ten healthy controls consumed a gluten-containing standard
diet before starting a two-week low FODMAP diet; after a five day transition
period, participants ingested a GFD for another two weeks. The primary outcome
measure was the improvement of clinical symptoms in NCGS patients under the
different diets. Secondary outcomes were the determination of dietary effects on
intestinal inflammation, psychological well-being, and differences in stool
microbiota between NCGS patients and controls. RESULTS: The low FODMAP diet and
especially the GFD led to a significant improvement of clinical and psychological
symptoms in NCGS. A clear reduction in duodenal intraepithelial lymphocytes and
mucin-producing Goblet cells was found after the GFD in these patients.
Significant microbial differences between NCGS patients and controls were noticed
in stool samples at every time point. Both diets caused microbial shifts in all
participants, with a greater variability on genus level and metabolisms groups in
NCGS patients. CONCLUSIONS: Our findings suggest a multifactorial etiology of
NCGS, due to a functional effect caused by FODMAPs, combined with a mild gluten
triggered immune reaction, and a microbiota dysbalance. CLINICALTRIAL. GOV ID:
NCT03268720.
PMID- 29653863
TI - Associations of body adiposity index, waist circumference, and body mass index in
young adults.
AB - BACKGROUND & AIMS: The Body Adiposity Index (BAI) is an anthropometric measure
developed to estimate body composition in field settings. Although this novel
measure has been validated against clinical measures of adiposity (%Fat), the
relative accuracy of other anthropometric measures, such as Body Mass Index
(BMI), and waist circumference (WC), have not been assessed in comparison to the
BAI using a 4-compartment (4C) model. PURPOSE: The primary aim of this study was
to examine the association between BMI, WC, BAI, and %Fat in young adults, and
determine the relative accuracy of each anthropometric measure when predicting
%Fat. METHODS: BMI, WC, and BAI were assessed in a sample of young adults (n =
188, 48.4% female, 21.8 +/- 4.8 years). %Fat assessed using the 4C model was
derived from underwater weighing for body density, dual-energy X-ray
absorptiometry for bone mineral content, and bioimpedance spectroscopy for total
body water. Bivariate associations were assessed using Pearson's r, with the
relative accuracy of each measure assessed using multivariate linear regression
and compared using Akaike's information criterion, R2 and DeltaR2 statistics.
RESULTS: BMI, WC, and BAI were associated with %Fat (r = 0.192, 0.194, and 0.668,
respectively, all p < .01). WC and BAI collectively explained 46.3% of the
variation in %Fat, and removing BAI significantly reduced model fit (p < .001).
When stratified by sex, BAI provided greater accuracy when predicting %Fat beyond
WC in men (DeltaR2 = 2.6%, p = .022), but not women (DeltaR2 = 2.1%, p = .078).
CONCLUSION: WC and BAI are more strongly associated with %Fat as measured by the
4C model than BMI in young adults.
PMID- 29653864
TI - Opinions and practices of healthcare professionals on assessment of disease
associated malnutrition in children: Results from an international survey.
AB - BACKGROUND & AIMS: Lack of consensus on clinical indicators for the assessment of
pediatric disease associated malnutrition (DAM) may explain its under-recognition
in clinical practice. This study surveyed the opinions of health professionals
(HP) on clinical indicators of DAM and barriers impeding routine nutritional
screening in children. METHODS: Web-based questionnaire survey (April 2013-August
2015) in Australia, Belgium, Israel, Spain, The Netherlands, Turkey and UK.
RESULTS: There were 937 questionnaires returned via local professional
associations, of which 693 respondents fulfilled the inclusion criteria and were
included in the final analysis; 315 pediatric gastroenterologists and 378
pediatric dieticians. The most important clinical indicators of DAM were ongoing
weight loss (80.4%), increased energy/nutrient losses (73.0%), suboptimal
energy/macronutrient intake (68.6%), a high nutritional risk condition (67.2%)
and increased energy/nutrient requirements (66.2%). These findings were
consistent across countries and professions. The most common approach to screen
for DAM was assessment of weight changes (85%), followed by the usage of growth
charts (77-80%). Common perceived barriers for routine nutritional
screening/assessment were low staff awareness (47.5%), no local policy or
guidelines (33.4%) and lack of time to screen (33.4%). CONCLUSIONS: HP who
routinely assess and treat children with DAM identified ongoing weight loss,
increased losses, increased requirements, low intake and high nutritional risk
conditions as the most important clinical indicators of DAM. These clinical
indicators should now serve as a basis to form clinical-based criteria for the
identification of DAM in routine clinical practice. Low awareness, lack of
guidelines or local policy and lack of resources were the most important barriers
of routine screening.
PMID- 29653865
TI - Vaccination status and needs of asylum-seeking children in Denmark: a
retrospective data analysis.
AB - OBJECTIVES: Asylum seekers to Europe may come from war-torn countries where
health systems have broken down, and there is evidence that asylum-seeking
children have low coverage of childhood vaccinations, as well as uptake of
immunisations in host countries. Such gaps in immunisation have important
implications for effective national vaccination programmes. How we approach
vaccination in children and adults entering Western Europe, where as a group they
face barriers to health services and screening, is a growing debate; however,
there are limited data on the vaccination status of these hard-to-reach
communities, and robust evidence is needed to inform immunisation strategies. The
aim of this study was to explore the vaccination status and needs of asylum
seeking children and adolescents in Denmark. STUDY DESIGN: We conducted a
retrospective data analysis of anonymised patient records for asylum-seeking
children and adolescents extracted from the Danish Red Cross database. METHODS:
We retrospectively searched the Danish Red Cross database for children and
adolescents (aged 3 months-17 years) with active asylum applications in Denmark
as of October 28, 2015. Data were extracted for demographic characteristics,
vaccination status and vaccinations needed by asylum-seeking children presenting
to Red Cross asylum centres for routine statutory health screening. RESULTS: We
explored the vaccination status and needs of 2126 asylum-seeking children and
adolescents. About 64% of the study population were male and 36% were female.
Eight nationalities were represented, where 33% of the total of children and
adolescents were not immunised in accordance with Danish national guidelines,
while 7% were considered partly vaccinated, and 60% were considered adequately
vaccinated. Afghan (57% not vaccinated/unknown) and Eritrean (54% not
vaccinated/unknown) children were the least likely to be vaccinated of all
nationalities represented, as were boys (37% not vaccinated/unknown) compared
with girls (27% not vaccinated/unknown) and children and adolescents aged between
12 and 17 years (48% not vaccinated/unknown) compared with 6- to 11-year olds
(26%) and 0- to 5-year olds (22%). The health screenings resulted in 1328
vaccinations. The most commonly needed vaccines were diphtheria, tetanus,
pertussis, polio and Haemophilus influenzae type b, (DTaP/IPV/Hib) which
comprised 49% of the vaccines distributed, followed by the pneumococcal vaccine
(Prevnar) (28%) and measles, mumps and rubella (MMR) vaccine (23%). CONCLUSIONS:
The finding that nearly one-third of asylum-seeking children and adolescents in
Denmark were in need of further vaccinations highlights the gaps in immunisation
coverage in these populations. These results point to the need to improve access
to health services and promote national vaccine programmes targeted at these
communities to facilitate vaccination uptake and increase immunisation coverage
to reduce the risk of preventable infectious diseases among asylum-seeking
children.
PMID- 29653866
TI - Structural violence and marginalisation. The sexual and reproductive health
experiences of separated young people on the move. A rapid review with relevance
to the European humanitarian crisis.
AB - OBJECTIVE: To explore the main sexual and reproductive health (SRH) issues for
separated young migrants. STUDY DESIGN: We conducted a rapid review. METHODS: The
search for articles published between 2000 and June 2017 including peer-reviewed
and 'grey' published literature from a range of databases including MEDLINE,
AMED, Embase, ASSIA, Scopus, Web of Science and websites of international
organisations (Missing Children Alliance, United Nations Population Fund (UNFPA),
World Health Organization (WHO), United Nations Human Rights Council (UNHRC),
Human Rights Watch, United Nations Children's Fund (UNICEF) and FBX Centre for
Health and Human Rights) took place over 4 months. Themes emerging from the
included studies and articles were synthesised. RESULTS: We found 44 articles
from a range of countries of which 64% were peer-reviewed and 36% were from
'grey' literature. Structural violence and marginalisation were the key
analytical themes that emerged and included young people's vulnerability to
violence, unmet knowledge and service needs, barriers and stigma and poor SRH
outcomes. CONCLUSIONS: This is the first known review to summarise the key SRH
issues for separated young migrants. As Europe hosts the greatest number of
separated young people in recent history, their unique SRH concerns risk being
overlooked. Public health practitioners and policy makers are encouraged to
challenge the gaps that exist in their services.
PMID- 29653867
TI - Migration and its influence on the knowledge and usage of birth control methods
among Afghan women who stay behind.
AB - OBJECTIVE: The objective of this article is to investigate the link between
migration and knowledge and use of birth control methods among female household
members (of migrants) who stay behind in Afghanistan. Migrants can remit birth
control information received in the destination country to non-migrants staying
in the origin country, who may as a consequence adjust their health behaviour
accordingly. The consequences of this interaction for knowledge and use are what
we aim to test. STUDY DESIGN: Population-based secondary analysis of cross
sectional data. METHODS: This study used cross-sectional data from the Afghan
Mortality Survey (2010). Using ordinary least squares regression and propensity
score matching, this research studies to what extent having a migrant in the
household influences the knowledge and use of birth control among non-migrant
Afghan women. Women who stay behind are defined in this research as those with a
migrant household member who moved between 2005 and 2010. RESULTS: Results
indicated that non-Pashtun women with a migrant household member showed greater
knowledge of contraceptive methods using injectables, birth control pill and
lactational amenorrhea method compared to those women without a migrant household
member. Less knowledge of male sterilisation and emergency contraception is
observed for all women (both Pashtun and non-Pashtun) with a migrant in their
household on male sterilisation and emergency contraception compared to the women
without a migrant in the household. In addition, we show that Pashtun women with
a migrant in the household had lower levels of overall knowledge and were less
likely to use birth control methods than women without a migrant household
member. CONCLUSION: In Afghanistan, given the proximity, religious similarity and
sociocultural customs mainly men migrate either to Pakistan or Iran. The findings
suggest that migrants in different destination countries transfer different
information (or fail to successfully transfer information) about birth control
methods to members of their transnational networks, compounding disparities in
knowledge and use of birth control methods among women staying in the origin
country. Migrants have the potential to be health-related development agents, but
the health information migrants receive while abroad and remit back to their home
countries varies by destination country context.
PMID- 29653868
TI - Clinicopathological investigation of odontogenic fibroma in tuberous sclerosis
complex.
AB - Tuberous sclerosis complex (TSC) is an autosomal dominant inherited disease
characterized by systemic hamartoma and diverse systemic features. TSC1 and TSC2
are the causative genes, and mental retardation, epileptic seizures, and facial
angiofibroma develop in many patients with the disease. The case of a patient
with TSC who developed a central odontogenic fibroma of the mandible is reported
here. The patient was a 21-year-old woman who was referred with a swelling of the
labial gingiva in the region of the right lower lateral incisor and canine.
Dental radiography revealed a multilocular radiolucent region with a clear
boundary. The right lower lateral incisor and canine were continuous with the
lesion and thus were excised en bloc. The lesion was encapsulated and easily
dissected. The diagnosis on immunohistological staining was odontogenic fibroma
without an epithelial component. TSC1/2 gene mutation causes abnormal activation
of mammalian target of rapamycin (mTOR) downstream of the PI3K-AKT pathway. The
odontogenic fibroma in this patient was positive for mTOR, suggesting that the
development of the odontogenic fibroma was the result of abnormal activation of
mTOR, as in angiofibroma. The clinical course of this patient is presented and
the developmental mechanism of central odontogenic fibroma is discussed.
PMID- 29653869
TI - Assessing an oral surgery specific protocol for patients on direct oral
anticoagulants: a retrospective controlled cohort study.
AB - Chronic therapy with the new direct oral anticoagulants (DOACs) poses new
challenges for dental practitioners assessing the risk versus benefit of
cessation versus non-cessation of anticoagulant therapy for dentoalveolar
procedures. A retrospective controlled cohort study was designed to evaluate a
non-cessation protocol for patients taking DOACs in the setting of dental
extractions. A records review covering the period 1 January 2016 to 31 December
2016 identified 43 patients on DOAC therapy; 53 dentoalveolar procedures were
performed under local anaesthesia, of which 15 included varying degrees of peri
procedural cessation. A control group of 50 patients on uninterrupted warfarin
therapy undergoing 59 dentoalveolar procedures was identified. The incidence,
severity, and timing of bleeding events were recorded for each group. Four
(10.5%) minor bleeding events were recorded in the non-cessation DOAC group and
nine (15.3%) minor bleeding events in the warfarin group. No bleeding events were
recorded in the DOAC cessation group. Comparison of the incidence of bleeding
events between the non-cessation DOAC group and the warfarin group showed no
statistically significant difference (odds ratio 0.65, P=0.56). Within the
limitations of this study, dental extractions in the context of continuing DOAC
therapy can be performed safely provided extra local haemostatic measures are
applied.
PMID- 29653870
TI - Palatal orthodontic miniscrew insertion using a CAD-CAM surgical guide:
description of a technique.
AB - The aim of this report was to describe a new computer-guided technique for a
controlled site preparation and palatal orthodontic miniscrew insertion using a
dedicated software. A surgical guide was designed after planning the appropriate
insertion sites on three-dimensional images created by the fusion of cone-beam
computed tomography (CBCT) and digital dental model images. Pre- and
postoperative CBCT images were compared and the angular, coronal, and apical
deviations between the planned and the placed miniscrews were calculated. The
mean coronal and apical deviations were 1.38mm (range: 3.48-0.15mm; standard
deviation (SD): 0.65) and 1.73mm (range: 5.41-0.10mm; SD: 1.03), respectively,
while the mean angular deviation was 4.60 degrees (range: 15.23-0.54 degrees ;
SD: 2.54). The present surgical guide allows a controlled and accurate palatal
miniscrew placement in three dimensions.
PMID- 29653872
TI - Facile synthesis of semi-library of low charge density cationic polyesters from
poly(alkylene maleate)s for efficient local gene delivery.
AB - Cationic polymers are one of the main non-viral vectors for gene therapy, but
their applications are hindered by the toxicity and inefficient transfection,
particularly in the presence of serum or other biological fluids. While rational
design based on the current understanding of gene delivery process has produced
various cationic polymers with improved overall transfection, high-throughput
parallel synthesis of libraries of cationic polymers seems a more effective
strategy to screen out efficacious polymers. Herein, we demonstrate a novel
platform for parallel synthesis of low cationic charge-density polyesters for
efficient gene delivery. Unsaturated polyester poly(alkylene maleate) (PAM)
readily underwent Michael-addition reactions with various mercaptamines to
produce polyester backbones with pendant amine groups, poly(alkylene maleate
mercaptamine)s (PAMAs). Variations of the alkylenes in the backbone and the
mercaptamines on the side chain produced PAMAs with tunable hydrophobicity and
DNA-condensation ability, the key parameters dominating transfection efficiency
of the resulting polymer/DNA complexes (polyplexes). A semi-library of such PAMAs
was exampled from 7 alkylenes and 18 mercaptamines, from which a lead PAMA, G-1,
synthesized from poly(1,4-phenylene bis(methylene) maleate) and N,N
dimethylcysteamine, showed remarkable transfection efficiency even in the
presence of serum, owing to its efficient lysosome-circumventing cellular uptake.
Furthermore, G-1 polyplexes efficiently delivered the suicide gene pTRAIL to
intraperitoneal tumors and elicited effective anticancer activity.
PMID- 29653871
TI - Photopolymerized dynamic hydrogels with tunable viscoelastic properties through
thioester exchange.
AB - The extracellular matrix (ECM) constitutes a viscoelastic environment for cells.
A growing body of evidence suggests that the behavior of cells cultured in
naturally-derived or synthetic ECM mimics is influenced by the viscoelastic
properties of these substrates. Adaptable crosslinking strategies provide a means
to capture the viscoelasticity found in native soft tissues. In this work, we
present a covalent adaptable hydrogel based on thioester exchange as a
biomaterial for the in vitro culture of human mesenchymal stem cells. Through
control of pH, gel stoichiometry, and crosslinker structure, viscoelastic
properties in these crosslinked networks can be modulated across several orders
of magnitude. We also propose a strategy to alter these properties in existing
networks by the photo-uncaging of the catalyst 4-mercaptophenylacetic acid.
Mesenchymal stem cells encapsulated in thioester hydrogels are able to elongate
in 3D and display increased proliferation relative to those in static networks.
PMID- 29653873
TI - Long-term risk of dementia among people with traumatic brain injury in Denmark: a
population-based observational cohort study.
AB - BACKGROUND: Traumatic brain injury (TBI) has been associated with increased risk
of dementia; however, large-scale studies with long follow-up have been scarce.
We investigated the association between TBI, including severity and number of
TBIs, and the subsequent long-term risk of dementia. METHODS: We did a nationwide
population-based observational cohort study in Denmark using information on
citizens from national registries. We used the Danish Civil Registration System
to establish a population-based cohort consisting of all people born in Denmark
who were living in the country on Jan 1, 1995, and who were at least 50 years old
at some point during follow-up (between 1999 and 2013). We obtained information
on TBIs from the Danish National Patient Register (NPR), and obtained information
on dementia by combining data recorded in the NPR, the Danish Psychiatric Central
Register, and the Danish National Prescription Registry (DNPR). The long-term
risk of dementia after TBI was established using survival analysis. We used three
prespecified models for each of the three analyses: different time periods since
the TBI, multiple TBIs, and sex. The first model adjusted for sociodemographic
factors, the second model added medical and neurological comorbidities, and the
third added psychiatric comorbidities. FINDINGS: We used data from a cohort of 2
794 852 people for a total of 27 632 020 person-years (mean 9.89 years per
patient) at risk of dementia. 132 093 individuals (4.7%) had at least one TBI
during 1977-2013, and 126 734 (4.5%) had incident dementia during 1999-2013. The
fully adjusted risk of all-cause dementia in people with a history of TBI was
higher (hazard ratio [HR] 1.24, 95% CI 1.21-1.27) than in those without a history
of TBI, as was the specific risk of Alzheimer's disease (1.16, 1.12-1.22). The
risk of dementia was highest in the first 6 months after TBI (HR 4.06, 3.79-4.34)
and also increased with increasing number of events (1.22, 1.19-1.25 with one TBI
to 2.83, 2.14-3.75 with five or more TBIs). Furthermore, TBI was associated with
a higher risk of dementia (1.29, 1.26-1.33) in people with TBI than in
individuals with a non-TBI fracture not involving the skull or spine. The younger
a person was when sustaining a TBI, the higher the HRs for dementia when
stratified by time since TBI. INTERPRETATION: TBI was associated with an
increased risk of dementia both compared with people without a history of TBI and
with people with non-TBI trauma. Greater efforts to prevent TBI and identify
strategies to ameliorate the risk and impact of subsequent dementia are needed.
FUNDING: Lundbeck Foundation.
PMID- 29653874
TI - Traumatic brain injury and dementia.
PMID- 29653875
TI - Toward precision manufacturing of immunogene T-cell therapies.
AB - Cancer can be effectively targeted using a patient's own T cells equipped with
synthetic receptors, including chimeric antigen receptors (CARs) that redirect
and reprogram these lymphocytes to mediate tumor rejection. Over the past two
decades, several strategies to manufacture genetically engineered T cells have
been proposed, with the goal of generating optimally functional cellular products
for adoptive transfer. Based on this work, protocols for manufacturing clinical
grade CAR T cells have been established, but these complex methods have been used
to treat only a few hundred individuals. As CAR T-cell therapy progresses into
later-phase clinical trials and becomes an option for more patients, a major
consideration for academic institutions and industry is developing robust
manufacturing processes that will permit scaling-out production of immunogene T
cell therapies in a reproducible and efficient manner. In this review, we will
discuss the steps involved in cell processing, the major obstacles surrounding T
cell manufacturing platforms and the approaches for improving cellular product
potency. Finally, we will address the challenges of expanding CAR T-cell therapy
to a global patient population.
PMID- 29653876
TI - Artificial intelligence in clinical imaging: An introduction.
PMID- 29653877
TI - Anaphylaxis in late pregnancy: plasma concentrations of histamine, tryptase and
IgE in the neonate.
PMID- 29653878
TI - Argon laser peripheral iridoplasty and anterior segment optical coherence
tomography in the management of acute angle closure in the 21st century.
PMID- 29653879
TI - [Acquired hemophilia A associated with chronic myelomonocytic leukemia
successfully treated by rituximab and azacitidine].
AB - INTRODUCTION: Acquired hemophilia A is a factor VIII deficiency related to anti
factor VIII immunoglobulins. We are reporting the case of a patient with acquired
hemophilia A related to chronic myelomonocytic leukemia. CASE REPORT: A 74-years
old woman had a spontaneous calf hematoma with compression syndrome. Coagulation
tests revealed an extended partial thromboplastin time and a factor VIII
deficiency with circulating factor VIII antibodies. Chronic myelomonocytic
leukemia was diagnosed in the same time. Initial management included hemostatic
transfusion and steroids and was completed rituximab and azacitidine. Evolution
was favorable. CONCLUSION: We report a case of acquired hemophilia A complicating
a chronic myelomonocytic leukemia. Management with steroids, rituximab and
azacitidine was successful. Useful of rituximab during acquired hemophilia is
proposed.
PMID- 29653881
TI - Battlefield to Bedside: Bringing Precision Medicine to Surgical Care.
PMID- 29653880
TI - Gastric parietal cell and thyroid autoantibodies in Behcet's disease patients
with or without atrophic glossitis.
AB - BACKGROUND/PURPOSE: Behcet's disease (BD) patients should have recurrent aphthous
stomatitis (RAS) but they may or may not have atrophic glossitis (AG). This study
mainly assessed the frequencies of serum gastric parietal cell antibody (GPCA),
thyroglobulin antibody (TGA), and thyroid microsomal antibody (TMA) positivities
in 30 AG-positive RAS/BD (AG+RAS/BD) and 33 AG-negative RAS/BD (AG?RAS/BD)
patients. METHODS: The frequencies of serum GPCA, TGA, and TMA positivities in 30
AG+RAS/BD patients, 33 AG?RAS/BD patients, and 126 healthy control subjects were
calculated and compared. RESULTS: We found that 20.0%, 30.0%, and 26.7% of 30
AG+RAS/BD patients, 9.1%, 12.1%, and 15.2% of 33 AG?RAS/BD patients, and 1.6%,
2.4%, and 2.4% of 126 healthy control subject had the presence of GPCA, TGA, and
TMA in their sera, respectively. The 30 AG+RAS/BD patients had significantly
higher frequencies of serum GPCA, TGA, and TMA positivities than healthy control
subjects (all P-values < 0.001). The 33 AG?RAS/BD patients had a higher frequency
of serum TGA positivity (P = 0.051, marginal significance) and a significantly
higher frequency of serum TMA positivity (P = 0.011) than healthy control
subjects. Although the 30 AG+RAS/BD patients had higher frequencies of serum
GPCA, TGA, and TMA positivities than the 33 AG?RAS/BD patients, the differences
were not significant. CONCLUSION: AG+RAS/BD patients do have significantly higher
frequencies of serum GPCA, TGA, and TMA positivities than healthy control
subjects. This finding indicates that the concomitant presence of AG may result
in significantly elevated frequencies of serum GPCA, TGA, and TMA positivities in
BD patients.
PMID- 29653882
TI - Physical and chemical properties of pyrolyzed biosolids for utilization in sand
based turfgrass rootzones.
AB - Biosolids are several forms of treated sewage sludge that are intended for use as
soil conditioners for horticultural, agricultural and industrial crops. The
objectives of this research were to determine the chemical and physical
properties of biosolids pyrolyzed at several different temperatures, and their
effect on perennial ryegrass seed germination and growth. Biosolids were
thermally treated in an oxygen-free (nitrogen atmosphere) retort oven at 300,
400, 500, 700 and 900 degrees C. As pyrolysis temperatures increased, bulk
densities, total surface areas, micropore surface areas, % minerals and pH values
of the pyrolyzed biosolids increased, while carbon percentage decreased compared
to untreated biosolids. Fourier-transform infrared spectroscopy analysis showed
decreased surface functionality as pyrolysis temperature increased. Perennial
ryegrass (Lolium perenne L. 'Nui') plants were grown in mixtures of 10% (v/v)
biosolids or 10% (v/v) of the various pyrolyzed biosolids and 90% coarse sand.
Ryegrass plants grown in the biosolids and the 300 degrees C pyrolyzed biosolids
mixture had the greatest shoot heights of any of the treatments after 4 weeks of
growth. These results indicate that pyrolyzing biosolids at 300 degrees C would
produce material with excellent potential as a long-term peat replacement for
water and nutrient retention in sand-based rootzones.
PMID- 29653883
TI - Characterization of herb residue and high ash-containing paper sludge blends from
fixed bed pyrolysis.
AB - High ash-containing paper sludge which is rich in various metal oxides is
employed in herb residue pyrolysis to enhance the yield of fuel gas and reduce
tar yield in a drop tube fixed bed reactor. Effects of heat treatment temperature
and blending ratio of paper sludge on the yields and composition of pyrolysis
products (gas, tar and char) were investigated. Results indicate that paper
sludge shows a significantly catalytic effect during the pyrolysis processes of
herb residue, accelerating the pyrolysis reactions. The catalytic effect resulted
in an increase in gas yield but a decrease in tar yield. The catalytic effect
degree is affected by the paper sludge proportions, and the strongest catalytic
effect of paper sludge is noted at its blending ratio of 50%. At temperature
lower than 900 degrees C, the catalytic effect of paper sludge in the pyrolysis
of herb residue promotes the formation of H2 and CO2, inhibits the formation of
CH4, but shows slight influence on the formations of CO, while the formation of
the four gas components was all promoted at 900 degrees C. SEM results of
residue char show that ash particles from paper sludge adhere to the surface of
the herb residue char after pyrolysis, which may promote the pyrolysis process of
herb residue for more gas releasing. FT-IR results indicate that most functional
groups disappear after pyrolysis. The addition of paper sludge promotes
deoxidisation and aromatization reactions of hetero atoms tars, forming heavier
polycyclic aromatic hydrocarbons and leading to tar yield decrease.
PMID- 29653884
TI - Re: Apalutamide Treatment and Metastasis-free Survival in Prostate Cancer.
PMID- 29653885
TI - Who Should Be Investigated for Haematuria? Results of a Contemporary Prospective
Observational Study of 3556 Patients.
AB - : There remains a lack of consensus among guideline relating to which patients
require investigation for haematuria. We determined the incidence of urinary
tract cancer in a prospective observational study of 3556 patients referred for
investigation of haematuria across 40 hospitals between March 2016 and June 2017
(DETECT 1; ClinicalTrials.gov: NCT02676180) and the appropriateness of age at
presentation in cases with visible (VH) and nonvisible (NVH) haematuria. The
overall incidence of urinary tract cancer was 10.0% (bladder cancer 8.0%, renal
parenchymal cancer 1.0%, upper tract transitional cell carcinoma 0.7%, and
prostate cancer 0.3%). Patients with VH were more likely to have a diagnosis of
urinary tract cancer compared with NVH patients (13.8% vs 3.1%). Older patients,
male gender, and smoking history were independently associated with urinary tract
cancer diagnosis. Of bladder cancers diagnosed following NVH, 59.4% were high
risk cancers, with 31.3% being muscle invasive. The incidence of cancer in VH
patients <45 yr of age was 3.5% (n=7) and 1.0% (n=4) in NVH patients <60 yr old.
Our results suggest that patients with VH should be investigated regardless of
age. Although the risk of urinary tract cancer in NVH patients is low, clinically
significant cancers are detected below the age threshold for referral for
investigation. PATIENT SUMMARY: This study highlights the requirement to
investigate all patients with visible blood in the urine and an age threshold of
>=60 yr, as recommended in some guidelines, as the investigation of nonvisible
blood in the urine will miss a significant number of urinary tract cancers.
Patient preference is important, and evidence that patients are willing to submit
to investigation should be considered in reaching a consensus recommendation for
the investigation of haematuria. International consensus to guide that patients
will benefit from investigation should be developed.
PMID- 29653886
TI - Improving the Cost Efficiency of PD-1/PD-L1 Inhibitors for Advanced Urothelial
Carcinoma: A Major Role for Precision Medicine?
PMID- 29653887
TI - Therapeutic hypothermia and pressure ulcer risk in critically ill intensive care
patients: A retrospective study.
AB - OBJECTIVE: To examine the role of therapeutic hypothermia in pressure ulcer
development in critically ill patients. RESEARCH METHODOLOGY: Retrospective study
in a mixed intensive care unit over 2010-2013. The incidences of pressure ulcers
among patients treated with therapeutic hypothermia (n = 148) and the non
hypothermia patient population (n = 6197) were compared. RESULTS: Patients
treated with hypothermia developed more pressure ulcers (25.0%) than the non
hypothermia group 6.3% (p < 0.001). More patients in the hypothermia group were
rated as the high pressure ulcer risk group, as defined by the modified
Jackson/Cubbin (mJ/C) risk score <=29 than the rest of the patients. Among the
therapeutic hypothermia patients more pressure ulcers tended to emerge in the
lower risk group (mJ/C score >=30) (p = 0.056). Intensive care mortality was
higher in the hypothermia (24.3%) than the non-hypothermia group (9.3%, p <
0.0001). CONCLUSION: Patients treated with therapeutic hypothermia should be
considered at high risk for pressure ulcer development and should be managed
accordingly. The hypothermia may not as such increase the risk for pressure
ulcers, but combined with the severity of the underlying illness, may be more
likely. The pressure ulcer risk in this patient group cannot be reliably assessed
by the Jackson/Cubbin risk scale.
PMID- 29653888
TI - Factors associated with unplanned extubation in the Intensive Care Unit for adult
patients: A systematic review and meta-analysis.
AB - OBJECTIVES: To explore factors associated with unplanned extubation in Intensive
Care Unit for adult patients. RESEARCH METHODOLOGY: A systematic review and meta
analysis were performed of studies identified through Pubmed, CINAHL, Cochrane
Library, PsycINFO and Web of Science published from initiation to September 2017.
Only articles in English were included. The Newcastle-Ottawa Scale was used to
evaluate the quality of the included articles. RESULTS: Ten eligible studies were
identified, encompassing a total of 2092 patients (457 in the unplanned
extubation group; 1635 in the control group). The subsequent meta-analysis
identified significant risk factors for unplanned extubation are male [odds ratio
(OR) 1.54, 95% CI 1.12-2.12; P = 0.008], confusion [OR 0.10, 95% CI 0.05-0.17; P
< 0.00001], physical restraint [OR 3.10, 95% CI 2.21-4.34; P < 0.00001], higher
GCS scores [mean difference (MD) 1.06, 95% CI 0.59-1.52; P < 0.00001] and lower
APACHE II scores [MD -2.26, 95% CI -3.35- -1.16; P < 0.0001]. Renal disease is a
protective factor for unplanned extubation [OR 0.32, 95% CI 0.15-0.70; P =
0.004]. CONCLUSION: Patients were male, confused, having physical restraint, with
higher GCS and lower APACHE II scores are significant risk factors for unplanned
extubation in Intensive Care Unit adult patients.
PMID- 29653889
TI - Novel technique for fabrication of pneumatic ocular prosthesis.
PMID- 29653890
TI - Summary of grip strength measurements obtained in the 2011-2012 and 2013-2014
National Health and Nutrition Examination Surveys.
AB - STUDY DESIGN: Cross-sectional and descriptive study. INTRODUCTION: Supported by
the Centers for Disease Control and Prevention, the National Health and Nutrition
Examination Survey (NHANES) began collecting grip strength data from nationally
representative samples in 2011. PURPOSE OF THE STUDY: To examine the stability of
the grip strength values across 2 data release cycles and provide updated US
population-based grip strength values for 6 to 80 year olds. METHODS: Handgrip
data from 13,676 participants aged 6-80 years were extracted from the NHANES 2011
2014 database. The muscle strength/grip test component measured the isometric
grip strength using a Takei digital handgrip dynamometer (Takei Scientific
Instruments, Shinagawa-Ku, Tokyo). Grip strength values (best of 3 trials for
each hand) were summarized by gender, dominant side, and age group. Grip data
from 2 data release cycles (2011-2012 vs 2013-2014) were compared. RESULTS:
Differences between 2 data release cycles were negligible. Hence, we summarize
grip strength values across the entire 2011-2014 period. The mean grip strength
ranged from 50.3 kg for the dominant hand of 30- to 34-year-old men to 10.0 kg
for the nondominant hand of 6-year-old girls. DISCUSSION: The summary data we
present provide relatively current reference values to which tested individuals
can be compared. However, clinicians and/or researchers should be aware that the
instrumentation and procedures may influence the values. CONCLUSIONS: Results
supported the stability of the NHANES grip strength values across data release
cycles.
PMID- 29653891
TI - Brain functional connectivity of meaning attribution in patients with psychosis:
Preliminary electroencephalographic observations.
PMID- 29653892
TI - Comprehensive pathway analyses of schizophrenia risk loci point to dysfunctional
postsynaptic signaling.
AB - Large-scale genome-wide association studies (GWAS) have implicated many low
penetrance loci in schizophrenia. However, its pathological mechanisms are poorly
understood, which in turn hampers the development of novel pharmacological
treatments. Pathway and gene set analyses carry the potential to generate
hypotheses about disease mechanisms and have provided biological context to
genome-wide data of schizophrenia. We aimed to examine which biological processes
are likely candidates to underlie schizophrenia by integrating novel and powerful
pathway analysis tools using data from the largest Psychiatric Genomics
Consortium schizophrenia GWAS (N=79,845) and the most recent 2018 schizophrenia
GWAS (N=105,318). By applying a primary unbiased analysis (Multi-marker Analysis
of GenoMic Annotation; MAGMA) to weigh the role of biological processes from the
Molecular Signatures Database (MSigDB), we identified enrichment of common
variants in synaptic plasticity and neuron differentiation gene sets. We
supported these findings using MAGMA, Meta-Analysis Gene-set Enrichment of
variaNT Associations (MAGENTA) and Interval Enrichment Analysis (INRICH) on
detailed synaptic signaling pathways from the Kyoto Encyclopedia of Genes and
Genomes (KEGG) and found enrichment in mainly the dopaminergic and cholinergic
synapses. Moreover, shared genes involved in these neurotransmitter systems had a
large contribution to the observed enrichment, protein products of top genes in
these pathways showed more direct and indirect interactions than expected by
chance, and expression profiles of these genes were largely similar among brain
tissues. In conclusion, we provide strong and consistent genetics and protein
interaction informed evidence for the role of postsynaptic signaling processes in
schizophrenia, opening avenues for future translational and psychopharmacological
studies.
PMID- 29653893
TI - Interaction between childhood adversity and functional polymorphisms in the
dopamine pathway on first-episode psychosis.
AB - BACKGROUND: There is consistent evidence of a cumulative relationship between
childhood adversity and psychosis, with number of adversities experienced
increasing the probability of psychosis onset. It is possible that genetic
factors moderate the association between childhood adversity and psychosis,
potentially by influencing how an individual reacts biologically and/or
psychologically following exposure to adversity, in such a way as to set them off
on the path to psychosis. However, identifying the specific genetic variants
involved and how they interact with childhood adversity remains challenging. We
examined whether the association between cumulative exposure to childhood
adversity and development of psychotic disorder was moderated by the COMT
Val158Met, AKT1 rs2494732 or DRD2 rs1076560 polymorphisms, known to affect
dopamine levels. METHODS: Participants were 285 first-presentation psychosis
cases and 256 geographically-matched controls drawn from the Genetics and
Psychosis (GAP) study. Childhood adversity was assessed using the Childhood
Experience of Care and Abuse Questionnaire (CECA.Q) and blood- and cheek-derived
genotype data were collected. RESULTS: Our findings revealed no main effect of
COMT Val158Met, AKT1 rs2494732 and DRD2 rs1076560 polymorphisms on psychosis case
status or reports of childhood adversity. Individuals reporting a history of
multiple adversities were more likely to be psychosis patients than controls,
regardless of their genetic risk. There was no evidence of candidate genotype by
childhood adversity interactions in relation to psychosis onset. CONCLUSION:
These findings did not provide evidence of a possible role of COMT Val158Met,
AKT1 rs2494732 or DRD2 rs1076560 genotypes in modifying the association between
childhood adversity and onset of psychosis.
PMID- 29653894
TI - MK-8325: A silyl proline-containing NS5A inhibitor with pan-genotype activity for
treatment of HCV.
AB - HCV NS5A inhibitors have shown impressive in vitro potency profiles in HCV
replicon assays thus making them attractive components for inclusion in an all
oral fixed dose combination regimen. Herein, we describe the discovery and
characterization of silyl proline-containing HCV NS5A inhibitor MK-8325 with good
pan-genotype activity and acceptable pharmacokinetic properties.
PMID- 29653895
TI - An orally available, brain-penetrant CAMKK2 inhibitor reduces food intake in
rodent model.
AB - Hypothalamic CAMKK2 represents a potential mechanism for chemically affecting
satiety and promoting weight loss in clinically obese patients. Single-digit
nanomolar inhibitors of CAMKK2 were identified in three related ATP-competitive
series. Limited optimization of kinase selectivity, solubility, and
pharmacokinetic properties were undertaken on all three series, as SAR was often
transferrable. Ultimately, a 2,4-diaryl 7-azaindole was optimized to afford a
tool molecule that potently inhibits AMPK phosphorylation in a hypothalamus
derived cell line, is orally bioavailable, and crosses the blood-brain barrier.
When dosed orally in rodents, compound 4 t limited ghrelin-induced food intake.
PMID- 29653896
TI - Insights from population health science to inform research on firearms.
PMID- 29653897
TI - Tissue expansion in cranioplasty - a collaborative approach for all involved for
improved outcomes.
PMID- 29653898
TI - Denervation leads to volume regression in breast cancer.
AB - The nervous system plays a key role in controlling the dynamic functions of
multicellular complex organisms. Although peripheral nerves are supposed to play
a pivotal role in tumor growth and dissemination, little experimental evidence
exists to date. We assessed the effect of denervation on breast cancer growth by
magnetic resonance imaging (MRI) in rats. Human breast cancer cells were
implanted into adipofascial flaps with intact or surgically excised supplying
nerve. Tumor volumes were measured 2 and 8 weeks after implantation by in vivo
MRI. Results were validated by histology. Postoperative tumor volumes at 2 and 8
weeks were reduced by 76% (95% CI: 22-93%) in the denervated groups. Tumor area
as determined histologically was reduced by 70% (95% CI: 60-78%). Thus,
peripheral denervation may be an effective surgical approach for the palliative
treatment of locally progressing or uncontrollable breast cancer.
PMID- 29653899
TI - Matrix Gla protein negatively regulates calcification of human aortic valve
interstitial cells isolated from calcified aortic valves.
AB - Calcified aortic valve stenosis (CAS) is a common heart valve disease in elderly
people, and is mostly accompanied by ectopic valve calcification. We recently
demonstrated that tumor necrosis factor-alpha (TNF-alpha) induces calcification
of human aortic valve interstitial cells (HAVICs) obtained from CAS patients. In
this study, we investigated the role of matrix Gla protein (MGP), a known
calcification inhibitor that antagonizes bone morphogenetic protein 2 (BMP2) in
TNF-alpha-induced calcification of HAVICs. HAVICs isolated from aortic valves
were cultured, and calcification was significantly induced with 30 ng/mL TNF
alpha. Gene expression of the calcigenic marker, BMP2, was significantly
increased in response to TNF-alpha, while the gene and protein expression of MGP
was strongly decreased. To confirm the role of MGP, MGP-knockdown HAVICs and
HAVICs overexpressing MGP were generated. In HAVICs, in which MGP expression was
inhibited by small interfering RNA, calcification and BMP2 gene expression were
induced following long-term culture for 32 days in the absence of TNF-alpha. In
contrast, HAVICs overexpressing MGP had significantly decreased TNF-alpha-induced
calcification. These results suggest that MGP acts as a negative regulator of
HAVIC calcification, and as such, may be helpful in the development of new
therapies for ectopic calcification of the aortic valve.
PMID- 29653900
TI - Use of in-home stationary cycling equipment among parents in a family-based
randomized trial intervention.
AB - OBJECTIVES: The purpose of this study was to examine the use of home exercise
equipment in the form of exergame cycling compared to a stationary recumbent
bicycle ergometer in front of TV in the home over 3 months among parents of an
intervention with their inactive children. The primary outcome was bike use
(total weekly duration). Predictors of bike use in the form of theory of planned
behavior and self-determination theory were also examined. DESIGN: Randomized
controlled trial. METHOD: Sixty eight parents of children aged 10-14 were
randomized to either the exergame condition (n=36) or the standard bike condition
(n=32). Weekly bike use was recorded in a log-book. RESULTS: The exergame bike
and a standard bike in front of a TV had similar use across three months (p=.13,
etap2=.02), which declined over time (p<.01, etap2=.14). Parents who were active
at baseline and had the intention to use the bikes were more likely to use the
bikes (p<.05). Furthermore, those who reported higher perceived control,
intrinsic motivation, and affective attitude were more likely to use the bikes
(p<.05). CONCLUSIONS: The findings suggested that irrespective of modality, use
of exercise equipment declined considerably for parents over three-months.
Parents may also benefit from family physical activity interventions, but it
depends on their physical activity status, how much they would enjoy using the
equipment, and their overall perceived control over being physically active.
TRIAL REGISTRATION: clinicaltrials.gov #NCT01373762. Registered 1 June 2011.
PMID- 29653901
TI - Hospital and Institutionalisation Care Costs after Limb and Visceral Ischaemia
Benchmarked Against Stroke: Long-Term Results of a Population Based Cohort Study.
AB - OBJECTIVE/BACKGROUND: There are few published data on the acute care or long-term
costs after acute/critical limb or visceral ischaemia (ACLVI) events. Using data
from patients with acute events in a population based incidence study (Oxford
Vascular Study), the present study aimed to determine the long-term costs after
an ACLVI event. METHODS: All patients with first ever incident ACLVI from 2002 to
2012 were included. Analysis was based on follow up until January 2017, with all
patients having full 5 year follow up. Multivariate regressions were used to
assess baseline and subsequent predictors of total 5 year hospital care costs.
Overall costs after an ACLVI event were benchmarked against those after stroke in
the same population, during the same period. RESULTS: Among 351 patients with an
ACLVI event, mean 5 year total care costs were ?35,211 (SD 50,500), of which
?6443 (18%) were due to long-term institutionalisation. Costs differed by type of
event (acute visceral ischaemia ?16,476; acute limb ischaemia ?24,437; critical
limb ischaemia ?46,281; p < 0.001). Results of the multivariate analyses showed
that patients with diabetes and those undergoing above knee amputations incurred
additional costs of ?11,804 (p = 0.014) and ?25,692 (p < 0.001), respectively.
Five year hospital care costs after an ACLVI event were significantly higher than
after stroke (?28,768 vs. ?22,623; p = 0.004), but similar after including long
term costs of institutionalisation (?35,211 vs. ?35,391; p = 0.957). CONCLUSION:
Long-term care costs after an ACLVI event are considerable, especially after
critical limb ischaemia. Hospital care costs were significantly higher than for
stroke over the long term, and were similar after inclusion of costs of
institutionalisation.
PMID- 29653902
TI - Diabetes mellitus, blood glucose and the risk of atrial fibrillation: A
systematic review and meta-analysis of cohort studies.
AB - BACKGROUND: Diabetes and elevated blood glucose have been associated with
increased risk of atrial fibrillation in a number of epidemiological studies,
however, the findings have not been entirely consistent. We conducted a
systematic review and meta-analysis to clarify the association. MATERIAL AND
METHODS: We searched the PubMed and Embase databases for studies of diabetes and
blood glucose and atrial fibrillation up to July 18th 2017. Cohort studies were
included if they reported relative risk (RR) estimates and 95% confidence
intervals (CIs) of atrial fibrillation associated with a diabetes diagnosis,
prediabetes or blood glucose. Summary RRs were estimated using a random effects
model. RESULTS: Thirty four studies were included in the meta-analysis of
diabetes, pre-diabetes or blood glucose and atrial fibrillation. Thirty two
cohort studies (464,229 cases, >10,244,043 participants) were included in the
analysis of diabetes mellitus and atrial fibrillation. The summary RR for
patients with diabetes mellitus versus patients without diabetes was 1.30 (95%
CIs: 1.03-1.66), however, there was extreme heterogeneity, I2 = 99.9%) and
evidence of publication bias with Begg's test, p < 0.0001. After excluding a very
large and outlying study the summary RR was 1.28 (95% CI: 1.22-1.35, I2 = 90%, n
= 31, 249,772 cases, 10,244,043 participants). The heterogeneity was mainly due
to differences in the size of the association between studies and the results
persisted in a number of subgroup and sensitivity analyses. The summary RR was
1.20 (95% CI: 1.03-1.39, I2 = 30%, n = 4, 2392 cases, 58,547 participants) for
the association between prediabetes and atrial fibrillation. The summary RR was
1.11 (95% CI: 1.04-1.18, I2 = 61%, n = 4) per 20 mg/dl increase of blood glucose
in relation to atrial fibrillation (3385 cases, 247,447 participants) and there
was no evidence of nonlinearity, pnonlinearity = 0.34. CONCLUSIONS: This meta
analysis suggest that prediabetes and diabetes increase the risk of atrial
fibrillation by 20% and 28%, respectively, and there is a dose-response
relationship between increasing blood glucose and atrial fibrillation. Any
further studies should clarify whether the association between diabetes and blood
glucose and atrial fibrillation is independent of adiposity.
PMID- 29653903
TI - Can RR intervals editing and selection techniques interfere with the analysis of
heart rate variability?
AB - BACKGROUND: Oscillation between successive sinus beats or RR intervals, termed
heart rate variability, is an important marker of autonomic function of the
heart. However, its analysis may be influenced by the database recorded based on
the occurrence of interference. OBJECTIVE: To evaluate if the techniques of
identification and editing of artifacts, as well as the selection methods of RR
intervals, can interfere with heart rate variability analysis. METHODS: The RR
intervals of 56 subjects (30 aortic stenosis patients, 14 physically active
individuals, 12 amateur athletes) were recorded for 10min using a heart rate
monitor. Values with differences greater than 20%, higher than three standard
deviations or outside of the normal curve (95% confidence interval) were
considered artifacts. These points were corrected through data replacement,
adjacent, linear and polynomial interpolation, or excluded. Then, the 256 highest
stability points and the last 5min of recordings were chosen. The software
programs, Kubios HRV and GraphPAD, were used to calculate and to analyze the
indices of heart rate variability, respectively. RESULTS: Strong agreement was
observed among the identification algorithms; there was no difference between the
correction techniques (p=0.95); and the selection methods exhibited different
sections (p<0.01) with a direct influence on approximated entropy (p<0.05).
CONCLUSION: With short-term recordings, selection methods may interfere with the
non-linear heart rate variability analysis. The confidence interval, the
replacement by the average of previous data and the selection of 256 of the
highest stability points of the signal seem to be the most adequate procedures to
treat the data with prior to analysis.
PMID- 29653906
TI - Vocal Fold Motion Impairment.
AB - Vocal fold motion abnormalities in children are the second most common form of
laryngeal pathology seen in children, and often present in the first 24 months of
life. A thorough evaluation of the aerodigestive tract will include an
examination of the vocal folds, and a proper diagnosis is essential in order to
decipher the etiology of swallowing, voicing and breathing abnormalities. This
article reviews the workup, management options and clinical outcomes of
unilateral and bilateral vocal fold motion impairment in the pediatric
population.
PMID- 29653904
TI - Hippocampal Network Modularity Is Associated With Relational Memory Dysfunction
in Schizophrenia.
AB - BACKGROUND: Functional dysconnectivity has been proposed as a major
pathophysiological mechanism for cognitive dysfunction in schizophrenia. The
hippocampus is a focal point of dysconnectivity in schizophrenia, with decreased
hippocampal functional connectivity contributing to the marked memory deficits
observed in patients. Normal memory function relies on the interaction of complex
corticohippocampal networks. However, only recent technological advances have
enabled the large-scale exploration of functional networks with accuracy and
precision. METHODS: We investigated the modularity of hippocampal resting-state
functional networks in a sample of 45 patients with schizophrenia spectrum
disorders and 38 healthy control subjects. Modularity was calculated for two
distinct functional networks: a core hippocampal-medial temporal lobe cortex
network and an extended hippocampal-cortical network. As hippocampal function
differs along its longitudinal axis, follow-up analyses examined anterior and
posterior networks separately. To explore effects of resting network function on
behavior, we tested associations between modularity and relational memory
ability. Age, sex, handedness, and parental education were similar between
groups. RESULTS: Network modularity was lower in schizophrenia patients,
especially in the posterior hippocampal network. Schizophrenia patients also
showed markedly lower relational memory ability compared with control subjects.
We found a distinct brain-behavior relationship in schizophrenia that differed
from control subjects by network and anterior/posterior division-while relational
memory in control subjects was associated with anterior hippocampal-cortical
modularity, schizophrenia patients showed an association with posterior
hippocampal-medial temporal lobe cortex network modularity. CONCLUSIONS: Our
findings support a model of abnormal resting-state corticohippocampal network
coherence in schizophrenia, which may contribute to relational memory deficits.
PMID- 29653905
TI - Identification of Lyme borreliae proteins promoting vertebrate host blood
specific spirochete survival in Ixodes scapularis nymphs using artificial feeding
chambers.
AB - Lyme borreliosis, the most common vector-borne illness in Europe and the United
States, is caused by spirochetes of the Borrelia burgdorferi sensu lato complex
and transmitted by Ixodes ticks. In humans, the spirochetes disseminate from the
tick bite site to multiple tissues, leading to serious clinical manifestations.
The ability of spirochetes to survive in ticks during blood feeding is thought to
be essential for Lyme borreliae to be transmitted to different vertebrate hosts.
This ability is partly attributed to several B. burgdorferi proteins, including
BBA52 and Lp6.6, which promote spirochete survival in nymphal ticks feeding on
mice. One of the strategies to identify such proteins without using live animals
is to feed B. burgdorferi-infected ticks on blood via artificial feeding
chambers. In previous studies, ticks were only fed on bovine blood in the feeding
chambers. In this study, we used this chamber model and showed that I. scapularis
ticks will not only acquire bovine blood but human and quail blood as well. The
latter two are the incidental host and an avian host of Lyme borreliae,
respectively. We also investigated the roles that BBA52 and Lp6.6 play in
promoting spirochete survival in nymphal ticks fed on human or quail blood. After
feeding on human blood, spirochete burdens in ticks infected with an lp6.6
deficient B. burgdorferi were significantly reduced, while bba52-deficient
spirochete burdens in ticks remained unchanged, similar to the wild-type strain.
No strain showed a change in spirochete burdens in ticks fed on quail blood.
These results indicate that Lp6.6 plays a role for B. burgdorferi in nymphs fed
on human but not quail blood. Such information also demonstrates that the
artificial feeding chamber is a powerful tool to identify B. burgdorferi proteins
that promote vertebrate host blood-specific spirochete survival in I. scapularis
ticks.
PMID- 29653907
TI - Phenotype variability and allelic heterogeneity in KMT2B-Associated disease.
AB - BACKGROUND: Mutations in Lysine-Specific Histone Methyltransferase 2B gene
(KMT2B) have been reported to be associated with complex early-onset dystonia.
Almost all reported KMT2B mutations occurred de novo in the paternal germline or
in the early development of the patient. We describe clinico-genetic features on
four Japanese patients with novel de novo mutations and demonstrate the
phenotypic spectrum of KMT2B mutations. METHODS: We performed genetic studies,
including trio-based whole exome sequencing (WES), in a cohort of Japanese
patients with a seemingly sporadic early-onset generalized combined dystonia.
Potential effects by the identified nucleotide variations were evaluated
biologically. Genotype-phenotype correlations were also investigated. RESULTS:
Four patients had de novo heterozygous mutations in KMT2B, c.309delG, c.1656dupC,
c.3325_3326insC, and c.5636delG. Biological analysis of KMT2B mRNA levels showed
a reduced expression of mutant transcript frame. All patients presented with
motor milestone delay, microcephaly, mild psychomotor impairment, childhood-onset
generalized dystonia and superimposed choreoathetosis or myoclonus. One patient
cannot stand due to axial hypotonia associated with cerebellar dysfunction. Three
patients had bilateral globus pallidal deep brain stimulation (DBS) with
excellent or partial response. CONCLUSIONS: We further demonstrate the allelic
heterogeneity and phenotypic variations of KMT2B-associated disease.
Haploinsufficiency is one of molecular pathomechanisms underlying the disease.
Cardinal clinical features include combined dystonia accompanying mild
psychomotor disability. Cerebellum would be affected in KMT2B-associated disease.
PMID- 29653908
TI - Improving neurophysiological biomarkers for functional myoclonic movements.
AB - INTRODUCTION: Differentiating between functional jerks (FJ) and organic myoclonus
can be challenging. At present, the only advanced diagnostic biomarker to support
FJ is the Bereitschaftspotential (BP). However, its sensitivity is limited and
its evaluation subjective. Recently, event related desynchronisation in the broad
beta range (13-45 Hz) prior to functional generalised axial (propriospinal)
myoclonus was reported as a possible complementary diagnostic marker for FJ. Here
we study the value of ERD together with a quantified BP in clinical practice.
METHODS: Twenty-nine patients with FJ and 16 patients with cortical myoclonus
(CM) were included. Jerk-locked back-averaging for determination of the
'classical' and quantified BP, and time-frequency decomposition for the event
related desynchronisation (ERD) were performed. Diagnostic gain, sensitivity and
specificity were obtained for individual and combined techniques. RESULTS: We
detected a classical BP in 14/29, a quantitative BP in 15/29 and an ERD in 18/29
patients. At group level we demonstrate that ERD in the broad beta band preceding
a jerk has significantly higher amplitude in FJ compared to CM (respectively
0.14 +/- 0.13 and +0.04 +/- 0.09 (p < 0.001)). Adding ERD to the classical BP
achieved an additional diagnostic gain of 53%. Furthermore, when combining ERD
with quantified and classical BP, an additional diagnostic gain of 71% was
achieved without loss of specificity. CONCLUSION: Based on the current findings
we propose to the use of combined beta ERD assessment and quantitative BP
analyses in patients with a clinical suspicion for all types of FJ with a
negative classical BP.
PMID- 29653909
TI - Comprehensive identification of delusions and olfactory, tactile, gustatory, and
minor hallucinations in Parkinson's disease psychosis.
AB - INTRODUCTION: Psychotic symptoms are underdiagnosed in Parkinson's disease (PD),
and there is a need for a comprehensive PD psychosis rating scale. METHODS: Cross
sectional analysis of 199 consecutive PD outpatients. After a routine clinical
visit that included the Unified Parkinson's Disease Rating Scale (UPDRS) and Non
Motor Symptoms Questionnaire (NMS-Quest), subjects completed the enhanced Scale
for the Assessment of Positive Symptoms in PD (eSAPS-PD), a structured clinical
interview that included the standard SAPS-PD with additional prompts for
delusions and olfactory, gustatory, and minor hallucinations. Based on the
combined results of these assessments, subjects were categorized as having major
psychotic symptoms (hallucinations or delusions; PDP-major), isolated minor
psychotic symptoms (passage hallucinations, presence hallucinations, or
illusions; PDP-minor), or no psychotic symptoms (PD-controls). RESULTS: We
identified 58 subjects (29%) with psychotic symptoms, including 28 (14%) with
major psychotic symptoms and 30 (15%) with isolated minor psychotic symptoms.
Hallucinations were present in 56 subjects (28%); most commonly visual (24%, of
which 21% were minor only), followed by olfactory (6%), tactile (4%), auditory
(2%), and gustatory (1%). The eSAPS-PD detected psychotic symptoms in more
subjects (n = 55, 28%) than all other assessments combined (clinical visit, UPDRS
part 1, and NMS-Quest) (n = 22, 11%). Compared with PD-controls, PDP-minor
subjects had a higher burden of other non-motor symptoms on the Non-Motor
Symptoms Scale (37 [27-51] vs. 18 [9-36], p < 0.001) and lower quality of life
scores on the PD Quality of Life Questionnaire (138 [125-151] vs. 149 [137-165],
p = 0.01). CONCLUSION: The eSAPS-PD can markedly improve detection of psychotic
symptoms in PD.
PMID- 29653910
TI - Deep Brain Stimulation associated gliosis: A post-mortem study.
AB - BACKGROUND: DBS is a well-established therapy for patients with PD and is an
emerging therapy for other neuropsychiatric disorders. Despite the rise in DBS
usage, relatively little is known about the tissue and cellular responses to DBS.
PURPOSE: To examine post-mortem effects of DBS leads by objectively quantifying
gliosis around the distal DBS lead tip. METHODS: The UF DBS Brain Bank repository
currently has 64 brains, of which 18 cases met criteria for this study. RESULTS:
The average patient age was 54.88 +/- 13.43 years (mean +/- SD), male:female
ratio was 3:1, average disease duration was 20.70 +/- 6.36 years and average DBS
duration was 7.26 +/- 6.36 years. Microscopic evaluation revealed tissue reaction
and astrocytic responses to the lead. Significant fibrosis was seen in n = 2
brains and prominent microglial response in n = 1. Mean gliotic collar measured
from H&E and GFAP staining was 122.5 MUm and 162.5 MUm, respectively. Mean
gliotic thickness at the DBS electrode lead tip was 119.13 +/- 64.29 MUm for
patients receiving DBS for 0-5 years, 127.85 +/- 94.34 MUm for 5-10 years and
111.73 +/- 114.18 MUm for patients with DBS >10 years. Kruskal-Wallis one-way
analysis of variance (ANOVA) revealed no statistically significant differences
between DBS duration and amount of gliosis. CONCLUSIONS: This study revealed that
approximately three out of four post-mortem DBS cases exhibited pathological
evidence of a glial collar or scar present at the ventral DBS lead tip. The
amount of gliosis was not significantly associated with duration of DBS. Future
studies should include serial sectioning across all DBS contacts with correlation
to the volume of tissue activation and to the clinical outcome.
PMID- 29653911
TI - Criteria for diagnosis and postoperative control of acromegaly, and screening and
management of its comorbidities: Expert consensus.
AB - Acromegaly is a rare disease with many comorbidities that impair quality of life
and limit survival. There are discrepancies in various clinical guidelines
regarding diagnosis and postoperative control criteria, as well as screening and
optimal management of comorbidities. This expert consensus was aimed at
establishing specific recommendations for the Spanish healthcare system. The
existing recommendations, the scientific evidence on which they are based, and
the main controversies are reviewed. Unfortunately, the low prevalence and high
clinical variability of acromegaly do not provide strong scientific evidences. To
mitigate this disadvantage, a modified Delphi questionnaire, combining the best
available scientific evidence with the collective judgment of experts, was used.
The questionnaire, generated after a face-to-face debate, was completed by 17
Spanish endocrinologists expert in acromegaly. A high degree of consensus was
reached (79.3%), as 65 of the total 82 statements raised were accepted. Some
criteria for diagnosis and postoperative control were identified by this
procedure. Regarding comorbidities, recommendations have been established or
suggested for screening and management of oncological, cardiovascular,
respiratory (sleep apnea), metabolic (dyslipidemia and diabetes),
musculoskeletal, and hypopituitarism-related disorders. Consensus recommendations
may facilitate and homogenize clinical care to patients with acromegaly in the
Spanish health system.
PMID- 29653912
TI - Complete heterotopic pancreas.
PMID- 29653913
TI - Penile Prosthesis Implantation in Patients With Peyronie's Disease: Results of
the PROPPER Study Demonstrates a Decrease in Patient-Reported Depression.
AB - BACKGROUND: Although there is a strong correlation between erectile dysfunction
and Peyronie's disease (PD), there are limited data on the efficacy and
satisfaction of inflatable penile prosthesis (IPP) placement in this population.
AIM: To assess the efficacy and overall satisfaction of IPP placement in men with
erectile dysfunction and concomitant PD using the Prospective Registry of
Outcomes with Penile Prosthesis for Erectile Restoration (PROPPER). METHODS: Data
from the PROPPER study were examined to determine patient baseline
characteristics and primary and secondary etiologies before IPP treatment and
included type and size of implant received, presence of corporal fibrosis, and
operative time. Men completed 5 validated questionnaires at baseline and annually
out to 5 years. OUTCOMES: IPP placement was performed in 250 patients with PD
with comparable surgical times and outcomes as in patients without PD. RESULTS:
1,180 men underwent IPP insertion at 11 sites; of these, 250 (21.2%) were
diagnosed with PD. This is an ongoing study; 1- and 2-year data are available for
177 (70.8%) and 130 (52.0%) patients, respectively. Intraoperatively, 51.2%
patients with PD had corporal fibrosis, with an average operative time of 52.8
minutes. At baseline, 19.3% of men (36 of 187) with PD reported being depressed,
with a decrease to 10.5% (6 of 57; P = .02) and 10.9% (5 of 46; P = .07) at 1-
and 2-year follow-ups, respectively. More than 80% of patients with PD were
satisfied or very satisfied at 1- and 2-year follow-ups. In addition, more than
88% of patients with PD were using their device at the 1- and 2-year follow-up
visits. CLINICAL IMPLICATIONS: Patients with concomitant PD and erectile
dysfunction can safely and effectively have an IPP placed with similar outcomes
as patients without PD. STRENGTHS AND LIMITATIONS: The 1st limitation was that
all participating prosthetic urologists were high-volume implanters and these
results might not be representative of those of general urologists. A 2nd
limitation was that none of the patients were randomized. A 3rd limitation was
that although most study points were mandatory, some of the data collection,
including depression data, was optional, with not all sites participating. A 4th
limitation was that depression data were self-reported. CONCLUSION: IPP can be
inserted in patients with PD with acceptable patient satisfaction and usage and
depressive symptoms appear to lessen in patients with PD after IPP placement.
Khera M, Bella A, Karpman E, et al. Penile Prosthesis Implantation in Patients
With Peyronie's Disease: Results of the PROPPER Study Demonstrates a Decrease in
Patient-Reported Depression. J Sex Med 2018;15:786-788.
PMID- 29653914
TI - Culture and Sexuality: Cognitive-Emotional Determinants of Sexual Dissatisfaction
Among Iranian and New Zealand Women.
AB - BACKGROUND: Several studies have demonstrated that culture plays a fundamental
role in individuals' beliefs, attitudes, and values toward sexuality, and
influences their ability to enjoy sex. It follows that culture may influence
sexual satisfaction or dissatisfaction. AIM: To examine and compare cognitive
emotional variables related to women's sexual dissatisfaction in Iran and New
Zealand. METHODS: In total, 196 Iranian women and 207 New Zealand women
participated in the study, answering questionnaires evaluating dysfunctional
sexual beliefs, automatic thoughts, emotional and sexual response during sexual
activity, as well as sexual satisfaction. OUTCOMES: Sexual beliefs were measured
by the Sexual Dysfunctional Beliefs Questionnaire, thoughts and emotional
responses were measured by the Sexual Modes Questionnaire, and sexual
satisfaction was measured by the Sexual Satisfaction Index. RESULTS: Findings
indicated that in both Iranian and New Zealand women, failure and disengagement
thoughts, lack of erotic thoughts, and emotions of fear during sexual activity
were significant predictors of sexual dissatisfaction. Besides these common
predictors, results also indicated that sexual conservatism and women's sexual
passivity beliefs, sexual abuse thoughts, and fear during sexual activity were
significant predictors of sexual dissatisfaction in Iranian women. Beliefs of
sexual desire and pleasure as a sin; age-related beliefs; and emotions such as
sadness, disillusion, and hurt were significant predictors of sexual
dissatisfaction in New Zealand women. CLINICAL TRANSLATION: The present findings
could facilitate a better understanding of cultural differences in the roles
played by dysfunctional sexual beliefs, negative automatic thoughts, and negative
emotions during sexual activity, and the value of these beliefs, thoughts, and
emotions in predicting sexual dissatisfaction. CONCLUSIONS: The strength of this
study is in providing an examination of the role of culturally bound beliefs in
predicting sexual dissatisfaction in women from different cultural backgrounds.
Limitations include the lack of evaluation of psychological and interpersonal
variables that may impact on women's sexual dissatisfaction. These findings
suggest that there may be a role of culture in shaping beliefs, attitudes, and
values toward sexuality; and provide evidence for the effect of cognitive
emotional variables in predicting women's sexual dissatisfaction. Abdolmanafi A,
Nobre P, Winter S, et al. Culture and Sexuality: Cognitive-Emotional Determinants
of Sexual Dissatisfaction Among Iranian and New Zealand Women. J Sex Med
2018;15:687-697.
PMID- 29653916
TI - Nutritional support to reduce mortality in patients with HIV?
PMID- 29653917
TI - Privacy-Preserving Patient Similarity Learning in a Federated Environment:
Development and Analysis.
AB - BACKGROUND: There is an urgent need for the development of global analytic
frameworks that can perform analyses in a privacy-preserving federated
environment across multiple institutions without privacy leakage. A few studies
on the topic of federated medical analysis have been conducted recently with the
focus on several algorithms. However, none of them have solved similar patient
matching, which is useful for applications such as cohort construction for cross
institution observational studies, disease surveillance, and clinical trials
recruitment. OBJECTIVE: The aim of this study was to present a privacy-preserving
platform in a federated setting for patient similarity learning across
institutions. Without sharing patient-level information, our model can find
similar patients from one hospital to another. METHODS: We proposed a federated
patient hashing framework and developed a novel algorithm to learn context
specific hash codes to represent patients across institutions. The similarities
between patients can be efficiently computed using the resulting hash codes of
corresponding patients. To avoid security attack from reverse engineering on the
model, we applied homomorphic encryption to patient similarity search in a
federated setting. RESULTS: We used sequential medical events extracted from the
Multiparameter Intelligent Monitoring in Intensive Care-III database to evaluate
the proposed algorithm in predicting the incidence of five diseases
independently. Our algorithm achieved averaged area under the curves of 0.9154
and 0.8012 with balanced and imbalanced data, respectively, in kappa-nearest
neighbor with kappa=3. We also confirmed privacy preservation in similarity
search by using homomorphic encryption. CONCLUSIONS: The proposed algorithm can
help search similar patients across institutions effectively to support federated
data analysis in a privacy-preserving manner.
PMID- 29653919
TI - Social Interaction Needs and Entertainment Approaches to Pregnancy Well-Being in
mHealth Technology Design for Low-Income Transmigrant Women: Qualitative Codesign
Study.
AB - BACKGROUND: Low-income Caribbean transmigrant women face unique health challenges
during pregnancy that set forth multidimensional implications for the design of
mobile health (mHealth). Acknowledgment of the unique health needs of low-income
Caribbean immigrant women in the United States and what that entails regarding
technology design remains rarely examined in the literature of mHealth
technologies. OBJECTIVE: The goal of this study was to reveal the needs and gaps
in mHealth interventions for pregnant immigrant women not yet realized in this
field. These understandings reveal design opportunities for mHealth. METHODS: The
use of the qualitative participatory action research approach of codesign
workshops in this study resulted in design solutions by the participants after
reflecting on their earlier focus group discussions. The highlights are not the
resulting designs per se but rather the inferences derived from the researcher
reflecting on these designs. RESULTS: The designs exposed two themes relevant to
this paper. First, the participants desired the inclusion and rebuilding of
social and organizational relationships in mHealth. The resulting designs
formulate an understanding of the women's health-related social support needs and
how technology can facilitate them. Second, the participants wanted entertainment
with an element of social participation incorporated in mHealth pregnancy
management interventions. This brings attention to the role entertainment can add
to the impact mHealth can deliver for pregnancy well-being. CONCLUSIONS: The
study concluded with an examination of social and entertainment design
implications that reveal pregnant immigrant women's virtual health-related
sharing habits, choice of sharing interaction scenarios during pregnancy (eg,
local, long distance, one-way, two-way, and many-many), and choice of sharing
media (eg, text, voice, and video). Additionally, the study revealed exclusions
to social sharing capabilities in health technologies for these women.
PMID- 29653918
TI - Use of Smartphones for Early Detection of Melanoma: Systematic Review.
AB - BACKGROUND: The early diagnosis of melanoma is associated with decreased
mortality. The smartphone, with its apps and the possibility of sending
photographs to a dermatologist, could improve the early diagnosis of melanoma.
OBJECTIVE: The aim of our review was to report the evidence on (1) the diagnostic
performance of automated smartphone apps and store-and-forward teledermatology
via a smartphone in the early detection of melanoma, (2) the impact on the
patient's medical-care course, and (3) the feasibility criteria (focusing on the
modalities of picture taking, transfer of data, and time to get a reply).
METHODS: We conducted a systematic search of PubMed for the period from January
1, 2007 (launch of the first smartphone) to November 1, 2017. RESULTS: The
results of the 25 studies included 13 concentrated on store-and-forward
teledermatology, and 12 analyzed automated smartphone apps. Store-and-forward
teledermatology opens several new perspectives, such as it accelerates the care
course (less than 10 days vs 80 days), and the related procedures were assessed
in primary care populations. However, the concordance between the conclusion of a
teledermatologist and the conclusion of a dermatologist who conducts a face-to
face examination depended on the study (the kappa coefficient range was .20 to
.84, median kappa=.60). The use of a dermoscope may improve the concordance (the
kappa coefficient range was .29 to .87, median kappa=.74). Regarding automated
smartphone apps, the major concerns are the lack of assessment in clinical
practice conditions, the lack of assessment in primary care populations, and
their low sensitivity, ranging from 7% to 87% (median 69%). In this literature
review, up to 20% of the photographs transmitted were of insufficient quality.
The modalities of picture taking and encryption of the data were only partially
reported. CONCLUSIONS: The use of store-and-forward teledermatology could improve
access to a dermatology consultation by optimizing the care course. Our review
confirmed the absence of evidence of the safety and efficacy of automated
smartphone medical apps. Further research is required to determine quality
criteria, as there was major variability among the studies.
PMID- 29653915
TI - Effect of ready-to-use supplementary food on mortality in severely
immunocompromised HIV-infected individuals in Africa initiating antiretroviral
therapy (REALITY): an open-label, parallel-group, randomised controlled trial.
AB - BACKGROUND: In sub-Saharan Africa, severely immunocompromised HIV-infected
individuals have a high risk of mortality during the first few months after
starting antiretroviral therapy (ART). We hypothesise that universally providing
ready-to-use supplementary food (RUSF) would increase early weight gain, thereby
reducing early mortality compared with current guidelines recommending ready-to
use therapeutic food (RUTF) for severely malnourished individuals only. METHODS:
We did a 2 * 2 * 2 factorial, open-label, parallel-group trial at inpatient and
outpatient facilities in eight urban or periurban regional hospitals in Kenya,
Malawi, Uganda, and Zimbabwe. Eligible participants were ART-naive adults and
children aged at least 5 years with confirmed HIV infection and a CD4 cell count
of fewer than 100 cells per MUL, who were initiating ART at the facilities. We
randomly assigned participants (1:1) to initiate ART either with (RUSF) or
without (no-RUSF) 12 weeks' of peanut-based RUSF containing 1000 kcal per day and
micronutrients, given as two 92 g packets per day for adults and one packet (500
kcal per day) for children aged 5-12 years, regardless of nutritional status. In
both groups, individuals received supplementation with RUTF only when severely
malnourished (ie, body-mass index [BMI] <16-18 kg/m2 or BMI-for-age Z scores <-3
for children). We did the randomisation with computer-generated, sequentially
numbered tables with different block sizes incorporated within an online
database. Randomisation was stratified by centre, age, and two other factorial
randomisations, to 12 week adjunctive raltegravir and enhanced anti-infection
prophylaxis (reported elsewhere). Clinic visits were scheduled at weeks 2, 4, 8,
12, 18, 24, 36, and 48, and included nurse assessment of vital status and
symptoms and dispensing of all medication including ART and RUSF. The primary
outcome was mortality at week 24, analysed by intention to treat. Secondary
outcomes included absolute changes in weight, BMI, and mid-upper-arm
circumference (MUAC). Safety was analysed in all randomly assigned participants.
Follow-up was 48 weeks. This trial is registered with ClinicalTrials.gov
(NCT01825031) and the ISRCTN registry (43622374). FINDINGS: Between June 18,
2013, and April 10, 2015, we randomly assigned 1805 participants to treatment:
897 to RUSF and 908 to no-RUSF. 56 (3%) were lost-to-follow-up. 96 (10.9%, 95% CI
9.0-13.1) participants allocated to RUSF and 92 (10.3%, 8.5-12.5) to no-RUSF died
within 24 weeks (hazard ratio 1.05, 95% CI 0.79-1.40; log-rank p=0.75), with no
evidence of interaction with the other randomisations (both p>0.7). Through 48
weeks, adults and adolescents aged 13 years and older in the RUSF group had
significantly greater gains in weight, BMI, and MUAC than the no-RUSF group
(p=0.004, 0.004, and 0.03, respectively). The most common type of serious adverse
event was specific infections, occurring in 90 (10%) of 897 participants assigned
RUSF and 87 (10%) of 908 assigned no-RUSF. By week 48, 205 participants had
serious adverse events in both groups (p=0.81), and 181 had grade 4 adverse
events in the RUSF group compared with 172 in the non-RUSF group (p=0.45).
INTERPRETATION: In severely immunocompromised HIV-infected individuals, providing
RUSF universally at ART initiation, compared with providing RUTF to severely
malnourished individuals only, improved short-term weight gain but not mortality.
A change in policy to provide nutritional supplementation to all severely
immunocompromised HIV-infected individuals starting ART is therefore not
warranted at present. FUNDING: Joint Global Health Trials Scheme (UK Medical
Research Council, UK Department for International Development, and Wellcome
Trust).
PMID- 29653920
TI - Development of a Web-based Family Intervention for BRCA Carriers and Their
Biological Relatives: Acceptability, Feasibility, and Usability Study.
AB - BACKGROUND: Carriers of breast cancer gene (BRCA) mutations are asked to
communicate genetic test results to their biological relatives to increase
awareness of cancer risk and promote use of genetic services. This process is
highly variable from family to family. Interventions that support communication
of genetic test results, coping, and offer decision support in families harboring
a pathogenic variant may contribute to effective management of hereditary cancer.
OBJECTIVE: The aim of this paper was to describe the development of the Family
Gene Toolkit, a Web-based intervention targeting BRCA carriers and untested blood
relatives, designed to enhance coping, family communication, and decision making.
METHODS: We present findings from focus groups regarding intervention
acceptability and participant satisfaction and from a pre-post pilot study with
random allocation to a wait-listed control group regarding intervention
feasibility and usability. RESULTS: The Family Gene Toolkit was developed by a
multidisciplinary team as a psycho-educational and skills-building intervention.
It includes two live webinar sessions and a follow-up phone call guided by a
certified genetic counselor and a master's prepared oncology nurse. Each live
webinar includes two modules (total four modules) presenting information about
BRCA mutations, a decision aid for genetic testing, and two skill-building
modules for effective coping and family communication. Participants in focus
groups (n=11) were highly satisfied with the intervention, reporting it to be
useful and describing clearly the important issues. From the 12 dyads recruited
in the pre-post pilot study (response rate 12/52, 23%), completion rate was 71%
(10/14) for intervention and 40% (4/10) for wait-listed control groups.
CONCLUSIONS: Acceptability and satisfaction with the Family Gene Toolkit is high.
On the basis of the findings from usability and feasibility testing,
modifications on timing, delivery mode, and recruitment methods have been
implemented. TRIAL REGISTRATION: ClinicalTrials.gov NCT02154633;
https://clinicaltrials.gov/ct2/show/NCT02154633 (Archived by WebCite at
http://www.webcitation.org/6yYNvLPjv).
PMID- 29653921
TI - Comparing a Mobile Decision Support System Versus the Use of Printed Materials
for the Implementation of an Evidence-Based Recommendation: Protocol for a
Qualitative Evaluation.
AB - BACKGROUND: The distribution of printed materials is the most frequently used
strategy to disseminate and implement clinical practice guidelines, although
several studies have shown that the effectiveness of this approach is modest at
best. Nevertheless, there is insufficient evidence to support the use of other
strategies. Recent research has shown that the use of computerized decision
support presents a promising approach to address some aspects of this problem.
OBJECTIVE: The aim of this study is to provide qualitative evidence on the
potential effect of mobile decision support systems to facilitate the
implementation of evidence-based recommendations included in clinical practice
guidelines. METHODS: We will conduct a qualitative study with two arms to compare
the experience of primary care physicians while they try to implement an evidence
based recommendation in their clinical practice. In the first arm, we will
provide participants with a printout of the guideline article containing the
recommendation, while in the second arm, we will provide participants with a
mobile app developed after formalizing the recommendation text into a clinical
algorithm. Data will be collected using semistructured and open interviews to
explore aspects of behavioral change and technology acceptance involved in the
implementation process. The analysis will be comprised of two phases. During the
first phase, we will conduct a template analysis to identify barriers and
facilitators in each scenario. Then, during the second phase, we will contrast
the findings from each arm to propose hypotheses about the potential impact of
the system. RESULTS: We have formalized the narrative in the recommendation into
a clinical algorithm and have developed a mobile app. Data collection is expected
to occur during 2018, with the first phase of analysis running in parallel. The
second phase is scheduled to conclude in July 2019. CONCLUSIONS: Our study will
further the understanding of the role of mobile decision support systems in the
implementation of clinical practice guidelines. Furthermore, we will provide
qualitative evidence to aid decisions made by low- and middle-income countries'
ministries of health about investments in these technologies.
PMID- 29653922
TI - Agile Acceptance Test-Driven Development of Clinical Decision Support Advisories:
Feasibility of Using Open Source Software.
AB - BACKGROUND: Moving to electronic health records (EHRs) confers substantial
benefits but risks unintended consequences. Modern EHRs consist of complex
software code with extensive local configurability options, which can introduce
defects. Defects in clinical decision support (CDS) tools are surprisingly
common. Feasible approaches to prevent and detect defects in EHR configuration,
including CDS tools, are needed. In complex software systems, use of test-driven
development and automated regression testing promotes reliability. Test-driven
development encourages modular, testable design and expanding regression test
coverage. Automated regression test suites improve software quality, providing a
"safety net" for future software modifications. Each automated acceptance test
serves multiple purposes, as requirements (prior to build), acceptance testing
(on completion of build), regression testing (once live), and "living" design
documentation. Rapid-cycle development or "agile" methods are being successfully
applied to CDS development. The agile practice of automated test-driven
development is not widely adopted, perhaps because most EHR software code is
vendor-developed. However, key CDS advisory configuration design decisions and
rules stored in the EHR may prove amenable to automated testing as "executable
requirements." OBJECTIVE: We aimed to establish feasibility of acceptance test
driven development of clinical decision support advisories in a commonly used
EHR, using an open source automated acceptance testing framework (FitNesse).
METHODS: Acceptance tests were initially constructed as spreadsheet tables to
facilitate clinical review. Each table specified one aspect of the CDS advisory's
expected behavior. Table contents were then imported into a test suite in
FitNesse, which queried the EHR database to automate testing. Tests and
corresponding CDS configuration were migrated together from the development
environment to production, with tests becoming part of the production regression
test suite. RESULTS: We used test-driven development to construct a new CDS tool
advising Emergency Department nurses to perform a swallowing assessment prior to
administering oral medication to a patient with suspected stroke. Test tables
specified desired behavior for (1) applicable clinical settings, (2) triggering
action, (3) rule logic, (4) user interface, and (5) system actions in response to
user input. Automated test suite results for the "executable requirements" are
shown prior to building the CDS alert, during build, and after successful build.
CONCLUSIONS: Automated acceptance test-driven development and continuous
regression testing of CDS configuration in a commercial EHR proves feasible with
open source software. Automated test-driven development offers one potential
contribution to achieving high-reliability EHR configuration. Vetting acceptance
tests with clinicians elicits their input on crucial configuration details early
during initial CDS design and iteratively during rapid-cycle optimization.
PMID- 29653925
TI - What is the cost of palliative care in the UK? A systematic review.
AB - OBJECTIVES: Little is known about the cost of a palliative care approach in the
UK, and there is an absence of robust activity and unit cost data. The aim of
this study was to review evidence on the costs of specialist and generalist
palliative care in the UK, and to explore different approaches used for capturing
activity and unit cost data. METHODS: A systematic review with narrative
synthesis. Four electronic databases were searched for empirical literature on
the costs of a palliative care approach in the UK, and a narrative method was
used to synthesise the data. RESULTS: Ten papers met our inclusion criteria. The
studies displayed significant variation in their estimates of the cost of
palliative care, therefore it was not possible to present an accurate aggregate
cost of palliative care in the UK. The majority of studies explored costs from a
National Health Service perspective and only two studies included informal care
costs. Approaches to estimating activity and costs varied. Particular challenges
were noted with capturing activity and cost data for hospice and informal care.
CONCLUSION: The data are limited, and the heterogeneity is such that it is not
possible to provide an aggregate cost of palliative care in the UK. It is notable
that the costs of hospice care and informal care are often neglected in economic
studies. Further work is needed to address methodological and practical
challenges in order to gain a more complete understanding of the costs of
palliative care.
PMID- 29653924
TI - Mitotic Exit Dysfunction through the Deregulation of APC/C Characterizes
Cisplatin-Resistant State in Epithelial Ovarian Cancer.
AB - Purpose: Acquired resistance to cisplatin is a major barrier to success in
treatment of various cancers, and understanding mitotic mechanisms unique to
cisplatin-resistant cancer cells can provide the basis for developing novel
mitotic targeted therapies aimed at eradicating these cells.Experimental Design:
Using cisplatin-resistant models derived from primary patient epithelial ovarian
cancer (EOC) cells, we have explored the status of mitotic exit mechanisms in
cisplatin-resistant cells.Results: We have uncovered an unexpected role of long
term cisplatin treatment in inducing mitotic exit vulnerability characterized by
increased spindle checkpoint activity and functional dependency on Polo-like
kinase 1 (PLK1) for mitotic exit in the presence of anaphase promoting
complex/cyclosome (APC/C) dysfunction in a cisplatin-resistant state.
Accordingly, PLK1 inhibition decreased the survival of cisplatin-resistant cells
in vitro and in vivo and exacerbated spindle checkpoint response in these cells.
APC/CCDC20 inhibition increased sensitivity to pharmacologic PLK1 inhibition,
further confirming the existence of APC/C dysfunction in cisplatin-resistant
cells. In addition, we uncovered that resistance to volasertib, PLK1 inhibitor,
is due to maintenance of cells with low PLK1 expression. Accordingly, stable PLK1
downregulation in cisplatin-resistant cells induced tolerance to
volasertib.Conclusions: We provide the first evidence of APC/C dysfunction in
cisplatin-resistant state, suggesting that understanding APC/C functions in
cisplatin-resistant state could provide a basis for developing novel mitotic exit
based therapies to eradicate cisplatin-resistant cancer cells. Our results also
show that PLK1 downregulation could underlie emergence of resistance to PLK1
targeted therapies in cancers. Clin Cancer Res; 24(18); 4588-601. (c)2018 AACR.
PMID- 29653928
TI - Response to: Can ultrasound of the major salivary glands assess histopathological
changes induced by treatment with rituximab in primary Sjogren's syndrome?
PMID- 29653926
TI - Inhibiting Fibronectin Attenuates Fibrosis and Improves Cardiac Function in a
Model of Heart Failure.
AB - BACKGROUND: Fibronectin (FN) polymerization is necessary for collagen matrix
deposition and is a key contributor to increased abundance of cardiac
myofibroblasts (MFs) after cardiac injury. We hypothesized that interfering with
FN polymerization or its genetic ablation in fibroblasts would attenuate MF and
fibrosis and improve cardiac function after ischemia/reperfusion (I/R) injury.
METHODS: Mouse and human MFs were used to assess the impact of the FN
polymerization inhibitor (pUR4) in attenuating pathological cellular features
such as proliferation, migration, extracellular matrix deposition, and associated
mechanisms. To evaluate the therapeutic potential of inhibiting FN polymerization
in vivo, wild-type mice received daily intraperitoneal injections of either pUR4
or control peptide (III-11C) immediately after cardiac surgery for 7 consecutive
days. Mice were analyzed 7 days after I/R to assess MF markers and inflammatory
cell infiltration or 4 weeks after I/R to evaluate long-term effects of FN
inhibition on cardiac function and fibrosis. Furthermore, inducible, fibroblast
restricted, FN gene-ablated (Tcf21MerCreMer; Fnflox) mice were used to evaluate
cell specificity of FN expression and polymerization in the heart. RESULTS: pUR4
administration on activated MFs reduced FN and collagen deposition into the
extracellular matrix and attenuated cell proliferation, likely mediated through
decreased c-myc signaling. pUR4 also ameliorated fibroblast migration accompanied
by increased beta1 integrin internalization and reduced levels of phosphorylated
focal adhesion kinase protein. In vivo, daily administration of pUR4 for 7 days
after I/R significantly reduced MF markers and neutrophil infiltration. This
treatment regimen also significantly attenuated myocardial dysfunction,
pathological cardiac remodeling, and fibrosis up to 4 weeks after I/R. Last,
inducible ablation of FN in fibroblasts after I/R resulted in significant
functional cardioprotection with reduced hypertrophy and fibrosis. The addition
of pUR4 to the FN-ablated mice did not confer further cardioprotection,
suggesting that the salutary effects of inhibiting FN polymerization may be
mediated largely through effects on FN secreted from the cardiac fibroblast
lineage. CONCLUSIONS: Inhibiting FN polymerization or cardiac fibroblast gene
expression attenuates pathological properties of MFs in vitro and ameliorates
adverse cardiac remodeling and fibrosis in an in vivo model of heart failure.
Interfering with FN polymerization may be a new therapeutic strategy for treating
cardiac fibrosis and heart failure.
PMID- 29653927
TI - Racial/ethnic variation and risk factors for allopurinol-associated severe
cutaneous adverse reactions: a cohort study.
AB - OBJECTIVES: To examine associations of race/ethnicity and purported risk factors
with hospitalised allopurinol-associated severe cutaneous adverse reactions
(AASCARs). METHODS: We used US Medicaid data to identify incident allopurinol
users between 1999 and 2012. We examined the risk of hospitalised AASCARs
according to race/ethnicity and purported key risk factors and calculated
relative risks (RR). RESULTS: Among 400 401 allopurinol initiators, we documented
203 hospitalised AASCAR cases (1 in 1972 initiators). The average AASCAR
hospitalisation was 9.6 days and 43 individuals (21%) died. The multivariable
adjusted RRs for AASCARs among blacks, Asians and Native Hawaiians/Pacific
Islanders compared with whites or Hispanics were 3.00 (95% CI 2.18 to 4.14), 3.03
(95% CI 1.72 to 5.34) and 6.68 (95% CI 4.37 to 10.22), respectively. Female sex,
older age (>=60 years), chronic kidney disease and initial allopurinol dose (>100
mg/day) were independently associated with a 2.5-fold, 1.7-fold, 2.3-fold and 1.9
fold higher risk of AASCAR, respectively. In our combined demographic analysis,
older women (>=60 years) of a high-risk race/ethnicity (blacks, Asians or Native
Hawaiians/Pacific Islanders) had over a 12-fold higher risk of hospitalised
AASCARs than younger men of a low-risk race/ethnicity (whites or Hispanics)
(multivariable-adjusted RR, 12.25; 95% CI 6.46 to 23.25). CONCLUSIONS: This
racially diverse (yet mostly white) cohort study indicates that the risk of
hospitalised AASCAR is rare overall, although blacks, Asians and Native
Hawaiians/Pacific-Islanders have a substantially higher risk of hospitalised
AASCARs, particularly among older women. These data also support the practice of
initiating allopurinol at a low dose (eg, <=100 mg/day).
PMID- 29653929
TI - Imaging Insights on the Aorta in Aging.
AB - The aorta has 2 main functions, conduit and cushion, and is designed to transmit
blood to the periphery and buffer pulsatile stress from ventricular contraction.
In the interaction between the structural and functional changes of the aorta,
aging and disease processes impact on aortic material properties and
hemodynamics. For a comprehensive assessment of changes in aortic structure and
function associated with aging and disease, noninvasive cardiovascular imaging
techniques, especially magnetic resonance imaging, have recently been developed.
Magnetic resonance imaging allows for direct and accurate measurement of
different aortic characteristics including structural measures such as aortic
area or volume, aortic length, curvature, and aortic wall thickness and
functional measures such as aortic strain, distensibility, and pulse wave
velocity. Excellent reproducibility of magnetic resonance imaging methods allows
us to assess the response of the whole aorta to both pharmacological and
nonpharmacological therapies. Aortic flow and functional assessment could be
added to clinical routine cardiac magnetic resonance as a comprehensive imaging
modality primarily performed for the noninvasive evaluation of left ventricular
function, left ventricular load, and vascular/ventricular coupling. New
techniques such as 4-dimensional flow could provide and further elucidate the
combined age-related effects of altered aortic geometry and function. This
following review will describe the pathophysiological aspects of the aorta and
the ability, value, and prospects of cardiovascular imaging, especially magnetic
resonance imaging, to study age-related changes in aortic structure and function
and assess the relationship between these alterations and cardiovascular disease.
PMID- 29653931
TI - The Future of Circulation: Cardiovascular Imaging: Expanding Our Reach and
Enhancing Our Value.
PMID- 29653933
TI - In Reply.
PMID- 29653930
TI - Cardiac Rotational Mechanics As a Predictor of Myocardial Recovery in Heart
Failure Patients Undergoing Chronic Mechanical Circulatory Support: A Pilot
Study.
AB - BACKGROUND: Impaired qualitative and quantitative left ventricular (LV)
rotational mechanics predict cardiac remodeling progression and prognosis after
myocardial infarction. We investigated whether cardiac rotational mechanics can
predict cardiac recovery in chronic advanced cardiomyopathy patients. METHODS AND
RESULTS: Sixty-three patients with advanced and chronic dilated cardiomyopathy
undergoing implantation of LV assist device (LVAD) were prospectively
investigated using speckle tracking echocardiography. Acute heart failure
patients were prospectively excluded. We evaluated LV rotational mechanics
(apical and basal LV twist, LV torsion) and deformational mechanics
(circumferential and longitudinal strain) before LVAD implantation. Cardiac
recovery post-LVAD implantation was defined as (1) final resulting LV ejection
fraction >=40%, (2) relative LV ejection fraction increase >=50%, (iii) relative
LV end-systolic volume decrease >=50% (all 3 required). Twelve patients fulfilled
the criteria for cardiac recovery (Rec Group). The Rec Group had significantly
less impaired pre-LVAD peak LV torsion compared with the Non-Rec Group. Notably,
both groups had similarly reduced pre-LVAD LV ejection fraction. By receiver
operating characteristic curve analysis, pre-LVAD peak LV torsion of 0.35
degrees/cm had a 92% sensitivity and a 73% specificity in predicting cardiac
recovery. Peak LV torsion before LVAD implantation was found to be an independent
predictor of cardiac recovery after LVAD implantation (odds ratio, 0.65 per 0.1
degrees/cm [0.49-0.87]; P=0.014). CONCLUSIONS: LV rotational mechanics seem to be
useful in selecting patients prone to cardiac recovery after mechanical unloading
induced by LVADs. Future studies should investigate the utility of these markers
in predicting durable cardiac recovery after the explantation of the cardiac
assist device.
PMID- 29653934
TI - Fingerprint Drug Analysis: Overcoming Pitfalls and Heading Toward the Future?
PMID- 29653935
TI - High-Sensitivity Cardiac Troponin T: Association of Creatine Kinase Catalytic
Activity With the 99th Percentile.
PMID- 29653936
TI - Atmospheric Pressure Chemical Ionization Is a Suboptimal Ionization Source for
Steroids.
PMID- 29653937
TI - Unusual case of suspected recurrent scarlet fever in a UK serviceman.
AB - The UK prevalence of scarlet fever, a Group A streptococcal infection, is
increasing. We present an unusual case of suspected recurrent scarlet fever in a
member of the UK Armed Forces. Treatments, occupational implication and public
health measures to mitigate the risk of disease spread.
PMID- 29653938
TI - Lessons identified from the 2017 Manchester and London terrorism incidents. Part
1: introduction and the prehospital phase.
AB - This is the first article in a three-part series detailing the lessons identified
during the NHS England clinical debrief meetings which followed the response to
the 2017 Manchester and London terrorist incidents. It covers the prehospital
phase including the overall key learning points, timeline information, scene
challenges, resource utilisation, triage, distribution and helicopter emergency
medical service feedback.
PMID- 29653939
TI - Adherence to reporting guidelines and clinical trial registration policies in
oncology journals: a cross-sectional review.
AB - Reporting guidelines (RG) aim to improve research transparency and ensure high
quality study reporting. Similarly, clinical trial registration policies aim to
reduce bias in results reporting by ensuring prospective registration of all
trial outcomes. Failure to adhere to quality standards documented in RGs may
result in low-quality and irreproducible research. Herein, we investigate the
adherence to common RGs and trial registration policies in 21 oncology journals.
We surveyed the Instructions for Authors page for each of the included oncology
journals for adherence to common reporting guidelines and trial registration
policies. We corresponded with editors to determine accepted study types and
cross-referenced this information with a journal's RGs and trial registration
policies to calculate the per cent of journals that adhere to a specific
guideline or policy. 76.2% (16/21) of oncology journals surveyed adhere to
Consolidated Standards of Reporting Trials guidelines for clinical trials while
only 33.3% (7/21) adhere to Strengthening the Reporting of Observational Studies
in Epidemiology for observational studies. Similarly, 76.2% (16/21) of oncology
journals adhere to clinical trial registration policies. We further demonstrate
that journal adherence to RGs positively affects author reporting, despite
adherence to trial registration policies showing no such benefit. Our results
show that oncology journals adhere to RGs and trial registration policies at a
higher rate than other specialties, but nonetheless show room for improvement. We
conclude that oncology journal adherence to RGs and trial registration policies
is encouraging, but nonetheless suboptimal. We recommend the adoption of RGs and
trial registration policies by all oncology journals.
PMID- 29653940
TI - The Atypical Rho GTPase CHW-1 Works with SAX-3/Robo To Mediate Axon Guidance in
Caenorhabditis elegans.
AB - During development, neuronal cells extend an axon toward their target destination
in response to a cue to form a properly functioning nervous system. Rho proteins,
Ras-related small GTPases that regulate cytoskeletal organization and dynamics,
cell adhesion, and motility, are known to regulate axon guidance. Despite
extensive knowledge about canonical Rho proteins (RhoA/Rac1/Cdc42), little is
known about the Caenorhabditis elegans (C. elegans) atypical Cdc42-like family
members CHW-1 and CRP-1 in regards to axon pathfinding and neuronal migration.
chw-1(Chp/Wrch) encodes a protein that resembles human Chp (Wrch-2/RhoV) and Wrch
1 (RhoU), and crp-1 encodes for a protein that resembles TC10 and TCL. Here, we
show that chw-1 works redundantly with crp-1 and cdc-42 in axon guidance.
Furthermore, proper levels of chw-1 expression and activity are required for
proper axon guidance. When examining CHW-1 GTPase mutants, we found that the
native CHW-1 protein is likely partially activated, and mutations at a conserved
residue (position 12 using Ras numbering, position 18 in CHW-1) alter axon
guidance and neural migration. Additionally, we showed that chw-1 genetically
interacts with the guidance receptor sax-3 in PDE neurons. Finally, in VD/DD
motor neurons, chw-1 works downstream of sax-3 to control axon guidance. In
summary, this is the first study implicating the atypical Rho GTPases chw-1 and
crp-1 in axon guidance. Furthermore, this is the first evidence of genetic
interaction between chw-1 and the guidance receptor sax-3 These data suggest that
chw-1 is likely acting downstream and/or in parallel to sax-3 in axon guidance.
PMID- 29653942
TI - Resistance to Antibody-Drug Conjugates.
AB - Antibody-drug conjugates (ADC) are multicomponent molecules constituted by an
antibody covalently linked to a potent cytotoxic agent. ADCs combine high target
specificity provided by the antibody together with strong antitumoral properties
provided by the attached cytotoxic agent. At present, four ADCs have been
approved and over 60 are being explored in clinical trials. Despite their
effectiveness, resistance to these drugs unfortunately occurs. Efforts to
understand the bases underlying such resistance are being carried out with the
final purpose of counteracting them. In this review, we report described
mechanisms of resistance to ADCs used in the clinic along with other potential
ones that may contribute to resistance acquisition. We also discuss strategies to
overcome resistance to ADCs. Cancer Res; 78(9); 2159-65. (c)2018 AACR.
PMID- 29653943
TI - Sirtuin-1 (SIRT1) stimulates growth-plate chondrogenesis by attenuating the PERK
eIF-2alpha-CHOP pathway in the unfolded protein response.
AB - The NAD+-dependent deacetylase sirtuin-1 (SIRT1) has emerged as an important
regulator of chondrogenesis and cartilage homeostasis, processes that are
important for physiological skeletal growth and that are dysregulated in
osteoarthritis. However, the functional role and underlying mechanism by which
SIRT1 regulates chondrogenesis remain unclear. Using cultured rat metatarsal
bones and chondrocytes isolated from rat metatarsal rudiments, here we studied
the effects of the SIRT1 inhibitor EX527 or of SIRT1 siRNA on chondrocyte
proliferation, hypertrophy, and apoptosis. We show that EX527 or SIRT1 siRNA
inhibits chondrocyte proliferation and hypertrophy and induces apoptosis. We also
observed that SIRT1 inhibition mainly induces the PERK-eIF-2alpha-CHOP axis of
the endoplasmic reticulum (ER) stress response in growth-plate chondrocytes. Of
note, EX527- or SIRT1 siRNA-mediated inhibition of metatarsal growth and growth
plate chondrogenesis were partly neutralized by phenylbutyric acid, a chemical
chaperone that attenuates ER stress. Moreover, EX527-mediated impairment of
chondrocyte function (i.e. of chondrocyte proliferation, hypertrophy, and
apoptosis) was partly reversed in CHOP-/- cells. We also present evidence that
SIRT1 physically interacts with and deacetylates PERK. Collectively, our findings
indicate that SIRT1 deacetylates PERK and attenuates the PERK-eIF-2alpha-CHOP
axis of the unfolded protein response pathway and thereby promotes growth-plate
chondrogenesis and longitudinal bone growth.
PMID- 29653944
TI - Selective inhibition of intestinal guanosine 3',5'-cyclic monophosphate signaling
by small-molecule protein kinase inhibitors.
AB - The guanosine 3',5'-cyclic monophosphate (cGMP)-dependent protein kinase II
(cGKII) serine/threonine kinase relays signaling through guanylyl cyclase C (GCC)
to control intestinal fluid homeostasis. Here, we report the discovery of small
molecule inhibitors of cGKII. These inhibitors were imidazole-aminopyrimidines,
which blocked recombinant human cGKII at submicromolar concentrations but
exhibited comparatively little activity toward the phylogenetically related
protein kinases cGKI and cAMP-dependent protein kinase (PKA). Whereas
aminopyrimidyl motifs are common in protein kinase inhibitors, molecular modeling
of these imidazole-aminopyrimidines in the ATP-binding pocket of cGKII indicated
an unconventional binding mode that directs their amine substituent into a narrow
pocket delineated by hydrophobic residues of the hinge and the alphaC-helix.
Crucially, this set of residues included the Leu-530 gatekeeper, which is not
conserved in cGKI and PKA. In intestinal organoids, these compounds blocked cGKII
dependent phosphorylation of the vasodilator-stimulated phosphoprotein (VASP). In
mouse small intestinal tissue, cGKII inhibition significantly attenuated the
anion secretory response provoked by the GCC-activating bacterial heat-stable
toxin (STa), a frequent cause of infectious secretory diarrhea. In contrast, both
PKA-dependent VASP phosphorylation and intestinal anion secretion were unaffected
by treatment with these compounds, whereas experiments with T84 cells indicated
that they weakly inhibit the activity of cAMP-hydrolyzing phosphodiesterases. As
these protein kinase inhibitors are the first to display selective inhibition of
cGKII, they may expedite research on cGMP signaling and may aid future
development of therapeutics for managing diarrheal disease and other pathogenic
syndromes that involve cGKII.
PMID- 29653941
TI - Guidelines for the investigation of chronic diarrhoea in adults: British Society
of Gastroenterology, 3rd edition.
AB - Chronic diarrhoea is a common problem, hence clear guidance on investigations is
required. This is an updated guideline from 2003 for the investigations of
chronic diarrhoea commissioned by the Clinical Services and Standards Committee
of the British Society of Gastroenterology (BSG). This document has undergone
significant revision in content through input by 13 members of the Guideline
Development Group (GDG) representing various institutions. The GRADE system was
used to appraise the quality of evidence and grading of recommendations.
PMID- 29653945
TI - Cullin-3-RING ubiquitin ligase activity is required for striated muscle function
in mice.
AB - Control of protein homeostasis is an essential cellular process that, when
perturbed, can result in the deregulation or toxic accumulation of proteins.
Owing to constant mechanical stress, striated muscle proteins are particularly
prone to wear and tear and require several protein quality-control mechanisms to
coordinate protein turnover and removal of damaged proteins. Kelch-like proteins,
substrate adapters for the Cullin-3 (Cul3)-RING ligase (CRL3) complex, are
emerging as critical regulators of striated muscle development and function,
highlighting the importance of Cul3-mediated proteostasis in muscle function. To
explore the role of Cul3-mediated proteostasis in striated muscle, here we
deleted Cul3 specifically in either skeletal muscle (SkM-Cul3 KO) or
cardiomyocytes (CM-Cul3 KO) of mice. The loss of Cul3 caused neonatal lethality
and dramatic alterations in the proteome, which were unique to each striated
muscle type. Many of the proteins whose expression was significantly changed in
the SkM-Cul3 KO were components of the extracellular matrix and sarcomere,
whereas proteins altered in the CM-Cul3 KO were involved in metabolism. These
findings highlight the requirement for striated muscle-specific CRL3 activity and
indicate how the CRL3 complex can control different nodes of protein interaction
networks in different types of striated muscle. Further identification of Cul3
substrates, and how these substrates are targeted, may reveal therapeutic targets
and treatment regimens for striated muscle diseases.
PMID- 29653947
TI - "Recent lessons learned in the management of acute exacerbation of idiopathic
pulmonary fibrosis." Yasuhiro Kondoh, Vincent Cottin and Kevin K. Brown. Eur
Respir Rev 2017; 26: 170050.
PMID- 29653946
TI - A European regulatory perspective on cystic fibrosis: current treatments, trends
in drug development and translational challenges for CFTR modulators.
AB - In this article we analyse the current authorised treatments and trends in early
drug development for cystic fibrosis (CF) in the European Union for the time
period 2000-2016. The analysis indicates a significant improvement in the
innovation and development of new potential medicines for CF, shifting from
products that act on the symptoms of the disease towards new therapies targeting
the cause of CF. However, within these new innovative medicines, results for CF
transmembrane conductance regulator (CFTR) modulators indicate that one major
challenge for turning a CF concept product into an actual medicine for the
benefit of patients resides in the fact that, although pre-clinical models have
shown good predictability for certain mutations, a good correlation to clinical
end-points or biomarkers (e.g. forced expiratory volume in 1 s and sweat
chloride) for all mutations has not yet been achieved. In this respect, the use
of alternative end-points and innovative nonclinical models could be helpful for
the understanding of those translational discrepancies. Collaborative endeavours
to promote further research and development in these areas as well as early
dialogue with the regulatory bodies available at the European competent
authorities are recommended.
PMID- 29653948
TI - Precision medicine and personalising therapy in pulmonary hypertension: seeing
the light from the dawn of a new era.
AB - Pulmonary hypertension (PH) and pulmonary arterial hypertension (PAH) include
different cardiopulmonary disorders in which the interaction of multiple genes
with environmental and behavioural factors modulates the onset and the
progression of these severe conditions. Although the development of therapeutic
agents that modulate abnormalities in three major pathobiological pathways for
PAH has revolutionised our approach to the treatment of PAH, the long-term
survival rate remains unsatisfactory. Accumulating evidence has underlined that
clinical outcomes and responses to therapy in PAH are modified by multiple
factors, including genetic variations, which will be different for each
individual. Since precision medicine, also known as stratified medicine or
personalised medicine, aims to better target intervention to the individual while
maximising benefit and minimising harm, it has significant potential advantages.
This article aims to assemble and discuss the different initiatives that are
currently underway in the PH/PAH fields together with the opportunities and
prospects for their use in the near future.
PMID- 29653949
TI - How should we monitor patients with acute respiratory failure treated with
noninvasive ventilation?
AB - Noninvasive ventilation (NIV) is currently one of the most commonly used support
methods in hypoxaemic and hypercapnic acute respiratory failure (ARF). With
advancing technology and increasing experience, not only are indications for NIV
getting broader, but more severe patients are treated with NIV. Depending on
disease type and clinical status, NIV can be applied both in the general ward and
in high-dependency/intensive care unit settings with different environmental
opportunities. However, it is important to remember that patients with ARF are
always very fragile with possible high mortality risk. The delay in recognition
of unresponsiveness to NIV, progression of respiratory failure or new-onset
complications may result in devastating and fatal outcomes. Therefore, it is
crucial to understand that timely action taken according to monitoring variables
is one of the key elements for NIV success. The purpose of this review is to
outline basic and advanced monitoring techniques for NIV during an ARF episode.
PMID- 29653950
TI - Personalised medicine in interstitial lung diseases: Number 6 in the Series
"Personalised medicine in respiratory diseases" Edited by Renaud Louis and
Nicolas Roche.
AB - Interstitial lung diseases in general, and idiopathic pulmonary fibrosis in
particular, are complex disorders with multiple pathogenetic pathways, various
disease behaviour profiles and different responses to treatment, all facets that
make personalised medicine a highly attractive concept. Personalised medicine is
aimed at describing distinct disease subsets taking into account individual
lifestyle, environmental exposures, genetic profiles and molecular pathways. The
cornerstone of personalised medicine is the identification of biomarkers that can
be used to inform diagnosis, prognosis and treatment stratification. At present,
no data exist validating a personalised approach in individual diseases. However,
the importance of the goal amply justifies the characterisation of genotype and
pathway signatures with a view to refining prognostic evaluation and trial
design, with the ultimate aim of selecting treatments according to profiles in
individual patients.
PMID- 29653951
TI - SLAM-seq Identifies Direct Transcriptional Targets of BRD4 and MYC.
AB - BRD4 activates RNA Pol2-dependent transcription, whereas MYC activates a confined
set of target genes.
PMID- 29653952
TI - Larotrectinib Has Antitumor Activity in TRK+ Pediatric Solid Tumors.
AB - Larotrectinib achieved a 93% response rate in pediatric patients with TRK fusion
positive tumors.
PMID- 29653953
TI - The IL15 Superagonist ALT-803 plus Nivolumab Has Antitumor Activity.
AB - Nivolumab in combination with ALT-803 is tolerable and achieves responses in
patients with NSCLC.
PMID- 29653954
TI - Glioblastoma Stem Cell-Tumor Cell Cross-talk Drives Gliomagenesis.
AB - Reciprocal paracrine signaling between GBM stem cells and GBM cells promotes
tumor growth.
PMID- 29653956
TI - Burden of Proof for Tolvaptan in ADPKD: Did REPRISE Provide the Answer?
PMID- 29653957
TI - Management of the Incidental Kidney Mass in the Nephrology Clinic.
PMID- 29653958
TI - Treatment of Depression in CKD Patients with an SSRI: Why Things Don't Always
Turn Out as You Expect.
PMID- 29653955
TI - Mechanisms of Oncogene-Induced Replication Stress: Jigsaw Falling into Place.
AB - Oncogene activation disturbs cellular processes and accommodates a complex
landscape of changes in the genome that contribute to genomic instability, which
accelerates mutation rates and promotes tumorigenesis. Part of this cellular
turmoil involves deregulation of physiologic DNA replication, widely described as
replication stress. Oncogene-induced replication stress is an early driver of
genomic instability and is attributed to a plethora of factors, most notably
aberrant origin firing, replication-transcription collisions, reactive oxygen
species, and defective nucleotide metabolism.Significance: Replication stress is
a fundamental step and an early driver of tumorigenesis and has been associated
with many activated oncogenes. Deciphering the mechanisms that contribute to the
replication stress response may provide new avenues for targeted cancer
treatment. In this review, we discuss the latest findings on the DNA replication
stress response and examine the various mechanisms through which activated
oncogenes induce replication stress. Cancer Discov; 8(5); 537-55. (c)2018 AACR.
PMID- 29653960
TI - Hepatobiliary Disposition of Atovaquone: A Case of Mechanistically Unusual
Biliary Clearance.
AB - Atovaquone, an antiprotozoal and antipneumocystic agent, is predominantly cleared
by biliary excretion of unchanged parent drug. Atovaquone is >=10,000-fold
concentrated in human bile relative to unbound plasma. Even after correcting for
apparent nonspecific binding and incomplete solubility in bile, atovaquone is
still concentrated >=100-fold in bile, consistent with active biliary excretion.
Mechanisms of atovaquone hepatobiliary disposition were studied using a
multiexperimental in vitro and in vivo approach. Atovaquone uptake was not
elevated in HEK293 cells singly overexpressing OATP1B1, OATP1B3, OATP2B1, OCT1,
NTCP, or OAT2. Hepatocyte uptake of atovaquone was not impaired by OATP and OCT
inhibitor cocktail (rifamycin and imipramine). Atovaquone liver-to-blood ratio at
distributional equilibrium was not reduced in Oatp1a/1b and Oct1/2 knockout mice.
Atovaquone exhibited efflux ratios of approximately unity in P-gp and BCRP
overexpressing MDCK cell monolayers and did not display enhanced uptake in MRP2
vesicles. Biliary and canalicular clearance were not decreased in P-gp, Bcrp,
Mrp2, and Bsep knockout rats. In the present study, we rule out the involvement
of major known basolateral uptake and bile canalicular efflux transporters in the
hepatic uptake and biliary excretion of atovaquone. This is the first known
example of a drug cleared by biliary excretion in humans, with extensive biliary
concentration, which is not transported by the mechanisms investigated herein.
PMID- 29653959
TI - Remote Atmospheric Pressure Infrared Matrix-Assisted Laser Desorption-Ionization
Mass Spectrometry (Remote IR-MALDI MS) of Proteins.
AB - Remote Infrared Matrix-Assisted Laser Desorption/Ionization (Remote IR-MALDI)
system using tissue endogenous water as matrix was shown to enable in vivo real
time mass spectrometry analysis with minimal invasiveness. Initially the system
was used to detect metabolites and lipids. Here, we demonstrate its capability to
detect and analyze peptides and proteins. Very interestingly, the corresponding
mass spectra show ESI-like charge state distribution, opening many applications
for structural elucidation to be performed in real-time by Top-Down strategy. The
charge states show no dependence toward laser wavelength or length of the
transfer tube. Indeed, remote analysis can be performed 5 m away from the mass
spectrometer without modification of spectra. On the contrary, addition of
glycerol to water shift the charge state distributions toward even higher charge
states. The desorption/ionization process is very soft, allowing to maintain
protein conformation as in ESI. Observation of proteins and similar spectral
features on tissue, when protein standards are deposited on raw tissue pieces,
could potentially open the way to their direct analysis from biological samples.
This also brings interesting features that could contribute to the understanding
of IR MALDI ionization mechanism.
PMID- 29653961
TI - Analysis of the Indacaterol-Regulated Transcriptome in Human Airway Epithelial
Cells Implicates Gene Expression Changes in the Adverse and Therapeutic Effects
of beta2-Adrenoceptor Agonists.
AB - The contribution of gene expression changes to the adverse and therapeutic
effects of beta2-adrenoceptor agonists in asthma was investigated using human
airway epithelial cells as a therapeutically relevant target. Operational model
fitting established that the long-acting beta2-adrenoceptor agonists (LABA)
indacaterol, salmeterol, formoterol, and picumeterol were full agonists on BEAS
2B cells transfected with a cAMP-response element reporter but differed in
efficacy (indacaterol >= formoterol > salmeterol >= picumeterol). The
transcriptomic signature of indacaterol in BEAS-2B cells identified 180, 368,
252, and 10 genes that were differentially expressed (>1.5- to <0.67-fold) after
1-, 2-, 6-, and 18-hour of exposure, respectively. Many upregulated genes (e.g.,
AREG, BDNF, CCL20, CXCL2, EDN1, IL6, IL15, IL20) encode proteins with
proinflammatory activity and are annotated by several, enriched gene ontology
(GO) terms, including cellular response to interleukin-1, cytokine activity, and
positive regulation of neutrophil chemotaxis The general enriched GO term
extracellular space was also associated with indacaterol-induced genes, and many
of those, including CRISPLD2, DMBT1, GAS1, and SOCS3, have putative anti
inflammatory, antibacterial, and/or antiviral activity. Numerous indacaterol
regulated genes were also induced or repressed in BEAS-2B cells and human primary
bronchial epithelial cells by the low efficacy LABA salmeterol, indicating that
this genomic effect was neither unique to indacaterol nor restricted to the BEAS
2B airway epithelial cell line. Collectively, these data suggest that the
consequences of inhaling a beta2-adrenoceptor agonist may be complex and involve
widespread changes in gene expression. We propose that this genomic effect
represents a generally unappreciated mechanism that may contribute to the adverse
and therapeutic actions of beta2-adrenoceptor agonists in asthma.
PMID- 29653962
TI - FF-10502, an Antimetabolite with Novel Activity on Dormant Cells, Is Superior to
Gemcitabine for Targeting Pancreatic Cancer Cells.
AB - In this paper, we report that 1-(2-deoxy-2-fluoro-4-thio-beta-d-arabinofuranosyl)
cytosine (FF-10502), a pyrimidine nucleoside antimetabolite with a chemical
structure similar to gemcitabine, shows beneficial anticancer activity via a
novel mechanism of action on dormant cells. The growth inhibition of pancreatic
cancer cell lines by FF-10502 (IC50, 60-330 nM) was moderately weaker than that
by gemcitabine in vitro. In contrast, an in vivo orthotopic implantation model in
mice with established human pancreatic cancer cell line, SUIT-2, revealed no
mortality with FF-10502 intravenous treatment, which was related to regression of
implanted tumor and little metastasis, whereas 75% of the mice treated with
gemcitabine died by day 128. Two in vivo patient-derived xenograft models with
gemcitabine-resistant pancreatic cancer cells also demonstrated complete tumor
growth suppression with FF-10502, but only partial inhibition with gemcitabine.
We also investigated the mechanism of action of FF-10502 by using dormant cancer
cells, which are reportedly involved in the development of resistance to
chemotherapy. In vitro serum starvation-induced dormant SUIT-2 cells developed
resistance to gemcitabine even in combination with DNA damage inducers (DDIs;
H2O2, cisplatin, and temozolomide). Interestingly, FF-10502 in combination with
DDIs significantly induced concentration-dependent cell death in accordance with
enhanced DNA damage. FF-10502 was far more potent than gemcitabine in inhibiting
DNA polymerase beta, which may explain the difference in dormant cell injury,
although further investigations for direct evidences are necessary. In
conclusion, our study demonstrated the beneficial antitumor effects of FF-10502
in clinically relevant in vivo models, and suggests the importance of preventing
DNA repair unlike gemcitabine.
PMID- 29653963
TI - NOX4 Deletion in Male Mice Exacerbates the Effect of Ethanol on Trabecular Bone
and Osteoblastogenesis.
AB - Chronic alcohol consumption increases bone resorption and decreases bone
formation. A major component of ethanol (EtOH) pathology in bone is the
generation of excess reactive oxygen species (ROS). The ROS-generating NADPH
oxidase-4 (NOX4) is proposed to drive much of the EtOH-induced suppression of
bone formation. Here, 13-week-old male wild-type (WT) and NOX4-/- mice were pair
fed (PF) a high-fat (35%), Lieber-DeCarli liquid diet with or without EtOH at 30%
of their total calories for 12 weeks. Micro-computed tomography analysis
demonstrated significant decreases in trabecular bone volume/total volume (BV/TV)
percentage and cortical thickness in WT, EtOH-fed mice compared with PF controls.
EtOH-fed NOX4-/- mice also displayed decreased trabecular BV/TV and trabecular
number compared with PF (P < 0.05). However, NOX4-/- mice were protected against
EtOH-induced decreases in cortical thickness (P < 0.05) and decreases in
collagen1 and osteocalcin mRNA expression in cortical bone (P < 0.05). In WT and
NOX4-/- vertebral bone, EtOH suppressed expression of Wnt signaling components
that promote osteoblast maturation. A role for NOX4 in EtOH inhibition of
osteoblast differentiation was further demonstrated by protection against EtOH
inhibition of osteoblastogenesis in ex vivo bone marrow cultures from NOX4-/-,
but not p47phox-/- mice lacking active NADPH oxidase-2. However, bone marrow
cultures from NOX4-/- mice formed fewer osteoblastic colonies compared with WT
cultures (P < 0.05), suggesting a role for NOX4 in the maintenance of mesenchymal
progenitor cell populations. These data suggest that NOX4 deletion is partially
protective against EtOH effects on osteoblast differentiation, but may predispose
bone to osteogenic impairments.
PMID- 29653964
TI - TRRAP is essential for regulating the accumulation of mutant and wild-type p53 in
lymphoma.
AB - Tumors accumulate high levels of mutant p53 (mutp53), which contributes to mutp53
gain-of-function properties. The mechanisms that underlie such excessive
accumulation are not fully understood. To discover regulators of mutp53 protein
accumulation, we performed a large-scale RNA interference screen in a Burkitt
lymphoma cell line model. We identified transformation/transcription domain
associated protein (TRRAP), a constituent of several histone acetyltransferase
complexes, as a critical positive regulator of both mutp53 and wild-type p53
levels. TRRAP silencing attenuated p53 accumulation in lymphoma and colon cancer
models, whereas TRRAP overexpression increased mutp53 levels, suggesting a role
for TRRAP across cancer entities and p53 mutations. Through clustered regularly
interspaced short palindromic repeats (CRISPR)-Cas9 screening, we identified a
109-amino-acid region in the N-terminal HEAT repeat region of TRRAP that was
crucial for mutp53 stabilization and cell proliferation. Mass spectrometric
analysis of the mutp53 interactome indicated that TRRAP silencing caused
degradation of mutp53 via the MDM2-proteasome axis. This suggests that TRRAP is
vital for maintaining mutp53 levels by shielding it against the natural p53
degradation machinery. To identify drugs that alleviated p53 accumulation
similarly to TRRAP silencing, we performed a small-molecule drug screen and found
that inhibition of histone deacetylases (HDACs), specifically HDAC1/2/3,
decreased p53 levels to a comparable extent. In summary, here we identify TRRAP
as a key regulator of p53 levels and link acetylation-modifying complexes to p53
protein stability. Our findings may provide clues for therapeutic targeting of
mutp53 in lymphoma and other cancers.
PMID- 29653965
TI - Patients with CD3G mutations reveal a role for human CD3gamma in Treg diversity
and suppressive function.
AB - Integrity of the T-cell receptor/CD3 complex is crucial for positive and negative
selection of T cells in the thymus and for effector and regulatory functions of
peripheral T lymphocytes. In humans, CD3D, CD3E, and CD3Z gene defects are a
cause of severe immune deficiency and present early in life with increased
susceptibility to infections. By contrast, CD3G mutations lead to milder
phenotypes, mainly characterized by autoimmunity. However, the role of CD3gamma
in establishing and maintaining immune tolerance has not been elucidated. In this
manuscript, we aimed to investigate abnormalities of T-cell repertoire and
function in patients with genetic defects in CD3G associated with autoimmunity.
High throughput sequencing was used to study composition and diversity of the T
cell receptor beta (TRB) repertoire in regulatory T cells (Tregs), conventional
CD4+ (Tconv), and CD8+ T cells from 6 patients with CD3G mutations and healthy
controls. Treg function was assessed by studying its ability to suppress
proliferation of Tconv cells. Treg cells of patients with CD3G defects had
reduced diversity, increased clonality, and reduced suppressive function. The TRB
repertoire of Tconv cells from patients with CD3G deficiency was enriched for
hydrophobic amino acids at positions 6 and 7 of the CDR3, a biomarker of self
reactivity. These data demonstrate that the T-cell repertoire of patients with
CD3G mutations is characterized by a molecular signature that may contribute to
the increased rate of autoimmunity associated with this condition.
PMID- 29653966
TI - The impact of a changing atmosphere on chloroplast function, photosynthesis,
yield, and food security.
AB - A convergence of global factors is adding to the difficulties of securing a
sustainable supply of food and feed to support the increasing global population.
The positive impact of the rise in atmospheric CO2 on photosynthesis is more than
offset by the increase in average global temperatures accompanying the change in
atmospheric composition. This article provides a brief overview of how these
adverse events affect some of the critical molecular processes of the chloroplast
and by extension how this impacts the yields of the major crops. Although the
tools are available to introduce genetic elements in most crops that will
mitigate these adverse factors, the time needed to validate and optimize these
traits can be extensive. There is a major concern that at the current rate of
change to atmospheric composition and the accompanying rise in temperature the
benefits of these traits may be rendered less effective soon after their
introduction.
PMID- 29653967
TI - Increasing metabolic potential: C-fixation.
AB - Due to the growing world population, crop yields must increase to meet the rising
demand. Crop plants also require adaptation to optimize performance in the
changing environments caused by climate change. Improving photosynthetic carbon
fixation is a promising, albeit technically challenging, strategy whose potential
has only just begun to be considered in breeding programmes. Ribulose-1,5
bisphosphate carboxylase/oxygenase (Rubisco), a fundamental enzyme of carbon
fixation, is extremely inefficient and many strategies to improve photosynthesis
focus on overcoming the limitations of this enzyme, either by improving Rubisco
activity and regulation or by improving the supply of substrates. Although
progress is being made, the need to tailor solutions for each crop and their
respective environments has been highlighted. Even so, continuing research will
be required to achieve these objectives and to grow crops more sustainably in the
future.
PMID- 29653968
TI - Developmental Timing Is Everything: TZP and Phytochrome Signaling.
PMID- 29653969
TI - So Inclined: Phosphate Status and Leaf Angle in Rice.
PMID- 29653970
TI - Mesenchymal stromal cells: a novel therapy for the treatment of chronic
obstructive pulmonary disease?
AB - COPD is characterised by tissue destruction and inflammation. Given the lack of
curative treatments and the progressive nature of the disease, new treatments for
COPD are highly relevant. In vitro cell culture and animal studies have
demonstrated that mesenchymal stromal cells (MSCs) have the capacity to modify
immune responses and to enhance tissue repair. These properties of MSCs provided
a rationale to investigate their potential for treatment of a variety of
diseases, including COPD. Preclinical models support the hypothesis that MSCs may
have clinical efficacy in COPD. However, although clinical trials have
demonstrated the safety of MSC treatment, thus far they have not provided
evidence for MSC efficacy in the treatment of COPD. In this review, we discuss
the rationale for MSC-based cell therapy in COPD, the main findings from in vitro
and in vivo preclinical COPD model studies, clinical trials in patients with COPD
and directions for further research.
PMID- 29653971
TI - Safety, Pharmacokinetics, and Dosimetry of a Long-Acting Radiolabeled
Somatostatin Analog 177Lu-DOTA-EB-TATE in Patients with Advanced Metastatic
Neuroendocrine Tumors.
AB - Radiolabeled somatostatin analog therapy has become an established treatment
method for patients with well to moderately differentiated unresectable or
metastatic neuroendocrine tumors (NETs). The most frequently used somatostatin
analogs in clinical practice are octreotide and octreotate. However, both
peptides showed suboptimal retention within tumors. The aim of this first-in
humans study is to explore the safety and dosimetry of a long-acting radiolabeled
somatostatin analog, 177Lu-1, 4, 7, 10-tetra-azacyclododecane-1, 4, 7, 10
tetraacetic acid-Evans blue-octreotate (177Lu-DOTA-EB-TATE). Methods: Eight
patients (6 men and 2 women; age range, 27-61 y) with advanced metastatic NETs
were recruited. Five patients received a single dose, 0.35-0.70 GBq (9.5-18.9
mCi), of 177Lu-DOTA-EB-TATE and underwent serial whole-body planar and SPECT/CT
scans at 2, 24, 72, 120, and 168 h after injection. The other 3 patients received
intravenous injection of 0.28-0.41 GBq (7.5-11.1 mCi) of 177Lu-DOTATATE for the
same imaging acquisition procedures at 1, 3, 4, 24, and 72 h after injection. The
dosimetry was calculated using the OLINDA/EXM 1.1 software. Results:
Administration of 177Lu-DOTA-EB-TATE was well tolerated, with no adverse symptoms
being noticed or reported in any of the patients. Compared with 177Lu-DOTATATE,
177Lu-DOTA-EB-TATE showed extended circulation in the blood and achieved a 7.9
fold increase of tumor dose delivery. The total-body effective doses were 0.205
+/- 0.161 mSv/MBq for 177Lu-DOTA-EB-TATE and 0.174 +/- 0.072 mSv/MBq for 177Lu
DOTATATE. Significant dose delivery increases to the kidneys and bone marrow were
also observed in patients receiving 177Lu-DOTA-EB-TATE compared with those
receiving 177Lu-DOTATATE (3.2 and 18.2-fold, respectively). Conclusion: By
introducing an albumin-binding moiety, 177Lu-DOTA-EB-TATE showed remarkably
higher uptake and retention in NETs as well as significantly increased
accumulation in the kidneys and red marrow. It has great potential to be used in
peptide receptor radionuclide therapy for NETs with lower dose and less frequency
of administration.
PMID- 29653972
TI - 18F-FDG PET/CT in Autosomal Dominant Polycystic Kidney Disease Patients with
Suspected Cyst Infection.
AB - The objective of this study was to determine the value of 18F-FDG PET/CT for
diagnosing renal or hepatic cyst infection in patients with autosomal dominant
polycystic kidney disease (ADPKD). Methods: This retrospective, single-center
study included all patients who had ADPKD and underwent 18F-FDG PET/CT because of
suspected cyst infection between 2010 and 2017. Results: Thirty 18F-FDG PET/CT
scans of 30 individual patients were included; 19 of them had positive results
for cyst infection. According to a previously established clinical and
biochemical reference standard, 18F-FDG PET/CT achieved a sensitivity of 88.9%, a
specificity of 75.0%, a positive predictive value of 84.2%, and a negative
predictive value of 81.8% for the diagnosis of cyst infection. In 5 cases, 18F
FDG PET/CT suggested that the symptoms could be explained by a different
pathologic process, including pneumonia (n = 1), generalized peritonitis (n = 1),
pancreatitis (n = 1), colitis (n = 1), and cholangitis (n = 1). The total
duration of the hospital stay and the duration between the 18F-FDG PET/CT scan
and hospital discharge for patients with 18F-FDG PET/CT scan results that were
positive for cyst infection were significantly longer than those for patients
with negative scan results (P = 0.005 and P = 0.009, respectively). Creatinine
levels were significantly higher in patients with 18F-FDG PET/CT scan results
that were positive for cyst infection than in patients with negative scan results
(P = 0.015). Other comparisons of clinical parameters (age, sex, presence of
fever [>38.5 degrees C] for more than 3 d, abdominal pain, history of solid-organ
transplantation and nephrectomy, and immune status), laboratory values (C
reactive protein level, leukocyte count, and estimated glomerular filtration
rate), and microbiologic test results (blood and urine cultures) were not
significantly different (P = 0.13-1.00) in patients with positive and negative
18F-FDG PET/CT scan results. Conclusion: 18F-FDG PET/CT is a useful imaging
modality for the evaluation of patients with ADPKD and suspected cyst infection.
PMID- 29653973
TI - Radioiodinated Small-Molecule Tyrosine Kinase Inhibitor for HER2-Selective SPECT
Imaging.
AB - One of the most clinically relevant molecular aberrations in breast cancer is
overexpression of human epidermal growth factor receptor type 2 (HER2). We aimed
to develop a radiolabeled tyrosine kinase inhibitor for HER2-targeted breast
cancer imaging. In this study, a radioiodinated analog (125/131I-IBA-CP) of the
HER2-selective inhibitor CP724,714 was prepared and evaluated in HER2-positive or
-negative subcutaneous human breast cancer xenografts. Methods: The CP724,714
analog IBA-CP was synthesized and assayed for its inhibitory activities against
HER2 and 6 other tyrosine kinases. 125/131I-IBA-CP was prepared using a copper
mediated radioiodination method with enhanced labeling yield and molar activity.
In vitro biologic activity, including specific and nonspecific binding of 131I
IBA-CP to its HER2 kinase target, was assessed in different cell lines. In vivo
small-animal 125I-IBA-CP SPECT imaging and biodistribution studies were conducted
on mice bearing HER2-positive, HER2-negative, or epidermal growth factor receptor
(EGFR)-positive tumors. Nonradioactive IBA-CP and the EGFR inhibitor erlotinib
were used as blocking agents to investigate the binding specificity and
selectivity of 125/131I-IBA-CP toward HER2 in vitro and in vivo. Additionally,
125/131I-ICP was prepared by direct radioiodination of CP724,714 for comparison
with 125/131I-IBA-CP. Results: IBA-CP displayed superior in vitro inhibitory
activity (half-maximal inhibitory concentration, 16 nM) and selectivity for HER2
over 6 other cancer-related tyrosine kinases. 125/131I-IBA-CP was prepared in a
typical radiochemical yield of about 65% (decay-corrected), radiochemical purity
of more than 98%, and molar activity of 42 GBq/MUmol at the end of synthesis.
SPECT imaging revealed significantly higher uptake of 125I-IBA-CP than of 125I
ICP in the HER2-positive MDA-MB-453 tumors. Uptake in the HER2-negative MCF-7
tumors was much lower. Binding of 125I-IBA-CP in the MDA-MB-453 tumors was
blocked by coinjection with an excess amount of IBA-CP, but not by erlotinib.
Conclusion: The radiolabeled HER2-selective inhibitor 125/131I-IBA-CP is a
promising probe for in vivo detection of HER2-positive tumors.
PMID- 29653974
TI - Development of a Dedicated Rebinner with Rigid Motion Correction for the mMR
PET/MR Scanner, and Validation in a Large Cohort of 11C-PIB Scans.
AB - Head motion occurring during brain PET studies leads to image blurring and to
bias in measured local quantities. The objective of this work was to implement a
correction method for PET data acquired with the mMR synchronous PET/MR scanner.
Methods: A list-mode-based motion-correction approach has been designed. The
developed rebinner chronologically reads the recorded events from the Siemens
list-mode file, applies the estimated geometric transformations, and frames the
detected counts into sinograms. The rigid-body motion parameters were estimated
from an initial dynamic reconstruction of the PET data. We then optimized the
correction for 11C-Pittsburgh compound B (11C-PIB) scans using simulated and
actual data with well-controlled motion. Results: An efficient list-mode-based
motion correction approach has been implemented, fully optimized, and validated
using simulated and actual PET data. The average spatial resolution loss induced
by inaccuracies in motion parameter estimates and by the rebinning process was
estimated to correspond to a 1-mm increase in full width at half maximum with
motion parameters estimated directly from the PET data with a temporal frequency
of 20 s. The results show that the rebinner can be safely applied to the 11C-PIB
scans, allowing almost complete removal of motion-induced artifacts. The
application of the correction method to a large cohort of 11C-PIB scans led to
the following observations: first, that more than 21% of the scans were affected
by motion greater than 10 mm (39% for subjects with Mini-Mental State Examination
scores below 20), and second, that the correction led to quantitative changes in
Alzheimer-specific cortical regions of up to 30%. Conclusion: The rebinner allows
accurate motion correction at a cost of minimal resolution reduction. Application
of the correction to a large cohort of 11C-PIB scans confirmed the necessity of
systematically correcting for motion to obtain quantitative results.
PMID- 29653975
TI - Predictive Factors of Response and Overall Survival in Patients with Castration
Resistant Metastatic Prostate Cancer Undergoing 177Lu-PSMA Therapy.
PMID- 29653976
TI - Reply: 6"-18F-Fluoromaltotriose PET Evaluation in Escherichia Coli-Induced
Myositis: Is There Uptake Saturation in Control?
PMID- 29653977
TI - Radioactive Iodine Therapy for Differentiated Thyroid Cancer: Lessons from
Confronting Controversial Literature on Risks for Secondary Malignancy.
PMID- 29653978
TI - Potential Impact of 68Ga-PSMA-11 PET/CT on the Planning of Definitive Radiation
Therapy for Prostate Cancer.
AB - Standard-of-care imaging for initial staging of prostate cancer (PCa)
underestimates disease burden. Prostate-specific membrane antigen (PSMA) PET/CT
detects PCa metastasis with superior accuracy, having a potential impact on the
planning of definitive radiation therapy (RT) for nonmetastatic PCa. Our
objectives were to determine how often definitive RT planning based on standard
target volumes covers 68Ga-PSMA-11 PET/CT-defined disease and to assess the
potential impact of 68Ga-PSMA-11 PET/CT on definitive RT planning. Methods: This
was a post hoc analysis of an intention-to-treat population of 73 patients with
localized PCa without prior local therapy who underwent 68Ga-PSMA PET/CT for
initial staging as part of an investigational new drug trial. Eleven of the 73
were intermediate-risk (15%), 33 were high-risk (45%), 22 were very-high-risk
(30%), and 7 were N1 (9.5%). Clinical target volumes (CTVs), which included the
prostate, seminal vesicles, and (in accord with the Radiation Therapy Oncology
Group consensus guidelines) pelvic lymph nodes (LNs), were contoured on the CT
portion of the PET/CT images by a radiation oncologist masked to the PET
findings. 68Ga-PSMA-11 PET/CT images were analyzed by a nuclear medicine
physician. 68Ga-PSMA-11-positive lesions not covered by planning volumes based on
the CTVs were considered to have a major potential impact on treatment planning.
Results: All patients had one or more 68Ga-PSMA-11-positive primary prostate
lesions. Twenty-five (34%) and 7 (9.5%) of the 73 patients had 68Ga-PSMA-11
positive pelvic LN and distant metastases, respectively. The sites of LN
metastases in decreasing order of frequency were external iliac (20.5%), common
iliac (13.5%), internal iliac (12.5%) obturator (12.5%), perirectal (4%),
abdominal (4%), upper diaphragm (4%), and presacral (1.5%). The median size of
the LN lesions was 6 mm (range, 4-24 mm). RT planning based on the CTVs covered
69 (94.5%) of the 73 primary lesions and 20 (80%) of the 25 pelvic LN lesions, on
a per-patient analysis. Conclusion: 68Ga-PSMA-11 PET/CT had a major impact on
intended definitive RT planning for PCa in 12 (16.5%) of the 73 patients whose RT
fields covered the prostate, seminal vesicles, and pelvic LNs and in 25 (37%) of
the 66 patients whose RT fields covered the prostate and seminal vesicles but not
the pelvic LNs.
PMID- 29653979
TI - 18F-FDG PET Response of Skeletal (Bone Marrow and Bone) Involvement After
Induction Chemotherapy in Pediatric Hodgkin Lymphoma: Are Specific Response
Criteria Required?
AB - To determine whether the current 18F-FDG PET response criterion for skeletal
involvement in Hodgkin lymphoma (HL) is suitable, we performed a systematic
evaluation of the different types of skeletal involvement and their response on
PET after 2 cycles of chemotherapy (PET-2). A secondary objective was to observe
the influence of the initial uptake intensity (measured as qPET) and initial
metabolic tumor volume (MTV) of skeletal lesions on the PET-2 response. Methods:
The initial PET scans of 1,068 pediatric HL patients from the EuroNet-PHL-C1
trial were evaluated for skeletal involvement by central review. Three types of
skeletal lesions were distinguished: PET-only lesions (those detected on PET
only), bone marrow (BM) lesions (as confirmed by MRI or BM biopsy), and bone
lesions. qPET and MTV were calculated for each skeletal lesion. All PET-2 scans
were assessed for residual tumor activity. The rates of complete metabolic
response for skeletal and nodal involvement on PET-2 were compared. Results: Of
the 1,068 patients, 139 (13%) showed skeletal involvement (44 PET-only, 32 BM,
and 63 bone). Of the 139 patients with skeletal involvement, 101 (73%) became PET
2-negative in the skeleton and 94 (68%) became PET-2-negative in the lymph nodes.
The highest number of PET-2-negative scans in the skeleton was 42 (95%) in the 44
PET-only patients, followed by 22 skeletal lesions (69%) in the 32 BM patients
and 37 (59%) in the 63 bone patients. Lesions that became PET-2-negative showed a
lower initial median qPET (2.74) and MTV (2 cm3) than lesions that remained PET-2
positive (3.84 and 7 cm3, respectively). Conclusion: In this study with pediatric
HL patients, the complete response rate for skeletal involvement on PET-2 was
similar to that for nodal involvement. Bone flare seemed to be irrelevant.
Overall, the current skeletal PET response criterion-comparison with the local
skeletal background-is well suited. The initial qPET and MTV of skeletal lesions
were predictive of the PET-2 result. Higher values for both parameters were
associated with a worse PET-2 response.
PMID- 29653980
TI - Controversial Issues in Thyroid Cancer Management.
AB - The lack of prospective randomized clinical trials for most management topics in
differentiated thyroid cancer forces us to make management recommendations based
on retrospective observational data, which are often incomplete, subject to
selection bias, and conflicting. Therefore, it is not surprising that many
aspects of thyroid cancer management remain controversial and not well defined.
This review will examine the controversies surrounding 3 important topics in
thyroid cancer management: the option of thyroid lobectomy as initial therapy,
the use of preoperative neck imaging to optimize the completeness of the initial
surgery, and the selective use of radioactive iodine for remnant ablation,
adjuvant treatment, or treatment for known persistent or recurrent disease. As
thyroid cancer management moves toward a much more risk-adapted approach to
personalized recommendations, clinicians and patients must balance the risks and
benefits of the potential options to arrive at a plan that is optimized regarding
both patient preferences/values and the philosophy/experience of the local
disease management team.
PMID- 29653982
TI - Introduction of Genetically Modified CD3zeta Improves Proliferation and
Persistence of Antigen-Specific CTLs.
AB - The clinical efficacy of T-cell therapies based on T cells transduced with genes
encoding tumor-specific T-cell receptors (TCR-T) is related to the in vivo
persistence of the T cells. To improve persistence without modifying TCR
affinity, we instead modified intracellular signaling, using artificial T cell
activating adapter molecules (ATAM), generated by inserting the intracellular
domain (ICD) of activating T-cell signaling moieties into CD3zeta. ATAMs with the
ICD of either CD28 or 4-1BB were generated, assembled into the TCR complex as a
part of CD3zeta, and enhanced downstream signaling from the supramolecular
activation cluster. ATAMs were retrovirally introduced into human CMV-specific or
NY-ESO-1-specific TCR-transduced CD8+ T lymphocytes, and downstream functionality
was then examined. ATAM-transduced NY-ESO-1 TCR-T cells were also investigated
using the U266-xenograft mouse model. ATAMs were successfully transduced and
localized to the cell membrane. ATAM-transduced CMV-specific T cells retained
their cytotoxic activity and cytokine production against peptide-pulsed target
cells without altering antigen-specificity and showed resistance to activation
induced cell death. Upon both single and repeated stimulation, CD3zeta/4-1BB
transduced T cells had superior proliferation to the CD3zeta-transduced T cells
in both the CMV-specific and the NY-ESO-1 TCR-T models and significantly improved
antitumor activity compared with untransduced T cells both in vitro and in a
mouse xenograft model. ATAM-transduced TCR-T cells demonstrated improved
proliferation and persistence in vitro and in vivo This strategy to control the
intracellular signaling of TCR-T cells by ATAM transduction in combination with
various tumor-specific TCRs may improve the efficacy of TCR-T therapy. Cancer
Immunol Res; 6(6); 733-44. (c)2018 AACR.
PMID- 29653981
TI - IL17A Regulates Tumor Latency and Metastasis in Lung Adeno and Squamous SQ.2b and
AD.1 Cancer.
AB - Somatic mutations can promote malignant transformation of airway epithelial cells
and induce inflammatory responses directed against resultant tumors. Tumor
infiltrating T lymphocytes (TIL) in early-stage non-small cell lung cancer
(NSCLC) secrete distinct proinflammatory cytokines, but the contribution of these
TILs to tumor development and metastasis remains unknown. We show here that TILs
in early-stage NSCLC are biased toward IL17A expression (Th17) when compared with
adjacent tumor-free tissue, whereas Th17 cells are decreased in tumor
infiltrating locoregional lymph nodes in advanced NSCLC. Mice in which Pten and
Smad4 (Pts4d/d ) are deleted from airway epithelial cells develop spontaneous
tumors, that share genetic signatures with squamous- (SQ.2b), and adeno- (AD.1)
subtypes of human NSCLC. Pts4d/d mice globally lacking in IL17a (Pts4d/dIl17a-/-
) showed decreased tumor latency and increased metastasis. Th17 cells were
required for recruitment of CD103+ dendritic cells, and adoptive transfer of
IL17a-sufficient CD4+ T cells reversed early tumor development and metastasis in
Pts4d/dIl17a-/- mice. Together, these findings support a key role for Th17 cells
in TILs associated with the Pts4d/d model of NSCLC and suggest therapeutic and
biomarker strategies for human SQ2b and AD1 lung cancer. Cancer Immunol Res;
6(6); 645-57. (c)2018 AACR.
PMID- 29653983
TI - Caspase-1 from Human Myeloid-Derived Suppressor Cells Can Promote T Cell
Independent Tumor Proliferation.
AB - Immunosuppressive myeloid-derived suppressive cells (MDSCs) are characterized by
their phenotypic and functional heterogeneity. To better define their T cell
independent functions within the tumor, sorted monocytic CD14+CD11b+HLA-DRlow/-
MDSCs (mMDSC) from squamous cell carcinoma patients showed upregulated caspase-1
activity, which was associated with increased IL1beta and IL18 expression. In
vitro studies demonstrated that mMDSCs promoted caspase-1-dependent proliferation
of multiple squamous carcinoma cell lines in both human and murine systems. In
vivo, growth rates of B16, MOC1, and Panc02 were significantly blunted in
chimeric mice adoptively transferred with caspase-1 null bone marrow cells under
T cell-depleted conditions. Adoptive transfer of wild-type Gr-1+CD11b+ MDSCs from
tumor-bearing mice reversed this antitumor response, whereas caspase-1 inhibiting
thalidomide-treated MDSCs phenocopied the antitumor response found in caspase-1
null mice. We further hypothesized that MDSC caspase-1 activity could promote
tumor-intrinsic MyD88-dependent carcinogenesis. In mice with wild-type caspase-1,
MyD88-silenced tumors displayed reduced growth rate, but in chimeric mice with
caspase-1 null bone marrow cells, MyD88-silenced tumors did not display
differential tumor growth rate. When we queried the TCGA database, we found that
caspase-1 expression is correlated with overall survival in squamous cell
carcinoma patients. Taken together, our findings demonstrated that caspase-1 in
MDSCs is a direct T cell-independent mediator of tumor proliferation. Cancer
Immunol Res; 6(5); 566-77. (c)2018 AACR.
PMID- 29653984
TI - "Sinking into a coma" from spontaneous intracranial hypotension.
PMID- 29653985
TI - Functional movement disorders arising after successful deep brain stimulation.
PMID- 29653986
TI - Coma: A serious complication of spontaneous intracranial hypotension.
AB - OBJECTIVE: To review our experience with patients with spontaneous intracranial
hypotension (SIH) and coma because, although disorders of consciousness may
complicate SIH, no comprehensive study of such patients has been reported.
METHODS: Using a prospectively maintained registry, we identified all patients
with SIH in whom coma developed. Patients or their caregivers/families were
contacted for follow-up. Patients were compared to a cohort of patients with SIH
without coma. RESULTS: The mean age of the 12 men and 3 women with SIH was 56.2
years (range 34-72 years) at the time of onset of coma. In one-third of patients,
coma developed after craniotomy for subdural hematomas or for an unrelated
intracranial pathology. Imaging showed brain sagging, including bilateral
temporal lobe herniation, in all 15 patients and brainstem edema in 8 patients
(53%). Overall, coma was reversible in 7 of 15 patients treated with epidural
blood patches, in 2 of 4 treated with percutaneous glue injections, and in 6 of 6
treated surgically. Only 1 patient had residual neurologic deficit related to
coma (Glasgow Outcome Scale score 4 [moderate disability]). Compared to patients
with SIH without coma (n = 568), those with coma were older, more often were
male, and more often underwent surgery. CONCLUSIONS: Coma in SIH is rare,
reversible, and invariably associated with brain sagging. Coma due to SIH may be
refractory to the usual percutaneous procedures, and surgical closure of the CSF
leak may be required to regain consciousness.
PMID- 29653988
TI - Glial fibrillary acid protein: Functions and involvement in disease.
PMID- 29653987
TI - Prevalence of preclinical Alzheimer disease: Comparison of current classification
systems.
AB - OBJECTIVE: To determine the prevalence of preclinical Alzheimer disease (AD)
according to current classification systems by examining CSF from a
representative general population sample of 70-year-olds from Gothenburg, Sweden.
METHOD: The sample was derived from the population-based H70 Gothenburg Birth
Cohort Studies in Gothenburg, Sweden. The participants (n = 322, age 70 years)
underwent comprehensive neuropsychiatric, cognitive, and somatic examinations.
CSF levels of beta-amyloid (Abeta)42, Abeta40, total tau, and phosphorylated tau
were measured. Preclinical AD was classified according to criteria of the A/T/N
system, Dubois 2016, National Institute on Aging-Alzheimer's Association (NIA-AA)
criteria, and International Working Group-2 (IWG-2) criteria. Individuals with
Clinical Dementia Rating score >0 were excluded, leaving 259 cognitively
unimpaired individuals. RESULTS: The prevalence of amyloid pathology was 22.8%,
of total tau pathology was 33.2%, and of phosphorylated tau pathology was 6.9%.
With the A/T/N system, the prevalence of A+/T-/N- was 13.1%, A+/T-/N+ was 7.3%,
A+/T+/N+ was 2.3%, A-/T-/N+ was 18.9%, and A-/T+/N+ was 4.6%. When the Dubois
criteria were applied, the prevalence of asymptomatic at risk for AD was 36.7%
and of preclinical AD was 9.7%. With the NIA-AA criteria, the prevalence of stage
1 was 13.1% and stage 2 was 9.7%. With the IWG-2 criteria, the prevalence of
asymptomatic at risk for AD was 9.7%. The APOE epsilon4 allele was associated
with several of the categories. Men more often had total tau pathology, A+/T-/N+,
preclinical AD according to Dubois 2016, asymptomatic at risk for AD according to
the IWG-2 criteria, and NIA-AA stage 2. CONCLUSION: The prevalence of pathologic
AD markers was very common (46%) in a representative population sample of 70-year
olds. The clinical implications of these findings need to be scrutinized further
in longitudinal studies.
PMID- 29653989
TI - A pilot educational intervention for headache and concussion: The headache and
arts program.
AB - OBJECTIVE: Using a science, technology, engineering, arts, and mathematics
(STEAM) curriculum, we developed, piloted, and tested the Headache and Arts
Program. This program seeks to increase knowledge and awareness of migraine and
concussion among high school students through a visual arts-based curriculum.
METHODS: We developed a 2-week Headache and Arts Program with lesson plans and
art assignments for high school visual arts classes and an age-appropriate
assessment to assess students' knowledge of migraine and concussion. We assessed
students' knowledge through (1) the creation of artwork that depicted the
experience of a migraine or concussion, (2) the conception and implementation of
methods to transfer knowledge gained through the program, and (3) preassessment
and postassessment results. The assessment was distributed to all students prior
to the Headache and Arts Program. In a smaller sample, we distributed the
assessment 3 months after the program to assess longitudinal effects. Descriptive
analyses and p values were calculated using SPSS V.24 and Microsoft Excel.
RESULTS: Forty-eight students participated in the research program. Students
created artwork that integrated STEAM knowledge learned through the program and
applied creative methods to teach others about migraine and concussion. At
baseline, students' total scores averaged 67.6% correct. Total scores for the
longitudinal preassessment, immediate postassessment, and delayed 3-month
postassessment averaged 69.4%, 72.8%, and 80.0% correct, respectively.
CONCLUSION: The use of a visual arts-based curriculum may be effective for
migraine and concussion education among high school students.
PMID- 29653990
TI - Neurofilament light and tau as blood biomarkers for sports-related concussion.
AB - OBJECTIVE: To compare neurofilament light (NfL) and tau as blood-based biomarkers
for acute sports-related concussion (SRC) and determine whether their
concentrations at different time points after the injury are associated with
prolonged time to return to play (RTP). METHODS: A total of 288 professional
hockey players were followed longitudinally from September 1, 2012, to April 30,
2015. Data collection and biomarker analyses were conducted between 2015 and
2017. Associations were tested between blood concentrations of NfL and tau, and
RTP time. Serum concentrations of S100B and neuron-specific enolase (NSE) were
also measured for comparison. RESULTS: Of 288 players, 105 sustained an SRC. Of
these, 87 underwent blood sampling 1, 12, 36, and 144 hours after SRC and at the
RTP time point. Serum NfL concentrations 1, 12, 36, and 144 hours after SRC were
related to prolonged RTP time, and could separate players with RTP >10 days from
those with RTP <=10 days (area under the receiver operating characteristic curve
[AUROC] 0.82). Also, serum NfL 144 hours after SRC discriminated players who
resigned from the game due to persistent postconcussion symptoms (PCS) from those
who returned to play (AUROC 0.89). Plasma tau 1 hour after SRC was related to RTP
but less strongly than NfL, while S100B and NSE showed no such associations.
CONCLUSION: Serum NfL outperformed tau, S100B, and NSE as a biomarker for SRC.
From a clinical standpoint, serum NfL may be useful to identify individuals at
risk of prolonged PCS, and may aid in biomarker-informed decisions with regard to
when RTP should be considered.
PMID- 29653991
TI - Mediterranean diet and 3-year Alzheimer brain biomarker changes in middle-aged
adults.
AB - OBJECTIVE: To examine in a 3-year brain imaging study the effects of higher vs
lower adherence to a Mediterranean-style diet (MeDi) on Alzheimer disease (AD)
biomarker changes (brain beta-amyloid load via 11C-Pittsburgh compound B [PiB]
PET and neurodegeneration via 18F-fluorodeoxyglucose [FDG] PET and structural
MRI) in midlife. METHODS: Seventy 30- to 60-year-old cognitively normal
participants with clinical, neuropsychological, and dietary examinations and
imaging biomarkers at least 2 years apart were examined. These included 34
participants with higher (MeDi+) and 36 with lower (MeDi-) MeDi adherence.
Statistical parametric mapping and volumes of interest were used to compare AD
biomarkers between groups at cross section and longitudinally. RESULTS: MeDi
groups were comparable for clinical and neuropsychological measures. At baseline,
compared to the MeDi+ group, the MeDi- group showed reduced FDG-PET glucose
metabolism (CMRglc) and higher PiB-PET deposition in AD-affected regions (p <
0.001). Longitudinally, the MeDi--group showed CMRglc declines and PiB increases
in these regions, which were greater than those in the MeDi+ group (pinteraction
< 0.001). No effects were observed on MRI. Higher MeDi adherence was estimated to
provide 1.5 to 3.5 years of protection against AD. CONCLUSION: Lower MeDi
adherence was associated with progressive AD biomarker abnormalities in middle
aged adults. These data support further investigation of dietary interventions
for protection against brain aging and AD.
PMID- 29653992
TI - A multicenter examination and strategic revisions of the Yale Global Tic Severity
Scale.
AB - OBJECTIVE: To examine the internal consistency and distribution of the Yale
Global Tic Severity Scale (YGTSS) scores to inform modification of the measure.
METHODS: This cross-sectional study included 617 participants with a tic disorder
(516 children and 101 adults), who completed an age-appropriate diagnostic
interview and the YGTSS to evaluate tic symptom severity. The distributions of
scores on YGTSS dimensions were evaluated for normality and skewness. For
dimensions that were skewed across motor and phonic tics, a modified Delphi
consensus process was used to revise selected anchor points. RESULTS: Children
and adults had similar clinical characteristics, including tic symptom severity.
All participants were examined together. Strong internal consistency was
identified for the YGTSS Motor Tic score (alpha = 0.80), YGTSS Phonic Tic score
(alpha = 0.87), and YGTSS Total Tic score (alpha = 0.82). The YGTSS Total Tic and
Impairment scores exhibited relatively normal distributions. Several subscales
and individual item scales departed from a normal distribution. Higher scores
were more often used on the Motor Tic Number, Frequency, and Intensity dimensions
and the Phonic Tic Frequency dimension. By contrast, lower scores were more often
used on Motor Tic Complexity and Interference, and Phonic Tic Number, Intensity,
Complexity, and Interference. CONCLUSIONS: The YGTSS exhibits good internal
consistency across children and adults. The parallel findings across Motor and
Phonic Frequency, Complexity, and Interference dimensions prompted minor
revisions to the anchor point description to promote use of the full range of
scores in each dimension. Specific minor revisions to the YGTSS Phonic Tic
Symptom Checklist were also proposed.
PMID- 29653993
TI - Synthetic control methodology as a tool for evaluating population-level health
interventions.
AB - BACKGROUND: Many public health interventions cannot be evaluated using randomised
controlled trials so they rely on the assessment of observational data.
Techniques for evaluating public health interventions using observational data
include interrupted time series analysis, panel data regression-based approaches,
regression discontinuity and instrumental variable approaches. The inclusion of a
counterfactual improves causal inference for approaches based on time series
analysis, but the selection of a suitable counterfactual or control area can be
problematic. The synthetic control method builds a counterfactual using a
weighted combination of potential control units. METHODS: We explain the
synthetic control method, summarise its use in health research to date, set out
its advantages, assumptions and limitations and describe its implementation
through a case study of life expectancy following German reunification. RESULTS:
Advantages of the synthetic control method are that it offers an approach
suitable when there is a small number of treated units and control units and it
does not rely on parallel preimplementation trends like difference in difference
methods. The credibility of the result relies on achieving a good
preimplementation fit for the outcome of interest between treated unit and
synthetic control. If a good preimplementation fit is established over an
extended period of time, a discrepancy in the outcome variable following the
intervention can be interpreted as an intervention effect. It is critical that
the synthetic control is built from a pool of potential controls that are similar
to the treated unit. There is currently no consensus on what constitutes a 'good
fit' or how to judge similarity. Traditional statistical inference is not
appropriate with this approach, although alternatives are available. From our
review, we noted that the synthetic control method has been underused in public
health. CONCLUSIONS: Synthetic control methods are a valuable addition to the
range of approaches for evaluating public health interventions when randomisation
is impractical. They deserve to be more widely applied, ideally in combination
with other methods so that the dependence of findings on particular assumptions
can be assessed.
PMID- 29653994
TI - Ideal cardiovascular health metrics and its association with 20-year
cardiovascular morbidity and mortality in a Chinese population.
AB - BACKGROUND: The American Heart Association (AHA) developed a simplified
assessment tool based on seven ideal cardiovascular health (CVH) metrics, but the
relationship between the AHA defined ideal CVH metrics and cardiovascular risk in
Chinese population has not been well estimated. METHODS: The baseline survey were
conducted among 938 Chinese men and women from four urban and rural population
samples in China, aged 35-59 years in 1983-1984. The cohort was followed up for
multiple cardiovascular endpoints up to 2005. Cox proportional hazard models were
used to test the associations accounting for multiple covariates. Outcomes were
collected in 1987-2005 and data analysed in 2017. RESULTS: During a median of
20.3 years follow-up, 68 non-fatal CVD events and 139 deaths (29 CVD deaths)
occurred. The multivariable adjusted HRs and 95% CIs for all CVD in the groups
with three and 4-7 ideal CVH metrics were 0.59 (95% CI 0.33 to 1.04) and 0.24
(95% CI 0.12 to 0.47), when the group with 0-2 ideal CVH metrics as the
reference. Results also showed that participants with 4-7 ideal CVH metrics had a
54% (95% CI 24% to 72%) lower risk of all-cause mortality in comparison with
those with 0-2 ideal metrics. CONCLUSIONS: The number of ideal CVH metrics was
inversely associated with the risk of cardiovascular morbidity and mortality in
this Chinese general population.
PMID- 29653995
TI - Light sheet imaging comes of age.
AB - Light-sheet fluorescence microscopy methods that minimize photodamage are
increasingly penetrant in biomedical research and currently comprise three
distinct platforms. In this issue, Fadero et al. (2018. J. Cell Biol.
https://doi.org/10.1083/jcb.201710087) describe a fourth approach termed "LITE
microscopy," which is useful for extended imaging of specimens from cells to
organisms.
PMID- 29653996
TI - DNA replication licensing in stem cells: Gatekeeping the commitment to
proliferation.
AB - Carroll et al. (2018. J. Cell Biol. https://doi.org/10.1083/jcb.201708023)
developed a method to assess DNA replication licensing in tissues. They show that
intestinal stem cells within wild-type crypts, but not in crypts with cancer
causing mutations, are largely unlicensed, suggesting that licensing may
represent a rate-limiting step in the commitment to proliferation.
PMID- 29653997
TI - Hsp40/70/110 chaperones adapt nuclear protein quality control to serve cytosolic
clients.
AB - Misfolded cytosolic proteins are degraded by the ubiquitin proteasome system
through quality control (QC) pathways defined by E3 ubiquitin ligases and
associated chaperones. Although they work together as a comprehensive system to
monitor cytosolic protein folding, their respective contributions remain unclear.
To bridge existing gaps, the pathways mediated by the San1 and Ubr1 E3 ligases
were studied coordinately. We show that pathways share the same complement of
chaperones needed for substrate trafficking, ubiquitination, and degradation. The
significance became clear when Ubr1, like San1, was localized primarily to the
nucleus. Appending nuclear localization signals to cytosolic substrates revealed
that Ydj1 and Sse1 are needed for substrate nuclear import, whereas Ssa1/Ssa2 is
needed both outside and inside the nucleus. Sis1 is required to process all
substrates inside the nucleus, but its role in trafficking is substrate specific.
Together, these data show that using chaperones to traffic misfolded cytosolic
proteins into the nucleus extends the nuclear protein QC pathway to include
cytosolic clients.
PMID- 29653998
TI - Association Between Early Outpatient Visits and Readmissions After Ischemic
Stroke.
AB - BACKGROUND: Reducing hospital readmission is an important goal to optimize
poststroke care and reduce costs. Early outpatient follow-up may represent one
important strategy to reduce readmissions. We examined the association between
time to first outpatient contact and readmission to inform postdischarge
transitions. METHODS AND RESULTS: We performed a retrospective cohort study of
all Medicare fee-for-service patients discharged home after an acute ischemic
stroke in 2012 identified by the InternationalClassification of Diseases, Ninth
Revision, Clinical Modification codes. Our primary predictor variable was whether
patients had a primary care or neurology visit within 30 days of discharge. Our
primary outcome variable was all-cause 30-day hospital readmission. We used
separate multivariable Cox models with primary care and neurology visits
specified as time-dependent covariates, adjusted for numerous patient- and
systems-level factors. The cohort included 78 345 patients. Sixty-one percent and
16% of patients, respectively, had a primary care and neurology visit within 30
days of discharge. Visits occurred a median (interquartile range) 7 (4-13) and 15
(5-22) days after discharge for primary care and neurology, respectively. Thirty
day readmission occurred in 9.4% of patients. Readmissions occurred a median 14
(interquartile range, 7-21) days after discharge. Patients who had a primary care
visit within 30 days of discharge had a slightly lower adjusted hazard of
readmission than those who did not (hazard ratio, 0.98; 95% confidence interval,
0.97-0.98). The association was nearly identical for 30-day neurology visits
(hazard ratio, 0.98; 95% confidence interval, 0.97-0.98). CONCLUSIONS: Thirty-day
outpatient follow-up was associated with a small reduction in hospital
readmission among elderly patients with stroke discharged home. Further work
should assess how outpatient care may be improved to further reduce readmissions.
PMID- 29653999
TI - Clinical Events After Discontinuation of beta-Blockers in Patients Without Heart
Failure Optimally Treated After Acute Myocardial Infarction: A Cohort Study on
the French Healthcare Databases.
AB - BACKGROUND: beta-blockers have been among the first medications shown to improve
outcomes after acute myocardial infarction (AMI). With the advent of reperfusion
therapy and other secondary-prevention medications, their role has become
uncertain, and large-scale experience after AMI in the contemporary era is
lacking. In particular, the effect of stopping beta-blockers in patients
initially treated after AMI is unknown. METHODS AND RESULTS: Using the French
healthcare databases, 73 450 patients (<80 years of age), admitted for AMI in
2007 to 2012, without acute coronary syndrome (ACS) in the previous 2 years and
no evidence of heart failure, having received optimal treatment with myocardial
revascularization and all recommended medications in the 4 months after index
admission, and not having discontinued beta-blockers before 1 year, were followed
for 3.8 years on average. beta-Blocker discontinuation was defined as 4
consecutive months without exposure. If beta-blocker treatment was resumed later
on, follow-up was stopped. Both the risk of the composite outcome of death or
admission for ACS and the risk of all-cause mortality were assessed in relation
with beta-blocker discontinuation during follow-up. Adjusted hazard ratios were
estimated using marginal structural models accounting for time-varying
confounders affected by previous exposure. A similar analysis was performed with
statins. Of 204 592 patient-years, 12 002 (5.9%) corresponded to discontinued
beta-blocker treatment. For beta-blocker discontinuation, the adjusted hazard
ratio for death or ACS was 1.17 (95% confidence interval, 1.01-1.35); for all
cause death, the adjusted hazard ratio was 1.13 (95% confidence interval, 0.94
1.36). In contrast, for statin discontinuation, the adjusted hazard ratios for
death or ACS and for all-cause death were 2.31 (95% confidence interval, 2.01
2.65) and 2.57 (95% confidence interval, 2.19-3.02), respectively. CONCLUSIONS:
In routine care of patients without heart failure, revascularized and optimally
treated after AMI, discontinuation of beta-blockers beyond 1 year after AMI was
associated with an increased risk of death or readmission for ACS, while
statistical significance was not reached for the association with all-cause
mortality. A contemporary randomized clinical trial is needed to precise the role
of beta-blockers in the long-term treatment after AMI.
PMID- 29654000
TI - Value-Based ST-Segment-Elevation Myocardial Infarction Care Using Risk-Guided
Triage and Early Discharge.
AB - BACKGROUND: Prior studies suggest that low-risk ST-segment-elevation myocardial
infarction (STEMI) patients undergoing primary percutaneous coronary intervention
can be considered for early discharge. We describe the implementation of an STEMI
risk score to decrease cost while maintaining optimal patient outcomes. METHODS
AND RESULTS: We determined the impact of risk-guided STEMI care on healthcare
value through the retrospective application of the Zwolle Risk Score to 967
patients receiving primary percutaneous coronary intervention between 2009 and
2011. Of these patients, 540 (56%) were categorized as low risk, indicating they
may be safely triaged directly to a telemetry unit rather than the intensive care
unit and targeted for early discharge. We subsequently developed and implemented
a modified Zwolle Risk Calculator into the electronic medical record to support
application of the fast-track protocol for low-risk STEMI patients. Among 549
prospective patients with STEMI, 62% were low risk, and the fast-track protocol
was followed in 75% of cases. Prospective results confirmed lower rates of
complications (low risk 8.3% versus high risk 38.7%; P<0.001) and in-hospital
mortality (low risk 0.4% versus High risk 12.5%; P<0.001) in the low-risk cohort.
Low-risk patients had a shorter median length of stay (median and [25th, 75th
percentiles]: low risk 2 [2, 3] versus high risk: 3 [2, 6]; P<0.001) and lower
overall costs (low risk $6720 [$5280-$9030] versus high risk $11 783 [$7953-$25
359]; P<0.001). Low-risk patients treated on-protocol had shorter median length
of stay (on-protocol 2 [1, 2] versus off-protocol 2 [2, 3]; P<0.001) and hospital
costs (on-protocol $6090 [$4730, $7356] versus off-protocol $11 783 [$7953, $25
359]; P<0.001) than those treated off-protocol. On-protocol low-risk patients in
the prospective cohort also had lower costs and shorter length of stay than low
risk patients in the retrospective cohort (P<0.001 for both). CONCLUSIONS: In our
study, risk-guided triage and discharge after primary percutaneous coronary
intervention for STEMI improved healthcare value by reducing costs of care
without compromising quality of care or patient outcomes.
PMID- 29654001
TI - Overtreatment or Undertreatment of Carotid Disease: A Transatlantic Comparison of
Carotid Endarterectomy Patient Cohorts.
PMID- 29654002
TI - Stopping beta-Blockers After Myocardial Infarction: Not So Fast!
PMID- 29654003
TI - Is the weekend effect really ubiquitous? A retrospective clinical cohort analysis
of 30-day mortality by day of week and time of day using linked population data
from New South Wales, Australia.
AB - OBJECTIVE: To examine the associations between day of week and time of admission
and 30-day mortality for six clinical conditions: ischaemic and haemorrhagic
stroke, acute myocardial infarction, pneumonia, chronic obstructive pulmonary
disease and congestive heart failure. DESIGN: Retrospective population-based
cohort analyses. Hospitalisation records were linked to emergency department and
deaths data. Random-effect logistic regression models were used, adjusting for
casemix and taking into account clustering within hospitals. SETTING: All
hospitals in New South Wales, Australia, from July 2009 to June 2012.
PARTICIPANTS: Patients admitted to hospital with a primary diagnosis for one of
the six clinical conditions examined. OUTCOME MEASURES: Adjusted ORs for all
cause mortality within 30 days of admission, by day of week and time of day.
RESULTS: A total of 148 722 patients were included in the study, with 17 721
deaths within 30 days of admission. Day of week of admission was not associated
with significantly higher likelihood of death for five of the six conditions
after adjusting for casemix. There was significant variation in mortality for
chronic obstructive pulmonary disease by day of week; however, this was not
consistent with a strict weekend effect (Thursday: OR 1.29, 95% CI 1.12 to 1.48;
Friday: OR 1.25, 95% CI 1.08 to 1.44; Saturday: OR 1.18, 95% CI 1.02 to 1.37;
Sunday OR 1.05, 95% CI 0.90 to 1.22; compared with Monday). There was evidence
for a night effect for patients admitted for stroke (ischaemic: OR 1.30, 95% CI
1.17 to 1.45; haemorrhagic: OR 1.58, 95% CI 1.40 to 1.78). CONCLUSIONS: Mortality
outcomes for these conditions, adjusted for casemix, do not vary in accordance
with the weekend effect hypothesis. Our findings support a growing body of
evidence that questions the ubiquity of the weekend effect.
PMID- 29654004
TI - What is the quality of the maternal near-miss case reviews in WHO European
Region? Cross-sectional study in Armenia, Georgia, Latvia, Republic of Moldova
and Uzbekistan.
AB - OBJECTIVES: The maternal near-miss case review (NMCR) cycle is a type of clinical
audit aiming at improving quality of maternal healthcare by discussing near-miss
cases. In several countries this approach has been introduced and supported by
WHO and partners since 2004, but information on the quality of its implementation
is missing. This study aimed at evaluating the quality of the NMCR implementation
in selected countries within WHO European Region. DESIGN: Cross-sectional study.
SETTINGS: Twenty-three maternity units in Armenia, Georgia, Latvia, Moldova and
Uzbekistan. ASSESSMENT TOOLS: A predefined checklist including 50 items,
according to WHO methodology. Quality in the NMCR implementation was defined by
summary scores ranging from 0 (totally inappropriate) to 3 (appropriate).
RESULTS: Quality of the NMCR implementation was heterogeneous among different
countries, and within the same country. Overall, the first part of the audit
cycle (from case identification to case analysis) was fairly well performed (mean
score 2.00, 95% CI 1.94 to 2.06), with the exception of the 'inclusion of users'
views' (mean score 0.66, 95% CI 0.11 to 1.22), while the second part (developing
recommendations, implementing them and ensuring quality) was poorly performed
(mean score 0.66, 95% CI 0.11 to 1.22). Each country had at least one champion
facility, where quality of the NMCR cycle was acceptable. Quality of the
implementation was not associated with its duration. Gaps in implementation were
of technical, organisational and attitudinal nature. CONCLUSIONS: Ensuring
quality in the NMCR may be difficult but achievable. The high heterogeneity in
results within the same country suggests that quality of the NMCR implementation
depends, to a large extent, from hospital factors, including staff's commitment,
managerial support and local coordination. Efforts should be put in preventing
and mitigating common barriers that hamper successful NMCR implementation.
PMID- 29654005
TI - Is ambient air pollution associated with onset of sudden infant death syndrome: a
case-crossover study in the UK.
AB - OBJECTIVES: Air pollution has been associated with increased mortality and
morbidity in several studies with indications that its effect could be more
severe in children. This study examined the relationship between short-term
variations in criteria air pollutants and occurrence of sudden infant death
syndrome (SIDS). DESIGN: We used a case-crossover study design which is widely
applied in air pollution studies and particularly useful for estimating the risk
of a rare acute outcome associated with short-term exposure. SETTING: The study
used data from the West Midlands region in the UK. PARTICIPANTS: We obtained
daily time series data on SIDS mortality (ICD-9: 798.0 or ICD-10: R95) for the
period 1996-2006 with a total of 211 SIDS events. PRIMARY OUTCOME MEASURES: Daily
counts of SIDS events. RESULTS: For an IQR increase in previous day pollutant
concentration, the percentage increases (95% CI) in SIDS were 16 (6 to 27) for
PM10, 1 (-7 to 10) for SO2, 5 (-4 to 14) for CO, -17 (-27 to -6) for O3, 16 (2 to
31) for NO2 and 2 (-3 to 8) for NO after controlling for average temperature and
national holidays. PM10 and NO2 showed relatively consistent association which
persisted across different lag structures and after adjusting for copollutants.
CONCLUSIONS: The results indicated ambient air pollutants, particularly PM10 and
NO2, may show an association with increased SIDS mortality. Thus, future studies
are recommended to understand possible mechanistic explanations on the role of
air pollution on SIDS incidence and the ways in which we might reduce pollution
exposure among infants.
PMID- 29654006
TI - Does bone mineral density improve the predictive accuracy of fracture risk
assessment? A prospective cohort study in Northern Denmark.
AB - OBJECTIVE: To evaluate the added predictive accuracy of bone mineral density
(BMD) to fracture risk assessment. DESIGN: Prospective cohort study using data
between 01 January 2010 and 31 December 2012. SETTING: North Denmark Osteoporosis
Clinic of referred patients presenting with at least one fracture risk factor to
the referring doctor. PARTICIPANTS: Patients aged 40-90 years; had BMD T-score
recorded at the hip and not taking osteoporotic preventing drugs for more than 1
year prior to baseline. MAIN OUTCOME MEASURES: Incident diagnoses of osteoporotic
fractures (hip, spine, forearm, humerus and pelvis) were identified using the
National Patient Registry of Denmark during 01 January 2012-01 January 2014. Cox
regression was used to develop a fracture model based on predictors in the
Fracture Risk Assessment Tool (FRAX(r)), with and without, binary and continuous
BMD. Change in Harrell's C-Index and Reclassification tables were used to
describe the added statistical value of BMD. RESULTS: Adjusting for predictors
included in FRAX(r), patients with osteoporosis (T-score <=-2.5) had 75% higher
hazard of a fracture compared with patients with higher BMD (HR: 1.75 (95% CI
1.28 to 2.38)). Forty per cent lower hazard was found per unit increase in
continuous BMD T-score (HR: 0.60 (95% CI 0.52 to 0.69)).Accuracy improved
marginally, and Harrell's C-Index increased by 1.2% when adding continuous BMD
(0.76 to 0.77). Reclassification tables showed continuous BMD shifted 529
patients into different risk categories; 292 of these were reclassified correctly
(57%; 95% CI 55% to 64%). Adding binary BMD however no improvement: Harrell's C
Index decreased by 0.6%. CONCLUSIONS: Continuous BMD marginally improves fracture
risk assessment. Importantly, this was only found when using continuous BMD
measurement for osteoporosis. It is suggested that future focus should be on
evaluation of this risk factor using routinely collected data and on the
development of more clinically relevant methodology to assess the added value of
a new risk factor.
PMID- 29654007
TI - Pleasure and practice: a qualitative study of the individual and social
underpinnings of shisha use in cafes among youth in the UK.
AB - OBJECTIVES: To explore (1) the social function of shisha cafes for young people
living in the UK and (2) other alternative activities (existing or potential)
that do not involve tobacco smoking. METHODS: We conducted qualitative interviews
with young adults (age 18-30) in Leeds, UK. Snowballing sampling was used in
selecting the participants. Interviews were audio-recorded and explored the
perspectives and experiences of young people in as well as potential alternative
activities. Data were transcribed and analysed thematically. RESULTS: Shisha use
plays a central role in social interactions. Youth described using shisha because
of emotional and sensory pleasure. Shisha use was implicitly endorsed by
respected professionals, such as doctors and university lecturers, who were seen
smoking it. Most, but not all, shisha smokers acknowledged that shisha use is
harmful. Suggestions for reducing shisha use included use of non- tobacco
alternatives, legislation to reduce access and alternative means for socialising,
such as sports. CONCLUSION: For young people in the UK, the known health dangers
of shisha are outweighed by its social benefits and shisha is perceived as
acceptable. Interventions to reverse the increase in shisha cafes should focus on
both individual smoker as well as the community, without sacrificing the
importance of social interactions.
PMID- 29654008
TI - Cardiac implant registries 2006-2016: a systematic review and summary of global
experiences.
AB - OBJECTIVES: The importance of Cardiac Implant Registry (CIR) for ensuring a long
term follow-up in postmarket surveillance has been recognised and approved, but
there is lack of consensus standards on how to establish a CIR. The aim of this
study is to investigate the structure and key elements of CIRs in the past decade
(2006-2016) and to provide recommendations on 'best practice' approaches.
SETTINGS AND PARTICIPANTS: A systematic search on CIR was employed in line with
the Preferred Reporting Items for Systematic Reviews and Meta-Analyses
guidelines. The following databases were searched: the PubMed (Medline),
ScienceDirect and the Scopus database, EMBASE. After identifying the existing
CIRs, an aggregative approach will be used to explore key elements emerging in
the identified registries. RESULTS: The following 82 registries were identified:
18 implantable cardioverterdefibrillator (ICD) registries, 7 cardiac
resynchronisation therapy (CRT) registries, 5 pacemaker registries and 6
cardiovascular implantable electronic device registries which combined ICD,
pacemaker and CRT implantation data; as well as 22 coronary stent registries and
24 transcatheteraortic heart valve implantation registries. While 71 national or
local registries are from a single country, 44 are from European countries and 9
are located in USA. The following criteria have been summarised from the
identified registries, including: registry working group, ethic issues,
transparency, research objective, inclusion criteria, compulsory participation,
endpoint, sample size, data collection basement, data collection methods, data
entry, data validation and statistical analysis. CONCLUSIONS: Registries provide
a 'real-world' picture for patients, physicians, manufacturers, payers, decision
makers and other stakeholders. CIRs are important for regulatory decisions
concerning the safety and therefore approval issues of the medical device; for
payers CIRs provide evidence on the medical device benefit and drive the decision
whether the product should be reimbursed or not; for hospitals CIRs' data are
important for sound procurement decisions, and CIRs also help patients and their
physicians to joint decision-making which of the products is the most
appropriate.
PMID- 29654009
TI - Informational value of percent body fat with body mass index for the risk of
abnormal blood glucose: a nationally representative cross-sectional study.
AB - OBJECTIVE: To examine the value of percent body fat (%BF) with body mass index
(BMI) to assess the risk of abnormal blood glucose (ABG) among US adults who are
normal weight or overweight. We hypothesised that normal-weight population with
higher %BF is more likely to have ABG. DESIGN: A cross-sectional study. SETTING:
National Health and Nutrition Examination Survey, 1999-2006, conducted by the
National Center for Health Statistics of the Centers for Disease Control and
Prevention. PARTICIPANTS: Participants were US adults aged 40 and older who have
never been diagnosed with type 2 diabetes by a doctor (unweighted n=6335,
weighted n=65 705 694). The study population was classified into four groups: (1)
normal weight with normal %BF, (2) normal weight with high %BF, (3) overweight
with normal %BF and (4) overweight with high %BF. MAIN OUTCOME MEASURES: ORs for
ABG including pre-diabetes and undiagnosed diabetes (HbA1c >=5.7%, >=39
mmol/mol). RESULTS: 64% of population with normal BMI classification had a high
%BF. Prevalence of ABG in normal-weight group with high %BF (13.5%) is
significantly higher than the overweight group with low %BF (10.5%, P<0.001). In
an unadjusted model, the OR of ABG was significantly greater in adults at normal
BMI with high %BF compared with individuals at normal weight with low %BF. In an
adjusted model controlling for age, sex, race/ethnicity, first-degree-relative
diabetes, vigorous-intensity activities and muscle strengthening activities,
risks of ABG were greater in population with normal weight and high %BF (OR 1.55,
95% CI 1.01 to 2.38) and with overweight and low %BF (OR 1.17, 95% CI 0.69 to
1.98, P<0.05). CONCLUSIONS: Integrating BMI with %BF can improve in
classification to direct screening and prevention efforts to a group currently
considered healthy and avoid penalties and stigmatisation of other groups that
are classified as high risk of ABG.
PMID- 29654010
TI - Association between human leucocyte antigen-DO polymorphisms and
interferon/ribavirin treatment response in hepatitis C virus type 1 infection in
Chinese population: a prospective study.
AB - OBJECTIVE: The human leucocyte antigen-DO (HLA-DO) gene located in the HLA non
classical class-II region may play a role in treatment response to hepatitis C
virus (HCV). This study was conducted to explore the role of single nucleotide
polymorphisms (SNPs) in HLA-DO in responding to HCV therapy. SETTING: All
patients were recruited between January 2011 and September 2016 from the Jurong
People's Hospital, Jiangsu Province, China. PARTICIPANTS: A total of 346 chronic
hepatitis C (CHC) patients who finished the 48-week pegylated interferon-alpha
and ribavirin (PEG IFN-alpha/RBV) treatment were enrolled in this study. All
patients were former remunerated blood donors. The inclusion criteria for
patients were as follows: (1) treatment-naive and treated with PEG IFN-alpha/RBV,
(2) HCV RNA was present in serum for over 6 months before treatment, (3) negative
for hepatitis B (HBV) or HIV infection and (4) lacked any other hepatic
diseases.All participants in this study were Chinese Han population and infected
with HCV genotype 1b and treated with subcutaneous PEG IFN-alpha at a dose of 180
ug once a week with the addition of 800-1000 mg/d RBV according to weight orally
for 48 weeks. RESULTS: The SNPs HLA-DOA rs1044429 and HLA-DOB rs2284191 and
rs2856997 of 18 SNPs were correlated with HCV treatment response in the Chinese
Han population. The dominant model indicated that patients carrying favourable
genotypes at rs1044429 AA and rs2284191 AA were more likely to achieve sustained
virological response (SVR) (OR 1.99, 95% CI 1.25 to 3.19; OR 2.71, 95% CI 1.58 to
4.63, respectively), while patients carrying unfavourable genotypes at rs2856997
GG were less likely to achieve SVR (OR 0.48, 95% CI 0.29 to 0.78). CONCLUSION:
Genetic variations at rs1044429, rs2284191 and rs2856997 were independent
predictors of HCV treatment response in the Chinese Han population.
PMID- 29654011
TI - Development and validation of the Multimorbidity Treatment Burden Questionnaire
(MTBQ).
AB - OBJECTIVE: To develop and validate a new scale to assess treatment burden (the
effort of looking after one's health) for patients with multimorbidity. DESIGN:
Mixed-methods. SETTING: UK primary care. PARTICIPANTS: Content of the
Multimorbidity Treatment Burden Questionnaire (MTBQ) was based on a literature
review and views from a patient and public involvement group. Face validity was
assessed through cognitive interviews. The scale was piloted and the final
version was tested in 1546 adults with multimorbidity (mean age 71 years) who
took part in the 3D Study, a cluster randomised controlled trial. For each
question, we examined the proportion of missing data and the distribution of
responses. Factor analysis, Cronbach's alpha, Spearman's rank correlations and
longitudinal regression assessed dimensional structure, internal consistency
reliability, construct validity and responsiveness, respectively. We assessed
interpretability by grouping the global MTBQ scores into zero and tertiles (>0)
and comparing participant characteristics across these categories. RESULTS:
Cognitive interviews found good acceptability and content validity. Factor
analysis supported a one-factor solution. Cronbach's alpha was 0.83, indicating
internal consistency reliability. The MTBQ score had a positive association with
a comparator treatment burden scale (rs 0.58, P<0.0001) and with self-reported
disease burden (rs 0.43, P<0.0001), and a negative association with quality of
life (rs-0.36, P<0.0001) and self-rated health (rs-0.36, P<0.0001). Female
participants, younger participants and participants with mental health conditions
were more likely to have high treatment burden scores. Changes in MTBQ score over
9-month follow-up were associated, as expected, with changes in measures of
quality of life (EuroQol five dimensions, five level questionnaire) and patient
centred care (Patient Assessment of Chronic Illness Care). CONCLUSION: The MTBQ
is a 10-item measure of treatment burden for patients with multimorbidity that
has demonstrated good content validity, construct validity, reliability and
responsiveness. It is a useful research tool for assessing the impact of
interventions on treatment burden. TRIAL REGISTRATION NUMBER: ISRCTN06180958.
PMID- 29654012
TI - Patient preferences for nutritional supplementation to improve fracture healing:
a discrete choice experiment.
AB - OBJECTIVE: Vitamin D is often prescribed as an adjuvant therapy to aid fracture
healing due to its biological role in bone health. However, the optimal
frequency, dosage and duration of vitamin D supplementation for non-osteoporotic
fracture healing has not been established. The objective of this study was to
determine patient preferences for fracture healing relative to hypothetical
vitamin D supplementation dosing options. DESIGN: Discrete choice experiment.
SETTING: Level 1 trauma centre in Baltimore, Maryland, USA. PARTICIPANTS: 199
adult (18-60 years) patients with a fracture. PRIMARY OUTCOME MEASURES: Parameter
estimates of utility for fracture healing relative to dosing regimens were
analysed using hierarchical Bayesian modelling. RESULTS: A reduced risk of
reoperation (34.3%) and reduced healing time (24.4%) were the attributes of
greatest relative importance. The highest mean utility estimates were for a one
time supplementation dose (beta=0.71, 95% CI 0.41 to 1.00) followed by a reduced
risk of reoperation (beta=0.41 per absolute % reduction, 95% CI 0.0.36 to 0.46).
Supplementation for 24 weeks in duration (beta=-0.83, 95% CI -1.00 to -0.67) and
a daily supplement (beta=-0.29, 95% CI -0.47 to -0.11) had the lowest mean
utilities. The 'no supplement' option had a large negative value suggesting
supplementation was generally desirable in this sample population. Among other
possible clinical scenarios, patients expected a 2% reduction in the absolute
risk of reoperation or a 3.1-week reduction in healing time from the baseline to
accept a treatment regimen requiring two separate doses of supplementation, two
blood tests and a cost of $20 within 3 months of injury. CONCLUSIONS: Patients
with orthopaedic trauma demonstrated strong willingness to take a vitamin D
supplement that would decrease risk of reoperation and reduce healing time.
Furthermore, these findings specify the required decrease in reoperation risk and
reduction in healing time patients would expect to adhere to possible vitamin D
dosing regimens.
PMID- 29654013
TI - Comparison of three common nutritional screening tools with the new European
Society for Clinical Nutrition and Metabolism (ESPEN) criteria for malnutrition
among patients with geriatric gastrointestinal cancer: a prospective study in
China.
AB - OBJECTIVE: The aim of this study was to evaluate and compare three common
nutritional screening tools with the new European Society for Clinical Nutrition
and Metabolism (ESPEN) diagnostic criteria for malnutrition among elderly
patients with gastrointestinal cancer. RESEARCH METHODSANDPROCEDURES: Nutritional
screening tools, including the Nutritional Risk Screening 2002 (NRS 2002), the
Malnutrition Universal Screening Tool (MUST) and the Short Form of Mini
Nutritional Assessment (MNA-SF), were applied to 255 patients with
gastrointestinal cancer. We compared the diagnostic values of these tools for
malnutrition, using the new ESPEN diagnostic criteria for malnutrition as the
'gold standards'. RESULTS: According to the new ESPEN diagnostic criteria for
malnutrition, 20% of the patients were diagnosed as malnourished. With the use of
NRS 2002, 52.2% of the patients were found to be at high risk of malnutrition;
with the use of MUST, 37.6% of the patients were found to be at moderate/high
risk of malnutrition; and according to MNA-SF, 47.8% of the patients were found
to be at nutritional risk. MUST was best correlated with the ESPEN diagnostic
criteria (K=0.530, p<0.001) compared with NRS 2002 (K=0.312, p<0.001) and MNA-SF
(K=0.380, p<0.001). The receiver operating characteristic curve of MUST had the
highest area under the curve (AUC) compared with NRS 2002 and MNA-SF.
CONCLUSIONS: Among the tools, MUST was found to perform the best in
identifyingmalnourished elderly patients with gastrointestinal cancer
distinguished by the new ESPEN diagnostic criteria for malnutrition.
Nevertheless, further studies are needed to verify our findings. TRIAL
REGISTRATION NUMBER: ChiCTR-RRC-16009831; Pre-results.
PMID- 29654014
TI - Regional South Australia Health (RESONATE) survey: study protocol.
AB - INTRODUCTION: Access to quality healthcare services is considered a moral right.
However, for people living in regional locations, timely access to the services
that they need may not always be possible because of structural and attitudinal
barriers. This suggests that people living in regional areas may have unmet
healthcare needs. The aim of this research will be to examine the healthcare
needs, expectations and experiences of regional South Australians. METHODS AND
ANALYSIS: The Regional South Australia Health (RESONATE) survey is a cross
sectional study of adult health consumers living in any private or non-private
dwelling, in any regional, rural, remote or very remote area of South Australia
and with an understanding of written English. Data will be collected using a 45
item, multidimensional, self-administered instrument, designed to measure
healthcare need, barriers to healthcare access and health service utilisation,
attitudes, experiences and satisfaction. The instrument has demonstrated
acceptable psychometric properties, including good content validity and internal
reliability, good test-retest reliability and a high level of acceptability. The
survey will be administered online and in hard-copy, with at least 1832 survey
participants to be recruited over a 12-month period, using a comprehensive,
multimodal recruitment campaign. ETHICS AND DISSEMINATION: The study has been
reviewed and approved by the Human Research Ethics Committee of the University of
South Australia. The results will be actively disseminated through peer-reviewed
journals, conference presentations, social media, broadcast media, print media,
the internet and various community/stakeholder engagement activities.
PMID- 29654015
TI - Can microstructural MRI detect subclinical tissue injury in subjects with
asymptomatic cervical spinal cord compression? A prospective cohort study.
AB - OBJECTIVES: Degenerative cervical myelopathy (DCM) involves extrinsic spinal cord
compression causing tissue injury and neurological dysfunction. Asymptomatic
spinal cord compression (ASCC) is more common, but its significance is poorly
defined. This study investigates if: (1) ASCC can be automatically diagnosed
using spinal cord shape analysis; (2) multiparametric quantitative MRI can detect
similar spinal cord tissue injury as previously observed in DCM. DESIGN:
Prospective observational longitudinal cohort study. SETTING: Single centre,
tertiary care and research institution. PARTICIPANTS: 40 neurologically intact
subjects (19 female, 21 male) divided into groups with and without ASCC.
INTERVENTIONS: None. OUTCOME MEASURES: Clinical assessments: modified Japanese
Orthopaedic Association score and physical examination. 3T MRI assessments:
automated morphometric analysis compared with consensus ratings of spinal cord
compression, and measures of tissue injury: cross-sectional area, diffusion
fractional anisotropy, magnetisation transfer ratio and T2*-weighted imaging
white to grey matter signal intensity ratio (T2*WI WM/GM) extracted from rostral
(C1-3), caudal (C6-7) and maximally compressed levels. RESULTS: ASCC was present
in 20/40 subjects. Diagnosis with automated shape analysis showed area under the
curve >97%. Five MRI metrics showed differences suggestive of tissue injury in
ASCC compared with uncompressed subjects (p<0.05), while a composite of all 10
measures (average of z scores) showed highly significant differences (p=0.002).
At follow-up (median 21 months), two ASCC subjects developed DCM. CONCLUSIONS:
ASCC appears to be common and can be accurately and objectively diagnosed with
automated morphometric analysis. Quantitative MRI appears to detect subclinical
tissue injury in ASCC prior to the onset of neurological symptoms and signs.
These findings require further validation, but offer the intriguing possibility
of presymptomatic diagnosis and treatment of DCM and other spinal pathologies.
PMID- 29654016
TI - Association between physical activity and health-related quality of life in
elderly individuals with pre-diabetes in rural Hunan Province, China: a cross
sectional study.
AB - OBJECTIVES: There are few data on the relationship between health-related quality
of life (HRQoL) and physical activity among elderly individuals with pre
diabetes. This study aimed to determine if differences existed in HRQoL between
individuals with pre-diabetes who were physically active compared with those who
were physically inactive in rural China. DESIGN, SETTING AND PARTICIPANTS: A
cross-sectional survey was conducted among the elderly (?60 years) in rural
communities in Yiyang City of China. Multistage cluster random sampling was
carried out to select 42 areas, and interviews were conducted among 434 elderly
individuals with pre-diabetes. Pre-diabetes was screened using an oral glucose
tolerance test. MAIN OUTCOME MEASURES: The Medical Outcomes Study 36-Item Short
Form Health Survey questionnaire was used to measure HRQoL. Physical activity was
assessed using the International Physical Activity Questionnaire. Multivariate
analysis of covariance (MANCOVA) was used to test for differences in HRQoL
between the physically active group and the inactive group. RESULTS: A total of
434 individuals with pre-diabetes were included in this study. The physical
component summary (PCS) score of HRQoL was 42.1+/-10.2 and the mental component
summary score was 46.4+/-8.9. A median total physical activity of 524 metabolic
equivalent-min/week was reported. A significant MANCOVA model (Wilks'
lambda=0.962, F(2,423)=8.44, P<0.001) indicated that elderly individuals with pre
diabetes who were physically active reported higher PCS scores (Mdiff=5.2,
P<0.001, effective size=0.47) compared with those physically inactive after
adjusting for the following covariates: age, gender, marital status, education,
smoking, chronic disease, body mass index and waist:hip ratio. CONCLUSIONS: The
HRQoL of elderly individuals with pre-diabetes is poor in rural China. These
findings demonstrated that elderly individuals with pre-diabetes who were
physically active had higher PCS scores than those who were physically inactive.
Furthermore, these results support the rationale for developing a physical
activity intervention for HRQoL of individuals with pre-diabetes. TRIAL
REGISTRATION NUMBER: ChiCTR-IOR-15007033; Results.
PMID- 29654017
TI - Assessing prevalence of missed laboratory-confirmed sexually transmitted
infections among women in Kingston, Jamaica: results from a secondary analysis of
the Sino-Implant clinical trial.
AB - OBJECTIVES: To assess potentially missed sexually transmitted infections (STIs),
we compared clinically diagnosed STIs to laboratory-confirmed diagnoses of
gonorrhoea (GC), chlamydia (CT) and trichomonas (Tvag). DESIGN: Secondary
analysis of a randomised controlled trial. SETTING: We used data and specimens
previously collected for the Sino-Implant Study in Kingston, Jamaica.
PARTICIPANTS: The Sino-Implant Study randomised 414 women to receive a
levonorgestrel implant at either baseline or 3 months post-enrolment to evaluate
unprotected sex after implant initiation. This analysis used 254 available
vaginal swab samples. OUTCOME MEASURES: Clinically diagnosed STIs were determined
from medical records by assessing clinical impressions and prescriptions.
Laboratory-confirmed STIs included GC, CT and Tvag tested by Aptima Combo 2 for
CT/GC and Aptima Tvag assays (Hologic, San Diego, California, USA). Log-binomial
regression models fit with generalised estimating equations were used to estimate
associations of clinically diagnosed STIs with laboratory-confirmed diagnoses and
demographic and behavioural characteristics. RESULTS: Overall, 195 (76.8%) women
had laboratory-confirmed STI (CT, GC or Tvag) while only 65 (25.6%) women had
clinically diagnosed cervicitis and/or vaginitis during the study period.
Clinical diagnosis missed 79.7% of laboratory-confirmed STIs: 85% of GC
(n=17/20), 78.8% of CT (n=141/179) and 80.0% of Tvag (n=180/225). Hormonal
contraceptive use in the month prior to the study visit was significantly
associated with clinical diagnosis at any time point (prevalence ratio (PR):
1.65, 95% CI 1.07 to 2.54). As age increased, clinically missed infections
significantly decreased (PR: 0.98 per year increase, 95% CI 0.97 to 1.00).
CONCLUSIONS: The prevalence of laboratory-confirmed STIs was much higher than
what was captured by clinical diagnosis. GC, CT and Tvag were not accurately
detected without lab confirmation. Missed diagnoses decreased with older age.
Increased laboratory capacity and refinement of the syndromic approach are needed
to protect the health of sexually active Jamaican women. TRIAL REGISTRATION
NUMBER: NCT01684358.
PMID- 29654018
TI - Reducing early infant mortality in India: results of a prospective cohort of
pregnant women using emergency medical services.
AB - OBJECTIVES: To describe the demographic characteristics and clinical outcomes of
neonates born within 7 days of public ambulance transport to hospitals across
five states in India. DESIGN: Prospective observational study. SETTING: Five
Indian states using a centralised emergency medical services (EMS) agency that
transported 3.1 million pregnant women in 2014. PARTICIPANTS: Over 6 weeks in
2014, this study followed a convenience sample of 1431 neonates born to women
using a public-private ambulance service for a 'pregnancy-related' problem.
Initial calls were deemed 'pregnancy related' if categorised by EMS dispatchers
as 'pregnancy', 'childbirth', 'miscarriage' or 'labour pains'. Interfacility
transfers, patients absent on ambulance arrival, refusal of care and neonates
born to women beyond 7 days of using the service were excluded. MAIN OUTCOME
MEASURES: death at 2, 7 and 42 days after delivery. RESULTS: Among 1684 women,
1411 gave birth to 1431 newborns within 7 days of initial ambulance transport.
Median maternal age at delivery was 23 years (IQR 21-25). Most mothers were from
rural/tribal areas (92.5%) and lower social (79.9%) and economic status (69.9%).
Follow-up rates at 2, 7 and 42 days were 99.8%, 99.3% and 94.1%, respectively.
Cumulative mortality rates at 2, 7 and 42 days follow-up were 43, 53 and 62 per
1000 births, respectively. The perinatal mortality rate (PMR) was 53 per 1000.
Preterm birth (OR 2.89, 95% CI 1.67 to 5.00), twin deliveries (OR 2.80, 95% CI
1.10 to 7.15) and caesarean section (OR 2.21, 95% CI 1.15 to 4.23) were the
strongest predictors of mortality. CONCLUSIONS: The perinatal mortality rate
associated with this cohort of patients with high-acuity conditions of pregnancy
was nearly two times the most recent rate for India as a whole (28 per 1000
births). EMS data have the potential to provide more robust estimates of PMR,
reduce inequities in timely access to healthcare and increase facility-based care
through service of marginalised populations.
PMID- 29654019
TI - Effectiveness and cost-effectiveness of fining non-attendance at public
hospitals: a randomised controlled trial from Danish outpatient clinics.
AB - OBJECTIVES: Fines have been proposed as means for reducing non-attendance in
healthcare. The empirical evidence of the effect of fines is however limited. The
objective of this study is to investigate the effectiveness and cost
effectiveness of fining non-attendance at outpatient clinics. DESIGN,
PARTICIPANTS AND SETTING: 1:1 randomised controlled trial of appointments for an
outpatient clinic, posted to Danish addresses, between 1 May 2015 and 30 November
2015. Only first appointment for users was included. Healthcare professionals and
investigators were masked. INTERVENTION: A fine of DKK250 (?34) was issued for
non-attendance. Users were informed about the fine in case of non-attendance by
the appointment letter, and were able to reschedule or cancel until the
appointment. A central administration office administered the fine system. MAIN
OUTCOME MEASURES: The main outcome measures were non-attendance of non-cancelled
appointments, fine policy administration costs, net of productivity consequences
and probability of fining non-attendance being cost-effective over no fining for
a range of hypothetical values of reduced non-attendance. RESULTS: All of the
6746 appointments included were analysed. Of the 3333 appointments randomised to
the fine policy, 130 (5%) of non-cancelled appointments were unattended, and of
the 3413 appointments randomised to no-fine policy, 131 (5%) were unattended. The
cost per appointment of non-attendance was estimated at DKK 56 (SE 5) in the fine
group and DKK47 (SE 4) in the no-fine group, leading to a non-statistically
significant difference of DKK10 (95% CI -9 to 22) per appointment attributable to
the fine policy. The probability of cost-effectiveness remained around 50%,
irrespective of increased values of reduced non-attendance or various alternative
assumptions used for sensitivity analyses. CONCLUSIONS: At a baseline level of
around 5%, fining non-attendance does not seem to further reduce non-attendance.
Future studies should focus on other means for reduction of non-attendance such
as nudging or negative reinforcement. TRIAL REGISTRATION NUMBER: ISRCTN61925912.
PMID- 29654020
TI - Gender inequalities in the association between area deprivation and perceived
social support: a cross-sectional multilevel analysis at the municipality level
in Germany.
AB - OBJECTIVES: To investigate the association between area deprivation at
municipality level with low perceived social support, independent of individual
socioeconomic position and demographic characteristics. To assess whether there
are gender inequalities in this association. DESIGN: Cross-sectional multilevel
analysis of survey data. SETTING: Germany. PARTICIPANTS: 3350 men and 3665 women
living in 167 municipalities throughout Germany participating in the 'German
Health Interview and Examination Survey for Adults' (DEGS1 2008-2011) as part of
the national health monitoring. OUTCOME: Perceived social support as measured by
Oslo-3 Social Support Scale. RESULTS: Prevalence of low perceived social support
was 11.4% in men and 11.1% in women. Low social support was associated in men and
women with sociodemographic characteristics that indicate more disadvantaged
living situations. Taking these individual-level characteristics into account,
municipal-level deprivation was independently associated with low perceived
social support in men (OR for the most deprived quintile: 1.80 (95% CI 1.14 to
2.84)), but not in women (OR 1.22 (95% CI 0.78 to 1.90)). CONCLUSION: The results
of our multilevel analysis suggest that there are gender inequalities in the
association of municipal-level deprivation with the prevalence of low perceived
social support in Germany independent of individual socioeconomic position.
Community health interventions aiming at promotion of social support among
residents might profit from a further understanding of the observed gender
differences.
PMID- 29654021
TI - Awareness of diabetic retinopathy and its association with attendance for
systematic screening at the public primary care setting: a cross-sectional study
in Hong Kong.
AB - OBJECTIVE: To assess the association between awareness of diabetic retinopathy
(DR) and actual attendance for DR screening. DESIGN: Cross-sectional study.
SETTING: Two public general outpatient clinics. PARTICIPANTS: The subjects were
people with diabetes mellitus (DM) who participated in a randomised controlled
trial, set up in 2008, to test the impact of a copayment on attendance for DR
screening. PRIMARY AND SECONDARY OUTCOME MEASURES: The subjects' awareness of DR
was evaluated using a structured questionnaire conducted via a telephone
interview. The attendance for screening was from the actual attendance data.
Association between awareness and attendance for screening was determined using
multivariate logistic regression model and was reported as ORs. RESULTS: A total
of 2593 participants completed the questionnaire. A total of 42.9% (1113/2593)
said they would worry if they had any vision loss and 79.6% (2063/2593) knew that
DM could cause blindness. Only 17.5% (453/2593) knew that treatment was available
for DR and 11.5% (297/2593) knew that early DR could be asymptomatic. The
importance of having a regular eye examination was acknowledged by 75.7%
(1964/2593), but 34% (881/2593) did not know how frequently their eyes should be
examined. Worry about vision loss (OR=1.72, P<0.001), awareness of the importance
of regular eye examination (OR=1.83, P=0.002) and awareness of the frequency of
eye examinations ('every year' (OR=2.64, P<0.001) or 'every 6 months' (OR=3.27,
P<0.001)) were the most significant factors associated with attendance.
CONCLUSIONS: Deficits in knowledge of DR and screening were found among subjects
with DM, and three awareness factors were associated with attendance for
screening. These factors could be targeted for future interventions.
PMID- 29654022
TI - Gender disparities in high-quality dermatology research: a descriptive
bibliometric study on scientific authorships.
AB - OBJECTIVE: The present study aims to elucidate the state of gender equality in
high-quality dermatological research by analysing the representation of female
authorships from January 2008 to May 2017. DESIGN: Retrospective, descriptive
study. SETTING: 113 189 male and female authorships from 23 373 research articles
published in 23 dermatological Q1 journals were analysed with the aid of the
Gendermetrics Platform. RESULTS: 43.0% of all authorships and 50.2% of the
firstauthorships, 43.7% of the coauthorships and 33.1% of the last authorships
are held by women. The corresponding female-to-male ORs are 1.41 (95% CI 1.37 to
1.45) for first authorships, 1.07 (95% CI 1.04 to 1.10) for coauthorships and
0.60 (95% CI 0.58 to 0.62) for last authorships. The annual growth rates are
1.74% overall and 1.45% for first authorships, 1.53% for coauthorships and 2.97%
for last authorships. Women are slightly under-represented at prestigious
authorships compared with men (Prestige Index=-0.11). The under-representation
remains stable in highly competitive articles attracting the highest citation
rates, namely, articles with many authors and articles that were published in
highest-impact journals. Multiauthor articles with male key authors are only
slightly more frequently cited than those with female key authors. Women publish
slightly fewer papers compared with men (47.2% women hold 43.0% of the
authorships). At the level of individual journals, there is a high degree of
uniformity in gender-specific authorship odds. By contrast, distinct differences
at country level were revealed. The prognosis for the next decades forecasts a
consecutive harmonisation of authorship odds between the two genders.
CONCLUSIONS: In high-quality dermatological research, the integration of female
scholars is advanced as compared with other medical disciplines. A gender gap
consists mainly in the form of a career dichotomy, with many female early career
researchers and few women in academic leadership positions. However, this gender
gap has been narrowed in the last decade and will likely be further reduced in
the future.
PMID- 29654023
TI - OPTIMAL and ENSURE trials-based combined cost-effectiveness analysis of erlotinib
versus chemotherapy for the first-line treatment of Asian patients with non
squamous non-small-cell lung cancer.
AB - OBJECTIVES: Erlotinib, the first generation of epidermoid growth factor receptor
tyrosine kinase inhibitor (EGFR-TKI), has been recommended as an essential
treatment in patients with non-small-cell lung cancer (NSCLC) with EGFR mutation.
Although it has improved progression-free survival (PFS), overall survival (OS)
was limited and erlotinib can be expensive. This cost-effectiveness analysis
compares erlotinib monotherapy with gemcitabine-included doublet chemotherapy.
SETTING: First-line treatment of Asian patients with NSCLC with EGFR mutation.
METHODS: A Markov model was created based on the results of the ENSURE
(NCT01342965) and OPTIMAL (CTONG-0802) trials which evaluated erlotinib and
chemotherapy. The model simulates cancer progression and all causes of death. All
medical costs were calculated from the perspective of the Chinese healthcare
system. MAIN OUTCOME MEASURES: The primary outcomes are costs, quality-adjusted
life years (QALYs) and incremental cost-effectiveness ratios (ICERs). RESULTS:
The combined PFS was 11.81 months and 5.1 months for erlotinib and chemotherapy,
respectively, while the OS was reversed at 24.68 months for erlotinib and 26.16
months for chemotherapy. The chemotherapy arm gained 0.13 QALYs compared with
erlotinib monotherapy (1.17 QALYs vs 1.04 QALYs), while erlotinib had lower costs
($55 230 vs $77 669), resulting in an ICER of $174 808 per QALY for the
chemotherapy arm, which exceeds three times the Chinese GDP per capita. The most
influential factors were the health utility of PFS, the cost of erlotinib and the
health utility of progressed disease. CONCLUSION: Erlotinib monotherapy may be
acceptable as a cost-effective first-line treatment for NSCLC compared with
gemcitabine-based chemotherapy. The results were robust to changes in
assumptions. TRIAL REGISTRATION NUMBER: NCT01342965 and CTONG-0802.
PMID- 29654024
TI - Effect of maternal age on facility-based delivery: analysis of first-order births
in 34 countries of sub-Saharan Africa using demographic and health survey data.
AB - OBJECTIVES: Increasing access to skilled birth attendance, usually via childbirth
in health facilities, is a key intervention to reduce maternal and perinatal
mortality and morbidity. Yet, in some countries of sub-Saharan Africa, the uptake
is <50%. Age and parity are determinants of facility-based delivery, but are
strongly correlated in high fertility settings. This analysis assessed the
independent effect of age on facility-based delivery by restricting to first
order births. It was hypothesised that older first-time mothers in this setting
might have lower uptake of facility-based deliveries than women in the most
common age groups for first birth. SETTING: The most recent Demographic and
Health Surveys from 34 sub-Saharan African countries were used to assess women's
delivery locations. PARTICIPANTS: 72 772 women having their first birth in the 5
years preceding the surveys were included in the analysis. PRIMARY AND SECONDARY
OUTCOME MEASURES: Proportions and 95% CIs of facility-based deliveries were
estimated overall and by country. Multivariable logistic regression was used to
calculate the odds of facility-based delivery for different maternal age groups
(15-19, 20-24 and >=25 years) for a pooled sample of all countries. RESULTS:
59.9% of women had a facility-based delivery for their first birth (95% CI 58.6
to 61.2), ranging from 19.4% in Chad to 96.6% in Rwanda. Compared with women aged
15-19 years, the adjusted odds of having a facility-based delivery for those aged
20-24 was 1.4 (95% CI 1.3 to 1.5, p<0.001) and for those aged >=25, 1.9 (95% CI
1.6 to 2.2, p<0.001). CONCLUSIONS: Older age at first birth was independently
associated with significantly higher odds of facility-based delivery. This went
against the hypothesis. Further mixed-method research is needed to explore how
increased age improves uptake of facility-based delivery. Promoting facility
based delivery, while ensuring quality of care, should be prioritised to improve
birth outcomes in sub-Saharan Africa.
PMID- 29654025
TI - Cancer-related information needs and treatment decision-making experiences of
people with dementia in England: a multiple perspective qualitative study.
AB - OBJECTIVES: Little is known about the cancer experience and support needs of
people with dementia. In particular, no evidence currently exists to demonstrate
the likely complex decision-making processes for this patient group and the
oncology healthcare professionals (HCP) involved in their care. The aim of this
study was to explore the cancer-related information needs and decision-making
experiences of patients with cancer and comorbid dementia, their informal
caregivers and oncology HCPs. DESIGN: Cross-sectional qualitative study.
Semistructured interviews were conducted face to face with participants.
Interviews were audio recorded and transcribed prior to thematic analysis.
SETTING: Patients with a diagnosis of cancer and dementia, their informal
caregivers and oncology HCPs involved in their care, all recruited from a
regional treatment cancer centre. PARTICIPANTS: Purposeful sample of 10 patients
with a diagnosis of cancer-dementia, informal caregivers (n=9) and oncology HCPs
(n=12). RESULTS: Four themes were identified: (1) leading to the initial
consultation-HCPs require more detailed information on the functional impact of
dementia and how it may influence cancer treatment options prior to meeting the
patient; (2) communicating clinically relevant information-informal caregivers
are relied on to provide patient information, advocate for the patient and
support decision-making; (3) adjustments to cancer care-patients with dementia
get through treatment with the help of their family and (4) following completion
of cancer treatment-there are continuing information needs. Oncology HCPs
discussed their need to consult specialists in dementia care to support treatment
decision-making. CONCLUSIONS: Although patients with cancer-dementia are involved
in their treatment decision-making, informal caregivers are generally crucial in
supporting this process. Individual patient needs and circumstances related to
their cancer must be considered in the context of dementia prognosis highlighting
complexities of decision-making in this population. Oncology teams should strive
to involve healthcare staff with dementia expertise as early as possible in the
cancer pathway.
PMID- 29654028
TI - Digital Support Platform: a qualitative research study investigating the
feasibility of an internet-based, postdiagnostic support platform for families
living with dementia.
AB - OBJECTIVES: To establish the feasibility of the Digital Support Platform (DSP),
an internet-based, postdiagnostic tool designed for families living with a
diagnosis of dementia. DESIGN: Qualitative methods using normalisation process
theory as an analysis framework for semistructured interview transcriptions.
SETTING: A community care setting in the South-East Scotland. PARTICIPANTS: We
interviewed 10 dyads of people with Alzheimer's, vascular or mixed dementia
(PWD), and their family carers, who had been given and had used the DSP for at
least 2 months. RESULTS: Our analysis revealed that the DSP was predominantly
understood and used by the carers rather than PWD, and was used alongside tools
and methods they already used to care for their relative. The DSP was interpreted
as a tool that may be of benefit to those experiencing later stages of dementia
or with physical care needs. Carers stated that the DSP may be of benefit in the
future, reflecting a disinclination to prepare for or anticipate for future
needs, rather than focus on those needs present at the time of distribution. PWD
spoke positively about an interest in learning to use technology more effectively
and enjoyed having their own tablet devices. CONCLUSIONS: The DSP was not wholly
appropriate for families living with dementia in its early stages. The views of
carers confirmed that postdiagnostic support was valued, but emphasised the
importance of tailoring this support to the exact needs and current arrangements
of families. There may be a benefit to introducing, encouraging, providing and
teaching internet-enabled technology to those PWD who do not currently have
access. Training should be provided when introducing new technology to PWD.
PMID- 29654026
TI - Cost of hospitalised patients due to complicated urinary tract infections: a
retrospective observational study in countries with high prevalence of multidrug
resistant Gram-negative bacteria: the COMBACTE-MAGNET, RESCUING study.
AB - OBJECTIVE: Complicated urinary tract infections (cUTIs) impose a high burden on
healthcare systems and are a frequent cause of hospitalisation. The aims of this
paper are to estimate the cost per episode of patients hospitalised due to cUTI
and to explore the factors associated with cUTI-related healthcare costs in eight
countries with high prevalence of multidrug resistance (MDR). DESIGN: This is a
multinational observational, retrospective study. The mean cost per episode was
computed by multiplying the volume of healthcare use for each patient by the unit
cost of each item of care and summing across all components. Costs were measured
from the hospital perspective. Patient-level regression analyses were used to
identify the factors explaining variation in cUTI-related costs. SETTING: The
study was conducted in 20 hospitals in eight countries with high prevalence of
multidrug resistant Gram-negative bacteria (Bulgaria, Greece, Hungary, Israel,
Italy, Romania, Spain and Turkey). PARTICIPANTS: Data were obtained from 644
episodes of patients hospitalised due to cUTI. RESULTS: The mean cost per case
was ?5700, with considerable variation between countries (largest value ?7740 in
Turkey; lowest value ?4028 in Israel), mainly due to differences in length of
hospital stay. Factors associated with higher costs per patient were: type of
admission, infection source, infection severity, the Charlson comorbidity index
and presence of MDR. CONCLUSIONS: The mean cost per hospitalised case of cUTI was
substantial and varied significantly between countries. A better knowledge of the
reasons for variations in length of stays could facilitate a better standardised
quality of care for patients with cUTI and allow a more efficient allocation of
healthcare resources. Urgent admissions, infections due to an indwelling urinary
catheterisation, resulting in septic shock or severe sepsis, in patients with
comorbidities and presenting MDR were related to a higher cost.
PMID- 29654027
TI - Comparison of intravenous versus combined oral and intravenous antimicrobial
prophylaxis (COMBINE) for the prevention of surgical site infection in elective
colorectal surgery: study protocol for a multicentre, double-blind, randomised
controlled clinical trial.
AB - INTRODUCTION: Surgical site infections (SSIs) account for 30% of all healthcare
associated infections, with reported rates ranging from 8% and 30% after
colorectal surgery and are associated with increased morbidity and mortality
rates, length of hospital stay and costs in healthcare. Administration of
systemic antimicrobial prophylaxis before surgery is recommended to reduce the
risk of SSI, but the optimal regimen remains unclear. We aim to evaluate whether
a combined oral and intravenous antimicrobial prophylaxis could be more effective
to reduce the incidence of SSI after colorectal surgery, as compared with the
standard practice of intravenous antimicrobial prophylaxis alone. METHODS AND
ANALYSIS: Comparison of intravenous versus combined oral and intravenous
antimicrobial prophylaxis (COMBINE) trial is a randomised, placebo-controlled,
parallel, double-blind, multicentre study of 960 patients undergoing elective
colorectal surgery. Patients will be randomly allocated in a 1:1 ratio to receive
either combined oral and intravenous antimicrobial prophylaxis or intravenous
antibiotic prophylaxis alone, stratified by centre, the surgical procedure
(laparoscopic or open surgery) and according to the surgical skin antisepsis
(chlorexidine-alcohol or povidione-iodine alcoholic solution). The primary
endpoint is the rate of SSI by day 30 following surgery, with SSI defined by the
criteria developed by the Centers for Disease Control and Prevention. Data will
be analysed on the intention-to-treat principle and a per-protocol basis. ETHICS
AND DISSEMINATION: COMBINE trial has been approved by an independent ethics
committee for all study centres. Participant recruitment began in May 2016.
Results will be published in international peer-reviewed medical journals. TRIAL
REGISTRATION NUMBER: EudraCT 2015-002559-84; NCT02618720.
PMID- 29654029
TI - Dementia in UK South Asians: a scoping review of the literature.
AB - OBJECTIVE: Over 850 000 people live with dementia in the UK. A proportion of
these people are South Asians, who make up over 5% of the total UK population.
Little is known about the prevalence, experience and treatment of dementia in the
UK South Asian population. The aim of this scoping review is to identify dementia
studies conducted in the UK South Asian population to highlight gaps in the
literature which need to be addressed in future research. METHOD: Databases were
systematically searched using a comprehensive search strategy to identify
studies. A methodological framework for conducting scoping reviews was followed.
An extraction form was developed to chart data and collate study characteristics
and findings. Studies were then grouped into six categories: prevalence and
characteristics; diagnosis validation and screening; knowledge, understanding and
attitudes; help-seeking; experience of dementia; service organisation and
delivery. RESULTS: A total of 6483 studies were identified, 27 studies were
eligible for inclusion in the scoping review. We found that studies of
prevalence, diagnosis and service organisation and delivery in UK South Asians
are limited. We did not find any clinical trials of culturally appropriate
interventions for South Asians with dementia in the UK. The existing evidence
comes from small-scale service evaluations and case studies. CONCLUSIONS: This is
the first scoping review of the literature to identify priority areas for
research to improve care for UK South Asians with dementia. Future research
should first focus on developing and validating culturally appropriate diagnostic
tools for the UK South Asians and then conducting high-quality epidemiological
studies in order to accurately identify the prevalence of dementia in this group.
The cultural adaptation of interventions for dementia and testing in randomised
controlled trials is also vital to ensure that there are appropriate treatments
available for the UK South Asians to access.
PMID- 29654030
TI - A protocol for a Canadian prospective observational study of decision-making on
active surveillance or surgery for low-risk papillary thyroid cancer.
AB - INTRODUCTION: Low-risk papillary thyroid cancer (PTC) is increasingly being
diagnosed throughout the world; yet the mortality risk is low compared with other
malignancies. Traditional management includes thyroid surgery, sometimes followed
by radioactive iodine and thyroid hormone treatment. Active surveillance (AS) has
been proposed as a means to reduce overtreatment of PTC. AS involves close
disease follow-up, with the intention to intervene if the disease progresses, or
on patient request. METHODS AND ANALYSIS: This is a multiphase prospective
observational study. In the first phase of this study, consenting eligible adults
with low-risk PTC, that is, <2 cm in maximal diameter, confined to the thyroid
and not immediately adjacent to critical structures in the neck, are provided
verbal and written information about PTC disease prognosis following surgery or
AS. Questionnaires are administered at baseline and after the disease management
decision on AS or surgery is finalised. Patients may choose either option
(surgery or AS), and the primary outcome is the frequency with which either
disease management option is chosen. Secondary outcomes include: rationale for
the decision, role of the patient in decision-making and decision satisfaction.
In the second phase of the study, consenting eligible adult patients who
completed the first study phase may enrol in respective AS or surgery group
follow-up studies. The following outcomes are examined 1 year after enrolment in
the follow-up phase: decision regret about disease management choice (primary
outcome), psychological distress, disease-specific quality of life, fear of
disease progression, body image satisfaction, disease progression, crossover to
surgery in the AS group, new chronic thyroid hormone use and healthcare resource
utilisation. ETHICS AND DISSEMINATION: The University Health Network Research
Ethics Board approved this study (ID 15-8942). The results will be published in
an open access journal. TRIAL REGISTRATION NUMBER: NCT03271892; Pre-results.
PMID- 29654031
TI - Hip fracture incidence and mortality in chronic kidney disease: the GLOMMS-II
record linkage cohort study.
AB - BACKGROUND: Individuals on renal replacement therapy (RRT) have increased
fracture risk, but risk in less advanced chronic kidney disease (CKD) is unclear.
OBJECTIVE: To investigate CKD associations with hip fracture incidence and
mortality. DESIGN: Record linkage cohort study Grampian Laboratory Outcomes
Mortality and Morbidity Study II. SETTING: Single health region in Scotland.
PARTICIPANTS: All individuals (>=15 years) with sustained CKD stages 3-5 and
those on RRT, and a 20% random sample of those with normal renal function, in the
resident population in 2003. OUTCOME MEASURES: Outcomes were (1) incident hip
fracture measured with (A) admissions or (B) deaths, with at least 5.5 years
follow-up and (2) post-hip fracture mortality. Unadjusted and adjusted, incident
rate ratios (IRRs) and mortality rate ratios were calculated using Poisson
regression. RESULTS: Of 39 630 individuals identified in 2003 (41% males, mean
age 63.3 years), 19 537 had CKD stages 3-5, 345 were on RRT and 19 748 had normal
estimated glomerular filtration rate (eGFR). Hip fracture incidence, measured by
admissions, was increased in CKD stages 3-5 (compared with normal eGFR), both
overall (adjusted IRR 1.49 (95% CI 1.24 to 1.79)) and for individual CKD stages
3a, 3b and 4. Hip fracture incidence, measured using deaths, was increased in
those with CKD stages 3b and 4. Post-hip fracture mortality was only increased in
CKD stage 4. There was only a small number of individuals and events for CKD
stage 5, resulting in insufficient statistical power. CONCLUSION: Hip fracture
incidence was higher in CKD stages 3-5 compared with normal eGFR. Post-hip
fracture mortality was only increased in CKD stage 4. Reducing hip fracture
incidence in CKD through regular fall and fracture risk review should reduce
overall deaths after hip fracture in the population.
PMID- 29654032
TI - Scaling the Peaks Research Protocol: understanding the barriers and drivers to
providing and using dementia-friendly community services in rural areas-a mixed
methods study.
AB - INTRODUCTION: Scaling the Peaks is a cross-disciplinary research study that draws
on medical ethnography, human geography and Geospatial Information Science (GIS)
to address the issues surrounding the design and delivery of dementia-friendly
services in rural communities. The research question seeks to understand the
barriers and drivers to the development of relevant, robust, reliable and
accessible services that make a difference among older rural families affected by
dementia. METHODS AND ANALYSIS: This mixed methods study recruits both families
affected by dementia who reside within the Peak District National Park,
Derbyshire, and their service providers. The study explores the expectations and
experiences of rural dementia by adopting a three-part approach 1 : longitudinal
ethnographic enquiry with up to 32 families affected by dementia (aged 70 years
plus) who identify themselves as rural residents 2 ; ethnographic semistructured
interviews and systematic observations of a range of statutory, third sector,
private and local community initiatives that seek to support older people living
with dementia 3 ; and geospatial visual mapping of the qualitative and
quantitative data. The ethnographic data will be used to explore the ideas of
belonging in a community, perceptions of place and identity to determine the
factors that influence everyday decisions about living well with dementia and,
for the providers, working in a rural community. The geospatial component of the
study seeks to incorporate quantitative and qualitative data, such as types,
locations and allocation of services to produce an interactive web-based map for
local communities to determine the future design and delivery of services when
considering dementia-friendly services. ETHICS AND DISSEMINATION: The study is
approved by the Leeds and Humberside Health Research Authority 16/YH/0163. The
study is also approved by other participating organisations as required by their
own governance procedures. The study includes people with dementia and as such
adheres to the ethical considerations when including people with dementia. A
publicly available interactive visual map of the findings will be produced in
relation to current services related to location and, by default, identify gaps
in provision. Formal reports and dissemination activities will be undertaken in
collaboration with the study advisory group members. STUDY PROGRESS: The
recruitment began in September 2016. The data analysis commenced June 2017, using
59 provider interviews and 27 family participants. Data collection will be
completed June 2018. NOTE ON TERMINOLOGY: Please note that the term 'families
affected by dementia' is the preferred term of usage by the family members of the
Scaling the Peaks Study Advisory Group. The group wish to emphasise that they
consider this term to be more representative of their lives than the term living
with dementia. TRIAL REGISTRATION NUMBER: NIHR IRAS 188103; Pre-results.
PMID- 29654033
TI - A UK clinical audit addressing the quality of prescribing of sodium valproate for
bipolar disorder in women of childbearing age.
AB - OBJECTIVES: To review prescribing practice concerning valproate, an established
human teratogen, for the management of bipolar disorder in women of childbearing
age. DESIGN: The Prescribing Observatory for Mental Health conducted a baseline
clinical audit in the UK, as part of a quality improvement programme.
PARTICIPANTS: Six hundred and forty-eight clinical teams from 55 mental health
Trusts submitted retrospective treatment data relating to patients with a
diagnosis of bipolar disorder. RESULTS: Of the audit sample of 6705 patients,
3854 were 50 years of age or younger. Valproate was prescribed for 24% of women
and 43% men in this age group, and the mean dose of valproate was lower in women
(1196 mg) than in men (1391 mg). For only half of such women was there documented
evidence that information had been provided on the risks for the unborn child and
the need for adequate contraception. Valproate was more often used in men to
treat mania and aggression, while the most common treatment targets in women were
hypomania and relapse prevention. CONCLUSIONS: Despite explicit recommendations
in national treatment guidelines and published safety alerts and warnings
regarding the use of valproate in women of childbearing age, current prescribing
of this medication to such women in the context of the treatment of bipolar
disorder falls short of best practice, particularly with regard to provision of
information regarding the risks associated with exposure to valproate during
pregnancy. While women younger than 50 years of age were less likely to be
prescribed valproate than men in the same age group, and at a lower dosage, it is
unclear to what extent this reflects clinicians' concerns about teratogenicity or
is driven by perceptions of the indication for valproate, and the dosage
required, for the treatment of different phases of the disorder in men and women.
PMID- 29654034
TI - Enablers and barriers to improving worksite canteen nutrition in Pudong, China: a
mixed-methods formative research study.
AB - OBJECTIVE: To identify individual-level and organisation-level enablers and
barriers to the provision and consumption of healthier foods at worksite canteens
in China and to develop a theoretical framework and evidence-based, specific,
practical intervention strategies. DESIGN: Mixed-methods formative research, with
in-depth interviews, focus group discussions and quantitative questionnaires.
SETTING: Two community health centres (CHCs) in Pudong, Shanghai, China.
PARTICIPANTS: In-depth interviews with three CHC administrators and three canteen
managers and staff. Six focus groups with a total of 19 male and 36 female
employees, aged 25-67 years. RESULTS: Three subthemes were identified as
important for influencing individual food choice: the cultural perception of
'eating well', the need to balance taste preferences and nutrition, and the
emphasis on food safety in healthfulness. At the organisation level, two related
subthemes emerged: the balance of canteen budget and food safety with the variety
and quality of offerings, and the interplay between key stakeholders. Key
barriers included cost, poor communication between employees and management,
individuals' emphasis on taste over healthfulness, variation in individual
preferences and discordance between perceived and actual weight status,
particularly among men. Key enablers included strong, positive food culture in
China and trust in canteen food. An ecological framework to describe determinants
of worksite food environment in Shanghai was developed and intervention
strategies were mapped onto this framework. CONCLUSIONS: A balancing act occurs
at multiple levels and ultimately determines the worksite food environment and
employee food choice at CHCs in Shanghai of China. There is a need to implement
these findings and evaluate their impact on diet and health.
PMID- 29654035
TI - Associations between blood cadmium levels and cognitive function in a cross
sectional study of US adults aged 60 years or older.
AB - OBJECTIVES: The relationship between cadmium exposure and cognition has been well
studied in children. However, the association between environmental cadmium
exposure and cognitive function has not been researched extensively in older
adults. Our goal was to evaluate the association between cognitive function and
blood cadmium levels in US adults aged 60 years or older. DESIGN: A cross
sectional study. SETTING: The US National Health and Nutrition Examination Survey
(NHANES). PARTICIPANTS: A total of 2068 adults aged 60 years or older who
completed four cognitive assessment tests and blood cadmium detection in two
waves of NHANES (2011-2014). MAIN OUTCOME MEASURES: Cognitive assessment was
conducted by household interview or at a Mobile Examination Center (MEC) using
the Consortium to Establish a Registry for Alzheimer's Disease (CERAD) Word List
Learning Test, the CERAD Word List Recall Test, the Animal Fluency Test and the
Digit Symbol Substitution Test (DSST). We created a composite cognitive z-score
to represent global cognitive function. RESULTS: The median blood cadmium
concentration in the study participants was 0.35 ug/L, and the IQR was 0.24-0.56
ug/L. In linear regression analyses, adjusting for demographics, behaviour and
medical history, blood cadmium as a continuous variable was inversely associated
with the composite z-score (MUg/L, beta=-0.11, 95% CI -0.20 to -0.03). Similarly,
there was a significant association between quartiles of blood cadmium and
composite z-score, with somewhat lower scores in the upper quartile of exposure
(blood cadmium >=0.63 ug/L) compared with those in the lower quartile of exposure
(blood cadmium <0.25 ug/L) (MUg/L, beta=-0.14, 95% CI -0.25 to -0.03), and there
was a trend by quartiles of blood cadmium (P<0.0001). CONCLUSIONS: Our findings
suggest that increased blood cadmium is associated with worse cognitive function
in adults aged 60 years or older in the USA.
PMID- 29654036
TI - Improving antibiotic prescribing by general practitioners: a protocol for a
systematic review of interventions involving pharmacists.
AB - INTRODUCTION: Effective antibiotic options in general practice for patients with
infections are declining significantly due to antibiotic over-prescribing and
emerging antibiotic resistance. To better improve antibiotic prescribing by
general practitioner (GP), pharmacist-GP collaborations have been promoted under
antibiotic stewardship programmes. However, there is insufficient information
about whether and how pharmacists help GPs to more appropriately prescribe
antibiotics. This systematic review aims to determine whether pharmacist-led or
pharmacist-involved interventions are effective at improving antibiotic
prescribing by GPs. METHODS AND ANALYSIS: A systematic review of English language
randomised controlled trials (RCTs), cluster RCTs, controlled before-and-after
studies and interrupted time series studies cited in MEDLINE, EMBASE, EMCARE,
CINAHL Plus, PubMed, PsycINFO, Cochrane Central Register of Controlled Trials and
Web of Science databases will be conducted. Studies will be included if a
pharmacist is involved as the intervention provider and GPs are the intervention
recipients in general practice setting. Data extraction and management will be
conducted using Effective Practice and Organisation of Care data abstraction
tools and a template for intervention description and replication. The Cochrane
and ROBINS-I risk of bias assessment tools will be used to assess the
methodological quality of studies. Primary outcome measures include changes
(overall, broad spectrum and guidelines concordance) of GP-prescribed
antibiotics. Secondary outcomes include quality of antibiotic prescribing,
delayed antibiotic use, acceptability and feasibility of interventions. Meta
analysis for combined effect and forest plots, chi2 test and I2 statistics for
detailed heterogeneity and sensitivity analysis will be performed if data permit.
Grading of Recommendations Assessment, Development and Evaluation and Preferred
Reporting Items for Systematic Reviews and Meta-Analyses Protocols guidance will
be used to report findings. ETHICS AND DISSEMINATION: No ethics approval is
required as no primary, personal or confidential data are being collected in this
study. The findings will be disseminated to national and international scientific
sessions and published in a peer-reviewed journal. PROSPERO REGISTRATION NUMBER:
CRD42017078478.
PMID- 29654037
TI - Current practice of usual clinic blood pressure measurement in people with and
without diabetes: a survey and prospective 'mystery shopper' study in UK primary
care.
AB - OBJECTIVES: Hypertension trials and epidemiological studies use multiple clinic
blood pressure (BP) measurements at each visit. Repeat measurement is also
recommended in international guidance; however, little is known about how BP is
measured routinely. This is important for individual patient management and
because routinely recorded readings form part of research databases. We aimed to
determine the current practice of BP measurement during routine general practice
appointments. DESIGN: (1) An online cross-sectional survey and (2) a prospective
'mystery shopper' study where patients agreed to report how BP was measured
during their next appointment. SETTING: Primary care. PARTICIPANTS: Patient
charity/involvement group members completing an online survey between July 2015
and January 2016. 334 participants completed the prospective study (51.5% male,
mean age=59.3 years) of which 279 (83.5%) had diabetes. PRIMARY OUTCOME:
Proportion of patients having BP measured according to guidelines. RESULTS: 217
participants with (183) and without diabetes (34) had their BP measured at their
last appointment. BP was measured in line with UK guidance in 63.7% and 60.0% of
participants with and without diabetes, respectively. Initial pressures were
significantly higher in those who had their BP measured more than once compared
with only once (p=0.016/0.089 systolic and p<0.001/p=0.022 diastolic, in patients
with/without diabetes, respectively). CONCLUSIONS: Current practice of routine BP
measurement in UK primary care is often concordant with guidelines for repeat
measurement. Further studies are required to confirm findings in broader
populations, to confirm when a third repeat reading is obtained routinely and to
assess adherence to other aspects of BP measurement guidance.
PMID- 29654038
TI - Efficacy of nurse-led and general practitioner-led comprehensive geriatric
assessment in primary care: protocol of a pragmatic three-arm cluster randomised
controlled trial (CEpiA study).
AB - INTRODUCTION: Older patients raise therapeutic challenges, because they
constitute a heterogeneous population with multimorbidity. To appraise this
complexity, geriatricians have developed a multidimensional comprehensive
geriatric assessment (CGA), which may be difficult to apply in primary care
settings. Our primary objective was to compare the effect on morbimortality of
usual care compared with two complex interventions combining educational seminars
about CGA: a dedicated geriatric hotline for general practitioners (GPs) and CGA
by trained nurses or GPs. METHODS AND ANALYSIS: The Clinical Epidemiology and
Ageing study is an open-label, pragmatic, multicentre, three-arm, cluster
randomised controlled trial comparing two intervention groups and one control
group. Patients must be 70 years or older with a long-term illness or with
unscheduled hospitalisation in the past 3 months (750 patients planned). This
study involves volunteering GPs practising in French primary care centres, with
randomisation at the practice level. The multifaceted interventions for
interventional arms comprise an educational interactive multiprofessional seminar
for GPs and nurses, a geriatric hotline dedicated to GPs in case of difficulties
and the performance of a CGA updated to primary care. The CGA is systematically
performed by a nurse in arm 1 but is GP-led on a case-by-case basis in arm 2. The
primary endpoint is a composite criterion comprising overall death, unscheduled
hospitalisations, emergency admissions and institutionalisation within 12 months
after inclusion. Intention-to-treat analysis will be performed using mixed
effects logistic regression models, with adjustment for potential confounders.
ETHICS AND DISSEMINATION: The protocol was approved by an appropriate ethics
committee (CPP Ile-de-France IV, Paris, France, approval April 2015;15 664). This
study is conducted according to principles of good clinical practice in the
context of current care and will provide useful knowledge on the clinical
benefits achievable by CGA in primary care. TRIAL REGISTRATION NUMBER:
NCT02664454; Pre-results.
PMID- 29654039
TI - Randomised controlled feasibility trial of standard wound management versus
negative-pressure wound therapy in the treatment of adult patients having
surgical incisions for hip fractures.
AB - INTRODUCTION: Deep wound infection is a catastrophic complication after hip
fracture surgery. However, current understanding of infection rates in this
population is limited. Many technologies such as incisional negative-pressure
wound therapy (NPWT) show promise in reducing the rate of infection. This trial
is a feasibility study looking to establish a value estimated with a greater
precision of the rate of deep infection after hip fracture treatment in patients
treated with NPWT versus standard dressing following hip fracture surgery.
METHODS AND ANALYSIS: A randomised controlled trial of 464 patients will be run
across multiple centres. It is embedded in the World Hip Trauma Evaluation cohort
study. Any patient over the age of 65 years having surgery for hip fracture is
eligible unless they are being treated with percutaneous screw fixation. A web
based randomisation sequence will stratify patients by centre. Patients will be
allocated to either NPWT or standard care on a 1:1 basis. The primary outcome
measure is the Centre for Disease Control definition of deep infection at 30
days. Follow-up at 4 months will also assess deep infection and the core outcome
dataset for hip fractures. This includes health-related quality of life (EQ-5D
5L), mobility, mortality and late complications such as further surgery. The
primary analysis will be intention to treat. ETHICS AND DISSEMINATION: Oxford C
Research Ethics Committee granted ethical approval on 28/04/2017, 17/SC/0207. The
results of this study will be reported in a peer-reviewed publication and inform
the design of a future full-scale trial. TRIAL REGISTRATION NUMBER:
ISRCTN55305726.
PMID- 29654041
TI - Is there an association between nurse, clinical teacher and peer feedback for
trainee doctors' medical specialty choice? An observational study in Taiwan.
AB - OBJECTIVES: This study explored whether there is an association between medical
trainees' future specialty choices and the 360-degree feedback they receive. We
hypothesised that the higher the scores that teachers, trainees and/or nurses
give to postgraduate year 1s (PGY1s) in any given specialty, the more likely that
they will choose that specialty for their residency. SETTING: The study was
conducted in a large regional teaching hospital in Taiwan. PARTICIPANTS: The
participants of this study were n=66 PGY1s who had completed their medical
studies domestically or internationally and had received their PGY1 training in a
single teaching hospital in southern Taiwan. Data from 990 assessments were
included. PRIMARY AND SECONDARY OUTCOME MEASURES: Logistic regression analyses
for teachers', nursing staff and peers' authentic assessments of trainees were
undertaken for (1) desired specialty, (2) applied specialty, (3) enrolled
specialty, (4) consistency between desired and applied specialties, (5)
consistency between applied and enrolled specialties and (6) consistency between
desired and enrolled specialties. Alpha was set at p<0.05. RESULTS: Nursing staff
scores were significantly associated with all six dependent variables.
Furthermore, teachers' scores were significantly associated with trainees'
desired specialty and the consistency between desired and enrolled specialty.
Peers' scores were not significantly associated with any dependent variable.
CONCLUSIONS: Trainees' specialty choices are associated with scores given by
nursing staff and clinical teachers. We suggest that qualitative research methods
should further explore this association to ascertain whether PGY1s are
consciously influenced by these scores and if so, in what way.
PMID- 29654040
TI - The role of psychological factors in the perpetuation of pain intensity and
disability in people with chronic shoulder pain: a systematic review.
AB - INTRODUCTION: Chronic shoulder pain is a very complex syndrome, and the
mechanisms involved in its perpetuation remain unclear. Psychological factors
appear to play a role in the perpetuation of symptoms in people with shoulder
chronicity. The purpose of this systematic review is to examine the role of
psychological factors in the perpetuation of symptoms (pain intensity and
disability) in people with chronic shoulder pain. METHODS AND ANALYSIS: A
systematic search was performed on PubMed, AMED, CINAHL, PubPsych and EMBASE from
inception to July 2017. Longitudinal studies with quantitative designs analysing
the role of psychological factors on pain intensity, disability or both were
included. The methodological quality of the included studies was evaluated with
an adapted version of the Newcastle Ottawa Scale. The level of evidence per
outcome was examined using the Grading of Recommendations Assessment, Development
and Evaluation approach. RESULTS: A total of 27 articles were included with a
sample of 11 176 people with chronic shoulder pain. The risk of bias ranges from
7/21 to 13/21 across the studies. The quality of the evidence was very low. High
levels of self-efficacy, resilience and expectations of recovery were
significantly associated with low levels of pain intensity and disability.
Inversely, high levels of emotional distress, depressive symptoms, anxiety,
preoperative concerns, fear-avoidance beliefs, somatisation and pain
catastrophising were significantly associated with high levels of pain intensity
and disability. DISCUSSION: Our results suggest that psychological factors may
influence the perpetuation of pain intensity and disability, with very low
evidence. A meta-analysis was not carried out due to the heterogeneity of the
included studies so results should be interpreted with caution. PROSPERO TRIAL
REGISTRATION NUMBER: CRD42016036366.
PMID- 29654042
TI - Influence of the Scandinavian climate pattern on the UK asthma mortality: a time
series and geospatial study.
AB - OBJECTIVE: It is well known that climate variability and trends have an impact on
human morbidity and mortality, especially during the winter. However, there are
only a handful of studies that have undertaken quantitative investigations into
this impact. We evaluate the association between the UK winter asthma mortality
data to a well-established feature of the climate system, the Scandinavian (SCA)
pattern. METHODS: Time series analysis of monthly asthma mortality through the
period of January 2001 to December 2015 was conducted, where the data were
acquired from the UK's Office for National Statistics. The correlations between
indices of important modes of climate variability impacting the UK such as the
North Atlantic Oscillation as well as the SCA and the asthma mortality time
series were computed. A grid point correlation analysis was also conducted with
the asthma data with sea level pressure, surface wind and temperature data
acquired from the European Centre for Medium-Range Weather Forecasts. RESULTS: We
find that sea level pressure and temperature fluctuations associated with the SCA
explain ~20% (>95% CL) of variance in the UK asthma mortality through a period of
2001-2015. Furthermore, the highest winter peak in asthma mortality occurred in
the year 2015, during which there were strong northwesterly winds over the UK
that were the result of a sea level pressure pattern similar to that associated
with the SCA. CONCLUSIONS: Our study emphasises the importance of incorporating
large-scale geospatial analyses into future research of understanding diseases
and its environmental impact on human health.
PMID- 29654043
TI - Pregnancy outcomes among Chinese women with and without systemic lupus
erythematosus: a retrospective cohort study.
AB - OBJECTIVE: To completely and quantifiably determine the effect of systemic lupus
erythematosus (SLE) on pregnancy outcomes in a Chinese cohort. DESIGN: A
retrospective cohort study. SETTING: Data were collected at a tertiary medical
centre located in Shanghai, China, from September 2011 to May 2017. PARTICIPANTS:
We assigned 338 pregnant women with SLE to the study cohort and 1014 randomly
selected pregnant women without SLE (three for every woman with SLE) to a
comparison cohort. The relevant medical records of all pregnant women were
retrospectively reviewed. Cases of multiple pregnancy and cases in which an
artificial abortion was performed for personal reasons were excluded. PRIMARY AND
SECONDARY OUTCOME MEASURES: Maternal and fetal outcomes were primary outcomes,
and management of antenatal care was the secondary outcome. RESULTS: The risks of
pregnancy-induced hypertension (OR 2.68, 95% CI 1.75 to 4.09), pre-eclampsia (OR
3.13, 95% CI 1.95 to 5.03) and premature rupture of membranes (OR 2.53, 95% CI
1.46 to 4.40) were significantly different between women with and without SLE.
Gestational diabetes was negatively associated with SLE in pregnant women (OR
0.49, 95% CI 0.28 to 0.85). Pregnant women with SLE displayed significantly
higher rates of fetal loss (OR 10.23, 95% CI 5.08 to 20.59), including
spontaneous abortion (OR 4.42, 95% CI 1.52 to 12.80), therapeutic abortion (OR
16.57, 95% CI 5.80 to 47.35) and stillbirth (OR 13.25, 95% CI 1.49 to 118.11),
and a higher risk of preterm birth (OR 3.15, 95% CI 2.21 to 4.50), intrauterine
growth restriction (OR 2.20, 95% CI 1.35 to 3.58), a child who was small for the
gestational age (OR 1.86, 95% CI 1.11 to 3.13), a caesarean section (OR 4.73, 95%
CI 3.30 to 6.80) or a neonatal intensive care unit admission (OR 3.48, 95% CI
2.21 to 5.48) than women in the non-SLE population after adjusting for
confounding factors. CONCLUSIONS: In this study, SLE significantly increased the
risk of adverse pregnancy outcomes. Therefore, a preconception assessment and
close antenatal monitoring by both rheumatologists and obstetricians should be
performed in pregnant women with SLE.
PMID- 29654044
TI - Association between depressive symptoms and objectively measured daily step count
in individuals at high risk of cardiovascular disease in South London, UK: a
cross-sectional study.
AB - OBJECTIVES: Depressive symptoms are common but rarely considered a risk factor
for unhealthy lifestyles associated with cardiovascular disease (CVD). This study
investigates whether depressive symptoms are associated with reduced physical
activity (PA) in individuals at high risk of developing CVD. DESIGN: Secondary
analysis of the cross-sectional baseline data from a randomised controlled trial
of an intensive lifestyle intervention. SETTING: 135 primary care practices in
South London, UK. PARTICIPANTS: 1742 adults, 49-74 years, 86% male at high
(>=20%) risk of developing CVD in the next 10 years as defined via QRISK2 score.
OUTCOME MEASURES: The main explanatory variable was depressive symptoms measured
via the Patient Health Questionnaire-9 (PHQ-9). The main outcome was daily step
count measured with an accelerometer (ActiGraph GT3X) stratified by weekdays and
weekend days. RESULTS: The median daily step count of the total sample was 6151
(IQR 3510) with significant differences (P<0.001) in mean daily step count
between participants with low (PHQ-9 score: 0-4), mild (PHQ-9 score: 5-9) and
moderate to severe depressive symptoms (PHQ-9 score: >=10). Controlling for age,
gender, ethnicity, education level, body mass index (BMI), smoking, consumption
of alcohol, day of the week and season, individuals with mild depressive symptoms
and those with moderate to severe depressive symptoms walked 13.3% (95% CI 18.8%
to 7.9%) and 15.6% (95% CI 23.7% to 6.5%) less than non-depressed individuals,
respectively. Furthermore, male gender, white ethnicity, higher education level,
lower BMI, non-smoking, moderate alcohol intake, weekdays and summer season were
independently associated with higher step count. CONCLUSIONS: People at high risk
of CVD with depressive symptoms have lower levels of PA. TRIAL REGISTRATION:
ISRCTN84864870; Pre-results.
PMID- 29654045
TI - Study protocol for a randomised controlled trial examining the association
between physical activity and sleep quality in children with autism spectrum
disorder based on the melatonin-mediated mechanism model.
AB - INTRODUCTION: Sleep disturbance is commonly observed in children with autism
spectrum disorders (ASD). Disturbed sleep may exacerbate the core symptoms of
ASD. Behavioural interventions and supplemental melatonin medication are
traditionally used to improve sleep quality, but poor sustainability of
behavioural intervention effects and use of other medications that metabolise
melatonin may degrade the effectiveness of these interventions. However, several
studies have suggested that physical activity may provide an effective
intervention for treating sleep disturbance in typically developing children.
Thus, we designed a study to examine whether such an intervention is also
effective in children with ASD. We present a protocol (4 December 2017) for a
jogging intervention with a parallel and two-group randomised controlled trial
design using objective actigraphic assessment and 6-sulfatoxymelatonin
measurement to determine whether a 12-week physical activity intervention elicits
changes in sleep quality or melatonin levels. METHODS AND ANALYSIS: All eligible
participants will be randomly allocated to either a jogging intervention group or
a control group receiving standard care. Changes in sleep quality will be
monitored through actigraphic assessment and parental sleep logs. All
participants will also be instructed to collect a 24-hour urine sample. 6
sulfatoxymelatonin, a creatinine-adjusted morning urinary melatonin
representative of the participant's melatonin levels, will be measured from the
sample. All assessments will be carried out before the intervention (T1),
immediately after the 12-week intervention or regular treatment (T2), 6 weeks
after the intervention (T3) and 12 weeks after the intervention (T4) to examine
the sustainability of the intervention effects. The first enrolment began in
February 2018. ETHICS AND DISSEMINATION: Ethical approval was obtained through
the Human Research Ethics Committee, Education University of Hong Kong. The
results of this trial will be submitted for publication in peer-reviewed
journals. TRIAL REGISTRATION NUMBER: NCT03348982.
PMID- 29654046
TI - Children and Young People-Mental Health Safety Assessment Tool (CYP-MH SAT)
study: Protocol for the development and psychometric evaluation of an assessment
tool to identify immediate risk of self-harm and suicide in children and young
people (10-19 years) in acute paediatric hospital settings.
AB - INTRODUCTION: Currently, no standardised, evidence-based assessment tool for
assessing immediate self-harm and suicide in acute paediatric inpatient settings
exists. AIM: The aim of this study is to develop and test the psychometric
properties of an assessment tool that identifies immediate risk of self-harm and
suicide in children and young people (10-19 years) in acute paediatric hospital
settings. METHODS AND ANALYSIS: Development phase: This phase involved a scoping
review of the literature to identify and extract items from previously published
suicide and self-harm risk assessment scales. Using a modified electronic Delphi
approach, these items will then be rated according to their relevance for
assessment of immediate suicide or self-harm risk by expert professionals.
Inclusion of items will be determined by 65%-70% consensus between raters.
Subsequently, a panel of expert members will convene to determine the face
validity, appropriate phrasing, item order and response format for the finalised
items.Psychometric testing phase: The finalised items will be tested for validity
and reliability through a multicentre, psychometric evaluation. Psychometric
testing will be undertaken to determine the following: internal consistency,
inter-rater reliability, convergent, divergent validity and concurrent validity.
ETHICS AND DISSEMINATION: Ethical approval was provided by the National Health
Service East Midlands-Derby Research Ethics Committee (17/EM/0347) and full
governance clearance received by the Health Research Authority and local
participating sites. Findings from this study will be disseminated to
professionals and the public via peer-reviewed journal publications, popular
social media and conference presentations.
PMID- 29654047
TI - Binge drinking and associated factors among school students: a cross-sectional
study in Zhejiang Province, China.
AB - OBJECTIVE: To investigate the prevalence and correlating factors of binge
drinking among middle and high school students in Zhejiang Province, China.
METHODS: We performed a cross-sectional study using data from a school-based
survey. A total of 23 543 (response rate=97.5%) eligible adolescents from 442
different schools (including middle schools, academic high schools and vocational
high schools) were asked to fill in an anonymous self-administered behaviour
questionnaire between April and May 2017. Multivariable logistic regression
models were used to examine the associations of sociodemographic and behavioural
factors with binge drinking. RESULTS: The mean (SD) age of participants was 15.6
(1.7) years and 51.3% were boys. The proportions of students from middle schools,
academic high schools and vocational high schools were 51.9%, 27.5% and 20.6%,
respectively. In total, 22.8% (95% CI 21.6 to 23.9) of students reported drinking
alcohol in the past 30 days and 9.2% (95% CI 8.5 to 10.0) of students reported
binge drinking (defined as drinking four or more alcoholic drinks in 1-2 hours
period among girls and five or more alcoholic drinks among boys) during the past
month. The prevalence of binge drinking was highest among vocational high school
students (17.9% vs 6.3% and 7.7% among middle school and academic high school
students, respectively). Older age, studying at high school, poor academic
performance, higher levels of physical activity, excessive screen-time,
loneliness, insomnia, previous suicide attempt, cigarette smoking, fighting,
being bullied and sexual experience were found to be positively associated with
adolescent binge drinking. CONCLUSIONS: Binge drinking is common among middle and
high school students in Zhejiang, China. Efforts to prevent binge drinking may
need to address a cluster of sociodemographic and behavioural factors. Our
findings provide information to enable healthcare providers to identify students
at high-risk of binge drinking and to inform planning of intervention measures
for at-risk students.
PMID- 29654048
TI - The validity of the Rx-Risk Comorbidity Index using medicines mapped to the
Anatomical Therapeutic Chemical (ATC) Classification System.
AB - OBJECTIVES: To provide a map of Anatomical Therapeutic Chemical (ATC)
Classification System codes to individual Rx-Risk comorbidities and to validate
the Rx-Risk Comorbidity Index. DESIGN: The 46 comorbidities in the Rx-Risk Index
were mapped to dispensing's indicative of each condition using ATC codes.
Prescription dispensing claims in 2014 were used to calculate the Rx-Risk. A
baseline logistic regression model was fitted using age and gender as covariates.
Rx-Risk was added to the base model as an (1) unweighted score, (2) weighted
score and as (3) individual comorbidity categories indicating the presence or
absence of each condition. The Akaike information criterion and c-statistic were
used to compare the models. SETTING: Models were developed in the Australian
Government Department of Veterans' Affairs health claims data, and external
validation was undertaken in a 10% sample of the Australian Pharmaceutical
Benefits Scheme Data. PARTICIPANTS: Subjects aged 65 years or older. OUTCOME
MEASURES: Death within 1 year (eg, 2015). RESULTS: Compared with the base model
(c-statistic 0.738, 95% CI 0.734 to 0.742), including Rx-Risk improved prediction
of mortality; unweighted score 0.751, 95% CI 0.747 to 0.754, weighted score
0.786, 95% CI 0.782 to 0.789 and individual comorbidities 0.791, 95% CI 0.788 to
0.795. External validation confirmed the utility of the weighted index (c
statistic=0.833). CONCLUSIONS: The updated Rx-Risk Comorbidity Score was
predictive of 1-year mortality and may be useful in practice to adjust for
confounding in observational studies using medication claims data.
PMID- 29654050
TI - Enhancing the quality and safety of care through training generalist doctors: a
longitudinal, mixed-methods study of a UK broad-based training programme.
AB - OBJECTIVE: Changing patient demographics make it ever more challenging to
maintain the quality and safety of care. One approach to addressing this is the
development of training for generalist doctors who can take a more holistic
approach to care. The purpose of the work we report here is to consider whether a
broad-based training programme prepares doctors for a changing health service.
SETTING AND PARTICIPANTS: We adopted a longitudinal, mixed-methods approach,
collecting questionnaire data from trainees on the broad-based training (BBT)
programme in England (baseline n=62) and comparator trainees in the same regions
(baseline n=90). We held 15 focus groups with BBT trainees and one-to-one
telephone interviews with trainees post-BBT (n=21) and their Educational
Supervisors (n=9). RESULTS: From questionnaire data, compared with comparator
groups, BBT trainees were significantly more confident that their training would
result in: wider perspectives, understanding specialty complementarity, ability
to apply learning across specialties, manage complex patients and provide patient
focused care. Data from interviews and focus groups provided evidence of positive
consequences for patient care from BBT trainees' ability to apply knowledge from
other specialties. Specifically, insights from BBT enabled trainees to tailor
referrals and consider patients' psychological as well as physical needs, thus
adopting a more holistic approach to care. Unintended consequences were revealed
in focus groups where BBT trainees expressed feelings of isolation. However, when
we explored this sentiment on questionnaire surveys, we found that at least as
many in the comparator groups sometimes felt isolated. CONCLUSIONS: Practitioners
with an understanding of care across specialty boundaries can enhance patient
care and reduce risks from poor inter-specialty communication. Internationally,
there is growing recognition of the place of generalism in medical practice and
the need to take a more person-centred approach. Broad-based approaches to
training support the development of generalist doctors, which is well-suited to a
changing health service.
PMID- 29654051
TI - Sensitivity of fasting glucose for gestational diabetes mellitus screening in
Mexican adolescents based on International Association of Diabetes and Pregnancy
Study Groups criteria: a diagnostic accuracy study based on retrospective data
analysis.
AB - OBJECTIVE: To evaluate fasting plasma glucose (FPG) as a screening test for
gestational diabetes mellitus (GDM) among Mexican adolescents using International
Association of Diabetes and Pregnancy Study Groups criteria. DESIGN:
Retrospective cohort study. SETTING: Level-three medical institution in Mexico
City. PARTICIPANTS: The study population comprised 1061 adolescent women aged 12
19 years with singleton pregnancies, who underwent a 75 g oral glucose tolerance
test (OGTT) between 11 and 35 weeks of gestation. PRIMARY AND SECONDARY OUTCOME
MEASURES: The sensitivity (Sn), specificity (Sp), positive and negative
predictive values (PPV and NPV, respectively), and positive and negative
likelihood ratios LR (+) and LR (-), respectively) with 95% CIs for selected FPG
cut-off values were compared. Secondary measures were perinatal outcomes in women
with and without GDM. RESULTS: GDM was present in 71 women (6.7%, 95% CI 5.3% to
8.4%). The performances of FPG at thresholds of >=80 (4.5 mmol/L), 85 (4.7
mmol/L) and 90 mg/dL (5.0 mmol/L) were as follow (95% CI): Sn: 97% (89% to 99%),
94% (86% to 97%) and 91% (82% to 95%); Sp: 50% (47% to 53%), 79% (76% to 81%) and
97% (95% to 97%); PPV: 12% (9% to 15%), 23% (18% to 28%) and 64% (54% to 73%);
NPV: 99% (98.5% to 99.9%) for all three cut-offs; LR (+): 1.9 (1.8 to 2.1), 4.3
(3.8 to 5.0) and 26.7 (18.8 to 37.1) and LR (-): 0.06 (0.02 to 0.23), 0.07 (0.03
to 0.19) and 0.09 (0.04 to 0.19), respectively. No significant differences in
perinatal outcomes were found between adolescents with and without GDM.
CONCLUSIONS: An FPG cut-off of >=90 mg/dL (5.0 mmol/L) is ideal for GDM screening
in Mexican adolescent women. An FPG threshold of 90 mg/dL would miss 6 (8.5%)
women with GDM, pick up 34 (3.4%) women without GDM and avoid 962 (90.7%) OGTTs.
PMID- 29654052
TI - 'Re-engage' pilot study protocol: a nurse-led eHealth intervention to re-engage,
educate and empower childhood cancer survivors.
AB - INTRODUCTION: Many childhood cancer survivors are disengaged from cancer-related
follow-up care despite being at high risk of treatment-related late effects.
Innovative models of long-term follow-up (LTFU) care to manage ongoing treatment
related complications are needed. 'Re-engage' is a nurse-led eHealth intervention
designed to improve survivors' health-related self-efficacy, targeted at
survivors disengaged from follow-up. Re-engage aims to overcome survivor- and
parent-reported barriers to care and ensure survivors receive the care most
appropriate to their risk level. METHODS AND ANALYSIS: This study will recruit 30
Australian childhood cancer survivors who are not receiving any cancer-related
care. Participation involves two online/telephone consultations with a
survivorship nurse for medical assessment, a case review, risk stratification and
creation of a care plan by a multidisciplinary team of specialists. We will
assess the feasibility of implementing 'Re-engage' and its acceptability to
participants and health professionals involved. The primary outcome will be
survivors' health-related self-efficacy, measured at baseline and 1 and 6 months
postintervention. Secondary outcomes will include the effect of 'Re-engage' on
survivors' health behaviours and beliefs, engagement in healthcare, information
needs and emotional well-being. We will also document the cost per patient to
deliver 'Re-engage'. If Re-engage is acceptable, feasible and demonstrates early
efficacy, it may have the potential to empower survivors in coordinating their
complex care, improving survivors' long-term engagement and satisfaction with
care. Ideally, it will be implemented into clinical practice to recall survivors
lost to follow-up and reduce the ongoing burden of treatment for childhood
cancer. ETHICS AND DISSEMINATION: The study protocol has been approved by the
South Eastern Sydney Local Health District Human Research Ethics Committee
(reference number: 16/366). The results will be disseminated in peer-reviewed
journals and at scientific conferences. A lay summary will be published on the
Behavioural Sciences Unit website. TRIAL REGISTRATION NUMBER:
ACTRN12618000194268.
PMID- 29654053
TI - Comparative effectiveness of treatments to prevent dental caries given to rural
children in school-based settings: protocol for a cluster randomised controlled
trial.
AB - INTRODUCTION: Dental caries is the most prevalent childhood disease in the world
and can lead to infection, pain and reduced quality of life. Multiple prevention
agents are available to arrest and prevent dental caries; however, little is
known of the comparative effectiveness of combined treatments when applied in
pragmatic settings. The aim of the presented study is to compare the benefit of
silver diamine fluoride and fluoride varnish versus fluoride varnish and glass
ionomer therapeutic sealants in the arrest and prevention of dental caries.
METHODS AND ANALYSIS: A longitudinal, pragmatic, cluster randomised, single
blind, non-inferiority trial will be conducted in low-income rural children
enrolled in public elementary schools in New Hampshire, USA, from 2018 to 2023.
The primary objective is to compare the non-inferiority of alternative agents in
the arrest and prevention of dental caries. The secondary objective is to compare
cost-effectiveness of both interventions. Caries arrest will be evaluated after 2
years, and caries prevention will be assessed at the completion of the study.
Data analysis will follow intent to treat, and statistical analyses will be
conducted using a significance level of 0.05. ETHICS AND DISSEMINATION: The
standard of care for dental caries is office-based surgery, which presents
multiple barriers to care including cost, fear and geographic isolation. The
common intervention used in school-based caries prevention is dental sealants.
The simplicity and affordability of silver diamine fluoride may be a viable
alternative for the prevention of dental caries in high-risk children. Results
can be used to inform policy for best practices in school-based oral healthcare.
TRIAL REGISTRATION: NCT03448107. Pre-results.
PMID- 29654049
TI - Study protocol to assess the effectiveness and safety of a flexible family
visitation model for delirium prevention in adult intensive care units: a cluster
randomised, crossover trial (The ICU Visits Study).
AB - INTRODUCTION: Flexible intensive care unit (ICU) visiting hours have been
proposed as a means to improve patient-centred and family-centred care. However,
randomised trials evaluating the effects of flexible family visitation models
(FFVMs) are scarce. This study aims to compare the effectiveness and safety of an
FFVM versus a restrictive family visitation model (RFVM) on delirium prevention
among ICU patients, as well as to analyse its potential effects on family members
and ICU professionals. METHODS AND ANALYSIS: A cluster-randomised crossover trial
involving adult ICU patients, family members and ICU professionals will be
conducted. Forty medical-surgical Brazilian ICUs with RFVMs (<4.5 hours/day) will
be randomly assigned to either an RFVM (visits according to local policies) or an
FFVM (visitation during 12 consecutive hours per day) group at a 1:1 ratio. After
enrolment and follow-up of 25 patients, each ICU will be switched over to the
other visitation model, until 25 more patients per site are enrolled and
followed. The primary outcome will be the cumulative incidence of delirium among
ICU patients, measured twice a day using the Confusion Assessment Method for the
ICU. Secondary outcome measures will include daily hazard of delirium, ventilator
free days, any ICU-acquired infections, ICU length of stay and hospital mortality
among the patients; symptoms of anxiety and depression and satisfaction among the
family members; and prevalence of burnout symptoms among the ICU professionals.
Tertiary outcomes will include need for antipsychotic agents and/or mechanical
restraints, coma-free days, unplanned loss of invasive devices and ICU-acquired
pneumonia, urinary tract infection or bloodstream infection among the patients;
self-perception of involvement in patient care among the family members; and
satisfaction among the ICU professionals. ETHICS AND DISSEMINATION: The study
protocol has been approved by the research ethics committee of all participant
institutions. We aim to disseminate the findings through conferences and peer
reviewed journals. TRIAL REGISTRATION: NCT02932358.
PMID- 29654054
TI - Correction: Role of the family doctor in the management of adults with obesity: a
scoping review.
PMID- 29654055
TI - Correction: Fetal alcohol spectrum disorder and youth justice: a prevalence study
among young people sentenced to detention in Western Australia.
PMID- 29654056
TI - Divided views rule implementation of integrated care.
PMID- 29654057
TI - Platelets expressing IgG receptor FcgammaRIIA/CD32A determine the severity of
experimental anaphylaxis.
AB - Platelets are key regulators of vascular integrity; however, their role in
anaphylaxis, a life-threatening systemic allergic reaction characterized by the
loss of vascular integrity and vascular leakage, remains unknown. Anaphylaxis is
a consequence of inappropriate cellular responses triggered by antibodies to
generally harmless antigens, resulting in a massive mediator release and rapidly
occurring organ dysfunction. Human platelets express receptors for immunoglobulin
G (IgG) antibodies and can release potent mediators, yet their contribution to
anaphylaxis has not been previously addressed in mouse models, probably because
mice do not express IgG receptors on platelets. We investigated the contribution
of platelets to IgG-dependent anaphylaxis in human IgG receptor-expressing mouse
models and a cohort of patients suffering from drug-induced anaphylaxis. Platelet
counts dropped immediately and markedly upon anaphylaxis induction only when they
expressed the human IgG receptor FcgammaRIIA/CD32A. Platelet depletion attenuated
anaphylaxis, whereas thrombocythemia substantially worsened its severity.
FcgammaRIIA-expressing platelets were directly activated by IgG immune complexes
in vivo and were sufficient to restore susceptibility to anaphylaxis in resistant
mice. Serotonin released by activated platelets contributed to anaphylaxis
severity. Data from a cohort of patients suffering from drug-induced anaphylaxis
indicated that platelet activation was associated with anaphylaxis severity and
was accompanied by a reduction in circulating platelet numbers. Our findings
identify platelets as critical players in IgG-dependent anaphylaxis and provide a
rationale for the design of platelet-targeting strategies to attenuate the
severity of anaphylactic reactions.
PMID- 29654058
TI - Intramuscular tendon injury is not associated with an increased hamstring
reinjury rate within 12 months after return to play.
AB - BACKGROUND: Acute hamstring injury that includes intramuscular tendon injury has
been suggested to be associated with increased reinjury risk. These observations
were based on a relatively small number of retrospectively analysed cases.
OBJECTIVE: To determine whether intramuscular tendon injury is associated with
higher reinjury rates in acute hamstring injury. METHODS: MRIs of 165 athletes
with an acute hamstring injury were obtained within 5 days of injury. Treatment
consisted of a standardised criteria-based rehabilitation programme. Standardised
MRI parameters and intramuscular tendon injury, the latter subdivided into tendon
disruption and waviness, were scored. We prospectively recorded reinjuries,
defined as acute onset of posterior thigh pain in the same leg within 12 months
after return to play. RESULTS: Participants were predominantly football players
(72%). Sixty-four of 165 (39%) participants had an index injury with
intramuscular hamstring tendon disruption, and waviness was present in 37 (22%).
In total, there were 32 (19%) reinjuries. There was no significant difference
(HR: 1.05, 95% CI 0.52 to 2.12, P=0.898) in reinjury rate between index injuries
with intramuscular tendon disruption (n=13, 20%) and without tendon disruption
(n=19, 20%). There was no significant difference in reinjury rate (X2(1)=0.031,
P=0.861) between index injuries with presence of waviness (n=7, 19%) and without
presence of waviness (n=25, 20%). CONCLUSION: In athletes with an acute hamstring
injury, intramuscular tendon injury was not associated with an increased reinjury
rate within 12 months after return to play.
PMID- 29654059
TI - Transcriptional coactivator PGC-1alpha contains a novel CBP80-binding motif that
orchestrates efficient target gene expression.
AB - Although peroxisome proliferator-activated receptor-gamma (PPARgamma) coactivator
1alpha (PGC-1alpha) is a well-established transcriptional coactivator for the
metabolic adaptation of mammalian cells to diverse physiological stresses, the
molecular mechanism by which it functions is incompletely understood. Here we
used in vitro binding assays, X-ray crystallography, and immunoprecipitations of
mouse myoblast cell lysates to define a previously unknown cap-binding protein 80
(CBP80)-binding motif (CBM) in the C terminus of PGC-1alpha. We show that the
CBM, which consists of a nine-amino-acid alpha helix, is critical for the
association of PGC-1alpha with CBP80 at the 5' cap of target transcripts. Results
from RNA sequencing demonstrate that the PGC-1alpha CBM promotes RNA synthesis
from promyogenic genes. Our findings reveal a new conduit between DNA-associated
and RNA-associated proteins that functions in a cap-binding protein surveillance
mechanism, without which efficient differentiation of myoblasts to myotubes fails
to occur.
PMID- 29654061
TI - 100 years of STIs in the UK: a review of national surveillance data.
AB - OBJECTIVES: The 1916 Royal Commission on Venereal Diseases was established in
response to epidemics of syphilis and gonorrhoea in the UK. In the 100 years
since the Venereal Diseases Act (1917), the UK has experienced substantial
scientific, economic and demographic changes. We describe historical and recent
trends in STIs in the UK. METHODS: We analysed surveillance data derived from STI
clinics' statistical returns from 1917 to 2016. RESULTS: Since 1918, gonorrhoea
and syphilis diagnoses have fluctuated, reflecting social, economic and
technological trends. Following spikes after World Wars I and II, rates declined
before re-emerging during the 1960s. At that time, syphilis was more common in
men, suggestive of transmission within the men who have sex with men (MSM)
population. Behaviour change following the emergence of HIV/AIDS in the 1980s is
thought to have facilitated a precipitous decline in diagnoses of both STIs in
the mid-1980s. Since the early 2000s, gonorrhoea and syphilis have re-emerged as
major public health concerns due to increased transmission among MSM and the
spread of antimicrobial-resistant gonorrhoea. Chlamydia and genital warts are now
the most commonly diagnosed STIs in the UK and have been the focus of public
health interventions, including the national human papillomavirus vaccination
programme, which has led to substantial declines in genital warts in young
people, and the National Chlamydia Screening Programme in England. Since the
1980s, MSM, black ethnic minorities and young people have experienced the highest
STI rates. CONCLUSION: Although diagnoses have fluctuated over the last century,
STIs continue to be an important public health concern, often affecting more
marginalised groups in society. Prevention must remain a public health priority
and, as we enter a new era of sexual healthcare provision including online
services, priority must be placed on maintaining prompt access for those at
greatest risk of STIs.
PMID- 29654060
TI - RNAi drives nonreciprocal translocations at eroding chromosome ends to establish
telomere-free linear chromosomes.
AB - The identification of telomerase-negative HAATI (heterochromatin amplification
mediated and telomerase-independent) cells, in which telomeres are superseded by
nontelomeric heterochromatin tracts, challenged the idea that canonical telomeres
are essential for chromosome linearity and raised crucial questions as to how
such tracts translocate to eroding chromosome ends and confer end protection.
Here we show that HAATI arises when telomere loss triggers a newly recognized
illegitimate translocation pathway that requires RNAi factors. While RNAi is
necessary for the translocation events that mobilize ribosomal DNA (rDNA) tracts
to all chromosome ends (forming "HAATIrDNA" chromosomes), it is dispensable for
HAATIrDNA maintenance. Surprisingly, Dicer (Dcr1) plays a separate, RNAi
independent role in preventing formation of the rare HAATI subtype in which a
different repetitive element (the subtelomeric element) replaces telomeres. Using
genetics and fusions between shelterin components and rDNA-binding proteins, we
mapped the mechanism by which rDNA loci engage crucial end protection factors
despite the absence of telomere repeats-and secure end protection. Sequence
analysis of HAATIrDNA genomes allowed us to propose RNA and DNA polymerase
template-switching models for the mechanism of RNAi-triggered rDNA
translocations. Collectively, our results reveal unforeseen roles for noncoding
RNAs (ncRNAs) in assembling a telomere-free chromosome end protection device.
PMID- 29654062
TI - Efficient Gene Silencing in Brain Tumors with Hydrophobically Modified siRNAs.
AB - Glioblastoma (GBM) is the most common and lethal form of primary brain tumor with
dismal median and 2-year survivals of 14.5 months and 18%, respectively. The
paucity of new therapeutic agents stems from the complex biology of a highly
adaptable tumor that uses multiple survival and proliferation mechanisms to
circumvent current treatment approaches. Here, we investigated the potency of a
new generation of siRNAs to silence gene expression in orthotopic brain tumors
generated by transplantation of human glioma stem-like cells in athymic nude
mice. We demonstrate that cholesterol-conjugated, nuclease-resistant siRNAs (Chol
hsiRNAs) decrease mRNA and silence luciferase expression by 90% in vitro in GBM
neurospheres. Furthermore, Chol-hsiRNAs distribute broadly in brain tumors after
a single intratumoral injection, achieving sustained and potent (>45% mRNA and
>90% protein) tumor-specific gene silencing. This readily available platform is
sequence-independent and can be adapted to target one or more candidate GBM
driver genes, providing a straightforward means of modulating GBM biology in
vivoMol Cancer Ther; 17(6); 1251-8. (c)2018 AACR.
PMID- 29654063
TI - A Short BRCA2-Derived Cell-Penetrating Peptide Targets RAD51 Function and Confers
Hypersensitivity toward PARP Inhibition.
AB - Under conditions of genotoxic stress, cancer cells strongly rely on efficient DNA
repair to survive and proliferate. The human BRCA2 tumor suppressor protein is
indispensable for the repair of DNA double-strand breaks by homologous
recombination (HR) by virtue of its ability to promote RAD51 loading onto single
stranded DNA. Therefore, blocking the interaction between BRCA2 and RAD51 could
significantly improve the efficacy of conventional anticancer therapies. However,
targeting protein-protein interaction (PPI) interfaces has proven challenging
because flat and large PPI surfaces generally do not support binding of small
molecule inhibitors. In contrast, peptides are more potent for targeting PPIs but
are otherwise difficult to deliver into cells. Here, we report that a synthetic
16-mer peptide derived from the BRC4 repeat motif of BRCA2 is capable of blocking
RAD51 binding to BRCA2. Efficient noncytotoxic cellular uptake of a nona-arginine
(R9)-conjugated version of the BRC4 peptide interferes with DNA damage-induced
RAD51 foci formation and HR. Moreover, transduction of the BRC4 peptide impairs
replication fork-protective function of BRCA2 and triggers MRE11-dependent
degradation of nascent DNA in response to DNA replication stress. Finally, the
BRC4 cell-penetrating peptide (CPP) confers selective hypersensitivity to PARP
inhibition in cancer cells but spares noncancerous cells. Taken together, our
data highlight an innovative approach to develop novel peptide-based DNA repair
inhibitors and establish BRCA2-derived CPPs as promising anticancer agents. Mol
Cancer Ther; 17(7); 1392-404. (c)2018 AACR.
PMID- 29654065
TI - Induced Telomere Damage to Treat Telomerase Expressing Therapy-Resistant
Pediatric Brain Tumors.
AB - Brain tumors remain the leading cause of cancer-related deaths in children and
often are associated with long-term sequelae among survivors of current
therapies. Hence, there is an urgent need to identify actionable targets and to
develop more effective therapies. Telomerase and telomeres play important roles
in cancer, representing attractive therapeutic targets to treat children with
poor-prognosis brain tumors such as diffuse intrinsic pontine glioma (DIPG), high
grade glioma (HGG), and high-risk medulloblastoma. We have previously shown that
DIPG, HGG, and medulloblastoma frequently express telomerase activity. Here, we
show that the telomerase-dependent incorporation of 6-thio-2'deoxyguanosine (6
thio-dG), a telomerase substrate precursor analogue, into telomeres leads to
telomere dysfunction-induced foci (TIF) along with extensive genomic DNA damage,
cell growth inhibition, and cell death of primary stem-like cells derived from
patients with DIPG, HGG, and medulloblastoma. Importantly, the effect of 6-thio
dG is persistent even after drug withdrawal. Treatment with 6-thio-dG elicits a
sequential activation of ATR and ATM pathways and induces G2-M arrest. In vivo
treatment of mice bearing medulloblastoma xenografts with 6-thio-dG delays tumor
growth and increases in-tumor TIFs and apoptosis. Furthermore, 6-thio-dG crosses
the blood-brain barrier and specifically targets tumor cells in an orthotopic
mouse model of DIPG. Together, our findings suggest that 6-thio-dG is a promising
novel approach to treat therapy-resistant telomerase-positive pediatric brain
tumors. Mol Cancer Ther; 17(7); 1504-14. (c)2018 AACR.
PMID- 29654064
TI - Antibody-Dependent Cellular Phagocytosis by Macrophages is a Novel Mechanism of
Action of Elotuzumab.
AB - Elotuzumab, a recently approved antibody for the treatment of multiple myeloma,
has been shown to stimulate Fcgamma receptor (FcgammaR)-mediated antibody
dependent cellular cytotoxicity by natural killer (NK) cells toward myeloma
cells. The modulatory effects of elotuzumab on other effector cells in the tumor
microenvironment, however, has not been fully explored. Antibody-dependent
cellular phagocytosis (ADCP) is a mechanism by which macrophages contribute to
antitumor potency of monoclonal antibodies. Herein, we studied the NK cell
independent effect of elotuzumab on tumor-associated macrophages using a
xenograft tumor model deficient in NK and adaptive immune cells. We demonstrate
significant antitumor efficacy of single-agent elotuzumab in immunocompromised
xenograft models of multiple myeloma, which is in part mediated by Fc-FcgammaR
interaction of elotuzumab with macrophages. Elotuzumab is shown in this study to
induce phenotypic activation of macrophages in vivo and mediates ADCP of myeloma
cells though a FcgammaR-dependent manner in vitro Together, these findings
propose a novel immune-mediated mechanism by which elotuzumab exerts anti-myeloma
activity and helps to provide rationale for combination therapies that can
enhance macrophage activity. Mol Cancer Ther; 17(7); 1454-63. (c)2018 AACR.
PMID- 29654066
TI - Photodynamic Therapy Using Indocyanine Green Loaded on Super Carbonate Apatite as
Minimally Invasive Cancer Treatment.
AB - Minimally invasive treatment is getting more and more important in an aging
society. The purpose of this study was to explore the possibility of ICG loaded
on super carbonate apatite (sCA) nanoparticles as a novel photodynamic therapy
(PDT) against cancers. Using colon cancer cells, ICG uptake and anti-tumor
effects were examined between the treatments of ICG and sCA-ICG. Reactive oxygen
species (ROS) production and temperature rise were also evaluated to explore the
underlying mechanism. Atomic force microscopy revealed that the size of sCA-ICG
ranged from 10 to 20 nm. In aqueous solution with 0.5% albumin, the temperature
increase after laser irradiation was 27.1 degrees C and 23.1 degrees C in sCA-ICG
and ICG, respectively (control DW: 5.7 degrees C). A significant increase in ROS
generation was noted in cell cultures treated with sCA-ICG plus irradiation
compared with those treated with ICG plus irradiation (P < 0.01). Uptake of ICG
in the tumor cells significantly increased in sCA-ICG compared with ICG in vitro
and in vivo The fluorescence signals of ICG in the tumor, liver, and kidney faded
away in both treatments by 24 hours. Finally, the HT29 tumors treated with sCA
ICG followed by irradiation exhibited drastic tumor growth retardation (P <
0.01), whereas irradiation of tumors after injection of ICG did not inhibit tumor
growth. This study shows that sCA is a useful vehicle for ICG-based PDT. Quick
withdrawal of ICG from normal organs is unique to sCA-ICG and contrasts with the
other nanoparticles remaining in normal organs for a long time. Mol Cancer Ther;
17(7); 1613-22. (c)2018 AACR.
PMID- 29654067
TI - Clinical Next-Generation Sequencing for Precision Oncology in Rare Cancers.
AB - The European Society for Medical Oncology defines rare cancers as 5 or fewer
cases per 100,000 persons per year. For many rare cancers, no standard of care
exists, and treatment is often extrapolated. Identifying potentially targetable
genomic alterations in rare tumors is a rational approach to improving treatment
options. We sought to catalog these mutations in rare tumors and to assess their
clinical utility.For this retrospective analysis, we selected rare tumor patients
from a dataset of patients who underwent clinical tumor genomic profiling.
Sarcomas were excluded. To index potentially actionable alterations, patients'
reports were reviewed for mutations in cancer-associated genes and pathways.
Respective clinical records were abstracted to appraise the benefit of using a
targeted therapy approach. Actionable alterations were defined as targeted by a
drug available on-label, off-label, or in clinical trials.The 95 patients
analyzed had 40 different tumor subtypes, most common being adenoid cystic (13%),
cholangiocarcinoma (7%), and metaplastic breast (6%). At least one genomic
alteration was identified in 87 patients (92%). The most common identifiable
mutations were in TP53 (23%), KRAS (10%), PIK3CA (9%), CDKN2A/B (8%), BRAF (7%),
MLL (7%), and ARID1A (6%). Thirty-six patients (38%) with 21 different tumors had
at least one potentially actionable alteration. Thirteen patients received
targeted therapy. Of these, 4 had a partial response, 6 had stable disease, and 3
had progressive disease as the best response.The addition of genomic profiling to
management of rare cancers adds a potential line of therapy for cancers that have
little or no standard of care. In our analysis, tumors with a BRAF alteration
responded well to BRAF inhibitors. Mol Cancer Ther; 17(7); 1595-601. (c)2018
AACR.
PMID- 29654069
TI - Mechanistic Investigations of Diarrhea Toxicity Induced by Anti-HER2/3
Combination Therapy.
AB - Combination of targeted therapies is expected to provide superior efficacy in the
treatment of cancer either by enhanced antitumor activity or by preventing or
delaying the development of resistance. Common challenges in developing
combination therapies include the potential of additive and aggravated toxicities
associated with pharmacologically related adverse effects. We have recently
reported that combination of anti-HER2 and anti-HER3 antibodies, pertuzumab and
lumretuzumab, along with paclitaxel chemotherapy in metastatic breast cancer,
resulted in a high incidence of diarrhea that ultimately limited further clinical
development of this combination. Here, we further dissected the diarrhea profile
of the various patient dose cohorts and carried out in vitro investigations in
human colon cell lines and explants to decipher the contribution and the
mechanism of anti-HER2/3 therapeutic antibodies to intestinal epithelium
malfunction. Our clinical investigations in patients revealed that while dose
reduction of lumretuzumab, omission of pertuzumab loading dose, and introduction
of a prophylactic antidiarrheal treatment reduced most severe adverse events,
patients still suffered from persistent diarrhea during the treatment. Our in
vitro investigations showed that pertuzumab and lumretuzumab combination
treatment resulted in upregulation of chloride channel activity without
indication of intestinal barrier disruption. Overall, our findings provide a
mechanistic rationale to explore alternative of conventional antigut motility
using medication targeting chloride channel activity to mitigate diarrhea of HER
combination therapies. Mol Cancer Ther; 17(7); 1464-74. (c)2018 AACR.
PMID- 29654068
TI - RAS-MAPK Reactivation Facilitates Acquired Resistance in FGFR1-Amplified Lung
Cancer and Underlies a Rationale for Upfront FGFR-MEK Blockade.
AB - The FGFR kinases are promising therapeutic targets in multiple cancer types,
including lung and head and neck squamous cell carcinoma, cholangiocarcinoma, and
bladder cancer. Although several FGFR kinase inhibitors have entered clinical
trials, single-agent clinical efficacy has been modest and resistance invariably
occurs. We therefore conducted a genome-wide functional screen to characterize
mechanisms of resistance to FGFR inhibition in a FGFR1-dependent lung cancer
cellular model. Our screen identified known resistance drivers, such as MET, and
additional novel resistance mediators including members of the neurotrophin
receptor pathway (NTRK), the TAM family of tyrosine kinases (TYRO3, MERTK, AXL),
and MAPK pathway, which were further validated in additional FGFR-dependent
models. In an orthogonal approach, we generated a large panel of resistant clones
by chronic exposure to FGFR inhibitors in FGFR1- and FGFR3-dependent cellular
models and characterized gene expression profiles employing the L1000 platform.
Notably, resistant clones had enrichment for NTRK and MAPK signaling pathways.
Novel mediators of resistance to FGFR inhibition were found to compensate for
FGFR loss in part through reactivation of MAPK pathway. Intriguingly,
coinhibition of FGFR and specific receptor tyrosine kinases identified in our
screen was not sufficient to suppress ERK activity or to prevent resistance to
FGFR inhibition, suggesting a redundant reactivation of RAS-MAPK pathway. Dual
blockade of FGFR and MEK, however, proved to be a more powerful approach in
preventing resistance across diverse FGFR dependencies and may represent a
therapeutic opportunity to achieve durable responses to FGFR inhibition in FGFR
dependent cancers. Mol Cancer Ther; 17(7); 1526-39. (c)2018 AACR.
PMID- 29654071
TI - Evolution of an allosteric "off switch" in apoptotic caspases.
AB - Caspase-3 is well known as the "executioner" whose activation commits the cell to
an apoptotic fate, but low levels of caspase-3 activity also play key roles in
development. A new study explains how cells can balance these functions, using
biophysical, structural, and computational approaches to demonstrate the
mechanism by which phosphorylation of conserved sites on a distal surface loop
reduces or abolishes catalytic activity. These results provide new insights into
allosteric regulation mechanisms and offer new opportunities for development of
caspase-3 modulators.
PMID- 29654070
TI - A novel k-mer set memory (KSM) motif representation improves regulatory variant
prediction.
AB - The representation and discovery of transcription factor (TF) sequence binding
specificities is critical for understanding gene regulatory networks and
interpreting the impact of disease-associated noncoding genetic variants. We
present a novel TF binding motif representation, the k-mer set memory (KSM),
which consists of a set of aligned k-mers that are overrepresented at TF binding
sites, and a new method called KMAC for de novo discovery of KSMs. We find that
KSMs more accurately predict in vivo binding sites than position weight matrix
(PWM) models and other more complex motif models across a large set of ChIP-seq
experiments. Furthermore, KSMs outperform PWMs and more complex motif models in
predicting in vitro binding sites. KMAC also identifies correct motifs in more
experiments than five state-of-the-art motif discovery methods. In addition, KSM
derived features outperform both PWM and deep learning model derived sequence
features in predicting differential regulatory activities of expression
quantitative trait loci (eQTL) alleles. Finally, we have applied KMAC to 1600
ENCODE TF ChIP-seq data sets and created a public resource of KSM and PWM motifs.
We expect that the KSM representation and KMAC method will be valuable in
characterizing TF binding specificities and in interpreting the effects of
noncoding genetic variations.
PMID- 29654072
TI - Sex steroid hormones as neuroprotective elements in ischemia models.
AB - Among sex steroid hormones, progesterone and estradiol have a wide diversity of
physiological activities that target the nervous system. Not only are they
carried by the blood stream, but also they are locally synthesized in the brain
and for this reason, estradiol and progesterone are considered 'neurosteroids'.
The physiological actions of both hormones range from brain development and
neurotransmission to aging, illustrating the importance of a deep understanding
of their mechanisms of action. In this review, we summarize key roles that
estradiol and progesterone play in the brain. As numerous reports have confirmed
a substantial neuroprotective role for estradiol in models of neurodegenerative
disease, we focus this review on traumatic brain injury and stroke models. We
describe updated data from receptor and signaling events triggered by both
hormones, with an emphasis on the mechanisms that have been reported as 'rapid'
or 'cytoplasmic actions'. Data showing the therapeutic effects of the hormones,
used alone or in combination, are also summarized, with a focus on rodent models
of middle cerebral artery occlusion (MCAO). Finally, we draw attention to
evidence that neuroprotection by both hormones might be due to a combination of
'cytoplasmic' and 'nuclear' signaling.
PMID- 29654073
TI - Next on your 'to do' list Mr Gove ....
PMID- 29654074
TI - Recruitment crisis demands a solution NOW!
PMID- 29654075
TI - New licensing regulations are an opportunity to combat harmful breeding in dogs,
say vets.
PMID- 29654076
TI - Vets must report suspicions of illegal imports.
PMID- 29654077
TI - Campaign to tackle puppy trade in Scotland.
PMID- 29654079
TI - Call for evidence on live exports launched.
PMID- 29654080
TI - Celebrating veterinary achievements.
PMID- 29654083
TI - Staphylococcal pyoderma: challenges and therapeutic considerations.
PMID- 29654085
TI - Large corporate acquisitions and the shortage of vets.
PMID- 29654086
TI - Good vets are voting with their feet.
PMID- 29654087
TI - Are we asking enough questions?
PMID- 29654088
TI - Correction: Practical guide to small animal theatre practice.
PMID- 29654090
TI - Re-emergence of canine distemper in wildlife in Belgium.
PMID- 29654091
TI - Caring for a dog with osteoarthritis.
AB - An owner describes how life changed when her dog was diagnosed with
osteoarthritis.
PMID- 29654094
TI - Exercise testing in patients with asymptomatic moderate or severe aortic
stenosis.
AB - OBJECTIVE: To assess the safety and tolerability of treadmill exercise testing
and the association of revealed symptoms with outcome in apparently asymptomatic
patients with moderate to severe aortic stenosis (AS). METHODS: A retrospective
cohort study of 316 patients (age 65+/-12 years, 67% men) with moderate and
severe AS who underwent echocardiography and modified Bruce exercise treadmill
tests (ETTs) at a specialist valve clinic. The outcome measures were aortic valve
replacement (AVR), all-cause mortality or a composite of AVR and all-cause
mortality. RESULTS: At baseline, there were 210 (66%) patients with moderate and
106 (34%) with severe AS. There were 264 (83%) events. 234 (74%) patients reached
an indication for AVR, 145 (69%) with moderate and 88 (83%) with severe AS
(p<0.05). Of the 30 (9%) deaths recoded during follow-up, 20 (67%) were
cardiovascular related. In total, 797 exercise tests (mean 2.5+/-2.1 per patient)
were performed. No serious adverse events were observed. The prevalence of
revealed symptoms at baseline ETT was 29% (n=91) and was significantly higher in
severe AS compared with moderate AS (38%vs23%, p=0.008). Symptoms were revealed
in 18%-59% of patients during serial ETT conducted over a follow-up period of
34.9 (SD 35.1) months. The event-free survival at 24 months with revealed
symptoms was 46%+/-4% and without revealed symptoms was 70%+/-4%. CONCLUSIONS:
ETT in patients with moderate or severe AS is safe and tolerable. Serial exercise
testing is useful to reveal symptoms not volunteered on the history and adds
incremental prognostic information to baseline testing.
PMID- 29654095
TI - Cardiac rehabilitation and physical activity: systematic review and meta
analysis.
AB - OBJECTIVE: To undertake a systematic review and meta-analysis to assess the
impact of cardiac rehabilitation (CR) on physical activity (PA) levels of
patients with heart disease and the methodological quality of these studies.
METHODS: Databases (MEDLINE, EMBASE, CENTRAL, CINAHL, PsychINFO and SportDiscus)
were searched without language restriction from inception to January 2017 for
randomised controlled trials (RCTs) comparing CR to usual care control in adults
with heart failure (HF) or coronary heart disease (CHD) and measuring PA
subjectively or objectively. The direction of PA difference between CR and
control was summarised using vote counting (ie, counting the positive, negative
and non-significant results) and meta-analysis. RESULTS: Forty RCTs, (6480
patients: 5825 CHD, 655 HF) were included with 26% (38/145) PA results showing a
statistically significant improvement in PA levels with CR compared with control.
This pattern of results appeared consistent regardless of type of CR intervention
(comprehensive vs exercise-only) or PA measurement (objective vs subjective).
Meta-analysis showed PA increases in the metrics of steps/day (1423, 95% CI
757.07 to 2089.43, p<0.0001) and proportion of patients categorised as physically
active (relative risk 1.55, 95% CI 1.19 to 2.02, p=0.001). The included trials
were at high risk of bias, and the quality of the PA assessment and reporting was
relatively poor. CONCLUSION: Overall, there is moderate evidence of an increase
in PA with CR participation compared with control. High-quality trials are
required, with robust PA measurement and data analysis methods, to assess if CR
definitely leads to important improvements in PA.
PMID- 29654096
TI - Cost-effectiveness of cardiac rehabilitation: a systematic review.
AB - Patients may be offered cardiac rehabilitation (CR), a supervised programme often
including exercises, education and psychological care, following a cardiac event,
with the aim of reducing morbidity and mortality. Cost-constrained healthcare
systems require information about the best use of budget and resources to
maximise patient benefit. We aimed to systematically review and critically
appraise economic studies of CR and its components. In January 2016, validated
electronic searches of the National Health Service Economic Evaluation Database
(NHS EED), Health Technology Assessment, PsycINFO, MEDLINE and Embase databases
were run to identify full economic evaluations published since 2001. Two levels
of screening were used and explicit inclusion criteria were applied. Prespecified
data extraction and critical appraisal were performed using the NHS EED handbook
and Drummond checklist. The majority of studies concluded that CR was cost
effective versus no CR (incremental cost-effectiveness ratios (ICERs) ranged from
$1065 to $71 755 per quality-adjusted life-year (QALY)). Evidence for specific
interventions within CR was varied; psychological intervention ranged from
dominant (cost saving and more effective) to $226 128 per QALY, telehealth ranged
from dominant to $588 734 per QALY and while exercise was cost-effective across
all relevant studies, results were subject to uncertainty. Key drivers of cost
effectiveness were risk of subsequent events and hospitalisation, hospitalisation
and intervention costs, and utilities. This systematic review of studies
evaluates the cost-effectiveness of CR in the modern era, providing a fresh
evidence base for policy-makers. Evidence suggests that CR is cost-effective,
especially with exercise as a component. However, research is needed to determine
the most cost-effective design of CR.
PMID- 29654097
TI - 56-year-old lady with transaortic gradient.
AB - CLINICAL INTRODUCTION: A 56-year-old lady with a background of hypertension was
admitted to our institution with acute pulmonary oedema. She reported gradual and
increasingly severe dyspnoea on exertion over the preceding 12 months and, prior
to presentation, her exercise tolerance was restricted to one flight of stairs.
On transthoracic echocardiography during the index admission, left ventricular
size and systolic function were normal, and peak and mean transaortic gradients
were 67 mm Hg and 33 mm Hg, respectively, with a peak velocity of 3.9 m/s. No
aortic incompetence or other significant valvular abnormality was noted. A
transoesophageal echocardiogram was performed. Figure 1 depicts the mid
oesophageal parasternal long-axis view. What is the explanation behind the
significant transaortic gradient?heartjnl;104/12/1036/F1F1F1Figure
1Transoesophageal echocardiogram, mid-oesophageal long-axis view at 135 degrees.
QUESTION: What is the explanation behind the significant transaortic
gradient?Ventricular septal defect Supravalvular aortic stenosisAortic valvular
stenosisSubaortic membraneHypertrophic obstructive cardiomyopathy.
PMID- 29654099
TI - Liver Failure in Advanced Adult-onset Polycystic Kidney Disease.
AB - Polycystic liver disease is the most common extrarenal manifestation of autosomal
dominant polycystic kidney disease (ADPKD). Hepatic cysts are typically
incidental findings, with occasional complications including cyst haemorrhage,
infection and rupture. In contrast to the typically benign course of polycystic
liver disease, we present a rare case of fatal decompensated liver failure in a
patient with ADPKD. This is a case of a 58-year-old man with end-stage renal
disease on haemodialysis presenting with new-onset ascites and decompensated
liver failure following bilateral nephrectomy. Cirrhosis in ADPKD is a late
manifestation of the disease, but it should be considered in the perioperative
risk of patients with ADPKD.
PMID- 29654100
TI - Malignant rhabdoid tumour of the neck in a neonate.
AB - Fetal neck masses are rare, and present a challenge antenatally, during delivery
and in making a diagnosis. In this report, we detail the presentation of a
neonate with a neck mass diagnosed in the third trimester. The baby was delivered
by ex utero intrapartum therapy (EXIT). Investigations sent included an MRI with
limited CT cuts, and a biopsy, which lead to the diagnosis of a malignant
rhabdoid tumour. This is rare and the overall survival is low.
PMID- 29654098
TI - Functional Assays to Screen and Dissect Genomic Hits: Doubling Down on the
National Investment in Genomic Research.
AB - The National Institutes of Health have made substantial investments in genomic
studies and technologies to identify DNA sequence variants associated with human
disease phenotypes. The National Heart, Lung, and Blood Institute has been at the
forefront of these commitments to ascertain genetic variation associated with
heart, lung, blood, and sleep diseases and related clinical traits. Genome-wide
association studies, exome- and genome-sequencing studies, and exome-genotyping
studies of the National Heart, Lung, and Blood Institute-funded epidemiological
and clinical case-control studies are identifying large numbers of genetic
variants associated with heart, lung, blood, and sleep phenotypes. However,
investigators face challenges in identification of genomic variants that are
functionally disruptive among the myriad of computationally implicated variants.
Studies to define mechanisms of genetic disruption encoded by computationally
identified genomic variants require reproducible, adaptable, and inexpensive
methods to screen candidate variant and gene function. High-throughput strategies
will permit a tiered variant discovery and genetic mechanism approach that begins
with rapid functional screening of a large number of computationally implicated
variants and genes for discovery of those that merit mechanistic investigation.
As such, improved variant-to-gene and gene-to-function screens-and adequate
support for such studies-are critical to accelerating the translation of genomic
findings. In this White Paper, we outline the variety of novel technologies,
assays, and model systems that are making such screens faster, cheaper, and more
accurate, referencing published work and ongoing work supported by the National
Heart, Lung, and Blood Institute's R21/R33 Functional Assays to Screen Genomic
Hits program. We discuss priorities that can accelerate the impressive but
incomplete progress represented by big data genomic research.
PMID- 29654101
TI - Anti-TNF therapy-induced lupus erythematosus-like syndrome in a patient treated
with adalimumab for cutaneous psoriasis.
AB - A 44-year-old woman with cutaneous psoriasis and no history of joint involvement
recently treated with adalimumab was admitted to the inpatient Internal Medicine
service for uncontrolled, severe joint pain so debilitating that it limited her
activities of daily living and prevented her from working as a medical
technologist. She had stopped taking adalimumab 3 weeks prior to presentation
after receiving approximately 2.5 months of therapy for cutaneous psoriasis
unresponsive to trials of topical steroids and methotrexate. Antinuclear antibody
and anti-double-stranded DNA antibodies were positive at high titres. She
received a course of oral corticosteroids with improvement in her symptoms.
PMID- 29654102
TI - Orbital cystic schwannoma masquerading as hydatid cyst.
AB - Gradually increasing abaxial proptosis in a middle-aged female patient revealed a
large well-defined cystic lesion behind the globe extending till the orbital
apex. B scan ultrasound and CT findings were favouring a diagnosis of orbital
hydatid cyst. Thus as a diagnostic/curative surgical protocol, the cystic lesion
was removed in total. However, intraoperative gross specimen examination was not
classical of hydatid cyst, thus a further histopathological evaluation was
carried out, which confirmed the diagnosis of orbital schwannoma with extensive
cystic degeneration. Postoperatively the clinical course was uneventful at the
end of 1 year.
PMID- 29654103
TI - Embryonal rhabdomyosarcoma of urinary bladder in an adult patient: an unusual
manifestation.
AB - An adult man presented with off and on painless haematuria, which was diagnosed
as bladder mass on contrast-enhanced CT. He underwent transurethral resection of
bladder tumour with complete resection. A diagnosis of embryonal rhabdomyosarcoma
was made following histopathology and immunohistochemistry report. The patient
was planned for radical cystectomy but was found to have large recurrent
infiltrating bladder mass with liver and bone metastasis. Hence, the plan for
radical cystectomy was deferred and the patient was counselled regarding
chemotherapy (vincristine, cisplatin and doxorubicin regime). The patient
tolerated the first cycle of chemotherapy, but his condition deteriorated prior
to the second cycle and the patient expired.
PMID- 29654104
TI - Ruptured choledochal cyst during early pregnancy with successful fetomaternal
outcome.
AB - Choledochal cyst is a rare congenital malformation which is usually found in
young children and adolescents but can rarely present in the adult age group. It
can present with several complications like cholangitis, pancreatitis, biliary
cirrhosis, portal hypertension, liver abscess, malignancy and rarely spontaneous
rupture (in 2% of cases) causing biliary peritonitis. A case of spontaneous
rupture of choledochal cyst during second trimester of pregnancy is reported
where the patient was managed by minimal surgery, peritoneal washout, placement
of T-tube and planned for definitive treatment in the postpartum period. The
pregnancy continued till 35 weeks with favourable fetomaternal outcome.
PMID- 29654105
TI - Acute acalculous cholecystitis of an intrahepatic gallbladder causing Mirizzi's
syndrome.
AB - We present the case of a young female with symptoms of biliary colic and a
biochemical profile consistent with biliary obstruction. Imaging was suspicious
for Mirizzi's syndrome. Intraoperatively, the patient was found to have a
complete intrahepatic gallbladder causing common hepatic duct compression with
final pathology confirming acute cholecystitis. We review the embryological
development of the gallbladder as well as clinical presentation of Mirizzi's
syndrome. Special consideration for clinical workup and surgical management is
discussed.
PMID- 29654106
TI - Meningitis due to scrub typhus: the importance of a differential diagnosis in an
endemic area.
AB - A patient with fever and neck stiffness was treated as partially treated
bacterial meningitis based on history, examination and cerebrospinal fluid
analysis. After initial improvement with ceftriaxone, vancomycin and
dexamethasone, symptoms recurred. Fever resolved promptly after treatment was
started with doxycycline, when scrub typhus immunoglobulin M test came positive.
Meningitis is a well-known complication of scrub typhus. However, scrub typhus is
seldom considered in the differential diagnosis of meningitis in the Indian
subcontinent. Early diagnosis and prompt institution of doxycycline therapy may
lead to early cure of scrub typhus even when features of meningitis supervene.
However, ceftriaxone which is commonly used to treat bacterial meningitis is
suboptimal in the treatmenwgt36t of scrub typhus.
PMID- 29654107
TI - Infertility: an out-of-the-box cause of postmenopausal endometrial thickening.
PMID- 29654108
TI - Misguided urinary catheter: an uncommon complication of a common solution.
PMID- 29654109
TI - Nucleic acid-induced potentiation of matrix metalloproteinase-9 enzymatic
activity.
AB - Matrix metalloproteinases (MMPs) play varied roles in normal biology and diseases
where, depending on the context, both inhibition and enhancement of the enzymatic
activity may be beneficial. However, there are very few reports of positive
modulators of MMP activity. We report that polynucleotides, including single
stranded DNA, RNA, and even double-stranded DNA, bind to and enhance the
enzymatic activity of MMP9. This enhancement of MMP9 catalytic activity is not
shared by biologically active polycationic molecules suggesting nonspecific
charge screening as an unlikely mechanism. Deletion construct and MMP1, 2, and 3
studies suggest that the type-II fibronectin repeat domains of the enzyme appear
to play a role in mediating the nucleotide potentiation of MMP9 activity. Single
stranded DNA enhances nerve growth factor-induced MMP9-dependent neurite
extension in pheochromocytoma 12 cells providing evidence for potential
biological significance of the nucleotide-mediated allosteric enhancement of the
catalytic activity.
PMID- 29654110
TI - Deregulation of LIMD1-VHL-HIF-1alpha-VEGF pathway is associated with different
stages of cervical cancer.
AB - To understand the mechanism of cellular stress in basal-parabasal layers of
normal cervical epithelium and during different stages of cervical carcinoma, we
analyzed the alterations (expression/methylation/copy number variation/mutation)
of HIF-1alpha and its associated genes LIMD1, VHL and VEGF in disease-free normal
cervix (n = 9), adjacent normal cervix of tumors (n = 70), cervical
intraepithelial neoplasia (CIN; n = 32), cancer of uterine cervix (CACX; n = 174)
samples and two CACX cell lines. In basal-parabasal layers of normal cervical
epithelium, LIMD1 showed high protein expression, while low protein expression of
VHL was concordant with high expression of HIF-1alpha and VEGF irrespective of
HPV-16 (human papillomavirus 16) infection. This was in concordance with the low
promoter methylation of LIMD1 and high in VHL in the basal-parabasal layers of
normal cervix. LIMD1 expression was significantly reduced while VHL expression
was unchanged during different stages of cervical carcinoma. This was in
concordance with their frequent methylation during different stages of this
tumor. In different stages of cervical carcinoma, the expression pattern of HIF
1alpha and VEGF was high as seen in basal-parabasal layers and inversely
correlated with the expression of LIMD1 and VHL. This was validated by
demethylation experiments using 5-aza-2'-deoxycytidine in CACX cell lines.
Additional deletion of LIMD1 and VHL in CIN/CACX provided an additional growth
advantage during cervical carcinogenesis through reduced expression of genes and
associated with poor prognosis of patients. Our data showed that overexpression
of HIF-1alpha and its target gene VEGF in the basal-parabasal layers of normal
cervix was due to frequent inactivation of VHL by its promoter methylation. This
profile was maintained during different stages of cervical carcinoma with
additional methylation/deletion of VHL and LIMD1.
PMID- 29654111
TI - High prevalence of electrocardiac abnormalities in anti-CASPR2 antibody
associated disease.
PMID- 29654112
TI - Long-term effect of low frequency stimulation of STN on dysphagia, freezing of
gait and other motor symptoms in PD.
AB - OBJECTIVE: To evaluate the long-term effect of 60 Hz stimulation of the
subthalamic nucleus (STN) on dysphagia, freezing of gait (FOG) and other motor
symptoms in patients with Parkinson's disease (PD) who have FOG at the usual 130
Hz stimulation. METHODS: This is a prospective, sequence randomised, crossover,
double-blind study. PD patients with medication refractory FOG at 130 Hz
stimulation of the STN were randomised to the sequences of 130 Hz, 60 Hz or deep
brain stimulation off to assess swallowing function (videofluoroscopic evaluation
and swallowing questionnaire), FOG severity (stand-walk-sit test and FOG
questionnaire) and motor function (Unified PD Rating Scale, Part III motor
examination (UPDRS-III)) at initial visit (V1) and follow-up visit (V2, after
being on 60 Hz stimulation for an average of 14.5 months), in their usual
medications on state. The frequency of aspiration events, perceived swallowing
difficulty and FOG severity at 60 Hz compared with 130 Hz stimulation at V2, and
their corresponding changes at V2 compared with V1 at 60 Hz were set as primary
outcomes, with similar comparisons in UPDRS-III and its subscores as secondary
outcomes. RESULTS: All 11 enrolled participants completed V1 and 10 completed V2.
We found the benefits of 60 Hz stimulation compared with 130 Hz in reducing
aspiration frequency, perceived swallowing difficulty, FOG severity, bradykinesia
and overall axial and motor symptoms at V1 and persistent benefits on all of them
except dysphagia at V2, with overall decreasing efficacy when comparing V2 to V1.
CONCLUSIONS: The 60 Hz stimulation, when compared with 130 Hz, has long-term
benefits on reducing FOG, bradykinesia and overall axial and motor symptoms
except dysphagia, although the overall benefits decrease with long-term use.
CLINICAL TRIAL REGISTRATION: NCT02549859; Pre-results.
PMID- 29654113
TI - Effect of phacoemulsification on facility of outflow.
AB - PURPOSE: Phacoemulsification has been shown to reduce intraocular pressure (IOP).
The mechanism of action is thought to be via increased trabecular outflow
facility. However, studies on the relationship between phacoemulsification and
outflow facility have been inconsistent. This study intended to examine the
change in electronic Schiotz tonographic outflow facility (TOF) and IOP
measurements following phacoemulsification. METHODS: Patients who were due to
undergo a standard clear corneal incision phacoemulsification with intraocular
lens (IOL) implantation, at St Thomas' Hospital, were invited to participate in
this study. IOP was measured using Goldmann's applanation tonometer, and TOF was
measured by electronic Schiotz tonography at baseline and at 3, 6 and 12 months
postoperatively. RESULTS: Forty-one patients were recruited. Tonography data for
27 patients were reliable and available at all time points. Eleven cases had
primary open angle glaucoma and cataract, while 16 patients had cataract only.
Mean IOP reduced at every time point postoperatively significantly compared with
baseline. TOF improved significantly after cataract extraction at all time points
(baseline of 0.14+/-0.06 vs 0.18+/-0.09 at 3 months, P=0.02 and 0.20+/-0.09 at 6
months, P=0.003, 0.17+/-0.07 uL/min mmHg at 12 months, P=0.04). Five
contralateral eyes of patients with cataracts only who did not have any
intraocular surgery during the follow-up period were used as comparison. Their
IOP and TOF did not change significantly at any postoperative visits. CONCLUSION:
This is the first study using electronic Schiotz tonography with documented
anterior chamber depth and gonioscopy after modern cataract surgery (CS) with
phacoemulsification and IOL implantation. We demonstrated that
phacoemulsification increases TOF and this fully accounts for the IOP reduction
following CS. ISTCRN REGISTRATION NUMBER: ISRCTN04247738.
PMID- 29654114
TI - Multimodal imaging approach to monitor browning of adipose tissue in vivo.
AB - The discovery that white adipocytes can undergo a browning process to become
metabolically active beige cells has attracted significant interest in the fight
against obesity. However, the study of adipose browning has been impeded by a
lack of imaging tools that allow longitudinal and noninvasive monitoring of this
process in vivo. Here, we report a preclinical imaging approach to detect
development of beige adipocytes during adrenergic stimulation. In this approach,
we expressed near-infrared fluorescent protein, iRFP720, driven under an
uncoupling protein-1 (Ucp1) promoter in mice by viral transduction, and used
multispectral optoacoustic imaging technology with ultrasound tomography (MSOT
US) to assess adipose beiging during adrenergic stimulation. We observed
increased photoacoustic signal at 720 nm, coupled with attenuated lipid signals
in stimulated animals. As a proof of concept, we validated our approach against
hybrid positron emission tomography combined with magnetic resonance (PET/MR)
imaging modality, and quantified the extent of adipose browning by MRI-guided
segmentation of 2-deoxy-2-18F-fluoro-d-glucose uptake signals. The browning
extent detected by MSOT-US and PET/MR are well correlated with Ucp1 induction.
Taken together, these systems offer great opportunities for preclinical screening
aimed at identifying compounds that promote adipose browning and translation of
these discoveries into clinical studies of humans.
PMID- 29654115
TI - Nonmuscle myosin IIA is involved in recruitment of apical junction components
through activation of alpha-catenin.
AB - MDCK dog kidney epithelial cells express two isoforms of nonmuscle myosin heavy
chain II, IIA and IIB. Using the CRISPR/Cas9 system, we established cells in
which the IIA gene was ablated. These cells were then transfected with a vector
that expresses GFP-IIA chimeric molecule under the control of a tetracycline
responsible element. In the absence of Dox (doxycyclin), when GFP-IIA is
expressed (GFP-IIA+), the cells exhibit epithelial cell morphology, but in the
presence of Dox, when expression of GFP-IIA is repressed (GFP-IIA-), the cells
lose epithelial morphology and strong cell-cell adhesion. Consistent with these
observations, GFP-IIA- cells failed to assemble junction components such as E
cadherin, desmoplakin, and occludin at cell-cell contact sites. Therefore, IIA is
required for assembly of junction complexes. MDCK cells with an ablation of the
alpha-catenin gene also exhibited the same phenotype. However, when in GFP-IIA-
cells expressed alpha-catenin lacking the inhibitory region or E-cadherin/alpha
catenin chimeras, the cells acquired the ability to establish the junction
complex. These experiments reveal that IIA acts as an activator of alpha-catenin
in junction assembly.
PMID- 29654117
TI - Correction: 'Microbes in sport' -The potential role of the gut microbiota in
athlete health and performance.
PMID- 29654119
TI - The OCT-ORION Study: A Randomized Optical Coherence Tomography Study Comparing
Resolute Integrity to Biomatrix Drug-Eluting Stent on the Degree of Early Stent
Healing and Late Lumen Loss.
AB - BACKGROUND: Durable polymers used in drug-eluting stents are considered a
potential cause of hypersensitivity inflammatory response adversely affecting
stent healing. Using a sequential follow-up with optical coherence tomography, we
compared the differences in healing profiles of 2 drug-eluting stents with a
biodegradable or durable polymer. METHODS AND RESULTS: Sixty patients with
multivessel disease were prospectively enrolled to receive both study stents,
which were randomly assigned to 2 individual vessels, a Resolute Integrity
zotarolimus-eluting stent with a durable BioLinx polymer and a BioMatrix NeoFlex
Biolimus A9-eluting stent with a biodegradable polylactic acid polymer. Optical
coherence tomography was performed at baseline, then in 5 randomly assigned
monthly groups at 2 to 6 months, and at 9 months in all patients. The primary end
point was the difference in optical coherence tomography strut coverage at 9
months. Key secondary end points included angiographic late lumen loss and
composite major adverse cardiac events (cardiac death, myocardial infarction,
target lesion revascularization, and definite or probable stent thrombosis) at 9
months. Resolute Integrity zotarolimus-eluting stent showed significantly better
strut coverage than BioMatrix NeoFlex Biolimus A9-eluting stent at 2 to 6 months
(P<0.001) and less variance of percent coverage at 9 months, 99.7% (interquartile
range, 99.1-100) versus 99.6% (interquartile range, 96.8-99.9; difference, 0.10;
95% confidence interval, 0.00-1.05; P<0.001). No significant difference was
observed in major adverse cardiac events or angiographic end points. CONCLUSIONS:
Despite having a durable polymer, Resolute Integrity zotarolimus-eluting stent
exhibited better strut coverage than BioMatrix NeoFlex Biolimus A9-eluting stent
having a biodegradable polymer; both showed similar antiproliferative efficacy.
This novel, longitudinal, sequential optical coherence tomography protocol using
each patient as own control could achieve conclusive results in small sample
size. CLINICAL TRIAL REGISTRATION: URL: https://www.clinicaltrials.gov. Unique
identifier: NCT01742507.
PMID- 29654116
TI - Robust interaction of IFT70 with IFT52-IFT88 in the IFT-B complex is required for
ciliogenesis.
AB - In the intraflagellar transport (IFT) machinery, the IFT-B and IFT-A complexes
mediate anterograde and retrograde ciliary protein trafficking, respectively.
Among the 16 subunits of the IFT-B complex, several subunits are essential for
ciliogenesis, whereas others, which are associated peripherally with the complex,
are dispensable for ciliogenesis but play a role in protein trafficking. IFT22
knockout (KO) cells established in this study demonstrated no defects in
ciliogenesis or ciliary protein trafficking. In stark contrast, IFT70A and IFT70B
double-knockout cells did not form cilia, even though IFT70 is associated
peripherally with the IFT-B complex via the IFT52-IFT88 dimer, and other IFT-B
subunits assembled at the ciliary base in the absence of IFT70. Exogenous
expression of either IFT70A or IFT70B restored the ciliogenesis defect of IFT70
KO cells, indicating their redundant roles. IFT70 has 15 consecutive
tetratricopeptide repeats (TPRs) followed by a short helix (alpha36). Deletion of
the first TPR or alpha36 of IFT70A greatly reduced its ability to interact with
the IFT52-IFT88 dimer. Exogenous expression of any of the IFT70A deletion mutants
in IFT70-KO cells could not restore ciliogenesis. These results show that IFT70
plays an essential role in ciliogenesis, although it is dispensable for assembly
of the residual IFT-B subunits.
PMID- 29654120
TI - Interventional Cardiology: The In and the Out.
PMID- 29654121
TI - On the popularity of the USB flash drive-shaped electronic cigarette Juul.
PMID- 29654122
TI - Cigarette pack messages about toxic chemicals: a randomised clinical trial.
AB - BACKGROUND: The USA can require tobacco companies to disclose information about
harmful and potentially harmful chemicals in cigarette smoke, but the impact of
these messages is uncertain. We sought to assess the effect of placing messages
about toxic chemicals on smokers' cigarette packs. METHODS: Participants were 719
adult cigarette smokers from California, USA, recruited from September 2016
through March 2017. We randomly assigned smokers to receive either factual
messages about chemicals in cigarette smoke and their health harms (intervention)
or messages about not littering cigarette butts (control) on the side of their
cigarette packs for 3 weeks. The primary trial outcome was intention to quit
smoking. RESULTS: In intent-to-treat analyses, smokers whose packs had chemical
messages did not have higher intentions to quit smoking at the end of the trial
than those whose packs had control messages (P=0.56). Compared with control
messages, chemical messages led to higher awareness of the chemicals (28% vs 15%,
P<0.001) and health harms (60% vs 52%, P=0.02) featured in the messages. In
addition, chemical messages led to greater negative affect, thinking about the
chemicals in cigarettes and the harms of smoking, conversations about the
messages and forgoing a cigarette (all P<0.05). DISCUSSION: Chemical messages on
cigarette packs did not lead to higher intentions to quit among smokers in our
trial. However, chemical messages informed smokers of chemicals in cigarettes and
harms of smoking, which directly supports their implementation and would be
critical to defending the messages against cigarette company legal challenges.
TRIAL REGISTRATION NUMBER: NCT02785484.
PMID- 29654123
TI - Who is the target? Package health warnings and the role of market segmentation.
PMID- 29654125
TI - Electrical Substrates Driving Response to Cardiac Resynchronization Therapy: A
Combined Clinical-Computational Evaluation.
AB - BACKGROUND: The predictive value of interventricular versus intraventricular
dyssynchrony for response to cardiac resynchronization therapy (CRT) remains
unclear. We investigated the relative importance of both ventricular electrical
substrate components for left ventricular (LV) hemodynamic function. METHODS AND
RESULTS: First, we used the cardiovascular computational model CircAdapt to
characterize the isolated effect of intrinsic interventricular and
intraventricular activation on CRT response (DeltaLVdP/dtmax). Simulated
DeltaLVdP/dtmax (range: 1.3%-26.5%) increased considerably with increasing
interventricular dyssynchrony. In contrast, the isolated effect of
intraventricular dyssynchrony in either the LV or right ventricle was limited
(DeltaLVdP/dtmax range: 12.3%-18.3% and 14.1%-15.7%, respectively). Effects of
activation during biventricular pacing on DeltaLVdP/dtmax were small. Second,
electrocardiographic imaging-derived activation characteristics of 51 CRT
candidates were used to personalize ventricular activation in CircAdapt. The
individualized models were subsequently used to assess the accuracy of
DeltaLVdP/dtmax prediction based on the electrical data. The model-predicted
DeltaLVdP/dtmax was close to the actual value in patients with left bundle branch
block (measured-simulated: 2.7+/-9.0%) when only intrinsic interventricular
dyssynchrony was personalized. Among patients without left bundle branch block,
DeltaLVdP/dtmax was systematically overpredicted by CircAdapt (measured
simulated: 9.2+/-7.1%). Adding intraventricular activation to the model did not
improve the accuracy of the response prediction. CONCLUSIONS: Computer
simulations revealed that intrinsic interventricular dyssynchrony is the dominant
component of the electrical substrate driving the response to CRT. Intrinsic
intraventricular dyssynchrony and any dyssynchrony during biventricular pacing
play a minor role in this respect. This may facilitate patient-specific modeling
for prediction of CRT response. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov. Unique identifier: NCT01270646.
PMID- 29654124
TI - Genomic Instability Promoted by Overexpression of Mismatch Repair Factors in
Yeast: A Model for Understanding Cancer Progression.
AB - Mismatch repair (MMR) proteins act in spellchecker roles to excise
misincorporation errors that occur during DNA replication. Curiously, large-scale
analyses of a variety of cancers showed that increased expression of MMR proteins
often correlated with tumor aggressiveness, metastasis, and early recurrence. To
better understand these observations, we used The Cancer Genome Atlas and Gene
Expression across Normal and Tumor tissue databases to analyze MMR protein
expression in cancers. We found that the MMR genes MSH2 and MSH6 are
overexpressed more frequently than MSH3, and that MSH2 and MSH6 are often
cooverexpressed as a result of copy number amplifications of these genes. These
observations encouraged us to test the effects of upregulating MMR protein levels
in baker's yeast, where we can sensitively monitor genome instability phenotypes
associated with cancer initiation and progression. Msh6 overexpression (two- to
fourfold) almost completely disrupted mechanisms that prevent recombination
between divergent DNA sequences by interacting with the DNA polymerase
processivity clamp PCNA and by sequestering the Sgs1 helicase. Importantly,
cooverexpression of Msh2 and Msh6 (~eightfold) conferred, in a PCNA interaction
dependent manner, several genome instability phenotypes including increased
mutation rate, increased sensitivity to the DNA replication inhibitor HU and the
DNA-damaging agents MMS and 4-nitroquinoline N-oxide, and elevated loss-of
heterozygosity. Msh2 and Msh6 cooverexpression also altered the cell cycle
distribution of exponentially growing cells, resulting in an increased fraction
of unbudded cells, consistent with a larger percentage of cells in G1. These
novel observations suggested that overexpression of MSH factors affected the
integrity of the DNA replication fork, causing genome instability phenotypes that
could be important for promoting cancer progression.
PMID- 29654126
TI - Oxidized CaMKII (Ca2+/Calmodulin-Dependent Protein Kinase II) Is Essential for
Ventricular Arrhythmia in a Mouse Model of Duchenne Muscular Dystrophy.
AB - BACKGROUND: Duchenne muscular dystrophy patients are prone to ventricular
arrhythmias, which may be caused by abnormal calcium (Ca2+) homeostasis and
elevated reactive oxygen species. CaMKII (Ca2+/calmodulin-dependent protein
kinase II) is vital for normal Ca2+ homeostasis, but excessive CaMKII activity
contributes to abnormal Ca2+ homeostasis and arrhythmias in cardiomyocytes.
Reactive oxygen species induce CaMKII to become autonomously active. We
hypothesized that genetic inhibition of CaMKII oxidation (ox-CaMKII) in a mouse
model of Duchenne muscular dystrophy can alleviate abnormal Ca2+ homeostasis,
thus, preventing ventricular arrhythmia. The objective of this study was to test
if selective loss of ox-CaMKII affects ventricular arrhythmias in the mdx mouse
model of Duchenne muscular dystrophy. METHODS AND RESULTS: 5-(6)-Chloromethyl-2,7
dichlorodihydrofluorescein diacetate staining revealed increased reactive oxygen
species production in ventricular myocytes isolated from mdx mice, which
coincides with elevated ventricular ox-CaMKII demonstrated by Western blotting.
Genetic inhibition of ox-CaMKII by knockin replacement of the regulatory domain
methionines with valines (MM-VV [CaMKII M281/282V]) prevented ventricular
tachycardia in mdx mice. Confocal calcium imaging of ventricular myocytes
isolated from mdx:MM-VV mice revealed normalization of intracellular Ca2+ release
events compared with cardiomyocytes from mdx mice. Abnormal action potentials
assessed by optical mapping in mdx mice were also alleviated by genetic
inhibition of ox-CaMKII. Knockout of the NADPH oxidase regulatory subunit p47
phox normalized elevated ox-CaMKII, repaired intracellular Ca2+ homeostasis, and
rescued inducible ventricular arrhythmias in mdx mice. CONCLUSIONS: Inhibition of
reactive oxygen species or ox-CaMKII protects against proarrhythmic intracellular
Ca2+ handling and prevents ventricular arrhythmia in a mouse model of Duchenne
muscular dystrophy.
PMID- 29654127
TI - Health Care Costs After Cardiac Arrest in the United States.
AB - BACKGROUND: This study was designed to estimate the costs of index
hospitalizations after cardiac arrest in the United States. METHODS AND RESULTS:
We used the US Nationwide Inpatient Sample (2003-2012) to identify patients with
cardiac arrest. Log transformation of inflation-adjusted cost was determined for
care to patient outcomes. Overall, an estimated 1 387 396 patients were
hospitalized after cardiac arrest. The mean age of the cohort was 66 years, 45%
were women, and the majority were white. Inpatient procedures included coronary
angiography (15%), percutaneous coronary intervention (7%), intra-aortic balloon
pump (4.4%), therapeutic hypothermia (1.1%), and mechanical circulatory support
(0.1%). The rates of therapeutic hypothermia increased from zero in 2003 to 2.7%
in 2012 (P<0.001). Both hospital charges and inflation-adjusted cost increased
linearly over time. In a multivariate analysis, predictors of inflation-adjusted
cost included large hospital size, urban teaching hospital, and length of stay.
Among comorbidities, atrial fibrillation or fluid and electrolytes imbalance was
most associated with cost. Among selected interventions, the cost was
significantly increased with automatic implantable cardioverter defibrillators
(odds ratio, 1.83; P<0.001), intra-aortic balloon pump (odds ratio, 1.50;
P<0.001), hypothermia (odds ratio, 1.28; P<0.001), and extracorporeal membrane
oxygenation (odds ratio, 2.38; P<0.001). CONCLUSIONS: In the period between 2003
and 2012, postcardiac arrest hospitalizations resulted in a steady rise in
associated health care cost, likely related to increased length of stay, medical
procedures, and systems of care. Although targeted cost containment for
postarrest interventions may reduce the finance burden, there is an increasing
need for funding research into prediction and prevention of cardiac arrest, which
offers greater societal benefit.
PMID- 29654128
TI - New Substrate-Guided Method of Predicting Slow Conducting Isthmuses of
Ventricular Tachycardia: Preliminary Analysis to the Combined Use of Voltage
Limit Adjustment and Fast-Fourier Transform Analysis.
AB - BACKGROUND: Several conducting channels of ventricular tachycardia (VT) can be
identified using voltage limit adjustment (VLA) of substrate mapping. However,
the sensitivity or specificity to predict a VT isthmus is not high by using VLA
alone. This study aimed to evaluate the efficacy of the combined use of VLA and
fast-Fourier transform analysis to predict VT isthmuses. METHODS AND RESULTS: VLA
and fast-Fourier transform analyses of local ventricular bipolar electrograms
during sinus rhythm were performed in 9 postinfarction patients who underwent
catheter ablation for a total of 13 monomorphic VTs. Relatively higher voltage
areas on an electroanatomical map were defined as high voltage channels (HVCs),
and relatively higher fast-Fourier transform areas were defined as high-frequency
channels (HFCs). HVCs were classified into full or partial HVCs (the entire or
>30% of HVC can be detectable, respectively). Twelve full HVCs were identified in
7 of 9 patients. HFCs were located on 7 of 12 full HVCs. Five VT isthmuses (71%)
were included in the 7 full HVC+/HFC+ sites, whereas no VT isthmus was found in
the 5 full HVC+/HFC- sites. HFCs were identical to 9 of 16 partial HVCs. Eight VT
isthmuses (89%) were included in the 9 partial HVC+/HFC+ sites, whereas no VT
isthmus was found in the 7 partial HVC+/HFC- sites. All HVC+/HFC+ sites predicted
VT isthmus with a sensitivity of 100% and a specificity of 80%. CONCLUSIONS:
Combined use of VLA and fast-Fourier transform analysis may be a useful method to
detect VT isthmuses.
PMID- 29654129
TI - Recurrent Atrial Fibrillation After Initial Long-Term Ablation Success:
Electrophysiological Findings and Outcomes of Repeat Ablation Procedures.
AB - BACKGROUND: Atrial fibrillation recurrence after initial long-term success of
catheter ablation has been described, yet not well studied. We assessed the
electrophysiological findings and outcomes of repeat ablation procedures in this
setting. METHODS AND RESULTS: Between 2000 and 2015, 10 378 patients underwent
atrial fibrillation ablation and were enrolled in a prospectively maintained data
registry. From this registry, we included all 137 consecutive patients who had
initial long-term success, defined as freedom from recurrent arrhythmia for >36
months off antiarrhythmics, then underwent repeat ablation for recurrent atrial
fibrillation. The median arrhythmia-free period that defined long-term success
was 52 months (41-68 months). In redo ablations, reconnection along at least one
of the pulmonary veins (PVs) was found in 111 (81%) patients. Reconnection along
a left superior, left inferior, right superior, and right inferior PV was found
in 64%, 62%, 50%, and 54% of patients, respectively, and were reisolated.
Additional non-PV ablations were performed in 127 (92.7%) patients: posterior
wall (46%), septal to right PVs (49%), superior vena cava (35%), roof lines
(52%), and cavotricuspid isthmus (33%). After a median follow-up of 17 months (5
36.9 months), 103 patients (75%) were arrhythmia free (79 off antiarrhythmics, 24
on antiarrhythmics). CONCLUSIONS: PV reconnection is the most common
electrophysiological finding in patients with atrial fibrillation recurrence
after long-term success, but with lower rates than what had been reported for
early recurrences. In our experience, repeat ablations in this setting involve
complex ablation approaches to reisolate the PVs and modify the atrial substrate
and are associated with good success rates.
PMID- 29654130
TI - Left Ventricular Isovolumetric Relaxation Time Is Prolonged in Fetal Long-QT
Syndrome.
AB - BACKGROUND: Long-QT syndrome (LQTS), an inherited cardiac repolarization
disorder, is an important cause of fetal and neonatal mortality. Detecting LQTS
prenatally is challenging. A fetal heart rate (FHR) less than third percentile
for gestational age is specific for LQTS, but the sensitivity is only ~50%. Left
ventricular isovolumetric relaxation time (LVIRT) was evaluated as a potential
diagnostic marker for fetal LQTS. METHODS AND RESULTS: LV isovolumetric
contraction time, LV ejection time, LVIRT, cycle length, and FHR were measured
using pulsed Doppler waveforms in fetuses. Time intervals were expressed as
percentages of cycle length, and the LV myocardial performance index was
calculated. Single measurements were stratified by gestational age and compared
between LQTS fetuses and controls. Receiver-operator curves were performed for
FHR and normalized LVIRT (N-LVIRT). A linear mixed-effect model including
multiple measurements was used to analyze trends in FHR, N-LVIRT, and LV
myocardial performance index. There were 33 LQTS fetuses and 469 controls
included. In LQTS fetuses, the LVIRT was prolonged in all gestational age groups
(P<0.001), as was the N-LVIRT. The best cutoff to diagnose LQTS was N-LVIRT
>=11.3 at <=20 weeks (92% sensitivity, 70% specificity). Simultaneous analysis of
N-LVIRT and FHR improved the sensitivity and specificity for LQTS (area under the
curve=0.96; 95% confidence interval, 0.82-1.00 at 21-30 weeks). N-LVIRT, LV
myocardial performance index, and FHR trends differed significantly between LQTS
fetuses and controls through gestation. CONCLUSIONS: The LVIRT is prolonged in
LQTS fetuses. Findings of a prolonged N-LVIRT and sinus bradycardia can improve
the prenatal detection of fetal LQTS.
PMID- 29654131
TI - Lesion Index-Guided Ablation Facilitates Continuous, Transmural, and Durable
Lesions in a Porcine Recovery Model.
AB - BACKGROUND: The Lesion Index (LSI) is a proprietary algorithm from Abbott Medical
combining contact force, radiofrequency application duration, and radiofrequency
current. It can be displayed during ablation with the TactiCath contact force
catheter. The LSI Index was designed to provide real-time lesion formation
feedback and is hypothesized to estimate the lesion diameter. METHODS AND
RESULTS: Before ablation, animals underwent cardiac computed tomography to assess
atrial tissue thickness. Ablation lines (n=2-3 per animal) were created in the
right atrium of 7 Gottingen mini pigs with point lesions (25 W). Within each line
of ablation, the catheter tip was moved a prescribed distance (D/mm) according to
1 of 3 strategies: D=LSI+0 mm; D=LSI+2 mm; or D=LSI+4 mm. Two weeks after
ablation, serial sections of targeted atrial tissue were examined histologically
to identify gaps in transmural ablation. LSI-guided lines had a lower incidence
of histological gaps (4 gaps in 69 catheter moves, 5.8%) than LSI+2 mm lines (7
gaps in 33 catheter moves, 21.2%) and LSI+4 mm lines (15 gaps in 23 catheter
moves, 65.2%, P<0.05 versus D=LSI). DeltaLSI was calculated retrospectively as
the distance between 2 adjacent lesions above the mean LSI of the 2 lesions.
DeltaLSI values of <=1.5 were associated with no gaps in transmural ablation.
CONCLUSIONS: In this model of chronic atrial ablation, delivery of uninterrupted
transmural linear lesions may be facilitated by using LSI to guide catheter
movement. When DeltaLSI between adjacent lesions is <=1.5 mm, no gaps in atrial
linear lesions should be expected.
PMID- 29654132
TI - Mortality and Sudden Cardiac Death Risk Stratification Using the Noninvasive
Combination of Wide QRS Duration and Late Gadolinium Enhancement in Idiopathic
Dilated Cardiomyopathy.
AB - BACKGROUND: The aim of this study was to investigate whether the combination of
QRS duration >=120 ms (wide QRS duration [wQRS]) and late gadolinium enhancement
(LGE) is a precise prognostic indicator for dilated cardiomyopathy. METHODS AND
RESULTS: We investigated the association between the combination of LGE plus wQRS
and the primary end point (all-cause death) and a composite of sudden cardiac
death (SCD) or aborted SCD in 531 patients with dilated cardiomyopathy. We also
analyzed the association between the combination of LGE and wQRS and these end
points among patients with a class I indication for implantable cardioverter
defibrillator implantation. We divided study patients into 3 groups according to
LGE status and QRS duration: 2 negative indices (LGE negative and narrow QRS), 1
positive index (LGE positive or wQRS), or 2 positive indices (LGE positive and
wQRS), and followed them for 3.8 years. Multivariable Cox regression analysis
identified 2 positive indices as a significant predictor of all-cause death
(hazard ratio, 4.29 [1.19-15.47]; P=0.026). Among 317 patients with a class I
indication for implantable cardioverter defibrillator, the 5-year event rate of
SCD or aborted SCD was the lowest in the 2 negative indices group (1.4%). With
propensity score-matching cohorts, the 2 negative indices group had a
significantly lower event rate of SCD or aborted SCD than the other 2 groups
(hazard ratio, 0.12 [0.01-0.97]; P=0.046). CONCLUSIONS: The combination of LGE
and wQRS provides additional prognostic stratification compared with LGE status
alone and might improve the appropriate use of implantable cardioverter
defibrillator therapy in patients with dilated cardiomyopathy.
PMID- 29654133
TI - Understanding Mechanisms of Cardiac Resynchronization Therapy Response to Improve
Patient Selection and Outcomes.
PMID- 29654134
TI - Letter by Penela et al Regarding Article, "Standard Ablation Versus Magnetic
Resonance Imaging-Guided Ablation in the Treatment of Ventricular Tachycardia".
PMID- 29654135
TI - Creation of Continuous and Transmural Radiofrequency Lesions.
PMID- 29654136
TI - Recurrence Post-Atrial Fibrillation Ablation: Think Outside the Pulmonary Veins.
PMID- 29654137
TI - Fast and the Furious: Electrogram Drift: Dynamic Analysis of Acquired
Electrograms to Optimize Discrimination of Ventricular Tachycardia Substrate.
PMID- 29654138
TI - Response by Zghaib et al to Letter Regarding Article, "Standard Ablation Versus
Magnetic Resonance Imaging-Guided Ablation in the Treatment of Ventricular
Tachycardia".
PMID- 29654139
TI - Implementation and evaluation of a pharmacist-led electronic visit program for
diabetes and anticoagulation care in a patient-centered medical home.
AB - PURPOSE: Results of a study evaluating quality-of-care, financial, and patient
satisfaction outcomes of pharmacist-conducted telehealth visits for diabetes
management and warfarin monitoring are reported. METHODS: A retrospective pre
post study was conducted to determine the impact of an electronic visit (e-visit)
program targeting 2 groups of outpatients: adults with uncontrolled diabetes and
warfarin-treated adults performing patient self-testing (PST) for monitoring of
International Normalized Ratio (INR) values. RESULTS: A total of 36 patients
participated in the e-visit program during the 2-year study period. Among
warfarin-treated patients, the percentage of INR values in the desired range
increased relative to preenrollment values (from 62.5% to 72.7%, p = 0.07), and
the frequency of extreme INR values (values of <1.5 or >5.0) decreased (from 4.8%
to 0.01%, p = 0.01); the margin per patient was $300 during the first year and
$191 annually thereafter. In the diabetes group, a decrease from baseline in
glycosylated hemoglobin values of 3.4 percentage points was observed at 5.7
months after enrollment (p < 0.001), with significant improvements in frequencies
of statin use, aspirin use, and blood pressure control; the margin was $100 per
patient. The overall median patient satisfaction survey score was 39 of 40.
CONCLUSION: An online e-visit model for warfarin monitoring was an efficient,
safe, and cost-effective method for implementing PST. Pharmacist-led management
of diabetes through e-visits, often in combination with in-person visits,
generated revenue while significantly improving clinical outcomes.
PMID- 29654140
TI - Optimization of clinical pharmacy specialists at Veterans Affairs facilities.
PMID- 29654141
TI - Advancement of clinical pharmacy practice through intervention capture.
AB - PURPOSE: The methods and processes utilized to deploy the Pharmacists Achieve
Results with Medications Documentation (PhARMD) Project intervention template
across the largest integrated healthcare system in the United States are
described. SUMMARY: The PhARMD Project team at the Department of Veterans Affairs
(VA) designed, developed, and deployed a standardized template within VA's
electronic health record (EHR) that allows the clinical pharmacy specialist (CPS)
to efficiently document select interventions made during patient care encounters
that specifically contribute to the overall care provided and patient outcomes.
The template is completed by the CPSs as part of progress note documentation
within the EHR. Using point-and-click functionality, a CPS selects the check
boxes corresponding to specific interventions made during that patient care
encounter. This improves workflow and negates the need to document interventions
in a separate software system, streamlining documentation. The implementation and
use of the PhARMD template at each VA facility are voluntary. From October 1,
2016, to September 30, 2017, 4,728 CPSs documented 3,805,323 interventions during
2,384,771 patient care encounters. These interventions were documented across
592,126 unique patients, with a mean of 6.4 interventions per patient during this
period. Most interventions (95%) were performed by CPSs functioning as advanced
practice providers and with autonomous prescriptive authority authorized under
their scope of practice. CONCLUSION: The PhARMD template demonstrated that the
capture of clinical pharmacy interventions and outcomes can be achieved across a
large integrated healthcare system by thousands of CPSs in numerous practice
settings.
PMID- 29654142
TI - Neonatal Hypoglycemia Following Diet-Controlled and Insulin-Treated Gestational
Diabetes Mellitus.
AB - OBJECTIVE: To assess the risk of neonatal hypoglycemia following diet-controlled
and insulin-treated gestational diabetes mellitus (GDM) and how it relates to
birth weight. RESEARCH DESIGN AND METHODS: Prospective cohort study included term
neonates born after GDM from January 2013 through December 2015 at the University
Medical Center Utrecht (Utrecht, the Netherlands). Routine screening of neonatal
blood glucose levels was performed at 1, 3, 6, 12, and 24 h after birth. Main
outcome measures were neonatal hypoglycemia defined as blood glucose <=36 mg/dL
(severe) and <=47 mg/dL (mild). RESULTS: A total of 506 neonates were included,
born after pregnancies complicated by GDM treated either with insulin (22.5%) or
without insulin (77.5%). The incidence of mild and severe hypoglycemia was
similar in the insulin-treated and diet-controlled groups (33 vs. 35%, P = 0.66;
and 20 vs. 21%, P = 0.79). A birth weight >90th centile was seen in 17.2% of all
infants. Although children with a birth weight >90th centile had the highest risk
for hypoglycemia, the vast majority of hypoglycemia (78.6%) was detected in those
with a birth weight <90th centile. Over 95% of all hypoglycemia occurred within
12 h after birth. CONCLUSIONS: Routine screening for neonatal hypoglycemia
following pregnancies complicated by GDM reveals high incidence of both mild and
severe hypoglycemia for both diet-controlled and insulin-treated GDM and across
the full range of birth weight centiles. We propose routine blood glucose
screening for neonatal hypoglycemia within the first 12 h of life in all neonates
after GDM, irrespective of maternal insulin use or birth weight.
PMID- 29654143
TI - Reply to Mortensen et al.: The zymogen form of complement component C1.
PMID- 29654144
TI - Models of the complement C1 complex.
PMID- 29654145
TI - Endoplasmic reticulum stress activates SRC, relocating chaperones to the cell
surface where GRP78/CD109 blocks TGF-beta signaling.
AB - The discovery that endoplasmic reticulum (ER) luminal chaperones such as
GRP78/BiP can escape to the cell surface upon ER stress where they regulate cell
signaling, proliferation, apoptosis, and immunity represents a paradigm shift.
Toward deciphering the mechanisms, we report here that, upon ER stress, IRE1alpha
binds to and triggers tyrosine kinase SRC activation, leading to ASAP1
phosphorylation and Golgi accumulation of ASAP1 and Arf1-GTP, resulting in KDEL
receptor dispersion from the Golgi and suppression of retrograde transport. At
the cell surface, GRP78 binds to and acts in concert with a
glycosylphosphatidylinositol-anchored protein, CD109, in blocking TGF-beta
signaling by promoting the routing of the TGF-beta receptor to the caveolae,
thereby disrupting its binding to and activation of Smad2. Collectively, we
uncover a SRC-mediated signaling cascade that leads to the relocalization of ER
chaperones to the cell surface and a mechanism whereby GRP78 counteracts the
tumor-suppressor effect of TGF-beta.
PMID- 29654146
TI - Role of PD-1 during effector CD8 T cell differentiation.
AB - PD-1 (programmed cell death-1) is the central inhibitory receptor regulating CD8
T cell exhaustion during chronic viral infection and cancer. Interestingly, PD-1
is also expressed transiently by activated CD8 T cells during acute viral
infection, but the role of PD-1 in modulating T cell effector differentiation and
function is not well defined. To address this question, we examined the
expression kinetics and role of PD-1 during acute lymphocytic choriomeningitis
virus (LCMV) infection of mice. PD-1 was rapidly up-regulated in vivo upon
activation of naive virus-specific CD8 T cells within 24 h after LCMV infection
and in less than 4 h after peptide injection, well before any cell division had
occurred. This rapid PD-1 expression by CD8 T cells was driven predominantly by
antigen receptor signaling since infection with a LCMV strain with a mutation in
the CD8 T cell epitope did not result in the increase of PD-1 on antigen-specific
CD8 T cells. Blockade of the PD-1 pathway using anti-PD-L1 or anti-PD-1
antibodies during the early phase of acute LCMV infection increased mTOR
signaling and granzyme B expression in virus-specific CD8 T cells and resulted in
faster clearance of the infection. These results show that PD-1 plays an
inhibitory role during the naive-to-effector CD8 T cell transition and that the
PD-1 pathway can also be modulated at this stage of T cell differentiation. These
findings have implications for developing therapeutic vaccination strategies in
combination with PD-1 blockade.
PMID- 29654147
TI - Ligand metathesis as rational strategy for the synthesis of cubane-type
heteroleptic iron-sulfur clusters relevant to the FeMo cofactor.
AB - Molybdenum-dependent nitrogenases catalyze the transformation of dinitrogen into
ammonia under ambient conditions. The active site (FeMo cofactor) is the
structurally and electronically complex weak-field metal cluster [MoFe7S9C] built
of Fe4S3 and MoFe3S3C portions connected by three sulfur bridges and containing
an interstitial carbon atom centered in an Fe6 trigonal prism. Chemical synthesis
of this cluster is a major challenge in biomimetic inorganic chemistry. One
synthetic approach of core ligand metathesis has been developed based on the
design and synthesis of unprecedented incomplete ([(Tp*)WFe2S3Q3]-) and complete
([(Tp*)WFe3S3Q4]2-) cubane-type clusters containing bridging halide (Q = halide).
These clusters are achieved by template-assisted assembly in the presence of
sodium benzophenone ketyl reductant; products are controlled by reaction
stoichiometry. Incomplete cubane clusters are subject to a variety of metathesis
reactions resulting in substitution of a MU2-bridging ligand with other bridges
such as N3-, MeO-, and EtS- Reactions of complete cubanes with Me3SiN3 and S8
undergo a redox metathesis process and lead to core ligand displacement and
formation of [(Tp*)WFe3S3(MU3-Q)Cl3]- (Q = Me3SiN2-, S2-). This work affords
entry to a wide variety of heteroleptic clusters derivable from incomplete and
complete cubanes; examples are provided. Among these is the cluster
[(Tp*)WFe3S3(MU3-NSiMe3)Cl3]-, one of the very few instances of a synthetic Fe-S
cluster containing a light atom (C, N, O) in the core, which constitutes a close
mimic of the [MoFe3S3C] fragment in FeMo cofactor. Superposition of them and
comparison of metric information disclose a clear structural relationship [Tp* =
tris(3,5-dimethyl-1-pyrazolyl)hydroborate(1-)].
PMID- 29654150
TI - Cancer Research: Embracing the Complexity of Cancer and Emergence of Truth.
PMID- 29654148
TI - DRUG-NEM: Optimizing drug combinations using single-cell perturbation response to
account for intratumoral heterogeneity.
AB - An individual malignant tumor is composed of a heterogeneous collection of single
cells with distinct molecular and phenotypic features, a phenomenon termed
intratumoral heterogeneity. Intratumoral heterogeneity poses challenges for
cancer treatment, motivating the need for combination therapies. Single-cell
technologies are now available to guide effective drug combinations by accounting
for intratumoral heterogeneity through the analysis of the signaling
perturbations of an individual tumor sample screened by a drug panel. In
particular, Mass Cytometry Time-of-Flight (CyTOF) is a high-throughput single
cell technology that enables the simultaneous measurements of multiple ([Formula:
see text]40) intracellular and surface markers at the level of single cells for
hundreds of thousands of cells in a sample. We developed a computational
framework, entitled Drug Nested Effects Models (DRUG-NEM), to analyze CyTOF
single-drug perturbation data for the purpose of individualizing drug
combinations. DRUG-NEM optimizes drug combinations by choosing the minimum number
of drugs that produce the maximal desired intracellular effects based on nested
effects modeling. We demonstrate the performance of DRUG-NEM using single-cell
drug perturbation data from tumor cell lines and primary leukemia samples.
PMID- 29654152
TI - The Plausibility of the Obesity Paradox in Cancer-Response-Reply to Point.
PMID- 29654151
TI - The Plausibility of Obesity Paradox in Cancer-Point.
AB - In contrast to the convincing evidence that obesity (measured by body mass index,
BMI) increases the risk of many different types of cancer, there is an ambiguity
in the role of obesity in survival among cancer patients. Some studies suggested
that higher BMI decreased mortality risk in cancer patients, a phenomenon called
the obesity paradox. The spurious positive association between BMI and cancer
survival is likely to be explained by several methodologic limitations including
confounding, reverse causation, and collider stratification bias. Also, the
inadequacy of BMI as a measure of body fatness in cancer patients commonly
experiencing changes in body weight and body composition may have resulted in the
paradox. Other factors contributing to the divergent results in literature are
significant heterogeneity in study design and method (e.g., study population,
follow-up length); time of BMI assessment (pre-, peri-, or post-diagnosis); and
lack of consideration for variability in the strength and directions of
associations by age, sex, race/ethnicity, and cancer subtype. Robust but
practical methods to accurately assess body fatness and body compositions and
weight trajectories in cancer survivors are needed to advance this emerging field
and to develop weight guidelines to improve both the length and the quality of
cancer survival. Cancer Res; 78(8); 1898-903. (c)2018 AACR.
PMID- 29654154
TI - Evidence for an Overweight Paradox in Cancer: Insights from Body Composition
Reply to Counterpoint.
PMID- 29654153
TI - The Importance of Body Composition in Explaining the Overweight Paradox in Cancer
Counterpoint.
AB - Despite a greater risk of cancer associated with higher BMI, overweight (BMI 25
<30 kg/m2) and class I obese (BMI 30-<35 kg/m2) patients often have a
paradoxically lower risk of overall mortality after a cancer diagnosis, a
phenomenon called the "obesity paradox." Only when patients exceed a BMI >=35
kg/m2 are elevations in mortality risk consistently noted. This paradox has been
dismissed as the result of methodologic bias, which we will describe and debate
here. However, even if such bias influences associations, there is growing
evidence that body composition may in part explain the paradox. This phenomenon
may more accurately be described as a BMI paradox. That is, BMI is a poor proxy
for adiposity and does not distinguish muscle from adipose tissue, nor describe
adipose tissue distribution. Low muscle mass is associated with higher risk of
recurrence, overall and cancer-specific mortality, surgical complications, and
treatment-related toxicities. Patients with who are overweight or obese have on
average higher levels of muscle than their normal-weight counterparts. Also,
there is some evidence that patients with moderate levels of subcutaneous adipose
tissue may have lower mortality. More research utilizing body composition is
needed to clarify the effects of adiposity on cancer mortality. Cancer Res;
78(8); 1906-12. (c)2018 AACR.
PMID- 29654155
TI - ME1 Regulates NADPH Homeostasis to Promote Gastric Cancer Growth and Metastasis.
AB - Genomic alterations of tumor suppressorsoften encompass collateral protein-coding
genes that create therapeutic vulnerability to further inhibition of their
paralogs. Here, we report that malic enzyme 2 (ME2) is frequently hemizygously
codeleted with SMAD4 in gastric cancer. Its isoenzyme ME1 was upregulated to
replenish the intracellular reducing equivalent NADPH and to maintain redox
homeostasis. Knockdown of ME1 significantly depleted NADPH, induced high levels
of reactive oxygen species (ROS), and ultimately cell apoptosis under oxidative
stress conditions, such as glucose starvation and anoikis, in ME2-underexpressed
cells. Moreover, ME1 promoted tumor growth, lung metastasis, and peritoneal
dissemination of gastric cancer in vivo Intratumoral injection of ME1 siRNA
significantly suppressed tumor growth in cell lines and patient-derived xenograft
based models. Mechanistically, ME1 was transcriptionally upregulated by ROS in an
ETV4-dependent manner. Overexpression of ME1 was associated with shorter overall
and disease-free survival in gastric cancer. Altogether, our results shed light
on crucial roles of ME1-mediated production of NADPH in gastric cancer growth and
metastasis.Significance: These findings reveal the role of malic enzyme in growth
and metastasis.Graphical Abstract:
http://cancerres.aacrjournals.org/content/canres/78/8/1972/F1.large.jpg Cancer
Res; 78(8); 1972-85. (c)2018 AACR.
PMID- 29654156
TI - Immunohistochemical Expression of Cortactin and Focal Adhesion Kinase Predicts
Recurrence Risk and Laryngeal Cancer Risk Beyond Histologic Grading.
AB - Background: Cortactin (CTTN) and the focal adhesion kinase (FAK) are two major
candidate genes to, respectively, drive 11q13- and 8q24-associated aggressive
behavior in various cancers. Recent evidence uncovered their clinical relevance
in early stages of tumorigenesis as promising biomarkers for cancer risk
assessment.Methods: Using a multicenter validation study, CTTN and FAK expression
was evaluated by immunohistochemistry (IHC) in a cohort of 109 patients with
laryngeal precancerous lesions, and correlated with clinicopathologic parameters
and laryngeal cancer risk. The pathophysiologic role of CTTN and FAK was further
investigated using functional studies in cellular models.Results: Positive CTTN
and FAK expression (scores 2 and 3) was detected in 49 (41%) and 35 (32%)
laryngeal dysplasias, respectively. Univariate Cox analysis showed that CTTN and
FAK expression but not histologic grading was significantly associated with both
recurrence risk and laryngeal cancer risk. Patients carrying strong CTTN- or FAK
expressing lesions (score 3) experienced the highest laryngeal cancer incidence
(log-rank P < 0.001). In multivariate stepwise analysis, FAK expression [HR =
13.91; 95% CI, 4.82-40.15; P < 0.001] and alcohol consumption (HR = 2.22; 95%
confidence interval, 1.17-4.20; P = 0.014) were significant independent
predictors of laryngeal cancer development. Targeting FAK by either RNAi or
pharmacologic inhibitors effectively blocked cell growth, colony formation, and
invasion into 3D collagen matrices.Conclusions: CTTN and FAK emerge as powerful
predictors of laryngeal cancer risk and recurrence risk beyond histologic
grading.Impact: Our work supports the applicability of IHC CTTN and FAK as
complementary markers for risk stratification in patients with laryngeal
precancerous lesions. Cancer Epidemiol Biomarkers Prev; 27(7); 805-13. (c)2018
AACR.
PMID- 29654157
TI - Reducing Variations in Neonatal Outcomes: Look at Practices, Systems, and the
Patient.
PMID- 29654158
TI - Variability of Very Low Birth Weight Infant Outcome and Practice in Swiss and US
Neonatal Units.
AB - OBJECTIVES: Outcomes of very preterm infants vary considerably between health
care facilities. Our objective was to compare outcome and practices between the
Swiss Neonatal Network (SNN) and US members of the Vermont Oxford Network (US
VON). METHODS: Retrospective observational study including all live-born infants
with a birth weight between 501 and 1500 g as registered by SNN and US-VON
between 2012 and 2014. We performed multivariable and propensity score-matched
analyses of neonatal outcome by adjusting for case-mix, race, prenatal care, and
unit-level factors, and compared indirectly standardized practices. RESULTS: A
total of 123 689 infants were born alive in 696 US-VON units and 2209 infants
were born alive in 13 SNN units. Adjusted risk ratios (aRRs) for the composite
"death or major morbidity" (aRR: 0.56, 95% confidence interval: 0.51-0.62) and
all other outcomes were either comparable or lower in SNN except for mortality,
for which aRR was higher (aRR: 1.28, 95% confidence interval: 1.09-1.50).
Propensity score matching and restricting the analysis to infants for which we
expect no survival bias, because both networks routinely initiate intensive care
at birth, revealed comparable aRR. Variations in observed practices between SNN
and US-VON were large. CONCLUSIONS: The SNN units had a significantly lower risk
ratio for death or major morbidity. Despite higher mortality, this difference is
independent of survival bias. The higher delivery room mortality reflects the SNN
practice to favor primary nonintervention for infants born <24 completed
gestational weeks. We propose further research into which practice differences
have the strongest beneficial impact.
PMID- 29654160
TI - Axonal mRNA transport and translation at a glance.
AB - Localization and translation of mRNAs within different subcellular domains
provides an important mechanism to spatially and temporally introduce new
proteins in polarized cells. Neurons make use of this localized protein synthesis
during initial growth, regeneration and functional maintenance of their axons.
Although the first evidence for protein synthesis in axons dates back to 1960s,
improved methodologies, including the ability to isolate axons to purity, highly
sensitive RNA detection methods and imaging approaches, have shed new light on
the complexity of the transcriptome of the axon and how it is regulated.
Moreover, these efforts are now uncovering new roles for locally synthesized
proteins in neurological diseases and injury responses. In this Cell Science at a
Glance article and the accompanying poster, we provide an overview of how axonal
mRNA transport and translation are regulated, and discuss their emerging links to
neurological disorders and neural repair.
PMID- 29654161
TI - The filamin-B-refilin axis - spatiotemporal regulators of the actin-cytoskeleton
in development and disease.
AB - During development, cycles of spatiotemporal remodeling of higher-order networks
of actin filaments contribute to control cell fate specification and
differentiation. Programs for controlling these dynamics are hard-wired into
actin-regulatory proteins. The filamin family of actin-binding proteins exert
crucial mechanotransduction and signaling functions in tissue morphogenesis.
Filamin-B (FLNB) is a key player in chondrocyte progenitor differentiation for
endochondral ossification. Biallelic loss-of-function mutations or gain-of
function mutations in FLNB cause two groups of skeletal disorders that can be
attributed to either the loss of repressive function on TGF-beta signaling or a
disruption in mechanosensory properties, respectively. In this Review, we
highlight a unique family of vertebrate-specific short-lived filamin-binding
proteins, the refilins (refilin-A and refilin-B), that modulate filamin-dependent
actin crosslinking properties. Refilins are downstream TGF-beta effectors in
epithelial cells. Double knockout of both refilin-A and refilin-B in mice results
in precocious ossification of some axial skeletal elements, leading to
malformations that are similar to those seen in FLNB-deficient mice. Based on
these findings, we present a model summarizing the role of refilins in regulating
the mechanosensory functions of FLNB during skeletal development. We also discuss
the possible contribution of refilins to FLNB-related skeletal pathologies that
are associated with gain-of-function mutations.
PMID- 29654162
TI - Association between PXR polymorphisms and cancer risk: a systematic review and
meta-analysis.
AB - Current studies have explored the correlation between the single nucleotide
polymorphisms (SNPs) of pregnane X receptor (PXR) and cancer risk. However, the
findings were conflicting. Hence, we performed a comprehensive review and meta
analysis for these researches to determine the effect of PXR polymorphisms on the
risk of cancer. Eligible publications were collected based on a series of
rigorous inclusion and exclusion criteria. In consequence, a total of eight case
control studies (from seven citations) covering 11143 cases and 12170 controls
were involved in a meta-analysis of ten prevalent PXR SNPs (rs10504191 G/A,
rs3814058 C/T, rs6785049 A/G, rs1464603 A/G, rs1523127 A/C, rs2276706 G/A,
rs2276707 C/T, rs3732360 C/T, rs3814055 C/T, rs3814057 A/C). The correlations
between PXR SNPs and cancer risk were estimated by odds ratios (ORs) with their
95% confidence intervals (95%CIs). The findings demonstrated that rs3814058
polymorphism (CT compared with CC: pooled OR = 1.280, P=6.36E-05; TT compared
with CC: pooled OR = 1.663, P=2.40E-04; dominant model: pooled OR = 1.382,
P=2.58E-08; recessive model: pooled OR = 1.422, P=0.002; T compared with C:
pooled OR = 1.292, P=6.35E-05) and rs3814057 polymorphism (AC compared with AA:
pooled OR = 1.170, P=0.036; dominant model: pooled OR = 1.162, P=0.037) were
associated with the risk of overall cancer. In stratified analyses, rs3814058
polymorphism was revealed to increase the cancer risk in lung cancer subgroup. In
summary, this meta-analysis indicates that the rs3814057 and rs3814058
polymorphisms of PXR gene play crucial roles in the pathogenesis of cancer and
may be novel biomarkers for cancer-forewarning in overall population or in some
particular subgroups.
PMID- 29654163
TI - Association of FcepsilonRIbeta polymorphisms with risk of asthma and allergic
rhinitis: evidence based on 29 case-control studies.
AB - PURPOSE: Accumulating evidence has shown that allergic diseases are caused by a
complex interaction of genetic and environmental factors, some single nucleotide
polymorphisms (SNPs) existing in high-affinity IgE receptor beta chain
(FcepsilonRIbeta) are potential risk factors for allergic diseases. However, the
results have been inconsistent and inconclusive due to the limited statistical
power in individual study. Thus, we conducted a meta-analysis to systematically
evaluate the association between FcepsilonRIbeta SNPs and allergic diseases risk.
METHODS: Eligible studies were collected from PubMed, Embase, Web of Science,
Chinese National Knowledge Infrastructure, and WanFang databases. Pooled odd
ratios (ORs) and corresponding 95% confidence intervals (95% CIs) were calculated
to assess the strength of the relationships between five polymorphisms (E237G,
109 C/T, RsaI_in2, RsaI_ex7, and I181L) and the risk of allergic diseases by
using five genetic models. In addition, the stability of our analysis was
evaluated by publication bias, sensitivity, and heterogeneity analysis. RESULTS:
Overall, a total of 29 case-control studies were included in this meta-analysis.
We found that E237G (B vs. A: OR = 1.28, 95% CI = 1.06-1.53, P<0.001, I2 = 63.1%)
and -109 C/T (BB vs. AA + AB: OR = 1.58, 95%CI = 1.26-1.98, P<0.001, I2 = 66.4%)
were risk factors for allergic diseases. CONCLUSION: Our meta-analysis suggests
that polymorphisms in FcepsilonRIbeta may be associated with the development of
allergic diseases.
PMID- 29654164
TI - Association of miRNA biosynthesis genes DROSHA and DGCR8 polymorphisms with
cancer susceptibility: a systematic review and meta-analysis.
AB - Single nucleotide polymorphisms (SNPs) in miRNA biosynthesis genes DROSHA and
DGCR8 were indicated to be correlated with cancer risk. We comprehensively
reviewed and analyzed the effect of DROSHA and DGCR8 polymorphisms on cancer
risk. Eligible articles were selected according to a series of inclusion and
exclusion criteria. Consequently, ten case-control studies (from nine citations)
with 4265 cancer cases and 4349 controls were involved in a meta-analysis of
seven most prevalent SNPs (rs10719 T/C, rs6877842 G/C, rs2291109 A/T, rs642321
C/T, rs3757 G/A, rs417309 G/A, rs1640299 T/G). Our findings demonstrated that the
rs417309 SNP in DGCR8 was significantly associated with an elevated risk of
overall cancer in every genetic model. In stratified analysis, correlations of
DROSHA rs10719 and rs6877842 SNPs were observed in Asian and laryngeal cancer
subgroups, respectively. Moreover, associations of the rs417309 SNP could also be
found in numerous subgroups including: Asian and Caucasian population subgroups;
laryngeal and breast cancer subgroups; population-based (PB) and hospital-based
(HB) subgroups. In conclusion, the DROSHA rs10719, rs6877842 SNPs, and DGCR8
rs417309 SNP play pivotal roles in cancerogenesis and may be potential biomarkers
for cancer-forewarning.
PMID- 29654159
TI - Amyloid assembly and disassembly.
AB - Amyloid fibrils are protein homopolymers that adopt diverse cross-beta
conformations. Some amyloid fibrils are associated with the pathogenesis of
devastating neurodegenerative disorders, including Alzheimer's disease and
Parkinson's disease. Conversely, functional amyloids play beneficial roles in
melanosome biogenesis, long-term memory formation and release of peptide
hormones. Here, we showcase advances in our understanding of amyloid assembly and
structure, and how distinct amyloid strains formed by the same protein can cause
distinct neurodegenerative diseases. We discuss how mutant steric zippers promote
deleterious amyloidogenesis and aberrant liquid-to-gel phase transitions. We also
highlight effective strategies to combat amyloidogenesis and related toxicity,
including: (1) small-molecule drugs (e.g. tafamidis) to inhibit amyloid formation
or (2) stimulate amyloid degradation by the proteasome and autophagy, and (3)
protein disaggregases that disassemble toxic amyloid and soluble oligomers. We
anticipate that these advances will inspire therapeutics for several fatal
neurodegenerative diseases.
PMID- 29654165
TI - Knockdown of the oncogene lncRNA NEAT1 restores the availability of miR-34c and
improves the sensitivity to cisplatin in osteosarcoma.
AB - Aberrant expressions of long non-coding RNAs (lncRNAs) are the culprits of
carcinogenesis via regulating the tumor suppressor or oncogene. LncRNA nuclear
enriched abundant transcript 1 (NEAT1) has been identified to be an oncogene to
promote tumor growth and metastasis of many cancers. However, the clinical
significance and function of NEAT1 in osteosarcoma (OS) remain to be discovered.
We here collected OS tissues (n=40) and adjacent non-tumor tissues (n=20) to
determine the expression of NEAT1 and its clinical significance. NEAT1 was
overexpressed in OS tissues, which positively correlated with tumor size,
Enneking stage, and distant metastasis of OS patients. The elevated level of
NEAT1 was confirmed in OS cell lines including MG63 and HOS in vitro Knockdown of
NEAT1 by two siRNAs induced impaired cell vitalities, promoted the apoptosis, and
G0/G1 arrest in two cell lines, which was associated with inhibited anti
apoptosis signals BCL-2 pathway and cell cycle-related cyclin D1 (CCND1) signals.
Moreover, the tumor suppressor miR-34c was negatively regulated and inhibited by
NEAT1 in OS. Suppression of miR-34c could up-regulate the expressions of its
target genes BCL-2 and CCND1 to antagonize the effects of NEAT1 knockdown.
Furthermore, overexpressed NEAT1 reduced the sensitivity of cisplatin (DDP) and
inhibited DDP-induced apoptosis and cell cycle arrest via miR-34c The results in
vivo also confirmed that knockdown of NEAT1 sensitized the OS cells to DPP
induced tumor regression, delayed the tumor growth with reduced levels of Ki-67,
BCL-2, and cyclin D1 signals, suggesting that NEAT1 is an oncogene and
chemotherapy resistant factor in OS.
PMID- 29654166
TI - Pharmacological activation of AMPK and glucose uptake in cultured human skeletal
muscle cells from patients with ME/CFS.
AB - Skeletal muscle fatigue and post-exertional malaise are key symptoms of myalgic
encephalomyelitis (ME)/chronic fatigue syndrome (ME/CFS). We have previously
shown that AMP-activated protein kinase (AMPK) activation and glucose uptake are
impaired in primary human skeletal muscle cell cultures derived from patients
with ME/CFS in response to electrical pulse stimulation (EPS), a method which
induces contraction of muscle cells in vitro The aim of the present study was to
assess if AMPK could be activated pharmacologically in ME/CFS. Primary skeletal
muscle cell cultures from patients with ME/CFS and healthy controls were treated
with either metformin or compound 991. AMPK activation was assessed by Western
blot and glucose uptake measured. Both metformin and 991 treatment significantly
increased AMPK activation and glucose uptake in muscle cell cultures from both
controls and ME/CFS. Cellular ATP content was unaffected by treatment although
ATP content was significantly decreased in ME/CFS compared with controls.
Pharmacological activation of AMPK can improve glucose uptake in muscle cell
cultures from patients with ME/CFS. This suggests that the failure of EPS to
activate AMPK in these muscle cultures is due to a defect proximal to AMPK.
Further work is required to delineate the defect and determine whether
pharmacological activation of AMPK improves muscle function in patients with
ME/CFS.
PMID- 29654167
TI - MiR-150-3p targets SP1 and suppresses the growth of glioma cells.
AB - Glioma has been considered as one of the most prevalent and common malignancy of
the nervous system; however, the underlying mechanisms that are responsible for
the occurrence and development of glioma still remain largely unknown. Amounting
evidence highlights the critical regulatory function of miRNAs in carcinogenesis.
Here, we showed that the expression of miR-150-3p was significantly decreased in
glioma tissues and cell lines. Suppressed expression of miR-150-3p was associated
with the lymph node metastasis of the glioma patients. Overexpression of miR-150
3p significantly inhibited the proliferation of glioma cells. Molecular study
uncovered that the transcription factor specificity protein 1 (SP1) was
identified as one of the targets of miR-150-3p Highly expressed miR-150-3p in
glioma cells significantly decreased both the mRNA and protein levels of SP1.
Consistently, the abundance of phosphatase and tension homolog deleted on
chromosome ten (PTEN), a negative downstream target of SP1, was increased with
the ectopic miR-150-3p Collectively, these results suggested that miR-150-3p
suppressed the growth of glioma cells partially via regulating SP1 and possibly
PTEN.
PMID- 29654168
TI - Platelets in preeclamptic pregnancies fail to exhibit the decrease in
mitochondrial oxygen consumption rate seen in normal pregnancies.
AB - Cellular oxygen consumption and lactate production rates have been measured in
both placental and myometrial cells to study obstetrics-related disease states
such as preeclampsia. Platelet metabolic alterations indicate systemic
bioenergetic changes that can be useful as disease biomarkers. We tested the
hypothesis that platelet mitochondria display functional alterations in
preeclampsia. Platelets were harvested from women in the third trimester of
either a healthy, non-preeclamptic or preeclamptic pregnancy, and from healthy,
non-pregnant women. Using Seahorse respirometry, we analyzed platelets for oxygen
consumption (OCR) and extracellular acidification (ECAR) rates, indicators of
mitochondrial electron transport and glucose metabolism, respectively. There was
a 37% decrease in the maximal respiratory capacity measured in platelets from
healthy, non-preeclamptic compared with preeclamptic pregnancy (P<0.01); this
relationship held true for other measurements of OCR, including basal
respiration; ATP-linked respiration; respiratory control ratio (RCR); and spare
respiratory capacity. RCR, a measure of mitochondrial efficiency, was
significantly lower in healthy pregnant compared with non-pregnant women. In
contrast with increased OCR, basal ECAR was significantly reduced in platelets
from preeclamptic pregnancies compared with either normal pregnancies (-25%;
P<0.05) or non-pregnant women (-22%; P<0.01). Secondary analysis of OCR revealed
reduced basal and maximal platelet respiration in normal pregnancy prior to 34
weeks' estimated gestational age (EGA) compared with the non-pregnant state;
these differences disappeared after 34 weeks. Taken together, findings suggest
that in preeclampsia, there exists either a loss or early (before the third
trimester) reversal of a normal biologic mechanism of platelet mitochondrial
respiratory reduction associated with normal pregnancy.
PMID- 29654169
TI - LncRNA AFAP1-AS1 is a prognostic biomarker and serves as oncogenic role in
retinoblastoma.
AB - The actin filament-associated protein 1 antisense RNA 1 (AFAP1-AS1) has been
found to serve as an oncogenic long noncoding RNA (lncRNA) in most types of human
cancer. The role of AFAP1-AS1 in retinoblastoma remains unknown. The purpose of
the present study is to explore the clinical significance and biological function
of AFAP1-AS1 in retinoblastoma. Levels of AFAP1-AS1 expression were measured in
retinoblastoma tissues and cell lines. Loss-of-function study was performed to
observe the effects of AFAP1-AS1 on retinoblastoma cell proliferation, cell
cycle, migration, and invasion. In our results, AFAP1-AS1 expression was elevated
in retinoblastoma tissues and cell lines, and associated with tumor size,
choroidal invasion, and optic nerve invasion. Moreover, high expression of AFAP1
AS1 was an independent unfavorable prognostic factor in retinoblastoma patients.
The experiment in vitro suggested down-regulation of AFAP1-AS1 inhibited
retinoblastoma cell proliferation, migration and invasion, and blocked cell
cycle. In conclusion, AFAP1-AS1 functions as an oncogenic lncRNA in
retinoblastoma.
PMID- 29654170
TI - Association of the independent polymorphisms in CDKN2A with susceptibility of
acute lymphoblastic leukemia.
AB - Acute lymphoblastic leukemia (ALL) is the most common cancer in children, and
alterations in CDKN2A were considered to play an important role on
leukemogenesis. Two single nucleotide polymorphisms (SNPs) at CDKN2A locus were
identified to impact on ALL susceptibility via genome wide association studies,
and followed by multiple subsequent replication studies at the specific hits.
Here, we conducted a systematic review and meta-analysis to re-evaluate the
association of both SNPs (rs3731217 and rs3731249) with ALL susceptibility by
gathering the data from 24 independent studies, totally containing 7922
cases/21503 controls for rs3731217 and 6295 cases/24191 controls for rs3731249.
Both SNPs were significantly associated with ALL risk (odds ratio [OR] = 0.72 and
2.26 respectively), however, exhibit race-specific pattern. In summary, our meta
analysis indicated that two SNPs at CDKN2A locus are associated with ALL
susceptibility independently mainly in Caucasians. Future large-scale studies are
required to validate the associations in other ethnicities.
PMID- 29654171
TI - Meta-analysis of trimetazidine treatment for cardiomyopathy.
AB - To explore the effect of trimetazidine (TMZ) in cardiomyopathy treatment.
Literatures, related with TMZ treatment for cardiomyopathy, were retrieved
between 1990 and February 2018 in the Pubmed, Embase, and Cochrane Library
systems. Cardiopulmonary exercise testing [resting heart rate (RHR), peak heart
rate (PHR), peak systolic blood pressure (PSBP), and resting systolic blood
pressure (RSBP)] and echocardiographic results [left ventricular ejection
fraction (LVEF), left ventricular end-systolic volume (LVESV), left ventricular
end-diastolic volume (LVEDV), systolic wall thickening score index (SWTSI), left
ventricular end-systolic diameter (LVESD), and left ventricular end-diastolic
diameter (LVEDD)] were merged to detect the publication bias. Total 898 patients
with cardiomyopathy were divided into two groups: TMZ-treated group (n=456) and
control group (n=442). There was no difference in the improvement of
cardiomyopathy between the TMZ and control group. No publication bias was shown
for PHR (t= 0.9791, P=0.5067). There were significant differences in LVEF, LVESV,
SWTSI, LVESD, and LVEDD between the TMZ group and the control group. TMZ
treatment significantly increased the level of LVEF (95% confidence interval
(CI): 5.46-7.84, P<0.001), and reduced the level of LVESV (95% CI: -18.73 to
7.77, P<0.001), SWTSI (95% CI: -0.47 to -0.15, Z = -3.85, P=0.001), LVESD (95%
CI: -1.09 to -0.08, P<0.001), and LVEDD (95% CI: -0.55 to -0.26, P=0.023). There
was no publication bias except for LVEDV (t = 2.5456, P=0.0438). TMZ is effective
for cardiomyopathy treatment and worth to popularize in clinic.
PMID- 29654172
TI - Genetic susceptibility of five tagSNPs in the endothelin-1 (EDN1) gene to
coronary artery disease in a Chinese Han population.
AB - Endothelin-1 (ET-1) plays important roles in endothelial dysfunction, vascular
physiology, inflammation, and atherosclerosis. Nonetheless, the role of ET-1
(EDN1) gene variants on coronary artery disease (CAD) risk remains poorly
understood. The aim of the present study was to evaluate the role of EDN1 gene
polymorphisms on individual susceptibility to CAD. We genotyped five tagSNPs
(single-nucleotide polymorphisms) (rs6458155, rs4145451, rs9369217, rs3087459,
and rs2070699) within EDN1 gene in 525 CAD patients and 675 control subjects. In
a multivariate logistic regression analysis, we detected an association of
rs6458155 in EDN1 gene with the CAD risk; compared with the TT homozygotes, the
CT heterozygotes (odds ratio (OR) = 1.53, 95% confidence interval (CI) = 1.02
2.29, P=0.040) and the CC homozygotes (OR = 1.55, 95% CI = 1.01-2.36, P=0.043)
were statistically significantly associated with the increased risk for CAD. A
similar trend of the association was found in dominant model (OR = 1.53, 95% CI =
1.05-2.25, P=0.029). Consistently, the haplotype rs6458155C-rs4145451C containing
rs6458155 C allele exhibited the increased CAD risk (OR = 1.22, 95% CI = 1.03
1.43, and P=0.018). In addition, CT genotype of rs6458155 conferred the increased
plasma ET-1 levels compared with TT genotype (P<0.05). No association of the
other four tagSNPs in EDN1 gene with CAD risk was observed. In conclusion, our
study provides the first evidence that EDN1 tagSNP rs6458155 is associated with
CAD risk in the Chinese Han population, which is probably due to the influence of
the circulating ET-1 levels.
PMID- 29654173
TI - Evidence that the metabolite repair enzyme NAD(P)HX epimerase has a moonlighting
function.
AB - NAD(P)H-hydrate epimerase (EC 5.1.99.6) is known to help repair NAD(P)H hydrates
(NAD(P)HX), which are damage products existing as R and S epimers. The S epimer
is reconverted to NAD(P)H by a dehydratase; the epimerase facilitates epimer
interconversion. Epimerase deficiency in humans causes a lethal disorder
attributed to NADHX accumulation. However, bioinformatic evidence suggest caution
about this attribution by predicting that the epimerase has a second function
connected to vitamin B6 (pyridoxal 5'-phosphate and related compounds).
Specifically, (i) the epimerase is fused to a B6 salvage enzyme in plants, (ii)
epimerase genes cluster on the chromosome with B6-related genes in bacteria, and
(iii) epimerase and B6-related genes are coexpressed in yeast and Arabidopsis The
predicted second function was explored in Escherichia coli, whose epimerase and
dehydratase are fused and encoded by yjeF The putative NAD(P)HX epimerase active
site has a conserved lysine residue (K192 in E. coli YjeF). Changing this residue
to alanine cut in vitro epimerase activity by >=95% but did not affect
dehydratase activity. Mutant cells carrying the K192A mutation had essentially
normal NAD(P)HX dehydratase activity and NAD(P)HX levels, showing that the
mutation had little impact on NAD(P)HX repair in vivo However, these cells showed
metabolome changes, particularly in amino acids, which exceeded those in cells
lacking the entire yjeF gene. The K192A mutant cells also had reduced levels of
'free' (i.e. weakly bound or unbound) pyridoxal 5'-phosphate. These results
provide circumstantial evidence that the epimerase has a metabolic function
beyond NAD(P)HX repair and that this function involves vitamin B6.
PMID- 29654174
TI - Cezomycin Is Activated by CalC to Its Ester Form for Further Biosynthesis Steps
in the Production of Calcimycin in Streptomyces chartreusis NRRL 3882.
AB - Calcimycin, N-demethyl calcimycin, and cezomycin are polyether divalent cation
ionophore secondary metabolites produced by Streptomyces chartreusis A thorough
understanding of the organization of their encoding genes, biosynthetic
pathway(s), and cation specificities is vitally important for their efficient
future production and therapeutic use. So far, this has been lacking, as has
information concerning any biosynthetic relationships that may exist between
calcimycin and cezomycin. In this study, we observed that when a Cal- (calB1
mutant) derivative of a calcimycin-producing strain of S. chartreusis (NRRL 3882)
was grown on cezomycin, calcimycin production was restored. This suggested that
calcimycin synthesis may have resulted from postsynthetic modification of
cezomycin rather than from a de novo process through a novel and independent
biosynthetic mechanism. Systematic screening of a number of Cal-S. chartreusis
mutants lacking the ability to convert cezomycin to calcimycin allowed the
identification of a gene, provisionally named calC, which was involved in the
conversion step. Molecular cloning and heterologous expression of the CalC
protein along with its purification to homogeneity and negative-staining electron
microscopy allowed the determination of its apparent molecular weight, oligomeric
forms in solution, and activity. These experiments allowed us to confirm that the
protein possessed ATP pyrophosphatase activity and was capable of ligating
coenzyme A (CoA) with cezomycin but not 3-hydroxyanthranilic acid. The CalC
protein's apparent Km and kcat for cezomycin were observed to be 190 MUM and 3.98
min-1, respectively, and it possessed the oligomeric form in solution. Our
results unequivocally show that cezomycin is postsynthetically modified to
calcimycin by the CalC protein through its activation of cezomycin to a CoA ester
form.IMPORTANCE Calcimycin is a secondary metabolite divalent cation-ionophore
that has been studied in the context of human health. However, detail is lacking
with respect to both calcimycin's biosynthesis and its biochemical/biophysical
properties as well as information regarding its, and its analogues', divalent
cation binding specificities and other activities. Such knowledge would be useful
in understanding how calcimycin and related compounds may be effective in
modifying the calcium channel ion flux and might be useful in influencing the
homeostasis of magnesium and manganese ions for the cure or control of human and
bacterial infectious diseases. The results presented here unequivocally show that
CalC protein is essential for the production of calcimycin, which is essentially
a derivative of cezomycin, and allow us to propose a biosynthetic mechanism for
calcimycin's production.
PMID- 29654175
TI - Recycling of Overactivated Acyls by a Type II Thioesterase during Calcimycin
Biosynthesis in Streptomyces chartreusis NRRL 3882.
AB - Type II thioesterases typically function as editing enzymes, removing acyl groups
that have been misconjugated to acyl carrier proteins during polyketide secondary
metabolite biosynthesis as a consequence of biosynthetic errors. Streptomyces
chartreusis NRRL 3882 produces the pyrrole polyether ionophoric antibiotic, and
we have identified the presence of a putative type II thioesterase-like sequence,
calG, within the biosynthetic gene cluster involved in the antibiotic's
synthesis. However, targeted gene mutagenesis experiments in which calG was
inactivated in the organism did not lead to a decrease in calcimycin production
but rather reduced the strain's production of its biosynthetic precursor,
cezomycin. Results from in vitro activity assays of purified, recombinant CalG
protein indicated that it was involved in the hydrolysis of cezomycin coenzyme A
(cezomycin-CoA), as well as other acyl CoAs, but was not active toward 3-S-N
acetylcysteamine (SNAC; the mimic of the polyketide chain-releasing precursor).
Further investigation of the enzyme's activity showed that it possessed a
cezomycin-CoA hydrolysis Km of 0.67 mM and a kcat of 17.77 min-1 and was
significantly inhibited by the presence of Mn2+ and Fe2+ divalent cations.
Interestingly, when S. chartreusis NRRL 3882 was cultured in the presence of
inorganic nitrite, NaNO2, it was observed that the production of calcimycin
rather than cezomycin was promoted. Also, supplementation of S. chartreusis NRRL
3882 growth medium with the divalent cations Ca2+, Mg2+, Mn2+, and Fe2+ had a
similar effect. Taken together, these observations suggest that CalG is not
responsible for megasynthase polyketide precursor chain release during the
synthesis of calcimycin or for retaining the catalytic efficiency of the
megasynthase enzyme complex as is supposed to be the function for type II
thioesterases. Rather, our results suggest that CalG is a dedicated thioesterase
that prevents the accumulation of cezomycin-CoA when intracellular nitrogen is
limited, an apparently new and previously unreported function of type II
thioesterases.IMPORTANCE Type II thioesterases (TEIIs) are generally regarded as
being responsible for removing aberrant acyl groups that block polyketide
production, thereby maintaining the efficiency of the megasynthase involved in
this class of secondary metabolites' biosynthesis. Specifically, this class of
enzyme is believed to be involved in editing misprimed precursors, controlling
initial units, providing key intermediates, and releasing final synthetic
products in the biosynthesis of this class of secondary metabolites. Our results
indicate that the putative TEII CalG present in the calcimycin (A23187)-producing
organism Streptomyces chartreusis NRRL 3882 is not important either for the
retention of catalytic efficiency of, or for the release of the product compound
from, the megasynthase involved in calcimycin biosynthesis. Rather, the enzyme is
involved in regulating/controlling the pool size of the calcimycin biosynthetic
precursor, cezomycin, by hydrolysis of its CoA derivative. This novel function of
CalG suggests a possible additional activity for enzymes belonging to the TEII
protein family and promotes better understanding of the overall biosynthetic
mechanisms involved in the production of this class of secondary metabolites.
PMID- 29654176
TI - Shewanella oneidensis MR-1 Utilizes both Sodium- and Proton-Pumping NADH
Dehydrogenases during Aerobic Growth.
AB - Shewanella oneidensis MR-1 is a metal-reducing bacterium with the ability to
utilize many different terminal electron acceptors, including oxygen and solid
metal oxides. Both metal oxide reduction and aerobic respiration have been
studied extensively in this organism. However, electron transport chain processes
upstream of the terminal oxidoreductases have been relatively understudied in
this organism, especially electron transfer from NADH to respiratory quinones.
Genome annotation indicates that S. oneidensis MR-1 encodes four NADH
dehydrogenases, a proton-translocating dehydrogenase (Nuo), two sodium ion
translocating dehydrogenases (Nqr1 and Nqr2), and an "uncoupling" dehydrogenase
(Ndh), but none of these complexes have been studied. Therefore, we conducted a
study specifically focused on the effects of individual NADH dehydrogenase
knockouts in S. oneidensis MR-1. We observed that two of the single-mutant
strains, the DeltanuoN and DeltanqrF1 mutants, exhibited significant growth
defects compared with the wild type. However, the defects were minor and only
apparent under certain growth conditions. Further testing of the DeltanuoN
DeltanqrF1 double-mutant strain yielded no growth in minimal medium under oxic
conditions, indicating that Nuo and Nqr1 have overlapping functions, but at least
one is necessary for aerobic growth. Coutilization of proton- and sodium ion
dependent energetics has important implications for the growth of this organism
in environments with varied pH and salinity, including microbial electrochemical
systems.IMPORTANCE Bacteria utilize a wide variety of metabolic pathways that
allow them to take advantage of different energy sources, and to do so with
varied efficiency. The efficiency of a metabolic process determines the growth
yield of an organism, or the amount of biomass it produces per amount of
substrate consumed. This parameter has important implications in biotechnology
and wastewater treatment, where low growth yields are often preferred to minimize
the production of microbial biomass. In this study, we investigated respiratory
pathways containing NADH dehydrogenases with varied efficiency (i.e., the number
of ions translocated per NADH oxidized) in the metal-reducing bacterium
Shewanella oneidensis MR-1. We observed that two different respiratory pathways
are used concurrently, and at least one pathway must be functional for growth
under oxic conditions.
PMID- 29654177
TI - Distinct Nitrite and Nitric Oxide Physiologies in Escherichia coli and Shewanella
oneidensis.
AB - Nitrite has been used as a bacteriostatic agent for centuries in food
preservation. It is widely accepted that this biologically inert molecule
functions indirectly, serving as a stable reservoir of bioactive nitric oxide
(NO) and other reactive nitrogen species to impact physiology. As a result, to
date, we know surprisingly little about in vivo targets of nitrite. Here, we
carry out comparative analyses of nitrite and NO physiology in Escherichia coli
and in Shewanella oneidensis, a Gram-negative environmental bacterium renowned
for respiratory versatility. These two bacteria differ from each other in many
aspects of nitrite and NO physiology, including NO generation, NO degradation,
and unexpectedly, their contrary susceptibility to nitrite and NO. In cell
extracts of both bacteria, most of the NO targets are also susceptible to
nitrite, and vice versa. However, with respect to growth inhibition caused by NO,
the targets are impacted distinctly; NO targets are responsible for the
inhibition of growth of E. coli but not of S. oneidensis More surprisingly, all
proteins identified to be implicated in NO tolerance in other bacteria appear to
play a dispensable role in protecting S. oneidensis against NO. These data
suggest that S. oneidensis is equipped with a robust but yet unknown NO
protecting system. In the case of nitrite, it is clear that the target of
physiological significance in both bacteria is cytochrome heme-copper
oxidase.IMPORTANCE Nitrite is toxic to living organisms at high levels, but such
antibacterial effects of nitrite are attributable to the formation of nitric
oxide (NO), a highly reactive radical gas molecule. Here, we report that
Shewanella oneidensis is highly resistant to NO but sensitive to nitrite compared
to Escherichia coli by approximately 4-fold. In both bacteria, nitrite inhibits
bacterial growth by targeting cytochrome heme-copper oxidase. In contrast, the
targets of NO are diverse. Although these targets are similar in E. coli and S.
oneidensis, they are responsible for growth inhibition caused by NO in the former
but not in the latter. Overall, the presented data, along with the previous data,
solidify a proposal that the in vivo targets of NO and nitrite in bacteria are
largely different.
PMID- 29654178
TI - Biosynthesis of Tropolones in Streptomyces spp: Interweaving Biosynthesis and
Degradation of Phenylacetic Acid and Hydroxylations on Tropone Ring.
AB - Tropolonoids are important natural products that contain a unique seven-membered
aromatic tropolone core and exhibit remarkable biological activities. 3,7
Dihydroxytropolone (DHT) isolated from Streptomyces species is a multiply
hydroxylated tropolone exhibiting antimicrobial, anticancer, and antiviral
activities. Herein, we determined the DHT biosynthetic pathway by heterologous
expression, gene deletion, and bioconversion. Nine trl genes and some of the
aerobic phenylacetic acid degradation pathway genes (paa) located outside of the
trl biosynthetic gene cluster are required for the heterologous production of
DHT. The trlA gene encodes a single-domain protein homologous to the C-terminal
enoyl-CoA hydratase domain of PaaZ. TrlA truncates the phenylacetic acid
catabolic pathway and redirects it towards the formation of heptacyclic
intermediates. TrlB is a 3-deoxy-D-arabino-heptulosonic acid-7-phosphate (DAHP)
synthase homolog. TrlH is an unusual bifunctional protein bearing an N-terminal
prephenate dehydratase domain and a C-terminal chorismate mutase domain. TrlB and
TrlH enhanced de novo biosynthesis of phenylpyruvate, thereby providing abundant
precursor for the prolific production of DHT in Streptomyces Six seven-membered
carbocyclic compounds were identified from the gene deletion mutants of trlC,
trlD, trlE, and trlF Four of these chemicals, including 1,4,6-cycloheptatriene-1
carboxylic acid, tropone, tropolone and 7-hydroxytropolone, were verified as key
biosynthetic intermediates. TrlF is required for the conversion of 1,4,6
cycloheptatriene-1-carboxylic acid into tropone. Monooxygenases TrlE and TrlCD
catalyze the regioselective hydroxylations of tropone to afford DHT. This study
reveals a natural association of anabolism of chorismate and phenylpyruvate,
catabolism of phenylacetic acid, and biosynthesis of tropolones in Streptomyces
spp.IMPORTANCETropolonoids are promising drug lead compounds because of their
versatile bioactivities attributed to their highly oxidized seven-membered
aromatic ring scaffolds. Our study here provides a clear insight into the
biosynthesis of 3,7-dihydroxytropolone (DHT) through the identification of key
genes responsible for the formation and modification of the seven-membered
aromatic core. We also reveal the intrinsic mechanism of elevated production of
DHT and related tropolonoids in Streptomyces spp. The study on DHT biosynthesis
in Streptomyces exhibits a good example of antibiotic production in which both
anabolic and catabolic pathways of primary metabolism are interwoven into the
biosynthesis of secondary metabolites. Furthermore, our study sets the stage for
metabolic engineering of tropolonoid natural products biosynthetic pathway and
provides alternative synthetic biology tools for engineering novel tropolonoids.
PMID- 29654179
TI - Uniform and Pitting Corrosion of Carbon Steel by Shewanella oneidensis MR-1 under
Nitrate-Reducing Conditions.
AB - Despite observations of steel corrosion in nitrate-reducing environments,
processes of nitrate-dependent microbially influenced corrosion (MIC) remain
poorly understood and difficult to identify. We evaluated carbon steel corrosion
by Shewanella oneidensis MR-1 under nitrate-reducing conditions using a split
chamber/zero-resistance ammetry (ZRA) technique. This approach entails the
deployment of two metal (carbon steel 1018 in this case) electrodes into separate
chambers of an electrochemical split-chamber unit, where the microbiology or
chemistry of the chambers can be manipulated. This approach mimics the conditions
of heterogeneous metal coverage that can lead to uniform and pitting corrosion.
The current between working electrode 1 (WE1) and WE2 can be used to determine
rates, mechanisms, and, we now show, extents of corrosion. When S. oneidensis was
incubated in the WE1 chamber with lactate under nitrate-reducing conditions,
nitrite transiently accumulated, and electron transfer from WE2 to WE1 occurred
as long as nitrite was present. Nitrite in the WE1 chamber (without S.
oneidensis) induced electron transfer in the same direction, indicating that
nitrite cathodically protected WE1 and accelerated the corrosion of WE2. When S.
oneidensis was incubated in the WE1 chamber without an electron donor, nitrate
reduction proceeded, and electron transfer from WE2 to WE1 also occurred,
indicating that the microorganism could use the carbon steel electrode as an
electron donor for nitrate reduction. Our results indicate that under nitrate
reducing conditions, uniform and pitting carbon steel corrosion can occur due to
nitrite accumulation and the use of steel-Fe(0) as an electron donor, but
conditions of sustained nitrite accumulation can lead to more-aggressive
corrosive conditions.IMPORTANCE Microbially influenced corrosion (MIC) causes
damage to metals and metal alloys that is estimated to cost over $100
million/year in the United States for prevention, mitigation, and repair. While
MIC occurs in a variety of settings and by a variety of organisms, the mechanisms
by which microorganisms cause this damage remain unclear. Steel pipe and
equipment may be exposed to nitrate, especially in oil and gas production, where
this compound is used for corrosion and "souring" control. In this paper, we show
uniform and pitting MIC under nitrate-reducing conditions and that a major
mechanism by which it occurs is via the heterogeneous cathodic protection of
metal surfaces by nitrite as well as by the microbial oxidation of steel-Fe(0).
PMID- 29654180
TI - Carrot Juice Fermentations as Man-Made Microbial Ecosystems Dominated by Lactic
Acid Bacteria.
AB - Spontaneous vegetable fermentations, with their rich flavors and postulated
health benefits, are regaining popularity. However, their microbiology is still
poorly understood, therefore raising concerns about food safety. In addition,
such spontaneous fermentations form interesting cases of man-made microbial
ecosystems. Here, samples from 38 carrot juice fermentations were collected
through a citizen science initiative, in addition to three laboratory
fermentations. Culturing showed that Enterobacteriaceae were outcompeted by
lactic acid bacteria (LAB) between 3 and 13 days of fermentation. Metabolite
target analysis showed that lactic acid and mannitol were highly produced, as
well as the biogenic amine cadaverine. High-throughput 16S rRNA gene sequencing
revealed that mainly species of Leuconostoc and Lactobacillus (as identified by 8
and 20 amplicon sequence variants [ASVs], respectively) mediated the
fermentations in subsequent order. The analyses at the DNA level still detected a
high number of Enterobacteriaceae, but their relative abundance was low when RNA
based sequencing was performed to detect presumptive metabolically active
bacterial cells. In addition, this method greatly reduced host read
contamination. Phylogenetic placement indicated a high LAB diversity, with ASVs
from nine different phylogenetic groups of the Lactobacillus genus complex.
However, fermentation experiments with isolates showed that only strains
belonging to the most prevalent phylogenetic groups preserved the fermentation
dynamics. The carrot juice fermentation thus forms a robust man-made microbial
ecosystem suitable for studies on LAB diversity and niche specificity.IMPORTANCE
The usage of fermented food products by professional chefs is steadily growing
worldwide. Meanwhile, this interest has also increased at the household level.
However, many of these artisanal food products remain understudied. Here, an
extensive microbial analysis was performed of spontaneous fermented carrot juices
which are used as nonalcoholic alternatives for wine in a Belgian Michelin star
restaurant. Samples were collected through an active citizen science approach
with 38 participants, in addition to three laboratory fermentations.
Identification of the main microbial players revealed that mainly species of
Leuconostoc and Lactobacillus mediated the fermentations in subsequent order. In
addition, a high diversity of lactic acid bacteria was found; however,
fermentation experiments with isolates showed that only strains belonging to the
most prevalent lactic acid bacteria preserved the fermentation dynamics. Finally,
this study showed that the usage of RNA-based 16S rRNA amplicon sequencing
greatly reduces host read contamination.
PMID- 29654181
TI - Genomic and Transcriptomic Insights into How Bacteria Withstand High
Concentrations of Benzalkonium Chloride Biocides.
AB - Benzalkonium chlorides (BAC) are commonly used biocides in broad-spectrum
disinfectant solutions. How microorganisms cope with BAC exposure remains poorly
understood, despite its importance for disinfection and disinfectant-induced
antibiotic resistance. To provide insights into these issues, we exposed two
isolates of an opportunistic pathogen, Pseudomonas aeruginosa, to increasing
concentrations of BAC. One isolate was preadapted to BAC, as it originated from a
bioreactor fed with subinhibitory concentrations of BAC for 3 years, while the
other originated from a bioreactor that received no BAC. Replicated populations
of both isolates were able to survive high concentrations of BAC, up to 1,200 and
1,600 mg/liter for the non- and preadapted strains, respectively, exceeding
typical application doses. Transcriptome sequencing (RNA-seq) analysis revealed
upregulation of efflux pump genes and decreased expression of porins related to
BAC transport as well as reduced growth rate. Increased expression of spermidine
(a polycation) synthase genes and mutations in the pmrB (polymyxin resistance)
gene, which cause a reduction in membrane negative charge, suggested that a major
adaptation to exposure to the cationic surfactant BAC was to actively stabilize
cell surface charge. Collectively, these results revealed that P. aeruginosa
adapts to BAC exposure by a combination of mechanisms and provided genetic
markers to monitor BAC-resistant organisms that may have applications in the
practice of disinfection.IMPORTANCE BAC are widely used as biocides in
disinfectant solutions, food-processing lines, domestic households, and health
care facilities. Due to their wide use and mode of action, there has been rising
concern that BAC may promote antibiotic resistance. Consistent with this idea, at
least 40 outbreaks have been attributed to infection by disinfectant- and
antibiotic-resistant pathogens such as P. aeruginosa However, the underlying
molecular mechanisms that bacteria use to deal with BAC exposure remain poorly
elucidated. Elucidating these mechanisms may be important for monitoring and
limiting the spread of disinfectant-resistant pathogens. Using an integrated
approach that combined genomics and transcriptomics with physiological
characterization of BAC-adapted isolates, this study provided a comprehensive
understanding of the BAC resistance mechanisms in P. aeruginosa Our findings also
revealed potential genetic markers to detect and monitor the abundance of BAC
resistant pathogens across clinical or environmental settings. This work
contributes new knowledge about high concentrations of benzalkonium chlorides
disinfectants-resistance mechanisms at the whole-cell genomic and transcriptomic
level.
PMID- 29654182
TI - Cadmium Exposure-Sedum alfredii Planting Interactions Shape the Bacterial
Community in the Hyperaccumulator Plant Rhizosphere.
AB - Rhizospheric bacteria play important roles in plant tolerance and activation of
heavy metals. Understanding the bacterial rhizobiome of hyperaccumulators may
contribute to the development of optimized phytoextraction for metal-polluted
soils. We used 16S rRNA gene amplicon sequencing to investigate the rhizospheric
bacterial communities of the cadmium (Cd) hyperaccumulating ecotype (HE) Sedum
alfredii in comparison to its nonhyperaccumulating ecotype (NHE). Both planting
of two ecotypes of S. alfredii and elevated Cd levels significantly decreased
bacterial alpha-diversity and altered bacterial community structure in soils. The
HE rhizosphere harbored a unique bacterial community differing from those in its
bulk soil and NHE counterparts. Several key taxa from Actinobacteria,
Bacteroidetes, and TM7 were especially abundant in HE rhizospheres under high Cd
stress. The actinobacterial genus Streptomyces was responsible for the majority
of the divergence of bacterial community composition between the HE rhizosphere
and other soil samples. In the HE rhizosphere, the abundance of Streptomyces was
3.31- to 16.45-fold higher than that in other samples under high Cd stress. These
results suggested that both the presence of the hyperaccumulator S. alfredii and
Cd exposure select for a specialized rhizosphere bacterial community during
phytoextraction of Cd-contaminated soils and that key taxa, such as the species
affiliated with the genus Streptomyces, may play an important role in metal
hyperaccumulation.IMPORTANCESedum alfredii is a well-known Cd hyperaccumulator
native to China. Its potential for extracting Cd relies not only on its powerful
uptake, translocation, and tolerance for Cd but also on processes underground
(especially rhizosphere microbes) that facilitate root uptake and tolerance of
the metal. In this study, a high-throughput sequencing approach was applied to
gain insight into the soil-plant-microbe interactions that may influence Cd
accumulation in the hyperaccumulator S. alfredii Here, we report the
investigation of rhizosphere bacterial communities of S. alfredii in
phytoremediation of different levels of Cd contamination in soils. Moreover, some
key taxa in its rhizosphere identified in the study, such as the species
affiliated with genus Streptomyces, may shed new light on the involvement of
bacteria in phytoextraction of contaminated soils and provide new materials for
phytoremediation optimization.
PMID- 29654183
TI - Optimizing Recombinant Protein Production in the Escherichia coli Periplasm
Alleviates Stress.
AB - In Escherichia coli, many recombinant proteins are produced in the periplasm. To
direct these proteins to this compartment, they are equipped with an N-terminal
signal sequence so that they can traverse the cytoplasmic membrane via the
protein-conducting Sec translocon. Recently, using the single-chain variable
antibody fragment BL1, we have shown that harmonizing the target gene expression
intensity with the Sec translocon capacity can be used to improve the production
yields of a recombinant protein in the periplasm. Here, we have studied the
consequences of improving the production of BL1 in the periplasm by using a
proteomics approach. When the target gene expression intensity is not harmonized
with the Sec translocon capacity, the impaired translocation of secretory
proteins, protein misfolding/aggregation in the cytoplasm, and an inefficient
energy metabolism result in poor growth and low protein production yields. The
harmonization of the target gene expression intensity with the Sec translocon
capacity results in normal growth, enhanced protein production yields, and,
surprisingly, a composition of the proteome that is-besides the produced target
the same as that of cells with an empty expression vector. Thus, the single-chain
variable antibody fragment BL1 can be efficiently produced in the periplasm
without causing any notable detrimental effects to the production host. Finally,
we show that under the optimized conditions, a small fraction of the target
protein is released into the extracellular milieu via outer membrane vesicles. We
envisage that our observations can be used to design strategies to further
improve the production of secretory recombinant proteins in E. coliIMPORTANCE The
bacterium Escherichia coli is widely used to produce recombinant proteins.
Usually, trial-and-error-based screening approaches are used to identify
conditions that lead to high recombinant protein production yields. Here, for the
production of an antibody fragment in the periplasm of E. coli, we show that an
optimization of its production is accompanied by the alleviation of stress. This
indicates that the monitoring of stress responses could be used to facilitate
enhanced recombinant protein production yields.
PMID- 29654184
TI - Changes in the Rumen Epithelial Microbiota of Cattle and Host Gene Expression in
Response to Alterations in Dietary Carbohydrate Composition.
AB - The inclusion of high-quality hay (HQH), in place of concentrates, shifts dietary
carbohydrate intake, and the extent to which these shifts effect epimural
microbiota and epithelial gene expression of the rumen has not yet been
evaluated. Eight ruminally cannulated nonlactating Holstein cows were used in a
replicated 4 by 4 Latin square design with four dietary treatments containing
HQH, with either 0% concentrate/100% HQH (100HQH), 25% concentrate/75% HQH
(75HQH), or 40% concentrate/60% HQH (60HQH). The fourth group (control [CON]) was
fed 60% normal fiber-rich hay and 40% concentrate. The data showed that measures
of diversity for the rumen epimural population, specifically the Shannon (P =
0.004) and Simpson (P = 0.003) indices, decreased with increasing levels of HQH
in the diet. The feeding of HQH shifted the epimural population from
predominantly Firmicutes to Proteobacteria Phylogenetic analysis revealed that
HQH feeding markedly shifted the abundance of Campylobacter spp. from 7.8 up to
33.5% (P < 0.001), with greater ingestion of protein (r = 0.63) and sugars (r =
0.65) in HQH diet being responsible for this shift. The expression of genes
targeting intracellular pH regulation, barrier function, and nutrient uptake of
rumen epithelium remained stable regardless of the carbohydrate source. In
conclusion, the data suggest strong alterations of the ruminal epimural
microbiota in response to changes in the nutritive patterns of the diet. Further
research is warranted to evaluate the long-term effects of these significant
microbial changes on rumen health and food safety aspects in cattle at a
transcriptional level.IMPORTANCE Feeding of forages versus starchy concentrates
is a highly debated topic. Hay is believed to be healthier and more ecological
sustainable for cattle than are concentrates, although the effects of feeding hay
with enhanced sugar and protein content on epimural microbiota and host gene
expression have not yet been evaluated. This research provides a report of the
role of feeding hay with increased sugar and protein content in place of starchy
concentrates in altering epimural microbiota and in generating a host response.
Our research shows that the addition of high-quality hay to dairy rations shifted
nutrient intake, resulting in strong alterations in the epimural microbiota in
cattle. This work provides a background for further long-term research regarding
the effects of feeding practices on the host-microbiome interaction and its role
in rumen health and food safety in cattle.
PMID- 29654185
TI - Redefinition and Unification of the SXT/R391 Family of Integrative and
Conjugative Elements.
AB - Integrative and conjugative elements (ICEs) of the SXT/R391 family are key
drivers of the spread of antibiotic resistance in Vibrio cholerae, the infectious
agent of cholera, and other pathogenic bacteria. The SXT/R391 family of ICEs was
defined based on the conservation of a core set of 52 genes and site-specific
integration into the 5' end of the chromosomal gene prfC Hence, the integrase
gene int has been intensively used as a marker to detect SXT/R391 ICEs in
clinical isolates. ICEs sharing most core genes but differing by their
integration site and integrase gene have been recently reported and excluded from
the SXT/R391 family. Here we explored the prevalence and diversity of atypical
ICEs in GenBank databases and their relationship with typical SXT/R391 ICEs. We
found atypical ICEs in V. cholerae isolates that predate the emergence and
expansion of typical SXT/R391 ICEs in the mid-1980s in seventh-pandemic toxigenic
V. cholerae strains O1 and O139. Our analyses revealed that while atypical ICEs
are not associated with antibiotic resistance genes, they often carry cation
efflux pumps, suggesting heavy metal resistance. Atypical ICEs constitute a
polyphyletic group likely because of occasional recombination events with typical
ICEs. Furthermore, we show that the alternative integration and excision genes of
atypical ICEs remain under the control of SetCD, the main activator of the
conjugative functions of SXT/R391 ICEs. Together, these observations indicate
that substitution of the integration/excision module and change of specificity of
integration do not preclude atypical ICEs from inclusion into the SXT/R391
family.IMPORTANCEVibrio cholerae is the causative agent of cholera, an acute
intestinal infection that remains to this day a world public health threat.
Integrative and conjugative elements (ICEs) of the SXT/R391 family have played a
major role in spreading antimicrobial resistance in seventh-pandemic V. cholerae
but also in several species of Enterobacteriaceae Most epidemiological surveys
use the integrase gene as a marker to screen for SXT/R391 ICEs in clinical or
environmental strains. With the recent reports of closely related elements that
carry an alternative integrase gene, it became urgent to investigate whether ICEs
that have been left out of the family are a liability for the accuracy of such
screenings. In this study, based on comparative genomics, we broaden the SXT/R391
family of ICEs to include atypical ICEs that are often associated with heavy
metal resistance.
PMID- 29654186
TI - A Standard Method to Inactivate Bacillus anthracis Spores to Sterility Using
gamma-Irradiation.
AB - In 2015, a laboratory of the United States Department of Defense (DoD)
inadvertently shipped preparations of gamma-irradiated spores of Bacillus
anthracis that contained live spores. In response, a systematic, evidence-based
method for preparing, concentrating, irradiating, and verifying inactivation of
spore materials was developed. We demonstrate consistency of spore preparations
across multiple biological replicates and show that two different DoD
institutions arrive independently at comparable dose-inactivation curves for a
monodisperse suspension of B. anthracis spores containing 3 * 1010 colony forming
units (CFU). Spore preparations from three different institutions in three strain
backgrounds yielded similar decimal-reduction (D10) values and irradiation doses
required to assure sterility (DSAL) to the point at which the probability of
detecting a viable spore is 10-6 Furthermore, spores of a genetically-tagged
strain of B. anthracis Sterne were used to show that high densities of dead
spores suppress the recovery of viable spores. Together, we present an integrated
method for preparing, irradiating, and verifying the inactivation of spores of B.
anthracis for use as standard reagents for testing and evaluating detection and
diagnostic devices and techniques.Importance: The inadvertent shipment by a U.S.
Department of Defense (DoD) laboratory of live Bacillus anthracis (anthrax)
spores to U.S. and international destinations revealed the need to standardize
inactivation methods for materials derived from Biological Select Agents and
Toxins (BSAT) and for the development of evidence-based methods to prevent the
recurrence of such an event. Following a retrospective analysis of the procedures
previously employed to generate inactivated B. anthracis spores, a study was
commissioned by the DoD to provide the data required to support the production of
inactivated spores for the biodefense community. The results of this work are
presented in this publication, which details the method by which spores can be
prepared, irradiated and tested such that the chance of finding residual living
spores in any given preparation is 1/1,000,000. These irradiated spores are used
to test equipment and methods for detection of agents of biological warfare and
bioterrorism.
PMID- 29654188
TI - Mirror Neuron Populations Represent Sequences of Behavioral Epochs During Both
Execution and Observation.
AB - Mirror neurons (MNs) have the distinguishing characteristic of modulating during
both execution and observation of an action. Although most studies of MNs have
focused on various features of the observed movement, MNs also may monitor the
behavioral circumstances in which the movement is embedded, including time
periods preceding and following the observed movement. Here, we recorded multiple
MNs simultaneously from implanted electrode arrays as two male monkeys executed
and observed a reach, grasp, and manipulate task involving different target
objects. MNs were recorded from premotor cortex (PM-MNs) and primary motor cortex
(M1-MNs). During execution trials, hidden Markov models (HMMs) applied to the
activity of either PM-MN or M1-MN populations most often detected sequences of
four hidden states, which we named according to the behavioral epoch during which
each state began: initial, reaction, movement, and final. The hidden states of MN
populations thus reflected not only the movement, but also three behavioral
epochs during which no movement occurred. HMMs trained on execution trials could
decode similar sequences of hidden states in observation trials, with complete
hidden state sequences decoded more frequently from PM-MN populations than from
M1-MN populations. Moreover, population trajectories projected in a 2D plane
defined by execution trials were preserved in observation trials more for PM-MN
than for M1-MN populations. These results suggest that MN populations represent
entire behavioral sequences, including both movement and non-movement. PM-MN
populations showed greater similarity than M1-MN populations in their
representation of behavioral sequences during execution versus
observation.SIGNIFICANCE STATEMENT Mirror neurons (MNs) are thought to provide a
neural mechanism for understanding the actions of others. However, for an action
to be understood, both the movement per se and the non-movement context before
and after the movement need to be represented. We found that simultaneously
recorded MN populations encoded sequential hidden neural states corresponding
approximately to sequential behavioral epochs of a reach, grasp, and manipulate
task. During observation trials, hidden state sequences were similar to those
identified in execution trials. Hidden state similarity was stronger for MN
populations in premotor cortex than for those in primary motor cortex.
Execution/observation similarity of hidden state sequences may contribute to
understanding the actions of others without actually performing the action
oneself.
PMID- 29654189
TI - Restoring Tip60 HAT/HDAC2 Balance in the Neurodegenerative Brain Relieves
Epigenetic Transcriptional Repression and Reinstates Cognition.
AB - Cognitive decline is a debilitating hallmark during preclinical stages of
Alzheimer's disease (AD), yet the causes remain unclear. Because histone
acetylation homeostasis is critical for mediating epigenetic gene control
throughout neuronal development, we postulated that its misregulation contributes
to cognitive impairment preceding AD pathology. Here, we show that disruption of
Tip60 histone acetlytransferase (HAT)/histone deacetylase 2 (HDAC2) homeostasis
occurs early in the brain of an AD-associated amyloid precursor protein (APP)
Drosophila model and triggers epigenetic repression of neuroplasticity genes well
before Abeta plaques form in male and female larvae. Repressed genes display
enhanced HDAC2 binding and reduced Tip60 and histone acetylation enrichment.
Increasing Tip60 in the AD-associated APP brain restores Tip60 HAT/HDAC2 balance
by decreasing HDAC2 levels, reverses neuroepigenetic alterations to activate
synaptic plasticity genes, and reinstates brain morphology and cognition. Such
Drosophila neuroplasticity gene epigenetic signatures are conserved in male and
female mouse hippocampus and their expression and Tip60 function is compromised
in hippocampus from AD patients. We suggest that Tip60 HAT/HDAC2-mediated
epigenetic gene disruption is a critical initial step in AD that is reversed by
restoring Tip60 in the brain.SIGNIFICANCE STATEMENT Mild cognitive impairment is
a debilitating hallmark during preclinical stages of Alzheimer's disease (AD),
yet its causes remain unclear. Although recent findings support elevated histone
deacetylase 2 (HDAC2) as a cause for epigenetic repression of synaptic genes that
contribute to cognitive deficits, whether alterations in histone
acetlytransferase (HAT) levels that counterbalance HDAC2 repressor action occur
and the identity of these HATs remain unknown. We demonstrate that disruption of
Tip60 HAT/HDAC2 homeostasis occurs early in the AD Drosophila brain and triggers
epigenetic repression of neuroplasticity genes before Abeta plaques form.
Increasing Tip60 in the AD brain restores Tip60 HAT/HDAC2 balance, reverses
neuroepigenetic alterations to activate synaptic genes, and reinstates brain
morphology and cognition. Our data suggest that disruption of the Tip60 HAT/HDAC2
balance is a critical initial step in AD.
PMID- 29654190
TI - Control of Excitation/Inhibition Balance in a Hippocampal Circuit by Calcium
Sensor Protein Regulation of Presynaptic Calcium Channels.
AB - Activity-dependent regulation controls the balance of synaptic excitation to
inhibition in neural circuits, and disruption of this regulation impairs learning
and memory and causes many neurological disorders. The molecular mechanisms
underlying short-term synaptic plasticity are incompletely understood, and their
role in inhibitory synapses remains uncertain. Here we show that regulation of
voltage-gated calcium (Ca2+) channel type 2.1 (CaV2.1) by neuronal Ca2+ sensor
(CaS) proteins controls synaptic plasticity and excitation/inhibition balance in
a hippocampal circuit. Prevention of CaS protein regulation by introducing the IM
AA mutation in CaV2.1 channels in male and female mice impairs short-term
synaptic facilitation at excitatory synapses of CA3 pyramidal neurons onto
parvalbumin (PV)-expressing basket cells. In sharp contrast, the IM-AA mutation
abolishes rapid synaptic depression in the inhibitory synapses of PV basket cells
onto CA1 pyramidal neurons. These results show that CaS protein regulation of
facilitation and inactivation of CaV2.1 channels controls the direction of short
term plasticity at these two synapses. Deletion of the CaS protein
CaBP1/caldendrin also blocks rapid depression at PV-CA1 synapses, implicating its
upregulation of inactivation of CaV2.1 channels in control of short-term synaptic
plasticity at this inhibitory synapse. Studies of local-circuit function revealed
reduced inhibition of CA1 pyramidal neurons by the disynaptic pathway from CA3
pyramidal cells via PV basket cells and greatly increased excitation/inhibition
ratio of the direct excitatory input versus indirect inhibitory input from CA3
pyramidal neurons to CA1 pyramidal neurons. This striking defect in local-circuit
function may contribute to the dramatic impairment of spatial learning and memory
in IM-AA mice.SIGNIFICANCE STATEMENT Many forms of short-term synaptic plasticity
in neuronal circuits rely on regulation of presynaptic voltage-gated Ca2+ (CaV)
channels. Regulation of CaV2.1 channels by neuronal calcium sensor (CaS) proteins
controls short-term synaptic plasticity. Here we demonstrate a direct link
between regulation of CaV2.1 channels and short-term synaptic plasticity in
native hippocampal excitatory and inhibitory synapses. We also identify
CaBP1/caldendrin as the calcium sensor interacting with CaV2.1 channels to
mediate rapid synaptic depression in the inhibitory hippocampal synapses of
parvalbumin-expressing basket cells to CA1 pyramidal cells. Disruption of this
regulation causes altered short-term plasticity and impaired balance of
hippocampal excitatory to inhibitory circuits.
PMID- 29654191
TI - Predictors of Mortality and Symptomatic Outcome of Patients With Low-Flow Severe
Aortic Stenosis Undergoing Transcatheter Aortic Valve Replacement.
AB - BACKGROUND: Impaired left ventricular (LV) ejection fraction is a common finding
in patients with aortic stenosis and serves as a predictor of morbidity and
mortality after transcatheter aortic valve replacement. However, conflicting data
on the most accurate measure for LV function exist. We wanted to examine the
impact of LV ejection fraction, mean pressure gradient, and stroke volume index
on the outcome of patients treated by transcatheter aortic valve replacement.
METHODS AND RESULTS: Patients treated by transcatheter aortic valve replacement
were primarily separated into normal flow (NF; stroke volume index >35 mL/m2) and
low flow (LF; stroke volume index <=35 mL/m2). Afterwards, patients were divided
into 5 groups: "NF-high gradient," "NF-low gradient" (NF-LG), "LF-high gradient,"
"paradoxical LF-LG," and "classic LF-LG." The 3-year mortality was the primary
end point. Of 1600 patients, 789 (49.3%) were diagnosed as having LF, which was
characterized by a higher 30-day (P=0.041) and 3-year (P<0.001) mortality. LF was
an independent predictor of all-cause (hazard ratio, 1.29; 95% confidence
interval, 1.03-1.62; P=0.03) and cardiovascular (hazard ratio, 1.37; 95%
confidence interval, 1.06-1.77; P=0.016) mortality. Neither mean pressure
gradient nor LV ejection fraction was an independent predictor of mortality.
Patients with paradoxical LF-LG (35.0%), classic LF-LG (35.1%) and LF-high
gradient (38.1%) had higher all-cause mortality at 3 years compared with NF-high
gradient (24.8%) and NF-LG (27.9%) (P=0.001). However, surviving patients showed
a similar improvement in symptoms regardless of aortic stenosis entity.
CONCLUSIONS: LF is a common finding within the aortic stenosis population and, in
contrast to LV ejection fraction or mean pressure gradient, an independent
predictor of all-cause and cardiovascular mortality. Despite increased long-term
mortality, high procedural success and excellent functional improvement support
transcatheter aortic valve replacement in patients with LF severe aortic
stenosis.
PMID- 29654192
TI - Social Network Trajectories in Myocardial Infarction Versus Ischemic Stroke.
AB - BACKGROUND: Changes in social networks are rarely examined before and after
various diseases because of insufficient data. CHS (The Cardiovascular Health
Study) offers an opportunity to compare social network trajectories surrounding
well-adjudicated myocardial infarction (MI) or stroke events. We tested the
hypothesis that social networks will be stable after MI and decrease after
stroke. METHODS AND RESULTS: We examined trajectories of the Lubben Social
Network Scale score (LSNS, range 0-50) before and after vascular events over 11
years. The LSNS assesses engagement in family networks, friends' networks, and
social supports. We used a linear mixed model with repeated measures and fixed
effects to compare the change in social network score before and after events in
395 people with MI and 382 with ischemic stroke. Over a mean of 12.4 years of
follow-up for MI and 11.1 years for stroke, we examined an average of 4 social
network scores for each participant. We controlled for sociodemographics,
baseline cognitive function, and comorbidities. The participants' mean age was
73.5, 51% were women, and 88% were non-Hispanic white. After MI, the social
network trajectory remained stable compared with the baseline trajectory (-0.06
points per year, adjusted P=0.2356). After stroke, the social network trajectory
declined compared with the baseline trajectory (-0.14 points per year, adjusted
P=0.0364). CONCLUSIONS: Social networks remained stable after MI and declined
after stroke. This small and persistent decline after adjustment for potential
confounders is notable because it deviates from stable network trajectories found
in CHS participants and is specific to stroke.
PMID- 29654193
TI - Hypertriglyceridemic Waist: A Simple Marker of High-Risk Atherosclerosis Features
Associated With Excess Visceral Adiposity/Ectopic Fat.
AB - BACKGROUND: Subclinical atherosclerosis identification remains challenging;
abdominal visceral adiposity may improve risk stratification beyond traditional
cardiovascular risk factors. Hypertriglyceridemic waist, a visceral adiposity
marker combining elevated triglycerides (>=2 mmol/L) and waist circumference
(>=90 cm), has been related to carotid atherosclerosis, although associations
with high-risk features, including lipid-rich necrotic core (LRNC), remain
unknown. We tested the hypothesis that hypertriglyceridemic waist is an
independent marker of high-risk atherosclerosis features. METHODS AND RESULTS: In
this cross-sectional study including 467 white men (mean age, 45.9+/-14.8 years;
range 19.4-77.6 years), carotid atherosclerosis characteristics were examined by
magnetic resonance imaging and associations with hypertriglyceridemic waist and
benefits beyond Framingham Risk Score (FRS) and Pathobiological Determinants of
Atherosclerosis in Youth (PDAY) were determined. Subclinical carotid
atherosclerosis was present in 61.9% of participants, whereas 50.1% had LRNC.
Hypertriglyceridemic waist was associated with carotid maximum wall thickness
(P=0.014), wall volume (P=0.025), normalized wall index (P=0.004), and Carotid
Atherosclerosis Score (derived from wall thickness and LRNC; P=0.049).
Hypertriglyceridemic waist was associated with carotid LRNC volume beyond FRS
(P=0.037) or PDAY (P=0.015), contrary to waist circumference alone (both P>0.05).
Although 69.7% and 62.0% of participants with carotid atherosclerosis and/or LRNC
were not high-risk by FRS or PDAY, respectively, hypertriglyceridemic waist
correctly reclassified 9.7% and 4.5% of them, respectively. Combining
hypertriglyceridemic waist with FRS (net reclassification improvement=0.17;
P<0.001) or PDAY (net reclassification improvement=0.05; P=0.003) was superior to
each score alone in identifying individuals with carotid atherosclerosis and/or
LRNC. CONCLUSIONS: Hypertriglyceridemic waist is an independent marker of carotid
high-risk atherosclerosis features in men, improving on FRS and PDAY risk score.
PMID- 29654194
TI - Specific Pharmacological Profile of A2A Adenosine Receptor Predicts Reduced
Fractional Flow Reserve in Patients With Suspected Coronary Artery Disease.
AB - BACKGROUND: The rapid and reliable exclusion of myocardial revascularization is a
major unmet clinical need in patients with suspected coronary artery disease
(CAD) and non-contributive electrocardiography and troponin. Non-invasive tests
have high rates of false positives and negatives, and there is no biomarker to
assess myocardial ischemia. The presence of spare adenosine A2A receptors (A2AR)
characterized by a high dissociation constant/half maximal effective
concentration (KD/EC50) ratio-expressed on peripheral blood mononuclear cells
(PBMC) has been associated with ischemia during exercise stress testing in
patients with CAD. In this work, we investigated the diagnostic accuracy of spare
A2AR versus fractional flow reserve (FFR) in patients with suspected CAD. METHODS
AND RESULTS: Sixty patients with suspected CAD, but non-contributive
electrocardiography and troponin, were consecutively enrolled in this prospective
study. The binding (KD), functional response (cyclic adenosine monophosphate
[cAMP] production; EC50) on PBMC A2AR were compared with FFR results. Patients
were divided into 3 groups: 17 (group 1) with normal coronary angiography (n=13)
or stenosis <20% (n=4); 21 with CAD and non-significant FFR (group 2); and 22
with CAD and significant FFR (group 3). Median KD/EC50 was 6-fold higher in group
3 (4.20; interquartile range: 2.81-5.00) than group 2 (0.66; interquartile range:
0.47-1.25) and 7-fold higher than group 1 (0.60; interquartile range: 0.30-0.66).
CONCLUSIONS: In patients with suspected CAD and non-contributive
electrocardiography and troponin, the absence of spare A2AR on PBMC may help to
rule out myocardial ischemia. CLINICAL TRIAL REGISTRATION: URL:
http://www.clinicaltrials.gov. Unique identifier: NCT03218007.
PMID- 29654195
TI - Extravascular Blood Augments Myogenic Constriction of Cerebral Arterioles:
Implications for Hemorrhage-Induced Vasospasm.
AB - BACKGROUND: Subarachnoid hemorrhage is a serious clinical condition that impairs
local cerebral blood flow perfusion and consequently initiates neuronal
dysfunction. Pressure-sensitive myogenic vasomotor regulation is an important
mechanism involved in the regulation of cerebral blood flow. We hypothesized that
extravascular hemolyzed blood enhances arteriolar myogenic constriction, which in
vivo may contribute to the reduction of local cerebral blood flow after
subarachnoid hemorrhage. METHODS AND RESULTS: Arterioles isolated from the middle
cerebral artery (MCA arterioles) of mice were cannulated in a perfusion chamber.
Arteriolar diameters in response to step increases in intraluminal pressure (20
120 mm Hg) were measured in various experimental conditions. In response to
increases in intraluminal pressure, all MCA arterioles exhibited myogenic
vasoconstrictions. Compared with controls, the pressure-induced constriction was
significantly enhanced in arterioles (in vitro) exposed to extravascular
hemolyzed blood or different concentrations of extracellular erythrocyte lysate
(1%, 10%, and 20%) for different exposure durations (1-6 hours). The magnitude of
enhancement was proportional to the lysate concentration and exposure duration.
In in vivo experiments, 10 MUL of autologous blood lysate were injected into the
mouse subarachnoid space on the surface of the left MCA. Two hours later, MCA
arterioles were isolated and left MCA arterioles displayed enhanced myogenic
responses compared with the right MCA. The enhanced myogenic response was
prevented by scavenge of superoxide in both in vitro and in vivo experiments.
CONCLUSIONS: Extravascular hemolyzed blood, perhaps by promoting vascular
production of superoxide, augments myogenic constriction of cerebral arterioles,
which plays a crucial role in the subarachnoid hemorrhage-induced cerebral
ischemia.
PMID- 29654196
TI - Effectiveness and Safety of Apixaban, Dabigatran, and Rivaroxaban Versus Warfarin
in Frail Patients With Nonvalvular Atrial Fibrillation.
AB - BACKGROUND: Frailty predicts poorer outcomes and decreased anticoagulation use in
patients with nonvalvular atrial fibrillation. We sought to assess the
effectiveness and safety of apixaban, dabigatran and rivaroxaban versus warfarin
in frail nonvalvular atrial fibrillation patients. METHODS AND RESULTS: Using US
MarketScan claims data from November 2011 to December 2016, we identified frail
oral anticoagulant-naive nonvalvular atrial fibrillation patients with >=12
months of continuous insurance coverage before oral anticoagulant initiation.
Frailty status was determined using the Johns Hopkins Claims-based Frailty
Indicator score (>=0.20 indicating frailty). Users of apixaban, dabigatran, or
rivaroxaban were separately 1:1 matched to warfarin users via propensity-scores,
with residual absolute standardized differences <0.1 being achieved for all
covariates after matching. Patients were followed for up to 2 years or until an
event, insurance disenrollment or end of follow-up. Rates of stroke or systemic
embolism and major bleeding were compared using Cox regression and reported as
hazard ratios (HRs) and 95% confidence intervals (CIs). In total, 2700, 2784, and
5270 patients were included in the apixaban, dabigatran, and rivaroxaban 1:1
matched analyses to warfarin. At 2 years, neither apixaban nor dabigatran were
associated with differences in the hazard of stroke or systemic embolism
(HR=0.78; 95% CI=0.46-1.35 and HR=0.94; 0.60-1.45) or major bleeding (HR=0.72;
95% CI=0.49-1.06 and HR=0.87; 95% CI=0.63-1.19) versus warfarin. Rivaroxaban was
associated with reduced stroke or systemic embolism at 2 years (HR=0.68; 95%
CI=0.49-0.95) without significantly altering major bleeding risk (HR=1.07; 95%
CI=0.81-1.32). CONCLUSIONS: Our study found rivaroxaban but not apixaban or
dabigatran to be associated with reduced SSE versus warfarin in frail nonvalvular
atrial fibrillation patients. No direct-acting oral anticoagulants demonstrated a
significant difference in major bleeding versus warfarin.
PMID- 29654197
TI - Serum Magnesium Levels and Outcomes in Patients With Acute Spontaneous
Intracerebral Hemorrhage.
AB - BACKGROUND: Magnesium (Mg) has potential hemostatic properties. We sought to
investigate the potential association of serum Mg levels (at baseline and at 48
hours) with outcomes in patients with acute spontaneous intracerebral hemorrhage
(ICH). METHODS AND RESULTS: We reviewed data on all patients with spontaneous ICH
with available Mg levels at baseline, over a 5-year period. Clinical and
radiological outcome measures included initial hematoma volume, admission
National Institutes of Health Stroke Scale and ICH scores, in-hospital mortality,
favorable functional outcome (modified Rankin Scale scores, 0-1), and functional
independence (modified Rankin Scale scores, 0-2) at discharge. Our study
population consisted of 299 patients with ICH (mean age, 61+/-13 years; mean
admission serum Mg, 1.8+/-0.3 mg/dL). Increasing admission Mg levels strongly
correlated with lower admission National Institutes of Health Stroke Scale score
(Spearman's r, -0.141; P=0.015), lower ICH score (Spearman's r, -0.153; P=0.009),
and lower initial hematoma volume (Spearman's r, -0.153; P=0.012). Higher
admission Mg levels were documented in patients with favorable functional outcome
(1.9+/-0.3 versus 1.8+/-0.3 mg/dL; P=0.025) and functional independence (1.9+/
0.3 versus 1.8+/-0.3 mg/dL; P=0.022) at discharge. No association between serum
Mg levels at 48 hours and any of the outcome variables was detected. In multiple
linear regression analyses, a 0.1-mg/dL increase in admission serum Mg was
independently and negatively associated with the cubed root of hematoma volume at
admission (regression coefficient, -0.020; 95% confidence interval, -0.040 to
0.000; P=0.049) and admission ICH score (regression coefficient, -0.053; 95%
confidence interval, -0.102 to -0.005; P=0.032). CONCLUSIONS: Higher admission Mg
levels were independently related to lower admission hematoma volume and lower
admission ICH score in patients with acute spontaneous ICH.
PMID- 29654198
TI - Examining the Use of a Social Media Campaign to Increase Engagement for the
American Heart Association 2017 Resuscitation Science Symposium.
AB - BACKGROUND: The Resuscitation Science Symposium (ReSS) is the dedicated
international forum for resuscitation science at the American Heart Association's
Scientific Sessions. In an attempt to increase curated content and social media
presence during ReSS 2017, the Journal of the American Heart Association (JAHA)
coordinated an inaugural social media campaign. METHODS AND RESULTS: Before ReSS,
8 resuscitation science professionals were recruited from a convenience sample of
attendees at ReSS 2017. Each blogger was assigned to either a morning or an
afternoon session, responsible for "live tweeting" with the associated hashtags
#ReSS17 and #AHA17. Twitter analytics from the 8 bloggers were collected from
November 10 to 13, 2017. The primary outcome was Twitter impressions. Secondary
outcomes included Twitter engagement and Twitter engagement rate. In total, 8
bloggers (63% male) generated 591 tweets that garnered 261 050 impressions, 8013
engagements, 928 retweets, 1653 likes, 292 hashtag clicks, and a median
engagement rate of 2.4%. Total engagement, likes, and hashtag clicks were highest
on day 2; total impressions were highest on day 3, and retweets were highest on
day 4. Total impressions were highly correlated with the total number of tweets
(r=0.87; P=0.005) and baseline number of Twitter followers for each blogger
(r=0.78; P=0.02). CONCLUSION: In this inaugural social media campaign for the
2017 American Heart Association ReSS, the degree of online engagement with this
content by end users was quite good when evaluated by social media standards.
Benchmarks for end-user interactions in the scientific community are undefined
and will require further study.
PMID- 29654199
TI - Estrogen Deficiency Promotes Cerebral Aneurysm Rupture by Upregulation of Th17
Cells and Interleukin-17A Which Downregulates E-Cadherin.
AB - BACKGROUND: Estrogen deficiency is associated with the development of cerebral
aneurysms; however, the mechanism remains unknown. We explored the pathway of
cerebral aneurysm development by investigating the potential link between
estrogen deficiency and inflammatory factors. METHODS AND RESULTS: First, we
established the role of interleukin-17 (IL-17)A. We performed a cytokine screen
demonstrating that IL-17A is significantly expressed in mouse and human aneurysms
(P=0.03). Likewise, IL-17A inhibition was shown to prevent aneurysm formation by
42% (P=0.02) and rupture by 34% (P<0.05). Second, we found that estrogen
deficiency upregulates T helper 17 cells and IL-17A and promotes aneurysm
rupture. Estrogen-deficient mice had more ruptures than control mice (47% versus
7%; P=0.04). Estradiol supplementation or IL-17A inhibition decreased the number
of ruptures in estrogen-deficient mice (estradiol 6% versus 37%; P=0.04; IL-17A
inhibition 18% versus 47%; P=0.018). Third, we found that IL-17A-blockade
protects against aneurysm formation and rupture by increased E-cadherin
expression. IL-17-inhibited mice had increased E-cadherin expression (P=0.003). E
cadherin inhibition reversed the protective effect of IL-17A inhibition and
increased the rate of aneurysm formation (65% versus 28%; P=0.04) and rupture
(12% versus 0%; P=0.22). However, E-cadherin inhibition alone does not
significantly increase aneurysm formation in normal mice or in estrogen-deficient
mice. In cell migration assays, E-cadherin inhibition promoted macrophage
infiltration across endothelial cells (P<0.05), which may be the mechanism for
the estrogen deficiency/IL-17/E-cadherin aneurysm pathway. CONCLUSIONS: Our data
suggest that estrogen deficiency promotes cerebral aneurysm rupture by
upregulating IL-17A, which downregulates E-cadherin, encouraging macrophage
infiltration in the aneurysm vessel wall.
PMID- 29654200
TI - Transcatheter Aortic Valve Replacement in Low-Flow Aortic Stenosis: Treat the
Flow or Treat the Patient?
PMID- 29654201
TI - Frequency of Care and Mortality Following an Incident Diagnosis of Peripheral
Artery Disease in the Inpatient or Outpatient Setting: The ARIC (Atherosclerosis
Risk in Communities) Study.
AB - BACKGROUND: Available health services data for individuals with peripheral artery
disease (PAD) are often from studies of those eligible for or undergoing
intervention. Knowledge of the frequency of care and mortality following an
initial PAD diagnosis by setting (outpatient versus inpatient) is limited and
represents an opportunity to provide new benchmark information. METHODS AND
RESULTS: The purpose of this study was to characterize the frequency of care and
mortality following an incident PAD diagnosis in the outpatient or inpatient
setting using data from the ARIC (Atherosclerosis Risk in Communities) study
cohort linked with Centers for Medicare and Medicaid Services fee-for-service
claims data (2002-2012). Direct standardization was used to estimate age
standardized rates of encounters and mortality. PAD was defined by billing code
in any claim position. We observed 1086 incident PAD cases (873 outpatient, 213
inpatient). At 1 year after diagnosis, participants diagnosed in the outpatient
setting had 2.15 (95% confidence interval [CI], 2.10-2.21) PAD-related outpatient
encounters per person-year, and 6.4% (95% CI, 4.8-8.1) had a PAD-related
hospitalization. Conversely, participants diagnosed in the inpatient setting had
1.02 (95% CI, 0.94-1.10) PAD-related outpatient encounters per person-year, and
14.2% (95% CI, 9.3-18.7) had a PAD-related rehospitalization. One-year mortality
was 7.1% (95% CI, 5.4-8.7) and 16.0% (95% CI, 11.0-21.1) among those diagnosed in
outpatient and inpatient settings, respectively. CONCLUSIONS: This study provides
important data estimating frequency of care and mortality by the setting of
initial PAD diagnosis. Individuals with PAD are frequent users of health care,
and those diagnosed in the inpatient setting have high rates of rehospitalization
and mortality.
PMID- 29654202
TI - Ideal Cardiovascular Health and Adiposity: Implications in Youth.
AB - BACKGROUND: The American Heart Association set 2020 Strategic Impact Goals that
defined cardiovascular risk factors to be included in the concept of ideal
cardiovascular health (ICH). The prevalence of ICH among differing levels of
adiposity in youth, especially severe obesity, is uncertain. METHODS AND RESULTS:
The cross-sectional study measured ICH metrics in 300 children and adolescents
stratified by adiposity: normal weight, overweight/obese, and severely obese. ICH
incorporates 7 behavioral and health metrics, and was characterized as poor,
intermediate, or ideal. Individual ICH metrics were transformed into standardized
sample z-scores; a summary ICH sample z-score was also calculated. Multivariable
linear regression models were used to estimate differences in ICH sample z-scores
by adiposity status. Of the 300 participants, 113 were classified as having
normal weight, 87 as having overweight/obesity, and 100 as having severe obesity
(mean age 12.8 years, SD 2.7; 48% female). No participants met the criteria for
ICH; 80% of those classified as having normal weight, 81% of those with
overweight/obesity, and all of those with severe obesity were in poor
cardiovascular health. After multivariable adjustment, those with
overweight/obesity (sample z-score: -1.35; 95% confidence interval, -2.3, -1.1)
and severe obesity (sample z-score: -1.45; 95% confidence interval, -2.9, -0.92)
had lower overall ICH sample z-scores compared with participants with normal
weight. Results were similar for individual ICH metrics. CONCLUSIONS: Poor
cardiovascular health was highly prevalent in youth; ICH sample z-scores
increased across levels of adiposity. Youth with obesity, particularly those with
severe obesity, remain a rich target for primary prevention efforts. CLINICAL
TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier:
NCT01508598.
PMID- 29654203
TI - Mineralocorticoid Receptor Antagonism in Patients With Atrial Fibrillation:
Findings From the ORBIT-AF (Outcomes Registry for Better Informed Treatment of
Atrial Fibrillation) Registry.
AB - BACKGROUND: Mineralocorticoid receptor antagonist (MRA) therapy may be beneficial
to patients with atrial fibrillation (AF), but little is known about their use in
patients with AF and subsequent outcomes. METHODS AND RESULTS: In order to better
understand MRA use and subsequent outcomes, we performed a retrospective cohort
study of the contemporary ORBIT-AF (Outcomes Registry for Better Informed
Treatment of Atrial Fibrillation) registry. AF progression and cardiovascular
outcomes were compared using propensity-matched Cox proportional hazards modeling
according to MRA use at baseline and new MRA use at follow-up versus patients
with no MRA use. Among 7012 patients with nonpermanent AF, 320 patients were
taking MRA at enrollment, and 416 patients initiated MRA use during follow-up.
The mean patient age was 72.5 years, 56.3% were men, and 70.4% had paroxysmal AF.
Among all patients taking MRAs, 434 (59.0%) had heart failure, 655 (89.0%) had
hypertension, and 380 (51.6%) had both. After adjustment, new MRA use was not
associated with reduced AF progression (hazard ratio, 1.18; 95% confidence
interval, 0.88-1.58; P=0.27) but showed a trend towards lower risk of stroke,
transient ischemic attack, or systemic embolism (hazard ratio, 0.17; 95%
confidence interval, 0.02-1.23; P=0.08). Results were similar for a comparison of
new MRA users and baseline MRA users compared with nonusers. CONCLUSIONS: In
community-based outpatients with AF, the majority of MRA use was for heart
failure and hypertension. MRA use also trended towards lower adjusted stroke
risk. Future studies should test the hypothesis that MRA use may decrease the
risk of stroke in patients with AF.
PMID- 29654204
TI - Incidence, Predictors, and Clinical Impact of Early Prasugrel Cessation in
Patients With ST-Elevation Myocardial Infarction.
AB - BACKGROUND: Early withdrawal of recommended antiplatelet treatment with
clopidogrel adversely affects prognosis following percutaneous coronary
interventions. Optimal antiplatelet treatment is essential following ST-segment
elevation myocardial infarction (STEMI) given the increased risk of thrombotic
complications. This study assessed the frequency, predictors, and clinical impact
of early prasugrel cessation in patients with STEMI undergoing primary
percutaneous coronary interventions. METHODS AND RESULTS: We pooled patients with
STEMI discharged on prasugrel in 2 prospective registries (Bern PCI Registry
[NCT02241291] and SPUM-ACS (Inflammation and Acute Coronary Syndromes)
[NCT01000701]) and 1 STEMI trial (COMFORTABLE-AMI (Comparison of Biomatrix Versus
Gazelle in ST-Elevation Myocardial Infarction) [NCT00962416]). Prasugrel
treatment status at 1 year was categorized as no cessation; crossover to another
P2Y12-inhibitor; physician-recommended discontinuation; and disruption because of
bleeding, side effects, or patient noncompliance. In time-dependent analyses, we
assessed the impact of prasugrel cessation on the primary end point, a composite
of cardiac death, myocardial infarction, and stroke. Of all 1830 included
patients (17% women, mean age 59 years), 83% were treated with new-generation
drug-eluting stents. At 1 year, any prasugrel cessation had occurred in 13.8% of
patients including crossover (7.2%), discontinuation (3.7%), and disruption
(2.9%). Independent predictors of any prasugrel cessation included female sex,
age, and history of cerebrovascular event. The primary end point occurred in 5.2%
of patients and was more frequent following disruption (hazard ratio 3.04, 95%
confidence interval,1.34-6.91; P=0.008), without significant impact of crossover
or discontinuation. Consistent findings were observed for all-cause death,
myocardial infarction, and stent thrombosis following prasugrel disruption.
CONCLUSIONS: In this contemporary study of patients with STEMI, early prasugrel
cessation was not uncommon and primarily involved change to another P2Y12
inhibitor. Disruption was the only type of early prasugrel cessation associated
with statistically significant excess in ischemic risk within 1 year following
primary percutaneous coronary interventions.
PMID- 29654205
TI - Acute Kidney Injury in Diabetic Patients With Acute Myocardial Infarction: Role
of Acute and Chronic Glycemia.
AB - BACKGROUND: In acute myocardial infarction, acute hyperglycemia is a predictor of
acute kidney injury (AKI), particularly in patients without diabetes mellitus.
This emphasizes the importance of an acute glycemic rise rather than glycemia
level at admission. We investigated whether, in diabetic patients with acute
myocardial infarction, the combined evaluation of acute and chronic glycemic
levels may have better prognostic value for AKI than admission glycemia. METHODS
AND RESULTS: At admission, we prospectively measured glycemia and estimated
average chronic glucose levels (mg/dL) using glycosylated hemoglobin (HbA1c),
according to the following formula: 28.7*HbA1c (%)-46.7. We evaluated the
association with AKI of the acute/chronic glycemic ratio and of the difference
between acute and chronic glycemia (DeltaA-C). We enrolled 474 diabetic patients
with acute myocardial infarction. Of them, 77 (16%) experienced AKI. The
incidence of AKI increased in parallel with the acute/chronic glycemic ratio
(12%, 14%, 22%; P=0.02 for trend) and DeltaA-C (13%, 13%, 23%; P=0.01) but not
with admission glycemic tertiles (P=0.22). At receiver operating characteristic
analysis, the acute/chronic glycemic ratio (area under the curve: 0.62 [95%
confidence interval, 0.55-0.69]; P=0.001) and DeltaA-C (area under the curve:
0.62 [95% confidence interval, 0.54-0.69]; P=0.002) accurately predicted AKI,
without difference in the area under the curve between them (P=0.53). At
reclassification analysis, the addition of the acute/chronic glycemic ratio and
DeltaA-C to acute glycemia allowed proper AKI risk prediction in 16% of patients.
CONCLUSIONS: In diabetic patients with acute myocardial infarction, AKI is better
predicted by the combined evaluation of acute and chronic glycemic values than by
assessment of admission glycemia alone.
PMID- 29654206
TI - Staged Percutaneous Intervention for Concurrent Chronic Total Occlusions in
Patients With ST-Segment-Elevation Myocardial Infarction: A Systematic Review and
Meta-Analysis.
AB - BACKGROUND: Studies have shown that chronic total occlusion (CTO) in a noninfarct
related artery in patients with ST-segment-elevation myocardial infarction is
linked to increased mortality. It remains unclear whether staged
revascularization of a noninfarct-related artery CTO in patients with ST-segment
elevation myocardial infarction translates to improved outcomes. We performed a
meta-analysis to compare outcomes between patients presenting with ST-segment
elevation myocardial infarction with concurrent CTO who underwent percutaneous
coronary intervention of noninfarct-related artery CTO versus those who did not.
METHOD AND RESULTS: We conducted an electronic database search of all published
data. The primary end point was major adverse cardiovascular events. Secondary
end points were all-cause mortality, cardiovascular mortality, myocardial
infarction, repeat revascularization with either percutaneous coronary
intervention or coronary artery bypass grafting, stroke, and heart failure
readmission. Odds ratios (ORs) and 95% confidence intervals (CIs) were computed.
Random effects model was used and heterogeneity was considered if I2 >25. Six
studies (n=1253 patients) were included in the analysis. There was a significant
difference in major adverse cardiovascular events (OR, 0.54; 95% CI, 0.32-0.91),
cardiovascular mortality (OR, 0.43; 95% CI, 0.20-0.95), and heart failure
readmissions (OR, 0.57; 95% CI, 0.36-0.89), favoring the patients in the CTO
percutaneous coronary intervention group. No significant differences were
observed between the 2 groups for all-cause mortality (OR, 0.47; 95% CI, 0.22
1.00), myocardial infarction (OR, 0.78; 95% CI, 0.41-1.46), repeat
revascularization (OR, 1.13; 95% CI, 0.56-2.27), and stroke (OR, 0.51; 95% CI,
0.20-1.33). CONCLUSIONS: In this meta-analysis, CTO percutaneous coronary
intervention of the noninfarct-related artery in patients presenting with ST
segment-elevation myocardial infarction was associated with a significant
reduction in major adverse cardiovascular events, cardiovascular mortality, and
heart failure readmissions.
PMID- 29654207
TI - Evaluation of Acute Kidney Injury and Mortality After Intensive Blood Pressure
Control in Patients With Intracerebral Hemorrhage.
AB - BACKGROUND: We sought to assess the risk of acute kidney injury (AKI) and
mortality associated with intensive systolic blood pressure reduction in acute
intracerebral hemorrhage. METHODS AND RESULTS: Patients with acute intracerebral
hemorrhage had spontaneous cause and symptom onset within 24 hours. We excluded
patients with structural causes, coagulopathy, thrombocytopenia, and preexisting
end-stage renal disease. We defined AKI using the Acute Kidney Injury Network
criteria. Chronic kidney disease status was included in risk stratification and
was defined by Kidney Disease Outcomes Quality Initiative staging. Maximum
systolic blood pressure reduction was defined over a 12-hour period and
dichotomized using receiver operating characteristic curve analysis. Descriptive
statistics were done using independent sample t tests, chi2 tests, and Mann
Whitney U tests, whereas multivariable logistic regression analysis was used to
evaluate for predictors for AKI and mortality. A total of 448 patients with
intracerebral hemorrhage met inclusion criteria. Maximum systolic blood pressure
reduction was dichotomized to 90 mm Hg and found to increase the risk of AKI in
patients with normal renal function (odds ratio, 2.1; 95% confidence interval,
1.19-3.62; P=0.010) and chronic kidney disease (odds ratio, 3.91; 95% confidence
interval, 1.26-12.15; P=0.019). The risk of AKI was not significantly different
in normal renal function versus chronic kidney disease groups when adjusted for
demographics, presentation characteristics, and medications associated with AKI.
AKI positively predicted mortality for patients with normal renal function (odds
ratio, 2.41; 95% confidence interval, 1.11-5.22; P=0.026) but not for patients
with chronic kidney disease (odds ratio, 3.13; 95% confidence interval, 0.65
15.01; P=0.154). CONCLUSIONS: These results indicate that intensive systolic
blood pressure reduction with a threshold >90 mm Hg in patients with acute
intracerebral hemorrhage may be an independent predictor for AKI.
PMID- 29654209
TI - Crucial Role of Linear Ubiquitin Chain Assembly Complex-Mediated Inhibition of
Programmed Cell Death in TLR4-Mediated B Cell Responses and B1b Cell Development.
AB - Linear ubiquitin chain assembly complex (LUBAC)-mediated linear polyubiquitin
plays crucial roles in thymus-dependent and -independent type II Ab responses and
B1 cell development. In this study, we analyzed the role of LUBAC in TLR-mediated
B cell responses. A mouse strain in which LUBAC activity was ablated specifically
in B cells (B-HOIPDeltalinear mice) showed defective Ab responses to a type I
thymus-independent Ag, NP-LPS. B cells from B-HOIPDeltalinear mice
(HOIPDeltalinear B cells) underwent massive cell death in response to stimulation
of TLR4, but not TLR9. TLR4 stimulation induced caspase-8 activation in
HOIPDeltalinear B cells; this phenomenon, as well as TLR4-induced cell death, was
suppressed by ablation of TRIF, a signal inducer specific for TLR4. In addition,
LPS-induced survival, proliferation, and differentiation into Ab-producing cells
of HOIPDeltalinear B cells were substantially restored by inhibition of caspases
together with RIP3 deletion, but not by RIP3 deletion alone, suggesting that LPS
stimulation kills HOIPDeltalinear B cells by apoptosis elicited via the TRIF
pathway. Further examination of the roles of cell death pathways in B
HOIPDeltalinear mice revealed that deletion of RIP3 increased the number of B1
cells, particularly B1b cells, in B-HOIPDeltalinear mice, indicating that B1b
cell homeostasis is controlled via LUBAC-mediated suppression of necroptosis.
Taken together, the data show that LUBAC regulates TLR4-mediated B cell responses
and B1b cell development and/or maintenance by inhibiting programmed cell death.
PMID- 29654208
TI - A Nonpyroptotic IFN-gamma-Triggered Cell Death Mechanism in Nonphagocytic Cells
Promotes Salmonella Clearance In Vivo.
AB - The cytokine IFN-gamma has well-established antibacterial properties against the
bacterium Salmonella enterica in phagocytes, but less is known about the effects
of IFN-gamma on Salmonella-infected nonphagocytic cells, such as intestinal
epithelial cells (IECs) and fibroblasts. In this article, we show that exposing
human and murine IECs and fibroblasts to IFN-gamma following infection with
Salmonella triggers a novel form of cell death that is neither pyroptosis nor any
of the major known forms of programmed cell death. Cell death required IFN-gamma
signaling via STAT1-IRF1-mediated induction of guanylate binding proteins and the
presence of live Salmonella in the cytosol. In vivo, ablating IFN-gamma signaling
selectively in murine IECs led to higher bacterial burden in colon contents and
increased inflammation in the intestine of infected mice. Together, these results
demonstrate that IFN-gamma signaling triggers release of Salmonella from the
Salmonella-containing vacuole into the cytosol of infected nonphagocytic cells,
resulting in a form of nonpyroptotic cell death that prevents bacterial spread in
the gut.
PMID- 29654210
TI - c-Myb Coordinates Survival and the Expression of Genes That Are Critical for the
Pre-BCR Checkpoint.
AB - The c-Myb transcription factor is required for adult hematopoiesis, yet little is
known about c-Myb function during lineage-specific differentiation due to the
embryonic lethality of Myb-null mutations. We previously used tissue-specific
inactivation of the murine Myb locus to demonstrate that c-Myb is required for
differentiation to the pro-B cell stage, survival during the pro-B cell stage,
and the pro-B to pre-B cell transition during B lymphopoiesis. However, few
downstream mediators of c-Myb-regulated function have been identified. We
demonstrate that c-Myb regulates the intrinsic survival of CD19+ pro-B cells in
the absence of IL-7 by repressing expression of the proapoptotic proteins Bmf and
Bim and that levels of Bmf and Bim mRNA are further repressed by IL-7 signaling
in pro-B cells. c-Myb regulates two crucial components of the IL-7 signaling
pathway: the IL-7Ralpha-chain and the negative regulator SOCS3 in CD19+ pro-B
cells. Bypassing IL-7R signaling through constitutive activation of Stat5b
largely rescues survival of c-Myb-deficient pro-B cells, whereas constitutively
active Akt is much less effective. However, rescue of pro-B cell survival is not
sufficient to rescue proliferation of pro-B cells or the pro-B to small pre-B
cell transition, and we further demonstrate that c-Myb-deficient large pre-B
cells are hypoproliferative. Analysis of genes crucial for the pre-BCR checkpoint
demonstrates that, in addition to IL-7Ralpha, the genes encoding lambda5, cyclin
D3, and CXCR4 are downregulated in the absence of c-Myb, and lambda5 is a direct
c-Myb target. Thus, c-Myb coordinates survival with the expression of genes that
are required during the pre-BCR checkpoint.
PMID- 29654211
TI - Efficacy and Mechanism of Antitumor Activity of an Antibody Targeting Transferrin
Receptor 1 in Mouse Models of Human Multiple Myeloma.
AB - The transferrin receptor 1 (TfR1) is an attractive target for Ab-mediated cancer
therapy. We previously developed a mouse/human chimeric IgG3 Ab (ch128.1)
targeting human TfR1, which exhibits direct in vitro cytotoxicity against certain
human malignant B cells through TfR1 degradation and iron deprivation. ch128.1
also demonstrates exceptional antitumor activity against the B cell malignancy
multiple myeloma (MM) in xenograft models of SCID-Beige mice bearing either
disseminated ARH-77 or KMS-11 cells in an early disease setting. Interestingly,
this activity is observed even against KMS-11 cells, which show no sensitivity to
the direct cytotoxic activity of ch128.1 in vitro. To understand the
contributions of the Fc fragment, we generated a ch128.1 mutant with impaired
binding to FcgammaRs and to the complement component C1q, which retains binding
to the neonatal Fc receptor. We now report that this mutant Ab does not show
antitumor activity in these two MM models, indicating a crucial role of the Fc
fragment in the antitumor activity of ch128.1, which can be attributed to
effector functions (Ab-dependent cell-mediated cytotoxicity, Ab-dependent cell
mediated phagocytosis, and/or complement-dependent cytotoxicity). Interestingly,
in the KMS-11 model, complement depletion does not affect protection, whereas
macrophage depletion does. Consistent with this observation, we found that
ch128.1 induces Ab-dependent cell-mediated cytotoxicity and Ab-dependent cell
mediated phagocytosis against KMS-11 cells in the presence of murine bone marrow
derived macrophages. Finally, we found that ch128.1 therapy effectively increases
survival in a late MM disease setting. Our results suggest that macrophages play
a major role in ch128.1-mediated antitumor protection in our models and that
ch128.1 can be effective against human B cell malignancies such as MM.
PMID- 29654212
TI - Modifying Enzymes Are Elicited by ER Stress, Generating Epitopes That Are
Selectively Recognized by CD4+ T Cells in Patients With Type 1 Diabetes.
AB - In spite of tolerance mechanisms, some individuals develop T-cell-mediated
autoimmunity. Posttranslational modifications that increase the affinity of
epitope presentation and/or recognition represent one means through which self
tolerance mechanisms can be circumvented. We investigated T-cell recognition of
peptides that correspond to modified beta-cell antigens in subjects with type 1
diabetes. Modified peptides elicited enhanced proliferation by autoreactive T
cell clones. Endoplasmic reticulum (ER) stress in insulinoma cells increased
cytosolic calcium and the activity of tissue transglutaminase 2 (tTG2).
Furthermore, stressed human islets and insulinomas elicited effector responses
from T cells specific for modified peptides, suggesting that ER stress-derived
tTG2 activity generated deamidated neoepitopes that autoreactive T cells
recognized. Patients with type 1 diabetes had large numbers of T cells specific
for these epitopes in their peripheral blood. T cells with these specificities
were also isolated from the pancreatic draining lymph nodes of cadaveric donors
with established diabetes. Together, these results suggest that self-antigens are
enzymatically modified in beta-cells during ER stress, giving rise to modified
epitopes that could serve to initiate autoimmunity or to further broaden the
antigenic repertoire, activating potentially pathogenic CD4+ T cells that may not
be effectively eliminated by negative selection.
PMID- 29654213
TI - Zinc Inhibits Phosphate-Induced Vascular Calcification through TNFAIP3-Mediated
Suppression of NF-kappaB.
AB - Background The high cardiovascular morbidity and mortality of patients with CKD
may result in large part from medial vascular calcification, a process promoted
by hyperphosphatemia and involving osteo-/chondrogenic transdifferentiation of
vascular smooth muscle cells (VSMCs). Reduced serum zinc levels have frequently
been observed in patients with CKD, but the functional relevance of this remains
unclear.Methods We performed experiments in primary human aortic VSMCs; klotho
hypomorphic (kl/kl), subtotal nephrectomy, and cholecalciferol-overload mouse
calcification models; and serum samples from patients with CKD.Results In
cultured VSMCs, treatment with zinc sulfate (ZnSO4) blunted phosphate-induced
calcification, osteo-/chondrogenic signaling, and NF-kappaB activation. ZnSO4
increased the abundance of zinc-finger protein TNF-alpha-induced protein 3
(TNFAIP3, also known as A20), a suppressor of the NF-kappaB pathway, by zinc
sensing receptor ZnR/GPR39-dependent upregulation of TNFAIP3 gene expression.
Silencing of TNFAIP3 in VSMCs blunted the anticalcific effects of ZnSO4 under
high phosphate conditions. kl/kl mice showed reduced plasma zinc levels, and
ZnSO4 supplementation strongly blunted vascular calcification and aortic
osteoinduction and upregulated aortic Tnfaip3 expression. ZnSO4 ameliorated
vascular calcification in mice with chronic renal failure and mice with
cholecalciferol overload. In patients with CKD, serum zinc concentrations
inversely correlated with serum calcification propensity. Finally, ZnSO4
ameliorated the osteoinductive effects of uremic serum in VSMCs.Conclusions Zinc
supplementation ameliorates phosphate-induced osteo-/chondrogenic
transdifferentiation of VSMCs and vascular calcification through an active
cellular mechanism resulting from GPR39-dependent induction of TNFAIP3 and
subsequent suppression of the NF-kappaB pathway. Zinc supplementation may be a
simple treatment to reduce the burden of vascular calcification in CKD.
PMID- 29654214
TI - Four-Dimensional Imaging of T Cells in Kidney Transplant Rejection.
AB - Kidney transplantation is the treatment of choice for ESRD but is complicated by
the response of the recipient's immune system to nonself histocompatibility
antigens on the graft, resulting in rejection. Multiphoton intravital microscopy,
referred to as four-dimensional imaging because it records dynamic events in
three-dimensional tissue volumes, has emerged as a powerful tool to study
immunologic processes in living animals. Here, we will review advances in
understanding the complex mechanisms of T cell-mediated rejection made possible
by four-dimensional imaging of mouse renal allografts. We will summarize recent
data showing that activated (effector) T cell migration to the graft is driven by
cognate antigen presented by dendritic cells that surround and penetrate
peritubular capillaries, and that T cell-dendritic cell interactions persist in
the graft over time, maintaining the immune response in the tissue.
PMID- 29654215
TI - NPHP1 (Nephrocystin-1) Gene Deletions Cause Adult-Onset ESRD.
AB - Background Nephronophthisis (NPH) is the most prevalent genetic cause for ESRD in
children. However, little is known about the prevalence of NPH in adult-onset
ESRD. Homozygous full gene deletions of the NPHP1 gene encoding nephrocystin-1
are a prominent cause of NPH. We determined the prevalence of NPH in adults by
assessing homozygous NPHP1 full gene deletions in adult-onset ESRD.Methods Adult
renal transplant recipients from five cohorts of the International Genetics and
Translational Research in Transplantation Network (iGeneTRAiN) underwent single
nucleotide polymorphism genotyping. After quality control, we determined
autosomal copy number variants (such as deletions) on the basis of median log2
ratios and B-allele frequency patterns. The findings were independently validated
in one cohort. Patients were included in the analysis if they had adult-onset
ESRD, defined as start of RRT at >=18 years old.Results We included 5606 patients
with adult-onset ESRD; 26 (0.5%) showed homozygous NPHP1 deletions. No donor
controls showed homozygosity for this deletion. Median age at ESRD onset was 30
(range, 18-61) years old for patients with NPH, with 54% of patients age >=30
years old. Notably, only three (12%) patients were phenotypically classified as
having NPH, whereas most patients were defined as having CKD with unknown
etiology (n=11; 42%).Conclusions Considering that other mutation types in NPHP1
or mutations in other NPH-causing genes were not analyzed, NPH is a relatively
frequent monogenic cause of adult-onset ESRD. Because 88% of patients had not
been clinically diagnosed with NPH, wider application of genetic testing in adult
onset ESRD may be warranted.
PMID- 29654218
TI - p53: emerging roles in stem cells, development and beyond.
AB - Most human cancers harbor mutations in the gene encoding p53. As a result,
research on p53 in the past few decades has focused primarily on its role as a
tumor suppressor. One consequence of this focus is that the functions of p53 in
development have largely been ignored. However, recent advances, such as the
genomic profiling of embryonic stem cells, have uncovered the significance and
mechanisms of p53 functions in mammalian cell differentiation and development. As
we review here, these recent findings reveal roles that complement the well
established roles for p53 in tumor suppression.
PMID- 29654219
TI - An interview with Christiana Ruhrberg.
AB - Christiana Ruhrberg is Professor of Neuronal and Vascular Biology at the UCL
Institute of Ophthalmology in London. Her lab investigates the relationship
between nerve and blood vessel development in the central nervous system. In
2018, she was awarded the British Society for Developmental Biology's Cheryll
Tickle Medal, which recognises outstanding achievements of mid-career female
scientists in the field. In advance of her medal lecture at the society's spring
meeting, we met Christiana to find out what the award means to her, how she
settled on neurovascular development after many changes in direction early in her
career and her thoughts on what makes a good scientific mentor.
PMID- 29654220
TI - Cysteine Scanning Mutagenesis of TM4b-4c Loop of Glutamate Transporter EAAT1
Reveals Three Conformationally Sensitive Residues.
AB - Glutamatergic synaptic transmitters are cleared from the synaptic cleft through
excitatory amino acid transporters (EAATs) that are responsible for recycling
glutamate and transporting it into neurons and glial cells. To probe the
structural role of the TM4b-4c loop of EAAT1 (Rattus norvegicus), each of the 57
amino acid residues was mutated to cysteine. Thirteen of the single mutants have
very low transport activity. Aqueous accessibility of the introduced cysteines
from the remaining mutants was then explored by membrane-permeant and membrane
impermeant sulfhydryl reagents in different conditions. F190C, V238C, and A243C
were affected by MTSET, whereas Q189C, F190C, V238C, A243C, and L244C were
sensitive to MTSEA. Q189C and L244C transport activity was diminished in the
presence of potassium, which is expected to favor the inward-facing conformation
of the transporter. Inversely, L244C was protected by glutamate. The modification
of A243C by MTSEA was enhanced by either potassium and glutamate or dl-threo-beta
benzyloxyaspartate. From these results, we suggest that residues F190C, V238C,
and A243C may be located near the extracellular surface, and the TM4b-4c loop
forms multiple reentrant membrane loops on the cell surface. Alternatively,
F190C, V238C, and A243C may function in the transport pathway, which is exposed
to MTSET. In addition, Q189C, A243C, and L244C are conformationally sensitive and
may play a role in the transport cycle.
PMID- 29654216
TI - Glycine Amidinotransferase (GATM), Renal Fanconi Syndrome, and Kidney Failure.
AB - Background For many patients with kidney failure, the cause and underlying defect
remain unknown. Here, we describe a novel mechanism of a genetic order
characterized by renal Fanconi syndrome and kidney failure.Methods We clinically
and genetically characterized members of five families with autosomal dominant
renal Fanconi syndrome and kidney failure. We performed genome-wide linkage
analysis, sequencing, and expression studies in kidney biopsy specimens and renal
cells along with knockout mouse studies and evaluations of mitochondrial
morphology and function. Structural studies examined the effects of recognized
mutations.Results The renal disease in these patients resulted from monoallelic
mutations in the gene encoding glycine amidinotransferase (GATM), a renal
proximal tubular enzyme in the creatine biosynthetic pathway that is otherwise
associated with a recessive disorder of creatine deficiency. In silico analysis
showed that the particular GATM mutations, identified in 28 members of the five
families, create an additional interaction interface within the GATM protein and
likely cause the linear aggregation of GATM observed in patient biopsy specimens
and cultured proximal tubule cells. GATM aggregates-containing mitochondria were
elongated and associated with increased ROS production, activation of the NLRP3
inflammasome, enhanced expression of the profibrotic cytokine IL-18, and
increased cell death.Conclusions In this novel genetic disorder, fully penetrant
heterozygous missense mutations in GATM trigger intramitochondrial fibrillary
deposition of GATM and lead to elongated and abnormal mitochondria. We speculate
that this renal proximal tubular mitochondrial pathology initiates a response
from the inflammasome, with subsequent development of kidney fibrosis.
PMID- 29654221
TI - Correction for Anthony et al., "Cooperation between Strain-Specific and Broadly
Neutralizing Responses Limited Viral Escape and Prolonged the Exposure of the
Broadly Neutralizing Epitope".
PMID- 29654217
TI - The role of mitochondria in stem cell fate and aging.
AB - The importance of mitochondria in energy metabolism, signal transduction and
aging in post-mitotic tissues has been well established. Recently, the crucial
role of mitochondrial-linked signaling in stem cell function has come to light
and the importance of mitochondria in mediating stem cell activity is becoming
increasingly recognized. Despite the fact that many stem cells exhibit low
mitochondrial content and a reliance on mitochondrial-independent glycolytic
metabolism for energy, accumulating evidence has implicated the importance of
mitochondrial function in stem cell activation, fate decisions and defense
against senescence. In this Review, we discuss the recent advances that link
mitochondrial metabolism, homeostasis, stress responses, and dynamics to stem
cell function, particularly in the context of disease and aging. This Review will
also highlight some recent progress in mitochondrial therapeutics that may
present attractive strategies for improving stem cell function as a basis for
regenerative medicine and healthy aging.
PMID- 29654222
TI - Correction for Chaumorcel et al., "The Human Cytomegalovirus Protein TRS1
Inhibits Autophagy via Its Interaction with Beclin 1".
PMID- 29654223
TI - Why be an optimist if you treat stroke?
PMID- 29654224
TI - Correction: Vascular geometry of the extracranial carotid arteries: an analysis
of length, diameter, and tortuosity.
PMID- 29654225
TI - Correction: Optimizing endovascular stroke treatment: removing the microcatheter
before clot retrieval with stent-retrievers increases aspiration flow.
PMID- 29654226
TI - Metformin Enhances the Effect of Regorafenib and Inhibits Recurrence and
Metastasis of Hepatic Carcinoma After Liver Resection via Regulating Expression
of Hypoxia Inducible Factors 2alpha (HIF-2alpha) and 30 kDa HIV Tat-Interacting
Protein (TIP30).
AB - BACKGROUND Regorafenib (RGF) is the drug of choice for treating hepatic carcinoma
(HCC), but the drug has drawbacks due to resistance and associated adverse
effects. Thus, it becomes crucial to understand the causal 'map' of the
resistance conferred by RGF, so that its clinical potency can be amplified,
resulting in enhanced efficacy with reduced adverse effects. Metformin (MTF) has
been reported to target NLK (Nemo-like kinase) to inhibit non-small lung cancer
cells. Based on the literature, the present investigation was carried out to
reveal the effect of RGF and MTF, with an expectation that MTF can synergize
therapeutic potential as well reduce chances of resistance. MATERIAL AND METHODS
Protein expression of hypoxia inducible factors (HIF)-2alpha, 30 kDa HIV Tat
interacting protein (TIP30), E-cadherin, N-cadherin, and pAMPK were assessed by
Western blot analysis. RGF and MTF were exposed to MHCC97H cell and proliferation
was quantified by assay of cell viability. Gene silencing and chromatin
immunoprecipitation assay were done to reveal the relationship between TIP30 and
HIF-2alpha. The impact of RGF and MTF together on postoperative recurrence and
lung metastasis of hepatocellular carcinoma was investigated using tumor
engrafted mice after administration of MTF and RGF once daily for 35 days.
Immunohistochemistry was used to reveal CD31, Ki67, and TUNEL. RESULTS The
results suggested MTF-RGF combination lowered expression of HIF-2alpha gene
silencing and suggested increased TIP30 after reduction of HIF-2alpha. The
chromatin immunoprecipitation study indicated that under hypoxia, HIF-2alpha
could bind with TIP30 promoter. Cell number quantification (CCK8), viable cell
count, and apoptosis data (using Annexin V-FITC) indicated co-administration of
RGF and MTF reduced cell proliferation, encouraging cell apoptosis, and reduced
epithelial-mesenchymal transition course. Thus, in orthotopic mice, the RGF-MTF
combination exhibited substantial reduction of HCC in lung metastasis and
postoperative relapse. CONCLUSIONS MTF can enhance the potential of RGF and
inhibit the recurrence and metastasis of HCC after postoperative liver section by
regulating the levels of TIP30 and HIF-2alpha.
PMID- 29654227
TI - An Unusual Manifestation of Calcineurin Inhibitor-Induced Pain Syndrome in Kidney
Transplantation: A Case Report and Literature Review.
AB - BACKGROUND Calcineurin inhibitors (CNI) are the mainstay immunosuppressive drugs
for kidney transplantation. Although they provide excellent allograft and patient
outcomes, adverse effects are frequently encountered. Calcineurin inhibitor
induced pain syndrome (CIPS) is a rare adverse effect of CNI. Previous case
reports with CIPS diagnosis involved incapacitating pain in the lower
extremities. CASE REPORT In this article, we report the first case of CIPS with
severe back pain as the presenting symptom, which was correlated with a high
tacrolimus trough concentration due to a drug interaction with clotrimazole
troche. Magnetic resonance imaging (MRI) of the spine showed bone marrow edema,
which is consistent with previous case reports. The patient's symptoms resolved
within 3 weeks of the onset of pain. Treatments were symptomatic care and
lowering the tacrolimus trough concentration. Pain was improved significantly
with pregabalin but not with nifedipine. CONCLUSIONS We reviewed the literature
of kidney transplant cohorts with CIPS to ascertain prevalence, pain
characteristics, and treatment outcomes. Apart from our case, all patients
experienced lower extremities pain and were pain-free during the follow-up
period, without any residual abnormalities. CIPS is a benign but adverse effect
of CNI. Counselling patients about the disease's natural history and supportive
care remain the best treatment.
PMID- 29654228
TI - Interactions and scattering of quantum vortices in a polariton fluid.
AB - Quantum vortices, the quantized version of classical vortices, play a prominent
role in superfluid and superconductor phase transitions. However, their
exploration at a particle level in open quantum systems has gained considerable
attention only recently. Here we study vortex pair interactions in a resonant
polariton fluid created in a solid-state microcavity. By tracking the vortices on
picosecond time scales, we reveal the role of nonlinearity, as well as of density
and phase gradients, in driving their rotational dynamics. Such effects are also
responsible for the split of composite spin-vortex molecules into elementary half
vortices, when seeding opposite vorticity between the two spinorial components.
Remarkably, we also observe that vortices placed in close proximity experience a
pull-push scenario leading to unusual scattering-like events that can be
described by a tunable effective potential. Understanding vortex interactions can
be useful in quantum hydrodynamics and in the development of vortex-based
lattices, gyroscopes, and logic devices.
PMID- 29654230
TI - Synthesis of a molecularly defined single-active site heterogeneous catalyst for
selective oxidation of N-heterocycles.
AB - Generally, a homogeneous catalyst exhibits good activity and defined active sites
but it is difficult to recycle. Meanwhile, a heterogeneous catalyst can easily be
reused but its active site is difficult to reveal. It is interesting to bridge
the gap between homogeneous and heterogeneous catalysis via controllable
construction of a heterogeneous catalyst containing defined active sites. Here,
we report that a molecularly defined, single-active site heterogeneous catalyst
has been designed and prepared via the oxidative polymerization of maleimide
derivatives. These polymaleimide derivatives can be active catalysts for the
selective oxidation of heterocyclic compounds to quinoline and indole via the
recycling of -C=O and -C-OH groups, which was confirmed by tracing the reaction
with GC-MS using maleimide as the catalyst and by FT-IR analysis with
polymaleimide as the catalyst. These results might promote the development of
heterogeneous catalysts with molecularly defined single active sites exhibiting a
comparable activity to homogeneous catalysts.
PMID- 29654229
TI - Somatic genome editing with the RCAS-TVA-CRISPR-Cas9 system for precision tumor
modeling.
AB - To accurately recapitulate the heterogeneity of human diseases, animal models
require to recreate multiple complex genetic alterations. Here, we combine the
RCAS-TVA system with the CRISPR-Cas9 genome editing tools for precise modeling of
human tumors. We show that somatic deletion in neural stem cells of a variety of
known tumor suppressor genes (Trp53, Cdkn2a, and Pten) leads to high-grade glioma
formation. Moreover, by simultaneous delivery of pairs of guide RNAs we generate
different gene fusions with oncogenic potential, either by chromosomal deletion
(Bcan-Ntrk1) or by chromosomal translocation (Myb-Qk). Lastly, using homology
directed-repair, we also produce tumors carrying the homologous mutation to human
BRAF V600E, frequently identified in a variety of tumors, including different
types of gliomas. In summary, we have developed an extremely versatile mouse
model for in vivo somatic genome editing, that will elicit the generation of more
accurate cancer models particularly appropriate for pre-clinical testing.
PMID- 29654231
TI - Nonzero Berry phase in quantum oscillations from giant Rashba-type spin splitting
in LaTiO3/SrTiO3 heterostructures.
AB - The manipulation of the spin degrees of freedom in a solid has been of
fundamental and technological interest recently for developing high-speed, low
power computational devices. There has been much work focused on developing
highly spin-polarized materials and understanding their behavior when
incorporated into so-called spintronic devices. These devices usually require
spin splitting with magnetic fields. However, there is another promising strategy
to achieve spin splitting using spatial symmetry breaking without the use of a
magnetic field, known as Rashba-type splitting. Here we report evidence for a
giant Rashba-type splitting at the interface of LaTiO3 and SrTiO3. Analysis of
the magnetotransport reveals anisotropic magnetoresistance, weak anti
localization and quantum oscillation behavior consistent with a large Rashba-type
splitting. It is surprising to find a large Rashba-type splitting in 3d
transition metal oxide-based systems such as the LaTiO3/SrTiO3 interface, but it
is promising for the development of a new kind of oxide-based spintronics.
PMID- 29654232
TI - Directed evolution of broadly crossreactive chemokine-blocking antibodies
efficacious in arthritis.
AB - Chemokine receptors typically have multiple ligands. Consequently, treatment with
a blocking antibody against a single chemokine is expected to be insufficient for
efficacy. Here we show single-chain antibodies can be engineered for broad
crossreactivity toward multiple human and mouse proinflammatory ELR+ CXC
chemokines. The engineered molecules recognize functional epitopes of ELR+ CXC
chemokines and inhibit neutrophil activation ex vivo. Furthermore, an albumin
fusion of the most crossreactive single-chain antibody prevents and reverses
inflammation in the K/BxN mouse model of arthritis. Thus, we report an approach
for the molecular evolution and selection of broadly crossreactive antibodies
towards a family of structurally related, yet sequence-diverse protein targets,
with general implications for the development of novel therapeutics.
PMID- 29654233
TI - Simultaneous complementary photoswitching of hemithioindigo tweezers for dynamic
guest relocalization.
AB - Remote control of complex molecular behavior and function is one key problem in
modern chemistry. Using light signaling for this purpose has many advantages,
however the integration of different photo processes into a wholesome yet complex
system is highly challenging. Here we report an alternative approach to increase
complexity of light control-simultaneous complementary photoswitching-in which
spectral overlap is used as an advantage to drastically reduce the signaling
needed for controlling multipart supramolecular assemblies. Two photoswitchable
molecular tweezers respond to the same light signals with opposite changes in
their binding affinities. In this way the configuration of two host tweezers and
ultimately the dynamic relocation of a guest molecule can be trigged by only one
signal reversibly in the same solution. This approach should provide a powerful
tool for the construction of sophisticated, integrated, and multi-responsive
smart molecular systems in any application driven field of chemistry.
PMID- 29654235
TI - Metabolomics and pathway analyses to characterize metabolic alterations in
pregnant dairy cows on D 17 and D 45 after AI.
AB - Nutrient flow to the embryo and placenta is crucial for proper development and
growth during pregnancy. In this study, a metabonomic analysis was undertaken to
better understand global changes in pregnant dairy cows on D 17 and D 45 after
timed artificial insemination (AI). Metabolic changes in the blood plasma of
pregnant dairy cows were investigated using HPLC-MS and a multivariate
statistical analysis. Changes in metabolic networks were established using the
MetPA method. Alterations in six metabolic pathways were found on D 17 and D 45,
including variations in the level of alpha-linolenic acid metabolism,
glycerophospholipid metabolism, pentose and glucuronate interconversions,
glycerolipid metabolism, folate biosynthesis, and tyrosine metabolism. In
addition to these pathways, 9 metabolic pathways were markedly altered on D 45.
These pathways included changes in the one-carbon pool caused by folate;
phenylalanine, tyrosine and tryptophan biosynthesis; thiamine metabolism;
pantothenate and CoA biosynthesis; purine metabolism; inositol phosphate
metabolism; amino sugar and nucleotide sugar metabolism; pentose phosphate; and
the TCA pathway. The combination of metabonomics and network methods used in this
study generated rich biochemical insight into possible biological modules related
to early pregnancy in dairy cows.
PMID- 29654234
TI - Anchoring zero valence single atoms of nickel and iron on graphdiyne for hydrogen
evolution.
AB - Electrocatalysis by atomic catalysts is a major focus of chemical and energy
conversion effort. Although transition-metal-based bulk electrocatalysts for
electrochemical application on energy conversion processes have been reported
frequently, anchoring the stable transition-metal atoms (e.g. nickel and iron)
still remains a practical challenge. Here we report a strategy for fabrication of
ACs comprising only isolated nickel/iron atoms anchored on graphdiyne. Our
findings identify the very narrow size distributions of both nickel (1.23 A) and
iron (1.02 A), typical sizes of single-atom nickel and iron. The precision of
this method motivates us to develop a general approach in the field of single
atom transition-metal catalysis. Such atomic catalysts have high catalytic
activity and stability for hydrogen evolution reactions.
PMID- 29654236
TI - Spinal cord gray matter segmentation using deep dilated convolutions.
AB - Gray matter (GM) tissue changes have been associated with a wide range of
neurological disorders and were recently found relevant as a biomarker for
disability in amyotrophic lateral sclerosis. The ability to automatically segment
the GM is, therefore, an important task for modern studies of the spinal cord. In
this work, we devise a modern, simple and end-to-end fully-automated human spinal
cord gray matter segmentation method using Deep Learning, that works both on in
vivo and ex vivo MRI acquisitions. We evaluate our method against six
independently developed methods on a GM segmentation challenge. We report state
of-the-art results in 8 out of 10 evaluation metrics as well as major network
parameter reduction when compared to the traditional medical imaging
architectures such as U-Nets.
PMID- 29654237
TI - Proteomic analysis at the sites of clinical infection with invasive Streptococcus
pyogenes.
AB - Invasive Streptococcus pyogenes infections are rare, with often-unexplained
severity. Prompt diagnosis is desirable, as deaths can occur rapidly following
onset and there is an increased, but preventable, risk to contacts. Here,
proteomic analyses of clinical samples from invasive human S. pyogenes infections
were undertaken to determine if novel diagnostic targets could be detected, and
to augment our understanding of disease pathogenesis. Fluid samples from 17
patients with confirmed invasive S. pyogenes infection (empyema, septic
arthritis, necrotising fasciitis) were analysed by proteomics for streptococcal
and human proteins; 16/17 samples had detectable S. pyogenes DNA. Nineteen unique
S. pyogenes proteins were identified in just 6/17 samples, and 15 of these were
found in a single pleural fluid sample including streptococcal inhibitor of
complement, trigger factor, and phosphoglycerate kinase. In contrast, 469 human
proteins were detected in patient fluids, 177 (38%) of which could be identified
as neutrophil proteins, including alpha enolase and lactotransferrin which,
together, were found in all 17 samples. Our data suggest that streptococcal
proteins are difficult to detect in infected fluid samples. A vast array of human
proteins associated with leukocyte activity are, however, present in samples that
deserve further evaluation as potential biomarkers of infection.
PMID- 29654238
TI - Transverse dielectrophoretic-based DNA nanoscale confinement.
AB - Confinement of single molecules within nanoscale environments is crucial in a
range of fields, including biomedicine, genomics, and biophysics. Here, we
present a method that can concentrate, confine, and linearly stretch DNA
molecules within a single optical field of view using dielectrophoretic (DEP)
force. The method can convert an open surface into one confining DNA molecules
without a requirement for bonding, hydrodynamic or mechanical components. We use
a transverse DEP field between a top coverslip and a bottom substrate, both of
which are coated with a transparent conductive material. Both layers are attached
using double-sided tape, defining the chamber. The nanofeatures lie at the
"floor" and do not require any bonding. With the application of an alternating
(AC) electric field (2 Vp-p) between the top and bottom electrodes, a DEP field
gradient is established and used to concentrate, confine and linearly extend DNA
in nanogrooves as small as 100-nm in width. We also demonstrate reversible
loading/unloading of DNA molecules into nanogrooves and nanopits by switching
frequency (between 10 kHz to 100 kHz). The technology presented in this paper
provides a new method for single-molecule trapping and analysis.
PMID- 29654239
TI - Carboxythiazole is a key microbial nutrient currency and critical component of
thiamin biosynthesis.
AB - Almost all cells require thiamin, vitamin B1 (B1), which is synthesized via the
coupling of thiazole and pyrimidine precursors. Here we demonstrate that 5-(2
hydroxyethyl)-4-methyl-1,3-thiazole-2-carboxylic acid (cHET) is a useful in vivo
B1 precursor for representatives of ubiquitous marine picoeukaryotic
phytoplankton and Escherichia coli - drawing attention to cHET as a valuable
exogenous micronutrient for microorganisms with ecological, industrial, and
biomedical value. Comparative utilization experiments with the terrestrial plant
Arabidopsis thaliana revealed that it can also use exogenous cHET, but notably,
picoeukaryotic marine phytoplankton and E. coli were adapted to grow on low
(picomolar) concentrations of exogenous cHET. Our results call for the
modification of the conventional B1 biosynthesis model to incorporate cHET as a
key precursor for B1 biosynthesis in two domains of life, and for consideration
of cHET as a microbial micronutrient currency modulating marine primary
productivity and community interactions in human gut-hosted microbiomes.
PMID- 29654240
TI - Electrically Enhanced Self-Thermophoresis of Laser-Heated Janus Particles under a
Rotating Electric Field.
AB - The motion of a laser-heated Janus particle is experimentally measured under a
rotating electric field. Directionally circular motions of the Janus particle
following or countering the direction of the rotating electric field are observed
in the low-frequency region (from 1 to 6 kHz) depending on the direction of
electrorotation. In the higher frequency region (>10 kHz), only pure
electrorotation and electrothermal flow are observed. By measuring the dependence
of the frequency, voltage, and laser heating power, we propose that the
tangential component of circular motion is caused by electric field enhanced self
thermophoresis, which is proportional to the laser heating power and the electric
field. This result indicates that thermophoresis could be modified by the induced
zeta potential of the Janus particle tuned by the applied electric fields. By
this mechanism, the intrinsic thermophoresis can be enhanced several times at a
relatively low applied voltage (~3 Volt). Electrically tunable thermophoresis of
a particle may bring new insights to thermophoresis phenomenon and also open a
new direction for tunable active materials.
PMID- 29654241
TI - Spin-dependent magneto-thermopower of narrow-gap lead chalcogenide quantum wells.
AB - A semi-classical analysis of magneto-thermopower behaviour, namely, the Seebeck
and Nernst effect (NE) in quantum wells of IV-VI lead salts with significant
extrinsic Rashba spin-orbit coupling (RSOC) is performed in this report. In
addition to the spin-dependent Seebeck effect that has been observed before, we
also theoretically predict a similar spin-delineated behavior for its magneto
thermal analog, the spin-dependent NE. The choice of lead salts follows from a
two-fold advantage they offer, in part, to their superior thermoelectric
properties, especially PbTe, while their low band gaps and high spin-orbit
coupling make them ideal candidates to study RSOC governed effects in
nanostructures. The calculations show a larger longitudinal magneto-thermopower
for the spin-up electrons while the transverse components are nearly identical.
In contrast, for a magnetic field free case, the related power factor
calculations reveal a significantly higher contribution from the spin-down
ensemble and suffer a reduction with an increase in the electron density. We also
discuss qualitatively the limitations of the semi-classical approach for the
extreme case of a high magnetic field and allude to the observed thermopower
behaviour when the quantum Hall regime is operational. Finally, techniques to
modulate the thermopower are briefly outlined.
PMID- 29654242
TI - Water-stress induced downsizing of light-harvesting antenna complex protects
developing rice seedlings from photo-oxidative damage.
AB - The impact of water-stress on chloroplast development was studied by applying
polyethylene glycol 6000 to the roots of 5-day-old etiolated rice (Oryza sativa)
seedlings that were subsequently illuminated up to 72 h. Chloroplast development
in drought environment led to down-regulation of light-harvesting Chl-proteins.
Photosynthetic proteins of Photosystem II (PSII) and oxygen evolving complex
i.e., Cytb559, OEC16, OEC23 and OEC33 as well as those of PSI such as PSI-III,
PSI-V, and PSI-VI, decreased in abundance. Consequently, due to reduced light
absorption by antennae, the electron transport rates of PSII and PSI decreased by
55% and 25% respectively. Further, seedling development in stress condition led
to a decline in the ratio of variable (Fv) to maximum (Fm) Chl a fluorescence, as
well in the quantum yield of PSII photochemistry. Addition of Mg2+ to the
thylakoid membranes suggested that Mg2+-induced grana stacking was not affected
by water deficit. Proteomic analysis revealed the down-regulation of proteins
involved in electron transport and in carbon reduction reactions, and up
regulation of antioxidative enzymes. Our results demonstrate that developing
seedlings under water deficit could downsize their light-harvesting capacity and
components of photosynthetic apparatus to prevent photo-oxidative stress, excess
ROS generation and membrane lipid peroxidation.
PMID- 29654243
TI - Annealing induced atomic rearrangements on (Ga,In) (N,As) probed by hard X-ray
photoelectron spectroscopy and X-ray absorption fine structure.
AB - We study the effects of annealing on (Ga0.64,In0.36) (N0.045,As0.955) using hard
X-ray photoelectron spectroscopy and X-ray absorption fine structure
measurements. We observed surface oxidation and termination of the N-As bond
defects caused by the annealing process. Specifically, we observed a
characteristic chemical shift towards lower binding energies in the photoelectron
spectra related to In. This phenomenon appears to be caused by the atomic
arrangement, which produces increased In-N bond configurations within the matrix,
as indicated by the X-ray absorption fine structure measurements. The reduction
in the binding energies of group-III In, which occurs concomitantly with the
atomic rearrangements of the matrix, causes the differences in the electronic
properties of the system before and after annealing.
PMID- 29654245
TI - Publisher Correction: Virtual Genome Walking across the 32 Gb Ambystoma mexicanum
genome; assembling gene models and intronic sequence.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29654244
TI - Pretreatment rate of decay in forced vital capacity predicts long-term response
to pirfenidone in patients with idiopathic pulmonary fibrosis.
AB - Pirfenidone reduces functional decline in patients with Idiopathic Pulmonary
Fibrosis (IPF). However, response to treatment is highly heterogeneous. We sought
to evaluate whether response to pirfenidone is influenced by the pretreatment
rate of forced vital capacity (FVC) decline. Fifty-six IPF patients were
categorized as rapid (RP) or slow progressors (SP) based on whether their FVC
decline in the year preceding pirfenidone treatment was > or <= 10% predicted.
Following pirfenidone treatment patients were followed-up every 6 months and up
to 24 months. In the entire population, pirfenidone reduced significantly FVC
decline from 231 to 49 ml/year at 6 months (T6) (p = 0.003) and this effect was
maintained at the 12-, 18- and 24-month time points (p value for trend n.s.). In
RP, the reduction of FVC decline was evident at 6 months (36 vs 706 ml/year
pretreatment; p = 0.002) and maintained, though to a lesser degree, at 12 (106
ml/year), 18 (176 ml/year) and 24 months (162 ml/year; p value for trend n.s).
Among SP, the reduction in FVC decline was not significant at any of the time
points analyzed. In conclusion, pirfenidone reduces FVC decline in IPF patients.
However, its beneficial effect is more pronounced in patients with rapidly
progressive disease.
PMID- 29654246
TI - Hippophae rhamnoides as novel phytogenic feed additive for broiler chickens at
high altitude cold desert.
AB - Extremes of climate and hypobaric hypoxia cause poor growth performance in
broiler chickens at high altitude. The present study examined the potential of
Hippophae rhamnoides extract as phytogenic feed additive for broilers reared at
3500 m above mean sea level (MSL). Higher content of phytomolecules were recorded
during characterization of the extract. Immunomodulatory activity of extract was
observed in chicken lymphocytes through in-vitro studies. Thereafter, for in vivo
study, 105 day old Rhode Island Red (RIR) Cross-bred chicks were randomly
distributed in to control and treatments T1, T2, T3, T4, T5, and T6 which were
supplemented with H. rhamnoides aqueous extract along with basal diet, at level
of 100, 150, 200, 300, 400, and 800 mg/kg body weight of chicken, respectively.
Among the experimental groups, birds in the T3 group represent the highest body
weight. Furthermore, treatment group birds had shown better physio-biochemical
indices as compared to control group birds. Interestingly, lower mortality rate
due to ascites and coccidiosis was recorded in treatment groups and therefore,
higher net return was observed. Hence, present investigation demonstrated the
beneficial effect of H. rhamnoides extract (@200 mg/kg) at high altitude and
therefore, may be used in formulation of feed additive for poultry ration.
PMID- 29654247
TI - The revving up of CARs.
PMID- 29654248
TI - Detection and characterisation of coronaviruses in migratory and non-migratory
Australian wild birds.
AB - We evaluated the presence of coronaviruses by PCR in 918 Australian wild bird
samples collected during 2016-17. Coronaviruses were detected in 141 samples
(15.3%) from species of ducks, shorebirds and herons and from multiple sampling
locations. Sequencing of selected positive samples found mainly
gammacoronaviruses, but also some deltacoronaviruses. The detection rate of
coronaviruses was improved by using multiple PCR assays, as no single assay could
detect all coronavirus positive samples. Sequencing of the relatively conserved
Orf1 PCR amplicons found that Australian duck gammacoronaviruses were similar to
duck gammacoronaviruses around the world. Some sequenced shorebird
gammacoronaviruses belonged to Charadriiformes lineages, but others were more
closely related to duck gammacoronaviruses. Australian duck and heron
deltacoronaviruses belonged to lineages with other duck and heron
deltacoronaviruses, but were almost 20% different in nucleotide sequence to other
deltacoronavirus sequences available. Deltacoronavirus sequences from shorebirds
formed a lineage with a deltacoronavirus from a ruddy turnstone detected in the
United States. Given that Australian duck gammacoronaviruses are highly similar
to those found in other regions, and Australian ducks rarely come into contact
with migratory Palearctic duck species, we hypothesise that migratory shorebirds
are the important vector for moving wild bird coronaviruses into and out of
Australia.
PMID- 29654249
TI - Treatment of adolescent obesity.
AB - The increased prevalence of adolescent obesity and associated short-term and long
term complications emphasize the need for effective treatment. In this Review, we
aim to describe the evidence for, and elements of, behaviour management and
adjunctive therapies and highlight the opportunities and challenges presented by
obesity management in adolescence. The broad principles of treatment include
management of obesity-associated complications; a developmentally appropriate
approach; long-term behaviour modification (dietary change, increased physical
activity, decreased sedentary behaviours and improved sleep patterns); long-term
weight maintenance strategies; and consideration of the use of pharmacotherapy,
more intensive dietary therapies and bariatric surgery. Bariatric surgery should
be considered in those with severe obesity and be undertaken by skilled bariatric
surgeons affiliated with teams experienced in the medical and psychosocial
management of adolescents. Adolescent obesity management strategies are more
reliant on active participation than those for childhood obesity and should
recognize the emerging autonomy of the patient. The challenges in adolescent
obesity relate primarily to the often competing demands of developing autonomy
and not yet having attained neurocognitive maturity.
PMID- 29654250
TI - Cystoid edema, neovascularization and inflammatory processes in the murine Norrin
deficient retina.
AB - Mutations in the Norrin (NDP) gene cause severe developmental blood vessel
defects in the retina leading to congenital blindness. In the retina of Ndph
knockout mice only the superficial capillary network develops. Here, a detailed
characterization of this mouse model at late stages of the disease using in vivo
retinal imaging revealed cystoid structures that closely resemble the ovoid cysts
in the inner nuclear layer of the human retina with cystoid macular edema (CME).
In human CME an involvement of Muller glia cells is hypothesized. In Ndph
knockout retinae we could demonstrate that activated Muller cells were located
around and within these cystoid spaces. In addition, we observed extensive
activation of retinal microglia and development of neovascularization.
Furthermore, ex vivo analyses detected extravasation of monocytic cells
suggesting a breakdown of the blood retina barrier. Thus, we could demonstrate
that also in the developmental retinal vascular pathology present in the Ndph
knockout mouse inflammatory processes are active and may contribute to further
retinal degeneration. This observation delivers a new perspective for curative
treatments of retinal vasculopathies. Modulation of inflammatory responses might
reduce the symptoms and improve visual acuity in these diseases.
PMID- 29654251
TI - Deciphering the mechanism of action of 089, a compound impairing the fungal cell
cycle.
AB - Fungal infections represent an increasingly relevant clinical problem, primarily
because of the increased survival of severely immune-compromised patients.
Despite the availability of active and selective drugs and of well-established
prophylaxis, classical antifungals are often ineffective as resistance is
frequently observed. The quest for anti-fungal drugs with novel mechanisms of
action is thus important. Here we show that a new compound, 089, acts by
arresting fungal cells in the G2 phase of the cell cycle through targeting of
SWE1, a mechanism of action unexploited by current anti-fungal drugs. The cell
cycle impairment also induces a modification of fungal cell morphology which
makes fungal cells recognizable by immune cells. This new class of molecules
holds promise to be a valuable source of novel antifungals, allowing the
clearance of pathogenic fungi by both direct killing of the fungus and enhancing
the recognition of the pathogen by the host immune system.
PMID- 29654252
TI - Low serum levels of vitamin D are associated with anxiety in children and
adolescents with dialysis.
AB - Anxiety is a frequent and serious complication of children and adolescents
receiving dialysis. Low serum vitamin D levels have been associated with anxiety
in non-pediatric patients. This study sought to examine the possible association
between serum vitamin D levels and the presence of anxiety in children and
adolescents with dialysis in China. A total of 156 pediatric patients who were on
hemodialysis or peritoneal dialysis and 100 healthy controls were included in the
current study. Serum 25-hydroxyvitamin D [25(OH)D] levels were measured by using
a competitive protein-binding assay. Anxiety was assessed by using the Chinese
version of the Screen for Child Anxiety Related Emotional Disorders (SCARED, = 25
as cutoff). Among 156 patients, 110 had a current anxiety (70.5%) and 46 did not
(29.5%). Serum levels of 25(OH)D were significantly lower in patients with
anxiety than in normal controls (19.4 +/- 10.3 vs. 38.6 +/- 15.5 ng/ml, P <
0.001). Serum 25(OH)D levels (<=15.0 ng/ml) were independently associated with
the existent of anxiety in children and adolescents receiving dialysis (OR 4.650,
95% CI 1.663-13.001, P = 0.003). Our research demonstrates that low serum levels
of vitamin D are independently associated with anxiety among children and
adolescents on dialysis, which needs to be confirmed in future experimental and
clinical studies.
PMID- 29654253
TI - Morphological characterization of NG2 glia and their association with neuroglial
cells in the 3-nitropropionic acid-lesioned striatum of rat.
AB - Our aim was to examine the spatiotemporal profiles and phenotypic characteristics
of neuron-glia antigen 2 (NG2) glia and their associations with neuroglial cells
in striatal lesions due to the mitochondrial toxin 3-nitropropionic acid (3-NP).
In control striatum, weak NG2 immunoreactivity was restricted to resting NG2 glia
with thin processes, but prominent NG2 expression was noted on activated
microglia/macrophages, and reactive NG2 glia in the lesion core after 3-NP
injection. Activation of NG2 glia, including enhanced proliferation and
morphological changes, had a close spatiotemporal relationship with infiltration
of activated microglia into the lesion core. Thick and highly branched processes
of reactive NG2 glia formed a cellular network in the astrocyte-free lesion core
and primarily surrounded developing cavities 2-4 weeks post-lesion. NG2 glia
became associated with astrocytes in the lesion core and the border of cavities
over the chronic interval of 4-8 weeks. Immunoelectron microscopy indicated that
reactive NG2 glia had large euchromatic nuclei with prominent nucleoli and thick
and branched processes that ramified distally. Thus, our data provide detailed
information regarding the morphologies of NG2 glia in the lesion core, and
support the link between transformation of NG2 glia to the reactive form and
microglial activation/recruitment in response to brain insults.
PMID- 29654254
TI - Spoof Surface Plasmon Polaritons Power Divider with large Isolation.
AB - Periodic corrugated metal structure is designed to support and propagate spoof
surface plasmon polaritons (SSPPs) wave in the microwave frequencies. In this
paper, firstly a plasmonic waveguide consisting of oval-ring shaped cells is
proposed with the performance of high transmission efficiency in a wide frequency
range. The coplanar waveguides (CPWs) with 50 Omega impedance are adopted to feed
the energies or extract signals at both ends of the plasmonic waveguide. Then a
well-isolated power divider is constructed based on the SSPPs waveguides aiming
to equally split the energy of the SSPPs wave into two equal parts. The stepped
impedances are co-designed with the three input/output ports of the power divider
to achieve the impedance-matching between the SSPPs waveguides and the coplanar
waveguides. Besides, a single resistor is placed in the middle of two symmetrical
half oval-rings to realize the isolation between the two output ports over the
spectrum of 4.5-7.5 GHz. Finally, both plasmonic waveguide and the power divider
are fabricated and tested to verify the predicted characteristics.
PMID- 29654255
TI - Reflection from a free carrier front via an intraband indirect photonic
transition.
AB - The reflection of light from moving boundaries is of interest both fundamentally
and for applications in frequency conversion, but typically requires high pump
power. By using a dispersion-engineered silicon photonic crystal waveguide, we
are able to achieve a propagating free carrier front with only a moderate on-chip
peak power of 6 W in a 6 ps-long pump pulse. We employ an intraband indirect
photonic transition of a co-propagating probe, whereby the probe practically
escapes from the front in the forward direction. This forward reflection has up
to 35% efficiency and it is accompanied by a strong frequency upshift, which
significantly exceeds that expected from the refractive index change and which is
a function of group velocity, waveguide dispersion and pump power. Pump, probe
and shifted probe all are around 1.5 um wavelength which opens new possibilities
for "on-chip" frequency manipulation and all-optical switching in optical
telecommunications.
PMID- 29654256
TI - Influence of urban and transport planning and the city environment on
cardiovascular disease.
AB - This Review describes the relationship between urban and transport planning and
the city environment, the main cardiovascular risk factors (including physical
activity, hypertension, and obesity), and cardiovascular disease and mortality.
Good evidence exists for a relationship between built environment measures (such
as mixed land use, connectivity and walkability, and physical activity),
environmental exposures (such as green space, air pollution, and noise), and
cardiovascular disease and mortality. Some good evidence exists for a link
between transport mode and cardiovascular disease, but evidence is inconsistent
for an association between built environment measures and weight status, and
between green space and either weight status or physical activity. Further
research is needed into the influence of built environment measures on
cardiovascular disease and mortality. Urban and transport planning has an
important effect on cardiovascular health and its risk factors. Cardiovascular
disease and mortality could be reduced by better urban and transport planning
that promotes physical activity; reduces levels of air pollution, noise, and heat
island effects; and increases green space.
PMID- 29654257
TI - Revascularization in stable coronary disease: evidence and uncertainties.
AB - Although revascularization has been one of the primary treatment options for
obstructive coronary artery disease (CAD) for about 50 years, the evidence base
for its use is most robust in the area of acute coronary disease. By contrast,
evidence - particularly from clinical trials - supporting the use of
revascularization to improve clinical outcomes in stable CAD is in some important
respects outdated in that it reflects therapies that predate both contemporary
standards for optimal medical therapy and current revascularization techniques
and technologies. Despite such limitations, these clinical trials still provide
the foundation for many of the current guideline-based indications for coronary
revascularization in patients with stable CAD. In this Review, we discuss the
major factors underlying the clinical decision to perform revascularization in
patients with stable CAD and examine the use and limitations of existing evidence
on the choice for, and preferred methods of, revascularization, namely, CABG
surgery versus percutaneous coronary intervention.
PMID- 29654258
TI - Increasing salinity drastically reduces hatching success of crustaceans from
depression wetlands of the semi-arid Eastern Cape Karoo region, South Africa.
AB - Salinity is an important factor affecting freshwater aquatic species distribution
and diversity. The semi-arid Eastern Cape Karoo region of South Africa has been
earmarked for shale gas development through hydraulic fracturing. The process
uses large amounts of water and produces briny wastewater. When not managed
properly, these wastewaters may lead to salinisation of surface freshwater bodies
in the region. Therefore, the effect of salinity on the hatching success of
crustacean resting eggs was examined using sediments from four depression
wetlands found in the region. The sediments were exposed for 28 days to salinity
levels of 0.5 g L-1, 2.5 g L-1, 5 g L-1 and 10 g L-1. Control aquaria in which no
salt was added were also set up. There was a significant decrease in the emerged
taxa richness and abundances at salinities of 2.5 g L-1 and above. Anostraca,
Notostraca and Spinicaudata hatchlings were abundant at salinities of 0.5 g L-1
and below, while Copepoda, Daphniidae (Cladocera) and Ostracoda were observed in
the highest salinity, but their densities were still lower with increased
salinities. Given the importance of large branchiopods in the trophic balance of
depression wetlands, their loss may alter the ecological balance and function of
these ecosystems.
PMID- 29654259
TI - mGlu1 and mGlu5 modulate distinct excitatory inputs to the nucleus accumbens
shell.
AB - Glutamatergic transmission in the nucleus accumbens shell (NAcSh) is a substrate
for reward learning and motivation. Metabotropic glutamate (mGlu) receptors
regulate NAcSh synaptic strength by inducing long-term depression (LTD). Inputs
from prefrontal cortex (PFC) and medio-dorsal thalamus (MDT) drive opposing
motivated behaviors yet mGlu receptor regulation of these synapses is unexplored.
We examined Group I mGlu receptor regulation of PFC and MDT glutamatergic
synapses onto specific populations of NAc medium spiny neurons (MSNs) using
D1tdTom BAC transgenic mice and optogenetics. Synaptically evoked long-term
depression (LTD) at MDT-NAcSh synapses required mGlu5 but not mGlu1 and was
specific for D1(+) MSNs, whereas PFC LTD was expressed at both D1(+) and D1(-)
MSNs and required mGlu1 but not mGlu5. Two weeks after five daily non-contingent
cocaine exposures (15 mg/kg), LTD was attenuated at MDT-D1(+) synapses but was
rescued by the mGlu5-positive allosteric modulator (PAM) VU0409551. These results
highlight unique plasticity mechanisms regulating specific NAcSh synapses.
PMID- 29654260
TI - Medial septum differentially regulates dopamine neuron activity in the rat
ventral tegmental area and substantia nigra via distinct pathways.
AB - The medial septum (MS) impacts hippocampal activity and the hippocampus, in turn,
regulates midbrain dopamine (DA) neuron activity. However, it remains to be
determined how MS activation impacts midbrain DA activity. This question was
addressed by infusing NMDA (0.75 ug/0.2 uL) into the medial septum of
anesthetized male Sprague-Dawley rats and recording dopamine neuron activity in
the ventral tegmental area (VTA) and substantia nigra pars compacta (SNc). MS
activation increased (71%) the number of spontaneously active DA neurons in the
VTA, and decreased (40%) the number of active DA neurons in the SNc. Effects in
both the VTA and SNc required the ventral subiculum, but were differentially
dependent on cholinergic and GABAergic mechanisms within the vSub and rostral and
caudal subregions of the ventral pallidum, respectively. MS activation also
decreased amphetamine-induced locomotor behavior, which was dependent on
GABAergic inputs to the hippocampus. These findings demonstrate that the MS
differentially regulates meso-striatal DA transmission via distinct pathways.
PMID- 29654261
TI - A fast and cost-effective method for apolipoprotein E isotyping as an alternative
to APOE genotyping for patient screening and stratification.
AB - Apolipoprotein E (apoE) is a 34 kDa glycoprotein involved in lipid metabolism.
The human APOE gene encodes for three different apoE protein isoforms: E2, E3 and
E4. The interest in apoE isoforms is high for epidemiological research, patient
stratification and identification of those at increased risk for clinical trials
and prevention. The isoform apoE4 is associated with increased risk for coronary
heart and Alzheimer's diseases. This paper describes a method for specifically
detecting the apoE4 isoform from biological fluids by taking advantage of the
capacity of apoE to bind "specifically" to polystyrene surfaces as capture and a
specific anti-apoE4 monoclonal antibody as reporter. Our results indicate that
the apoE-polystyrene binding interaction is highly stable, resistant to
detergents and acid and basic washes. The methodology here described is accurate,
easily implementable, fast and cost-effective. Although at present, our technique
is unable to discriminate homozygous APOE epsilon4/epsilon4 from APOE
epsilon3/epsilon4 and epsilon2/epsilon4 heterozygous, it opens new avenues for
the development of inexpensive, yet effective, tests for the detection of apoE4
for patients' stratification. Preliminary results indicated that this methodology
is also adaptable into turbidimetric platforms, which make it a good candidate
for clinical implementation through its translation to the clinical analysis
routine.
PMID- 29654262
TI - Continuous-variable protocol for oblivious transfer in the noisy-storage model.
AB - Cryptographic protocols are the backbone of our information society. This
includes two-party protocols which offer protection against distrustful players.
Such protocols can be built from a basic primitive called oblivious transfer. We
present and experimentally demonstrate here a quantum protocol for oblivious
transfer for optical continuous-variable systems, and prove its security in the
noisy-storage model. This model allows us to establish security by sending more
quantum signals than an attacker can reliably store during the protocol. The
security proof is based on uncertainty relations which we derive for continuous
variable systems, that differ from the ones used in quantum key distribution. We
experimentally demonstrate in a proof-of-principle experiment the proposed
oblivious transfer protocol for various channel losses by using entangled two
mode squeezed states measured with balanced homodyne detection. Our work enables
the implementation of arbitrary two-party quantum cryptographic protocols with
continuous-variable communication systems.
PMID- 29654264
TI - Treatment approaches and outcomes in plasmacytomas: analysis using a national
dataset.
AB - Solitary plasmacytomas are uncommon plasma cell disorders, which may present as a
single bone lesion (P-bone) or extramedullary plasmacytoma (P-EM). There is a
paucity of large studies analyzing prognostic factors and outcomes of
plasmacytomas. While the treatment of choice is radiation therapy (RT), there is
a lack of data evaluating optimal RT dose. In this study, we sought to answer
these questions by utilizing the National Cancer Database plasmacytoma data from
2000 to 2011. A total of 5056 patients were included in the study (median age 62
years; range 52-72). To obtain a pure plasmacytoma cohort, potential multiple
myeloma patients were excluded from the study (bone marrow involvement, systemic
chemotherapy use). P-bone constituted 70% of the patients. The median overall
survival (OS) of P-EM was significantly longer than P-bone (132 vs. 85 months),
and for soft/connective tissue it was worse than remainder of P-EM (82 vs. 148
months). On multivariable analysis, factors associated with worse OS included
older age (>=65), presence of P-bone, and treatment with a radiation dose <40 Gy.
PMID- 29654263
TI - Hedgehog pathway mutations drive oncogenic transformation in high-risk T-cell
acute lymphoblastic leukemia.
AB - The role of Hedgehog signaling in normal and malignant T-cell development is
controversial. Recently, Hedgehog pathway mutations have been described in T-ALL,
but whether mutational activation of Hedgehog signaling drives T-cell
transformation is unknown, hindering the rationale for therapeutic intervention.
Here, we show that Hedgehog pathway mutations predict chemotherapy resistance in
human T-ALL, and drive oncogenic transformation in a zebrafish model of the
disease. We found Hedgehog pathway mutations in 16% of 109 childhood T-ALL cases,
most commonly affecting its negative regulator PTCH1. Hedgehog mutations were
associated with resistance to induction chemotherapy (P = 0.009). Transduction of
wild-type PTCH1 into PTCH1-mutant T-ALL cells induced apoptosis (P = 0.005), a
phenotype that was reversed by downstream Hedgehog pathway activation (P =
0.007). Transduction of most mutant PTCH1, SUFU, and GLI alleles into mammalian
cells induced aberrant regulation of Hedgehog signaling, indicating that these
mutations are pathogenic. Using a CRISPR/Cas9 system for lineage-restricted gene
disruption in transgenic zebrafish, we found that ptch1 mutations accelerated the
onset of notch1-induced T-ALL (P = 0.0001), and pharmacologic Hedgehog pathway
inhibition had therapeutic activity. Thus, Hedgehog-activating mutations are
driver oncogenic alterations in high-risk T-ALL, providing a molecular rationale
for targeted therapy in this disease.
PMID- 29654265
TI - RET-mediated autophagy suppression as targetable co-dependence in acute myeloid
leukemia.
AB - Many cases of AML are associated with mutational activation of receptor tyrosine
kinases (RTKs) such as FLT3. However, RTK inhibitors have limited clinical
efficacy as single agents, indicating that AML is driven by concomitant
activation of different signaling molecules. We used a functional genomic
approach to identify RET, encoding an RTK, as an essential gene in multiple
subtypes of AML, and observed that AML cells show activation of RET signaling via
ARTN/GFRA3 and NRTN/GFRA2 ligand/co-receptor complexes. Interrogation of
downstream pathways identified mTORC1-mediated suppression of autophagy and
subsequent stabilization of leukemogenic drivers such as mutant FLT3 as important
RET effectors. Accordingly, genetic or pharmacologic RET inhibition impaired the
growth of FLT3-dependent AML cell lines and was accompanied by upregulation of
autophagy and FLT3 depletion. RET dependence was also evident in mouse models of
AML and primary AML patient samples, and transcriptome and immunohistochemistry
analyses identified elevated RET mRNA levels and co-expression of RET and FLT3
proteins in a substantial proportion of AML patients. Our results indicate that
RET-mTORC1 signaling promotes AML through autophagy suppression, suggesting that
targeting RET or, more broadly, depletion of leukemogenic drivers via autophagy
induction provides a therapeutic opportunity in a relevant subset of AML
patients.
PMID- 29654266
TI - Clinical use of lentiviral vectors.
AB - Viral vectors provide an efficient means for modification of eukaryotic cells,
and their use is now commonplace in academic laboratories and industry for both
research and clinical gene therapy applications. Lentiviral vectors, derived from
the human immunodeficiency virus, have been extensively investigated and
optimized over the past two decades. Third-generation, self-inactivating
lentiviral vectors have recently been used in multiple clinical trials to
introduce genes into hematopoietic stem cells to correct primary
immunodeficiencies and hemoglobinopathies. These vectors have also been used to
introduce genes into mature T cells to generate immunity to cancer through the
delivery of chimeric antigen receptors (CARs) or cloned T-cell receptors. CAR T
cell therapies engineered using lentiviral vectors have demonstrated noteworthy
clinical success in patients with B-cell malignancies leading to regulatory
approval of the first genetically engineered cellular therapy using lentiviral
vectors. In this review, we discuss several aspects of lentiviral vectors that
will be of interest to clinicians, including an overview of lentiviral vector
development, the current uses of viral vectors as therapy for primary
immunodeficiencies and cancers, large-scale manufacturing of lentiviral vectors,
and long-term follow-up of patients treated with gene therapy products.
PMID- 29654268
TI - Revisiting thrombocytopenia in acute promyelocytic leukemia.
PMID- 29654267
TI - GIPSS: genetically inspired prognostic scoring system for primary myelofibrosis.
AB - International collaborations over the years have produced a series of prognostic
models for primary myelofibrosis (PMF), including the recently unveiled mutation
enhanced international prognostic scoring systems for transplant-age patients
(MIPSS70 and MIPSS70-plus). In the current study, we considered the feasibility
of a genetically inspired prognostic scoring system (GIPSS) that is exclusively
based on genetic markers. Among 641 cytogenetically annotated patients with PMF
and informative for previously recognized adverse mutations, multivariable
analysis identified "VHR" karyotype, "unfavorable" karyotype, absence of type
1/like CALR mutation and presence of ASXL1, SRSF2, or U2AF1Q157 mutation, as
inter-independent predictors of inferior survival; the respective HRs (95% CI)
were 3.1 (2.1-4.3), 2.1 (1.6-2.7), 2.1 (1.6-2.9), 1.8 (1.5-2.3), 2.4 (1.9-3.2),
and 2.4 (1.7-3.3). Based on HR-weighted risk points, a four-tiered GIPSS model
was devised: low (zero points; n = 58), intermediate-1 (1 point; n = 260),
intermediate-2 (2 points; n = 192), and high (>=3 points; n = 131); the
respective median (5-year) survivals were 26.4 (94%), 8.0 (73%), 4.2 (40%), and 2
(14%) years; the model was internally validated by bootstrapping and its
predictive accuracy was shown to be comparable to that of MIPSS70-plus. GIPPS
offers a low-complexity prognostic tool for PMF that is solely dependent on
genetic risk factors and, thus, forward-looking in its essence.
PMID- 29654269
TI - Kinase domain activation through gene rearrangement in multiple myeloma.
AB - Chromosomal rearrangements that result in oncogenic kinase activation are present
in many solid and hematological malignancies, but none have been reported in
multiple myeloma (MM). Here we analyzed 1421 samples from 958 myeloma patients
using a targeted assay and detected fusion genes in 1.5% of patients. These
fusion genes were in-frame and the majority of them contained kinase domains from
either receptor tyrosine kinases (ALK, ROS1, NTRK3, and FGFR1) or cytoplasmic
kinases (BRAF, MAP3K14, and MAPK14), which would result in the activation of
MEK/ERK, NF-kappaB, or inflammatory signaling pathways. Fusion genes were present
in smoldering MM, newly diagnosed MM, and relapse patient samples indicating they
are not solely late events. Most fusion genes were subclonal in nature, but one
EML4-ALK fusion was clonal indicating it is a driver of disease pathogenesis.
Samples with fusions of receptor tyrosine kinases were not found in conjunction
with clonal Ras/Raf mutations indicating a parallel mechanism of MEK/ERK pathway
activation. Fusion genes involving MAP3K14 (NIK), which regulates the NF-kappaB
pathway, were detected as were t(14;17) rearrangements involving NIK in 2% of MM
samples. Activation of kinases in myeloma through rearrangements presents an
opportunity to use treatments existing in other cancers.
PMID- 29654270
TI - Patients with low OCT-1 activity and high ABCB1 fold rise have poor long-term
outcomes in response to tyrosine kinase inhibitor therapy.
PMID- 29654272
TI - Identification of novel lncRNAs regulated by the TAL1 complex in T-cell acute
lymphoblastic leukemia.
AB - TAL1/SCL is one of the most prevalent oncogenes in T-cell acute lymphoblastic
leukemia (T-ALL). TAL1 and its regulatory partners (GATA3, RUNX1, and MYB)
positively regulate each other and coordinately regulate the expression of their
downstream target genes in T-ALL cells. However, long non-coding RNAs (lncRNAs)
regulated by these factors are largely unknown. Here we established a
bioinformatics pipeline and analyzed RNA-seq datasets with deep coverage to
identify lncRNAs regulated by TAL1 in T-ALL cells. Our analysis predicted 57
putative lncRNAs that are activated by TAL1. Many of these transcripts were
regulated by GATA3, RUNX1, and MYB in a coordinated manner. We identified two
novel transcripts that were activated in multiple T-ALL cell samples but were
downregulated in normal thymocytes. One transcript near the ARID5B gene locus was
specifically expressed in TAL1-positive T-ALL cases. The other transcript located
between the FAM49A and MYCN gene locus was also expressed in normal hematopoietic
stem cells and T-cell progenitor cells. In addition, we identified a subset of
lncRNAs that were negatively regulated by TAL1 and positively regulated by E
proteins in T-ALL cells. This included a known lncRNA (lnc-OAZ3-2:7) located near
the RORC gene, which was expressed in normal thymocytes but repressed in TAL1
positive T-ALL cells.
PMID- 29654271
TI - Whole-genome sequencing of multiple myeloma reveals oncogenic pathways are
targeted somatically through multiple mechanisms.
AB - Multiple myeloma (MM) is a biologically heterogeneous malignancy, however, the
mechanisms underlying this complexity are incompletely understood. We report an
analysis of the whole-genome sequencing of 765 MM patients from CoMMpass. By
employing promoter capture Hi-C in naive B-cells, we identify cis-regulatory
elements (CREs) that represent a highly enriched subset of the non-coding genome
in which to search for driver mutations. We identify regulatory regions whose
mutation significantly alters the expression of genes as candidate non-coding
drivers, including copy number variation (CNV) at CREs of MYC and single
nucleotide variants (SNVs) in a PAX5 enhancer. To better inform the interplay
between non-coding driver mutations with other driver mechanisms, and their
respective roles in oncogenic pathways, we extended our analysis identifying
coding drivers in 40 genes, including 11 novel candidates. We demonstrate the
same pathways can be targeted by coding and non-coding mutations; exemplified by
IRF4 and PRDM1, along with BCL6 and PAX5, genes that are central to plasma cell
differentiation. This study reveals new insights into the complex genetic
alterations driving MM development and an enhanced understanding of oncogenic
pathways.
PMID- 29654273
TI - YAP and TAZ are dispensable for physiological and malignant haematopoiesis.
PMID- 29654274
TI - Lenalidomide enhances MOR202-dependent macrophage-mediated effector functions via
the vitamin D pathway.
AB - Macrophages are key mediators of the therapeutic effects exerted by monoclonal
antibodies, such as the anti-CD38 antibody MOR202, currently introduced in
multiple myeloma (MM) therapy. Therefore, it is important to understand how
antibody-mediated effector functions of myeloma-associated macrophages (MAMs) are
regulated. Here, we focused on the effects of vitamin D, a known regulator of
macrophage effector functions. Consequently, it was the aim of this study to
assess whether modulation of the vitamin D pathway alters the tumoricidal
activity of MAMs. Here, we demonstrate that MAMs display a defective vitamin D
pathway with reduced expression level of CYP27B1 and limited tumoricidal activity
which can be restored by the IMiD lenalidomide in vitro. Furthermore, our data
indicate that the vitamin D pathway of MAMs from MM patients does recover during
an IMiD-containing therapy shown by an improved MOR202-mediated cytotoxic
activity of these MAMs against primary MM cells ex vivo. Here, the ex vivo
cytotoxic activity could be further enhanced by vitamin D supplementation. These
data suggest that vitamin D holds a key role for the effector functions of MAMs
and that vitamin D supplementation in IMiD combination trials could further
increase the therapeutic efficacy of anti-CD38 antibodies such as MOR202, which
remains to be investigated in clinical studies.
PMID- 29654275
TI - Role of the Interplay Between the Internal and External Conditions in Invasive
Behavior of Tumors.
AB - Tumor growth, which plays a central role in cancer evolution, depends on both the
internal features of the cells, such as their ability for unlimited duplication,
and the external conditions, e.g., supply of nutrients, as well as the dynamic
interactions between the two. A stem cell theory of cancer has recently been
developed that suggests the existence of a subpopulation of self-renewing tumor
cells to be responsible for tumorigenesis, and is able to initiate metastatic
spreading. The question of abundance of the cancer stem cells (CSCs) and its
relation to tumor malignancy has, however, remained an unsolved problem and has
been a subject of recent debates. In this paper we propose a novel model beyond
the standard stochastic models of tumor development, in order to explore the
effect of the density of the CSCs and oxygen on the tumor's invasive behavior.
The model identifies natural selection as the underlying process for complex
morphology of tumors, which has been observed experimentally, and indicates that
their invasive behavior depends on both the number of the CSCs and the oxygen
density in the microenvironment. The interplay between the external and internal
conditions may pave the way for a new cancer therapy.
PMID- 29654276
TI - Community Detection in Complex Networks via Clique Conductance.
AB - Network science plays a central role in understanding and modeling complex
systems in many areas including physics, sociology, biology, computer science,
economics, politics, and neuroscience. One of the most important features of
networks is community structure, i.e., clustering of nodes that are locally
densely interconnected. Communities reveal the hierarchical organization of
nodes, and detecting communities is of great importance in the study of complex
systems. Most existing community-detection methods consider low-order connection
patterns at the level of individual links. But high-order connection patterns, at
the level of small subnetworks, are generally not considered. In this paper, we
develop a novel community-detection method based on cliques, i.e., local complete
subnetworks. The proposed method overcomes the deficiencies of previous similar
community-detection methods by considering the mathematical properties of
cliques. We apply the proposed method to computer-generated graphs and real-world
network datasets. When applied to networks with known community structure, the
proposed method detects the structure with high fidelity and sensitivity. When
applied to networks with no a priori information regarding community structure,
the proposed method yields insightful results revealing the organization of these
complex networks. We also show that the proposed method is guaranteed to detect
near-optimal clusters in the bipartition case.
PMID- 29654277
TI - Contribution of DA Signaling to Appetitive Odor Perception in a Drosophila Model.
AB - Understanding cognitive processes that translate chemically diverse olfactory
stimuli to specific appetitive drives remains challenging. We have shown that
food-related odors arouse impulsive-like feeding of food media that are palatable
and readily accessible in well-nourished Drosophila larvae. Here we provide
evidence that two assemblies of four dopamine (DA) neurons, one per brain
hemisphere, contribute to perceptual processing of the qualitative and
quantitative attributes of food scents. These DA neurons receive neural
representations of chemically diverse food-related odors, and their combined
neuronal activities become increasingly important as the chemical complexity of
an appetizing odor stimulus increases. Furthermore, in each assembly of DA
neurons, integrated odor signals are transformed to one-dimensional DA outputs
that have no intrinsic reward values. Finally, a genetic analysis has revealed a
D1-type DA receptor (Dop1R1)-gated mechanism in neuropeptide Y-like neurons that
assigns appetitive significance to selected DA outputs. Our findings suggest that
fly larvae provide a useful platform for elucidation of molecular and circuit
mechanisms underlying cognitive processing of olfactory and possibly other
sensory cues.
PMID- 29654278
TI - Identification of somatic mutations in monozygotic twins discordant for
psychiatric disorders.
AB - Monozygotic twins are assumed to have identical genomes. Based on this
assumption, phenotypic discordance in monozygotic twins has been previously
attributed to environmental factors. However, recent genomic studies have
identified characteristic somatic mutations in monozygotic twins discordant for
Darier disease, Van der Woude syndrome, and Dravet syndrome. Here, we explored
somatic mutations in four pairs of monozygotic twins discordant for schizophrenia
or delusional disorder. We analyzed whole exome sequence data obtained from blood
samples and identified seven somatic mutations in one twin pair discordant for
delusional disorder. All seven of these mutations were validated by independent
amplicon sequencing, and five of them were further validated by pyrosequencing.
One somatic mutation in the patient with delusional disorder showed a missense
variant in ABCC9 with an allele fraction of 7.32%. Although an association
between the somatic mutations and phenotypic discordance could not be established
conclusively in this study, our results suggest that somatic mutations in
monozygotic twins may contribute to the development of psychiatric disorders, and
can serve as high-priority candidates for genetic studies.
PMID- 29654279
TI - Horizontal antimicrobial resistance transfer drives epidemics of multiple
Shigella species.
AB - Horizontal gene transfer has played a role in developing the global public health
crisis of antimicrobial resistance (AMR). However, the dynamics of AMR transfer
through bacterial populations and its direct impact on human disease is poorly
elucidated. Here, we study parallel epidemic emergences of multiple Shigella
species, a priority AMR organism, in men who have sex with men to gain insight
into AMR emergence and spread. Using genomic epidemiology, we show that repeated
horizontal transfer of a single AMR plasmid among Shigella enhanced existing and
facilitated new epidemics. These epidemic patterns contrasted with slighter,
slower increases in disease caused by organisms with vertically inherited
(chromosomally encoded) AMR. This demonstrates that horizontal transfer of AMR
directly affects epidemiological outcomes of globally important AMR pathogens and
highlights the need for integration of genomic analyses into all areas of AMR
research, surveillance and management.
PMID- 29654280
TI - Sign epistasis caused by hierarchy within signalling cascades.
AB - Sign epistasis is a central evolutionary constraint, but its causal factors
remain difficult to predict. Here we use the notion of parameterised optima to
explain epistasis within a signalling cascade, and test these predictions in
Escherichia coli. We show that sign epistasis arises from the benefit of tuning
phenotypic parameters of cascade genes with respect to each other, rather than
from their complex and incompletely known genetic bases. Specifically, sign
epistasis requires only that the optimal phenotypic parameters of one gene depend
on the phenotypic parameters of another, independent of other details, such as
activating or repressing nature, position within the cascade, intra-genic
pleiotropy or genotype. Mutational effects change sign more readily in downstream
genes, indicating that optimising downstream genes is more constrained. The
findings show that sign epistasis results from the inherent upstream-downstream
hierarchy between signalling cascade genes, and can be addressed without
exhaustive genotypic mapping.
PMID- 29654281
TI - Co-exposure to benzo[a]pyrene and ethanol induces a pathological progression of
liver steatosis in vitro and in vivo.
AB - Hepatic steatosis (i.e. lipid accumulation) and steatohepatitis have been related
to diverse etiologic factors, including alcohol, obesity, environmental
pollutants. However, no study has so far analyzed how these different factors
might interplay regarding the progression of liver diseases. The impact of the co
exposure to the environmental carcinogen benzo[a]pyrene (B[a]P) and the lifestyle
related hepatotoxicant ethanol, was thus tested on in vitro models of steatosis
(human HepaRG cell line; hybrid human/rat WIF-B9 cell line), and on an in vivo
model (obese zebrafish larvae). Steatosis was induced prior to chronic treatments
(14, 5 or 7 days for HepaRG, WIF-B9 or zebrafish, respectively). Toxicity and
inflammation were analyzed in all models; the impact of steatosis and ethanol
towards B[a]P metabolism was studied in HepaRG cells. Cytotoxicity and expression
of inflammation markers upon co-exposure were increased in all steatotic models,
compared to non steatotic counterparts. A change of B[a]P metabolism with a
decrease in detoxification was detected in HepaRG cells under these conditions. A
prior steatosis therefore enhanced the toxicity of B[a]P/ethanol co-exposure in
vitro and in vivo; such a co-exposure might favor the appearance of a
steatohepatitis-like state, with the development of inflammation. These
deleterious effects could be partly explained by B[a]P metabolism alterations.
PMID- 29654282
TI - Traction table versus double reverse traction repositor in the treatment of
femoral shaft fractures.
AB - A novel reduction technique of intramedullary nailing (IMN) for femoral shaft
fractures was introduced, and in this study, its therapeutic effect was compared
with patients treated with the traditional traction table. From November 2012 to
August 2015, the patients with femoral shaft fractures fixed with anterograde IMN
were reviewed. Seventy-four patients treated with the traction table and forty
eight patients treated with the double reverse traction repositor (DRTR) met the
inclusion criteria of this study. The surgical time, blood loss, open reduction
rate and complications were reviewed in this study. The fracture healing was
assessed by the radiographs conducted at each follow-up. The functional outcome
(hip and knee flexion, Harris Hip Score, and Lysholm knee score) was evaluated at
the final follow-up. Average surgical time, blood loss, hip and knee flexion, and
Harris Hip Score showed no difference (P > 0.05) between the two groups. However,
the DRTR was superior to the traction table in fracture healing, Lysholm knee
score, open reduction and complications rate (P < 0.05). Thus, we concluded that
minimally invasive treatment of femoral shaft fractures could be obtained with
the DRTR.
PMID- 29654283
TI - Dynamic transcriptomic analysis of Ischemic Injury in a Porcine Pre-Clinical
Model mimicking Donors Deceased after Circulatory Death.
AB - Due to organ shortage, clinicians are prone to consider alternative type of organ
donors among them donors deceased after circulatory death (DCD). However,
especially using these organs which are more prone to graft dysfunction, there is
a need to better understand mechanistic events ocuring during ischemia phase and
leading to ischemia/reperfusion injuries (IRI). The aim of this study is to
provide a dynamic transcriptomic analysis of preclinical porcine model kidneys
subjected to ischemic stress mimicking DCD donor. We compared cortex and
corticomedullary junction (CMJ) tissues from porcine kidneys submitted to 60 min
warm ischemia (WI) followed by 0, 6 or 24 hours of cold storage in University of
Wisconsin solution versus control non-ischemic kidneys (n = 5 per group). 29
cortex genes and 113 CMJ genes were significantly up or down-regulated after WI
versus healthy kidneys, and up to 400 genes were regulated after WI followed by 6
or 24 hours of cold storage (p < 0.05). Functionnal enrichment analysis (home
selected gene kinetic classification, Gene-ontology-biological processes and Gene
ontology-molecular-function) revealed relevant genes implication during WI and
cold storage. We uncovered targets which we will further validate as biomarkers
and new therapeutic targets to optimize graft kidney quality before
transplantation and improve whole transplantation outcome.
PMID- 29654285
TI - Cell-free prediction of protein expression costs for growing cells.
AB - Translating heterologous proteins places significant burden on host cells,
consuming expression resources leading to slower cell growth and productivity.
Yet predicting the cost of protein production for any given gene is a major
challenge, as multiple processes and factors combine to determine translation
efficiency. To enable prediction of the cost of gene expression in bacteria, we
describe here a standard cell-free lysate assay that provides a relative measure
of resource consumption when a protein coding sequence is expressed. These lysate
measurements can then be used with a computational model of translation to
predict the in vivo burden placed on growing E. coli cells for a variety of
proteins of different functions and lengths. Using this approach, we can predict
the burden of expressing multigene operons of different designs and differentiate
between the fraction of burden related to gene expression compared to action of a
metabolic pathway.
PMID- 29654286
TI - Automatic gain control of neural coupling during cooperative hand movements.
AB - Cooperative hand movements (e.g. opening a bottle) are controlled by a task
specific neural coupling, reflected in EMG reflex responses contralateral to the
stimulation site. In this study the contralateral reflex responses in forearm
extensor muscles to ipsilateral ulnar nerve stimulation was analyzed at various
resistance and velocities of cooperative hand movements. The size of
contralateral reflex responses was closely related to the level of forearm muscle
activation required to accomplish the various cooperative hand movement tasks.
This indicates an automatic gain control of neural coupling that allows a rapid
matching of corrective forces exerted at both sides of an object with the goal
'two hands one action'.
PMID- 29654284
TI - Activation of hypoxia-inducible factor 1 attenuates periapical inflammation and
bone loss.
AB - Hypoxia (low oxygen level) is an important feature during infections and affects
the host defence mechanisms. The host has evolved specific responses to address
hypoxia, which are strongly dependent on the activation of hypoxia-inducible
factor 1 (HIF-1). Hypoxia interferes degradation of HIF-1 alpha subunit (HIF
1alpha), leading to stabilisation of HIF-1alpha, heterodimerization with HIF-1
beta subunit (HIF-1beta) and subsequent activation of HIF-1 pathway. Apical
periodontitis (periapical lesion) is a consequence of endodontic infection and
ultimately results in destruction of tooth-supporting tissue, including alveolar
bone. Thus far, the role of HIF-1 in periapical lesions has not been
systematically examined. In the present study, we determined the role of HIF-1 in
a well-characterised mouse periapical lesion model using two HIF-1alpha
activating strategies, dimethyloxalylglycine (DMOG) and adenovirus-induced
constitutively active HIF-1alpha (CA-HIF1A). Both DMOG and CA-HIF1A attenuated
periapical inflammation and tissue destruction. The attenuation in vivo was
associated with downregulation of nuclear factor-kappaappa B (NF-kappaB) and
osteoclastic gene expressions. These two agents also suppressed NF-kappaB
activation and subsequent production of proinflammatory cytokines by macrophages.
Furthermore, activation of HIF-1alpha by DMOG specifically suppressed
lipopolysaccharide-stimulated macrophage differentiation into M1 cells,
increasing the ratio of M2 macrophages against M1 cells. Taken together, our data
indicated that activation of HIF-1 plays a protective role in the development of
apical periodontitis via downregulation of NF-kappaB, proinflammatory cytokines,
M1 macrophages and osteoclastogenesis.
PMID- 29654287
TI - Effects of noise on the internal resonance of a nonlinear oscillator.
AB - We numerically analyze the response to noise of a system formed by two coupled
mechanical oscillators, one of them having Duffing and van der Pol
nonlinearities, and being excited by a self-sustaining force proportional to its
own velocity. This system models the internal resonance of two oscillation modes
in a vibrating solid beam clamped at both ends. In applications to nano- and
micromechanical devices, clamped-clamped beams are subjected to relatively large
thermal and electronic noise, so that characterizing the fluctuations induced by
these effects is an issue of both scientific and technological interest. We pay
particular attention to the action of stochastic forces on the stability of
internal-resonance motion, showing that resonant oscillations become more robust
than other forms of periodic motion as the quality factor of the resonant mode
increases. The dependence on other model parameters -in particular, on the
coupling strength between the two oscillators- is also assessed.
PMID- 29654288
TI - Cytotect(r)CP as salvage therapy in patients with CMV infection following
allogeneic hematopoietic cell transplantation: a multicenter retrospective study.
AB - Cytomegalovirus is one of the main contributing factors to high mortality rates
in patients undergoing allogeneic hematopoietic cell transplantation (allo-HCT).
The main factors of treatment failure are both drug resistance and intolerance.
In some cases, Cytotect(r)CP CMV-hyperimmune globulin is used as salvage therapy.
This study aims to investigate the safety and efficacy of Cytotect(r)CP as a
salvage therapy in patients with CMV infection after allo-HCT. Twenty-three
consecutive patients received Cytotect(r)CP for CMV infection after prior CMV
therapy. At the time of Cytotect(r)CP introduction, 17 patients (74%) had
developed acute GVHD and 15 patients (64%) were receiving steroid treatment;
Cytotect(r)CP was used as monotherapy (n = 7) and in combination (n = 16).
Overall, response was observed in 18 patients (78%) with a median time of 15 days
(range: 3-51). Of the 18 responders, 4 experienced CMV reactivation, while 5
responders died within 100 days of beginning treatment. Of these 5 deaths, 4 were
due to causes unrelated to CMV. Estimated 100-day OS from the introduction of
Cytotect(r)CP was 69.6%. No statistically significant difference was observed in
100-day OS between responders and non-responders (73.7% vs 50.0%, p = 0.258).
Cytotect(r)CP as salvage therapy is effective and well-tolerated. Given its
safety profile, early treatment use should be considered.
PMID- 29654289
TI - Hematopoietic cell transplantation comorbidity index and risk of developing
invasive fungal infections after allografting.
AB - We evaluated the potential correlation of the hematopoietic cell transplantation
comorbidity index (HCT-CI) with the risk of developing post-transplant invasive
fungal infections (IFIs). Between January 2009 and March 2015, 312 consecutive
patients who received a first allograft entered the study. Low/intermediate HCT
CI risk score (0-2) was observed in 172/312 (55%), whereas high HCT-CI score
(>=3) was seen in 140/312 (45%). Overall, 51/312 (16%) patients experienced IFI,
defined as possible in 19 (6%), probable in 27 (9%), and proven in 5 (2%).
Cumulative incidence of probable-proven IFI at 1 year was 8.5% with a significant
higher incidence in patients with high HCT-CI (12%) vs. those with low
intermediate HCT-CI (5%; p = 0.006). There was a strong trend for a higher
incidence of baseline severe pulmonary comorbidity in patients who developed
probable-proven IFI (p = 0.051). One-year cumulative incidence of non-relapse
mortality was higher in patients with IFI vs. those without, 49 and 16% (p <
0.001). By multivariate analysis, disease status at transplant and high HCT-CI,
when combined with acute GVHD, were independently associated with the risk of
post-transplant IFI. This study shows that a high HCT-CI predicts the risk of
developing IFI and may indicate the need of mold-active antifungal prophylaxis in
high-risk patients.
PMID- 29654290
TI - Function of alanine racemase in the physiological activity and cariogenicity of
Streptococcus mutans.
AB - The enzyme alanine racemase (Alr) has been a new target for the development of
antibacterial drugs based on the involvement of D-Ala in bacterial cell wall
biosynthesis. Our previous study noted that Alr is essential for the growth and
interspecies competitiveness of S. mutans, the major causative organism of dental
caries. However, physiological activity and cariogenicity of S. mutans affected
by Alr remains unknown. The current study examined the biofilm biomass, biofilm
structure, extracellular polysaccharide (EPS) synthesis, glucosyltransferase
(gtf) gene expression, acid production and acid tolerance in the alr-mutant
strain. We found that biofilm formation, biofilm structure, and EPS synthesis was
in a D-Ala dose-dependent manner. Biofilm structure was loose in alr-mutant group
and the ratio of EPS/bacteria was also elevated. Additionally, the expression
levels of multiple gtfs were up-regulated, and acid tolerance was decreased. We
also established in vivo models of dental caries and found that the incidence and
severity of the caries were decreased in the alr-mutant group in comparison to
the parental S. mutans group. Our in vivo and in vitro experiments demonstrate
that Alr is essential for the cariogenicity of S. mutans and that Alr might be a
potential target for the prevention and treatment of caries.
PMID- 29654291
TI - Muscle synergies are consistent across level and uphill treadmill running.
AB - This study aimed to identify muscle synergies of the lower limb during treadmill
running on level and inclined ground. Eight subjects ran on a treadmill at three
speeds (2.5, 3.3, and 4.1 m/s) and two grades (level and 10% grade). Surface
electromyographic (EMG) signals were recorded from 10 muscles of the lower limb,
including deeper muscles such as vastus intermedius, adductor magnus, and
adductor longus. Muscle synergies were extracted applying a non-negative matrix
factorization algorithm, and relative co-activations across muscles and the
temporal recruitment pattern were identified by muscle synergy vector and synergy
activation coefficient, respectively. The scalar product between pairs of synergy
vectors and synergy activation coefficients during level and uphill running
conditions were analyzed as a similarity index, with values above 0.8 recognized
as similar. Approximately 4 muscle synergies controlled the majority of
variability in 10 EMGs during running, and were common between level and uphill
conditions. At each running speed, inter-condition similarity was observed in
synergy vector (r > 0.83) and synergy activation coefficients (r > 0.84) at each
type of synergy. These results suggest that types of synergy are consistent
between level and uphill running.
PMID- 29654292
TI - Photoreceptor-induced RPE phagolysosomal maturation defects in Stargardt-like
Maculopathy (STGD3).
AB - For many neurodegenerative disorders, expression of a pathological protein by one
cell type impedes function of other cell types, which in turn contributes to the
death of the first cell type. In transgenic mice modelling Stargardt-like (STGD3)
maculopathy, human mutant ELOVL4 expression by photoreceptors is associated with
defects in the underlying retinal pigment epithelium (RPE). To examine how
photoreceptors exert cytotoxic effects on RPE cells, transgenic ELOVL4 (TG1-2
line; TG) and wild-type (WT) littermates were studied one month prior
(preclinical stage) to onset of photoreceptor loss (two months). TG photoreceptor
outer segments presented to human RPE cells are recognized and internalized into
phagosomes, but their digestion is delayed. Live RPE cell imaging pinpoints
decreased numbers of acidified phagolysomes. In vivo, master regulator of
lysosomal genes, transcription factor EB (TFEB), and key lysosomal enzyme
Cathepsin D are both unaffected. Oxidative stress, as ruled out with high
resolution respirometry, does not play a role at such an early stage.
Upregulation of CRYBA1/A3 and phagocytic cells (microglia/macrophages) interposed
between RPE and photoreceptors support adaptive responses to processing delays.
Impaired phagolysosomal maturation is observed in RPE of mice expressing human
mutant ELOVL4 in their photoreceptors prior to photoreceptor death and associated
vision loss.
PMID- 29654293
TI - How to curb production of chemical weapons.
PMID- 29654294
TI - hTERT gene knockdown enhances response to radio- and chemotherapy in head and
neck cancer cell lines through a DNA damage pathway modification.
AB - The aim of the study was to analyze the effect of hTERT gene knockdown in HNSCC
cells by using novel in vitro models of head and neck cancer (HNSCC), as well as
improving its personalized therapy. To obtain the most efficient knockdown siRNA,
shRNA-bearing lentiviral vectors were used. The efficiency of hTERT silencing was
verified with qPCR, Western blot, and immunofluorescence staining. Subsequently,
the type of cell death and DNA repair mechanism induction after hTERT knockdown
was assessed with the same methods, followed by flow cytometry. The effect of a
combined treatment with hTERT gene knockdown on Double-Strand Breaks levels was
also evaluated by flow cytometry. Results showed that the designed siRNAs and
shRNAs were effective in hTERT knockdown in HNSCC cells. Depending on a cell
line, hTERT knockdown led to a cell cycle arrest either in phase G1 or phase
S/G2. Induction of apoptosis after hTERT downregulation with siRNA was observed.
Additionally, hTERT targeting with lentiviruses, followed by cytostatics
administration, led to induction of apoptosis. Interestingly, an increase in
Double-Strand Breaks accompanied by activation of the main DNA repair mechanism,
NER, was also observed. Altogether, we conclude that hTERT knockdown
significantly contributes to the efficacy of HNSCC treatment.
PMID- 29654295
TI - Antihypertensive effect of etamicastat in dopamine D2 receptor-deficient mice.
AB - Abnormalities of the D2R gene (DRD2) play a role in the pathogenesis of human
essential hypertension; variants of the DRD2 have been reported to be associated
with hypertension. Disruption of Drd2 (D2-/-) in mice increases blood pressure.
The hypertension of D2-/- mice has been related, in part, to increased
sympathetic activity, renal oxidative stress, and renal endothelin B receptor
(ETBR) expression. We tested in D2-/- mice the effect of etamicastat, a
reversible peripheral inhibitor of dopamine-beta-hydroxylase that reduces the
biosynthesis of norepinephrine from dopamine and decreases sympathetic nerve
activity. Blood pressure was measured in anesthetized D2-/- mice treated with
etamicastat by gavage, (10 mg/kg), conscious D2-/- mice, and D2+/+ littermates,
and mice with the D2R selectively silenced in the kidney, treated with
etamicastat in the drinking water (10 mg/kg per day). Tissue and urinary
catecholamines and renal expression of selected G protein-coupled receptors,
enzymes related to the production of reactive oxygen species, and sodium
transporters were also measured. Etamicastat decreased blood pressure both in
anesthetized and conscious D2-/- mice and mice with renal-selective silencing of
D2R to levels similar or close to those measured in D2+/+ littermates.
Etamicastat decreased cardiac and renal norepinephrine and increased cardiac and
urinary dopamine levels in D2-/- mice. It also normalized the increased renal
protein expressions of ETBR, NADPH oxidase isoenzymes, and urinary 8-isoprostane,
as well as renal NHE3 and NCC, and increased the renal expression of D1R but not
D5R in D2-/- mice. In conclusion, etamicastat is effective in normalizing the
increased blood pressure and some of the abnormal renal biochemical alterations
of D2-/- mice.
PMID- 29654296
TI - Burden of high blood pressure as a contributing factor to stroke in the Japanese
community-based diabetic population.
AB - Diabetes mellitus is characterized by alterations in blood glucose (BG)
metabolism, and glycated hemoglobin (HbA1c) has been widely used as a marker of
the BG concentration. Diabetes often coexists with high blood pressure (BP). High
BP and hyperglycemia are well-known risk factors of stroke. We examined the
extent to which the increased risk of stroke in diabetic individuals is
attributable to BP and BG using prospectively collected data from the Japanese
general population. During an average 8.3 +/- 2.2 years of follow-up, out 1606
diabetic individuals aged >=40 years who were free of cardiovascular disease, 119
participants (7.4%) developed stroke. In multivariable analysis, a significant
difference in the risk of incident stroke was noted among the BP categories,
including normotension (BP1), prehypertension (BP2), and hypertension (BP3; P for
trend = 0.001). By contrast, no difference was noted among the BG categories,
including HbA1c levels <7.0% (HB1), 7.0-7.9% (HB2), and >=8.0% (HB3; P for trend
= 0.430). Compared with the category that included both BP1 and HB1, the
population-attributable fraction (PAF) for stroke incidence was 52.0% from the
BP2 and BP3 categories and 24.1% from the HB2 and HB3 categories, and the
increased incidence from the HB2 and HB3 categories was mostly caused from
coexistent BP2 and BP3 categories. In conclusion, in the Japanese community-based
diabetic population, concomitant BP elevation largely contributes to the
increased incidence of stroke and links BG elevation, as indicated by HbA1c, to
the increased risk of stroke.
PMID- 29654297
TI - CKD in diabetes: diabetic kidney disease versus nondiabetic kidney disease.
AB - The increasing global prevalence of type 2 diabetes mellitus (T2DM) and chronic
kidney disease (CKD) has prompted research efforts to tackle the growing epidemic
of diabetic kidney disease (DKD; also known as diabetic nephropathy). The limited
success of much of this research might in part be due to the fact that not all
patients diagnosed with DKD have renal dysfunction as a consequence of their
diabetes mellitus. Patients who present with CKD and diabetes mellitus (type 1 or
type 2) can have true DKD (wherein CKD is a direct consequence of their diabetes
status), nondiabetic kidney disease (NDKD) coincident with diabetes mellitus, or
a combination of both DKD and NDKD. Preclinical studies using models that more
accurately mimic these three entities might improve the ability of animal models
to predict clinical trial outcomes. Moreover, improved insights into the
pathomechanisms that are shared by these entities - including sodium-glucose
cotransporter 2 (SGLT2) and renin-angiotensin system-driven glomerular
hyperfiltration and tubular hyper-reabsorption - as well as those that are unique
to individual entities might lead to the identification of new treatment targets.
Acknowledging that the clinical entity of CKD plus diabetes mellitus encompasses
NDKD as well as DKD could help solve some of the urgent unmet medical needs of
patients affected by these conditions.
PMID- 29654298
TI - Abnormal saline and the history of intravenous fluids.
PMID- 29654299
TI - Incorporation of bridged nucleic acids into CRISPR RNAs improves Cas9
endonuclease specificity.
AB - Off-target DNA cleavage is a paramount concern when applying CRISPR-Cas9 gene
editing technology to functional genetics and human therapeutic applications.
Here, we show that incorporation of next-generation bridged nucleic acids (2',4'
BNANC[N-Me]) as well as locked nucleic acids (LNA) at specific locations in
CRISPR-RNAs (crRNAs) broadly reduces off-target DNA cleavage by Cas9 in vitro and
in cells by several orders of magnitude. Using single-molecule FRET experiments
we show that BNANC incorporation slows Cas9 kinetics and improves specificity by
inducing a highly dynamic crRNA-DNA duplex for off-target sequences, which
shortens dwell time in the cleavage-competent, "zipped" conformation. In addition
to describing a robust technique for improving the precision of CRISPR/Cas9-based
gene editing, this study illuminates an application of synthetic nucleic acids.
PMID- 29654300
TI - Fossil black smoker yields oxygen isotopic composition of Neoproterozoic
seawater.
AB - The evolution of the seawater oxygen isotopic composition (delta18O) through
geological time remains controversial. Yet, the past delta18Oseawater is key to
assess past seawater temperatures, providing insights into past climate change
and life evolution. Here we provide a new and unprecedentedly precise delta18O
value of -1.33 +/- 0.980/00 for the Neoproterozoic bottom seawater supporting a
constant oxygen isotope composition through time. We demonstrate that the Ait
Ahmane ultramafic unit of the ca. 760 Ma Bou Azzer ophiolite (Morocco) host a
fossil black smoker-type hydrothermal system. In this system we analyzed an
untapped archive for the ocean oxygen isotopic composition consisting in pure
magnetite veins directly precipitated from a Neoproterozoic seawater-derived
fluid. Our results suggest that, while delta18Oseawater and submarine
hydrothermal processes were likely similar to present day, Neoproterozoic oceans
were 15-30 degrees C warmer on the eve of the Sturtian glaciation and the major
life diversification that followed.
PMID- 29654301
TI - Accessing valley degree of freedom in bulk Tin(II) sulfide at room temperature.
AB - The field of valleytronics has promised greater control of electronic and
spintronic systems with an additional valley degree of freedom. However,
conventional and two-dimensional valleytronic systems pose practical challenges
in the utilization of this valley degree of freedom. Here we show experimental
evidences of the valley effect in a bulk, ambient, and bias-free model system of
Tin(II) sulfide. We elucidate the direct access and identification of different
sets of valleys, based primarily on the selectivity in absorption and emission of
linearly polarized light by optical reflection/transmission and photoluminescence
measurements, and demonstrate strong optical dichroic anisotropy of up to 600%
and nominal polarization degrees of up to 96% for the two valleys with band-gap
values 1.28 and 1.48 eV, respectively; the ease of valley selection further
manifested in their non-degenerate nature. Such discovery enables a new platform
for better access and control of valley polarization.
PMID- 29654302
TI - Orientation-dependent Dxz4 contacts shape the 3D structure of the inactive X
chromosome.
AB - The mammalian inactive X chromosome (Xi) condenses into a bipartite structure
with two superdomains of frequent long-range contacts, separated by a hinge
region. Using Hi-C in edited mouse cells with allelic deletions or inversions
within the hinge, here we show that the conserved Dxz4 locus is necessary to
maintain this bipartite structure. Dxz4 orientation controls the distribution of
contacts on the Xi, as shown by a massive reversal in long-range contacts after
Dxz4 inversion. Despite an increase in CTCF binding and chromatin accessibility
on the Xi in Dxz4-edited cells, only minor changes in TAD structure and gene
expression were detected, in accordance with multiple epigenetic mechanisms
ensuring X silencing. We propose that Dxz4 represents a structural platform for
frequent long-range contacts with multiple loci in a direction dictated by the
orientation of its bank of CTCF motifs, which may work as a ratchet to form the
distinctive bipartite structure of the condensed Xi.
PMID- 29654303
TI - Hedgehog Interacting Protein Promotes Fibrosis and Apoptosis in Glomerular
Endothelial Cells in Murine Diabetes.
AB - We investigated whether renal hedgehog interacting protein (Hhip) expression
contributes to the progression of diabetic nephropathy (DN) and studied its
related mechanism(s) in vivo and in vitro. Here, we show that Hhip expression is
highly elevated in glomerular endothelial cells of adult type 1 diabetic (T1D)
Akita and T2D db/db mouse kidneys as compared to non-diabetic control
littermates. Hyperglycemia enhances reactive oxygen species (ROS) generation via
NADPH oxidase 4 (Nox4) activation and stimulates renal Hhip gene expression, and
that elevated renal Hhip gene expression subsequently activates the TGFbeta1-
Smad2/3 cascade and promotes endothelial to mesenchymal transition associated
with endothelial cell fibrosis/apoptosis in vivo and in vitro. Furthermore,
kidneys of low-dose streptozotocin-induced diabetic heterozygous Hhip deficient
(Hhip+/-) mice displayed a normal albumin/creatinine ratio with fewer features of
DN (glomerulosclerosis/fibrosis and podocyte apoptosis/loss) and less evidence of
renal compensation (glomerular hypertrophy and hyperfiltration) as compared to
diabetic wild type controls (Hhip+/+). Thus, our studies demonstrated that renal
Hhip expression is associated with nephropathy development in diabetes and that
hyperglycemia-induced renal Hhip expression may mediate glomerular endothelial
fibrosis and apoptosis in diabetes, a novel finding.
PMID- 29654305
TI - Publisher Correction: Feed gas effect on plasma inactivation mechanism of
Salmonella Typhimurium in onion and quality assessment of the treated sample.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29654304
TI - Defective immuno- and thymoproteasome assembly causes severe immunodeficiency.
AB - By N-ethyl-N-nitrosourea (ENU) mutagenesis, we generated the mutant mouse line
TUB6 that is characterised by severe combined immunodeficiency (SCID) and
systemic sterile autoinflammation in homozygotes, and a selective T cell defect
in heterozygotes. The causative missense point mutation results in the single
amino acid exchange G170W in multicatalytic endopeptidase complex subunit-1 (MECL
1), the beta2i-subunit of the immuno- and thymoproteasome. Yeast mutagenesis and
crystallographic data suggest that the severe TUB6-phenotype compared to the MECL
1 knockout mouse is caused by structural changes in the C-terminal appendage of
beta2i that prevent the biogenesis of immuno- and thymoproteasomes. Proteasomes
are essential for cell survival, and defective proteasome assembly causes
selective death of cells expressing the mutant MECL-1, leading to the severe
immunological phenotype. In contrast to the immunosubunits beta1i (LMP2) and
beta5i (LMP7), mutations in the gene encoding MECL-1 have not yet been assigned
to human disorders. The TUB6 mutant mouse line exemplifies the involvement of
MECL-1 in immunopathogenesis and provides the first mouse model for primary
immuno- and thymoproteasome-associated immunodeficiency that may also be relevant
in humans.
PMID- 29654306
TI - Proinflammatory cytokines and response to molds in mononuclear cells of patients
with Meniere disease.
AB - Epidemiological studies have found a higher prevalence of allergic symptoms and
positive prick tests in patients with Meniere's disease (MD); however the effect
of allergenic extracts in MD has not been established. Thus, this study aims to
determine the effect of Aspergillus and Penicillium stimulation in cytokine
release and gene expression profile in MD. Patients with MD showed higher basal
levels of IL-1beta, IL-1RA, IL-6 and TNF-alpha when compared to healthy controls.
We observed that IL-1beta levels had a bimodal distribution suggesting two
different subgroups of patients, with low and high basal levels of cytokines.
Gene expression profile in peripheral blood mononuclear cells (PBMC) showed
significant differences in patients with high and low basal levels of IL-1beta.
We found that both mold extracts triggered a significant release of TNF-alpha in
MD patients, which were not found in controls. Moreover, after mold stimulation,
MD patients showed a different gene expression profile in PBMC, according to the
basal levels of IL-1beta. The results indicate that a subset of MD patients have
higher basal levels of proinflammatory cytokines and the exposure to Aspergillus
and Penicillium extracts may trigger additional TNF-alpha release and contribute
to exacerbate inflammation.
PMID- 29654307
TI - Highly extended filaments in aqueous gold nano-particle colloidals.
AB - A new regime of filamentation has been discovered in aqueous gold nanoparticle
colloidals (AGNC). Different from filamentation in liquids, in this regime, by
doping water with gold nanoparticles, there is no observable multiple small-scale
filaments, but instead a spatially continuous plasma channel is formed. The
length of the filament is more than ten times as compared with that in water.
Filamentation in AGNC is characterized by a colorful light channel, with
generated supercontinuum ranging from 400 nm to 650 nm which is scattered along a
cyan-orange path.
PMID- 29654308
TI - Safety of Low-calcium Dialysate and its Effects on Coronary Artery Calcification
in Patients Undergoing Maintenance Hemodialysis.
AB - To determine the safety of low-calcium-dialysate in patients undergoing
maintenance hemodialysis (MHD) and its effects on coronary artery calcification
(CAC) and analyze clinical risk factors for CAC. A total of 174 MHD patients were
recruited and randomly divided into two groups: high-calcium dialysate (HCD, 1.5
mmol/L Ca2+) and low-calcium dialysate (LCD, 1.25 mmol/L Ca2+). Changes in CAC
score (CACS) and cardiac function were evaluated using spiral computed tomography
and echocardiography, respectively. Clinical and laboratory parameters were
measured. Intra-dialysis adverse reactions were recorded and compared between the
two groups. CACS was significantly lower in the LCD group than in the HCD group
by the end of the study. Cardiac E/Amax was significantly higher in the LCD group
than in the HCD group by the end of the study. There was no significant
difference in the frequency of any intra-dialysis adverse reactions between the
two groups during the study. LCD is helpful in maintaining cardiac diastolic
function and postponing CAC progression. LCD does not increase intra-dialysis
adverse reactions. Age may be the most important factor impacting CAC in MHD
patients.
PMID- 29654309
TI - Spatial fishing restrictions benefit demersal stocks in the northeastern
Mediterranean Sea.
AB - The multi-level benefits that marine organisms gain when protected from fishing
are well acknowledged. Here, we investigated the effects of a 40-year trawling
ban on the status of targeted and non-targeted marine species within a major
fishing ground in the northeastern Mediterranean Sea (Thermaikos Gulf, Aegean
Sea). Biomass and somatic length of fish and invertebrates (six commercial and
three non-commercial demersal species) were measured in three areas of varying
fishing pressure, depending on the temporal and spatial operational regimes of
fishing vessels. The positive effects of fishing restrictions on the studied
demersal stocks were clearly revealed, as the commercial fish species exhibited
higher biomass in the intermediate and low pressure areas, as well as increasing
maximum and mean total length (and other length indicators) with decreasing
fishing effort. The mean total length of non-commercial species generally did not
differ among areas, except for species caught and discarded at high rates. The
present study shows that fishing does alter the population structure and biomass
of commercial demersal species, and that fishing restrictions greatly contribute
to improving the status of demersal populations within the restricted areas by
providing a refuge for large individuals and their important contribution to the
gene pool.
PMID- 29654310
TI - APOBEC3-mediated restriction of RNA virus replication.
AB - APOBEC3 family members are cytidine deaminases with roles in intrinsic responses
to infection by retroviruses and retrotransposons, and in the control of other
DNA viruses, such as herpesviruses, parvoviruses and hepatitis B virus. Although
effects of APOBEC3 members on viral DNA have been demonstrated, it is not known
whether they edit RNA genomes through cytidine deamination. Here, we investigated
APOBEC3-mediated restriction of Coronaviridae. In experiments in vitro, three
human APOBEC3 proteins (A3C, A3F and A3H) inhibited HCoV-NL63 infection and
limited production of progeny virus, but did not cause hypermutation of the
coronaviral genome. APOBEC3-mediated restriction was partially dependent on
enzyme activity, and was reduced by the use of enzymatically inactive APOBEC3.
Moreover, APOBEC3 proteins bound to the coronaviral nucleoprotein, and this
interaction also affected viral replication. Although the precise molecular
mechanism of deaminase-dependent inhibition of coronavirus replication remains
elusive, our results further our understanding of APOBEC-mediated restriction of
RNA virus infections.
PMID- 29654313
TI - Publisher Correction: Inflammation induces two types of inflammatory dendritic
cells in inflamed lymph nodes.
AB - The original version of this article unfortunately contained an error in the
author name Dongchan Yang, which was incorrectly given as Dongchan Yang Sung.
PMID- 29654312
TI - Decoding the influence of anticipatory states on visual perception in the
presence of temporal distractors.
AB - Anticipatory states help prioritise relevant perceptual targets over competing
distractor stimuli and amplify early brain responses to these targets. Here we
combine electroencephalography recordings in humans with multivariate stimulus
decoding to address whether anticipation also increases the amount of target
identity information contained in these responses, and to ask how targets are
prioritised over distractors when these compete in time. We show that
anticipatory cues not only boost visual target representations, but also delay
the interference on these target representations caused by temporally adjacent
distractor stimuli-possibly marking a protective window reserved for high
fidelity target processing. Enhanced target decoding and distractor resistance
are further predicted by the attenuation of posterior 8-14 Hz alpha oscillations.
These findings thus reveal multiple mechanisms by which anticipatory states help
prioritise targets from temporally competing distractors, and they highlight the
potential of non-invasive multivariate electrophysiology to track cognitive
influences on perception in temporally crowded contexts.
PMID- 29654311
TI - A TAD boundary is preserved upon deletion of the CTCF-rich Firre locus.
AB - The binding of the transcriptional regulator CTCF to the genome has been
implicated in the formation of topologically associated domains (TADs). However,
the general mechanisms of folding the genome into TADs are not fully understood.
Here we test the effects of deleting a CTCF-rich locus on TAD boundary formation.
Using genome-wide chromosome conformation capture (Hi-C), we focus on one TAD
boundary on chromosome X harboring ~ 15 CTCF binding sites and located at the
long non-coding RNA (lncRNA) locus Firre. Specifically, this TAD boundary is
invariant across evolution, tissues, and temporal dynamics of X-chromosome
inactivation. We demonstrate that neither the deletion of this locus nor the
ectopic insertion of Firre cDNA or its ectopic expression are sufficient to alter
TADs in a sex-specific or allele-specific manner. In contrast, Firre's deletion
disrupts the chromatin super-loop formation of the inactive X-chromosome.
Collectively, our findings suggest that apart from CTCF binding, additional
mechanisms may play roles in establishing TAD boundary formation.
PMID- 29654314
TI - Comparative biogeography of the gut microbiome between Jinhua and Landrace pigs.
AB - The intestinal microbiome is critically important in shaping a variety of host
physiological responses. However, it remains elusive on how gut microbiota
impacts overall growth and more specifically, adipogenesis. Using the pig as an
animal model, we compared the differences in bacterial community structure
throughout the intestinal tract in two breeds (Landrace and Jinhua) of pigs with
distinct phenotypes. The Landrace is a commercial purebred and the Jinhua is a
Chinese indigenous, slow-growing breed with high propensity for fat deposition.
Using 16S rRNA gene sequencing, we revealed that the bacterial communities are
more diverse in the duodenum, jejunum, and cecum of Jinhua pigs than in those of
Landrace pigs, whereas the ileal and colonic microbiota show a similar complexity
between the two breeds. Furthermore, a number of bacterial taxa differentially
exist in Jinhua and Landrace pigs throughout the entire intestinal tract, with
the jejunal and ileal microbiome showing the greatest contrast. Functional
prediction of the bacterial community suggested increased fatty acid biosynthesis
in Jinghua pigs, which could partially explain their adiposity phenotype. Further
studies are warranted to experimentally verify the relative contribution of each
enriched bacterial species and their effect on adipogenesis and animal growth.
PMID- 29654315
TI - Real-time magnetic actuation of DNA nanodevices via modular integration with
stiff micro-levers.
AB - DNA nanotechnology has enabled complex nanodevices, but the ability to directly
manipulate systems with fast response times remains a key challenge. Current
methods of actuation are relatively slow and only direct devices into one or two
target configurations. Here we report an approach to control DNA origami
assemblies via externally applied magnetic fields using a low-cost platform that
enables actuation into many distinct configurations with sub-second response
times. The nanodevices in these assemblies are manipulated via mechanically stiff
micron-scale lever arms, which rigidly couple movement of a micron size magnetic
bead to reconfiguration of the nanodevice while also enabling direct
visualization of the conformation. We demonstrate control of three assemblies-a
rod, rotor, and hinge-at frequencies up to several Hz and the ability to actuate
into many conformations. This level of spatiotemporal control over DNA devices
can serve as a foundation for real-time manipulation of molecular and atomic
systems.
PMID- 29654316
TI - Immune-cognitive system connectivity reduces bumblebee foraging success in
complex multisensory floral environments.
AB - Bumblebees are declining at alarming rate worldwide, posing a significant threat
to the function and diversity of temperate ecosystems. These declines have been
attributed, in part, to the direct effect of specific pathogens on bumblebee
survival. However, pathogens may also have a negative impact on host populations
indirectly through immune-induced cognitive deficits in infected individuals. To
gain greater insight into mechanisms and potential conservation implications of
such 'immune-brain crosstalk' in bumblebees, we non-pathogenetically activated
humoral and cellular immune pathways in individuals and then tested for long-term
reductions in cognitive performance and foraging proficiency. We show that
chronic activation of humoral, but not a cellular, immune pathways and effectors
in foragers significantly reduces their ability to flexibly and efficiently
harvest resources in multi-sensory floral environments for at least 7 days post
treatment. Humoral defense responses thus have the potential to confer
significant foraging costs to bumblebee foragers over timeframes that would
negatively impact colony growth and reproductive output under natural conditions.
Our findings indicate that fitness effects of immune-brain crosstalk should be
considered before attributing wild bumblebee decline to a particular pathogen
species.
PMID- 29654317
TI - On the use of Parylene C polymer as substrate for peripheral nerve electrodes.
AB - Parylene C is a highly flexible polymer used in several biomedical implants.
Since previous studies have reported valuable biocompatible and manufacturing
characteristics for brain and intraneural implants, we tested its suitability as
a substrate for peripheral nerve electrodes. We evaluated 1-year-aged in vitro
samples, where no chemical differences were observed and only a slight deviation
on Young's modulus was found. The foreign body reaction (FBR) to longitudinal
Parylene C devices implanted in the rat sciatic nerve for 8 months was
characterized. After 2 weeks, a capsule was formed around the device, which
continued increasing up to 16 and 32 weeks. Histological analyses revealed two
cell types implicated in the FBR: macrophages, in contact with the device, and
fibroblasts, localized in the outermost zone after 8 weeks. Molecular analysis of
implanted nerves comparing Parylene C and polyimide devices revealed a peak of
inflammatory cytokines after 1 day of implant, returning to low levels
thereafter. Only an increase of CCL2 and CCL3 was found at chronic time-points
for both materials. Although no molecular differences in the FBR to both polymers
were found, the thick tissue capsule formed around Parylene C puts some concern
on its use as a scaffold for intraneural electrodes.
PMID- 29654318
TI - Inhibition of the NLRP3-inflammasome as a potential approach for neuroprotection
after stroke.
AB - Activation of the NOD-like receptor protein (NLRP3)-inflammasome has been
postulated to mediate inflammatory responses to brain damage during
ischemic/reperfusion (I/R) injury. We therefore hypothesized that MCC950, a
selective NLRP3-inflammasome inhibitor provides protection in mouse model of
transient middle cerebral artery occlusion (tMCAO). Focal cerebral ischemia was
induced by 60 min tMCAO followed by intraperitoneal administration of MCC950 (50
mg/kg) or saline at 1 h and 3 h post-occlusion. After 24 h of I/R, mice were
tested for neurological outcome and were sacrificed for the analysis of infarct
size and estimating NLRP3-inflammasome and apoptotic markers as well.
Spectrophotometric method was used to determine hemoglobin (Hb) content as a
marker of intracerebral hemorrhage. MCC950-treated mice showed a substantial
reduction in infarction, edema and Hb content compared to saline controls in
parallel with improved neurological deficits. MCC950 reduced expression of NLRP3
inflammasome cleavage products Caspase-1 and interlukin-1beta (IL-1beta) in
penumbral region. These protective effects of MCC950 were associated with
decreased TNF-alpha levels as well as poly (ADP-ribose) polymerase (PARP) and
Caspase-3 cleavage and paralleled less phosphrylated NFkappaBp65 and IkappaBalpha
levels. Taken together, these data indicate that inhibition of NLRP3-inflammasome
with MCC950 has therapeutic potential in ischemic stroke models. Further
investigations into the therapeutic efficacy and protocols are needed to confirm
whether MCC950 treatment could be a promising candidate for clinical trials.
PMID- 29654320
TI - The challenges facing synthetic biology in eukaryotes.
PMID- 29654319
TI - Rapid increase in atmospheric iodine levels in the North Atlantic since the mid
20th century.
AB - Atmospheric iodine causes tropospheric ozone depletion and aerosol formation,
both of which have significant climate impacts, and is an essential dietary
element for humans. However, the evolution of atmospheric iodine levels at
decadal and centennial scales is unknown. Here, we report iodine concentrations
in the RECAP ice-core (coastal East Greenland) to investigate how atmospheric
iodine levels in the North Atlantic have evolved over the past 260 years (1750
2011), this being the longest record of atmospheric iodine in the Northern
Hemisphere. The levels of iodine tripled from 1950 to 2010. Our results suggest
that this increase is driven by anthropogenic ozone pollution and enhanced sub
ice phytoplankton production associated with the recent thinning of Arctic sea
ice. Increasing atmospheric iodine has accelerated ozone loss and has
considerably enhanced iodine transport and deposition to the Northern Hemisphere
continents. Future climate and anthropogenic forcing may continue to amplify
oceanic iodine emissions with potentially significant health and environmental
impacts at global scale.
PMID- 29654321
TI - Publisher Correction: Chimeric peptide EP45 as a dual agonist at GLP-1 and NPY2R
receptors.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29654322
TI - CD4 T cell autophagy is integral to memory maintenance.
AB - Studies of mice deficient for autophagy in T cells since thymic development,
concluded that autophagy is integral to mature T cell homeostasis. Basal survival
and functional impairments in vivo, limited the use of these models to delineate
the role of autophagy during the immune response. We generated Atg5 f/f distal
Lck (dLck)-cre mice, with deletion of autophagy only at a mature stage. In this
model, autophagy deficiency impacts CD8+ T cell survival but has no influence on
CD4+ T cell number and short-term activation. Moreover, autophagy in T cells is
dispensable during early humoral response but critical for long-term antibody
production. Autophagy in CD4+ T cells is required to transfer humoral memory as
shown by injection of antigen-experienced cells in naive mice. We also observed a
selection of autophagy-competent cells in the CD4+ T cell memory compartment. We
performed in vitro differentiation of memory CD4+ T cells, to better characterize
autophagy-deficient memory cells. We identified mitochondrial and lipid load
defects in differentiated memory CD4+ T cells, together with a compromised
survival, without any collapse of energy production. We then propose that memory
CD4+ T cells rely on autophagy for their survival to regulate toxic effects of
mitochondrial activity and lipid overload.
PMID- 29654323
TI - Gold nanocrystal-mediated sliding of doublet DNA origami filaments.
AB - Sliding is one of the fundamental mechanical movements in machinery. In
macroscopic systems, double-rack pinion machines employ gears to slide two linear
tracks along opposite directions. In microscopic systems, kinesin-5 proteins
crosslink and slide apart antiparallel microtubules, promoting spindle bipolarity
and elongation during mitosis. Here we demonstrate an artificial nanoscopic
analog, in which gold nanocrystals can mediate coordinated sliding of two
antiparallel DNA origami filaments powered by DNA fuels. Stepwise and reversible
sliding along opposite directions is in situ monitored and confirmed using
fluorescence spectroscopy. A theoretical model including different energy
transfer mechanisms is developed to understand the observed fluorescence
dynamics. We further show that such sliding can also take place in the presence
of multiple DNA sidelocks that are introduced to inhibit the relative movements.
Our work enriches the toolbox of DNA-based nanomachinery, taking one step further
toward the vision of molecular nanofactories.
PMID- 29654324
TI - Integrated dual-tomography for refractive index analysis of free-floating single
living cell with isotropic superresolution.
AB - Digital holographic microtomography is a promising technique for three
dimensional (3D) measurement of the refractive index (RI) profiles of biological
specimens. Measurement of the RI distribution of a free-floating single living
cell with an isotropic superresolution had not previously been accomplished. To
the best of our knowledge, this is the first study focusing on the development of
an integrated dual-tomographic (IDT) imaging system for RI measurement of an
unlabelled free-floating single living cell with an isotropic superresolution by
combining the spatial frequencies of full-angle specimen rotation with those of
beam rotation. A novel 'UFO' (unidentified flying object) like shaped coherent
transfer function is obtained. The IDT imaging system does not require any
complex image-processing algorithm for 3D reconstruction. The working principle
was successfully demonstrated and a 3D RI profile of a single living cell,
Candida rugosa, was obtained with an isotropic superresolution. This technology
is expected to set a benchmark for free-floating single live sample measurements
without labeling or any special sample preparations for the experiments.
PMID- 29654325
TI - Shallow magma diversions during explosive diatreme-forming eruptions.
AB - The diversion of magma is an important mechanism that may lead to the relocation
of a volcanic vent. Magma diversion is known to occur during explosive volcanic
eruptions generating subterranean excavation and remobilization of country and
volcanic rocks. However, feedbacks between explosive crater formation and
intrusion processes have not been considered previously, despite their importance
for understanding evolving hazards during volcanic eruptions. Here, we apply
numerical modeling to test the impacts of excavation and subsequent infilling of
diatreme structures on stress states and intrusion geometries during the
formation of maar-diatreme complexes. Explosive excavation and infilling of
diatremes affects local stress states which inhibits magma ascent and drives
lateral diversion at various depths, which are expected to promote intra-diatreme
explosions, host rock mixing, and vent migration. Our models demonstrate novel
mechanisms explaining the generation of saucer-shaped sills, linked with magma
diversion and enhanced intra-diatreme explosive fragmentation during maar
diatreme volcanism. Similar mechanisms will occur at other volcanic vents
producing crater-forming eruptions.
PMID- 29654326
TI - Publisher Correction: Phosphatidylserine-mediated platelet clearance by
endothelium decreases platelet aggregates and procoagulant activity in sepsis.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29654327
TI - Possible superconductivity in the Bismuth IV solid phase under pressure.
AB - The first successful theory of superconductivity was the one proposed by Bardeen,
Cooper and Schrieffer in 1957. This breakthrough fostered a remarkable growth of
the field that propitiated progress and questionings, generating alternative
theories to explain specific phenomena. For example, it has been argued that
Bismuth, being a semimetal with a low number of carriers, does not comply with
the basic hypotheses underlying BCS and therefore a different approach should be
considered. Nevertheless, in 2016 based on BCS we put forth a prediction that Bi
at ambient pressure becomes a superconductor at 1.3 mK. A year later an
experimental group corroborated that in fact Bi is a superconductor with a
transition temperature of 0.53 mK, a result that eluded previous work. So, since
Bi is superconductive in almost all the different structures and phases, the
question is why Bi-IV has been elusive and has not been found yet to
superconduct? Here we present a study of the electronic and vibrational
properties of Bi-IV and infer its possible superconductivity using a BCS
approach. We predict that if the Bi-IV phase structure were cooled down to liquid
helium temperatures it would also superconduct at a T c of 4.25 K.
PMID- 29654328
TI - Degradation Kinetics of Inverted Perovskite Solar Cells.
AB - We explore the degradation behaviour under continuous illumination and direct
oxygen exposure of inverted unencapsulated
formamidinium(FA)0.83Cs0.17Pb(I0.8Br0.2)3, CH3NH3PbI3, and CH3NH3PbI3-xClx
perovskite solar cells. We continuously test the devices in-situ and in-operando
with current-voltage sweeps, transient photocurrent, and transient photovoltage
measurements, and find that degradation in the CH3NH3PbI3-xClx solar cells due to
oxygen exposure occurs over shorter timescales than FA0.83Cs0.17Pb(I0.8Br0.2)3
mixed-cation devices. We attribute these oxygen-induced losses in the power
conversion efficiencies to the formation of electron traps within the perovskite
photoactive layer. Our results highlight that the formamidinium-caesium mixed
cation perovskites are much less sensitive to oxygen-induced degradation than the
methylammonium-based perovskite cells, and that further improvements in
perovskite solar cell stability should focus on the mitigation of trap generation
during ageing.
PMID- 29654329
TI - Methane release from the southern Brazilian margin during the last glacial.
AB - Seafloor methane release can significantly affect the global carbon cycle and
climate. Appreciable quantities of methane are stored in continental margin
sediments as shallow gas and hydrate deposits, and changes in pressure,
temperature and/or bottom-currents can liberate significant amounts of this
greenhouse gas. Understanding the spatial and temporal dynamics of marine methane
deposits and their relationships to environmental change are critical for
assessing past and future carbon cycle and climate change. Here we present
foraminiferal stable carbon isotope and sediment mineralogy records suggesting
for the first time that seafloor methane release occurred along the southern
Brazilian margin during the last glacial period (40-20 cal ka BP). Our results
show that shallow gas deposits on the southern Brazilian margin responded to
glacial-interglacial paleoceanographic changes releasing methane due to the
synergy of sea level lowstand, warmer bottom waters and vigorous bottom currents
during the last glacial period. High sea level during the Holocene resulted in an
upslope shift of the Brazil Current, cooling the bottom waters and reducing
bottom current strength, reducing methane emissions from the southern Brazilian
margin.
PMID- 29654330
TI - [Conflicts of interest in guidelines].
PMID- 29654331
TI - [Injuries of ligaments and tendons of foot and ankle : What every radiologist
should know].
AB - Injuries of the ligaments and tendons of the ankle and foot are among the most
common musculoskeletal injuries. A correct and precise description of the
pathology and possible accompanying injuries is essential for treatment planning
by trauma and orthopedic surgeons. While X-ray is used to exclude fractures,
ultrasound is a very useful tool to assess the ligaments and tendons. For the
radiologist, magnetic resonance imaging (MRI) is invaluable regarding the correct
assessment of (partial) ruptures, as well as for evaluating accompanying
injuries. The aim of the present overview is to provide the most relevant facts
for radiologists regarding injuries of ligaments and tendons of the ankle and
foot. A description of expected MRI findings and possible pitfalls are presented.
For each ligament complex or tendon, we review the anatomy, followed by relevant
facts on biomechanics and typical findings in case of injury. The lateral and
medial ligament complex, syndesmosis, spring ligament complex, and the Lisfranc
ligament are shown in detail. The Achilles tendon and the peroneal tendons are
also discussed.
PMID- 29654332
TI - Prevalence and risk factors of psychiatric disorders in early adolescence: 2004
Pelotas (Brazil) birth cohort.
AB - PURPOSE: The present study aimed to evaluate the prevalence of psychiatric
disorders in early adolescence, to examine the distribution of psychiatric
disorders by maternal and child characteristics and to evaluate the occurrence of
psychiatric comorbidities. METHODS: This was a prospective cohort study of all
live births in the city of Pelotas, Brazil, in 2004 (n = 4231). A total of 3562
subjects were evaluated at 11 years of age. Psychiatric disorders were assessed
using the Development and Well-Being Assessment. Crude and adjusted logistic
regression was used to investigate risk factors for any psychiatric disorder.
RESULTS: According to DSM-5 criteria, the overall prevalence of psychiatric
disorders was 13.2% (n = 471), 15.6% among the boys and 10.7% among the girls.
The most common disorders were anxiety disorders (4.3%), any attention
deficit/hyperactivity disorder (4.0%) and any conduct/oppositional disorder
(2.8%). Low maternal education, smoking during pregnancy, the presence of moods
symptoms during pregnancy or maternal chronic and severe depressive symptoms in
the first years of the adolescent's life, male gender, 5-min Apgar score < 7 at
birth and preterm birth were associated with higher odds of any psychiatric
disorder at age 11. Psychiatric comorbidities were observed in 107 subjects
(22.7%), of whom 73, 24, and 10 had two, three, and four psychiatric diagnoses,
respectively. CONCLUSIONS: Our results underscore the importance of psychiatric
disorders as a prevalent condition in early adolescence, which has a direct
impact on the planning of public policies and specific mental health care
services in this age group.
PMID- 29654333
TI - Level 3 guideline on the treatment of patients with severe/multiple injuries :
AWMF Register-Nr. 012/019.
PMID- 29654334
TI - Brain morphological and microstructural features in cryptogenic late-onset
temporal lobe epilepsy: a structural and diffusion MRI study.
AB - PURPOSE: Although epilepsy in the elderly has attracted attention recently, there
are few systematic studies of neuroimaging in such patients. In this study, we
used structural MRI and diffusion tensor imaging (DTI) to investigate the
morphological and microstructural features of the brain in late-onset temporal
lobe epilepsy (TLE). METHODS: We recruited patients with TLE and an age of onset
> 50 years (late-TLE group) and age- and sex-matched healthy volunteers (control
group). 3-Tesla MRI scans, including 3D T1-weighted images and 15-direction DTI,
showed normal findings on visual assessment in both groups. We used Statistical
Parametric Mapping 12 (SPM12) for gray and white matter structural normalization
and comparison and used Tract-Based Spatial Statistics (TBSS) for fractional
anisotropy and mean diffusivity comparisons of DTI. In both methods, p < 0.05
(family-wise error) was considered statistically significant. RESULTS: In total,
30 patients with late-onset TLE (mean +/- SD age, 66.8 +/- 8.4; mean +/- SD age
of onset, 63.0 +/- 7.6 years) and 40 healthy controls (mean +/- SD age, 66.6 +/-
8.5 years) were enrolled. The late-onset TLE group showed significant gray matter
volume increases in the bilateral amygdala and anterior hippocampus and
significantly reduced mean diffusivity in the left temporofrontal lobe, internal
capsule, and brainstem. No significant changes were evident in white matter
volume or fractional anisotropy. CONCLUSIONS: Our findings may reflect some
characteristics or mechanisms of cryptogenic TLE in the elderly, such as
inflammatory processes.
PMID- 29654336
TI - Highlight report: Mycotoxins as food contaminants in Africa-challenges and
perspectives.
PMID- 29654335
TI - Why is the gender gap in life expectancy decreasing? The impact of age- and cause
specific mortality in Sweden 1997-2014.
AB - OBJECTIVES: To enhance the understanding of the current increase in life
expectancy and decreasing gender gap in life expectancy. METHODS: We obtained
data on underlying cause of death from the National Board of Health and Welfare
in Sweden for 1997 and 2014 and used Arriaga's method to decompose life
expectancy by age group and 24 causes of death. RESULTS: Decreased mortality from
ischemic heart disease had the largest impact on the increased life expectancy of
both men and women and on the decreased gender gap in life expectancy. Increased
mortality from Alzheimer's disease negatively influenced overall life expectancy,
but because of higher female mortality, it also served to decrease the gender gap
in life expectancy. The impact of other causes of death, particularly smoking
related causes, decreased in men but increased in women, also reducing the gap in
life expectancy. CONCLUSIONS: This study shows that a focus on overall changes in
life expectancies may hide important differences in age- and cause-specific
mortality. It also emphasizes the importance of addressing modifiable lifestyle
factors to reduce avoidable mortality.
PMID- 29654337
TI - Rapid recognition of volatile organic compounds with colorimetric sensor arrays
for lung cancer screening.
AB - Volatile organic compounds (VOCs) in breath can be used as biomarkers to identify
early stages of lung cancer. Herein, we report a disposable colorimetric array
that has been constructed from diverse chemo-responsive colorants.
Distinguishable difference maps were plotted within 4 min for specifically
targeted VOCs. Through the consideration of various chemical interactions with
VOCs, the arrays successfully discriminate between 20 different volatile organic
compounds in breath that are related to lung cancer. VOCs were identified either
with the visualized difference maps or through pattern recognition with an
accuracy of at least 90%. No uncertainties or errors were observed in the
hierarchical cluster analysis (HCA). Finally, good reproducibility and stability
of the array was achieved against changes in humidity. Generally, this work
provides fundamental support for construction of simple and rapid VOC sensors.
More importantly, this approach provides a hypothesis-free array method for
breath testing via VOC profiling. Therefore, this small, rapid, non-invasive,
inexpensive, and visualized sensor array is a powerful and promising tool for
early screening of lung cancer. Graphical abstract A disposable colorimetric
array has been developed with broadly chemo-responsive dyes to incorporate
various chemical interactions, through which the arrays successfully discriminate
20 VOCs that are related to lung cancer via difference maps alone or chemometrics
within 4 min. The hydrophobic porous matrix provides good stability against
changes in humidity.
PMID- 29654338
TI - Comprehensive assessment of measurement uncertainty in 13C-based metabolic flux
experiments.
AB - In the field of metabolic engineering 13C-based metabolic flux analysis
experiments have proven successful in indicating points of action. As every step
of this approach is affected by an inherent error, the aim of the present work is
the comprehensive evaluation of factors contributing to the uncertainty of
nonnaturally distributed C-isotopologue abundances as well as to the absolute
flux value calculation. For this purpose, a previously published data set,
analyzed in the course of a 13C labeling experiment studying glycolysis and the
pentose phosphate pathway in a yeast cell factory, was used. Here, for
isotopologue pattern analysis of these highly polar metabolites that occur in
multiple isomeric forms, a gas chromatographic separation approach with preceding
derivatization was used. This rendered a natural isotope interference correction
step essential. Uncertainty estimation of the resulting C-isotopologue
distribution was performed according to the EURACHEM guidelines with Monte Carlo
simulation. It revealed a significant increase for low-abundance isotopologue
fractions after application of the necessary correction step. For absolute flux
value estimation, isotopologue fractions of various sugar phosphates, together
with the assessed uncertainties, were used in a metabolic model describing the
upper part of the central carbon metabolism. The findings pinpointed the
influence of small isotopologue fractions as sources of error and highlight the
need for improved model curation. Graphical abstract ?.
PMID- 29654339
TI - A novel sandwich enzyme-linked immunosorbent assay with covalently bound
monoclonal antibody and gold probe for sensitive and rapid detection of bovine
beta-lactoglobulin.
AB - Bovine milk is a recognized allergenic food source with beta-lactoglobulin (BLG)
as its major allergen. Reliable detection of BLG epitopes can, therefore, be a
useful marker for the presence of milk in processed food products, and for
potential allergenicity. At the present, enzyme-linked immunosorbent assays
(ELISA) for the detection of BLG are time-consuming and generally not specific to
BLG IgE epitopes. In this study, the 1-ethyl-3-(3
dimethylaminopropyl)carbodiimide-activated anti-BLG IgE epitope monoclonal
antibody (mAb 1G9) was covalently bound onto the KOH-treated microtiter plate
surface. Using this mAb-bound plate in sandwich combination with biotinylated
anti-BLG polyclonal antibody-labeled gold nanoparticles, a linear dynamic range
between 31.25 and 64 * 103 ng mL-1 with a limit of detection for BLG of 0.49 ng
mL-1 was obtained, which is 32 times wider and 16 times more sensitive than
conventional sandwich ELISA (sELISA). Total recovery of BLG in spiked food
samples was found, without matrix effects. Also in partially hydrolyzed infant
formulas, the allergenic BLG residues were detected quantitatively. Compared with
conventional and commercial BLG detection sELISAs, our sELISA is reliable, highly
BLG epitope-specific, user-friendly, and time-saving and allows accurate
detection of potentially allergenic residues in different types of processed
foods. This improved sELISA protocol can be easily extended to detect other well
identified and characterized food allergens. Graphical abstract IgE epitope mAb
bound plate in sandwich combination with gold probe for sensitive and rapid
detection of bovine beta-lactoglobulin and its potentially allergenic residues.
PMID- 29654340
TI - Degradation product characterization of therapeutic oligonucleotides using liquid
chromatography mass spectrometry.
AB - Synthetic antisense phosphorothioate oligonucleotides (PS) have undergone rapid
development as novel therapeutic agents. The increasing significance of this
class of drugs requires significant investment in the development of quality
control methods. The determination of the many degradation pathways of such
complex molecules presents a significant challenge. However, an understanding of
the potential impurities that may arise is necessary to continue to advance these
powerful new therapeutics. In this study, four different antisense
oligonucleotides representing several generations of oligonucleotide therapeutic
agents were evaluated under various stress conditions (pH, thermal, and oxidative
stress) using ion-pairing reversed-phase liquid chromatography tandem mass
spectrometry (IP-RPLC-MS/MS) to provide in-depth characterization and
identification of the degradation products. The oligonucleotide samples were
stressed under different pH values at 45 and 90 degrees C. The main degradation
products were observed to be losses of nucleotide moieties from the 3'- and 5'
terminus, depurination, formation of terminal phosphorothioates, and production
of ribose, ribophosphorothioates (Rp), and phosphoribophosphorothioates (pRp).
Moreover, the effects of different concentrations of hydrogen peroxide were
studied resulting in primarily extensive desulfurization and subsequent oxidation
of the phosphorothioate linkage to produce the corresponding phosphodiester. The
reaction kinetics for the degradation of the oligonucleotides under the different
stress conditions were studied and were found to follow pseudo-first-order
kinetics. Differences in rates exist even for oligonucleotides of similar length
but consisting of different sequences. Graphical abstract Identification of
degradation products across several generations of oligonucleotide therapeutics
using LC-MS.
PMID- 29654341
TI - Biomimetic trapping cocktail to screen reactive metabolites: use of an amino acid
and DNA motif mixture as light/heavy isotope pairs differing in mass shift.
AB - Candidate drugs that can be metabolically transformed into reactive electrophilic
products, such as epoxides, quinones, and nitroso compounds, are of special
concern because subsequent covalent binding to bio-macromolecules can cause
adverse drug reactions, such as allergic reactions, hepatotoxicity, and
genotoxicity. Several strategies have been reported for screening reactive
metabolites, such as a covalent binding assay with radioisotope-labeled drugs and
a trapping method followed by LC-MS/MS analyses. Of these, a trapping method
using glutathione is the most common, especially at the early stage of drug
development. However, the cysteine of glutathione is not the only nucleophilic
site in vivo; lysine, histidine, arginine, and DNA bases are also nucleophilic.
Indeed, the glutathione trapping method tends to overlook several types of
reactive metabolites, such as aldehydes, acylglucuronides, and nitroso compounds.
Here, we introduce an alternate way for screening reactive metabolites as
follows: A mixture of the light and heavy isotopes of simplified amino acid
motifs and a DNA motif is used as a biomimetic trapping cocktail. This mixture
consists of [2H0]/[2H3]-1-methylguanidine (arginine motif, Delta 3 Da),
[2H0]/[2H4]-2-mercaptoethanol (cysteine motif, Delta 4 Da), [2H0]/[2H5]-4
methylimidazole (histidine motif, Delta 5 Da), [2H0]/[2H9]-n-butylamine (lysine
motif, Delta 9 Da), and [13C0,15N0]/[13C1,15N2]-2'-deoxyguanosine (DNA motif,
Delta 3 Da). Mass tag triggered data-dependent acquisition is used to find the
characteristic doublet peaks, followed by specific identification of the light
isotope peak using MS/MS. Forty-two model drugs were examined using an in vitro
microsome experiment to validate the strategy. Graphical abstract Biomimetic
trapping cocktail to screen reactive metabolites.
PMID- 29654343
TI - Osteoimmunology.
PMID- 29654342
TI - Bisphosphonates for the preservation of periprosthetic bone mineral density after
total joint arthroplasty: a meta-analysis of 25 randomized controlled trials.
AB - : The present meta-analysis aimed to evaluate the long-term efficacy of
bisphosphonates (BPs) on preservation of periprosthetic bone mineral density
(BMD) after joint arthroplasty. It confirmed the protective effect of BPs in a
long-term follow-up, and found the influence factors on this effect.
INTRODUCTION: Periprosthetic bone loss is believed to cause aseptic loosening and
failed prosthetic fixation in joint arthroplasty. This meta-analysis which
included high-quality randomized controlled trials aimed to analyze the effect of
bisphosphonates on maintaining periprosthetic bone mineral density after total
joint arthroplasty. METHODS: Twenty-five RCTs were included and the total number
of participants was 1163 by computerized searches of bibliographic databases. The
weighted mean differences with 95% confidence interval were calculated to
evaluate the efficacy of BPs on total periprosthetic BMD and the BMD of different
Gruen zones. Subgroup analyses identified the potentially influencing factors
such as surgical site, cement fixation, and generation of BPs. A descriptive
review was conducted for BP-related adverse effects. RESULTS: The BPs group
presented significantly higher total periprosthetic BMD in the BPs group than
that in the control group at 3, 6, 12 months, 2-4 years, and 5-10 years after
arthroplasty (P < 0.05). The BPs group presented significantly higher
periprosthetic BMD in femoral Gruen Zone 1 and 7 than that in the control group
at 3, 6, 12 months, 2-4 years, and 5-10 years (P < 0.05). The heterogeneity was
minimized by dividing THA and TKA into two subgroups. Subgroup analyses revealed
that the effect of BPs on preservation of BMD was significantly greater in
arthroplasty with cemented component than in that with uncemented component at 12
months and 5-10 years (P < 0.05), and the administration of the second and third
generation BPs was significantly more effective than the first-generation BPs at
6 and 12 months (P < 0.05). None of the included studies described severe or
fatal adverse effects related to BPs. CONCLUSIONS: BPs have significantly long
term efficacy on the preservation of periprosthetic BMD after joint arthroplasty.
To obtain a better efficacy, the cemented components and the second and third
generation BPs are recommended.
PMID- 29654344
TI - Reply: Should we assess repeatability of PET quantitative uptake measurements of
each 18F-labelled tracer?
PMID- 29654345
TI - Methylene Blue: A Color Test for a Quality De-epithelialization.
PMID- 29654347
TI - Is very short-course antibiotic therapy possible in postoperative intra-abdominal
infections? Discussion on "Short-course antibiotic therapy for critically ill
patients treated for postoperative intra-abdominal infection: the DURAPOP
randomised clinical trial".
PMID- 29654348
TI - Dynamic contrast-enhanced magnetic resonance imaging for differentiating
osteomyelitis from acute neuropathic arthropathy in the complicated diabetic
foot.
AB - OBJECTIVE: The main purpose of this study was to investigate the diagnostic value
of dynamic contrast-enhanced MRI (DCE-MRI) in differentiating osteomyelitis from
acute neuropathic arthropathy in the diabetic foot. MATERIALS AND METHODS: This
prospective study was carried out on 30 diabetic foot patients, with a mean age
of 51 years. The patients all underwent clinical examinations, laboratory
examinations and DCE-MRI. The DCE-MRI parameters (Ktrans, Kep and Ve) of the
regions of acute neuropathic arthropathy and osteomyelitis were calculated.
Receiver operating characteristic curves (ROCs) were used to identify the DCE-MRI
parameters that showed the highest accuracy in differentiating the acute
neuropathic arthropathy from the osteomyelitic regions. Pearson correlation
coefficients were used to assess the correlations among the DCE-MRI parameters,
the level of C-reactive protein (CRP) and the erythrocyte sedimentation rate
(ESR). RESULTS: The Ktrans, Kep and Ve values of the osteomyelitic regions were
higher than those of the acute neuropathic arthropathy regions, and significant
differences were found between the two groups (P = 0.000, P = 0.000, P = 0.000).
The ROC analysis showed that Ktrans and Ve performed best in differentiating
osteomyelitis from acute neuropathic arthropathy, both with an area under the
curve of 0.938. The Pearson correlation coefficients showed that the DCE-MRI
parameters correlated significantly with the level of CRP and ESR (P = 0.000, P =
0.014, P = 0.000; P = 0.000, P = 0.000, P = 0.013). CONCLUSIONS: Our results
showed that DCE-MRI may provide reproducible parameters that can reliably
differentiate osteomyelitis from acute neuropathic arthropathy.
PMID- 29654349
TI - Prevalence of urinary incontinence in female athletes: a systematic review with
meta-analysis.
AB - Urinary incontinence (UI) is any involuntary loss of urine. In female athletes,
physical exercise may be a risk factor for UI because of increased intra
abdominal pressure generated during high-impact exercises, which overloads the
pelvic organs, predisposing them to UI. This is a systematic review of the
prevalence of UI in female athletes in different sports. A search for articles
was carried out in the PEDro, Scopus, Cinahl, PubMed, LILACS, SciELO, Science
Direct, Web of Science, Embase, and Cochrane databases as well as a manual search
of the references of studies already published on the subject with the keywords
"athlete," "urinary incontinence," and "women" in Portuguese and English. Only
articles published from 2000 to 2016 were included. Observational studies
assessing the prevalence of UI in female athletes were selected. Methodological
quality was assessed using the Downs and Black scale, and the data collected from
the studies were analyzed through meta-analysis. Eight studies met the
eligibility criteria. Meta-analysis showed a 36% prevalence of UI in female
athletes in different sports, and compared with sedentary women, the athletes had
a 177% higher risk of presenting with UI. There is a higher prevalence of UI in
female athletes compared with sedentary women. There have been reports of UI in
different sports.
PMID- 29654350
TI - Prospective comparison of obstetric anal sphincter injury incidence between an
Asian and Western hospital.
AB - INTRODUCTION AND HYPOTHESIS: Obstetric anal sphincter injury (OASIS) rates are
reported to be higher in Asian women living in Western countries than in those
living in Asia, but the reasons for the differences remain unclear. The
objectives of this study were for a single examiner to prospectively compare
OASIS rates in primiparous Asian women in an Asian and Western birth unit and
determine potential birth factors that may influence the possible difference in
OASIS incidence. METHODS: This was a prospective observational study based in
Hong Kong, China, and Sydney, Australia, involving primiparous women > 36 weeks
gestation of Asian descent undergoing vaginal delivery. A single examiner
recorded basic patient demographics, observed all the deliveries at both sites,
noting birthing techniques, and then examined the women, including a rectal
examination, to determine OASIS incidence. RESULTS: Seventy births in Hong Kong
and 66 in Sydney were studied. The incidence of OASIS was 34% in Sydney and 10%
in Hong Kong (p = 0.001). Birthweight, epidural rate, body mass index, and
instrumental delivery were higher in Sydney. Episiotomy rates were higher in Hong
Kong (59.2% vs. 82.9%; p = 0.007). When comparing OASIS with no-OASIS, perineal
length (OR = 0.36, 95% CI 0.17 to 0.76, p = 0.004) and birthweight (OR = 1.14,
95% CI 1.00 to 1.30, p = 0.039) were independent risk factors for OASIS.
CONCLUSIONS: The incidence of OASIS in Asian women is significantly higher in a
Western than in an Asian setting. In Asian women, perineal length and birthweight
can affect the risk of OASIS at the time of vaginal delivery.
PMID- 29654346
TI - Targeting the intracellular signaling "STOP" and "GO" pathways for the treatment
of alcohol use disorders.
AB - In recent years, research has identified the molecular and neural substrates
underlying the transition of moderate "social" consumption of alcohol to the
characteristic alcohol use disorder (AUD) phenotypes including excessive and
compulsive alcohol use which we define in the review as the GO signaling
pathways. In addition, growing evidence points to the existence of molecular
mechanisms that keep alcohol consumption in check and that confer resilience for
the development of AUD which we define herein as the STOP signaling pathways. In
this review, we focus on examples of the GO and the STOP intracellular signaling
pathways and discuss our current knowledge of how manipulations of these pathways
may be used for the treatment of AUD.
PMID- 29654351
TI - Inhibitory effects of eugenol on putative nociceptive response in spinal cord
preparation isolated from neonatal rats.
AB - Eugenol is contained in several plants including clove and is thought to exert an
analgesic effect. It has been suggested that the slow ventral root potential
induced by ipsilateral dorsal root stimulation in the isolated (typically lumbar)
spinal cord of newborn rats reflects the nociceptive response, and this in vitro
experimental model is useful to assess the actions of analgesics. To further
elucidate neuronal mechanisms of eugenol-induced analgesia, we examined the
effects of extracellularly applied eugenol on the nociceptive spinal reflex
response. To evaluate the effects of eugenol on putative nociceptive responses,
the ipsilateral fifth lumbar (L5) dorsal root was stimulated using a glass
suction electrode, and the induced reflex responses were recorded from the L5 and
twelfth thoracic (Th12) ventral roots in spinal cord preparations (Th10-L5) from
newborn rats (postnatal day 0-3). We found that eugenol (0.25-1.0 mM) caused dose
dependent attenuation of the reflex response and also depressed spontaneous
ventral root activity. We also found that the slow ventral root potential was
further divided into two components: initial and late components. A lower
concentration of eugenol selectively depressed the late component. The inhibitory
effects by 1.0 mM eugenol were not reversed by 10 uM capsazepine (TRPV1
antagonist) or 40 uM HC-030031 (TRPA1 antagonist). The depressive effect of
eugenol on the reflex response was also confirmed by optical recordings using
voltage-sensitive dye. Our report provides additional evidence on the basic
neuronal mechanisms of eugenol to support its clinical use as a potential
analgesic treatment.
PMID- 29654353
TI - DNA methylcytosine dioxygenase ten-eleven translocation 2 enhances
lipopolysaccharide-induced cytokine expression in human dental pulp cells by
regulating MyD88 hydroxymethylation.
AB - Dental pulp inflammation is a bacterially driven inflammation process
characterized by the local accumulation of cytokines/chemokines that participate
in destructive processes in the pulp. Multiple mechanisms are involved in dental
pulp inflammation, including epigenetic events, such as DNA
methylation/demethylation. Ten-eleven translocation 2 (TET2) is a recently
discovered DNA methylcytosine dioxygenase that plays important roles in
inflammatory disease. However, its role in the inflammatory response of dental
pulp is unknown. We observed elevated mRNA and protein levels of TET2 after
lipopolysaccharide (LPS) stimulation in human dental pulp cells (hDPCs). To
identify the effects of TET2 on cytokine expression, TET2 was knocked down and
cytokines were detected using a cytokine antibody array after LPS stimulation.
The protein expression of GM-CSF, IL-6, IL-8 and RANTES decreased in the LPS
induced hDPCs following TET2 knockdown. The downregulated expression levels of IL
6 and IL-8 were further confirmed by real-time quantitative polymerase chain
reaction (qRT-PCR) and enzyme-linked immunosorbent assay (ELISA). Additionally,
the phosphorylation levels of IKK-alpha/beta, p65 and IkappaBalpha of the NF
kappaB signaling pathway were decreased in the TET2-silenced group. Furthermore,
the global 5-hydroxymethylcytosine (5hmC) level was significantly decreased and
the genomic 5-methylcytosine (5mC) level was increased in the TET2-deficient
hDPCs; TET2 depletion resulted in a decrease in the 5hmC level of the MyD88
promoter following LPS stimulation. These findings indicate that TET2 knockdown
inhibits LPS-induced inflammatory response in hDPCs by downregulating MyD88
hydroxymethylation. Thus, TET2-dependent DNA demethylation might play an
important role in dental pulp inflammation as an epigenetic regulator.
PMID- 29654352
TI - Occult head injury is common in children with concern for physical abuse.
AB - BACKGROUND: Studies evaluating small patient cohorts have found a high, but
variable, rate of occult head injury in children <2 years old with concern for
physical abuse. The American College of Radiology (ACR) recommends clinicians
have a low threshold to obtain neuroimaging in these patients. OBJECTIVES: Our
aim was to determine the prevalence of occult head injury in a large patient
cohort with suspected physical abuse using similar selection criteria from
previous studies. Additionally, we evaluated proposed risk factors for
associations with occult head injury. MATERIALS AND METHODS: This was a
retrospective, secondary analysis of data collected by an observational study of
20 U.S. child abuse teams that evaluated children who underwent subspecialty
evaluation for concern of abuse. We evaluated children <2 years old and excluded
those with abnormal mental status, bulging fontanelle, seizure, respiratory
arrest, underlying neurological condition, focal neurological deficit or scalp
injury. RESULTS: One thousand one hundred forty-three subjects met inclusion
criteria and 62.5% (714) underwent neuroimaging with either head computed
tomography or magnetic resonance imaging. We found an occult head injury
prevalence of 19.7% (141). Subjects with emesis (odds ratio [OR] 3.5, 95%
confidence interval [CI] 1.8-6.8), macrocephaly (OR 8.5, 95% CI 3.7-20.2), and
loss of consciousness (OR 5.1, 95% CI 1.2-22.9) had higher odds of occult head
injury. CONCLUSION: Our results show a high prevalence of occult head injury in
patients <2 years old with suspected physical abuse. Our data support the ACR
recommendation that clinicians should have a low threshold to perform
neuroimaging in patients <2 years of age.
PMID- 29654354
TI - Application and measurement properties of EQ-5D to measure quality of life in
patients with upper extremity orthopaedic disorders: a systematic literature
review.
AB - INTRODUCTION: The EuroQol-5 Dimension (EQ-5D) is the most widely used generic
instrument to measure quality of life (QoL), yet its application in upper
extremity orthopaedics as well as its measurement properties remain largely
undefined. We implemented a systematic literature review to provide an overview
of the application of EQ-5D in patients with upper extremity disorders and
analyse its measurement properties. MATERIALS AND METHODS: We searched Medline,
EMBASE, Cochrane and Scopus databases for clinical studies including orthopaedic
patients with surgical interventions of the upper extremity who completed the EQ
5D. For all included studies, the use of EQ-5D and quantitative QoL data were
described. Validation studies of EQ-5D were assessed according to COSMIN
guidelines and standard measurement properties were examined. RESULTS: Twenty
three studies were included in the review, 19 of which investigated patients with
an intervention carried out at the shoulder region. In 15 studies, EQ-5D assessed
QoL as the primary outcome. Utility index scores in non-trauma patients generally
improved postoperatively, whereas trauma patients did not regain their recalled
pre-injury QoL levels. EQ-5D measurement properties were reported in three
articles on proximal humerus fractures and carpal tunnel syndrome. Positive
ratings were seen for construct validity (Spearman correlation coefficient >=
0.70 with the Short Form (SF)-12 or SF-6D health surveys) and reliability
(intraclass correlation coefficient >= 0.77) with intermediate responsiveness
(standardised response means: 0.5-0.9). However, ceiling effects were identified
with 16-48% of the patients scoring the maximum QoL. The methodological quality
of the three articles varied from fair to good. CONCLUSIONS: For surgical
interventions of the upper extremity, EQ-5D was mostly applied to assess QoL as a
primary outcome in patients with shoulder disorders. Investigations of the
measurement properties were rare, but indicate good reliability and validity as
well as moderate responsiveness in patients with upper extremity conditions.
PMID- 29654355
TI - Comparison of the paralogous transcription factors AraR and XlnR in Aspergillus
oryzae.
AB - The paralogous transcription factors AraR and XlnR in Aspergillus regulate genes
that are involved in degradation of cellulose and hemicellulose and catabolism of
pentose. AraR and XlnR target the same genes for pentose catabolism but target
different genes encoding enzymes for polysaccharide degradation. To uncover the
relationship between these paralogous transcription factors, we examined their
contribution to regulation of the PCP genes and compared their preferred
recognition sequences. Both AraR and XlnR are involved in induction of all the
pentose catabolic genes in A. oryzae except larA encoding L-arabinose reductase,
which was regulated by AraR but not by XlnR. DNA-binding studies revealed that
the recognition sequences of AraR and XlnR also differ only slightly; AraR
prefers CGGDTAAW, while XlnR prefers CGGNTAAW. All the pentose catabolic genes
possess at least one recognition site to which both AraR and XlnR can bind.
Cooperative binding by the factors was not observed. Instead, they competed to
bind to the shared sites. XlnR bound to the recognition sites mentioned above as
a monomer, but bound to the sequence TTAGSCTAA on the xylanase promoters as a
dimer. Consequently, AraR and XlnR have significantly similar, but not the same,
DNA-binding properties. Such a slight difference in these paralogous
transcription factors may lead to complex outputs in enzyme production depending
on the concentrations of coexisting inducer molecules in the natural environment.
PMID- 29654356
TI - Immobilization of cellulase on thermo-sensitive magnetic microspheres: improved
stability and reproducibility.
AB - Magnetic double-shell hybrid microspheres (Fe3O4@SiO2@p(NIPAM-co-GMA)) have been
developed as a promising supported substrate for the immobilization of cellulase.
Since the surface of the magnetic microspheres not only contains an epoxy group
from GMA (glycidyl methacrylate) that can covalently bind to the enzyme, but also
has an intelligent temperature response property from NIPAM (N
isopropylacrylamide), the cellulase can be covalently bonded to the magnetic
microspheres and have a temperature-sensitive capability. The immobilized
cellulase has the recovery ability of cellulase activity after a high-temperature
inactivation. The average amount and activity of immobilized enzymes,
respectively, was 233 mg g-1, 57.4 U mg-1 under the optimized conditions. The
experimental results show that the immobilized cellulase has a wider catalytic
temperature range, better temperature and storage stability. The residual
activity still remained about 65.6% of the initial activity after the sixth
catalysis run, which indicated that the immobilized enzyme had high
reproducibility.
PMID- 29654357
TI - Pretreatment of different food rest materials for bioconversion into fungal lipid
rich biomass.
AB - Food rest materials have the potential to be used as media components in various
types of fermentations. Oleaginous filamentous fungi can utilize those components
and generate a high-value lipid-rich biomass, which could be further used for
animal and human use. One of the main limitations in this process is the
pretreatment of food rest materials, needed to provide homogenization,
sterilization and solubilization. In this study, two pretreatment processes-steam
explosion and enzymatic hydrolysis-were evaluated for potato and animal protein
rich food rest materials. The pretreated food rest materials were used for the
production of fungal lipid-rich biomass in submerged fermentation by the
oleaginous fungus Mucor circinelloides. Cultivation media based on malt extract
broth and glucose were used as controls of growth and lipid production,
respectively. It was observed that media based on food rest materials can support
growth and lipid production in M. circinelloides to a similar extent as the
control media. More specifically, the use of potato hydrolysate combined with
chicken auto-hydrolysate resulted in a higher fungal total biomass weight than
using malt extract broth. When the same C/N ratio was used for glucose and rest
materials-based media, similar lipid content was obtained or even higher using
the latter media.
PMID- 29654358
TI - Minimizing Post-operative Complications of Groin Dissection Using Modified Skin
Bridge Technique: A Single-Centre Descriptive Study Showing Post-operative and
Early Oncological Outcomes.
AB - INTRODUCTION: Historically, groin dissections are associated with high morbidity.
Various modifications have been described in the literature with inconsistent
outcomes. The aim of this paper is to highlight modified skin bridge technique to
minimize all post-operative complications of groin dissection without
compromising early oncological outcomes. METHODS: A retrospective descriptive
study of the computerized cancer database was performed to retrieve details of
all the cancer patients who had undergone groin dissections during January 2012
to September 2016. Data pertaining to clinical profile including demographics,
clinical and histopathological details, treatment profile, procedure-related
morbidity and relapse patterns were extracted and analysed. RESULTS: A total of
75 patients underwent 105 groin dissections during this period. Out of 105 groin
dissections, 43 were inguinal lymph node dissection (ILND) and 62 were combined
ilio-inguinal lymph node dissection (IILND). The most common diagnosis was
carcinoma penis (25%) followed by malignant melanoma (14.6%) and squamous cell
carcinoma (13.33%) of lower extremities. Overall, the most common complications
were seroma (14.28%) and skin edge necrosis (7.61%) followed by surgical site
infection (4.76%). After a median follow-up of 17.64 months (IQR 5-61.53), a
total of 18 patients (24%) developed recurrence. CONCLUSION: Groin dissection
still remains an important diagnostic as well as therapeutic procedure justifying
its potential of morbidity. Modified skin bridge technique is a very effective
method to minimize all post-operative complications with optimal early
oncological outcomes.
PMID- 29654359
TI - Pseudotumor cerebri in kaposiform lymphangiomatosis: a case report and
pathogenetic hypothesis.
AB - CASE REPORT: A 4-year-old boy with kaposiform lymphangiomatosis (KLA) developed
progressive headaches and papilloedema and was diagnosed with pseudotumor cerebri
initially treated with acetazolamide. Clinical deterioration prompted placement
of a ventriculoperitoneal shunt. After the surgery, the child's condition has
markedly improved. DISCUSSION AND CONCLUSIONS: A network of intracranial
lymphatics is presently being investigated. Neuroimaging excluded KLA
infiltration of the skull and/or meninges, leaving as the most plausible
explanation for the child's pseudotumor cerebri the existence of an increase in
intracranial venous pressure by venous compression at the thorax. To our
knowledge, our case constitutes the first report of pseudotumor cerebri occurring
in the context of KLA.
PMID- 29654360
TI - How do cortico-striatal projections impact on downstream pallidal circuitry?
AB - The frontal cortico-basal ganglia network plays a central role in action
selection, associative learning, and motivation, processes requiring the
integration of information from functionally distinct cortical regions. The
cortico-striatal projection is a likely substrate of information integration, as
terminal fields from different cortical regions converge in the striatum. These
intersecting projections form complex zones of unique cortical inputs. Here, our
goal was to follow these projection zones downstream in the basal ganglia to the
globus pallidus. We combined a sizable database of 3D models of striato-pallidal
chartings in macaques with maps of frontal cortical inputs to determine the
topography of the striato-pallidal projection and the indirect cortical influence
over the pallidum. We found that the striato-pallidal projection is highly
topographic, with the location of the striatal injection site strongly predicting
the location of the resulting pallidal terminal fields. Furthermore, striato
pallidal projections are specific and largely nonoverlapping. Thus, striatal hubs
receiving unique combinations of cortical inputs have distinct projections to the
pallidum. However, because of the strong convergence of cortical terminal fields
in the striatum, the indirect pallidal representation of any given frontal
cortical region remains broad. We illustrate this arrangement by contrasting the
pallidal projections from two nearby striatal cases: one a putative hub for
cortical attentional bias signals, and the other with a different, more ventral
set of cortical inputs. Thus, the striato-pallidal projection faithfully conveys
unique combinations of cortical inputs to different locations within the pallidum
via the striatum.
PMID- 29654361
TI - Identification of zoonotic Giardia duodenalis in Korean native calves with normal
feces.
AB - Giardia duodenalis is an important zoonotic protozoan parasite that infects
humans and other animals. This study was conducted to investigate the prevalence
and molecular characterization of G. duodenalis infection in the feces of normal
and diarrheic pre-weaned calves aged 1-60 days. Fecal samples were collected from
90 Korean native calves in 7 different regions in the central region of the
Republic of Korea (ROK). Of the 90 fecal samples, 9 (10%, 9/90) were positive for
G. duodenalis by PCR using the beta-giardin gene. Phylogenetic analysis
identified G. duodenalis assemblages A and E in the farms examined and G.
duodenalis with both assemblage A and E was detected in one farm. Assemblage A
was detected in calves with normal feces, while assemblage E was frequently
identified in calves with diarrhea. This is the first report of the
identification of assemblage A in pre-weaned calves with normal feces in the ROK.
These results suggest that G. duodenalis infection is closely associated with
calf age and management systems including overcrowding, age-mixed grouping, and
hygiene. Thus, giardiasis in calves is an important disease because of its
zoonotic significance and should be screened in young animals. Further studies
are necessary to elucidate the transmission routes of zoonotic G. duodenalis
assemblages.
PMID- 29654362
TI - An Improved Approach for Forecasting Ecological Impacts from Future Drilling in
Unconventional Shale Oil and Gas Plays.
AB - Directional well drilling and hydraulic fracturing has enabled energy production
from previously inaccessible resources, but caused vegetation conversion and
landscape fragmentation, often in relatively undisturbed habitats. We improve
forecasts of future ecological impacts from unconventional oil and gas play
developments using a new, more spatially-explicit approach. We applied an energy
production outlook model, which used geologic and economic data from thousands of
wells and three oil price scenarios, to map future drilling patterns and evaluate
the spatial distribution of vegetation conversion and habitat impacts. We
forecast where future well pad construction may be most intense, illustrating
with an example from the Eagle Ford Shale Play of Texas. We also illustrate the
ecological utility of this approach using the Spot-tailed Earless Lizard
(Holbrookia lacerata) as the focal species, which historically occupied much of
the Eagle Ford and awaits a federal decision for possible Endangered Species Act
protection. We found that ~17,000-45,500 wells would be drilled 2017-2045
resulting in vegetation conversion of ~26,485-70,623 ha (0.73-1.96% of pre
development vegetation), depending on price scenario ($40-$80/barrel). Grasslands
and row crop habitats were most affected (2.30 and 2.82% areal vegetation
reduction). Our approach improves forecasts of where and to what extent future
energy development in unconventional plays may change land-use and ecosystem
services, enabling natural resource managers to anticipate and direct on-the
ground conservation actions to places where they will most effectively mitigate
ecological impacts of well pads and associated infrastructure.
PMID- 29654363
TI - Assessing the Hydrogeomorphic Effects of Environmental Flows using Hydrodynamic
Modeling.
AB - Water managers are increasingly using environmental flows (e-flows) as a tool to
improve ecological conditions downstream from impoundments. Recent studies have
called for e-flow approaches that explicitly consider impacts on hydrogeomorphic
processes when developing management alternatives. Process-based approaches are
particularly relevant in river systems that have been highly modified and where
water supplies are over allocated. One-dimensional (1D) and two-dimensional (2D)
hydrodynamic models can be used to resolve hydrogeomorphic processes at different
spatial and temporal scales to support the development, testing, and refinement
of e-flow hypotheses. Thus, the objective of this paper is to demonstrate the use
of hydrodynamic models as a tool for assisting stakeholders in targeting and
assessing environmental flows within a decision-making framework. We present a
case study of e-flows on the Rio Chama in northern New Mexico, USA, where 1D and
2D hydrodynamic modeling was used within a collaborative process to implement an
e-flow experiment. A specific goal of the e-flow process was to improve spawning
habitat for brown trout by flushing fine sediments from gravel features. The
results revealed that the 2D hydrodynamic model provided much greater insight
with respect to hydrodynamic and sediment transport processes, which led to a
reduction in the recommended e-flow discharge. The results suggest that 2D
hydrodynamic models can be useful tools for improving process understanding,
developing e-flow recommendations, and supporting adaptive management even when
limited or no data are available for model calibration and validation.
PMID- 29654364
TI - Cognitive control over unconscious cognition: flexibility and generalizability of
task set influences on subsequent masked semantic priming.
AB - Recent studies using an induction task paradigm indicated that unconscious
automatic processes underlying masked semantic priming are susceptible to
cognitive control influences. In this paradigm, participants first perform
different induction tasks (semantic decision vs. perceptual decision), which
serve to activate a corresponding task set. Thereafter, the masked prime and the
target for the lexical decision task is presented. Previously, perceptual and
semantic induction tasks were presented in separate blocks, and the response to
the induction task was given immediately after the inducing stimulus. The present
study, therefore, tested two possible boundary conditions, flexibility of
cognitive control and completeness of task set execution, for the emergence of
task set effects on masked semantic priming. In the first experiment, perceptual
and semantic induction tasks were presented in a randomized fashion, to assess
whether task set influences on masked semantic priming can occur on a trial-by
trial basis. The other two experiments tested whether task set effects on masked
priming survive, when the response to the induction task is delayed. The present
study yielded the same pattern of results irrespective of the variations in the
induction task paradigm: When the masked prime was shortly presented after the
induction task, masked semantic priming was larger subsequent to the semantic
than subsequent to the perceptual induction task. The present study shows that
task sets can configure unconscious processing streams rapidly on a trial-by
trial basis and demonstrates the generalizability of cognitive control effects on
masked semantic priming across variations of the induction task paradigm.
PMID- 29654365
TI - Reply to the letter by Kumar and Kanchan "Age estimation based on aspartic acid
racemization in cariesaffected teeth: need for further explorations".
PMID- 29654366
TI - N-Acetylglucosaminidase activity, a functional trait of chitin degradation, is
regulated differentially within two orders of ectomycorrhizal fungi: Boletales
and Agaricales.
AB - Chitin is one of the most abundant nitrogen-containing polymers in forest soil.
Ability of ectomycorrhizal (EM) fungi to utilize chitin may play a key role in
the EM symbiosis nutrition and soil carbon cycle. In forest, EM fungi exhibit
high diversity, which could be based on function partitioning and trait
complementarity. Although it has long been recognized that closely related
species share functional characteristics, the phylogenetic conservatism of
functional traits within microorganisms remains unclear. Because extracellular N
acetylglucosaminidase activity has been proposed as functional trait of chitin
degradation, we screened this activity on 35 EM fungi species with or without
chitin in the growth medium to (i) describe the functional diversity of EM fungi
and (ii) identify potential links between this functional trait and EM fungal
phylogeny. We observed large variations of the extracellular N
acetylglucosaminidase activities among the fungal strains. Furthermore, our
results revealed two regulation patterns of extracellular N-acetylglucosaminidase
activities. Indeed, these chitinolytic activities were stimulated or repressed in
the presence of chitin, in comparison to the control treatment. These profiles of
extracellular N-acetylglucosaminidase stimulation/repression might be conserved
at a high phylogenetic level in the Basidiomycota phylum, as illustrated by the
opposite patterns of regulation between Boletales and Agaricales. Finally, the
downregulation of this activity by chitin, for some EM fungal groups, might
suggest another chitin degradation pathway.
PMID- 29654367
TI - Ectomycorrhizal fungal diversity and community structure associated with cork oak
in different landscapes.
AB - Cork oak (Quercus suber L.) forests play an important ecological and economic
role. Ectomycorrhizal fungi (ECMF) are key components for the sustainability and
functioning of these ecosystems. The community structure and composition of ECMF
associated with Q. suber in different landscapes of distinct Mediterranean
bioclimate regions have not previously been compared. In this work, soil samples
from cork oak forests residing in different bioclimates (arid, semi-arid, sub
humid, and humid) were collected and surveyed for ectomycorrhizal (ECM) root
tips. A global analysis performed on 3565 ECM root tips revealed that the ECMF
community is highly enriched in Russula, Tomentella, and Cenoccocum, which
correspond to the ECMF genera that mainly contribute to community differences.
The ECMF communities from the rainiest and the driest cork oak forests were
distinct, with soils from the rainiest climates being more heterogeneous than
those from the driest climates. The analyses of several abiotic factors on the
ECMF communities revealed that bioclimate, precipitation, soil texture, and
forest management strongly influenced ECMF structure. Shifts in ECMF with
different hyphal exploration types were also detected among forests, with
precipitation, forest system, and soil texture being the main drivers controlling
their composition. Understanding the effects of environmental factors on the
structuring of ECM communities could be the first step for promoting the
sustainability of this threatened ecosystem.
PMID- 29654368
TI - Spondylolisthesis and tumors: a treatment algorithm.
AB - BACKGROUND: Pars defect and spondylolisthesis are frequent conditions, while bone
tumors-particularly the primaries-are rare. The contemporary occurrence can delay
the diagnosis of the tumor, if symptoms are considered related to
spondylolisthesis, or can make reconstruction more demanding. To our knowledge,
only two case reports of this contemporary occurrence have been published in the
literature. Being such rare, guidelines on surgical treatment have not been
proposed yet. MATERIALS AND METHODS: A retrospective review of patients treated
for spine bone tumors by the senior author from 1990 to 2017 was performed to
find cases of contemporary occurrence of spondylolisthesis and/or pars defect and
spine bone tumors. General health data, radiological imaging, histological tumor
diagnosis, treatment, and follow-up were analyzed and discussed. RESULTS: Among
the 1870 patients treated for spinal tumors between 1990 and 2017 by the senior
author, 14 cases of association between tumors and
spondylolysis/spondylolisthesis were observed. The cohort includes five males
(35.7%) and nine females (64.3%), aged 14-72. Mean age of patients at surgery
time was 47. CONCLUSIONS: Interactions between spondylolisthesis and bone tumors
of the spine are episodic. These two conditions rarely occur in the same patient.
No treatment strategy has been described until now. The target of this paper is
to propose an algorithm to surgically treat patients with concomitant bone tumor
and spondylolisthesis. This classification identifies a treatment-oriented
algorithm based on two major categories: type A, bone tumor arising on the same
vertebra or to an adjacent level; type B, bone tumor arising at least one unit
far from the spondylolisthesis. This algorithm can help the surgeon facing this
rare combination of diseases in the appropriate preoperative planning. These
slides can be retrieved under Electronic Supplementary Material.
PMID- 29654369
TI - Pedicle screw loosening is correlated to chronic subclinical deep implant
infection: a retrospective database analysis.
AB - PURPOSE: Spinal fusion is used for treatment of spinal deformities, degeneration,
infection, malignancy, and trauma. Reduction of motion enables osseous fusion and
permanent stabilization of segments, compromised by loosening of the pedicle
screws (PS). Deep implant infection, biomechanical, and chemical mechanisms are
suspected reasons for loosening of PS. Study objective was to investigate the
frequency and impact of deep implant infection on PS loosening. METHODS:
Intraoperative infection screening from wound and explanted material sonication
was performed during revision surgeries following dorsal stabilization. Case
history events and factors, which might promote implant infections, were included
in this retrospective survey. RESULTS: 110 cases of spinal metal explantation
were included. In 29.1% of revision cases, infection screening identified a germ,
most commonly Staphylococcus (53.1%) and Propionibacterium (40.6%) genus.
Patients screened positive had a significant higher number of previous spinal
operations and radiologic loosening of screws. Patients revised for adjacent
segment failure had a significantly lower rate of positive infection screening
than patients revised for directly implant associated reasons. Removal of
implants that revealed positive screening effected significant pain relief.
CONCLUSIONS: Chronic implant infection seems to play a role in PS loosening and
ongoing pain, causing revision surgery after spinal fusion. Screw loosening and
multiple prior spinal operations should be suspicious for implant infection after
spinal fusion when it comes to revision surgery. These slides can be retrieved
under Electronic Supplementary Material.
PMID- 29654370
TI - Progression of urothelial carcinoma in situ of the urinary bladder: a switch from
luminal to basal phenotype and related therapeutic implications.
AB - The stratification of bladder cancer into luminal and basal tumors has recently
been introduced as a novel prognostic system in patient cohorts of muscle
invasive bladder cancer or high-grade papillary carcinomas. Using a
representative immunohistochemistry panel, we analyzed luminal and basal marker
expression in a large case series (n = 156) of urothelial carcinoma in situ
(CIS), a precancerous lesion that frequently progresses to muscle-invasive
disease. The majority of CIS cases was characterized by a positivity for luminal
markers (aberrant cytokeratin (CK) 20 85% (132/156), GATA3 median Remmele score
(score of staining intensity (0-3) multiplied with percentage of positive cells
(0-4)): 12, estrogen receptor (ER) beta Remmele score > 2: 88% (138/156), human
epidermal growth factor receptor 2 (Her2) Dako score 3+ 32% (50/156), Her2 Dako
score 2+ 33% (51/156)), and marginal expression of basal markers (CK5/6+ 2%
(3/156), CK14+ 1% (2/156)). To further investigate phenotypic stability during
disease progression, we compared 48 pairs of CIS and invasive tumors from the
same biopsy. A highly significant loss of luminal marker expression (p < 0.001)
was observed in the course of progression whereas an increase of basal marker
expression (p < 0.01) was noted in the invasive compartment. Importantly, 91% of
CIS cases demonstrated a positivity for at least one of the two predictive
markers Her2 and ERbeta, indicating that the analysis of Her2 and ERbeta may help
to identify CIS-patient subgroups prone to more efficient targeted treatment
strategies. Larger prospective and biomarker-embedded clinical trials are needed
to confirm and validate our preliminary findings.
PMID- 29654371
TI - Silver ciprofloxacin (CIPAG): a successful combination of chemically modified
antibiotic in inorganic-organic hybrid.
AB - The new silver(I) ionic, water soluble, compound {[Ag(CIPH)2]NO3?0.75MeOH?1.2H2O}
(CIPAG) was obtained by reacting silver(I) nitrate with the antibiotic
ciprofloxacin (CIPH). The complex was characterized by m.p., mid-FT-IR, 1H-NMR,
UV-Vis spectroscopic techniques. The crystal structures of both CIPAG and the
hexahydrated neutral free drug {[CIPH]?6(H2O)} (2) were characterized by X-ray
crystallography. Two neutral ligands are datively bonded to the metal ion through
the piperidinic nitrogen atoms forming a cationic {[Ag(CIPH)2]+} counter part
which is neutralized by a nitrate group. The antibacterial effect of CIPAG and
the commercially available hydrochloric salt of the antibiotic ({[CIPH 2+ ]?Cl -
} (3)) were tested against the bacterial species Pseudomonas aeruginosa (PAO1),
Staphylococcus epidermidis (St. epidermidis) and Staphylococcus aureus (St.
aureus) by the mean of minimum inhibitory concentration, minimum bactericidal
concentration and their inhibitory zone (IZ). The influence of CIPAG and 3
against the formation of biofilm of PAO1 or St. aureus was also evaluated by mean
of biofilm elimination concentration. The IZ caused by CIPAG which has been
loaded in poly-hydroxyethylmethacrylate, is determined. The genotoxicity of CIPAG
and 3 is tested in vitro against normal human corneal epithelial cells (HCET
cells), by the presence of micronucleus in HCET cells and in vivo by mean of
Allium cepa test.
PMID- 29654372
TI - Aptamer based label free thrombin assay based on the use of silver nanoparticles
incorporated into self-polymerized dopamine.
AB - The authors describe an electrochemical aptasensor for thrombin that is based on
the use of a glassy carbon electrode (GCE) modified with polydopamine that is
loaded with silver nanoparticles (PDA/AgNPs). The use of AgNPs improves the
conductivity of the film and increases the surface area of the GCE. PDA was
deposited on the GCE via self-polymerization, and the thrombin binding aptamer
was grafted onto the PDA-modified GCE by a single step reaction. Residual
electrode surface was blocked with 6-mercapto-1-hexanol. On exposure to thrombin,
the electrochemical impedance of the modified electrode increases gradually.
Response is linear in the 0.1 pM to 5.0 nM thrombin concentration range, and the
limit of detection is as low as 36 fM. The method is selective and capable of
detecting thrombin in diluted human serum. In our perception, such a GCE modified
with AgNP in a PDA matrix may be applied to many other analytes for which
appropriate aptamers are available. Graphical abstract Schematic of an
electrochemical aptasensor for sensitive and selective thrombin detection based
on the use of a self-polymerized polydopamine film loaded with silver
nanoparticles.
PMID- 29654373
TI - An Adaptive Monitoring Scheme for Automatic Control of Anaesthesia in dynamic
surgical environments based on Bispectral Index and Blood Pressure.
AB - During surgical procedures, bispectral index (BIS) is a well-known measure used
to determine the patient's depth of anesthesia (DOA). However, BIS readings can
be subject to interference from many factors during surgery, and other parameters
such as blood pressure (BP) and heart rate (HR) can provide more stable
indicators. However, anesthesiologist still consider BIS as a primary measure to
determine if the patient is correctly anaesthetized while relaying on the other
physiological parameters to monitor and ensure the patient's status is
maintained. The automatic control of administering anesthesia using intelligent
control systems has been the subject of recent research in order to alleviate the
burden on the anesthetist to manually adjust drug dosage in response
physiological changes for sustaining DOA. A system proposed for the automatic
control of anesthesia based on type-2 Self Organizing Fuzzy Logic Controllers (T2
SOFLCs) has been shown to be effective in the control of DOA under simulated
scenarios while contending with uncertainties due to signal noise and dynamic
changes in pharmacodynamics (PD) and pharmacokinetic (PK) effects of the drug on
the body. This study considers both BIS and BP as part of an adaptive automatic
control scheme, which can adjust to the monitoring of either parameter in
response to changes in the availability and reliability of BIS signals during
surgery. The simulation of different control schemes using BIS data obtained
during real surgical procedures to emulate noise and interference factors have
been conducted. The use of either or both combined parameters for controlling the
delivery Propofol to maintain safe target set points for DOA are evaluated. The
results show that combing BIS and BP based on the proposed adaptive control
scheme can ensure the target set points and the correct amount of drug in the
body is maintained even with the intermittent loss of BIS signal that could
otherwise disrupt an automated control system.
PMID- 29654374
TI - Sequencing, genome analysis and host range of a novel Ralstonia phage, RsoP1EGY,
isolated in Egypt.
AB - A novel Ralstonia phage was isolated from soil in Egypt. It was designated
Ralstonia phage RsoP1EGY using our phage identifier naming approach to reflect
the phage's bacterial host species, characteristics and origin. When tested, this
phage specifically infected only race 3 biovar 2 phylotype IIB sequevar 1, and
not non-race 3 biovar 2 strains of Ralstonia solanacearum. The phage has an
icosahedral capsid of 60 +/- 5 nm in diameter with a short tail of 15 +/- 5 nm in
length, typical of a podovirus. The genome of RsoP1EGY is 41,297 bp in size,
containing 50 open reading frames, with no significant sequence identity to any
other reported R. solanacearum or non-Ralstonia phages, except to the recently
deposited but unreported and unclassified Ralstonia phage DU_RP_I. RsoP1EGY is
the first sequenced and characterized R. solanacearum phage isolated in Egypt.
PMID- 29654375
TI - Validation of a Sulfuric Acid Digestion Method for Inductively Coupled Plasma
Mass Spectrometry Quantification of TiO2 Nanoparticles.
AB - A consistent analytical method incorporating sulfuric acid (H2SO4) digestion and
ICP-MS quantification has been developed for TiO2 quantification in biotic and
abiotic environmentally relevant matrices. Sample digestion in H2SO4 at 110
degrees C provided consistent results without using hydrofluoric acid or
microwave digestion. Analysis of seven replicate samples for four matrices on
each of 3 days produced Ti recoveries of 97% +/- 2.5%, 91 % +/- 4.0%, 94% +/-
1.8%, and 73 % +/- 2.6% (mean +/- standard deviation) from water, fish tissue,
periphyton, and sediment, respectively. The method demonstrated consistent
performance in analysis of water collected over a 1 month.
PMID- 29654377
TI - Spouses, social networks and other upstream determinants of type 2 diabetes
mellitus.
AB - Diabetes risk factors outside the individual are receiving increasing attention.
In this issue of Diabetologia, Nielsen et al (DOI: https://doi.org/10.1007/s00125
018-4587-1 ) demonstrate that an individual's obesity level is associated with
incident type 2 diabetes in their spouse. This is in line with studies providing
evidence for spousal and peer similarities in lifestyle behaviours and obesity.
Non-random mating and convergence over time are two explanations for this
phenomenon, but shared exposure to more upstream drivers of diabetes may also
play a role. From a systems-science perspective, these mechanisms are likely to
occur simultaneously and interactively as part of a complex system. In this
commentary, we provide an overview of the wider system-level factors that
contribute to type 2 diabetes.
PMID- 29654378
TI - [Do we have the caring relatives of dementia patients in mind?]
PMID- 29654376
TI - Persistence of abnormalities in white matter in children with type 1 diabetes.
AB - AIMS/HYPOTHESIS: Prior studies suggest white matter growth is reduced and white
matter microstructure is altered in the brains of young children with type 1
diabetes when compared with brains of non-diabetic children, due in part to
adverse effects of hyperglycaemia. This longitudinal observational study examines
whether dysglycaemia alters the developmental trajectory of white matter
microstructure over time in young children with type 1 diabetes. METHODS: One
hundred and eighteen children, aged 4 to <10 years old with type 1 diabetes and
58 age-matched, non-diabetic children were studied at baseline and 18 months, at
five Diabetes Research in Children Network clinical centres. We analysed
longitudinal trajectories of white matter using diffusion tensor imaging.
Continuous glucose monitoring profiles and HbA1c levels were obtained every 3
months. RESULTS: Axial diffusivity was lower in children with diabetes at
baseline (p = 0.022) and at 18 months (p = 0.015), indicating that differences in
white matter microstructure persist over time in children with diabetes. Within
the diabetes group, lower exposure to hyperglycaemia, averaged over the time
since diagnosis, was associated with higher fractional anisotropy (p = 0.037).
Fractional anisotropy was positively correlated with performance (p < 0.002) and
full-scale IQ (p < 0.02). CONCLUSIONS/INTERPRETATION: These results suggest that
hyperglycaemia is associated with altered white matter development, which may
contribute to the mild cognitive deficits in this population.
PMID- 29654379
TI - Bioencapsulation and Colonization Characteristics of Lactococcus lactis subsp.
lactis CF4MRS in Artemia franciscana: a Biological Approach for the Control of
Edwardsiellosis in Larviculture.
AB - Predominance of beneficial bacteria helps to establish a healthy microbiota in
fish gastrointestinal system and thus to reduce emerging pathogen. In this study,
the colonization efficacy of Lactococcus lactis subsp. lactis CF4MRS in Artemia
franciscana and its potential as a probiotic in suppressing Edwardsiella sp.
infection were investigated in vivo. The colonization extent of the
bioencapsulated L. lactis was established through visualization of gfp gene
transformed L. lactis in A. franciscana. Here, we demonstrate that when A.
franciscana is administrated with L. lactis at 108 CFU mL-1 for 8 h, the highest
relative percentage of survival (RPS = 50.0) is observed after inoculation with
Edwardsiella sp. The total counts of L. lactis entrapped in Artemia were the
highest (ranged from 3.2 to 5.1 * 108 CFU mL-1), when 108-109 CFU mL-1 of L.
lactis was used as starting inoculum, with the bioencapsulation performed within
8-24 h. Fluorescent microscopy showed gfp-transformed L. lactis colonized the
external trunk surfaces, mid-gut and locomotion antennules of the A. franciscana
nauplii. These illustrations elucidate the efficiency of colonization of L.
lactis in the gastrointestinal tract and on the body surfaces of Artemia. In
conclusion, L. lactis subsp. lactis CF4MRS shows a good efficacy of colonization
in Artemia and has the potential for biocontrol/probiotic activity against
Edwardsiella sp. infection.
PMID- 29654380
TI - Heart rate variability and baroreflex sensitivity abnormalities in Guillain-Barre
syndrome: a pilot study.
AB - OBJECTIVE: The current study aimed to investigate autonomic dysfunction in
Guillain-Barre syndrome (GBS) patients and describe the results of computational
heart rate variability (HRV)/baroreflex sensitivity (BRS) and autonomic challenge
tests. METHODS: GBS patients were consecutively recruited and the results were
compared to age- and gender-matched healthy controls. A series of autonomic
function tests including computation-dependent tests (power spectrum analysis of
HRV and BRS at rest) and challenge maneuvers (deep breathing, eyeball
compression, active standing, the Valsalva maneuver, sustained handgrip, and the
cold pressor test) were performed. RESULTS: Ten GBS patients (six men; mean age =
40.1 +/- 13.9 years) and ten gender- and age-matched healthy controls were
recruited. The mean GBS functional grading scale at disease plateau was 3.4 +/-
1.0. No patients required intensive care unit admission or mechanical
ventilation. Low-frequency HRV (p = 0.027), high-frequency HRV (p = 0.008), and
the total power spectral density of HRV (p = 0.015) were significantly reduced in
patients compared to controls. The mean up slope (p = 0.034), down slope (p =
0.011), and total slope (p = 0.024) BRS were significantly lower in GBS patients.
The diastolic rise in blood pressure in the cold pressor test was significantly
lower in GBS patients compared to controls (p = 0.008). INTERPRETATION:
Computation-dependent tests (HRV and BRS) were more useful for detecting
autonomic dysfunction in GBS patients, whereas the cold pressor test was the only
reliable challenge test, making it useful as a bedside measure of autonomic
function in GBS patients.
PMID- 29654381
TI - Renal Effects of Incretin-Based Diabetes Therapies: Pre-clinical Predictions and
Clinical Trial Outcomes.
AB - PURPOSE OF REVIEW: The purpose of this review is to correlate predictions based
on pre-clinical data with outcomes from clinical trials that examine the effects
of incretin-based diabetes treatments on the kidney. The incretin-based
treatments include agonists of the glucagon-like peptide 1 receptor (GLP-1R) and
inhibitors of the enzyme, dipeptidyl peptidase-4 (DPP-4). In addition, what is
known about the incretin-based therapies will be compared to what is known about
the renal effects of SGLT2 inhibitors. RECENT FINDINGS: Large-scale clinical
trials have shown that SGLT2 inhibitors reduce albuminuria and preserve estimated
glomerular filtration rate (eGFR) in patients with diabetic nephropathy. A
concise and plausible hemodynamic mechanism is supported by pre-clinical research
on the physiology and pharmacology of SGLT2. Large-scale clinical trials have
shown that incretin-based therapies mitigate albuminuria but have not shown
beneficial effects on eGFR. Research on the incretin-based therapies has yielded
a diverse array of direct effects throughout the body, which fuels speculation as
to how these drugs might benefit the diabetic kidney and affect its function(s).
But in vivo experiments have yet to confirm that the proposed mechanisms
underlying emergent phenomena, such as proximal tubular fluid reabsorption, are
the ones predicted by cell and molecular experiments. There may be salutary
effects of incretin-based treatments on the diabetic kidney, but the system is
complex and not amenable to simple explanation or prior prediction. This
contrasts with the renal effects of SGLT2 inhibitors, which can be explained
concisely.
PMID- 29654383
TI - Alternative Reservoir and Balloon Placement in Complex Patients Undergoing
Urologic Prostheses.
AB - PURPOSE OF REVIEW: To evaluate contemporary rationale and techniques for ectopic
reservoir/balloon placement in complex urologic prosthetics patients. RECENT
FINDINGS: Recent studies have demonstrated that ectopic reservoir placement is
safe and durable when compared to traditional space of Retzius placement. Complex
patients exist on a spectrum from those with a previously violated retropubic
space, to those with bilaterally obscured external inguinal rings and/or multiple
prior prosthetic reservoirs. Ectopic placement has become more commonplace and
accepted as a viable alternative strategy over the past 7 years. Concerns
relating to reservoir palpability and long-term outcomes have been allayed. The
risk of deep pelvic complications appears to be negligible after both placement
or removal of ectopic reservoirs when performed by experienced implanters even in
the most complex patient. Ectopic placement of prosthetic balloons and reservoirs
offers unique advantages and has become commonplace in contemporary prosthetic
urology practice.
PMID- 29654382
TI - Expanding lysine industry: industrial biomanufacturing of lysine and its
derivatives.
AB - L-Lysine is widely used as a nutrition supplement in feed, food, and beverage
industries as well as a chemical intermediate. At present, great efforts are made
to further decrease the cost of lysine to make it more competitive in the
markets. Furthermore, lysine also shows potential as a feedstock to produce other
high-value chemicals for active pharmaceutical ingredients, drugs, or materials.
In this review, the current biomanufacturing of lysine is first presented.
Second, the production of novel derivatives from lysine is discussed. Some
chemicals like L-pipecolic acid, cadaverine, and 5-aminovalerate already have
been obtained at a lab scale. Others like 6-aminocaproic acid, valerolactam, and
caprolactam could be produced through a biological and chemical coupling pathway
or be synthesized by a hypothetical pathway. This review demonstrates an active
and expansive lysine industry, and these green biomanufacturing strategies could
also be applied to enhance the competitiveness of other amino acid industry.
PMID- 29654384
TI - Quantitative Dual Contrast CT Technique for Evaluation of Articular Cartilage
Properties.
AB - Impact injuries of cartilage may initiate post-traumatic degeneration, making
early detection of injury imperative for timely surgical or pharmaceutical
interventions. Cationic (positively-charged) CT contrast agents detect loss of
cartilage proteoglycans (PGs) more sensitively than anionic (negatively-charged)
or non-ionic (non-charged, i.e., electrically neutral) agents. However,
degeneration related loss of PGs and increase in water content have opposite
effects on the diffusion of the cationic agent, lowering its sensitivity. In
contrast to cationic agents, diffusion of non-ionic agents is governed only by
steric hindrance and water content of cartilage. We hypothesize that sensitivity
of an iodine(I)-based cationic agent may be enhanced by simultaneous use of a non
ionic gadolinium(Gd)-based agent. We introduce a quantitative dual energy CT
technique (QDECT) for simultaneous quantification of two contrast agents in
cartilage. We employ this technique to improve the sensitivity of cationic CA4+
(q =+4) by normalizing its partition in cartilage with that of non-ionic
gadoteridol. The technique was evaluated with measurements of contrast agent
mixtures of known composition and human osteochondral samples (n = 57) after
immersion (72 h) in mixture of CA4+ and gadoteridol. Samples were
arthroscopically graded and biomechanically tested prior to QDECT (50/100 kV).
QDECT determined contrast agent mixture compositions correlated with the true
compositions (R2= 0.99, average error = 2.27%). Normalizing CA4+ partition in
cartilage with that of gadoteridol improved correlation with equilibrium modulus
(from rho = 0.701 to 0.795). To conclude, QDECT enables simultaneous
quantification of I and Gd contrast agents improving diagnosis of cartilage
integrity and biomechanical status.
PMID- 29654386
TI - Guided bone regeneration in calvarial critical size bony defect using a double
layer resorbable collagen membrane covering a xenograft: a histological and
histomorphometric study in rats.
AB - PURPOSE: The aim of the present study was to evaluate histologically and
histomorphometrically the bone regeneration in critical size calvarial defects in
rats grafted with either a deproteinized bovine bone mineral (DBBM) alone or in
combination with a single or double layer of native bilayer collagen membrane
(NBCM). The secondary objective was to evaluate histologically and
histomorphometrically the residual DBBM in these defects. MATERIAL AND METHODS:
Thirty-two Wistar rats were divided into two groups: a control group of 16 rats
with two critical size calvarial defects (CSD) of 5 mm performed each on either
side of the median sagittal suture, where the frontal defect remained without any
filling (negative control), while the occipital defect (positive control) was
filled with DBBM; and then a test group of 16 rats, with two CSD filled with DBBM
and covered by either a single (SM) or a double layer (DM) of NBCM. The animals
were sacrificed at 4 and 8 weeks. RESULTS: At 1 month, the histological and
histomorphometric analysis showed new bone formation (NBF) in the defects that
received only DBBM, DBBM+DM, and DBBM+SM (11.5, 17.3, and 22.7%, respectively),
while the negative control defects showed only 0.4% of new bone formation. At 2
months, the histological and histomorphometric analysis showed NBF in the defects
that received only DBBM, DBBM+DM, and DBBM+SM (16.8, 24.5, and 37%,
respectively), while the negative control defects showed only 0.9% of new bone
formation. The residual xenogeneic material (RXM) was higher in defects covered
by SM (30.2% at 1 month and 25.3% at 2 months) or DM (32.5% at 1 month and 28.5%
at 2 months) compared with defects that were not covered by membranes (15.3% at 1
month and 9.4% at 2 months). CONCLUSIONS: This study demonstrated that GBR with a
xenogeneic material in rat calvarial (CSD) of 5 mm requires the application of
resorbable collagen membranes in either single or double layer, and a single
layer alone is sufficient to promote this regeneration.
PMID- 29654385
TI - Recognizable phenotypes in CDG.
AB - Pattern recognition, using a group of characteristic, or discriminating features,
is a powerful tool in metabolic diagnostic. A classic example of this approach is
used in biochemical analysis of urine organic acid analysis, where the reporting
depends more on the correlation of pertinent positive and negative findings,
rather than on the absolute values of specific markers. Similar uses of pattern
recognition in the field of biochemical genetics include the interpretation of
data obtained by metabolomics, like glycomics, where a recognizable pattern or
the presence of a specific glycan sub-fraction can lead to the direct diagnosis
of certain types of congenital disorders of glycosylation. Another indispensable
tool is the use of clinical pattern recognition-or syndromology-relying on
careful phenotyping. While genomics might uncover variants not essential in the
final clinical expression of disease, and metabolomics could point to a mixture
of primary but also secondary changes in biochemical pathways, phenomics
describes the clinically relevant manifestations and the full expression of the
disease. In the current review we apply phenomics to the field of congenital
disorders of glycosylation, focusing on recognizable differentiating findings in
glycosylation disorders, characteristic dysmorphic features and malformations in
PMM2-CDG, and overlapping patterns among the currently known glycosylation
disorders based on their pathophysiological basis.
PMID- 29654387
TI - Serum chloride levels in critical illness-the hidden story.
PMID- 29654388
TI - Insulin-mimetic effects of short-term rapamycin in type 1 diabetic patients prior
to islet transplantation.
AB - BACKGROUND: The immunosuppressive drug rapamycin may influence insulin
sensitivity in insulin-responsive tissues. AIMS: This study aimed at evaluating
the effectiveness of rapamycin pre-treatment before pancreatic islet
allotransplantation (ITx) in patients with type 1 diabetes mellitus (T1DM).
METHODS: Forty-one T1DM patients were studied. Thirteen patients with poor
glycemic control underwent a short-term rapamycin treatment before ITx (Group 1),
and they were compared to 28 patients undergoing ITx without rapamycin pre
treatment (Group 2). Outcomes were daily insulin requirement (DIR), fasting blood
glucose, HbA1c, C-peptide and the SUITO index of beta-cell function. A subgroup
of patients pre-treated with rapamycin before ITx underwent euglycemic
hyperinsulinemic clamp with [6,6-2H2] glucose before and after ITx to evaluate
insulin sensitivity. RESULTS: We found a significant reduction in DIR after
rapamycin pre-treatment (- 8 +/- 6 U/day, mean +/- SD, p < 0.001) and 1 year
after ITx. DIR reduction 1 year after ITx was greater in Group 1 as compared to
Group 2 (- 37 +/- 15 vs. - 19 +/- 13 U/day, p = 0.005) and remained significant
after adjusting for gender, age, glucose and baseline HbA1c (beta = 18.2 +/- 5.9,
p = 0.006). Fasting glucose and HbA1c significantly decreased 1 year after ITx in
Group 1 (HbA1c: - 2.1 +/- 1.4%, p = 0.002), while fasting C-peptide (+0.5 +/- 0.3
nmol/l, p = 0.002) and SUITO index increased (+57.4 +/- 39.7, p = 0.016), without
differences between the two groups. Hepatic glucose production decreased after
rapamycin pre-treatment (- 1.1 +/- 1.1 mg/kg/min, p = 0.04) and after ITx (- 1.6
+/- 0.6 mg/kg/min, p = 0.015), while no changes in peripheral glucose disposal
were observed. CONCLUSIONS: Rapamycin pre-treatment before ITx succeeds in
reducing insulin requirement, enhancing hepatic insulin sensitivity. This
treatment may improve short-term ITx outcomes, possibly in selected patients with
T1DM complicated by insulin resistance. CLINICAL TRIAL: Clinicaltrials.gov
NCT01060605; NCT00014911.
PMID- 29654389
TI - [Long-term effects of anti-VEGF therapy for retinopathy of prematurity].
AB - BACKGROUND: Intravitreal anti-VEGF therapy is a highly efficacious new treatment
option for retinopathy of prematurity (ROP) with significant advantages over
conventional therapy using retinal laser coagulation in selected cases. With
growing experience in the clinical application over the last years, data about
the potential long-term effects of this therapeutic approach are increasingly
becoming available, such as those related to ROP-associated myopia,
neurodevelopment and late recurrences of ROP. Knowledge of these effects is of
direct relevance for the clinical management of affected children. METHODS: The
article is based on a literature review of the covered topics. RESULTS: In
addition to its therapeutic effect on retinal pathology, anti-VEGF therapy in ROP
can also reduce ROP-associated myopia, most likely due to a normalization of
anterior segment development. As the unresolved question of potential negative
effects of bevacizumab on neurodevelopment remains of concern, the use of
alternative treatment options, such as ranibizumab or laser coagulation should be
considered. Treatment-requiring recurrences of ROP following anti-VEGF therapy
have been reported as late as 69 weeks postmenstrual age, indicating that long
term frequent ophthalmological follow-up examinations are required. CONCLUSION:
Long-term effects of anti-VEGF therapy in ROP differ significantly from
alternative treatment options such as laser coagulation. These differences are of
relevance for the choice of treatment modality and the follow-up regimen of
treated children.
PMID- 29654390
TI - [Rheumatology in the mirror of media and advertising exemplified by the
Rheumazentrum Rhein-Ruhr].
PMID- 29654391
TI - [Autologous stem cell transplantation with a myeloablative regimen for treatment
of severe systemic sclerosis].
PMID- 29654392
TI - [Research consortium Neuroimmunology and pain in the research network
musculoskeletal diseases].
AB - BACKGROUND: The research consortium Neuroimmunology and Pain (Neuroimpa) explores
the importance of the relationships between the immune system and the nervous
system in musculoskeletal diseases for the generation of pain and for the course
of fracture healing and arthritis. MATERIAL AND METHODS: The spectrum of methods
includes analyses at the single cell level, in vivo models of arthritis and
fracture healing, imaging studies on brain function in animals and humans and
analysis of data from patients. RESULTS: Proinflammatory cytokines significantly
contribute to the generation of joint pain through neuronal cytokine receptors.
Immune cells release opioid peptides which activate opioid receptors at
peripheral nociceptors and thereby evoke hypoalgesia. The formation of new bone
after fractures is significantly supported by the nervous system. The sympathetic
nervous system promotes the development of immune-mediated arthritis. The studies
show a significant analgesic potential of the neutralization of proinflammatory
cytokines and of opioids which selectively inhibit peripheral neurons.
Furthermore, they show that the modulation of neuronal mechanisms can
beneficially influence the course of musculoskeletal diseases. DISCUSSION:
Interventions in the interactions between the immune system and the nervous
system hold a great therapeutic potential for the treatment of musculoskeletal
diseases and pain.
PMID- 29654393
TI - Psychiatric Emergency Services - Can Duty-Hour Changes Help Residents and
Patients?
AB - Limitations on resident duty hours have been widely introduced with the intention
of decreasing resident fatigue and improving patient outcomes. While there is
evidence of improvement in resident well-being and education following such
initiatives, they have inadvertently resulted in increased number of hand-offs
between clinicians leading to potential errors in patient care. Current
literature emphasizes need for more specialty/setting-specific scheduling, while
considering residents' opinions when implementing duty-hour reforms. There are no
reports examining the impact of duty-hour changes on residents or patients in
psychiatric emergency service (PES) settings. Our purpose was to assess the
impact of a recent scheduling change and decrease in overall duty hours, on
resident well-being and sense of burnout, while also evaluating changes to
patient wait-time and length of stay (LOS) in PES. Residents completed Maslach
Burnout Inventory and anonymous surveys focusing on: fatigue, sleep, life outside
work for shifts - regular (8 am-8 pm) and swing shifts (12 pm-10 pm). Data from
the electronic medical records were collected for 6 months pre- and post-schedule
change (January 2016-February 2017), for LOS and patient wait-time. Residents'
preference for shifts was split. However, 86% reported getting enough sleep
during swing shifts, while 83% reported lack of sleep during regular shifts. The
average patient wait-time and LOS significantly decreased from 169 to 147 and 690
to 515 min, respectively. The change to swing shifts significantly impacts LOS
and patient wait-time. The short shifts demonstrated an improvement in well-being
for residents, but were not the singular factor for overall resident
satisfaction.
PMID- 29654394
TI - Investigating clinical failure of core decompression with autologous bone marrow
mononuclear cells grafting for the treatment of non-traumatic osteonecrosis of
the femoral head.
AB - OBJECTIVE: This study aimed to analyze the clinical factors related to the
failure of autologous bone marrow mononuclear cells grafting (BMMCG) following
core decompression (CD) in early stage osteonecrosis of the femoral head (ONFH).
METHODS: In total, 148 patients (192 hips) underwent CD with autologous BMMCG for
treatment of non-traumatic ONFH. The patients were classified by their ARCO
staging and China-Japan Friendship Hospital (CJFH) typing system. All patients
were clinically and radiographically followed up every three months during the
first year and every six months in the following years. The clinical evaluation
was conducted by pre- and post-operative Harris hip scores (HHS), while serial
anteroposterior (AP) and frog lateral radiographs were used for post-operative
radiographic evaluation. RESULTS: There were 56 hips as clinical failure cases,
and 50 hips (89.29%) of failure cases developed between three and ten months
after operation. Based on CJFH classification system, type L2 showed more failure
rate with 60.0% (9 of15). The Cox risk model showed that disease type was an
independent risk factor for post-operative clinical failure (P = 0.042).
Multivariate analysis of the Cox proportional-hazards model showed that type L1
had a hazard ratio (HR) of 0.286 (95% CI 0.100-0.816), type L3 with HR of 0.245
(95% CI 0.079-0.759), respectively. CONCLUSION: Disease type is an important risk
factor for autologous BMMCG combined with CD, and the degree of lateral pillar
necrosis is a significant reference index for prognosis evaluation in early stage
of ONFH.
PMID- 29654397
TI - Self-Reported Interest to Participate in a Health Survey if Different Amounts of
Cash or Non-Monetary Incentive Types Were Offered.
AB - The objective of this study was to assess monetary and non-monetary factors that
can influence the decision to participate in a future health survey. A
questionnaire was administered to eligible, low-income participants (n = 1502) of
the 2012 Los Angeles County Health and Nutrition Examination Survey (LAHANES-II).
Multivariable regression analyses were performed to describe factors potentially
associated with future intent to participate in similar survey designs. The
results of the survey suggest that, overall, female participants had a greater
interest in participating under a variety of incentive scenarios. Compared to the
25-34 age group, older participants (35-44, 45-84) reported more interest to
participate if $10 cash [prepaid gift/debit card], a coupon for product/travel,
or a small item [e.g., granola bar, t-shirt, pen] was offered, whereas younger
participants (18-24) reported greater interest for $25 cash or a coupon for
product/travel. Non-Whites, when compared to Whites/Non-Hispanics, reported
greater interest to participate if any of the incentives was offered. High school
graduates, when compared to those with some college education, reported greater
interest to participate if $10 cash, a small item, or a lottery ticket was
offered. Presence of two or more chronic conditions increased interest while
concerns about participation in LAHANES-II was associated with reduced interest
to participate in future health-related surveys. The results suggest that both
incentives and non-monetary considerations (e.g., personal concerns about
participating and individual level characteristics) can influence the decision to
participate in health-related surveys and offer insights into strategies that can
improve response rates for these assessments that are often used to inform
community planning.
PMID- 29654395
TI - The association of serum angiogenic growth factors with renal structure and
function in patients with adult autosomal dominant polycystic kidney disease.
AB - PURPOSE: Autosomal dominant polycystic kidney disease (ADPKD) is a common
congenital chronic kidney disease (CKD). We report here the relationship of serum
angiopoietin-1 (Ang-1), Ang-2, and vascular endothelial growth factor (VEGF) with
total kidney volume (TKV), total cyst volume (TCV), and renal failure in adult
ADPKD patients at various stages of CKD. METHODS: This cross-sectional study was
conducted with 50 patients diagnosed with ADPKD and a control group of 45 age
matched healthy volunteers. In patient group, TKV and TCV were determined with
upper abdominal magnetic resonance imaging, whereas in controls, TKV was
determined with ultrasonography according to ellipsoid formula. Renal function
was assessed with serum creatinine, estimated glomerular filtration rate (eGFR),
and spot urinary protein/creatinine ratio (UPCR). Ang-1, Ang-2, and VEGF were
measured using enzyme-linked immunosorbent assay. RESULTS: Patients with ADPKD
had significantly higher TKV (p < 0.001) and UPCR (p < 0.001), and lower eGFR (p
<= 0.001) compared to the controls. Log10Ang-2 was found to be higher in ADPKD
patients at all CKD stages. Multiple linear regression analysis showed that there
was no association between log10Ang-1, log10Ang-2, or log10VEGF and creatinine,
eGFR, UPCR, log10TKV (p > 0.05). CONCLUSION: There was no association of serum
angiogenic growth factors with TKV or renal failure in ADPKD patients. Increased
serum Ang-2 observed in stages 1-2 CKD suggests that angiogenesis plays a role in
the progression of early stage ADPKD, but not at later stages of the disease.
This may be explained by possible cessation of angiogenesis in advanced stages of
CKD due to the increased number of sclerotic glomeruli.
PMID- 29654398
TI - Long non-coding RNA taurine-upregulated gene 1 correlates with poor prognosis,
induces cell proliferation, and represses cell apoptosis via targeting aurora
kinase A in adult acute myeloid leukemia.
AB - This study aimed to investigate the correlation of long non-coding RNA (lncRNA)
taurine-upregulated gene 1 (TUG1) with clinicopathological feature and prognosis,
and to explore its effect on cell proliferation and apoptosis as well as the
relevant target genes in adult acute myeloid leukemia (AML). LncRNA TUG1
expression was detected in bone marrow samples from 186 AML patients and 62
controls. Blank mimic, lncRNA TUG1 mimic, blank inhibitor, and lncRNA TUG1
inhibitor lentivirus vectors were transfected in KG-1 cells. Rescue experiment
was performed by transfection of lncRNA TUG1 inhibitor and aurora kinase A
(AURKA) mimic lentivirus vectors. Cell proliferation, apoptosis, RNA, and protein
expressions were determined by CKK-8, annexin V-FITC-propidium iodide,
quantitative polymerase chain reaction, and western blot assays. LncRNA TUG1
expression was higher in AML patients compared to controls and correlated with
higher white blood cell counts, monosomal karyotype, FLT3-ITD mutation, poor-risk
stratification, and poor prognosis, which independently predicted worse event
free survival and overall survival. In vitro, lncRNA TUG1 expression was higher
in AML cell lines (KG-1, MOLM-14, HL-60, NB-4, and THP-1 cells) compared to
controls. LncRNA TUG1 mimic promoted cell proliferation and decreased cell
apoptosis rate, while lncRNA TUG1 inhibitor repressed cell proliferation and
increased cell apoptosis rate. Rescue experiment showed that AURKA attenuated the
influence of lncRNA TUG1 on AML cell proliferation and apoptosis. In conclusion,
lncRNA TUG1 associates with advanced disease and worse prognosis in adult AML
patients, and it induces AML cell proliferation and represses cell apoptosis via
targeting AURKA.
PMID- 29654399
TI - Characteristics of children admitted to intensive care with acute bronchiolitis.
AB - : To assess factors associated with outcome in children admitted to paediatric
intensive care (PIC) with bronchiolitis. A retrospective study of children
admitted to the PICU at St Mary's Hospital, London with bronchiolitis over a 6
year period (2011-2016). All bronchiolitis admissions < 2 years were included.
Data collected particularly noted risk factors for severity, demographics,
microbiology and outcome. We compared respiratory syncytial virus (RSV) with non
RSV status. Multivariate analysis was performed. Two hundred seventy-four
patients were identified. Median age was 60 days (IQR 28-150 days), 63% were
male, 90% were invasively ventilated and 42% were previously healthy. Pre
existing co-morbidities were present in 38%. The most frequently isolated
pathogens were RSV (60%) and rhinovirus (26%). Co-infection was present in 45%,
most commonly with RSV, rhinovirus and bacterial pathogens. Median length of stay
(LOS) was 6 days (IQR 4.75-10). Younger age, prematurity, RSV, co-infection and
co-morbidity were identified as significant risk factors for prolonged LOS. Six
children died. Five of these had documented co-morbidities. CONCLUSION: RSV
causes more severe bronchiolitis than other viruses. Nearly half of children
admitted to PICU with RSV were previously healthy. Current guidelines for
immunoprophylaxis of RSV bronchiolitis should be re-considered. What is Known: *
Bronchiolitis is one of the most common reasons for unplanned PICU admission. The
most common virus causing bronchiolitis is RSV * Bronchiolitis severe enough to
require admission to PICU is associated with frequent morbidity but has low
mortality. What is New: * RSV causes more severe bronchiolitis than other
viruses. * Nearly half of all children admitted to PICU with RSV were previously
healthy.
PMID- 29654401
TI - Response to "Masturbation: Scientific Evidence and Islam's View".
PMID- 29654400
TI - Development of a core set of quality indicators for paediatric primary care
practices in Europe, COSI-PPC-EU.
AB - : Paediatric ambulatory healthcare systems in Europe are, because of historical
reasons, diverse and show strikingly different outcomes. All across Europe, the
benchmarking of structures, processes and outcomes could reveal opportunities for
improving Paediatric Primary Care (PPC). The aim of this study was to develop a
set of Quality Indicators (QIs) to assess and monitor PPC in Europe. In a three
step process, we used the available external evidence and European expert
consensus in a modified RAND/UCLA Appropriateness Method (RAM) to develop an
indicator set. (1) A broad literature and online research of published QI and
guidelines yielded an inventory of 1516 QI. (2) A collaborative panel of
paediatric senior experts from the European Academy of Paediatrics (EAP) and the
European Confederation of Primary Care Paediatricians (ECPCP) from 15 European
countries participated in a first consensus process to reduce the initial
indicator inventory by eliminating not PPC-focused indicators and duplicates. (3)
In a second consensus process, the panel rated the QI regarding validity and
feasibility. The final QI set "COSI-PPC-EU" consists of 42 indicators in five
categories of PPC: (A) health promotion/prevention/screening (13 QI), (B) acute
care (9 QI), (C) chronic care (8 QI), (D) practice management (3 QI) and (E)
patient safety (9 QI). CONCLUSION: COSI-PPC-EU represents a consented set of a
limited number of valid quality indicators for the application in paediatric
primary care in different healthcare systems throughout Europe. What is Known: *
Paediatric ambulatory healthcare systems in Europe are diverse and show
strikingly different outcomes. * There are known gaps in quality performance
measures of paediatric primary care in Europe. Pre-existing sets of quality
indicators are predominantly limited to national populations, specific diseases
and hospital care. What is New: * A set of 42 quality indicators for primary
paediatric care in Europe was developed in a multi-country collaborative effort.
The method combined a systematic literature review and a consensus process among
European paediatric experts. * The quality indicator set can facilitate quality
improvement of PPC. After studying the feasibility, providers can use COSI-PPC-EU
to monitor, compare and improve performance of practices, regions and countries.
PMID- 29654402
TI - Decreasing Operating Room Turnover Time: A Resource Neutral Initiative.
PMID- 29654403
TI - Antitumor activity of chitosan from mayfly with comparison to commercially
available low, medium and high molecular weight chitosans.
AB - Insects' cuticles have a potential to be evaluated as a chitin source. Especially
adults of aquatic insects like mayflies (order Ephemeroptera) swarm in enormous
numbers in artificially lit areas while mating in spring and then die by leaving
huge amounts of dead insects' bodies. Here in this study, mayfly corpses were
harvested and used for production of low MW chitosan. Dried mayfly bodies had
10.21% chitin content; mayfly chitin was converted into chitosan with efficiency
rate of 78.43% (deacetylation degree, 84.3%; MW, 3.69 kDa). Cytotoxicity and anti
proliferative activity of mayfly and commercially available shrimp chitosans
(low, medium, and high MW) were determined on L929 fibroblast and three different
cancer types including HeLa, A549, and WiDr. Apoptosis and necrosis stimulating
potential of mayfly and commercial chitosans were also evaluated on A549 and WiDr
cells using acridine orange and propidium iodide dual staining to observe
morphological changes in nuclei and thus to reveal the predominant cell death
mechanism. The effects of chitosans have varied depending on cell types,
concentration, and chitosan derivatives. Mayfly and low MW chitosans had a
cytotoxic effect at a concentration of 500 MUg mL-1 on non-cancer cells. At
concentrations below this value (250 MUg mL-1), mayfly and commercial chitosans
except high MW one exhibited strong inhibitory activity on cancer cells
especially A549 and WiDr cells. Mayfly chitosan induced early and late apoptosis
in A549 cells, but late apoptosis and necrosis in WiDr cells. This study suggests
that dead bodies of mayflies can be used for production of low MW chitosan with
anti-proliferative activity.
PMID- 29654404
TI - Asymmetrical intrapleural pressure distribution: a cause for scoliosis? A
computational analysis.
AB - PURPOSE: The mechanical link between the pleural physiology and the development
of scoliosis is still unresolved. The intrapleural pressure (IPP) which is
distributed across the inner chest wall has yet been widely neglected in etiology
debates. With this study, we attempted to investigate the mechanical influence of
the IPP distribution on the shape of the spinal curvature. METHODS: A finite
element model of pleura, chest and spine was created based on CT data of a
patient with no visual deformities. Different IPP distributions at a static end
of expiration condition were investigated, such as the influence of an asymmetry
in the IPP distribution between the left and right hemithorax. The results were
then compared to clinical data. RESULTS: The application of the IPP resulted in a
compressive force of 22.3 N and a flexion moment of 2.8 N m at S1. An
asymmetrical pressure between the left and right hemithorax resulted in lateral
deviation of the spine towards the side of the reduced negative pressure. In
particular, the pressure within the dorsal section of the rib cage had a strong
influence on the vertebral rotation, while the pressure in medial and ventral
region affected the lateral displacement. CONCLUSIONS: An asymmetrical IPP caused
spinal deformation patterns which were comparable to deformation patterns seen in
scoliotic spines. The calculated reaction forces suggest that the IPP contributes
in counterbalancing the weight of the intrathoracic organs. The study confirms
the potential relevance of the IPP for spinal biomechanics and pathologies, such
as adolescent idiopathic scoliosis.
PMID- 29654405
TI - Elucidating early CT after pancreatico-duodenectomy: a primer for radiologists.
AB - : Pancreatico-duodenectomy (PD) represents the standard surgical treatment for
resectable malignancies of the pancreatic head, distal common bile duct,
periampullary region and duodenum, and is also performed to manage selected
benign tumours and refractory chronic pancreatitis. Despite improved surgical
techniques and acceptable mortality, PD remains a technically demanding, high
risk operation burdened with high morbidity (complication rates 40-50% of
patients). Multidetector computed tomography (CT) represents the mainstay
modality to rapidly investigate the postoperative abdomen, and to provide a
consistent basis for an appropriate choice between conservative, interventional
or surgical treatment. However, radiologists require familiarity with the
surgically altered anatomy, awareness of expected imaging appearances and
possible complications to correctly interpret early post-PD CT studies. This
paper provides an overview of surgical indications and techniques, discusses risk
factors and clinical manifestations of the usual postsurgical complications, and
suggests appropriate techniques and indications for early postoperative CT
imaging. Afterwards, the usual, normal early post-PD CT findings are presented,
including transient fluid, pneumobilia, delayed gastric emptying, identification
of pancreatic gland remnant and of surgical anastomoses. Finally, several imaging
examples review the most common and some unusual complications such as pancreatic
fistula, bile leaks, abscesses, intraluminal and extraluminal haemorrhage, and
acute pancreatitis. TEACHING POINTS: * Pancreatico-duodenectomy (PD) is a
technically demanding surgery burdened with high morbidity (40-50%). *
Multidetector CT is the mainstay technique to investigate suspected complications
following PD. * Interpreting post-PD CT requires knowledge of surgically altered
anatomy and expected findings. * CT showing collection at surgical site supports
clinico-biological diagnosis of pancreatic fistula. * Other complications include
biliary leaks, haemorrhage, abscesses and venous thrombosis.
PMID- 29654406
TI - Knee strength, power and stair performance of the elderly 5 years after
unicompartmental knee arthroplasty.
AB - BACKGROUND: Unicompartmental knee arthroplasty (UKA) has been shown to
demonstrate some satisfactory short-term outcomes. However, to our knowledge,
there have been no reports on midterm or long-term knee extensor strength and leg
extensor power post-UKA. AIMS: Therefore, the purposes of this study were: (1) to
assess the isokinetic knee extensor strength, leg extensor power and stair
performance of elderly participants at 5 years UKA post-operation; (2) to compare
the differences in knee extensor strength and leg extensor power between the UKA
and contralateral healthy limbs. METHODS: Nineteen elderly participants (75 +/- 5
years) who had a medial or a lateral compartment UKA at 5 years post-operation
were recruited. The isokinetic knee extensor strength and leg extensor power were
measured. The stair performance was tested on a 4-step stair, and ascent and
descent velocities were calculated. The pain level was assessed. RESULTS: The UKA
limbs' knee extensor strength and leg extensor power were 1.01 +/- 0.39 Nm/kg and
0.98 +/- 0.27 W/kg, respectively. The stair ascent and descent velocities were
0.37 +/- 0.07 and 0.38 +/- 0.11 m/s, respectively. In addition, the UKA limbs
exhibited comparable knee strength and leg power relative to the contralateral
limbs. DISCUSSION: In general, the knee extensor strength and leg extensor power
exhibited by the UKA limbs at 5 years post-operation may be typical in comparison
with the normative data. CONCLUSIONS: We suggest that UKA is a satisfactory
treatment in regard to the recovery of knee strength, leg power and ability to
climb up and down stairs.
PMID- 29654407
TI - No difference in flexion power despite iliopsoas fatty degeneration in healed hip
fractures with large lesser trochanter displacement.
AB - OBJECTIVE: To evaluate iliopsoas atrophy and loss of function after displaced
lesser trochanter fracture of the hip. DESIGN: Cohort study. SETTING: District
hospital. PATIENTS: Twenty consecutive patients with pertrochanteric fracture and
displacement of the lesser trochanter of > 20 mm. INTERVENTION: Fracture fixation
with either an intramedullary nail or a plate. OUTCOME MEASUREMENTS: Clinical
scores (Harris hip, WOMAC), hip flexion strength measurements, and magnetic
resonance imaging findings. RESULTS: Compared with the contralateral non-operated
side, the affected side showed no difference in hip flexion force in the supine
upright neutral position and at 30 degrees of flexion (205.4 N vs 221.7 N and
178.9 N vs. 192.1 N at 0 degrees and 30 degrees flexion, respectively).
However, the affected side showed a significantly greater degree of fatty
infiltration compared with the contralateral side (global fatty degeneration
index 1.085 vs 0.784), predominantly within the psoas and iliacus muscles.
CONCLUSION: Severe displacement of the lesser trochanter (> 20 mm) in
pertrochanteric fractures did not reduce hip flexion strength compared with the
contralateral side. Displacement of the lesser trochanter in such cases can lead
to fatty infiltration of the iliopsoas muscle unit. The amount of displacement of
the lesser trochanter did not affect the degree of fatty infiltration. LEVEL OF
EVIDENCE: II.
PMID- 29654409
TI - Supraorbital approach through eyebrow skin incision for aneurysm clipping: how I
do it.
AB - BACKGROUND: Surgery has been in a huge development where the aim is to achieve
same or better results with less complication in a less invasive way; these
minimal approaches can be applied in a very safe way to aneurysmal neurosurgery.
METHOD: Images are studied to optimize the patient positioning and the surgical
roadmap. After the positioning, the skin incision, craniotomy, and dura incision
are performed and intradural lesion is reached. A watertight closure is performed
under the microscope. CONCLUSION: The keyhole approach requires some adaption
from the side of the surgeon and can be performed only in cases envisioned to
have no increased risk for the patient.
PMID- 29654408
TI - Contemporary critical limb ischemia: Asian multidisciplinary consensus statement
on the collaboration between endovascular therapy and wound care.
AB - The burden of peripheral artery disease (PAD) and diabetes in Asia is projected
to increase. Asia also has the highest incidence and prevalence of end-stage
renal disease (ESRD) in the world. Therefore, most Asian patients with PAD might
have diabetic PAD or ESRD-related PAD. Given these pandemic conditions, critical
limb ischemia (CLI) with diabetes or ESRD, the most advanced and challenging
subset of PAD, is an emerging public health issue in Asian countries. Given that
diabetic and ESRD-related CLI have complex pathophysiology that involve arterial
insufficiency, bacterial infection, neuropathy, and foot deformity, a coordinated
approach that involves endovascular therapy and wound care is vital. Recently,
there is increasing interaction among cardiologists, vascular surgeons,
radiologists, orthopedic surgeons, and plastic surgeons beyond specialty and
country boundaries in Asia. This article is intended to share practical Asian
multidisciplinary consensus statement on the collaboration between endovascular
therapy and wound care for CLI.
PMID- 29654410
TI - Reactive oxygen species generation in aqueous solutions containing GdVO4:Eu3+
nanoparticles and their complexes with methylene blue.
AB - It this letter, we report the study of free radicals and reactive oxygen species
(ROS) generation in water solutions containing gadolinium orthovanadate
GdVO4:Eu3+ nanoparticles (VNPs) and their complexes with methylene blue (MB)
photosensitizer. The catalytic activity was studied under UV-Vis and X-ray
irradiation by three methods (conjugated dienes test, OH. radical, and singlet
oxygen detection). It has been shown that the VNPs-MB complexes reveal high
efficiency of ROS generation under UV-Vis irradiation associated with both high
efficiency of OH. radicals generation by VNPs and singlet oxygen generation by MB
due to nonradiative excitation energy transfer from VNPs to MB molecules.
Contrary to that under X-ray irradiation, the strong OH . radicals scavenging by
VNPs has been observed.
PMID- 29654411
TI - Ciprofloxacin-lidocaine-based hydrogel: development, characterization, and in
vivo evaluation in a second-degree burn model.
AB - The purpose of this work was to develop an effective carbomer hydrogel to be used
to treat second-degree burns that combined ciprofloxacin and lidocaine (CbCipLid
hydrogel). Its antibiotic and anesthetic efficacy and the physical and chemical
properties of the CbCipLid hydrogel (release rate and kinetics, rheology,
appearance, and drug content) were evaluated both before and after a
sterilization cycle and also after 6 months of storage. For the in vivo studies,
second-degree burns were developed in a rat model. Animals were divided into
three groups: CbCipLid hydrogel, silver sulfadiazine cream (reference), and
carbomer hydrogel (as control). The treatments were applied daily for 21 days,
and the healing was monitored by macroscopic observation and histologic
evaluation. The anesthetic effect was evaluated through the corneal touch
threshold in a rabbit eye model. The CbCipLid hydrogel obtained is transparent
and allows the loading of ciprofloxacin above its solubility at a neutral pH,
with a rheology which is convenient for topical administration. Its physical and
chemical properties remained unchanged after sterilization and for at least six
additional months. Both ciprofloxacin and lidocaine are reversibly released from
the CbCipLid hydrogel with a kinetics fitting the Higuchi model. The presence of
a biologic-like fluid increased the rate of drug delivery through an ionic
exchange mechanism. Treatment with the CbCipLid hydrogel decreased the wound
healing period, compared with the reference, and was associated with a greater
number of fibroblasts and a faster rate of epithelialization and dermis
reconstruction. These differences were assigned to the moist environment provided
by the hydrogel and also to the presence of a therapeutic concentration of
ciprofloxacin. Moreover, CbCipLid hydrogel provides an immediate anesthetic
effect, which is significantly more intense than that of the reference. Based on
these results, it is believed that the CbCipLid hydrogel could be a potential
candidate in the prophylaxis/treatment of second-degree burns.
PMID- 29654412
TI - The association of serum C-reactive protein with the occurrence and course of
postpartum depression.
AB - CRP has been positively correlated with depressive symptomatology but this has
received less study in postpartum depression (PPD). In this secondary analysis of
a trial of PPD treatment, depressive symptoms (Structured Interview Guide for the
Hamilton Depression Rating Scale-Atypical Depression Symptoms (SIGH-ADS29)) and
serum CRP levels were assessed and associations between CRP and SIGH-ADS29 scores
evaluated. The associations between baseline log CRP and depression response and
remission were also assessed. Of the 35 women included, neither baseline log CRP
nor exit log CRP was significantly associated with SIGH-ADS29 score. Baseline CRP
was not associated with response or remission. In this sample of women with PPD,
CRP was not associated with depressive symptoms nor response to treatment.
PMID- 29654413
TI - Latent trajectory groups of perinatal depressive and anxiety symptoms from
pregnancy to early postpartum and their antenatal risk factors.
AB - The aim of this study is to identify subgroups of women who exhibit distinct
trajectory patterns of depressive and anxiety disorders from pregnancy to early
postpartum and the risk factors associated with the latent trajectory group
memberships. Women (n = 615) from the Feelings in Pregnancy and Motherhood (FIP)
longitudinal study were followed from early pregnancy to early postpartum for a 7
month period in 2006-2007. The semi-parametric group-based trajectory modeling
approach was used to identify the latent trajectory groups. Multinomial logit
models were then used to explore the association between latent trajectory group
membership and antenatal characteristics. We identified four latent trajectory
groups of perinatal depressive symptoms: "low-stable" (49.6%), "moderate-stable"
(42.3%), "postpartum" (3.6%), and "antepartum" (4.6%). Significant risk factors
associated with these trajectory group memberships were past depression, stress
level, ethnicity, the mother's age, and relationship satisfaction. Three latent
trajectory groups of perinatal anxiety symptoms were identified: "very low
stable" (8.9%); "low-stable" (60.7%); and "moderate-stable" (30.4%). Significant
risk factor associated with these trajectories were past depression, stress
level, and income level. Latent trajectory groups of perinatal depressive and
anxiety symptoms were identified to uncover potential heterogeneity in
populations. Our findings support the need for multiple assessments starting from
early pregnancy to the postpartum, which can give some important insights on the
characteristics of the women at high symptom burden trajectories for early
interventions that may alter the progress of their mental symptoms.
PMID- 29654414
TI - Determination of the Genetic and Synergistic Suppression of a Methoxyfenozide
Resistant Strain of the House Fly Musca domestica L. (Diptera: Muscidae).
AB - Musca domestica Linnaeus (house fly, Diptera: Muscidae) is a major veterinary and
medical important pest all over the world. These flies have ability to develop
resistance to insecticides. The present trial was performed to discover the
inheritance mode (autosomal, dominance, number of genes involved) and preliminary
mechanism of methoxyfenozide resistance in order to provide basic information
necessary to develop resistance management strategy for this pest. A strain of M.
domestica (MXY-SEL) was exposed to methoxyfenozide for 44 generations which
developed a 5253.90-fold level of resistance to methoxyfenozide. The overlapping
fiducial limits of LC50 values of the reciprocal crosses, F1 (MXY-SEL ? *
Susceptible ?) and F1? (MXY-SEL ? * Susceptible ?), suggest that inheritance of
methoxyfenozide resistance was an autosomal and likely completely dominant trait
(DLC = 0.93 and 0.94 for F1 and F1?, respectively). Backcrosses of the F1 with
the parental MXY-SEL or Susceptible population predict a polygenic mode of
inheritance. Piperonyl butoxide significantly altered the LC50 values, suggesting
enhanced detoxification by cytochrome P450-dependent monooxygenases is a major
mechanism of resistance to methoxyfenozide in the MXY-SEL strain. The estimated
realized heritability was 0.07 for methoxyfenozide. These results would be
helpful for the better management of M. domestica.
PMID- 29654415
TI - Health-related quality of life of postmenopausal women with hormone receptor
positive, human epidermal growth factor receptor 2-negative advanced breast
cancer treated with ribociclib + letrozole: results from MONALEESA-2.
AB - PURPOSE: Evaluate patient-reported outcomes (PROs) for postmenopausal women with
hormone receptor-positive (HR+), human epidermal growth factor receptor 2
negative (HER2-) advanced breast cancer treated with first-line ribociclib plus
letrozole. METHODS: In the phase III MONALEESA-2 study (NCT01958021), 668
patients were randomized 1:1 to ribociclib (600 mg/day; 3-weeks-on/1-week-off)
plus letrozole (2.5 mg/day) or placebo plus letrozole. PROs were assessed using
the European Organisation for Research and Treatment of Cancer core quality-of
life (EORTC QLQ-C30) and breast cancer-specific (EORTC QLQ-BR23) questionnaires.
Changes from baseline and time to deterioration in health-related quality of life
(HRQoL) were analyzed using linear mixed-effect and stratified Cox regression
models, respectively. Exploratory analysis of area-under-the-curve for change
from baseline in pain score (AUC-pain) was performed. RESULTS: On-treatment HRQoL
scores were consistently maintained from baseline and were similar between arms.
A clinically meaningful (> 5 points) reduction in pain score was observed as
early as Week 8 and was maintained up to Cycle 15 in the ribociclib arm. A
statistically significant increase in mean AUC-pain was also observed in the
ribociclib arm. Scores for all other EORTC QLQ-C30 and EORTC QLQ-BR23 domains
were maintained from baseline and were similar between arms. CONCLUSIONS: HRQoL
was consistently maintained from baseline in postmenopausal women with HR+, HER2-
advanced breast cancer receiving ribociclib plus letrozole and was similar to
that observed in the placebo plus letrozole arm. Together with the improved
clinical efficacy and manageable safety profile, these PRO results provide
additional support for the benefit of ribociclib plus letrozole in this patient
population.
PMID- 29654416
TI - Effects of physical exercise after treatment of early breast cancer: systematic
review and meta-analysis.
AB - PURPOSE: Randomized clinical trials are inconclusive regarding the role of
physical exercise in anthropometric measurements, quality of life, and survival
in breast cancer patients. Our aim was to conduct a systematic review and meta
analysis to assess the effects of physical exercise on these outcomes in women
who went through curative treatment of early-stage breast cancer. METHODS:
Pubmed, Embase, Cochrane Library were searched for randomized clinical trial
comparing physical exercise (counseling or structured programs with
supervised/individualized exercise sessions) with usual care in women that went
through for breast cancer treatment. Primary outcomes were overall survival and
disease-free survival, while secondary outcomes were weight loss, body mass
index, waist-hip ratio, percentage of body fat, and quality of life. RESULTS: We
found 60 randomized clinical trials, only one of them showed mortality data; the
HR for mortality was 0.45 (95% CI 0.21-0.97) for the intervention group when
compared to the control group. Physical exercise was associated with weight
reduction (- 1.36 kg, 95% CI - 2.51 to - 0.21, p = 0.02), lower body mass index (
0.89 kg/m2, 95% CI - 1.50 to - 0.28, p < 0.01), and lower percentage of body fat
(- 1.60 percentage points, 95% CI - 2.31 to - 0.88, p < 0.01). There was an
increase in the quality of life (standardized mean difference of 0.45, 95% CI
0.20-0.69, p < 0.01). CONCLUSIONS: The articles found had heterogeneous types of
intervention, but they showed significant effects on anthropometric measures and
quality of life. Among them, only one study had mortality as outcome and it
showed physical exercise as a protective intervention. Despite these findings,
publication bias and poor methodological quality were presented. Physical
exercise should be advised for breast cancer survivors since it has no adverse
effects and can improve anthropometrics measures and quality of life. PROSPERO
registry: CRD42014008743.
PMID- 29654417
TI - Healthcare Text Classification System and its Performance Evaluation: A Source of
Better Intelligence by Characterizing Healthcare Text.
AB - A machine learning (ML)-based text classification system has several classifiers.
The performance evaluation (PE) of the ML system is typically driven by the
training data size and the partition protocols used. Such systems lead to low
accuracy because the text classification systems lack the ability to model the
input text data in terms of noise characteristics. This research study proposes a
concept of misrepresentation ratio (MRR) on input healthcare text data and models
the PE criteria for validating the hypothesis. Further, such a novel system
provides a platform to amalgamate several attributes of the ML system such as:
data size, classifier type, partitioning protocol and percentage MRR. Our
comprehensive data analysis consisted of five types of text data sets (TwitterA,
WebKB4, Disease, Reuters (R8), and SMS); five kinds of classifiers (support
vector machine with linear kernel (SVM-L), MLP-based neural network, AdaBoost,
stochastic gradient descent and decision tree); and five types of training
protocols (K2, K4, K5, K10 and JK). Using the decreasing order of MRR, our ML
system demonstrates the mean classification accuracies as: 70.13 +/- 0.15%, 87.34
+/- 0.06%, 93.73 +/- 0.03%, 94.45 +/- 0.03% and 97.83 +/- 0.01%, respectively,
using all the classifiers and protocols. The corresponding AUC is 0.98 for SMS
data using Multi-Layer Perceptron (MLP) based neural network. All the
classifiers, the best accuracy of 91.84 +/- 0.04% is shown to be of MLP-based
neural network and this is 6% better over previously published. Further we
observed that as MRR decreases, the system robustness increases and validated by
standard deviations. The overall text system accuracy using all data types,
classifiers, protocols is 89%, thereby showing the entire ML system to be novel,
robust and unique. The system is also tested for stability and reliability.
PMID- 29654418
TI - Interictal levels of calcitonin gene related peptide in gingival crevicular fluid
of chronic migraine patients.
AB - Calcitonin gene related peptide (CGRP) is a mediator of neurogenic inflammation
playing a major role in the pathogenesis of migraine. Increases in serum CGRP
have been detected previously in migraineurs and a return to baseline values
regarded as successful treatment. As gingival crevicular fluid is known to
originate from the serum, the aim of this study is to measure the CGRP content of
gingival crevicular fluid (GCF) in chronic migraine patients and to determine
whether there is a correlation between serum and GCF values of CGRP. For this
study, 24 female individuals suffering from chronic migraine with aura were age
matched with 15 healthy individuals. Serum and GCF samples were obtained from
both groups and enzyme linked immunosorbent assay performed to measure CGRP
concentration. The level of CGRP in the serum and GCF of chronic migraine
patients was 41 +/- 16 pg/mL and 0.25 +/- 0.09 pg/MUg respectively while in
healthy individuals CGRP levels were 29 +/- 8 pg/mL and 0.19 +/- 0.07 pg/MUg. The
correlation between CGRP levels of the GCF and serum was 0.88 for migraineurs and
0.81 in the controls. Only a weak positive relationship was observed between age
and CGRP levels in both groups. CGRP levels were higher in migraineurs compared
with controls both in serum and GCF. Furthermore there is a strong correlation
between CGRP levels of the serum and GCF. The results of this study suggest that
CGRP levels of GCF have potential diagnostic purposes in patients with chronic
migraine.
PMID- 29654419
TI - Bilateral vestibular impairment in Vogt Koyanagi Harada syndrome: a case report.
PMID- 29654420
TI - Comparison of gingival depigmentation with Er,Cr:YSGG laser and surgical
stripping, a 12-month follow-up.
AB - Gingival melanin hyperpigmentation is an esthetic concern for many individuals.
In this study, we compared the standard surgical removal method with two
different Er,Cr:YSGG laser settings in order to find the best treatment method.
In 33 dental arches, the following three treatment groups were comparatively
evaluated: (1) surgical stripping, (2) removal with laser setting 1 (4.5 W, 50
Hz, 100% water, 80% air, 60 MUs, 800 MUm Tip; MZ8), and (3) laser setting 2 (2.5
W, 50 Hz, 20% water, 40% air, 700 MUs, 800 MUm Tip; MZ8). We comparatively
evaluated pain, patient satisfaction and wound healing, treatment time, and the
amount of bleeding. Re-pigmentation was evaluated after 1 and 12 months by Hedin
and Dummet pigmentation scores. Laser setting 1 had the best results regarding
pain and patient satisfaction, although not statistically significant (P > 0.05).
Wound healing results were better using lasers compared to surgical stripping (P
< 0.05). Laser setting 1 was a faster procedure with mild amounts of bleeding.
The least amount of bleeding was seen with laser setting 2. After 1 month, only
two cases of the laser setting 2-treated areas showed an isolated pigmented area
in the papilla; at 12 months, the mean Hedin indexes were still less than 2 and
mean Dummett index less than 1 in all treatment techniques, with the lowest
scores seen in the laser setting 1 sites. Based on our results, Er,Cr:YSGG laser
can be more convenient for gingival depigmentation compared to surgical blade.
Although not statistically significant, laser setting 1 with shorter pulse
duration and higher water spray showed better overall results. However, laser
setting 2, with longer pulse duration and less water spray, resulted in better
coagulative effects and can be used to control bleeding wherever necessary in
clinical practice.
PMID- 29654421
TI - Comparative microstructural analysis of bone osteotomies after cutting by
computer-assisted robot-guided laser osteotome and piezoelectric osteotome: an in
vivo animal study.
AB - Most industrial laser applications utilize computer and robot assistance, for
guidance, safety, repeatability, and precision. In contrast, medical applications
using laser systems are mostly conducted manually. The advantages can be
effective only when the system is coupled to a robotic guidance, as operating by
hand does not reach the required accuracy. We currently developed the first laser
osteotome which offers preoperative planning based on CT data, robot guidance,
and a precise execution of the laser cuts. In an animal trial, our system was
used to create a grid pattern of the same depth on the inner layer of parietal
bone in 12 adult sheep. The same bone cuts were done with piezoelectric osteotome
on the contralateral side. The micro-CT and histological analysis showed more new
mineralized bone in the laser group compared to the piezoelectric group. As well,
a cutting pattern with especially a constant osteotomy depth in the laser group
was demonstrated. The here presented autonomous osteotomy tool shows not only an
advantage in early bone healing stage but additionally sharp bone cuts with a
very high accuracy and freely selectable design cuts.
PMID- 29654422
TI - Removal of unwanted hair: efficacy, tolerability, and safety of long-pulsed 755
nm alexandrite laser equipped with a sapphire handpiece.
AB - Due to the difference in refraction coefficients between air and the corneal
epithelium, irradiation of the skin with a light source can lead to reflection of
the energy and its leakage to the skin causes epidermal injury. All of which
decreases the efficacy of treatment. We evaluated cooling sapphire handpieces'
efficacy in decreasing pain and epidermal injuries and enhancing the treatment
outcome in laser hair removal. A total of 49 patients with Fitzpatrick skin types
of II to IV were treated for laser hair removal on face, limbs, inguinal, and
axillary areas with pulsed 755-nm alexandrite laser equipped with a sapphire
handpiece and the cooling system. Hair counts were performed by two independent
observers at the baseline and 3 months after the final treatment. A marked
reduction in hair regrowth was noted 3 months after the final treatment in all
body locations studied. Clinical hair reduction was observed and fully assessed.
There were no serious side effects with an average pain score of 4.6 out of 40.
The cooled sapphire cylinder tip has been shown to minimize epidermal injury and
reduce the system energy leaks to the skin.
PMID- 29654423
TI - Adoption and Design of Emerging Dietary Policies to Improve Cardiometabolic
Health in the US.
AB - PURPOSE OF REVIEW: Suboptimal diet is a leading cause of cardiometabolic disease
and economic burdens. Evidence-based dietary policies within 5 domains-food
prices, reformulation, marketing, labeling, and government food assistance
programs-appear promising at improving cardiometabolic health. Yet, the extent of
new dietary policy adoption in the US and key elements crucial to define in
designing such policies are not well established. We created an inventory of
recent US dietary policy cases aiming to improve cardiometabolic health and
assessed the extent of their proposal and adoption at federal, state, local, and
tribal levels; and categorized and characterized the key elements in their policy
design. RECENT FINDINGS: Recent federal dietary policies adopted to improve
cardiometabolic health include reformulation (trans-fat elimination), marketing
(mass-media campaigns to increase fruits and vegetables), labeling (Nutrition
Facts Panel updates, menu calorie labeling), and food assistance programs
(financial incentives for fruits and vegetables in the Supplemental Nutrition
Assistance Program (SNAP) and Women, Infant and Children (WIC) program). Federal
voluntary guidelines have been proposed for sodium reformulation and food
marketing to children. Recent state proposals included sugar-sweetened beverage
(SSB) taxes, marketing restrictions, and SNAP restrictions, but few were enacted.
Local efforts varied significantly, with certain localities consistently leading
in the proposal or adoption of relevant policies. Across all jurisdictions, most
commonly selected dietary targets included fruits and vegetables, SSBs, trans
fat, added sugar, sodium, and calories; other healthy (e.g., nuts) or unhealthy
(e.g., processed meats) factors were largely not addressed. Key policy elements
to define in designing these policies included those common across domains (e.g.,
level of government, target population, dietary target, dietary definition,
implementation mechanism), and domain-specific (e.g., media channels for food
marketing domain) or policy-specific (e.g., earmarking for taxes) elements.
Characteristics of certain elements were similarly defined (e.g., fruit and
vegetable definition, warning language used in SSB warning labels), while others
varied across cases within a policy (e.g., tax base for SSB taxes). Several key
elements were not always sufficiently characterized in government documents, and
dietary target selections and definitions did not consistently align with the
evidence-base. These findings highlight recent action on dietary policies to
improve cardiometabolic health in the US; and key elements necessary to design
such policies.
PMID- 29654424
TI - Deformable Registration for Longitudinal Breast MRI Screening.
AB - MRI screening of high-risk patients for breast cancer provides very high
sensitivity, but with a high recall rate and negative biopsies. Comparing the
current exam to prior exams reduces the number of follow-up procedures requested
by radiologists. Such comparison, however, can be challenging due to the highly
deformable nature of breast tissues. Automated co-registration of multiple scans
has the potential to aid diagnosis by providing 3D images for side-by-side
comparison and also for use in CAD systems. Although many deformable registration
techniques exist, they generally have a large number of parameters that need to
be optimized and validated for each new application. Here, we propose a framework
for such optimization and also identify the optimal input parameter set for
registration of 3D T1-weighted MRI of breast using Elastix, a widely used and
freely available registration tool. A numerical simulation study was first
conducted to model the breast tissue and its deformation through finite element
(FE) modeling. This model generated the ground truth for evaluating the
registration accuracy by providing the deformation of each voxel in the breast
volume. An exhaustive search was performed over various values of 7 registration
parameters (4050 different combinations of parameters were assessed) and the
optimum parameter set was determined. This study showed that there was a large
variation in the registration accuracy of different parameter sets ranging from
0.29 mm to 2.50 mm in median registration error and 3.71 mm to 8.90 mm in 95
percentile of the registration error. Mean registration errors of 0.32 mm, 0.29
mm, and 0.30 mm and 95 percentile errors of 3.71 mm, 5.02 mm, and 4.70 mm were
obtained by the three best parameter sets. The optimal parameter set was applied
to consecutive breast MRI scans of 13 patients. A radiologist identified 113
landmark pairs (~ 11 per patient) which were used to assess registration
accuracy. The results demonstrated that using the optimal registration parameter
set, a registration accuracy (in mm) of 3.4 [1.8 6.8] was achieved.
PMID- 29654425
TI - An Automatic and Robust Decision Support System for Accurate Acute Leukemia
Diagnosis from Blood Microscopic Images.
AB - This paper proposes an automatic and robust decision support system for accurate
acute leukemia diagnosis from blood microscopic images. It is a challenging issue
to segment leukocytes under uneven imaging conditions since features of
microscopic leukocyte images change in different laboratories. Therefore, this
paper introduces an automatic robust method to segment leukocyte from blood
microscopic images. The proposed robust segmentation technique was designed based
on the fact that if background and erythrocytes could be removed from the blood
microscopic image, the remainder area will indicate leukocyte candidate regions.
A new set of features based on hematologist visual criteria for the recognition
of malignant leukocytes in blood samples comprising shape, color, and LBP-based
texture features are extracted. Two new ensemble classifiers are proposed for
healthy and malignant leukocytes classification which each of them is highly
effective in different levels of analysis. Experimental results demonstrate that
the proposed approach effectively segments leukocytes from various types of blood
microscopic images. The proposed method performs better than other available
methods in terms of robustness and accuracy. The final accuracy rate achieved by
the proposed method is 98.10% in cell level. To the best of our knowledge, the
image level test for acute lymphoblastic leukemia (ALL) recognition was performed
on the proposed system for the first time that achieves the best accuracy rate of
89.81%.
PMID- 29654426
TI - Direct visualization of single virus restoration after damage in real time.
AB - We use the nano-dissection capabilities of atomic force microscopy to induce
structural alterations on individual virus capsids in liquid milieu. We fracture
the protein shells either with single nanoindentations or by increasing the tip
sample interaction force in amplitude modulation dynamic mode. The normal
behavior is that these cracks persist in time. However, in very rare occasions
they self-recuperate to retrieve apparently unaltered virus particles. In this
work, we show the topographical evolution of three of these exceptional events
occurring in T7 bacteriophage capsids. Our data show that single nanoindentation
produces a local recoverable fracture that corresponds to the deepening of a
capsomer. In contrast, imaging in dynamic mode induced cracks that separate the
virus morphological subunits. In both cases, the breakage patterns follow
intratrimeric loci.
PMID- 29654427
TI - Sociodemographic disparities in the occurrence of medical conditions among
adolescent and young adult Hodgkin lymphoma survivors.
AB - PURPOSE: Hodgkin lymphoma (HL) survivors experience high risks of second cancers
and cardiovascular disease, but no studies have considered whether the occurrence
of these and other medical conditions differ by sociodemographic factors in
adolescent and young adult (AYA) survivors. METHODS: Data for 5,085 patients aged
15-39 when diagnosed with HL during 1996-2012 and surviving >= 2 years were
obtained from the California Cancer Registry and linked to hospitalization data.
We examined the impact of race/ethnicity, neighborhood socioeconomic status
(SES), and health insurance on the occurrence of medical conditions (>= 2 years
after diagnosis) and the impact of medical conditions on survival using
multivariable Cox proportional hazards regression. RESULTS: Twenty-six percent of
AYAs experienced at least one medical condition and 15% had >= 2 medical
conditions after treatment for HL. In multivariable analyses, Black HL survivors
had a higher likelihood (vs. non-Hispanic Whites) of endocrine [hazard ratio (HR)
= 1.37, 95% confidence interval (CI) 1.05-1.78] and circulatory system diseases
(HR = 1.58, CI 1.17-2.14); Hispanics had a higher likelihood of endocrine
diseases [HR = 1.24 (1.04-1.48)]. AYAs with public or no insurance (vs.
private/military) had higher likelihood of circulatory system diseases,
respiratory system diseases, chronic kidney disease/renal failure, liver disease,
and endocrine diseases. AYAs residing in low SES neighborhoods (vs. high) had
higher likelihood of respiratory system and endocrine diseases. AYAs with these
medical conditions or second cancers had an over twofold increased risk of death.
CONCLUSION: Strategies to improve health care utilization for surveillance and
secondary prevention among AYA HL survivors at increased risk of medical
conditions may improve outcomes.
PMID- 29654428
TI - Treatment outcomes of patients with spinal metastases derived from hepatocellular
carcinoma.
AB - BACKGROUND: The prognosis of hepatocellular carcinoma (HCC) used to be poor, but
it has recently improved, which has meant that clinicians have greater
opportunity to treat spinal metastases and the associated epidural spinal cord
compression. However, there have been few systematic functional studies about HCC
derived spinal metastases. The treatment outcomes of surgical treatment for HCC
derived metastatic spinal tumors were investigated. METHODS: The post-treatment
survival period and pain, paralysis, and disturbance of activities of daily
living (ADL) were investigated in 60 patients (surgery 25, conservative treatment
35). RESULTS: The mean post-treatment survival period was 7.4 +/- 8.2 months
(range 0.3-36 months). Univariate analysis indicated that the following factors
influenced survival: the patient's general condition, presence/absence of major
internal organ metastasis, serum albumin level, Child-Pugh classification,
surgical treatment for spinal metastasis, and bone-modifying agent treatment. In
the multivariate analysis of these 6 items, 3 significant factors were extracted:
the patient's general condition, the serum albumin level, and bone-modifying
agent treatment. Pain significantly improved in both groups (p < 0.001).
Paralysis did not change significantly in the surgical group (p = 0.575), but it
was significantly aggravated in the conservative treatment group (p = 0.047). The
ADL abilities of the surgical group improved significantly (p < 0.001).
CONCLUSION: Most patients exhibited poor survival. In the conservative treatment
group, paralysis was significantly aggravated, and little improvement was seen in
the patients' ADL abilities. In the surgical group, the patients' ADL abilities
improved significantly, but their paralysis did not. Therefore, surgery should be
actively performed for HCC-derived spinal metastasis whenever it is indicated.
PMID- 29654429
TI - Minimally Invasive Techniques for Bladder Reconstruction.
AB - PURPOSE OF REVIEW: Bladder reconstruction surgery is a key component of
neurogenic lower urinary tract dysfunction (nLUTD) management. Traditionally,
given the complexity and unpredictable operative challenges of bladder
reconstruction in this patient population, little consideration has been given to
performing lower urinary tract reconstruction in a minimally invasive approach.
RECENT FINDINGS: We describe the innovative minimally invasive surgical
techniques in four major categories of reconstructive procedures for nLUTD: (A)
ileal bladder augmentation, the use of a low morbidity open Pfannenstiel incision
and the use of laparoscopy and robotics; (B) creation of a catheterizable
channel, the use of laparoscopy and robotics for Mitrofanoff procedures; (C)
creation of both a bladder augmentation and catheterizable channel, the use of a
hand-assisted approach for the creation of a continent cutaneous ileocystoplasty;
and (D) bladder neck artificial urinary sphincter implantation: the use of a
robotics. Patients with nLUTD need surgical solutions that can improve their
quality of life over several decades. As experience with robotics increases and
as technology provides us with new tools to ease minimally invasive bladder
reconstruction, we can expect that the field will continue to grow and improve.
PMID- 29654430
TI - Protective Effects of Isoliquiritigenin on LPS-Induced Acute Lung Injury by
Activating PPAR-gamma.
AB - Isoliquiritigenin (ILG), a major ingredient of licorice, has been reported to
have anti-oxidative and anti-inflammatory effects. The aim of this study was to
investigate the protective effects of ILG on lung injury using an animal model of
lipopolysaccharide (LPS)-induced acute lung injury (ALI). Male BALB/c mice were
conditioned with ILG 1 h before intranasal instillation of LPS. The effects of
ILG on lung injury were assessed by measuring lung histopathological examination,
MPO assay, wet/dry (W/D) ratio, and inflammatory cytokine production. The results
showed that ILG significantly inhibited LPS-induced lung histopathological
changes and the MPO activity. Meanwhile, it attenuated the wet/dry (W/D) ratio in
the lung tissues. The results also indicated that ILG inhibited LPS-induced ALI
in the expression of inflammatory cytokines in the BALF. Furthermore, ILG can
decrease the activity of NF-kappaB and can increase the expression of PPAR-gamma.
These findings suggested that ILG inhibited the inflammatory of LPS-induced lung
injury by activating PPAR-gamma and inhibiting NF-kappaB activation.
PMID- 29654431
TI - Saikosaponin a Inhibits Cigarette Smoke-Induced Oxidant Stress and Inflammatory
Responses by Activation of Nrf2.
AB - Saikosaponin a (SSa), a triterpenoid saponin, has numerous pharmacological
properties, including anti-inflammatory and antioxidant effects. The purpose of
this study was to investigate whether and how SSa protected against cigarette
smoke (CS)-induced lung inflammation in mice. The mice were exposed to CS and SSa
was administered by an intraperitoneal (i.p.) injection 1 h before CS treatment
for 5 consecutive days. The results showed that SSa significantly inhibited CS
induced inflammatory cell infiltration, NO, TNF-alpha, and IL-1beta production in
BALF. SSa also inhibited CS-induced MPO and MDA contents in lung tissues.
Furthermore, SSa significantly inhibited CS-induced NF-kappaB and upregulated the
expression of Nrf2 and HO-1. In conclusion, these results support a therapeutic
potential for SSa in CS-induced lung inflammation.
PMID- 29654432
TI - Salicytamide: a New Anti-inflammatory Designed Drug Candidate.
AB - Salicytamide is a new drug developed through molecular modelling and rational
drug design by the molecular association of paracetamol and salicylic acid. This
study was conducted to assess the acute oral toxicity, antinociceptive, and
antioedematogenic properties of salicytamide. Acute toxicity was based on the
OECD 423 guidelines. Antinociceptive properties were investigated using the
writhing, hot plate and formalin tests in Swiss mice. Antioedematogenic
properties were evaluated using the carrageenan-induced paw oedema model and
croton oil-induced dermatitis in Wistar rats. Salicytamide did not promote
behavioural changes or animal deaths during acute oral toxicity evaluation.
Furthermore, salicytamide exhibited peripheral antinociceptive activity as
evidenced by the reduction in writhing behaviour (ED50 = 4.95 mg/kg) and licking
time in the formalin test's inflammatory phase. Also, salicytamide elicited
central antinociceptive activity on both hot plate test and formalin test's
neurogenic phase. Additionally, salicytamide was effective in reducing
carrageenan or croton oil-induced oedema formation. Overall, we have shown that
salicytamide, proposed here as a new NSAID candidate, did not induce oral acute
toxicity and elicited both peripheral antinociceptive effects (about 10-25 times
more potent than its precursors in the writhing test) and antioedematogenic
properties. Salicytamide also presented central antinociceptive activity, which
seems to be mediated through opioid-independent mechanisms. These findings reveal
salicytamide as a promising antinociceptive/antioedematogenic drug candidate.
PMID- 29654433
TI - CpG-ODNs and Budesonide Act Synergistically to Improve Allergic Responses in
Combined Allergic Rhinitis and Asthma Syndrome Induced by Chronic Exposure to
Ovalbumin by Modulating the TSLP-DC-OX40L Axis.
AB - The experimental model of combined allergic rhinitis and asthma syndrome (CARAS)
has shown that CpG oligodeoxynucleotides (CpG-ODNs) are potential inhibitors of
type 2 helper cell-driven inflammatory responses. Currently available CpG-ODNs
modestly inhibit allergic responses in CARAS, while a combination strategy for
upper airway treatment by co-administration of CpG-ODNs and glucocorticoids may
show good efficacy. This study aimed to assess the therapeutic effects of CpG
ODNs combined with budesonide (BUD) on upper and lower-airway inflammation and
remodeling in mice with CARAS induced by chronic exposure to ovalbumin (OVA),
exploring the possible underlying molecular mechanisms. A BALB/c mouse model of
chronic CARAS was established by systemic sensitization and repeated challenge
with OVA. Treatment with CpG-ODNs or BUD by intranasal administration was started
1 h after OVA challenge. Then, nasal mucosa and lung tissues were fixed and
stained for pathologic analysis. The resulting immunologic variables and TSLP-DC
OX40L axis parameters were evaluated. Both CpG-ODNs and BUD intranasal
administration are effective on reducing Th2-type airway inflammation and tissue
remodeling. Co-administration of CpG-ODNs and BUD was more effective than each
monotherapy in attenuating upper and lower-airway inflammation as well as airway
remodeling in chronic CARAS. Notably, combination of CpG-ODNs with BUD modulated
the TSLP-DC-OX40L axis, as demonstrated by decreased TSLP production in the nose
and lung, alongside decreased TSLPR and OX40L in DC. Intranasal co-administration
of CpG-ODNs and BUD synergistically alleviates airway inflammation and tissue
remodeling in experimental chronic CARAS, through shared cellular pathways, as a
potent antagonist of the TSLP-DC-OX40L axis.
PMID- 29654435
TI - Risk factors and outcome of postoperative delirium after transcatheter aortic
valve replacement.
AB - BACKGROUND: POD is associated with a worse postoperative course in patients after
cardiac surgery, but its incidence and effects after TAVR are not well
understood. The aim of the present study was to analyze incidence, risk factors,
and in-hospital outcomes of postoperative delirium (POD) after transfemoral (TF
AVR) and transapical (TA-AVR) transcatheter aortic valve replacement (TAVR) in a
nationwide cohort. METHODS AND RESULTS: Administrative data on all patients
undergoing isolated TAVR in Germany in 2014 were analyzed. 9038 TF-AVR and 2522
TA-AVR procedures were performed. POD incidence was 7% after TF-AVR and 12% after
TA-AVR. Atrial fibrillation (TF: OR 1.35, p < 0.001; TA: OR 1.53, p = 0.001) and
NYHA III/IV (TF: OR 1.23, p = 0.017, TA: OR 1.51, p = 0.001) were independent
risk factors for POD. Dementia was a risk factor only in TF-AVR (OR 3.04, p <
0.001). Female sex was protective (TF: OR 0.56, p < 0.001, TA: OR 0.51, p <
0.001). We found the occurrence of POD to be associated with more postoperative
complications such as stroke and bleeding. Consequently, patients with POD were
ventilated and hospitalized longer and suffered an increased risk of in-hospital
mortality (unadjusted OR TF: 1.83, p = 0.001, TA: 1.82, p = 0.01). After
adjusting for postoperative events and comorbidities, POD's effect on in-hospital
mortality disappeared. In contrast, stroke and bleeding remained independent
predictors for mortality irrespective of POD. CONCLUSIONS: Patients with POD
after TAVR are at increased risk for in-hospital mortality. However, after
adjusting for postoperative events and comorbidities, stroke and bleeding, but
not POD, are independent mortality predictors.
PMID- 29654434
TI - Predictors for target lesion microcalcifications in patients with stable coronary
artery disease: an optical coherence tomography study.
AB - BACKGROUND: The minimal fibrous cap thickness overlying the necrotic lipid core
as well as the presence of macrophages are established characteristics of
coronary plaque vulnerability. Recently, the presence of microcalcifications has
emerged as a novel feature of vulnerable lesions. However, clinical and plaque
morphological predictors of microcalcifications are unknown. METHODS: In patients
with stable coronary artery disease, analysis of plaque morphology (n = 112) was
performed using optical coherence tomography prior to coronary intervention to
assess predictors of microcalcifications. RESULTS: Microcalcifications were
present in 21/112 (18.7%) lesions. Segments with microcalcifications showed a
higher total number of calcifications per lesion (6.7 +/- 3.0 vs. 3.2 +/- 2.5, p
< 0.001), a lower percent area stenosis (70.9 +/- 11.1 vs. 76.2 +/- 9.7%, p =
0.028), and a higher frequency of macrophage infiltration (66.7 vs. 37.4%, p =
0.014). In lesions with vs. without microcalcifications, macrophage infiltration
was characterized by a wider macrophage angle (31.1 degrees +/- 34.4 degrees
vs. 13.7 degrees +/- 20.6 degrees , p = 0.003), a higher macrophage index (105.6
+/- 269.0 vs. 31.6 +/- 66.5 degrees mm, p = 0.020), and an increased frequency
of calcium-macrophage co-localization (47.6 vs. 15.6%, p = 0.001). In
multivariable logistic regression analysis, the total number of calcifications
per lesion (OR 1.53, 95% CI 1.23-1.91, p < 0.001), average macrophage angle (OR
1.28 for 10 degrees -variation, 95% CI 1.03-1.60, p = 0.024), and percent area
stenosis (OR 0.59 for 10% increase, 95% CI 0.34-1.04, p = 0.070) were independent
predictors for the presence of microcalcifications, whereas the latter did not
reach statistical significance. CONCLUSION: Microcalcifications are related to a
less advanced stenosis severity and to extensive plaque inflammation, but not to
clinical parameters. Our data may add to the understanding and role of
microcalcifications in coronary artery lesions.
PMID- 29654436
TI - Public funding for medical research in relation to the burden of disease caused
by cardiovascular diseases and neoplasms in Germany.
AB - BACKGROUND: Public funding for medical research in Germany is primarily provided
by the German Research Foundation (DFG) and the Federal Ministry of Education and
Research (BMBF). The aim of this study was to analyze the amount of national
public funding for medical research on predominant causes of death in Germany,
cardiovascular diseases and neoplasms, in relation to the burden of these
diseases in Germany. METHODS: Three evaluators categorized medical research
projects funded by the DFG or BMBF between 2010 and 2012 into the categories
"Diseases of the circulatory system" (with subgroups "Ischemic heart diseases",
"Heart failure" and "Cerebrovascular diseases") and "Neoplasms". The total amount
of public funding by the national agencies was analyzed in relation to the burden
of disease for the respective disease condition. RESULTS: Information on national
public funding for medical research of 2091 million euros was available; of
those, 246.8 million euros (11.8%) were categorized being spent for research on
"Neoplasms", 118.4 million euros (5.7%) for research on "Diseases of the
circulatory system". This results in 362.08 euros per case of death, 16.58 euros
per year of life lost (YLL) and 16.04 euros per disability-adjusted life year
(DALY) for "Neoplasms" and in 113.44 euros per case of death, 8.05 euros per YLL
and 7.17 euros per DALY for "Diseases of the circulatory system". CONCLUSIONS: In
Germany, research on cardiovascular diseases receives a lower share of national
public funding for medical research compared to oncological research. These
results are comparable to other European countries.
PMID- 29654438
TI - Acute coronary syndrome due to right coronary spasm and documented lambda-like J
waves.
PMID- 29654437
TI - Efficacy and safety of bivalirudin for percutaneous coronary intervention in
acute coronary syndromes: a meta-analysis of randomized-controlled trials.
AB - AIMS: The efficacy and safety of bivalirudin in patients undergoing percutaneous
coronary intervention (PCI) for treatment of acute coronary syndromes (ACS)
remains controversial despite recent evidence from large randomized-controlled
trials (RCTs). Thus, this systematic review and meta-analysis sought to
investigate the efficacy and safety of bivalirudin as compared to heparin in
patients with ACS undergoing PCI. METHODS AND RESULTS: Medline/PubMed, Cochrane
Central Register of Controlled Trials, and Clinical Trials.gov databases were
searched for RCTs. Primary endpoint was MACE consisting of all-cause death,
myocardial infarction, and stroke within 30 days. Secondary endpoints were
components of the primary endpoint and stent thrombosis. The primary safety
endpoint was major bleeding. We identified 12 RCTs comprising 33,844 patients.
Between bivalirudin and heparin, there were no significant differences for MACE
(OR 1.06; 95% CI 0.96-1.17; p = 0.24), death, myocardial infarction, and stent
thrombosis. Similar results were seen following stratification by use of
glycoprotein inhibitors (GPI). Major bleeding trended to be less frequent in
patients treated with bivalirudin. However, no safety benefit for bivalirudin was
seen when use of GPI was balanced between groups (OR 0.88; 95% CI 0.67-1.16; p =
0.35; p for heterogeneity < 0.01). CONCLUSIONS: Compared with heparin,
bivalirudin was associated with a similar incidence of ischemic events following
PCI for ACS. An association of bivalirudin with decreased bleeding was not seen
with balanced use of GPI.
PMID- 29654439
TI - Adaptive servo-ventilation to treat central sleep apnea in heart failure with
reduced ejection fraction: the Bad Oeynhausen prospective ASV registry.
AB - BACKGROUND: Central sleep apnea (CSA) is highly prevalent in heart failure
patients with reduced left ventricular ejection fraction (HF-REF). The Bad
Oeynhausen Adaptive Servo-ventilation (ASV) registry (NCT01657188) was designed
to investigate whether treatment of CSA with ASV improved survival in HF-REF
patients; the effects of ASV on symptoms and cardiopulmonary performance were
also investigated. METHODS AND RESULTS: From January 2004 to October 2013, the
registry prospectively enrolled HF-REF patients [NYHA class >= II, left
ventricular ejection fraction (LVEF) <= 45%] with moderate to severe predominant
CSA [apnea-hypopnea index (AHI) >= 15/h]. ASV-treated patients were followed up
at 3, 6, 12 and 24 months, including natriuretic peptide concentrations, blood
gas analyses, echocardiography, 6-min walk distance (6MWD), and cardiopulmonary
exercise (CPX) testing. 550 patients were included [age 67.7 +/- 10 years, 90%
male, 52% in NYHA class >= III, LVEF 29.9 +/- 8%, AHI 35.4 +/- 13.6/h, and time
with nocturnal oxygen saturation < 90% (T < 90%) 58 +/- 73 min]; ASV was
prescribed to 224 patients. Over a median follow-up of 6.6 years, 109 (48.7%) ASV
treated patients and 191 (58.6%) controls died (adjusted Cox modelling hazard
ratio of 0.95, 95% confidence interval 0.68-1.24; p = 0.740); older age, lower
LVEF, impaired renal function, low sodium concentration, and nocturnal hypoxemia
were significant predictors of mortality. Patient reported NYHA functional class
improved in the ASV group, but LVEF, CPX, 6MWD, natriuretic peptides and blood
gases remained unchanged. CONCLUSIONS: Long-term ASV treatment of predominant CSA
in HF-REF patients included in our registry had no statistically significant
effect on survival. ASV improved HF symptoms, but had no significant effects on
exercise capacity, LVEF, natriuretic peptide concentrations or blood gases during
follow-up as compared to control patients.
PMID- 29654440
TI - Management of cystic prolactinomas: a review.
AB - INTRODUCTION: Cystic prolactinoma is a variant of prolactin-secreting pituitary
adenoma. The strategies for the management of cystic prolactinoma have not been
addressed thoroughly in clinical guidelines. METHODS: A literature search was
performed using Pubmed to review the current approaches to the treatment of
cystic prolactinoma. RESULTS: Transsphenoidal resection is an effective and
relatively safe approach for the treatment of cystic prolactinoma, however,
morbidity of surgery is dependent on the skill of the surgeon. Emerging studies
allude to the efficacy and safety of dopamine agonists in the management of
cystic prolactinoma. Dopamine agonists are associated with considerable rates of
clinical improvement and tumor shrinkage, hence reducing the need for surgical
intervention. CONCLUSIONS: Recent studies suggest that dopamine agonist therapy
may be an effective and safe treatment option in a considerable portion of
patients with cystic prolactinomas. We suggest that dopamine agonists should be
considered as a first-line therapy for cystic prolactinoma in the absence of
indications for early surgical intervention.
PMID- 29654441
TI - Comment on Castien et al. (2018) pressure pain thresholds over the cranio
cervical region in headache - a systematic review and meta-analysis.
PMID- 29654443
TI - CT attenuation correction for thallium SPECT MPI and other benefits of
multimodality imaging.
PMID- 29654444
TI - Effect of reduced photon count levels and choice of normal data on semi-automated
image assessment in cardiac SPECT.
AB - BACKGROUND: The SMARTZOOM multifocal collimator from Siemens Healthcare was
developed to improve the gamma-photon sensitivity in myocardial perfusion imaging
without truncating the field of view. As part of the IQ-SPECT package, it may be
used to reduce radiopharmaceutical dose to patients, as well as acquisition time.
The aim of this study was twofold: (1) to evaluate the influence of dose
reduction in semi-automated MPI scoring, with focus on different strategies for
the choice of normal data (count-matched, full-count), and (2) to evaluate the
effect of dose reduction afforded by Siemens' IQ-SPECT package. METHODS: 50
patients underwent Tc-99m-sestamibi one-day stress/rest SPECT/CT. Multiple levels
of count reduction were generated using binomial thinning. Using Corridor 4DM,
summed stress score (SSS) was calculated using either count-matched or full-count
normal data. Studies were classified as low-risk (SSS < 4) or intermediate/high
risk (SSS >= 4). RESULTS: Count reduction using count-matched normal data
increases false-normal rate and decreases sensitivity. With full-count normal
data, count reduction increases false-hypoperfusion rate, leading to decreased
specificity. Altogether, rate of reclassification was significant at roughly 67%
dose and below. CONCLUSION: Significant bias results from count level of normal
data relative to actual patient data. Compared to standard LEHR, IQ-SPECT should
allow for significant dose reduction.
PMID- 29654442
TI - Understanding Social Anxiety Disorder in Adolescents and Improving Treatment
Outcomes: Applying the Cognitive Model of Clark and Wells (1995).
AB - Social anxiety disorder is a condition characterised by a marked and persistent
fear of being humiliated or scrutinised by others. Age-of-onset data point to
adolescence as a developmentally sensitive period for the emergence of the
condition, at a time when the peer group becomes increasingly important. Social
anxiety in adolescence is associated with considerable impairment that persists
through to adulthood. There are clear potential benefits to delivering effective
interventions during adolescence. However, there is limited evidence on the
specific efficacy of available therapies. This is in contrast to adults, for whom
we have interventions with very specific treatment effects. One such treatment is
individual cognitive therapy. Cognitive therapy is based on the cognitive model
of social anxiety proposed by Clark and Wells (in: Heimberg, Leibowitz, Hope,
Scheiber (eds) Social phobia: diagnosis, assessment and treatment, The Guilford
Press, New York, 1995). The present review examines the potential application of
this adult cognitive model to the understanding of adolescent social anxiety and
considers additional adolescent-specific factors that need to be accommodated. It
is suggested that a developmentally sensitive adoption of the cognitive model of
social anxiety disorder (Clark and Wells 1995) for adolescents may lead to better
treatment outcomes.
PMID- 29654445
TI - Noninvasive estimation of quantitative myocardial blood flow with Tc-99m MIBI by
a compartment model analysis in rat.
AB - BACKGROUND: We aimed to investigate the use of dynamic cardiac planar images to
estimate myocardial blood flow (MBF) by a compartment model analysis using time
to-peak (TP) map and compared it by the microsphere technique in rat. Positron
emission tomography is considered the gold standard method, but is not available
everywhere. By contrast, although myocardial perfusion imaging (MPI) with single
photon tracers is more widely available, it may be difficult to obtain adequate
region of interest (ROI) settings. We proposed using the TP map to set the ROI,
and hypothesized that this method could facilitate the measurement of absolute
MBF by MPI in rat. METHODS: Twenty-one normal rats were studied. Dynamic planar
images with Tc-99m MIBI were obtained, and input function and cardiac ROIs were
set using the obtained TP map. MBF was estimated by a one-compartment model
analysis with the Renkin-Crone model and by the microsphere technique. RESULTS:
The MBFs from these two methods were significantly correlated. A negative
proportional bias was observed, but no significant difference was observed
between the mean MBFs calculated with each method. CONCLUSIONS: MBF estimation by
a compartment model analysis using TP map could facilitate absolute MBF
measurement in rats.
PMID- 29654446
TI - Count density curves for gated SPECT myocardial perfusion imaging studies: An
overview of technical considerations, patterns in various arrhythmia-related
artifacts, and a technologist's guide for curve plotting.
PMID- 29654447
TI - Efficacy and Safety of Stents in the Treatment of Fistula After Bariatric
Surgery: a Systematic Review and Meta-analysis.
AB - Fistula development is a serious complication after bariatric surgery. We
performed a systematic review and meta-analysis to assess the efficacy of fistula
closure and complications associated with endoscopic stent treatment of fistulas,
developed after bariatric surgeries, particularly Roux-en-Y gastric bypass (RYGB)
and gastric sleeve (GS). Studies involving patients with fistula after RYGB or GS
and those who received stent treatment only were selected. The analyzed outcomes
were overall success rate of fistula closure, mean number of stents per patient,
mean stent dwelling time, and procedure-associated complications. Current
evidence from identified studies demonstrates that, in selected patients,
endoscopic stent treatment of fistulas after GS or RYGB can be safe and
effective.
PMID- 29654448
TI - The course of acquired von Willebrand syndrome during pregnancy among patients
with essential thrombocytosis.
AB - To investigate the course of acquired type 2A von Willebrand syndrome (AVWS) in
relation to patient management and outcomes among pregnant patients with
essential thrombocytosis (ET). A review of pregnant women with ET evaluated for
AVWS at the beginning of pregnancy and at the third trimester. Eighteen women
with 24 pregnancies were included in this study. A history of bleeding was noted
in 8 (44%) patients. In 20 (83%) pregnancies AVWS was evident at the initial
testing. Following initial testing, antithrombotic therapy was administered in 22
(92%) pregnancies (aspirin, n = 20 and low-molecular-weight heparin, n = 2). In
the remaining two pregnancies, VWF:RCo levels were below 30%; thus, aspirin was
given only after repeat testing at 14-16 weeks. At third trimester testing,
median VWF:RCo levels were significantly higher than at the initial testing (86
vs. 48%, P < 0.001), with no evidence of AVWS in any of the patients. Significant
increases were also observed in the VWF:Ag level (127 vs. 84%, P < 0.001), the
VWF:RCo/VWF:Ag ratio (0.75 vs. 0.54, P < 0.001) and the FVIII level (103 vs. 68%,
P < 0.001); while platelet count (359 vs. 701 * 109/l, P < 0.001) and hemoglobin
level (11.6 vs. 13.4 g/dl, P < 0.001) decreased. Neuraxial anesthesia was safely
performed in 17 (71%) pregnancies. No significant bleeding events occurred during
pregnancy and delivery. AVWS-related abnormalities in women with ET mostly
improved during pregnancy, with favorable maternal and fetal outcomes. VWF
parameters should be tested at early pregnancy and repeated at the third
trimester, to guide pregnancy and delivery management.
PMID- 29654449
TI - Transcatheter valve interventions in heart failure: new answers to old questions.
AB - Heart failure (HF) is often associated with different valve diseases,
predominantly functional mitral and tricuspid regurgitation. However, the
association between HF and aortic stenosis, particularly low-flow low-gradient
aortic stenosis, is not infrequent. Severe mitral and tricuspid regurgitations,
as well as aortic stenosis, in HF patients worsen prognosis and left ventricular
dilatation and induce further reduction in left ventricular ejection fraction.
Transcatheter edge-to-edge mitral and tricuspid valve repair and transcatheter
aortic valve implantation could be an important therapeutic option with a
satisfactory long-term outcome in HF patients with comorbidities and even in
patients with severely depressed ejection fraction.
PMID- 29654450
TI - Elevated Troponin in the First 72 h of Hospitalization for Pediatric Viral
Myocarditis is Associated with ECMO: An Analysis of the PHIS+ Database.
AB - Serum troponin (Tn) is often elevated in viral myocarditis; however, its
prognostic significance is unknown. We tested the hypothesis that abnormal serum
Tn is associated with mortality in children hospitalized with myocarditis. We
retrospectively studied data from six large children's hospitals participating in
the Pediatric Health Information System Plus (PHIS+) database. Analysis was
performed on patients hospitalized with viral myocarditis between 2007 and 2013,
in whom at least one Tn was recorded within 72 h of admission. Abnormal baseline
Tn was defined as any value outside the upper limit of normal within the first 72
h. Primary outcome was mortality. Secondary outcomes included mechanical support,
defined as use of extracorporeal membrane oxygenation (ECMO) or a ventricular
assist device (VAD), cardiac transplantation, intravenous immunoglobulin (IVIg),
mechanical ventilation, and inotrope use. A total of 149 patients with
myocarditis (61% male, 48% adolescents) across all PHIS+ centers had TnI (n =
113) or TnT (n = 36) recorded. At least one abnormal Tn was present in 81% of
cases. Overall mortality was 7.3% and was not associated with abnormal baseline
Tn. Abnormal baseline Tn was associated with ECMO (7.1 vs. 25.6%, p = 0.03) and
IVIg (46.4 vs. 83.5%, p < 0.001). Abnormal baseline Tn was not associated with
transplantation, mechanical ventilation or inotrope use. Abnormal Tn in the first
72 h of hospitalization for myocarditis was associated with the use of ECMO and
IVIg, but was not associated with mortality. This finding may help risk stratify
this population if it can be prospectively validated.
PMID- 29654451
TI - Adults with Autism Tend to Undermine the Hidden Environmental Structure: Evidence
from a Visual Associative Learning Task.
AB - The learning-style theory of Autism Spectrum Disorders (ASD) (Qian, Lipkin,
Frontiers in Human Neuroscience 5:77, 2011) states that ASD individuals differ
from neurotypics in the way they learn and store information about the
environment and its structure. ASD would rather adopt a lookup-table strategy
(LUT: memorizing each experience), while neurotypics would favor an interpolation
style (INT: extracting regularities to generalize). In a series of visual
behavioral tasks, we tested this hypothesis in 20 neurotypical and 20 ASD adults.
ASD participants had difficulties using the INT style when instructions were
hidden but not when instructions were revealed. Rather than an inability to use
rules, ASD would be characterized by a disinclination to generalize and infer
such rules.
PMID- 29654452
TI - Autistic Traits in Treatment-Seeking Transgender Adults.
AB - The present study aimed to compare prevalence of autistic traits measured by the
self-reported autism spectrum quotient-short (AQ-short) in a transgender clinical
population (n = 656) matched by age and sex assigned at birth to a cisgender
community sample. Results showed that transgender and cisgender people reported
similar levels of possible autistic caseness. Transgender people assigned female
were more likely to have clinically significant autistic traits compared to any
other group. No difference was found between those assigned male. High AQ scores
may not be indicative of the presence of an autism spectrum condition as the
difference between groups mainly related to social behaviours; such scores may be
a reflection of transgender people's high social anxiety levels due to negative
past experiences.
PMID- 29654453
TI - State-Level Trends in the Prevalence of Autism Spectrum Disorder (ASD) from 2000
to 2012: A Reanalysis of Findings from the Autism and Developmental Disabilities
Network.
AB - Since 2000, the Autism and Developmental Disabilities Network (ADDM) has
published detailed prevalence estimates for autism spectrum disorder (ASD) among
8 year-olds, which are widely interpreted as the U.S. national prevalence of ASD.
Although differences in state-level ASD prevalence has been reported, state-level
heterogeneity has not been explored systematically. We analyzed state-level
estimates and trends in ASD prevalence from 2000 to 2012 using secondary data
from bi-annual ADDM reports. Heterogeneity among state-level ASD prevalence
estimates were apparent in 2000 and grew between 2000 and 2012. Findings
highlight the need for greater understanding of how children with ASD are
identified by the medical and educational systems, which has significant
implications for the state-level resources required to effectively manage ASD.
PMID- 29654454
TI - In situ co-precipitation preparation of a superparamagnetic graphene oxide/Fe3O4
nanocomposite as an adsorbent for wastewater purification: synthesis,
characterization, kinetics, and isotherm studies.
AB - A superparamagnetic graphene oxide (GO)/Fe3O4 nanocomposite (MGO) was prepared by
a facile in situ co-precipitation strategy, resulting in a prospective material
for the application of graphene oxide in wastewater treatment. MGO was
characterized by scanning electron microscopy (SEM), transmission electron
microscopy (TEM), x-ray diffraction (XRD), and Fourier transform infrared
spectroscopy (FTIR). The prepared adsorbent showed a high adsorption efficiency
relevant to the purification of dye-contaminated wastewater and could be readily
magnetically separated. The maximum adsorption capacity was ca. 546.45 mg g-1 for
the common cationic dye methylene blue (MB) and ca. 628.93 mg g-1 for the anionic
dye Congo red (CR). The adsorption processes fit the pseudo-second-order kinetic
model well, which revealed that these processes may involve the chemical
interaction between adsorbate and adsorbent. The thermodynamic parameters
indicated that the adsorption reaction was an endothermic and spontaneous
process. Furthermore, the prepared magnetic adsorbent had a wide effective pH
range from 5 to 11 and showed good stability after five reuse cycles. The
synthetic MGO showed great potential as a promising adsorbent for organic
contaminant removal in wastewater treatment.
PMID- 29654455
TI - Fumaric acid production using renewable resources from biodiesel and cane sugar
production processes.
AB - The microbial production of fumaric acid by Rhizopus arrhizus NRRL 2582 has been
evaluated using soybean cake from biodiesel production processes and very high
polarity (VHP) sugar from sugarcane mills. Soybean cake was converted into a
nutrient-rich hydrolysate via a two-stage bioprocess involving crude enzyme
production via solid state fermentations (SSF) of either Aspergillus oryzae or R.
arrhizus cultivated on soybean cake followed by enzymatic hydrolysis of soybean
cake. The soybean cake hydrolysate produced using crude enzymes derived via SSF
of R. arrhizus was supplemented with VHP sugar and evaluated using different
initial free amino nitrogen (FAN) concentrations (100, 200, and 400 mg/L) in fed
batch cultures for fumaric acid production. The highest fumaric acid
concentration (27.3 g/L) and yield (0.7 g/g of total consumed sugars) were
achieved when the initial FAN concentration was 200 mg/L. The combination of VHP
sugar with soybean cake hydrolysate derived from crude enzymes produced by SSF of
A. oryzae at 200 mg/L initial FAN concentration led to the production of 40 g/L
fumaric acid with a yield of 0.86 g/g of total consumed sugars. The utilization
of sugarcane molasses led to low fumaric acid production by R. arrhizus, probably
due to the presence of various minerals and phenolic compounds. The promising
results achieved through the valorization of VHP sugar and soybean cake suggest
that a focused study on molasses pretreatment could lead to enhanced fumaric acid
production.
PMID- 29654456
TI - Phthalate esters distribution in coastal mariculture of Hong Kong, China.
AB - The aim of the study is to evaluate the impact of mariculture on phthalate esters
speciation and distribution in sediments and cultured fish in the Hong Kong
regions and near mainland China. Concentrations of ?phthalate esters in
mariculture surface sediments (0 to 5 cm) ranged from 0.20 to 54.3 mg/kg dw (mean
10.3 mg/kg dw), with the highest recorded at M2 (20.4 mg/kg dw). Concentrations
of phthalate esters were not significantly (p > 0.05) enriched in surface and
sediment cores at mariculture sites relative to the reference sediments, 1 to 2
km away in areas without mariculture activities. Among different congeners, only
butyl benzyl phthalate (BBP) concentrations demonstrated a significant
correlation (R2 = 0.40, p < 0.05) with TOC values of sediments. The median
concentrations of di-2-ethylhexyl phthalate (DEHP) and di-n-butyl phthalate (DBP)
in the sediments were 1.57 and 6.96 times higher than the environmental risk
levels (ERL), which may pose environmental risks. Results of health risk
assessments revealed that the cultured fish (snubnose pompano, orange-spotted
grouper, and red snapper) were safe for consumption, in terms of phthalate
esters. This is the first study to assess the differences of phthalate esters
contamination between mariculture and natural coastal sediments.
PMID- 29654457
TI - Investigation of the physical and chemical characteristics of rural solid waste
in China and its spatiotemporal distributions.
AB - Despite governmental efforts toward the development of policies, funds, and
technologies, the inherent characteristics of rural solid waste (RSW) discharge
have led to great difficulties in RSW pollution control. However, establishing a
realistic management strategy requires greater knowledge of RSW generation.
Therefore, the RSW of 72 typical towns and villages from 12 provinces of China
was analyzed for physicochemical characteristics, as well as its spatiotemporal
distribution. The largest proportion of kitchen waste, coal ash, plastic, and
paper of RSW was 33.70% +/- 17.87%, 26.50% +/- 17.61%, 13.48% +/- 5.68%, and
10.75% +/- 5.75%, respectively, in 2015. Although RSW had the potential for
composting, it was still necessary to pay special attention to heavy metals
pollution of RSW. The spatiotemporal distributions of RSW components were
extremely non-homogenous, and significant variations existed in the kitchen
residue, coal ash, plastic, and paper because of differences in economic growth,
climatic changes, dietary habits, energy consumption structure, and consumer
preferences. No obvious differences in RSW components were observed between
villages and market towns. Overall, RSW treatment and management approaches
should be considered based on local conditions of RSW generation.
PMID- 29654458
TI - Towards pesticide-free farming? Sharing needs and knowledge promotes Integrated
Pest Management.
PMID- 29654460
TI - Panel estimation for renewable and non-renewable energy consumption, economic
growth, CO2 emissions, the composite trade intensity, and financial openness of
the commonwealth of independent states.
AB - This article investigates the long-run and causal linkages between economic
growth, CO2 emissions, renewable and non-renewable (fossil fuels) energy
consumption, the Composite Trade Intensity (CTI) as a proxy for trade openness,
and the Chinn-Ito index as a proxy for financial openness for a panel of the
Commonwealth of Independent States (CIS) region including Armenia, Azerbaijan,
Belarus, Georgia, Kazakhstan, Kyrgyzstan, Moldova, Russia, Tajikistan,
Turkmenistan, Ukraine, and Uzbekistan over the period of 1992-2015. It is the
first time that CTI and the Chinn-Ito indexes are used in an economic-pollution
model. Employing three panel unit root tests, panel cointegration estimation
methods (DOLS and FMOLS), and two panel causality tests, the main empirical
results provided evidence for the bidirectional long-run relationship between all
the variables in all 12 sampled countries except for economic growth-renewable
energy use linkage. The findings of causality tests indicated that there is a
unidirectional short-run panel causality running from economic growth, financial
openness, and trade openness to CO2 emissions and from fossil fuel energy
consumption to renewable energy use.
PMID- 29654459
TI - Emergency material allocation with time-varying supply-demand based on dynamic
optimization method for river chemical spills.
AB - Aiming to minimize the damage caused by river chemical spills, efficient
emergency material allocation is critical for an actual emergency rescue decision
making in a quick response. In this study, an emergency material allocation
framework based on time-varying supply-demand constraint is developed to allocate
emergency material, minimize the emergency response time, and satisfy the dynamic
emergency material requirements in post-accident phases dealing with river
chemical spills. In this study, the theoretically critical emergency response
time is firstly obtained for the emergency material allocation system to select a
series of appropriate emergency material warehouses as potential supportive
centers. Then, an enumeration method is applied to identify the practically
critical emergency response time, the optimum emergency material allocation and
replenishment scheme. Finally, the developed framework is applied to a
computational experiment based on south-to-north water transfer project in China.
The results illustrate that the proposed methodology is a simple and flexible
tool for appropriately allocating emergency material to satisfy time-dynamic
demands during emergency decision-making. Therefore, the decision-makers can
identify an appropriate emergency material allocation scheme in a balance between
time-effective and cost-effective objectives under the different emergency
pollution conditions.
PMID- 29654461
TI - Effect of biochar derived from barley straw on soil physicochemical properties,
crop growth, and nitrous oxide emission in an upland field in South Korea.
AB - This study was conducted to investigate soil quality, Chinese cabbage growth, and
N2O emission after biochar application in an upland field in South Korea. Each of
the barley straw biochar (BC, applied at 10 ton ha-1), inorganic fertilizer (IF,
applied at N-P-K = 320-78-198 kg ha-1), and BC + IF treatment areas were
separated by a control (Cn) treatment area. Soils treated with BC and BC + IF
treatments had lower bulk density and higher porosity than those in the Cn
treatment areas. Soil chemical properties (pH, TN, Avail. P2O5, and CEC) after
biochar addition were improved. In particular, soil pH and CEC related to crop
nutrient availability were significantly increased in BC areas compared to those
in Cn and IF areas. Fresh weights of Chinese cabbage grown under BC, IF, and BC +
IF treatment conditions increased by 64.9, 78.4, and 112.0%, respectively, over
that in the Cn treatment area. Total nutrient (TN, TP, and K) uptakes among the
treatment areas were, in declining order, BC + IF (14.51 g plant-1) > IF > BC >
Cn. More interestingly, the BC application had a positive effect on growth of
Chinese cabbage under IF application conditions, and there was a tight
relationship between the effect of BC application on Chinese cabbage growth and
that of agronomic IF application efficiency. Compared to the IF results, total
N2O flux was lower with BC (flux decreased by 60.6%) or BC + IF (flux decreased
by 22.3%) treatments. These results indicate that Chinese cabbage yield, when
cultivated in soil conditions such as those in an upland field in South Korea,
can be increased by application of BC or a combination of BC and IF.
PMID- 29654462
TI - Flux model to estimate the transport of mercury species in a contaminated lagoon
(Ria de Aveiro, Portugal).
AB - The concentrations of dissolved and particulate inorganic mercury (IHg(II)) and
methylmercury (MeHg) from the contaminated Laranjo Bay (main freshwater discharge
from the Antua River) were measured by species-specific isotope dilution during
six sampling campaigns at high and at low tide. Different effective riverine
concentrations were calculated, based on salinity profiles, for specific
hydrological conditions. The export fluxes of total Hg and MeHg (324 and 1.24 mol
year-1, respectively) from the bay to the rest of the Aveiro Lagoon are much
higher than the input fluxes from the Hg source (3.9 and 0.05 mol year-1) and
from the Antua River (10.4 and 0.10 mol year-1). Resuspension of contaminated
sediments from Laranjo Bay is crucial for the transport of both IHg(II) and MeHg.
Methylation and/or selective enrichment into biogenic particles is responsible
for the mobilization of MeHg. Sorption of dissolved IHg(II) onto suspended
particles limits its export flux. This is one of the rarest examples where both
speciation fluxes and partitioning of mercury are studied in a contaminated
coastal environment. Despite the lower fraction of total MeHg (relative to total
Hg), the contaminated lagoon may have an impact on coastal areas, particularly if
change in the lagoon geometry occurs, due to sea level rise.
PMID- 29654463
TI - Valorisation of tuna processing waste biomass: isolation, purification and
characterisation of four novel antioxidant peptides from tuna by-product
hydrolysate.
AB - Tuna protein hydrolysate (TPH) was prepared by hydrolysis with Prolyve BS and
fractionated by membranes process. The antioxidant activities of recovered
peptide fractions were evaluated. Four novel antioxidant peptides that were
isolated from nanofiltration retentate exhibited the highest antioxidant
activity, using gel chromatography and reversed phase high-performance liquid
chromatography. The amino acid sequences of isolated peptides were identified as
Tyr-Glu-Asn-Gly-Gly (P2), Glu-Gly-Tyr-Pro-Trp-Asn (P4), Tyr-Ile-Val-Tyr-Pro-Gly
(P7) and Trp-Gly-Asp-Ala-Gly-Gly-Tyr-Tyr (P8) with molecular weights of 538.46,
764.75, 710.78 and 887.85 Da, respectively. P2, P4, P7 and P8 exhibited good
scavenging activities on hydroxyl radical (IC50 0.41, 0.327, 0.17 and 0.042
mg/ml), DPPH radical (IC50 0.666, 0.326, 0.451 and 0.377 mg/ml) and superoxide
radical (IC50 0.536, 0.307, 0.357 and 0.115 mg/ml). P7 was effective against
lipid peroxidation in the model system. The isolated peptides might be useful
used as natural food additive in food industry and formulation of nutritional
products.
PMID- 29654464
TI - Biodegradation of CuTETA, an effluent by-product in mineral processing.
AB - Polyamines such as triethylenetetramine (TETA) and other amine chelators are used
in mineral processing applications. Formation of heavy metal complexes of these
reagents as a by-product in effluent water is a recent environmental concern. In
this study, Paecilomyces sp. was enriched from soil on TETA as the sole source of
carbon and nitrogen and was found to degrade > 96 and 90% CuTETA complexes at
initial concentrations of 0.32 and 0.79 mM respectively, following 96-h
incubation. After destabilization, most of the copper (> 78%) was complexed
extracellularly and the rest was associated with the cell. Mass spectroscopy
results provided confirmation that copper re-complexed with small, extracellular,
and organic molecules. There are no reports in the literature that Paecilomyces
or any other organism can grow on TETA or CuTETA. This study is the first to show
that biological destabilization of CuTETA complexes in mineral processing
effluents is feasible.
PMID- 29654465
TI - Incorporation of zinc and copper by insects of different functional feeding
groups in agricultural streams.
AB - Metals from agricultural areas are responsible for soil contamination and are
carried into aquatic ecosystems. In this context, we evaluated the incorporation
of zinc and copper via three feeding strategies (shredding, herbivory and
predators) in assemblages of stream insects. We collected aquatic insects in five
agricultural streams and five natural streams in Atlantic forest biome to
investigate the accumulation of copper and zinc in insects with different feeding
strategies. We found no significant differences in the concentrations of copper
and zinc between stream types among all insect-feeding groups compared. However,
we observed that copper accumulate concentrations differed significantly among
the shredders and predators in relation to their resource in streams, while zinc
concentrations differed in the two feeding strategy. Therefore, the investigation
of the transfer of copper and zinc by different feeding strategies in streams can
contribute to the understanding of changes in aquatic insect assemblages related
to agricultural activities around streams.
PMID- 29654466
TI - Polycyclic aromatic hydrocarbon (PAH) levels in environmental media potentially
impacted by reused or stored creosote-treated railway ties.
AB - Disused creosote-treated railway ties are reused in France and many other
countries and, in particular, for landscaping and other residential uses. Given
the lack of data on the environmental fate of creosote-derived compounds released
from used railway ties, a survey of different environmental media (i.e. soil,
sediment, surface water, plants and outdoor air) was carried out at six sites
located in France where old creosote-treated railway ties are stored or reused
for different purposes. Maximum total polycyclic aromatic hydrocarbon (PAH)
concentrations measured in soils ranged from 2 to 140 mg/kg dry weight. PAH
impacts were limited both vertically and horizontally to several centimetres from
the railway ties. At two sites, PAH levels in plants (up to 140 MUg/kg fresh
weight) appeared correlated to the levels measured in soils, suggesting a
transfer from soils to the plants. PAHs in sediment were measured at
concentrations of up to 280 mg/kg dry weight. As observed in soil, PAH
concentrations decreased rapidly further away from the railway ties. Principal
component analysis and hierarchical clustering on principal components indicate
that PAHs detected in soils and sediments originated from unweathered to severely
weathered creosote and could be strongly influenced by urban background. Results
on outdoor air measurements show a degradation of air quality above old and fresh
railway tie storage areas at a railway station and to a lesser extent in their
vicinity. However, this degradation was low to moderate when compared to French
regulatory values, ambient background levels reported in France, as well as
health-based air comparison values.
PMID- 29654467
TI - Arcopilus aureus, a Resveratrol-Producing Endophyte from Vitis vinifera.
AB - Resveratrol is extensively being used as a therapeutic moiety, as well as a
pharmacophore for development of new drugs due to its multifarious beneficial
effects. The objective of the present study was to isolate and screen the
resveratrol-producing endophytic fungi from different varieties of Vitis
vinifera. A total of 53 endophytic fungi belonging to different fungal genera
were isolated from the stem and leaf tissues of Vitis vinifera (merlot, wild,
pinot noir, Shiraz, muscat) from different grape-producing locations of India.
Only 29 endophytic fungal isolates exhibited a positive test for phenolics by
phytochemical methods. The resveratrol obtained after ethyl acetate extraction
was confirmed using standard molecule on thin layer chromatography (TLC) with a
retention factor (Rf) of 0.69. The purified and standard resveratrol were
visualized under UV light as a violet-colored spot. In HPLC analysis of the ethyl
acetate extract of culture broth of 11 endophytic isolates, the highest
resveratrol content was found in #12VVLPM (89.1 MUg/ml) followed by #18VVLPM
(37.3 MUg/ml) and 193VVSTPM (25.2 MUg/ml) exhibiting a retention time of 3.36 min
which corresponded to the standard resveratrol. The resveratrol-producing
isolates belong to seven genera viz. Aspergillus, Botryosphaeria, Penicillium,
Fusarium, Alternaria, Arcopilus, and Lasiodiplodia, and using morphological and
molecular methods, #12VVLPM was identified as Arcopilus aureus.
PMID- 29654468
TI - Efficient Biotransformation of Phytosterols to Dehydroepiandrosterone by
Mycobacterium sp.
AB - In this study, a method for the efficient production of dehydroepiandrosterone
(DHEA) from phytosterols in a vegetable oil/aqueous two-phase system by
Mycobacterium sp. was developed. After the 3-hydroxyl group of phytosterols was
protected, they could be converted into DHEA with high yield and productivity by
Mycobacterium sp. NRRL B-3683. In a shake flask biotransformation, 15.05 g l-1 of
DHEA and a DHEA yield of 85.39% (mol mol-1) were attained after 7 days with an
initial substrate concentration of 25 g l-1. When biotransformation was carried
out in a 30-l stirred bioreactor with 25 g l-1 substrate, the DHEA concentration
and yield was 16.33 g l-1 and 92.65% (mol mol-1) after 7 days, respectively. The
results of this study suggest that inexpensive phytosterols could be utilized for
the efficient production of DHEA.
PMID- 29654470
TI - [Parental Alienation (Syndrome) - A serious form of child psychological abuse].
AB - Induced parental alienation is a specific form of psychological child abuse,
which is listed in DSM-5, the current Diagnostic and Statistical Manual of the
American Psychiatric Association (APA), under diagnostic code V 995.51 "child
psychological abuse". Untreated induced parental alienation can lead to long-term
traumatic psychological and physical effects in the children concerned. This fact
is still not given sufficient attention in family court cases. The article gives
a condensed overview of parental alienation, summarising its definition, the
symptoms and the various levels of severity. It also describes some major
alienation techniques and possible psychosomatic and psychiatric effects of
induced parental alienation. Finally, attention is drawn to programmes of
prevention and intervention now used and evaluated in some countries. The article
concludes with two real-life examples from psychiatric practice, and a
comprehensive list of international references.
PMID- 29654469
TI - Sulfur-Based Ylides in Transition-Metal-Catalysed Processes.
AB - Traditionally employed in the synthesis of small ring systems and rearrangement
chemistry, sulfur-based ylides occupy a unique position in the toolbox of the
synthetic organic chemist. In recent years a number of pioneering researchers
have looked to expand the application of these unorthodox reagents through the
use of transition metal catalysis. The strength and flexibility of such a
combination have been shown to be of key importance in developing powerful novel
methodologies. This chapter summarises recent developments in transition metal
catalysed sulfonium/sulfoxonium ylide reactions, as well as providing a
historical perspective. In overviewing the successes in this area, the authors
hope to encourage others into this growing field.
PMID- 29654471
TI - Haloquadratum walsbyi Yields a Versatile, NAD+/NADP+ Dual Affinity, Thermostable,
Alcohol Dehydrogenase (HwADH).
AB - This study presents the first example of an alcohol dehydrogenase (ADH) from the
halophilic archaeum Haloquadratum walsbyi (HwADH). A hexahistidine-tagged
recombinant HwADH was heterologously overexpressed in Haloferax volcanii. HwADH
was purified in one step and was found to be thermophilic with optimal activity
at 65 degrees C. HwADH was active in the presence of 10% (v/v) organic solvent.
The enzyme displayed dual cofactor specificity and a broad substrate scope, and
maximum activity was detected with benzyl alcohol and 2-phenyl-1-propanol. HwADH
accepted aromatic ketones, acetophenone and phenylacetone as substrates. The
enzyme also accepted cyclohexanol and aromatic secondary alcohols, 1
phenylethanol and 4-phenyl-2-butanol. H. walsbyi may offer an excellent
alternative to other archaeal sources to expand the toolbox of halophilic
biocatalysts.
PMID- 29654472
TI - Dietary Supplementation of Probiotic Bacillus subtilis Affects Antioxidant
Defenses and Immune Response in Grass Carp Under Aeromonas hydrophila Challenge.
AB - This study investigated whether Bacillus subtilis can provide protection for
grass carp against oxidative stress damage induced by Aeromonas hydrophila. A
total of 240 healthy grass carp (Ctenopharyngodon idellus) (average weight of
71.42 +/- 4.36g) were randomly divided into four groups with three replicates:
control group, A. hydrophila group, B. subtilis + A. hydrophila group, and A.
hydrophila + B. subtilis group. After challenge with A. hydrophila, the lipid
oxidative damage, antioxidant defenses, and the gene expression of inflammatory
cytokines of the grass carp were investigated. Our results showed that A.
hydrophila caused lipid oxidative damage, led to significant decreases in
antioxidant defenses, and induced inflammatory responses of grass carp. However,
the grass carp group fed the probiotic B. subtilis diet for 42 days before the
challenge and the group fed the probiotic B. subtilis diet immediately after the
challenge both showed (i) a reduced level of oxidative stress with a decrease in
the level of MDA; (ii) an increase in antioxidant defenses, including an increase
in total antioxidant capacity (T-AOC), increased activities of SOD and CAT,
increased levels of GSH, and upregulated gene expression of antioxidant enzymes
(SOD, CAT, and Gpx); and (iii) an improved immune response with the level of
antiinflammatory cytokines IL-10 messenger RNA (mRNA) upregulated and the levels
of pro-inflammatory cytokines TNF-alpha, IL-1beta, and IL-8 mRNA downregulated.
Based on this study, B. subtilis can provide effective protection of fish against
oxidative stress damage induced by A. hydrophila infection.
PMID- 29654473
TI - Isolation and Preliminary Screening of a Weissella confusa Strain from Giant
Panda (Ailuropoda melanoleuca).
AB - Weissella confusa has recently received attention for its probiotic potential.
Some W. confusa and Weissella cibaria strains isolated from fermented foods show
favorable probiotic effects. However, the probiotic properties of W. confusa
isolated from giant panda remain unreported to date. Thus, this study isolated a
W. confusa strain from giant panda feces and then investigated its
characteristics and probiotic properties. A lactic acid bacteria strain was
isolated from giant panda fecal samples. The isolated strain was screened by in
vitro probiotic property tests, including in vitro antimicrobial test,
antioxidant test, surface hydrophobicity, and stress resistance. On the basis of
biochemical identification and 16S rDNA sequencing, the W. confusa strain was
identified as BSP201703. This Weissella confusa strain can survive at pH 2 and
0.3% (w/v) concentration of bile salt environment and inhibit common intestinal
pathogens. It also possesses an in vitro antioxidant capacity, a high auto
aggregation ability, and a high surface hydrophobicity. BSP201703 might serve as
a probiotic to giant pandas.
PMID- 29654474
TI - Plant Growth Enhancement, Disease Resistance, and Elemental Modulatory Effects of
Plant Probiotic Endophytic Bacillus sp. Fcl1.
AB - Endophytic bacteria have already been studied for their beneficial support to
plants to manage both biotic and abiotic stress through an array of well
established mechanisms. They have either direct or indirect impact on mobilizing
diverse nutrients and elements from soil to plants. However, detailed insight
into the fine-tuning of plant elemental composition by associated microorganism
is very limited. In this study, endophytic Bacillus Fcl1 characterized from the
rhizome of Curcuma longa was found to have broad range of plant growth-promoting
and biocontrol mechanisms. The organism was found to have indole acetic acid and
1-aminocyclopropane-1-carboxylate deaminase production properties along with
nitrogen fixation. The Bacillus Fcl1 could also inhibit diverse phytopathogens as
confirmed by dual culture and well diffusion. By LC-MS/MS analysis, chemical
basis of its antifungal activity has been proved to be due to the production of
iturin A and a blend of surfactin compounds. Moreover, the organism was found to
induce both plant growth and disease resistance in vivo in model plant system.
Because of these experimentally demonstrated multiple plant probiotic features,
Bacillus Fcl1 was selected as a candidate organism to study its role in
modulation of plant elemental composition. ICP-MS analysis of Bacillus Fcl1
treated plants provided insight into relation of bacterial interaction with
elemental composition of plants.
PMID- 29654475
TI - NMR comparison of hyphal and yeast Candida albicans serotype B mannans.
AB - A change from a globular to a filamentous hyphal form is an important feature in
the pathogenicity of yeasts. Such a dimorphism while infecting a host organism is
thought to be also accompanied in the case of Candida albicans spp. by a
structural rearrangement of surface mannan antigen. The presented work brings new
insights into the molecular structural changes of mannan C. albicans serotype B
based on NMR experimental data. 1H and 13C signal identification of the anomeric
region and the assignment of their linkage type is presented here. 2D
deconvolution of the HSQC spectra facilitated accurate integration of all
anomeric cross-peaks. Analysis of the differences in the integrals led to the
proposal that C. albicans serotype B hyphal mannan side chains have the shortened
structural moieties: Manalpha1-2Manalpha1- and Manalpha1-3 [Manalpha1-6]
Manalpha1-2Manalpha1-. These represent the dominant structures important for
construction of a saccharide-based prospective anti-candida vaccine.
PMID- 29654476
TI - Tracking arousal state and mind wandering with pupillometry.
AB - In four experiments, the association between arousal state and different mind
wandering states was examined. Participants performed a sustained attention task
while pupil responses were continuously recorded. Periodically during the task,
participants were presented with thought probes to determine if they were on task
or mind wandering. Across the four experiments, the results suggested that in
situations that promoted on-task behaviors and focused external attention, mind
wandering was associated with lowered arousal, as seen by smaller tonic pupil
diameters and smaller phasic pupillary responses. However, in situations that
promoted a more internal focus of attention, there were no differences between on
task states and mind wandering in tonic pupil diameter (although differences
emerged for phasic pupillary responses), suggesting similar arousal levels.
Furthermore, across the four experiments, mind blanking and mind wandering
dissociated in terms of whether the situation promoted focused external attention
or focused internal attention. These results are broadly consistent with the
notion that mind wandering is a heterogeneous construct, with different forms of
mind wandering being associated with different arousal states, and suggest that a
combination of behavioral and pupillary measures can be used to track these
various states.
PMID- 29654478
TI - Using ERPs to explore the impact of affective distraction on working memory
stages in schizophrenia.
AB - Research on individuals with schizophrenia (SCZ) shows a variety of emotional and
cognitive deficits. We examined the hypothesis that ineffective emotional
interference control may impact working memory (WM) performance by disrupting
information encoding, maintenance, or retrieval in SCZ. Twenty-eight SCZ and 28
matched healthy controls (HC) performed the visual and verbal delayed-matching-to
sample task (DMST) with trials preceded by negative and nonemotional visual
distractors. Event-Related Potentials associated with affective stimuli
processing (Late Positive Potential-LPP) and WM-encoding (target-P3), maintenance
(Negative Slow Wave-NSW), and retrieval (probe-P3) were analyzed. Patients showed
overall worse DMST accuracy than HC. Emotional distraction negatively impacted
accuracy during the verbal DMST in both groups combined. Both groups also
displayed similar LPP modulation during the presentation of emotional
distractors. HC showed enhanced NSW after presentation of a negative distraction,
whereas this did not occur in SCZ. Comparable effects of emotional distraction
were found for WM-encoding and retrieval in both groups. While emotional and
neutral stimuli differentially impacted WM-maintenance on the neural level in HC,
we did not observe this effect in SCZ, even though both groups showed similar
behavioral and neurophysiological reactions to affective stimuli. Deficits in
inhibitory mechanisms in SCZ may be responsible for this effect and may have
particular relevance for WM-maintenance difficulties.
PMID- 29654477
TI - Monitor yourself! Deficient error-related brain activity predicts real-life self
control failures.
AB - Despite their immense relevance, the neurocognitive mechanisms underlying real
life self-control failures (SCFs) are insufficiently understood. Whereas previous
studies have shown that SCFs were associated with decreased activity in the right
inferior frontal gyrus (rIFG; a region involved in cognitive control), here we
consider the possibility that the reduced implementation of cognitive control in
individuals with low self-control may be due to impaired performance monitoring.
Following a brain-as-predictor approach, we combined experience sampling of daily
SCFs with functional magnetic resonance imaging (fMRI) in a Stroop task. In our
sample of 118 participants, proneness to SCF was reliably predicted by low error
related activation of a performance-monitoring network (comprising anterior mid
cingulate cortex, presupplementary motor area, and anterior insula), low
posterror rIFG activation, and reduced posterror slowing. Remarkably, these
neural and behavioral measures predicted variability in SCFs beyond what was
predicted by self-reported trait self-control. These results suggest that real
life SCFs may result from deficient performance monitoring, leading to reduced
recruitment of cognitive control after responses that conflict with superordinate
goals.
PMID- 29654479
TI - Monitoring of patients treated with lithium for bipolar disorder: an
international survey.
AB - BACKGROUND: Adequate monitoring of patients using lithium is needed for optimal
dosing and for early identification of patients with (potential) ADEs. The
objective was to internationally assess how health care professionals monitor
patients treated with lithium for bipolar disorder. METHODS: Using networks of
various professional organizations, an anonymous online survey was conducted
among health care professionals prescribing lithium. Target lithium serum levels
and frequency of monitoring was assessed together with monitoring of physical and
laboratory parameters. Reasons to and not to monitor and use of guidelines and
institutional protocols, and local monitoring systems were investigated. RESULTS:
The survey was completed by 117 health care professionals incorporating responses
from twenty-four countries. All prescribers reported to monitor lithium serum
levels on a regular basis, with varying target ranges. Almost all (> 97%)
monitored thyroid and renal function before start and during maintenance
treatment. Reported monitoring of other laboratory and physical parameters was
variable. The majority of respondents (74%) used guidelines or institutional
protocols for monitoring. In general, the prescriber was responsible for
monitoring, had to request every monitoring parameter separately and only a
minority of patients was automatically invited. CONCLUSIONS: Lithium serum
levels, renal and thyroid function were monitored by (almost) all physicians.
However, there was considerable variation in other monitoring parameters. Our
results help to understand why prescribers of lithium monitor patients and what
their main reasons are not to monitor patients using lithium.
PMID- 29654480
TI - Optimizing right ventricular focused four-chamber views using three-dimensional
imaging, a comparative magnetic resonance based study.
AB - Obtaining focused right ventricular (RV) apical view remains challenging using
conventional two-dimensional (2D) echocardiography. This study main objective was
to determine whether measurements from RV focused views derived from three
dimensional (3D) echocardiography (3D-RV-focused) are closely related to
measurements from magnetic resonance (CMR). A first cohort of 47 patients
underwent 3D echocardiography and CMR imaging within 2 h of each other. A second
cohort of 25 patients had repeat 3D echocardiography to determine the test-retest
characteristics; and evaluate the bias associated with unfocused RV views.
Tomographic views were extracted from the 3D dataset: RV focused views were
obtained using the maximal RV diameter in the transverse plane, and unfocused
views from a smaller transverse diameter enabling visualization of the tricuspid
valve opening. Measures derived using the 3D-RV-focused view were strongly
associated with CMR measurements. Among functional metrics, the strongest
association was between RV fractional area change (RVFAC) and ejection fraction
(RVEF) (r = 0.92) while tricuspid annular plane systolic excursion moderately
correlated with RVEF (r = 0.47), all p < 0.001. Among RV size measures, the
strongest association was found between RV end-systolic area (RVESA) and volume
(r = 0.87, p < 0.001). RV unfocused views led on average to 10% underestimation
of RVESA. The 3D-RV-focused method had acceptable test-retest characteristics
with a coefficient of variation of 10% for RVESA and 11% for RVFAC. Deriving
standardized RV focused views using 3D echocardiography strongly relates to CMR
derived measures and may improve reproducibility in RV 2D measurements.
PMID- 29654481
TI - Analysis of anomalous origin of coronary arteries by coronary angiography in
Chinese patients with coronary artery disease.
AB - With the development of coronary angiography for the diagnosis of coronary artery
disease, its clinical significance in detecting coronary artery anomalies and
evaluating the seriousness is attracting more attention. In the study we aimed to
assess the prevalence of anomalous origin of coronary arteries in a Chinese
population who underwent coronary angiography for coronary artery disease, and
explore any patterns in the common variants and typical anomalies, especially the
potentially serious ones. Patients who underwent coronary angiography from
January 2013 to December 2016 in Fuwai Hospital were included. Baseline
characteristics and angiographic data were collected, the incidence of anomalous
origin of coronary arteries was calculated, and the typical patterns were
analyzed. Comparisons between the present results and those of existing reports
were also conducted. A total of 110,158 patients were included in the study,
among which 0.76% (835 cases) had anomalous origin of coronary arteries. Among
the anomalies, the incidences of anomalous origin of the right coronary artery
(RCA), the left coronary artery (LCA), both the RCA and LCA, single coronary
artery (SCA) and dextrocardia were 76.76% (641 cases), 14.61% (122 cases), 1.80%
(15 cases), 4.67% (39 cases) and 2.16% (18 cases), respectively. Moreover, 47.54%
(397 cases) of the anomalies were shown to be potentially serious, and an RCA
arising from the left sinus of Valsalva (LSV) was the most common subtype
(39.28%, 328 cases). Although anomalous origin of coronary arteries is not quite
common, more clinical attention should be paid to this condition due to the
potential risk of serious sequelae.
PMID- 29654482
TI - Fear, foraging and olfaction: how mesopredators avoid costly interactions with
apex predators.
AB - Where direct killing is rare and niche overlap low, sympatric carnivores may
appear to coexist without conflict. Interference interactions, harassment and
injury from larger carnivores may still pose a risk to smaller mesopredators.
Foraging theory suggests that animals should adjust their behaviour accordingly
to optimise foraging efficiency and overall fitness, trading off harvest rate
with costs to fitness. The foraging behaviour of red foxes, Vulpes vulpes, was
studied with automated cameras and a repeated measures giving-up density (GUD)
experiment where olfactory risk cues were manipulated. In Plitvice Lakes National
Park, Croatia, red foxes increased GUDs by 34% and quitting harvest rates by 29%
in response to wolf urine. In addition to leaving more food behind, foxes also
responded to wolf urine by spending less time visiting food patches each day and
altering their behaviour in order to compensate for the increased risk when
foraging from patches. Thus, red foxes utilised olfaction to assess risk and
experienced foraging costs due to the presence of a cue from gray wolves, Canis
lupus. This study identifies behavioural mechanisms which may enable competing
predators to coexist, and highlights the potential for additional ecosystem
service pathways arising from the behaviour of large carnivores. Given the
vulnerability of large carnivores to anthropogenic disturbance, a growing human
population and intensifying resource consumption, it becomes increasingly
important to understand ecological processes so that land can be managed
appropriately.
PMID- 29654483
TI - Chinese Experts Consensus on Clinical Application of Naoxintong Capsule ().
PMID- 29654484
TI - Investigation on Physicochemical Characteristics of a Nanoliposome-Based System
for Dual Drug Delivery.
AB - Synergistic effects of multiple drugs with different modes of action are utilized
for combinatorial chemotherapy of intractable cancers. Translation of in vitro
synergistic effects into the clinic can be realized using an efficient delivery
system of the drugs. Despite a few studies on nano-sized liposomes containing
erlotinib (ERL) and doxorubicin (DOX) in a single liposome vesicle, reliable and
reproducible preparation methods as well as physicochemical characteristics of a
non-PEGylated nanoliposome co-encapsulated with ERL and DOX have not been yet
elucidated. In this study, ERL-encapsulated nanoliposomes were prepared using the
lipid film-hydration method. By ultrasonication using a probe sonicator, the
liposome diameter was reduced to less than 200 nm. DOX was loaded into the ERL
encapsulated nanoliposomes using ammonium sulfate (AS)-gradient or pH-gradient
method. Effects of DOX-loading conditions on encapsulation efficiency (EE) of the
DOX were investigated to determine an efficient drug-loading method. In the EE of
DOX, AS-gradient method was more effective than pH gradient. The dual drug
encapsulated nanoliposomes had more than 90% EE of DOX and 30% EE of ERL,
respectively. Transmission electron microscopy and selected area electron
diffraction analyses of the dual drug-encapsulated nanoliposomes verified the
highly oriented DOX-sulfate crystals inside the liposome as well as the less
oriented small crystals of ERL in the outermost region of the nanoliposome. The
nanoliposomes were stable at different temperatures without an increase of the
nanoliposome diameter. The dual drug-encapsulated nanoliposomes showed a time
differential release of ERL and DOX, implying proper sequential releases for
their synergism. The preparation methods and the physicochemical characteristics
of the dual drug delivery system contribute to the development of the optimal
process and more advanced systems for translational researches.
PMID- 29654485
TI - Long-term, interventional, open-label extension study evaluating the safety of
tocilizumab treatment in patients with polyarticular-course juvenile idiopathic
arthritis from Poland and Russia who completed the global, international CHERISH
trial.
AB - Efficacy and safety of tocilizumab (TCZ), an interleukin-6 receptor inhibitor,
were demonstrated in juvenile idiopathic arthritis (JIA) with polyarticular
course (pJIA) in the CHERISH trial. This observational, III phase study evaluated
long-term treatment of TCZ in pJIA patients was conducted by members of the
Pediatric Rheumatology International Trials Organization (PRINTO) from Poland and
Russia. Forty-one patients, who had completed the CHERISH core study (104 weeks),
were extensionally treated with TCZ (8 mg/kg, intravenous infusion every 4
weeks). Total treatment time was from 131 to 193 weeks. The long-term safety (the
primary endpoint) and efficacy were evaluated. All patients achieved ACR70
response in the core study and continued to achieve at least ACR50 response up to
week 24 of this study. The safety population comprised 46.41 patient-years (PY).
Rates per 100 PY of adverse (AEs) and serious events (SAEs) were 181.0 and 6.46,
respectively. Pharyngitis and respiratory tract infections were the most common
AEs. Except one AE (severe neutropenia), all others were classified as mild
(24.4%) or moderate (29.3%). The incidence of SAEs was low (7.3%). No new safety
findings were observed. The safety profile of over 2.5-year treatment with TCZ is
consistent with the pre-marketing CHERISH clinical trial. Presented data and
continued efficacy response support the use of TCZ in pJIA. EUDRACT No: 2011
001607-12. https://clinicaltrials.gov/ct2/show/study/NCT01575769?term=ML27783.
PMID- 29654487
TI - Metal Contents in the Most Widely Consumed Commercial Preparations of Four
Different Medicinal Plants (Aloe, Senna, Ginseng, and Ginkgo) from Europe.
AB - Medicinal plants like Aloe barbadensis, Ginkgo biloba, Panax ginseng, and Cassia
angustifolia are being increasingly used but self-medication is a major public
health challenge because of their numerous interactions. Furthermore, dietary
exposure to metals through the consumption of these commercial preparations
should be evaluated. Some of these metals may have a nutritional interest but
others are metals of toxicological relevance. The content of essential and toxic
metals has been analyzed by inductively coupled plasma optical emission
spectrometry (ICP-OES) in 80 samples of both organically and conventionally grown
aloe, senna, ginseng, and ginkgo pharmaceutical formats to establish their
metallic profile, to evaluate their nutritional value and to assess the toxic
metal risk resulting from their consumption. Ca (9181 mg/kg ww) in senna, Fe
(30.0 mg/kg ww) in ginseng, and Al (15.7 mg/kg ww) in ginseng are noteworthy. The
consumption of 9 g/day of ginkgo represents 6.44% of the RDI set for Ca. The
consumption of 25 mL/day of aloe syrup is 3.06% (men) and 1.52% (women) of the
RDI of Fe. Al exposure from 25 mL/day of aloe consumption is 2.71% of its TDI.
Considering the dosages recommended by the manufacturers, the intake of toxic
metals does not pose a health risk but the intake of essential metals is worth
mentioning.
PMID- 29654486
TI - Variation in pain related to systemic lupus erythematosus (SLE): a 7-year follow
up study.
AB - We have previously shown that most patients with systemic lupus erythematosus
(SLE) reported low degree of SLE-related pain. However, 24% of the patients
reported high degree of SLE-related pain, more fatigue, anxiety and depression,
and worse health-related quality of life (HRQoL). To explore SLE-related pain,
the presence of long-standing widespread pain, and patient-reported outcomes
(PROs) after 7 years. Sixty-four out of 84 patients participated in a 7-year
follow-up of the original survey and completed the same questionnaires answered
at inclusion: pain (VAS 100 mm), fatigue (MAF), HRQoL (SF-36), anxiety and
depression (HADS), and, if appropriate, a pain-drawing. Differences between
inclusion and follow-up (change) were calculated. The patients with a low degree
of SLE-related pain at inclusion reported no changes at follow-up in pain and
PROs except for worsening in physical function in SF-36, median change (IQR) 0 (-
10 to 5), p = 0.024. Half of the patients with high degree of pain at inclusion
reported decreased pain at follow-up, median change (IQR) 45 (35 to 65), p =
0.021; fatigue, 8 (8 to 17), p = 0.018; anxiety, 4 (1 to 4), p = 0.035; and
depression, 4 (2 to 5), p = 0.018 and improvements in most dimensions of SF-36.
The remaining half of the patients reported no changes regarding pain and PROs
except for a worsening in vitality in SF-36, 20 (15 to 35), p = 0.0018. All
patients with remaining high level of pain indicated long-standing widespread
pain. After 7 years, a subgroup of patients with SLE reported remaining high
level of SLE-related pain and a high symptom burden, including long-standing
widespread pain. Such patients require more observant attention to receive
appropriate treatment.
PMID- 29654488
TI - Impact of the Di(2-Ethylhexyl) Phthalate Administration on Trace Element and
Mineral Levels in Relation of Kidney and Liver Damage in Rats.
AB - Di(2-ethylhexyl) phthalate (DEHP) is a widely used synthetic polymer in the
industry. DEHP may induce reproductive and developmental toxicity, obesity,
carcinogenesis and cause abnormal endocrine function in both human and wildlife.
The aim of this study was to investigate trace element and mineral levels in
relation of kidney and liver damage in DEHP-administered rats. Therefore,
prepubertal male rats were dosed with 0, 100, 200, and 400 mg/kg/day of DEHP. At
the end of the experiment, trace element and mineral levels, glucose-6-phosphate
dehydrogenase (G6PD), 6-phosphogluconate dehydrogenase (6-PGD), glutathione
reductase (GR) and glutathione S-transferase (GST) enzyme activities were
evaluated in the serum, liver, and kidney samples of rats. Furthermore, serum
clinical biochemistry parameters, organ/body weight ratios and histological
changes were investigated to evaluate impact of DEHP more detailed. Our data
indicated that sodium (Na), calcium (Ca), potassium (K), lithium (Li), rubidium
(Rb) and cesium (Cs) levels significantly decreased, however iron (Fe) and
selenium (Se) concentrations significantly increased in DEHP-administered groups
compared to the control in the serum samples. On the other hand, upon DEHP
administration, selenium concentration, G6PD and GR activities were significantly
elevated, however 6-PGD activity significantly decreased compared to the control
group in the kidney samples. Decreased G6PD activity was the only significant
change between anti-oxidant enzyme activities in the liver samples. Upon DEHP
administration, aberrant serum biochemical parameters have arisen and abnormal
histological changes were observed in the kidney and liver tissue. In conclusion,
DEHP may induce liver and kidney damage, also result abnormalities in the trace
element and mineral levels.
PMID- 29654489
TI - Boron Content of Some Foods Consumed in Istanbul, Turkey.
AB - The boron content was determined in 42 different foods consumed in Istanbul,
Turkey. Eleven species of fruit, ten species of vegetable, eight species of food
of animal origin, four species of grain, two species of nuts, two species of
legume, and five other kinds of foods were included to this study. They were
analyzed by two methods: Inductively coupled plasma mass spectrometry (ICP-MS)
technique and carminic acid assay, and the results of two methods were also
compared. Boron concentration in foods ranged between 0.06-37.2 mg/kg. Nuts had
the highest boron content while foods of animal origin had the lowest. A strong
correlation was found between the results of the carminic acid assay and the ICP
MS technique (p = 0.0001, Pearson correlation coefficient: r = 0.956). Bland
Altman analysis also supported this correlation. ICP-MS is one of the most
common, reliable, and powerful method for boron determination. The results of our
study show that spectrophotometric carminic acid assay can provide similar
results to ICP-MS, and the boron content in food materials can be also determined
by spectrophotometric method.
PMID- 29654490
TI - Long-Term, Fructose-Induced Metabolic Syndrome-Like Condition Is Associated with
Higher Metabolism, Reduced Synaptic Plasticity and Cognitive Impairment in
Octodon degus.
AB - There has been a progressive increase in the incidence of fructose-induced
metabolic disorders, such as metabolic syndrome (MetS). Moreover, novel evidence
reported negative effects of high-fructose diets in brain function. This study
was designed to evaluate for the first time the effects of long-term fructose
consumption (LT-FC) on the normal ageing process in a long-lived animal model
rodent, Octodon degus or degu. Moreover, we could replicate human sugar
consumption behaviour over time, leading us to understand then the possible
mechanisms by which this MetS-like condition could affect cognitive abilities.
Our results support that 28 months (from pup to adulthood) of a 15% solution of
fructose induced clinical conditions similar to MetS which includes an insulin
resistance scenario together with elevated basal metabolic rate and non-alcoholic
fatty liver disease. Additionally, we extended our analysis to evaluate the
impact of this MetS-like condition on the functional and cognitive brain
processes. Behavioural test suggests that fructose-induced MetS-like condition
impair hippocampal-dependent and independent memory performance. Moreover, we
also reported several neuropathological events as impaired hippocampal redox
balance, together with synaptic protein loss. These changes might be responsible
for the alterations in synaptic plasticity and transmitter release observed in
these cognitively impaired animals. Our results indicate that LT-FC induced
several facets of MetS that eventually could trigger brain disorders, in
particular, synaptic dysfunction and reduced cognition.
PMID- 29654491
TI - SIRT2 Inhibition Confers Neuroprotection by Downregulation of FOXO3a and MAPK
Signaling Pathways in Ischemic Stroke.
AB - Sirtuin 2 (SIRT2) is a family member of nicotinamide adenine dinucleotide (NAD+)
dependent deacetylases which appears to have detrimental roles in an array of
neurological disorders such as Parkinson's disease (PD) and Huntington's disease
(HD). In light of the recently emerging roles of sirtuins in normal physiology
and pathological conditions such as ischemic stroke, we investigated the role of
SIRT2 in ischemic stroke-induced neuronal cell death. Primary cortical neurons
were subjected to oxygen-glucose deprivation (OGD) under in vitro ischemic
conditions, and subsequently tested for the efficacy of SIRT2 inhibitors AK1 and
AGK2 in attenuating apoptotic cell death caused by OGD. We have also evaluated
the effect of SIRT2 inhibition in C57BL/6 mice subjected to 1 h middle cerebral
artery occlusion (MCAO) followed by 24 h reperfusion, which is a model for
ischemic reperfusion injury in vivo. Significant reductions in apoptotic cell
death were noted in neurons treated with AK1 or AGK2, as evidenced by reduced
cleaved caspase-3 and other apoptotic markers such as Bim and Bad. In addition,
downregulation of phosphorylated-AKT and FOXO3a proteins of the AKT/FOXO3a
pathway, as well as a marked reduction of JNK activity and its downstream target
c-Jun, were also observed. When tested in animals subjected to MCAO, the
neuroprotective effects of AGK2 in vivo were evidenced by a substantial reduction
in ipsilateral infarct area and a significant improvement in neurological
outcomes. A similar reduction in the levels of pro-apoptotic proteins in the
infarct tissue, as well as downregulation of AKT/FOXO3a and JNK pathway, were
also noted. In summary, the current study demonstrated the neuroprotective
effects of SIRT2 inhibition in ischemic stroke, and identified the downregulation
of AKT/FOXO3a and MAPK pathways as intermediary mechanisms which may contribute
to the reduction in apoptotic cell death by SIRT2 inhibition.
PMID- 29654492
TI - Polymorphic Expression of UGT1A9 is Associated with Variable Acetaminophen
Glucuronidation in Neonates: A Population Pharmacokinetic and Pharmacogenetic
Study.
AB - INTRODUCTION: Acetaminophen (paracetamol, APAP) is widely used as an analgesic
and antipyretic drug in children and neonates. A number of enzymes contribute to
the metabolism of acetaminophen, and genetic factors might be important to
explain variability in acetaminophen metabolism among individuals. METHODS: The
current investigation utilized a previously published parent-metabolite
population pharmacokinetic model describing acetaminophen glucuronidation,
sulfation, and oxidation to examine the potential role of genetic variability on
the relevant metabolic pathways. Neonates were administered 30-min intravenous
infusions of acetaminophen 15 mg/kg every 12 h (< 28 weeks' gestational age [GA])
or every 8 h (>= 28 weeks GA) for 48 h. A total of 18 sequence variations (SVs)
in UDP-glucuronosyltransferase (UGT), sulfotransferase (SULT), and cytochrome
P450 (CYP) genes from 33 neonates (aged 1-26 days) were examined in a stepwise
manner for an effect on the metabolic formation clearance of acetaminophen by
glucuronidation (UGT), sulfation (SULT), and oxidation (CYP). The stepwise
covariate modeling procedure was performed using NONMEM(r) version 7.3. RESULTS:
Incorporation of genotype as a covariate for one SV located in the UGT1A9 gene
promoter region (rs3832043, - 118 > insT, T9 > T10) significantly improved model
fit (likelihood ratio test, p < 0.001) and reduced between-subject variability in
glucuronide formation clearance. Individuals with the UGT1A9 T10 polymorphism,
indicating insertion of an additional thymidine nucleotide, had a 42% reduction
in clearance to APAP-glucuronide as compared to their wild-type counterparts.
CONCLUSION: This study shows a pharmacogenetic effect of an SV in the UGT1A9
promoter region on the metabolism of acetaminophen in neonates.
PMID- 29654493
TI - Yellow-Cedar, Callitropsis (Chamaecyparis) nootkatensis, Secondary Metabolites,
Biological Activities, and Chemical Ecology.
AB - Yellow-cedar, Callitropsis nootkatensis, is prevalent in coastal forests of
southeast Alaska, western Canada, and inland forests along the Cascades to
northern California, USA. These trees have few microbial or animal pests,
attributable in part to the distinct groups of biologically active secondary
metabolites their tissues store for chemical defense. Here we summarize the new
yellow-cedar compounds identified and their biological activities, plus new or
expanded activities for tissues, extracts, essential oils and previously known
compounds since the last review more than 40 years ago. Monoterpene hydrocarbons
are the most abundant compounds in foliage, while heartwood contains substantial
quantities of oxygenated monoterpenes and oxygenated sesquiterpenes, with one or
more tropolones. Diterpenes occur in foliage and bark, whereas condensed tannins
have been isolated from inner bark. Biological activities expressed by one or
more compounds in these groups include fungicide, bactericide, sporicide,
acaricide, insecticide, general cytotoxicity, antioxidant and human anticancer.
The diversity of organisms impacted by whole tissues, essential oils, extracts,
or individual compounds now encompasses ticks, fleas, termites, ants, mosquitoes,
bacteria, a water mold, fungi and browsing animals. Nootkatone, is a heartwood
component with sufficient activity against arthropods to warrant research focused
toward potential development as a commercial repellent and biopesticide for
ticks, mosquitoes and possibly other arthropods that vector human and animal
pathogens.
PMID- 29654494
TI - Microwave-assisted synthesis of carbon dots for "turn-on" fluorometric
determination of Hg(II) via aggregation-induced emission.
AB - A fluorescent probe is presented for sensitive determination of Hg(II). It is
based on aggregation induced enhancement effect (AIEE) of carbon dots co-doped
with nitrogen and sulfur (N,S-CDs). The N,S-CDs were prepared by a one-pot
microwave-assisted method using glycerol as the reaction solvent, and cystine as
the source for C, N and S. The resulting CDs are well soluble in water and have a
turn-on fluorescence response to Hg(II). The incubation time and ratio of raw
materials were optimized. Fluorescence, best measured at excitation/emission
wavelengths of 325/385 nm, increases linearly in the 1-75 MUM Hg(II)
concentration range, and the detection limit is 0.5 MUM. The method performed
successfully when detecting Hg(II) in spiked tap and lake waters, with recoveries
between 92 and 106%. Graphical abstract Schematic presentation of the aggregation
induced enhancement of the fluorescence of carbon dots co-doped with nitrogen and
sulfur after addition of Hg(II).
PMID- 29654495
TI - [Physiological changes during pregnancy].
AB - The physiological state of a woman experiences multiple changes in the body
during pregnancy. These alterations could be of particular importance in the
medical care of pregnant women. This review article highlights the physiological
developments of various organ systems throughout gestation with a focus on
endocrinology, the cardiovascular system, hematology, the respiratory system and
water balance.
PMID- 29654496
TI - Evaluation of candidemia and antifungal consumption in a large tertiary care
Italian hospital over a 12-year period.
AB - PURPOSE: An early adequate antifungal therapy based on the knowledge of local
epidemiology can reduce the candidemia-attributable mortality and the length of
hospitalization. We performed a retrospective study to analyze the epidemiology
of candidemia and the antifungal consumption in our hospital. METHODS: We
analyzed Candida spp. isolated from the blood, and their susceptibility profile
from 2005 to 2016 in Careggi University Hospital, Florence, Italy. We also
performed a stratified analysis by clinical setting where Candida spp. were
isolated (Medical Wards, Surgery, Intensive Care Unit-ICU). Then, we
retrospectively reviewed the annual consumption of antifungal agents and
calculated the defined daily dosing for 10,000 hospital days. RESULTS: The rate
of candidemia was higher in ICU than other settings and Candida albicans was the
first cause of candidemia (61.2%). After adjustment for hospital days, the rate
of C. albicans showed a statistically significant parabolic trend (p < 0.001),
with a peak of incidence in 2010. After 2010, we observed a reduction of
candidemia due to both C. albicans and non-albicans species. Between 2005 and
2015, we reported an increasing increased use of echinocandins. As far as
resistance profile is concerned, only one Candida glabrata isolate was resistant
to caspofungin (1.9%) and 30% of C. glabrata were resistant to fluconazole.
CONCLUSIONS: Our data describe C. albicans as the first cause of candidemia in
all the studied settings and the low rate of echinocandin resistance, despite
their increased use over the study period. ICU was confirmed as the setting with
the highest incidence of candidemia.
PMID- 29654497
TI - From Ignac Semmelweis to Primary Immunodeficiencies: a Bicentenary Commemoration.
PMID- 29654498
TI - Comparative effect of coffee robusta and coffee arabica (Qahwa) on memory and
attention.
AB - The comparative effects of coffee robusta and coffee arabica (Qahwa) on different
attention and memory related assignments were measured in a double-blind study of
300 healthy young adult women who were randomly assigned to one of three
different drinks: Group I (coffee robusta sachet dissolved in 100 ml of hot
water): Group II (coffee arabica): and group III (100 ml water only). Cognitive
function was assessed by standardized tests. Several monitoring cognitive tests
and tasks were specifically chosen and performed to investigate the comparative
effects of coffee robusta (CR) and coffee arabica (Qahwa; AC) on sleepiness
(sleep and clear headed scale), attention (trail A & B, symbol digit, letter
cancellation), general cognitive ability (stroop test) and memory (card test).
Data was interpreted by analysis of variance (ANOVA). The present study revealed
that coffee robusta has beneficial effects on attention, general cognitive
ability and memory. Higher though non-significant cognitive scores were
associated with coffee robusta consumption. Although, consumption of coffee
arabica (Qahwa) has significant effects (P < 0.05) on sleepiness, attention,
general cognitive ability and memory and it significantly improve reaction time
and correct responses. Since different tasks were related to the sustained
attention and working memory processes, results would suggest that coffee arabica
(qahwa) could increase the memory and efficiency of the attentional system might
be due to the presence of chlorogenic acids (CGA) which are found in less
quantity in coffee robusta. However, more studies using larger samples and
different tasks are necessary to better understand the effects of coffee robusta
and arabica (Qahwa) on attention and memory.
PMID- 29654501
TI - Growth performance, economic benefits and sensory characteristics of meat from
broilers finished on Acacia anguistissima leaf meal-based diets.
AB - The objective of the study was to determine growth performance, viscera organ
development, economic benefit and sensory characteristics of meat from broilers
finished on Acacia anguistissima leaf meal-based diets. One hundred and eighty
Cobb 500-day-old chicks were used in the study. Acacia anguistissima was used as
a protein source and included in broiler diets at 0, 50 and 100 g/kg. Total feed
intake (TFI), average daily gain (ADG), and gain to feed ratio (G:F) and cost
benefit analysis were determined. There were no differences in TFI of broilers
across treatments diets. Average daily gain and gain to feed (G:F) ratio were low
(P < 0.05) for broilers feeding on diet with100 g/kg acacia meal. Highest returns
from weight gain were obtained from broiler chickens fed on diet with 50 g/kg
inclusion level of A. anguistissima (P < 0.05). Intestinal length increased with
inclusion level of A. anguistissima whereas liver and spleen weight decreased
with inclusion level of A. anguistissima (P < 0.05). Female consumers gave higher
scores for overall flavour intensity than male consumers (P < 0.05). Treatment
diets influenced consumer sensory characteristics of broiler meat (P < 0.05).
High sensory scores were observed for overall flavour intensity (OFI) for both
boiled and deep fried meat from broilers fed 100 g/kg A. anguistissima. It can be
concluded that A. anguistissima meal can be used effectively up to 50 g/kg in
broiler diets without compromising performance of chickens and can influence a
few sensory characteristics.
PMID- 29654500
TI - Influence of Nigella sativa seeds, Rosmarinus officinalis leaves and their
combination on growth performance, immune response and rumen metabolism in Dorper
lambs.
AB - The objective of this study was to determine the effects of dietary
supplementation of Nigella sativa L. seeds, Rosmarinus officinalis L. leaves and
their combination on rumen metabolism, nutrient intake and digestibility, growth
performance, immune response and blood metabolites in Dorper lambs. Twenty-four
entire male Dorper lambs (18.68 +/- 0.6 kg, 4-5 months old) were randomly
assigned to a concentrate mixture containing on a dry matter basis either, no
supplement (control, T1), 1% R. officinalis leaves (T2), 1% N. sativa seeds (T3)
or 1% R. officinalis leaves +1% N. sativa seeds (T4). The lambs had ad libitum
access to urea-treated rice straw (UTRS) and were raised for 90 days.
Supplemented lambs had greater (P < 0.05) intake of DM and UTRS than the control
lambs. The T4 lambs had lower (P < 0.05) nutrient digestibility than those fed
other treatments. Total and daily weight gain was greater (P < 0.05) in T2 lambs
than those fed other diets. The T3 and T4 lambs had greater (P < 0.05) ruminal pH
than the T1 and T2 lambs. Supplemented lambs had lower (P < 0.05) ruminal total
volatile fatty acids, acetate, propionate, NH3-N and C18:0 than the control
lambs. The T4 lambs had lower (P < 0.05) population of Fibrobacter succinogenes,
Ruminococcus albus, methanogens and total protozoa compared with those fed other
diets. Supplemented lambs had lower (P < 0.05) neutrophils, basophils and serum
urea and greater (P < 0.05) serum IgA and IgG compared with the control lambs.
The current results emphasised the variation in the efficacy of medicinal plants
in ruminant nutrition.
PMID- 29654499
TI - Cortical and subcortical gray matter structural alterations in normoglycemic
obese and type 2 diabetes patients: relationship with adiposity, glucose, and
insulin.
AB - Type 2 diabetes (T2DM) is associated with structural cortical and subcortical
alterations, although it is insufficiently clear if these alterations are driven
by obesity or by diabetes and its associated complications. We used FreeSurfer5.3
and FSL-FIRST to determine cortical thickness, volume and surface area, and
subcortical gray matter volume in a group of 16 normoglycemic obese subjects and
28 obese T2DM patients without clinically manifest micro- and marcoangiopathy,
and compared them to 31 lean normoglycemic controls. Forward regression analysis
was used to determine demographic and clinical correlates of altered
(sub)cortical structure. Exploratively, vertex-wise correlations between cortical
structure and fasting glucose and insulin were calculated. Compared with
controls, obese T2DM patients showed lower right insula thickness and lower left
lateral occipital surface area (PFWE < 0.05). Normoglycemic obese versus controls
had lower thickness (PFWE < 0.05) in the right insula and inferior frontal gyrus,
and higher amygdala and thalamus volume. Thalamus volume and left paracentral
surface area were also higher in this group compared with obese T2DM patients.
Age, sex, BMI, fasting glucose, and cholesterol were related to these
(sub)cortical alterations in the whole group (all P < 0.05). Insulin were related
to temporal and frontal structural deficits (all PFWE < 0.05). Parietal/occipital
structural deficits may constitute early T2DM-related cerebral alterations,
whereas in normoglycemic obese subjects, regions involved in emotion, appetite,
satiety regulation, and inhibition were affected. Central adiposity and elevated
fasting glucose may constitute risk factors.
PMID- 29654502
TI - Effects of replacing fishmeal with black soldier fly larval meal in the diets of
grower-finishing guinea fowls reared under tropical conditions.
AB - The study was conducted with the view to determine the impact of replacing
fishmeal with black soldier fly larval meal (BSFLM) on growing guinea fowls.
BSFLM replaced fishmeal (3% in the control diet) in the ratios of 0, 20, 40, 60,
80, and 100% to produce six dietary treatments, which were iso-caloric and iso
nitrogenous. Two hundred and forty-eight-week old guinea fowls with mean live
weight of 273.2 +/- 10.9 g were tagged, weighted, and randomly assigned to 24 (6
* 4) floor pens; each pen was treated as a replicate. Feed and water were
provided ad libitum during the entire period, which lasted 10 weeks. Feed
consumption differed among the treatment groups (P = 0.0072) with the 100%
fishmeal diets recording the lowest. Daily gain was significantly (P = 0.009)
higher for birds fed high BSFLM diets compared to the control (100% fishmeal
diet). The inclusion of BSFLM in the diets elicited positive linear effect on
weight gains of the guinea fowls (R2 = 0.91) with increasing concentration
resulting in higher live weight gains. The feed conversion ratio (FCR) also
differed between treatments (P < 0.05) but similar for the 100% fishmeal
(control) and 100% BSFLM diets. Organ and haematopoitic integrity were equally
assured regardless of levels of the protein sources fed to the birds. Generally,
meats from birds fed 60 to 100% BSFLM and from hens were more acceptable. A study
to evaluate the economics of utilising BSFLM in guinea fowl production is
recommended.
PMID- 29654503
TI - Relational Mindfulness for Psychiatry Residents: a Pilot Course in Empathy
Development and Burnout Prevention.
AB - OBJECTIVE: Psychiatry residents face challenges daily that test their capacity to
be empathic and attuned to their own self-care. This can have a deleterious
impact not only on the residents but also on patient-care. Training to manage the
challenges of the work and cultivate stronger patient relationships is needed but
often missing in medical education. This study aimed to pilot an empathy training
course based in relational mindfulness and assess the impact on burnout and
empathy. METHODS: Seven first-year psychiatry residents (PGY-1) at an academic
medical center in a mid-size city in the southeast participated in an eight-week
pilot program created by the authors that integrated relational mindfulness and
empathy training. Data were gathered from the seven PGY-1s on measures of burnout
and empathy and on their experience of the training. RESULTS: The PGY-1s
demonstrated a downward trend in means on all three burnout subscales and
significant improvement on the measure of empathy (f = 8.98; p = .02). Overall,
the PGY-1s reported an increased awareness of their cognitive and emotional
experiences and stated that the skills learned in the program increased their
ability to care for themselves, their patients, and their families. CONCLUSIONS:
Training in intrapersonal and interpersonal attunement is often overlooked in
medical training, leading to resident burnout and negative patient outcomes. An
empathy course based in relational mindfulness may be a viable strategy for
programs looking to attend to their residents' emotional health and bridge the
empathy training gap.
PMID- 29654505
TI - Ionic liquid-based transmission electron microscopy for herpes simplex virus type
1.
PMID- 29654506
TI - Evaluating the Training of Chinese-Speaking Community Health Workers to Implement
a Small-Group Intervention Promoting Mammography.
AB - This study evaluated the training of Chinese American Community Health Workers
(CHWs) to implement a small-group mammography video and discussion program as
part of a randomized controlled trial that had the goal to increase adherence to
mammography screening guidelines among Chinese American women. A total of 26
Chinese American CHWs in the metropolitan Washington DC area, Southern
California, and New York City participated in a 4-h training workshop and
completed surveys before and after the workshop to assess their knowledge
regarding mammography screening guidelines and human subjects protection rules.
The results showed significantly increased knowledge of mammography screening
guidelines and human subjects protection rules (both p < 0.01) after the
training. CHWs were also trained to lead a discussion of the video, including
screening benefits and misconceptions. Forty-three audio recordings of
discussions led by 13 active CHWs were transcribed and qualitatively analyzed to
assess implementation fidelity. Ten out of 13 active CHWs fully addressed about 3
of the 5 benefit items, and 11 out of 13 CHWs fully addressed more than 5 of the
9 misconception items. Chinese CHWs can be trained to implement research-based
intervention programs. However, a one-time training resulted in moderate
adherence to the discussion protocol. Ongoing or repeat trainings throughout the
intervention period may be needed to enhance implementation fidelity.
PMID- 29654508
TI - Another Case of Erratic Brain Embolism After Particle Embolization for a Giant
Intrathoracic Solitary Fibrous Tumor.
PMID- 29654507
TI - Response Assessment by Volumetric Iodine Uptake Measurement: Preliminary
Experience in Patients with Intermediate-Advanced Hepatocellular Carcinoma
Treated with Yttrium-90 Radioembolization.
AB - PURPOSE: To retrospectively compare early response to yttrium-90
radioembolization (Y90) according to volumetric iodine uptake (VIU) changes,
Response Evaluation Criteria In Solid Tumor 1.1 (RECIST 1.1) and modified RECIST
(mRECIST) in patients with intermediate-advanced hepatocellular carcinoma (HCC)
and to explore their association with survival. MATERIALS AND METHODS: Twenty
four patients treated with Y90 and evaluated with dual-energy computed tomography
before and 6 weeks after treatment were included. VIU was measured on late
arterial phase spectral images; 6-week VIU response was defined as: complete
response (CR, absence of enhancing tumor), partial response (PR, >= 15% VIU
reduction), progressive disease (PD, >= 10% VIU increase) and stable disease
(criteria of CR/PR/PD not met). RECIST 1.1 and mRECIST were evaluated at 6 weeks
and 6 months. Responders included CR and PR. Overall survival (OS) was evaluated
by Kaplan-Meier analysis and compared by Cox regression analysis. RESULTS: High
intraobserver and interobserver agreements were observed in VIU measurements (k >
0.98). VIU identified a higher number of responders (18 patients, 75%), compared
to RECIST 1.1 (12.5% at 6 weeks and 23.8% at 6 months) and mRECIST (29.2% at 6
weeks and 61.9% at 6 months). There was no significant correlation between OS and
RECIST 1.1 (P = 0.45 at 6 weeks; P = 0.21 at 6 months) or mRECIST (P = 0.38 at 6
weeks; P = 0.79 at 6 months); median OS was significantly higher in VIU
responders (17.2 months) compared to non-responders (7.4 months) (P = 0.0022; HR
8.85; 95% CI 1.29-88.1). CONCLUSION: VIU is highly reproducible; as opposite to
mRECIST and RECIST 1.1, early VIU response correlates with OS after Y90 in
intermediate-advanced HCC patients.
PMID- 29654509
TI - Realistic Vascular Replicator for TAVR Procedures.
AB - Transcatheter aortic valve replacement (TAVR) is an over-the-wire procedure for
treatment of severe aortic stenosis (AS). TAVR valves are conventionally tested
using simplified left heart simulators (LHS). While those provide baseline
performance reliably, their aortic root geometries are far from the anatomical in
situ configuration, often overestimating the valves' performance. We report on a
novel benchtop patient-specific arterial replicator designed for testing TAVR and
training interventional cardiologists in the procedure. The Replicator is an
accurate model of the human upper body vasculature for training physicians in
percutaneous interventions. It comprises of fully-automated Windkessel mechanism
to recreate physiological flow conditions. Calcified aortic valve models were
fabricated and incorporated into the Replicator, then tested for performing TAVR
procedure by an experienced cardiologist using the Inovare valve. EOA, pressures,
and angiograms were monitored pre- and post-TAVR. A St. Jude mechanical valve was
tested as a reference that is less affected by the AS anatomy. Results in the
Replicator of both valves were compared to the performance in a commercial ISO
compliant LHS. The AS anatomy in the Replicator resulted in a significant
decrease of the TAVR valve performance relative to the simplified LHS, with EOA
and transvalvular pressures comparable to clinical data. Minor change was seen in
the mechanical valve performance. The Replicator showed to be an effective
platform for TAVR testing. Unlike a simplified geometric anatomy LHS, it
conservatively provides clinically-relevant outcomes and complement it. The
Replicator can be most valuable for testing new valves under challenging patient
anatomies, physicians training, and procedural planning.
PMID- 29654510
TI - miR-128-3p regulates 3T3-L1 adipogenesis and lipolysis by targeting Pparg and
Sertad2.
AB - Differentiation of adipocytes and their aggregation to adipose tissue are
critical for mammalian growth and development. MicroRNAs (miRNAs) are a class of
endogenous small non-coding RNAs that play important roles in adipogenesis and
lipid metabolism. miR-128-3p may contribute to adipose tissue development
according to the previous studies. However, the role of miR-128-3p in the process
of preadipocyte differentiation and lipid metabolism is not yet understood. The
purpose of this research was to investigate the biological function and molecular
mechanism of miR-128-3p in 3T3-L1 cells. In the present study, we found that miR
128-3p was downregulated during the process of 3T3-L1 preadipocyte
differentiation. Overexpression of miR-128-3p obstructed the expressions of
adipogenic marker genes as well as the lipid droplets accumulation and
triglyceride content, suggesting the importance of miR-128-3p for adipogenesis.
Moreover, miR-128-3p could lead to the retardation of cell proliferation in 3T3
L1 preadipocytes. Further evidences showed that, as a negative regulator of
adipogenesis, miR-128-3p could directly target peroxisome proliferator-activated
receptor gamma (Pparg) which resulted in the suppression of 3T3-L1 preadipocyte
differentiation, and miR-128-3p could also bind with SERTA domain containing 2
(Sertad2) which drove triglyceride hydrolysis and lipolysis. In addition,
inhibition of Sertad2 with siRNA displayed the same effects as overexpression of
miR-128-3p. Our research demonstrated that miR-128-3p impeded 3T3-L1 adipogenesis
by targeting Pparg and Sertad2, resulting in the obstruction of preadipocyte
differentiation and promotion of lipolysis. Taken together, this study offers
profound insight into the mechanism of miRNA-mediated adipogenesis and lipid
metabolism.
PMID- 29654512
TI - [Injury prevention in amateur football with FIFA 11+ : What is implemented on the
football pitch?]
AB - BACKGROUND: The warm-up and injury prevention program FIFA 11+ was developed to
reduce injuries in recreational and amateur level football. Despite systematic
education it is uncertain what amount of knowledge is passed down to the lower
recreational level football players and what exercises are implemented in the
daily routine. This study presents the summarized experiences of German coaches
about the implementation of exercises on the football pitch. MATERIAL AND
METHODS: In this study 142 coaches who participated in 1 (of 5) of the 2-day
courses between 2013 and 2017 were sent a questionnaire. The questionnaire
consisted of 24 questions, which were developed by the football union of Lower
Saxony. Incomplete questionnaires were excluded from the study. RESULTS: A total
of 121 questionnaires could be analyzed, which is a response rate of 85.2%. The
mean time period between the 2-day training and answering the questionnaire was
29 months. Of the participating coaches 82.6% indicated that they use the program
regularly (22% of the coaches use it twice a week or more frequently, 34% use it
only once a week) and 6% of the coaches use additional programs to prevent
injuries. A total of 86% of the participants believed in a reduction in the
incidence of injuries induced by the FIFA 11+ concept, 89% of the participants
rated the FIFA 11+ program as good ors very good, 91% rated the teaching concept
as good or very good and 94% of the participants would recommend the 2-day
advanced course to others. DISCUSSION: The prevention program as well as the
advanced training concept were evaluated very positively. Most coaches use the
program regularly. Nevertheless, many coaches use the FIFA 11+ exercises less
than the recommended twice a week. Most coaches praised the good structure of the
program, but also wished for the possibility of variations. CONCLUSION: The
prevention program FIFA 11+ is seen by coaches in recreational and amateur
football as an effective tool to prevent injury. Implementation on the football
pitch is regular but not as frequent as the evidence-based recommendations in the
training concept.
PMID- 29654513
TI - [The new hemotherapy guideline].
AB - The 2017 update of the German guidelines on hemotherapy is highly associated with
the drug legislation and guidelines and thus necessitated a new structure of the
contents. These guidelines reflect the state of the art for collection and
application of blood and blood components corresponding to the Transfusion Act
and is therefore the main document in hemotherapy. Topics of high interest in
this 2017 update related to application of blood products are clarification and
consent of patients, obligation for documentation, information, reporting and
notification reports in the case of transfusion reactions and detailed
description of quality management and quality assurance, as well as task
description of persons responsible for the organization or implementation of
transfusion-associated workflows. Surveillance, collating and management of
errors are important prerequisites for the continuous optimization of patient
safety. Patient blood management (PBM) as a requirement for the efficient use of
blood products and the controlled and economical usage of autologous hemotherapy
procedures (e. g. mechanical autotransfusion, MAT) are measures to reduce the
need for blood products. All medical specialist societies, which carry out
hemotherapy and participate in the standing committee of the Federal Medical
Council (BAK) to revise the hemotherapy guidelines have to take care that the
guidelines are understandable for medical doctors and the transfusion team.
Additionally, the core principles of hemotherapy have to be described in a clear
and distinct manner.
PMID- 29654511
TI - Evidence for the link between defective autophagy and inflammation in peripheral
blood mononuclear cells of type 2 diabetic patients.
AB - Autophagy was shown to modulate inflammation in immune cells. This study was
designed to evaluate the association between autophagy and inflammation in
peripheral blood mononuclear cells (PBMCs) of type 2 diabetic (T2D) and non
diabetic (ND) subjects. The autophagy markers were measured by real-time PCR and
western blot. The gene expression of pro- and anti-inflammatory cytokines was
assessed by real-time PCR. Reduced transcription of BECN1 and LAMP2 and unchanged
expression of MAP1LC3B and ATG5 were observed in PBMCs of T2D patients. Decreased
LC3B-II and increased p62/SQSTM1 levels were found in PBMCs of diabetic patients.
The p-mTOR level was higher in PBMCs of diabetic patients. An increase in both IL
1beta and TNF-alpha gene expression, along with a decrease in the expression of
IL-10, was observed in PBMCs of T2D patients. TNF-alpha mRNA expression was
inversely correlated with the mRNA expression of BECN1 and LAMP2. TNF-alpha and
IL-1beta expression were negatively correlated with the protein levels of LC3B
II. TNF-alpha and IL-1beta expression had also a positive correlation with
protein level of p62. IL-10 mRNA expression was positively correlated with the
mRNA expression of BECN1 and LAMP2 and protein levels of LC3B-II and negatively
correlated with protein level of p62. In addition, p-mTOR level was positively
correlated with IL-1beta and TNF-alpha mRNA expression. The results revealed a
reduced autophagy in PBMCs of T2D patients that is liked with an enhanced
inflammation. The suppression of autophagy in PBMCs of diabetic patients may be
associated with the activation of the mTOR signaling.
PMID- 29654515
TI - Five-year clinical follow-up of the STENTYS self-apposing stent in complex
coronary anatomy: a single-centre experience with report of specific angiographic
indications.
AB - OBJECTIVES: We sought to investigate angiographic indications for the use of the
STENTYS technique and evaluated the long-term safety and clinical efficacy of the
stent. BACKGROUND: Coronary lesions involving complex anatomy, including
aneurysmatic, ectatic, or tapered vessel segments often carry a substantial risk
of stent malapposition. The self-apposing stent technique may reduce the risk of
stent malapposition and therefore improve clinical outcomes. METHODS: A total of
120 consecutive patients treated with the STENTYS stent were included (drug
eluting stent (DES) n = 101, bare-metal stent (BMS) n = 19). All lesions were
scored for angiographic indications for the STENTYS stent, including aneurysms,
ectasias, tapering, absolute diameters, bifurcation lesions, and saphenous vein
grafts. Off-line quantitative coronary angiography analyses were performed pre
procedure and post-procedure. Five years follow-up was obtained including cardiac
death, target vessel myocardial infarction (TV-MI), target vessel
revascularisation, stent thrombosis, and the composite endpoint target vessel
failure (cardiac death, TV-MI and target vessel revascularisation). RESULTS:
Angiographic indications for STENTYS use were aneurysm (30%), ectasia (19%),
tapering (27%), bifurcation lesions (8%), and saphenous vein graft lesions (16%)
and absolute diameters (22%). Mean maximal diameter was 4.51 +/- 0.99 mm. At 5
year follow-up target vessel failure rates were 24.1% in the total cohort (DES
22.8% vs. BMS 33%, p = 0.26). Definite stent thrombosis rate was 3.8% at 5-year
follow-up in this cohort with complex and high-risk lesions (DES 4.5% vs. BMS 0%,
p = 0.39). CONCLUSIONS: Angiographic indications for the use of the self-apposing
stent were complex lesions with atypical coronary anatomy. Our data showed
reasonable stent thrombosis rates at 5-year follow-up, considering the high-risk
lesion characteristics.
PMID- 29654516
TI - Impact of different post-harvest processing methods on the chemical compositions
of peony root.
AB - The impact of key processing steps such as boiling, peeling, drying and storing
on chemical compositions and morphologic features of the produced peony root was
investigated in detail by applying 15 processing methods to fresh roots of
Paeonia lactiflora and then monitoring contents of eight main components, as well
as internal root color. The results showed that low temperature (4 degrees C)
storage of fresh roots for approximately 1 month after harvest resulted in
slightly increased and stable content of paeoniflorin, which might be due to
suppression of enzymatic degradation. This storage also prevented roots from
discoloring, facilitating production of favorable bright color roots. Boiling
process triggered decomposition of polygalloylglucoses, thereby leading to a
significant increase in contents of pentagalloylglucose and gallic acid. Peeling
process resulted in a decrease of albiflorin and catechin contents. As a result,
an optimized and practicable processing method ensuring high contents of the main
active components in the produced root was developed.
PMID- 29654517
TI - Ferroelectric Field Effect Induced Asymmetric Resistive Switching Effect in
BaTiO3/Nb:SrTiO3 Epitaxial Heterojunctions.
AB - Asymmetric resistive switching processes were observed in BaTiO3/Nb:SrTiO3
epitaxial heterojunctions. The SET switching time from the high-resistance state
to low-resistance state is in the range of 10 ns under + 8 V bias, while the
RESET switching time from the low-resistance state to high-resistance state is in
the range of 105 ns under - 8 V bias. The ferroelectric polarization screening
controlled by electrons and oxygen vacancies at the BaTiO3/Nb:SrTiO3
heterointerface is proposed to understand this switching time difference. This
switch with fast SET and slow RESET transition may have potential applications in
some special regions.
PMID- 29654514
TI - A Review of Basal-Bolus Therapy Using Insulin Glargine and Insulin Lispro in the
Management of Diabetes Mellitus.
AB - : Basal-bolus therapy (BBT) refers to the combination of a long-acting basal
insulin with a rapid-acting insulin at mealtimes. Basal insulin glargine 100 U/mL
and prandial insulin lispro have been available for many years and there is a
substantial evidence base to support the efficacy and safety of these agents when
they are used in BBT or basal-plus therapy for patients with type 1 or type 2
diabetes mellitus (T1DM, T2DM). With the growing availability of alternative
insulins for use in such regimens, it seems timely to review the data regarding
BBT with insulin glargine 100 U/mL and insulin lispro. In patients with T1DM, BBT
with insulin glargine plus insulin lispro provides similar or better glycemic
control and leads to less nocturnal hypoglycemia compared to BBT using human
insulin as the basal and/or prandial component, and generally provides similar
glycemic control and rates of severe hypoglycemia to those achieved with insulin
lispro administered by continuous subcutaneous insulin infusion (CSII). Studies
evaluating BBT with insulin glargine plus insulin lispro in patients with T2DM
also demonstrate the efficacy and safety of these insulins. Available data
suggest that BBT with insulin glargine and insulin lispro provides similar levels
of efficacy and safety in pediatric and adult populations with T1DM and in adult
patients and those aged more than 65 years with T2DM. These insulin preparations
also appear to be safe and effective for controlling T2DM in people of different
ethnicities and in patients with T1DM or T2DM and comorbidities. FUNDING: Eli
Lilly and Company.
PMID- 29654519
TI - [Comorbidities in heart failure : An interdisciplinary challenge].
PMID- 29654518
TI - A model for rigorously applying the Exploration, Preparation, Implementation,
Sustainment (EPIS) framework in the design and measurement of a large scale
collaborative multi-site study.
AB - BACKGROUND: This paper describes the means by which a United States National
Institute on Drug Abuse (NIDA)-funded cooperative, Juvenile Justice-Translational
Research on Interventions for Adolescents in the Legal System (JJ-TRIALS),
utilized an established implementation science framework in conducting a multi
site, multi-research center implementation intervention initiative. The
initiative aimed to bolster the ability of juvenile justice agencies to address
unmet client needs related to substance use while enhancing inter-organizational
relationships between juvenile justice and local behavioral health partners.
METHODS: The EPIS (Exploration, Preparation, Implementation, Sustainment)
framework was selected and utilized as the guiding model from inception through
project completion; including the mapping of implementation strategies to EPIS
stages, articulation of research questions, and selection, content, and timing of
measurement protocols. Among other key developments, the project led to a
reconceptualization of its governing implementation science framework into
cyclical form as the EPIS Wheel. The EPIS Wheel is more consistent with rapid
cycle testing principles and permits researchers to track both progressive and
recursive movement through EPIS. Moreover, because this randomized controlled
trial was predicated on a bundled strategy method, JJ-TRIALS was designed to
rigorously test progress through the EPIS stages as promoted by facilitation of
data-driven decision making principles. The project extended EPIS by (1)
elucidating the role and nature of recursive activity in promoting change
(yielding the circular EPIS Wheel), (2) by expanding the applicability of the
EPIS framework beyond a single evidence-based practice (EBP) to address varying
process improvement efforts (representing varying EBPs), and (3) by disentangling
outcome measures of progression through EPIS stages from the a priori established
study timeline. DISCUSSION: The utilization of EPIS in JJ-TRIALS provides a model
for practical and applied use of implementation frameworks in real-world settings
that span outer service system and inner organizational contexts in improving
care for vulnerable populations. TRIAL REGISTRATION: NCT02672150 .
Retrospectively registered on 22 January 2016.
PMID- 29654520
TI - Arabidopsis petiole torsions induced by lateral light or externally supplied
auxin require microtubule-associated TORTIFOLIA1/SPIRAL2.
AB - Although rather inconspicuous, movements are an important adaptive trait of
plants. Consequently, light- or gravity-induced movements leading to organ
bending have been studied intensively. In the field, however, plant movements
often result in organ twisting rather than bending. This study investigates the
mechanism of light- or gravity-induced twisting movements, coined "helical
tropisms." Because certain Arabidopsis cell expansion mutants show organ twisting
under standard growth conditions, we here investigated how the right-handed
helical growth mutant tortifolia1/spiral2 (tor1) responds when stimulated to
perform helical tropisms. When leaves were illuminated from the left, tor1 was
capable of producing left-handed petiole torsions, but these occurred at a
reduced rate. When light was applied from right, tor1 plants rotated their
petioles much faster than the wild-type. Applying auxin to the lateral-distal
side of wild-type petioles produced petiole torsions in which the auxinated flank
was consistently turned upwards. This kind of movement was not observed in tor1
mutants when auxinated to produce left-handed movements. Investigating auxin
transport in twisting petioles based on the DR5-marker suggested that auxin flow
was apical-basal rather than helical. While cortical microtubules of excised wild
type petioles oriented transversely when stimulated with auxin, those of tor1
were largely incapable of reorientation. Together, our results show that tor1 is
a tropism mutant and suggest a mechanism in which auxin and microtubules both
contribute to helical tropisms.
PMID- 29654521
TI - Fully convolutional networks (FCNs)-based segmentation method for colorectal
tumors on T2-weighted magnetic resonance images.
AB - Segmentation of colorectal tumors is the basis of preoperative prediction,
staging, and therapeutic response evaluation. Due to the blurred boundary between
lesions and normal colorectal tissue, it is hard to realize accurate
segmentation. Routinely manual or semi-manual segmentation methods are extremely
tedious, time-consuming, and highly operator-dependent. In the framework of FCNs,
a segmentation method for colorectal tumor was presented. Normalization was
applied to reduce the differences among images. Borrowing from transfer learning,
VGG-16 was employed to extract features from normalized images. We conducted five
side-output blocks from the last convolutional layer of each block of VGG-16
along the network, these side-output blocks can deep dive multiscale features,
and produced corresponding predictions. Finally, all of the predictions from side
output blocks were fused to determine the final boundaries of the tumors. A
quantitative comparison of 2772 colorectal tumor manual segmentation results from
T2-weighted magnetic resonance images shows that the average Dice similarity
coefficient, positive predictive value, specificity, sensitivity, Hammoude
distance, and Hausdorff distance were 83.56, 82.67, 96.75, 87.85%, 0.2694, and
8.20, respectively. The proposed method is superior to U-net in colorectal tumor
segmentation (P < 0.05). There is no difference between cross-entropy loss and
Dice-based loss in colorectal tumor segmentation (P > 0.05). The results indicate
that the introduction of FCNs contributed to accurate segmentation of colorectal
tumors. This method has the potential to replace the present time-consuming and
nonreproducible manual segmentation method.
PMID- 29654522
TI - A prospective case study of high boost, high frequency emphasis and two-way
diffusion filters on MR images of glioblastoma multiforme.
AB - Glioblastoma multiforme (GBM) appears undifferentiated and non-enhancing on
magnetic resonance (MR) imagery. As MRI does not offer adequate image quality to
allow visual discrimination of the boundary between GBM focus and perifocal
vasogenic edema, surgical and radiotherapy planning become difficult. The
presence of noise in MR images influences the computation of radiation dosage and
precludes the edge based segmentation schemes in automated software for radiation
treatment planning. The performance of techniques meant for simultaneous
denoising and sharpening, like high boost filters, high frequency emphasize
filters and two-way anisotropic diffusion is sensitive to the selection of their
operational parameters. Improper selection may cause overshoot and saturation
artefacts or noisy grey level transitions can be left unsuppressed. This paper is
a prospective case study of the performance of high boost filters, high frequency
emphasize filters and two-way anisotropic diffusion on MR images of GBM, for
their ability to suppress noise from homogeneous regions and to selectively
sharpen the true morphological edges. An objective method for determining the
optimum value of the operational parameters of these techniques is also
demonstrated. Saturation Evaluation Index (SEI), Perceptual Sharpness Index
(PSI), Edge Model based Blur Metric (EMBM), Sharpness of Ridges (SOR), Structural
Similarity Index Metric (SSIM), Peak Signal to Noise Ratio (PSNR) and Noise
Suppression Ratio (NSR) are the objective functions used. They account for
overshoot and saturation artefacts, sharpness of the image, width of salient
edges (haloes), susceptibility of edge quality to noise, feature preservation and
degree of noise suppression. Two-way diffusion is found to be superior to others
in all these respects. The SEI, PSI, EMBM, SOR, SSIM, PSNR and NSR exhibited by
two-way diffusion are 0.0016 +/- 0.0012, 0.2049 +/- 0.0187, 0.0905 +/- 0.0408,
2.64 * 1012 +/- 1.6 * 1012, 0.9955 +/- 0.0024, 38.214 +/- 5.2145 and 0.3547 +/-
0.0069, respectively.
PMID- 29654523
TI - The Promise of Telemedicine for Movement Disorders: an Interdisciplinary
Approach.
AB - PURPOSE OF REVIEW: Advances in technology have expanded telemedicine
opportunities covering medical practice, research, and education. This is of
particular importance in movement disorders (MDs), where the combination of
disease progression, mobility limitations, and the sparse distribution of MD
specialists increase the difficulty to access. In this review, we discuss the
prospects, challenges, and strategies for telemedicine in MDs. RECENT FINDINGS:
Telemedicine for MDs has been mainly evaluated in Parkinson's disease (PD) and
compared to in-office care is cost-effective with similar clinical care, despite
the barriers to engagement. However, particular groups including pediatric
patients, rare MDs, and the use of telemedicine in underserved areas need further
research. Interdisciplinary telemedicine and tele-education for MDs are feasible,
provide similar care, and reduce travel costs and travel time compared to in
person visits. These benefits have been mainly demonstrated for PD but serve as a
model for further validation in other movement disorders.
PMID- 29654524
TI - Highly Selective Detection of Cr3 + Ion with Colorimetric & Fluorescent Response
Via Chemodosimetric Approach in Aqueous Medium.
AB - So far, very few numbers of chemosensors for Cr3+ ion have been reported.
However, the main drawback of reported receptors are the lack of selectivity and
other trivalent cations such as Fe3+, Al3+ and anions like F- and -OAc frequently
interfere with such assays. This paper present the synthesis, characterization &
sensor studies of Schiff base containing naphthalene moiety which selectively
detect Cr3+ ion by chemodosimetric approach. Using FT-IR, 1H NMR, 13C NMR and ESI
mass spectroscopic techniques the probe was characterized. This receptor exhibit
more selectivity and sensitivity towards Cr3+ than other divalent and trivalent
cations like Mn2+, Zn2+, Co2+, Ni2+, Cd2+, Cu2+, Hg2+, Fe3+, and Al3+ ions. After
the addition of chromium ion the receptor get change from yellow to colorless in
aqueous medium. But no color change was observed on the addition of other metal
ions. Using UV-Vis and PL studies, it was confirmed that the selective hydrolysis
of imine group of receptor by Cr3+ ions takes place with high fluorescence
enhancement that is corresponding to 1-naphthylamine. Receptor acts as selective
chemodosimeter for Cr3+ ions with 2:1 stoichiometry and micro molar detection
limit. This chemodosimetric approach was applied successfully for bio-imaging of
HeLa cells.
PMID- 29654525
TI - Detection of a case of Angelman syndrome caused by an imprinting error in 949
pregnancies analyzed for AS following IVF.
PMID- 29654526
TI - Safety and feasibility of laparoscopic surgery for appendiceal mucocele: a
multicenter study.
AB - BACKGROUND: Although laparoscopic appendectomy has been widely performed since
1987, concerns over potential spillage of mucus into the peritoneal cavity during
laparoscopic manipulation have prevented the use of laparoscopic surgery (LS) for
appendiceal mucocele. The purpose of the present study was to evaluate the
safety, feasibility, and short-term perioperative outcomes of LS for appendiceal
mucocele. METHODS: A retrospective review was performed to identify patients
diagnosed with appendiceal mucocele based on their imaging studies and who
underwent surgery at one of six Hallym-University-affiliated hospitals between
January 2007 and June 2016. Patient demographics, surgical outcomes, and
postoperative outcomes were retrospectively analyzed. RESULTS: A total of 96
patients were evaluated, of whom 58 underwent LS (LS group) and 38 underwent open
surgery (OS; OS group). There were no significant differences in patient
characteristics between groups. The operation time was similar in both groups (P
= 0.399). Intraoperative rupture occurred in two patients in each group (no
significant difference, P = 0.647). Time to flatus, time to soft food intake, and
length of hospital stay were shorter in the LS group than in the OS group (2.4
vs. 3.2 days, P = 0.003; 3.6 vs. 4.5 days, P = 0.024; 6.5 vs. 8.8 days, P =
0.011, respectively). The rate of postoperative complications was similar between
the groups (P = 0.786). Univariate analysis revealed that rupture of appendiceal
mucocele was associated with white blood cell count > 10,000/uL (P = 0.032) but
not with LS (P = 0.647). CONCLUSIONS: The results showed that LS is safe and
feasible for the surgical treatment of appendiceal mucocele. An elevated WBC
count was associated with a risk of appendiceal mucocele rupture.
PMID- 29654527
TI - Laparoscopic management is feasible for nonobstetric surgical disease in all
trimesters of pregnancy.
AB - BACKGROUND: Nonobstetric surgical interventions are required in some women during
pregnancy. The most common nonobstetric conditions requiring surgery during
pregnancy are acute appendicitis and cholecystitis. This study aimed to evaluate
pregnancy outcomes and complications following surgical procedures for presumed
nonobstetric surgical interventions during pregnancy, and to compare the outcomes
between the laparoscopic and open approaches. METHODS: We conducted a
retrospective study of patients who underwent laparoscopic or open surgery during
pregnancy for nonobstetric surgical indications at our institution between 2008
and 2016. RESULTS: A total of 62 consecutive patients who underwent surgical
intervention due to nonobstetric causes during pregnancy were included in our
study. Of these, 35 (56.5%) were managed with laparoscopy and 27 (43.5%) with the
open approach. Patients who underwent laparoscopy had a significantly shorter
hospital stay and lower pain score on postoperative day 2 than those who
underwent open surgery (5.5 vs. 7.2 days, p = 0.03 and 1.4 vs. 2.4, p < 0.01,
respectively). There were no significant differences in operative complications
between both groups. In advanced pregnancy (gestational age >= 23 weeks), 7
patients (41.2%) were managed with laparoscopy and 10 (58.8%) with the open
approach. No differences in surgical complications were found between both groups
in advanced pregnancy as well. CONCLUSIONS: In our study, laparoscopic surgery
was found to be feasible and safe in the late second and third trimesters as well
as in the first and early second trimesters without adverse effects on pregnancy.
PMID- 29654528
TI - Development and validation of surgical training tool: cystectomy assessment and
surgical evaluation (CASE) for robot-assisted radical cystectomy for men.
AB - BACKGROUND: We aimed to develop a structured scoring tool: cystectomy assessment
and surgical evaluation (CASE) that objectively measures and quantifies
performance during robot-assisted radical cystectomy (RARC) for men. METHODS: A
multinational 10-surgeon expert panel collaborated towards development and
validation of CASE. The critical steps of RARC in men were deconstructed into
nine key domains, each assessed by five anchors. Content validation was done
utilizing the Delphi methodology. Each anchor was assessed in terms of context,
score concordance, and clarity. The content validity index (CVI) was calculated
for each aspect. A CVI >= 0.75 represented consensus, and this statement was
removed from the next round. This process was repeated until consensus was
achieved for all statements. CASE was used to assess de-identified videos of RARC
to determine reliability and construct validity. Linearly weighted percent
agreement was used to assess inter-rater reliability (IRR). A logit model for
odds ratio (OR) was used to assess construct validation. RESULTS: The expert
panel reached consensus on CASE after four rounds. The final eight domains of the
CASE included: pelvic lymph node dissection, development of the peri-ureteral
space, lateral pelvic space, anterior rectal space, control of the vascular
pedicle, anterior vesical space, control of the dorsal venous complex, and apical
dissection. IRR > 0.6 was achieved for all eight domains. Experts outperformed
trainees across all domains. CONCLUSION: We developed and validated a reliable
structured, procedure-specific tool for objective evaluation of surgical
performance during RARC. CASE may help differentiate novice from expert
performances.
PMID- 29654529
TI - Long-term functional outcomes of Roux-en-Y versus Billroth I reconstructions
after laparoscopic distal gastrectomy for gastric cancer: a propensity-score
matching analysis.
AB - BACKGROUND: Only a few reports discuss long-term functional outcomes and changes
observed in patients over several years following a distal gastrectomy. We
investigated long-term functional outcomes and changes after laparoscopic distal
gastrectomy based on the analysis of postoperative conditions and endoscopic
findings observed in patients. METHODS: The study group comprised 159 patients
who underwent Roux-en-Y reconstruction following laparoscopic distal gastrectomy
(R-Y group) between December 2008 and November 2012 and 78 patients who underwent
Billroth I reconstruction (B-I group) between January 2002 and November 2012. To
minimize bias between the two groups, propensity scores were calculated using a
logistic regression model. The groups were compared with respect to postoperative
conditions and endoscopic findings at 1, 3, and 5 years postoperatively. RESULTS:
The B-I group demonstrated more frequent heartburn than the R-Y group at 3 and 5
years postoperatively. No significant differences were found in terms of loss of
body weight and food intake. Endoscopic findings showed significantly lesser
residual food and remnant gastritis in the R-Y group at each annual postoperative
follow-up. The incidence of bile reflux and reflux esophagitis in the B-I group
gradually increased over the years and showed a significant difference at the
culmination of the 5-year postoperative follow-up, compared to the R-Y group.
CONCLUSIONS: Roux-en-Y reconstruction was superior to Billroth I reconstruction
in terms of frequency of occurrence of residual food, bile reflux, remnant
gastritis, and reflux esophagitis in the long term. Differences between the two
methods became more evident as the follow-up period lengthened.
PMID- 29654530
TI - General practitioners' (GP) attitudes and knowledge about attention deficit
hyperactivity disorder (ADHD) in Ireland.
AB - BACKGROUND: ADHD is the most frequent reason for attendance at Child and
Adolescent Mental Health Services (CAMHS). General practitioners (GPs) play a key
role in recognising symptoms, referring for assessment and supporting ongoing
treatment. However, there is an ambiguous understanding of ADHD among GPs, and
different attitudes regarding the validity of ADHD as a construct. The present
study aims to explore and identify GPs attitudes and beliefs about ADHD in the
Irish context, and to find out the association of those attitudes with other
factors. METHODS: Representative sample of qualified GPs registered to the Irish
Medical Directory. The survey included questions about GPs' practice, attitudes
towards ADHD, knowledge of symptoms and workup for ADHD, previous training and
personal experience of ADHD. RESULTS: A hundred and forty GPs participated
(response rate 28%). Factor analysis indicated 58.8% expressed a positive
attitude towards ADHD. Those who have positive attitudes were more likely to be
between 36 and 55 years old, seeing fewer children with suspected ADHD per year
and working as part of a primary care team. Years of practice, personal
experience of ADHD, training and knowledge in ADHD and access to CAMHS or
psychology were not significantly related to either positive or negative ADHD
attitudes. CONCLUSIONS: Despite the high rates of ADHD among children, a slim
majority of Irish GPs have positive attitudes towards ADHD. This could lead to
undiagnosed or misdiagnosed cases. Strategies need to be considered to address
this.
PMID- 29654531
TI - A profile of physiotherapy supply in Ireland.
AB - BACKGROUND: The lack of information on public and private physiotherapy supply in
Ireland makes current and future resource allocation decisions difficult. AIM:
This paper estimates the supply of physiotherapists in Ireland and profiles
physiotherapists across acute and non-acute sectors, and across public and
private practice. It examines geographic variation in physiotherapist supply,
examining the implications of controlling for healthcare need. METHODS:
Physiotherapist headcounts are estimated using Health Service Personnel Census
(HSPC) and Irish Society of Chartered Physiotherapists (ISCP) Register data.
Headcounts are converted to whole-time equivalents (WTEs) using the HSPC and a
survey of ISCP members to account for full- and part-time working practices. Non
acute supply per 10,000 population in each county is estimated to examine
geographic inequalities and the raw population is adjusted in turn for a range of
need indicators. RESULTS: An estimated 3172 physiotherapists were practising in
Ireland in 2015; 6.8 physiotherapists per 10,000, providing an estimated 2620
WTEs. Females accounted for 74% of supply. Supply was greater in the non-acute
sector; 1774 WTEs versus 846 WTEs in the acute sector. Physiotherapists in the
acute sector were located mainly in publicly financed institutions (89%) with an
even public/private split observed in the non-acute sector. Non-acute
physiotherapist supply is unequally distributed across Ireland (Gini coefficient
= 0.12; 95% CI 0.08-0.15), and inequalities remain after controlling for
variations in healthcare needs across counties. CONCLUSION: The supply of
physiotherapists in Ireland is 30% lower than the EU-28 average. Substantial
inequality in the distribution of physiotherapists across counties is observed.
PMID- 29654532
TI - Visual mental imagery influences attentional guidance in a visual-search task.
AB - Visual mental imagery resembles visual working memory (VWM). Because both visual
mental imagery and VWM involve the representation and manipulation of visual
information, it was hypothesized that they would exert similar effects on visual
attention. Several previous studies have demonstrated that working-memory
representations guide attention toward a memory-matching task-irrelevant stimulus
during visual-search tasks. Therefore, mental imagery may also guide attention
toward imagery-matching stimuli. In the present study, five experiments were
conducted to investigate the effects of visual mental imagery on visual attention
during a visual-search task. Participants were instructed to visualize a color or
an object clearly associated with a specific color, after which they were asked
to detect a colored target in the visual-search task. Reaction times for target
detection were shorter when the color of the target matched the imagined color,
and when the color of the target was similar to that strongly associated with the
imagined object, than when the color of the target did not match that of the
mental representation. This effect was not observed when participants were not
instructed to imagine a color. These results suggest that similar to VWM, visual
mental imagery guides attention toward imagery-matching stimuli.
PMID- 29654533
TI - Early tumor shrinkage is independently associated with improved overall survival
among patients with metastatic renal cell carcinoma: a validation study using the
COMPARZ cohort.
AB - PURPOSE: Early tumor shrinkage (eTS) has prognostic value in metastatic renal
cell carcinoma (mRCC). We aimed to validate the role of eTS in first line
treatment from the COMPARZ study (NCT00720941). METHODS: 1100 patients treated
with sunitinib or pazopanib were analyzed for tumor response according to RECIST
1.0. eTS was defined as tumor shrinkage by >= 10%. A landmark analysis was
performed on day (d) 42 and 90 and Cox proportional hazards regression was
computed for the prognostic effect of eTS. RESULTS: In patients with eTS median
OS was 34.1 [CI 95% 28.4; not reached (NR)] and 33.6 (CI 95% 30.1; NR) months
(mo) at d 42 and 90, respectively, compared to 19.6 (CI 95% 14.0; 28.9) and 15.1
(CI 95% 12.4; 18.7) mo for patients without eTS. There was no interaction between
type of treatment and eTS (d 42 p = 0.79; d 90 p = 0.37). eTS >= 10% remained an
independent prognostic marker in multivariable analyses at both d 42 and 90.
CONCLUSIONS: Similar results were found for eTS at the 42 and 90 days landmarks.
eTS >= 10% has prognostic relevance in mRCC and reflects a putative tool to guide
future clinical treatment.
PMID- 29654535
TI - Detecting Protein-Glycolipid Interactions Using CaR-ESI-MS and Model Membranes:
Comparison of Pre-loaded and Passively Loaded Picodiscs.
AB - Catch-and-release electrospray ionization mass spectrometry (CaR-ESI-MS),
implemented using model membranes (MMs), is a promising approach for the
discovery of glycolipid ligands of glycan-binding proteins (GBPs). Picodiscs
(PDs), which are lipid-transporting complexes composed of the human sphingolipid
activator protein saposin A and phospholipids, have proven to be useful MMs for
such studies. The present work compares the use of conventional (pre-loaded) PDs
with passively loaded PDs (PLPDs) for CaR-ESI-MS screening of glycolipids against
cholera toxin B subunit homopentamer (CTB5). The pre-loaded PDs were prepared
from a mixture of purified glycolipid and phospholipid or a mixture of lipids
extracted from tissue, while the PLPDs were prepared by incubating PDs containing
only phospholipid with glycolipid-containing lipid mixtures in aqueous solution.
Time-dependent changes in the composition of the PLPDs produced by incubation
with glycomicelles of the ganglioside GM1 were monitored using collision-induced
dissociation of the gaseous PD ions and from the extent of ganglioside binding to
CTB5 measured by ESI-MS. GM1 incorporation into PDs was evident within a few
hours of incubation. At incubation times >= 10 days, GM1 binding to CTB5 was
indistinguishable from that observed with pre-loaded PDs produced directly from
GM1 at the same concentration. Comparison of ganglioside binding to CTB5 measured
for pre-loaded PDs and PLPDs prepared from glycolipids extracted from pig and
mouse brain revealed that the PLPDs allow for the detection of a greater number
of ganglioside ligands. Together, the results of this study suggest PLPDs may
have advantages over conventionally prepared PDs for screening glycolipids
against GBPs using CaR-ESI-MS. Graphical Abstract ?.
PMID- 29654534
TI - Characterization of Isomeric Glycans by Reversed Phase Liquid Chromatography
Electronic Excitation Dissociation Tandem Mass Spectrometry.
AB - The occurrence of numerous structural isomers in glycans from biological sources
presents a severe challenge for structural glycomics. The subtle differences
among isomeric structures demand analytical methods that can provide structural
details while working efficiently with on-line glycan separation methods.
Although liquid chromatography-tandem mass spectrometry (LC-MS/MS) is a powerful
tool for mixture analysis, the commonly utilized collision-induced dissociation
(CID) method often does not generate a sufficient number of fragments at the MS2
level for comprehensive structural characterization. Here, we studied the
electronic excitation dissociation (EED) behaviors of metal-adducted,
permethylated glycans, and identified key spectral features that could facilitate
both topology and linkage determinations. We developed an EED-based, nanoscale,
reversed phase (RP)LC-MS/MS platform, and demonstrated its ability to achieve
complete structural elucidation of up to five structural isomers in a single LC
MS/MS analysis. Graphical Abstract.
PMID- 29654538
TI - Dual Pathways from Reactive Aggression to Depressive Symptoms in Children:
Further Examination of the Failure Model.
AB - The failure model posits that peer rejection and poor academic performance are
dual pathways in the association between early aggressive behavior and subsequent
depressive symptoms. We examined this model using an accelerated longitudinal
design while also incorporating proactive and reactive aggression and gender
moderation. Children in 1st, 3rd, and 5th grades (n = 912; ages 6-12; 48% female)
were rated three times annually by their primary teachers on measures of
proactive and reactive aggression, peer rejection, academic performance, and
depressive symptoms. Using Bayesian cross-classified estimation to account for
nested and planned-missing data, path models were estimated to examine whether
early reactive aggression predicted subsequent peer rejection and academic
performance, and whether these, in turn, predicted subsequent depressive
symptoms. From 1st to 3rd grade, reactive aggression predicted peer rejection
(not academic performance), proactive aggression predicted academic performance
(not peer rejection), and academic performance and peer rejection both predicted
depressive symptoms. From 3rd to 5th grade, however, neither peer rejection nor
academic performance predicted subsequent depressive symptoms. Results were not
moderated by gender. Overall, these findings provide mixed and limited support
for the failure model among school-age children. Early reactive aggression may be
a key risk factor for social problems, whereas proactive aggression may be linked
to improved academic functioning. The "dual pathways" of peer rejection and
academic performance may operate during early but not later elementary school.
Limitations and implications are discussed.
PMID- 29654537
TI - Quantification of Protein-Ligand Interactions by Laser Electrospray Mass
Spectrometry.
AB - Laser electrospray mass spectrometry (LEMS) measurement of the dissociation
constant (Kd) for hen egg white lysozyme (HEWL) and N,N',N"-triacetylchitotriose
(NAG3) revealed an apparent Kd value of 313.2 +/- 25.9 MUM for the ligand
titration method. Similar measurements for N,N',N",N"'-tetraacetylchitotetraose
(NAG4) revealed an apparent Kd of 249.3 +/- 13.6 MUM. An electrospray ionization
mass spectrometry (ESI-MS) experiment determined a Kd value of 9.8 +/- 0.6 MUM.
In a second LEMS approach, a calibrated measurement was used to determine a Kd
value of 6.8 +/- 1.5 MUM for NAG3. The capture efficiency of LEMS was measured to
be 3.6 +/- 1.8% and is defined as the fraction of LEMS sample detected after
merging with the ESI plume. When the dilution is factored into the ligand
titration measurement, the adjusted Kd value was 11.3 MUM for NAG3 and 9.0 MUM
for NAG4. The calibration method for measuring Kd developed in this study can be
applied to solutions containing unknown analyte concentrations. Graphical
Abstract.
PMID- 29654536
TI - Influence of Background H2O on the Collision-Induced Dissociation Products
Generated from [UO2NO3].
AB - Developing a comprehensive understanding of the reactivity of uranium-containing
species remains an important goal in areas ranging from the development of
nuclear fuel processing methods to studies of the migration and fate of the
element in the environment. Electrospray ionization (ESI) is an effective way to
generate gas-phase complexes containing uranium for subsequent studies of
intrinsic structure and reactivity. Recent experiments by our group have
demonstrated that the relatively low levels of residual H2O in a 2-D, linear ion
trap (LIT) make it possible to examine fragmentation pathways and reactions not
observed in earlier studies conducted with 3-D ion traps (Van Stipdonk et al. J.
Am. Soc. Mass Spectrom. 14, 1205-1214, 2003). In the present study, we revisited
the dissociation of complexes composed of uranyl nitrate cation [UVIO2(NO3)]+
coordinated by alcohol ligands (methanol and ethanol) using the 2-D LIT. With
relatively low levels of background H2O, collision-induced dissociation (CID) of
[UVIO2(NO3)]+ primarily creates [UO2(O2)]+ by the ejection of NO. However, CID
(using He as collision gas) of [UVIO2(NO3)]+ creates [UO2(H2O)]+ and UO2+ when
the 2-D LIT is used with higher levels of background H2O. Based on the results
presented here, we propose that product ion spectrum in the previous experiments
was the result of a two-step process: initial formation of [UVIO2(O2)]+ followed
by rapid exchange of O2 for H2O by ion-molecule reaction. Our experiments
illustrate the impact of residual H2O in ion trap instruments on the product ions
generated by CID and provide a more accurate description of the intrinsic
dissociation pathway for [UVIO2(NO3)]+. Graphical Abstract ?.
PMID- 29654539
TI - Polyvictimization, Emotion Dysregulation, Symptoms of Posttraumatic Stress
Disorder, and Behavioral Health Problems among Justice-Involved Youth: a Latent
Class Analysis.
AB - Among the 90% of adolescents involved in juvenile justice who have experienced
traumatic victimization, a sub-group may be at highest risk due to histories of
multiple types of interpersonal and non-interpersonal trauma, termed polyvictims.
Latent class analyses (LCA) have identified polyvictimized subgroups in several
studies of adolescents and adults, but only one study of traumatic victimization
has been conducted with justice-involved youth (Ford et al. 2013). The current
investigation replicates and extends that study's findings using LCA to assess a
wider range of victimization- and nonvictimization-related adversities and
emotion dysregulation, DSM-5 symptom clusters of posttraumatic stress disorder
(PTSD), and behavioral health problems, such as substance use, anger, depression,
somatic complaints, and suicide ideation. In a sample of juvenile detainees three
latent classes were identified: mixed adversity (MA; n = 327), violent
environment (VE; n = 337), and polyvictimization (PV; n = 145). In contrast to MA
youth, PV youth were more likely to report exposure to all forms of adversity,
and in contrast to both MA and VE youth, exposure to maltreatment and family
violence, and higher levels of emotion dysregulation, PTSD, and
depression/anxiety symptoms, somatic complaints, and suicidality. VE youth (vs.
MA youth) were more likely to report exposure to violence and non-interpersonal
traumas, and were higher on some forms of emotion dysregulation, PTSD symptoms,
anger and substance use. Findings suggest that most justice-involved youth have
experienced substantial adversity, with almost one in five identified as a
polyvictim having experienced multiple adversities, including impaired
caregivers, and evidencing the most severe problems in emotion dysregulation and
PTSD, internalizing, and externalizing symptoms.
PMID- 29654540
TI - A Randomized Trial Evaluating School-Based Mindfulness Intervention for Ethnic
Minority Youth: Exploring Mediators and Moderators of Intervention Effects.
AB - The study examined the efficacy of a school-based mindfulness intervention on
mental health and emotion regulation outcomes among adolescents in a wait-list
controlled trial. The study also explored mediators and moderators of
intervention effects. A total of 145 predominantly ethnic minority (Asian and
Latino) 9th grade students with elevated mood symptoms were randomized to receive
a 12-week mindfulness intervention at the start of the academic year, or in the
second semester of the year. Students completed measures of emotion regulation
and mental health symptoms at baseline, post-intervention, and 3-month follow-up.
Intent-to-treat analyses revealed significant treatment effects of the
mindfulness intervention for internalizing symptoms and perceived stress at post
treatment. Pooled pre-to-post treatment analyses of the entire sample revealed a
small effect size for attention problems, medium for internalizing and
externalizing problems, and large for perceived stress. We also found a small
effect size for cognitive reappraisal, medium for expressive suppression,
emotional processing, emotional expression, and rumination and large for
avoidance fusion. Mediation analyses showed that treatment effects on
internalizing symptoms and perceived stress were mediated by reductions in
expressive suppression and rumination. Moderation analyses revealed that
treatment effects were larger among youth with more severe problems at baseline
for internalizing problems, externalizing problems, and perceived stress.
However, for attention problems, students with lower severity at baseline
appeared to have larger treatment gains. The study provided evidence that
mindfulness intervention was beneficial for low-income ethnic minority youth in
reducing perceived stress and internalizing problems, and improving emotion
regulation outcomes. Furthermore, mindfulness training was associated with
reduced mental health symptoms via improvements in emotion regulation.
PMID- 29654541
TI - Electric ultrafreezer (- 150 degrees C) as an alternative for zebrafish sperm
cryopreservation and storage.
AB - Zebrafish sperm cryopreservation is a fundamental methodology to manage and back
up valuable genetic resources like transgenic and mutant strains.
Cryopreservation usually requires liquid nitrogen for storage, which is expensive
and hazardous. Our objective was to evaluate if electric ultrafreezers (- 150
degrees C) are a viable alternative for zebrafish sperm storage. Zebrafish sperm
was cryopreserved in the same conditions (- 20 degrees C/min), stored either in
liquid nitrogen or in an ultrafreezer, and thawed after 1 week, 1 month, and 3
months. Sperm motility, membrane integrity, and fertilization ability were
assessed. There were no significant differences in motility and hatching rate
throughout storage time. Additionally, we aimed at understanding if
cryopreservation directly in an ultrafreezer (- 66 degrees C/min) could improve
post-thaw sperm quality. Freezing at - 20 degrees C/min was performed as before,
and compared to samples cryopreserved with a fast cooling rate by placing
directly in an ultrafreezer (- 66 degrees C/min). Sperm quality was assessed
according to motility, viability, DNA fragmentation, and apoptosis (annexin V).
The - 66 degrees C/min cooling rate showed significantly higher membrane and DNA
integrity, and lower number of cells in late apoptosis in comparison to the other
treatments. This study showed that zebrafish sperm cryopreservation and storage
in an ultrafreezer system is possible and a fast cooling rate directly in
ultrafreezer improves post-thaw sperm quality.
PMID- 29654542
TI - Pentosan Polysulfate Treatment of Mucopolysaccharidosis Type IIIA Mice.
AB - : Overall Goal: This study was designed to evaluate the impact of pentosan
polysulfate (PPS) treatment on mice with mucopolysaccharidosis (MPS) type IIIA
(Sanfilippo A syndrome; OMIM 252900). PROTOCOL: Three groups of MPS IIIA mice
were evaluated: 1-week-old mice treated with subcutaneous (subQ) PPS at 25 mg/kg
once weekly for 31 weeks (group 1); 5-month-old mice treated with subQ PPS once
weekly at 50 mg/kg for 12 weeks (group 2); and 5-week-old mice treated by
continual intracerebroventricular (ICV) PPS infusion for 11 weeks (60
MUg/kg/day). Treated MPS IIIA mice and controls were assessed by measuring plasma
cytokine levels, histologic analyses of systemic organs, and analyses of various
neuroinflammatory, neurodegenerative, and lysosomal disease markers in their
brains. Neurobehavioral testing also was carried out. RESULTS: As seen in other
MPS animal models, subQ PPS treatment reduced plasma cytokine levels and
macrophage infiltration in systemic tissues. ICV administration did not elicit
these systemic effects. SubQ PPS administration also significantly impacted brain
neuropathology, inflammation, and behavior. The effect of early subQ treatment
was more significant than dose. Surprisingly, ICV PPS treatment had intermediate
effects on most of these brain markers, perhaps due to the limited dose and/or
duration of treatment. Consistent with these neuropathological findings, we also
observed significant improvements in the hyperactivity/anxiety and learning
behaviors of the MPS IIIA mice treated with early subQ PPS.
PMID- 29654543
TI - Muscle Weakness, Cardiomyopathy, and L-2-Hydroxyglutaric Aciduria Associated with
a Novel Recessive SLC25A4 Mutation.
AB - BACKGROUND: Mutations in SLC25A4 (syn. ANT1, Adenine nucleotide translocase, type
1) are known to cause either autosomal dominant progressive external
ophthalmoplegia (adPEO) or recessive mitochondrial myopathy, hypertrophic
cardiomyopathy, and lactic acidosis. METHODS AND RESULTS: Whole exome sequencing
in a young man with myopathy, subsarcolemmal mitochondrial aggregations,
cardiomyopathy, lactic acidosis, and L-2-hydroxyglutaric aciduria (L-2-HGA)
revealed a new homozygous mutation in SLC25A4 [c.653A>C, NM_001151], leading to
the replacement of a highly conserved glutamine by proline [p.(Q218P); NP_001142]
that most likely affects the folding of the ANT1 protein. No pathogenic mutation
was found in L2HGDH, which is associated with "classic" L-2-HGA. Furthermore, L-2
HGDH enzymatic activity in the patient fibroblasts was normal. Long-range PCR and
Southern blot confirmed absence of mtDNA-deletions in blood and muscle.
CONCLUSION: The disturbed ADP/ATP transport across the inner mitochondrial
membrane may lead to an accumulation of different TCA-cycle intermediates such as
2-ketoglutarate (2-KG) in our patient. As L-2-HG is generated from 2-KG we
hypothesize that the L-2-HG increase is a secondary effect of 2-KG accumulation.
Hence, our report expands the spectrum of laboratory findings in ANT1-related
diseases and hints towards a connection with organic acidurias.
PMID- 29654544
TI - Alkaptonuria Severity Score Index Revisited: Analysing the AKUSSI and Its
Subcomponent Features.
AB - BACKGROUND: Alkaptonuria (AKU) is a rare disorder with no licensed treatment;
nitisinone may reduce symptoms and progression. The All Alkaptonuria Severity
Score Index (AKUSSI) measures disease severity in clinical, joint and spine
domains, with 57 subcomponent feature scores. Our primary aim was to assess tools
for validating scores such as the AKUSSI by detecting relationships between
features both before and during nitisinone treatment. METHODS: AKUSSI
measurements from nitisinone-treated patients visiting the National AKU Centre
between 01-Jun-2012 and 31-May-2016 were analysed pre-treatment, at first
treatment and annually to Year 3 post-treatment. Principal component analysis
(PCA) and redundancy analysis assessed whether any AKUSSI features contributed
little information to the overall score. RESULTS: 65 AKU patients were included:
17 with a pre-treatment AKUSSI measurement (10 later received nitisinone) and 48
with a first measurement at their first treatment visit. In PCA, the first four
principal components (PC1-PC4) explained >=50% of AKUSSI variance at all visits
(54.1-87.3%). Some features regularly dominated their domain's PC1: ears, aortic
sclerosis, and nasal/temporal eye scores (clinical), pain-related scores (joint)
and cervical, lumbar and thoracic spine scores (spine). Only the right-hand/wrist
score was consistently redundant. Right eye (nasal) and left ear scores were
redundant pre-treatment, potentially correlating with other dominant clinical PC1
features. CONCLUSIONS: PCA and redundancy analysis supported the AKUSSI as a
robust AKU disease severity measure, although some AKUSSI features could be
removed for simplicity. For small patient populations and rare diseases, PCA and
redundancy analysis together can aid validation of disease severity metrics.
PMID- 29654545
TI - Cognitive Impairments and Subjective Cognitive Complaints in Fabry Disease: A
Nationwide Study and Review of the Literature.
AB - Fabry disease is a rare progressive X-linked lysosomal storage disorder which
leads to neuropathic pain, organ dysfunction and cerebral pathology. Few studies
have investigated cognitive impairment in Fabry disease and these previous
studies are difficult to compare due to heterogeneous methodological designs and
small cohorts. The objective was to investigate the frequency of cognitive
impairment in the Danish nationwide cohort of Fabry patients. Further, we
examined if subjective cognitive complaints were associated with objective
cognitive performances in this patient group. Neuropsychological tests (17
measures) and evaluation of subjective complaints with the Perceived Deficits
Questionnaire (PDQ) were applied in 41 of 63 patients. According to an a priori
definition, 12 patients (29.3%) were cognitively impaired. Tests tapping
psychomotor speed, attention and executive functions had the highest frequency of
impairment. In general, disease related variables as Mainz Severity Score Index,
enzyme activity and years since onset and depression did not have a significant
impact on the categorisation of patients as being cognitively impaired or non
impaired. Thus, cognitive impairment in Fabry disease does not seem to occur
solely by having symptoms for many years or by having high disease burden.
However, impaired neuropsychological test results were significantly more common
in patients with cerebrovascular disease. Only three patients had scores in the
abnormal range of the PDQ scale and subjective perceptions of cognition were not
associated with cognitive performances. The levels of subjective cognitive
complaints were generally very low in the studied patients demonstrating that the
absence of subjective cognitive complaints does not exclude the presence of
objective cognitive problems.
PMID- 29654546
TI - Neonatal Onset Interstitial Lung Disease as a Primary Presenting Manifestation of
Mucopolysaccharidosis Type I.
AB - We describe two cases of neonatal onset interstitial lung disease eventually
diagnosed as mucopolysaccharidosis type I (MPS I). In both cases, evaluation led
to lung biopsy, pathology review, and identification of glycogen deposition.
Pulmonary interstitial glycogenosis (PIG) was considered as a clinical diagnosis
in case one; however, further review of electron microscopy (EM) was more
consistent with MPS I rather than PIG. Both cases were confirmed to have MPS I by
enzyme and molecular analysis. Neonatal interstitial lung disease is an atypical
presentation for MPS I which is likely under-recognized. Diagnosis through
clinical guidelines and a multidisciplinary approach had a major impact on
patient management. The diagnosis of MPS I prompted timely initiation of enzyme
replacement therapy (ERT) and the patients ultimately underwent hematopoietic
stem cell transplantation (HSCT) to improve symptomatic outcomes. In addition to
treatment, immediate precautionary recommendations were made to avoid potentially
catastrophic outcomes associated with cervical instability. These cases add to
the clinical spectrum of MPS I in the newborn period. They further illustrate the
difficulties in early recognition of the disease, and importance of a definitive
diagnosis of MPS I in infants with interstitial lung disease.
PMID- 29654547
TI - Serum Amino Acid Profiling in Citrin-Deficient Children Exhibiting Normal Liver
Function During the Apparently Healthy Period.
AB - BACKGROUND: Citrin (mitochondrial aspartate-glutamate transporter) deficiency
causes the failures in both carbohydrate-energy metabolism and the urea cycle,
and the alterations in the serum levels of several amino acids in the stages of
newborn (NICCD) and adult (CTLN2). However, the clinical manifestations are
resolved between the NICCD and CTLN2, but the reasons are still unclear. This
study evaluated the serum amino acid profile in citrin-deficient children during
the healthy stage. METHODS: Using HPLC-MS/MS analysis, serum amino acids were
evaluated among 20 citrin-deficient children aged 5-13 years exhibiting normal
liver function and 35 age-matched healthy controls. RESULTS: The alterations in
serum amino acids characterized in the NICCD and CTLN2 stages were not observed
in the citrin-deficient children. Amino acids involved in the urea cycle,
including arginine, ornithine, citrulline, and aspartate, were comparable in the
citrin-deficient children to the respective control levels, but serum urea was
twofold higher, suggestive of a functional urea cycle. The blood sugar level was
normal, but glucogenic amino acids and glutamine were significantly decreased in
the citrin-deficient children compared to those in the controls. In addition,
significant increases of ketogenic amino acids, branched-chain amino acids
(BCAAs), a valine intermediate 3-hydroxyisobutyrate, and beta-alanine were also
found in the citrin-deficient children. CONCLUSION: The profile of serum amino
acids in the citrin-deficient children during the healthy stage showed different
characteristics from the NICCD and CTLN2 stages, suggesting that the failures in
both urea cycle function and energy metabolism might be compensated by amino acid
metabolism. SYNOPSIS: In the citrin-deficient children during the healthy stage,
the characteristics of serum amino acids, including decrease of glucogenic amino
acids, and increase of ketogenic amino acids, BCAAs, valine intermediate, and
beta-alanine, were found by comparison to the age-matched healthy control
children, and it suggested that the characteristic alteration of serum amino
acids may be resulted from compensation for energy metabolism and ammonia
detoxification.
PMID- 29654548
TI - Reduced Muscle Strength in Barth Syndrome May Be Improved by Resistance Exercise
Training: A Pilot Study.
AB - BACKGROUND: Cardioskeletal myopathy is thought to contribute to exercise
intolerance, and reduced quality of life (QOL) in Barth syndrome (BTHS). The
objectives of this study were to examine: (1) skeletal muscle
strength/performance in adolescents and young adults with BTHS and (2) the
safety, feasibility, and initial efficacy of 12 weeks of progressive resistance
exercise training (RET) on muscle strength, mass, and performance, bone mineral
density, exercise tolerance, cardiac function, and QOL in individuals with BTHS.
METHODS: Individuals with BTHS (n = 9, 23 +/- 6 years), and age-, sex-, and
activity level-matched unaffected Controls (n = 7, 26 +/- 5 years) underwent
baseline testing to assess muscle performance, exercise capacity, cardiac
structure and function, body composition, and health-related QOL. Subsequently, n
= 3 participants with BTHS performed 12 weeks of supervised RET (60 min per
session, 3 sessions/week). All testing was repeated post-RET. RESULTS: BTHS had
lower strength and lean muscle mass compared to Controls (all p < 0.05). BTHS
also had diminished lower extremity, upper extremity, thoracic spine, lumbar
spine, and pelvic bone mineral density (all p < 0.05) and reduced exercise
capacity (p < 0.001) compared to Controls. RET was well-tolerated and attended,
was not associated with any adverse events, and significantly increased muscle
strength (p < 0.05). CONCLUSIONS: Individuals with BTHS demonstrate reduced
muscle strength and mass, bone mineral density, and exercise capacity. RET
appears safe and well-tolerated in BTHS and promotes increased muscle strength.
Larger studies are needed to confirm these improvements and to fully determine
the effects of RET in individuals with BTHS.
PMID- 29654550
TI - Bicompartmental (uni plus patellofemoral) versus total knee arthroplasty: a match
paired study.
AB - BACKGROUND: Osteoarthritis (OA) of the knee, whether primary or post-traumatic,
does not always involve all three compartments (tibiofemoral medial and lateral
and the patellofemoral ones). Bicompartmental knee arthroplasty (BKA) was
proposed as a good alternative to total knee arthroplasty when two of the three
knee compartments were affected. MATERIALS AND METHODS: We performed a
retrospective comparative study collecting all BKAs performed between March 2010
and January 2016. During this period, we treated 27 patients with BKA for medial
or lateral and patellofemoral OA. Seven of them were lost to follow-up and were
not included in the study. Group A (BKA group) was compared to a homogeneous
group of 20 patients who underwent TKA during the same period (group B). RESULTS:
Patients treated with TKA were younger than those treated with BKA (mean age 65
vs. 67.2; p = 0.2149). BKA resulted in longer mean operating time (87 vs. 82.4
min; p = 0.2983), less blood loss (413 vs. 458 ml; p = 0.0052) but higher blood
transfusion rate (12 vs. 10%). Medium follow-up was 34 months for BKA group and
38 months for TKA group. No statistically significant differences were found in
KSS score between the two groups (KSS score 92.3 for BKA, 94.5 for TKA; p =
0.5221; KSS function was 87.2 for BKA and 89.2 for TKA; p = 0.4985). CONCLUSION:
The most important finding of the present study was that although BKA seemed to
be theoretically more favorable in terms of functional recovery and blood loss,
patients of group A had lower KSS score and higher transfusion rate than those of
group B. Our data confirm that BKA could be proposed as an alternative to TKA,
especially in young and high-demanding patients.
PMID- 29654549
TI - Severe Leukoencephalopathy with Clinical Recovery Caused by Recessive BOLA3
Mutations.
AB - AIM: To identify the genetic aetiology of a distinct leukoencephalopathy causing
acute neurological regression in infancy with apparently complete clinical
recovery. METHODS: We performed trio whole genome sequencing (WGS) to determine
the genetic basis of the disorder. Mitochondrial function analysis in cultured
patient fibroblasts was undertaken to confirm the pathogenicity of candidate
variants. RESULTS: The patient presented at 18 months with acute hemiplegia and
cognitive regression without obvious trigger. This was followed by clinical
recovery over 4 years. MRI at disease onset revealed bilateral T2 hyperintensity
involving the periventricular and deep white matter and MR spectroscopy of
frontal white matter demonstrated a lactate doublet. Lactate levels and
mitochondrial respiratory chain enzyme activity in muscle, liver and fibroblasts
were normal. Plasma glycine was elevated. The MRI abnormalities improved. WGS
identified compound heterozygous variants in BOLA3: one previously reported
(c.136C>T, p.Arg46*) and one novel variant (c.176G>A, p.Cys59Tyr). Analysis of
cultured patient fibroblasts demonstrated deficient pyruvate dehydrogenase (PDH)
activity and reduced quantity of protein subunits of mitochondrial complexes I
and II, consistent with BOLA3 dysfunction. Previously reported cases of multiple
mitochondrial dysfunctions syndrome 2 (MMDS2) with hyperglycinaemia caused by
BOLA3 mutations have leukodystrophy with severe, progressive neurological and
multisystem disease. CONCLUSIONS: We report a novel phenotype for MMDS2
associated with apparently complete clinical recovery and partial resolution of
MRI abnormalities. We have identified a novel disease-causing variant in BOLA3
validated by functional cellular studies. Our patient's clinical course broadens
the phenotypic spectrum of MMDS2 and highlights the potential for some genetic
leukoencephalopathies to spontaneously improve.
PMID- 29654551
TI - Reconstruction of failed acetabular component in the presence of severe
acetabular bone loss: a systematic review.
AB - Acetabular revision especially in the presence of severe bone loss is
challenging. There is a paucity of literature critiquing contemporary techniques
of revision acetabular reconstruction and their outcomes. The purpose of this
study was to systematically review the literature and to report clinical outcomes
and survival of contemporary acetabular revision arthroplasty techniques
(tantalum metal shells, uncemented revision jumbo shells, reinforced cages and
rings, oblong shells and custom-made triflange constructs). Full-text papers and
those with an abstract in English published from January 2001 to January 2016
were identified through international databases. A total of 50 papers of level IV
scientific evidence, comprising 2811 hips in total, fulfilled the inclusion
criteria and were included. Overall, patients had improved outcomes irrespective
of the technique of reconstruction as documented by postoperative hip scores. Our
pooled analysis suggests that oblong cups components had a lower failure rate
compared with other different materials considered in this review. Custom-made
triflange cups had one of highest failure rates. However, this may reflect the
complexity of revisions and severity of bone loss. The most common postoperative
complication reported in all groups was dislocation. This review confirms
successful acetabular reconstructions using diverse techniques depending on the
type of bone loss and highlights key features and outcomes of different
techniques. In particular, oblong cups and tantalum shells have successful
survivorship.
PMID- 29654552
TI - Investigations of cortical and cancellous clavicle bone patterns reveal an
explanation for the load transmission and the higher incidence of lateral
clavicle fractures in the elderly: a CT-based cadaveric study.
AB - Clavicle fracture is known to be one of the injuries frequently occurring in the
elderly. The purpose of this study was to characterise the internal structures
that might correlate with the higher incidence of lateral clavicle fracture in
the elderly. Twenty clavicles were collected from ten Japanese cadavers ranging
from 70 to 99 years (83.6 +/- 7.6), scanned, and three-dimensional computed
tomography (3D CT) images reconstructed. The clavicle lengths were divided into
five equal segments. The four demarcation lines from the acromial end of the
clavicle were defined as the observation points A, B, C, and D. The clavicles
were then measured and analysed. It was shown that along the clavicles
observation point A was the widest and points B and C the narrowest. Regarding
the thickness, point D was the thickest among all four points, and there was no
significant difference among the points A, B, and C. No male-female difference
was found in either the cortical or cancellous bone ratio at all four points.
Interestingly, the highest cortical bone ratio was observed at point B and the
ratio was significantly decreased toward either end. The cancellous bone ratio
was highest at point C and decreased toward both ends. Further observations
showed that there were rays of trabeculae around point A, spreading from the
superior-posterior edge or anterior edge toward each other and toward the lateral
end and point B. Characteristics in the cortical and cancellous bone ratios and
cancellous bone patterns might shed light on understanding the fractures in the
lateral portion of the clavicle in the elderly.
PMID- 29654553
TI - Study on real-time force feedback for a master-slave interventional surgical
robotic system.
AB - In robot-assisted catheterization, haptic feedback is important, but is currently
lacking. In addition, conventional interventional surgical robotic systems
typically employ a master-slave architecture with an open-loop force feedback,
which results in inaccurate control. We develop herein a novel real-time master
slave (RTMS) interventional surgical robotic system with a closed-loop force
feedback that allows a surgeon to sense the true force during remote operation,
provide adequate haptic feedback, and improve control accuracy in robot-assisted
catheterization. As part of this system, we also design a unique master control
handle that measures the true force felt by a surgeon, providing the basis for
the closed-loop control of the entire system. We use theoretical and empirical
methods to demonstrate that the proposed RTMS system provides a surgeon (using
the master control handle) with a more accurate and realistic force sensation,
which subsequently improves the precision of the master-slave manipulation. The
experimental results show a substantial increase in the control accuracy of the
force feedback and an increase in operational efficiency during surgery.
PMID- 29654554
TI - Evaluation of Epstein-Barr Virus Salivary Shedding in HIV/AIDS Patients and HAART
Use: A Retrospective Cohort Study.
AB - Little data is available on the evaluation of the occurrence rates of Epstein
Barr virus (EBV) in saliva and relationship with highly active antiretroviral
therapy (HAART) use in HIV/AIDS patients in China. We conducted a retrospective
cohort study of EBV serological tests for HIV/AIDS patients who were treated in
the hospitals for infectious diseases in Wuxi and Shanghai, China from May 2016
to April 2017. The EBV-seropositive samples were identified by ELISA. EBV
specific primers and probes were used for the quantitative detection of viral DNA
from saliva via quantitative real-time polymerase chain reaction. CD4 cell counts
of the HIV/AIDS patients were detected by a flow cytometry. A total of 372
HIV/AIDS patients were ultimately selected and categorized for this retrospective
cohort study. For EBV IgG and IgM, the HIV/AIDS HAART use (H) and non-HAART use
(NH) groups had significantly higher seropositive rates than the HIV-negative
control group. The HIV/AIDS (NH) group had the highest seropositive rate (IgG,
94.27%; IgM, 68.98%) and the highest incidence of EBV reactivation or infection.
For salivary EBV DNA-positive rates and quantities, the HIV/AIDS (H) (73.69%) and
the HIV/AIDS (NH) (100%) groups showed significantly higher values than the HIV
negative control group (35.79%, > twofold). Further, the salivary EBV DNA
negative population had significantly higher CD4 cell counts than the EBV DNA
positive population in the HIV/AIDS (H) group and the HIV/AIDS (NH) groups. Thus,
HAART use is beneficial in decreasing the EBV salivary shedding in HIV/AIDS
patients and indirectly decreases EBV transmission risk.
PMID- 29654556
TI - The effect of resistant starch (RS) on the bovine rumen microflora and isolation
of RS-degrading bacteria.
AB - Resistant starch (RS) in the diet reaches the large intestine without
degradation, where it is decomposed by the commensal microbiota. The fermentation
of RS produces secondary metabolites including short-chain fatty acids (SCFAs),
which have been linked to a variety of physiological and health effects.
Therefore, the availability of RS as a prebiotic is a current issue. The
objectives of this study were (1) to use metagenomics to observe microbial flora
changes in Bos taurus coreanae rumen fluid in the presence of RS and (2) to
isolate RS-degrading microorganisms. The major microbial genus in a general rumen
fluid was Succiniclasticum sp., whereas Streptococcus sp. immediately
predominated after the addition of RS into the culture medium and was then
drastically replaced by Lactobacillus sp. The presence of Bifidobacterium sp. was
also observed continuously. Several microorganisms with high RS granule-degrading
activity were identified and isolated, including B. choerinum FMB-1 and B.
pseudolongum FMB-2. B. choerinum FMB-1 showed the highest RS-hydrolyzing activity
and degraded almost 60% of all substrates tested. Coculture experiments
demonstrated that Lactobacillus brevis ATCC 14869, which was isolated from human
feces, could grow using reducing sugars generated from RS by B. choerinum FMB-1.
These results suggest that Bifidobacterium spp., especially B. choerinum FMB-1,
are the putative primary degrader of RS in rumen microbial flora and could be
further studied as probiotic candidates.
PMID- 29654555
TI - Cost-Effectiveness of Fixed-Dose Combinations Therapies for Chronic Obstructive
Pulmonary Disease Treatment.
AB - BACKGROUND AND OBJECTIVE: Chronic obstructive pulmonary disease (COPD), a
progressive lung disorder associated with decline of respiratory function,
affects 10.2% of Spanish adults (40-80 years of age). This study aimed to assess
the cost-effectiveness of two fixed-dose combinations of long-acting muscarinic
antagonist and long-acting beta2-agonist therapies for COPD, with Spanish
National Health System perspective. METHODS: A Markov model with five health
states based on severity levels defined by GOLD 2010 criteria was used to
simulate in monthly cycles the evolution along a 5-year period of a cohort of
moderate-to-severe COPD patients, treated with aclidinium-formoterol (ACL/FF)
400/12 ug or tiotropium-olodaterol (TIO/OLO) 5/5 ug fixed-dose combinations.
Clinical data on lung-function improvement were obtained from a network meta
analysis and applied to mean baseline forced-expiratory-volume in 1 s (FEV1) for
the first 24-weeks period. Natural history for lung-function decline (41 ml/year)
was applied until the end of simulation. Risk of exacerbation and pneumonia
occurrence were considered. Pharmaceutical costs were calculated with dosages
according to indication and public ex-factory prices. The health state-specific
disease management and event costs, and utilities were derived from the
literature. Total costs (? 2016) and benefits [life-year-gained (LYG) and quality
adjusted-life-year (QALY)] were discounted (3.0% yearly). Sensitivity analyses
were performed. RESULTS: Both therapies provided the same outcomes (4.073 LYG and
2.928 QALY) at 5-year period. ACL/FF 400/12 ug provided marginally lower costs (?
- 332) compared to TIO/OLO 5/5 ug. CONCLUSION: ACL/FF 400/12 ug was a cost-saving
therapy in patients with moderate-to-severe COPD in Spain, and provided
equivalent effects compared to TIO/OLO 5/5 ug.
PMID- 29654557
TI - Co-amplification of EBNA-1 and PyLT through dhfr-mediated gene amplification for
improving foreign protein production in transient gene expression in CHO cells.
AB - Despite the relatively low transfection efficiency and low specific foreign
protein productivity (qp) of Chinese hamster ovary (CHO) cell-based transient
gene expression (TGE) systems, TGE-based recombinant protein production
technology predominantly employs CHO cells for pre-clinical research and
development purposes. To improve TGE in CHO cells, Epstein-Barr virus nuclear
antigen-1 (EBNA-1)/polyoma virus large T antigen (PyLT)-co-amplified recombinant
CHO (rCHO) cells stably expressing EBNA-1 and PyLT were established using
dihydrofolate reductase/methotrexate-mediated gene amplification. The level of
transiently expressed Fc-fusion protein was significantly higher in the EBNA
1/PyLT-co-amplified pools compared to control cultures. Increased Fc-fusion
protein production by EBNA-1/PyLT-co-amplification resulted from a higher qp
attributable to EBNA-1 but not PyLT expression. The qp for TGE-based production
with EBNA-1/PyLT-co-amplified rCHO cells (EP-amp-20) was approximately 22.9-fold
that of the control culture with CHO-DG44 cells. Rather than improved
transfection efficiency, this cell line demonstrated increased levels of mRNA
expression and replicated DNA, contributing to an increased qp. Furthermore,
there was no significant difference in N-glycan profiles in Fc-fusion proteins
produced in the TGE system. Taken together, these results showed that the use of
rCHO cells with co-amplified expression of the viral elements EBNA-1 and PyLT
improves TGE-based therapeutic protein production dramatically. Therefore, EBNA
1/PyLT-co-amplified rCHO cells will likely be useful as host cells in CHO cell
based TGE systems.
PMID- 29654558
TI - Now is the time to start routinely using chemical shift imaging in the spine.
PMID- 29654559
TI - Volumetric dynamic oxygen-enhanced MRI (OE-MRI): comparison with CT Brody score
and lung function in cystic fibrosis patients.
AB - OBJECTIVES: To demonstrate, in patients with cystic fibrosis (CF), the
correlation between three-dimensional dynamic oxygen-enhanced magnetic resonance
imaging (OE-MRI) measurements and computed tomography Brody score (CF-CT) and
lung function testing (LFT). METHODS: Twenty-one patients (median age, 25 years;
female, n = 8) with a range of CF lung disease and five healthy volunteers
(median age, 31 years; female, n = 2) underwent OE-MRI performed on a 1.5-T MRI
scanner. Coronal volumes were acquired while patients alternately breathed room
air and 100% oxygen. Pre-oxygen T1 was measured. Dynamic series of T1-weighted
volumes were then obtained while breathing oxygen. T1-parameter maps were
generated and the following OE-MRI parameters were measured: oxygen uptake
(DeltaPO2max), wash-in time and wash-out time. High-resolution CT and LFT were
performed. The relationship between CF-CT, LFT and OE-MRI parameters were
evaluated using Pearson correlation for the whole lung and regionally. RESULTS:
Mean CF-CT was 24.1+/-17.1. Mean DeltaPO2max and mean wash-in as well as skewness
of wash-out showed significant correlation with CF-CT (DeltaPO2max: r = -0.741, p
< 0.001; mean wash-in: r = 0.501, p = 0.017; skewness of wash-out: r = 0.597, p =
0.001). There was significant correlation for the whole lung and regionally
between LFT parameters and OE-MR (DeltaPO2max: r = 0.718, p < 0.001; wash-in: r =
-0.576, p = 0.003; wash-out skewness: r = -0.552, p = 0.004). CONCLUSIONS:
Functional lung imaging using OE-MRI has the capability to assess the severity of
CF lung disease and shows a significant correlation with LFT and CF-CT. KEY
POINTS: * Oxygen-enhanced MRI might play a future role in evaluation and follow
up of cystic fibrosis. * Heterogeneity of parameter maps reflects localised
functional impairment in cystic fibrosis. * Avoidance of cumulative radiation
burden in CF is feasible using OE-MRI.
PMID- 29654560
TI - Assessment of an advanced virtual monoenergetic reconstruction technique in
cerebral and cervical angiography with third-generation dual-source CT:
Feasibility of using low-concentration contrast medium.
AB - OBJECTIVES: To investigate the feasibility of low-concentration contrast media
(LC-CM) in cerebral and cervical dual-energy CT angiography (DE-CTA) using an
advanced monoenergetic (Mono+) reconstruction technique. METHODS: Sixty-five
consecutive patients prospectively selected to undergo cerebral and cervical DE
CTA were randomised into two groups: 32 patients (63.7 +/- 9.7 years) in the high
concentration contrast medium (HC-CM) group with iopromide 370 and 33 patients
(60.7 +/- 10.8 years) in the low-concentration contrast medium (LC-CM) group with
iodixanol 270. Traditional monoenergetic (Mono) and Mono+ images from 40 to 100
keV levels (at 10-keV intervals) and the standard mixed (Mixed, 120 kVp
equivalent) images were reconstructed. Subjective image quality parameters
included the contrast-to-noise ratio (CNR) and objective image quality parameters
were evaluated and compared between the two groups. RESULTS: The 40-keV Mono+
images in the LC-CM group showed comparable objective CNR (common carotid
arteries: 83.7 +/- 24.5 vs. 78.1 +/- 23.2; internal carotid arteries: 82.2 +/-
26.8 vs. 76.8 +/- 24.1; middle cerebral arteries: 72.5 +/- 24.6 vs. 70.6 +/-
19.2; all p > 0.05) and subjective image scores (3.95 +/- 0.19 vs. 3.83 +/- 0.35;
p > 0.05) compared with Mixed images in the HC-CM group. CONCLUSION: The Mono+
reconstruction technique could reduce the concentration of iodinated CM in the
diagnosis of cerebral and cervical angiography. KEY POINTS: * Mono+ shows
decreased noise and superior CNR compared with Mono. * The 40-keV Mono+ images
show the highest CNR in the LC-CM group. * The Mono+ reconstruction technique
could reduce the concentration of iodinated CM.
PMID- 29654562
TI - Physical, chemical, and biological properties of white MTA with additions of
AlF3.
AB - OBJECTIVES: Addition of aluminum fluoride (AlF3) to MTA was tested to inhibit
dental discoloration. MATERIALS AND METHODS: MTA Angelus with 0, 5, 15, and 45%
AlF3 were tested. The set cements were characterized using scanning electron
microscopy, energy-dispersive spectroscopy, and X-ray diffraction. Radiopacity
and setting time were analyzed according to ANSI/ADA 57 and ASTM C266-08. Volume
change was evaluated using volumetric micro-CT analysis. The pH and calcium ion
release were assessed after 3 and 24 h and 28 days. Dental discoloration in
contact with the cements was assessed after 24 h and 28 and 90 days of contact
with bovine and human dentine. Tissue reaction to subcutaneous implantation in
rats was examined after 30 and 60 days. RESULTS: AlF3 altered the microstructure
of MTA. The addition of 5% AlF3 did not significantly alter the radiopacity,
setting time, and volume change (p > 0.05). pH and calcium ion release
significantly increased with addition of AlF3 (p > 0.05). All the tested
proportions of AlF3 prevented the dental darkening verified for MTA Angelus in
bovine and human teeth. AlF3 did not interfere in inflammatory response of MTA in
all periods of analysis; otherwise, lower amounts showed less intense
inflammatory infiltrate. CLINICAL RELEVANCE: AlF3 prevents destabilization of
bismuth oxide and consequent tooth darkening, frequently verified in clinical
practice when using white MTA. CONCLUSIONS: The use of 5% of AlF3 in combination
to MTA resulted in a cement that did not result in dental discoloration and did
not affect significantly physical, chemical, and biological properties.
PMID- 29654561
TI - Arterial spin-labeling is useful for the diagnosis of residual or recurrent
meningiomas.
AB - OBJECTIVES: ASL is useful in evaluating tumour blood flow and in detecting
hypervascular tumours. The purpose of this study was to assess the additive value
of ASL to non-contrast and contrast-enhanced (NC/CE)-T1WI for diagnosing residual
or recurrent meningiomas. METHODS: This retrospective study included 25
postoperative patients (20 women, 5 men; median age, 65 [32-85] years) with and
25 gender- and age-matched postoperative patients without residual or recurrent
meningiomas. ASL was performed using a pseudocontinuous method. Seven independent
observers (two radiology residents, two general radiologists and three
neuroradiologists) participated in two reading sessions consisting of only NC/CE
T1WI (first session) or NC/CE-T1WI with ASL (second session). We evaluated the
sensitivity and diagnostic performance for the detection of residual or recurrent
meningiomas. The diagnostic performance was assessed using a figure of merit
(FOM) calculated via jackknife free-response receiver-operating characteristics.
Statistical analysis was performed with paired t tests, with a significance level
of p < .05. RESULTS: The sensitivities were as follows (NC/CE-T1WI vs. NC/CE-T1WI
with ASL): residents (62.1% vs. 70.7%), general radiologists (75.9% vs. 87.9%),
neuroradiologists (97.7% vs. 100%) and all observers (81.3% vs. 88.2%). The FOMs
were as follows (NC/CE-T1WI vs. NC/CE-T1WI with ASL): residents (0.76 vs. 0.83),
general radiologists (0.83 vs. 0.93), neuroradiologists (0.95 vs. 0.99) and all
observers (0.86 vs. 0.93). The addition of ASL significantly improved the
diagnostic parameters for all observers except neuroradiologists (p <. 05).
CONCLUSIONS: ASL improved the detection rate of residual or recurrent meningiomas
on NC/CE-T1WI among both radiology residents and general radiologists. KEY
POINTS: * ASL improved diagnostic performance for residual/recurrent meningioma
compare to NC/CE-T1WI alone. * Diagnostic sensitivity was increased after adding
ASL compared with NC/CE-T1WI. * FOM was increased after adding ASL compared with
NC/CE-T1WI.
PMID- 29654563
TI - Spectrophotometric analysis of discoloration and internal bleaching after use of
different antibiotic pastes.
AB - OBJECTIVES: To investigate teeth's antibiotic-induced color differences after
bleaching using two different techniques. MATERIALS AND METHODS: One hundred
twenty extracted maxillar human incisors were examined. The specimens were
randomly divided into six groups, each receiving one of six antibiotic paste
fillings: (1) triple antibiotic paste (TAP) with minocycline, (2) double
antibiotic paste (DAP), (3) TAP with amoxicillin, (4) TAP with cefaclor, (5) TAP
with doxycycline, and (6) no filling (control group). Spectrophotometric
measurements were obtained at baseline and then during the first, second, and
third weeks after paste placement. The specimens discolored by antibiotics pastes
were randomly divided into two subgroups: (1) internal bleaching with hydrogen
peroxide (H2O2) and (2) internal bleaching with H2O2 plus Nd-YAG laser
irradiation. The ?E value was calculated and analyzed using a two-way analysis of
variance and post-hoc Tukey's test (alpha = 0.05). RESULTS: The ?E for all groups
showed color differences exceeding the perceptibility threshold (?E ? 3.7) at all
time points except in the control and DAP groups. Minocycline-induced TAP showed
the most severe coronal discoloration (32.42). When the ?E was examined,
thermo/photo bleaching (22.01 +/- 8.23) caused more bleaching than walking
bleaching (19.73 +/- 5.73) at every time point (P = 0.19). No group returned to
the original color after bleaching (P < 0.05). CONCLUSIONS: Except for DAP, all
antibiotic pastes caused discoloration. Internal bleaching with Nd-YAG laser can
be useful for bleaching/removing this discoloration. CLINICAL RELEVANCE: For
clinically successful final appearances, understanding the effects of bleaching
procedures on antibiotic paste discoloration is important.
PMID- 29654564
TI - Scoping Review and Meta-analysis of Hyperbaric Oxygen Therapy for Radiation
Induced Hemorrhagic Cystitis.
AB - PURPOSE OF REVIEW: To critically review and summarize existing literature
assessing the effectiveness of hyperbaric oxygen therapy (HBOT) for the treatment
of radiation-induced urologic injury. RECENT FINDINGS: Though 5 of the included
13 studies were published in the last 2-3 years, the only randomized controlled
study was performed in 2012. Recent studies have confirmed the safety and
efficacy of HBOT as well as identified risk factors for success vs. failure of
HBOT for hemorrhagic radiation cystitis (HRC). Of the 602 patients that received
HBOT for HRC, 84% had a partial or complete resolution. In the 7 studies that
utilized RTOG/EORTC, 75% of patients saw an improvement in hematuria of at least
one grade (out of possible 5 total). Of the 499 patients with documented follow
up, 14% experienced recurrence, with a median time to recurrence of 10 months (6
to 16.5 months).
PMID- 29654565
TI - Perceptions of masculinity and body image in men with prostate cancer: the role
of exercise.
AB - PURPOSE: The goal of this study was to explore the association between levels of
exercise and patterns of masculinity, body image, and quality of life in men
undergoing diverse treatment protocols for prostate cancer. METHODS: Fifty men
with prostate cancer (aged 42-86) completed self-report measures. Self-reported
measures included the following: the Godin Leisure Time Exercise Questionnaire
(GLTEQ), Masculine Self-esteem Scale (MSES), Personal Attributes Questionnaire
(PAQ), Body Image Scale (BIS), and the Functional Assessment of Cancer Therapy
Prostate (FACT-P). Masculinity, body image, and quality of life scores were
compared between men obtaining recommended levels of exercise (aerobic or
resistance) and those not obtaining recommended level of exercise. Secondary
outcomes included the association between masculinity, body image, and quality of
life scores as they relate to exercise levels. RESULTS: There were significantly
higher scores of masculinity (p < 0.01), physical well-being (p < 0.05), prostate
cancer specific well-being (p < 0.05), and overall quality of life (p < 0.05) in
those obtaining at least 150 min of moderate to vigorous aerobic exercise. In the
48% of men who had never received androgen deprivation therapy, significantly
higher levels of masculinity, body image, and quality of life were observed in
those meeting aerobic guidelines. CONCLUSIONS: Whether treatment includes
androgen deprivation or not, men who participate in higher levels of aerobic
exercises report higher levels of masculinity, improved body image, and quality
of life than those who are inactive. Future longitudinal research is required
evaluating exercise level and its effect on masculinity and body image.
PMID- 29654566
TI - Combined aerobic and resistance training improves physical capacity in women
treated for gynecological cancer.
AB - PURPOSE: The purpose of this study was to evaluate the effects of 16 weeks
combined aerobic and resistance training, twice a week, on the physical
performance in women treated for gynecological cancer. METHODS: Sixty women (56.9
+/- 13.3 years) who had completed curative treatment for gynecological cancer
were divided into two groups: a physical training group (PT) (n = 29) or a
control group (C) (n = 31). The PT group performed two sessions of combined
aerobic and resistance training weekly for 16 weeks. Peak oxygen consumption
(VO2peak) and one repetition maximum (1RM) of leg press, leg extension, and chest
press were measured before group assignment, after 16 weeks and at the 1-year
follow-up. RESULTS: A significant increase in VO2peak (ml min-1 kg-1) (29.7 +/-
8.0 vs. 31.3 +/- 8.3, p = .009), leg press (kg) (113.0 +/- 27.3 vs. 116.7 +/-
29.2, p = .047), leg extension (kg) (44.2 +/- 10.1 vs. 48.0 +/- 10.6, p < .001),
and chest press (kg) (24.5 +/- 7.5 vs. 26.9 +/- 8.2, p = .001) was seen in the PT
group from pre- to post-measurement. The PT group maintained the improved aerobic
condition and muscle strength 1 year after the training intervention. In the C
group, there were no significant differences between pre- and post-measurements,
but a significant decrease (28.2 +/- 7.5 vs. 27.0 +/- 7.3, p = .040) in the
VO2peak from post to 1-year follow-up measurements. CONCLUSIONS: Combined aerobic
and resistance training twice a week in 16 weeks improves VO2peak and maximal
strength in women treated for gynecological cancer. The training effects were
sustained after 1 year in the PT group.
PMID- 29654568
TI - Application of Bayesian networks in a hierarchical structure for environmental
risk assessment: a case study of the Gabric Dam, Iran.
AB - Environmental risk assessment (ERA) is a commonly used, effective tool applied to
reduce adverse effects of environmental risk factors. In this study, ERA was
investigated using the Bayesian network (BN) model based on a hierarchical
structure of variables in an influence diagram (ID). ID facilitated ranking of
the different alternatives under uncertainty that were then used to evaluate
comparisons of the different risk factors. BN was used to present a new model for
ERA applicable to complicated development projects such as dam construction. The
methodology was applied to the Gabric Dam, in southern Iran. The main
environmental risk factors in the region, presented by the Gabric Dam, were
identified based on the Delphi technique and specific features of the study area.
These included the following: flood, water pollution, earthquake, changes in land
use, erosion and sedimentation, effects on the population, and ecosensitivity.
These risk factors were then categorized based on results from the output
decision node of the BN, including expected utility values for risk factors in
the decision node. ERA was performed for the Gabric Dam using the analytical
hierarchy process (AHP) method to compare results of BN modeling with those of
conventional methods. Results determined that a BN-based hierarchical structure
to ERA present acceptable and reasonable risk assessment prioritization in
proposing suitable solutions to reduce environmental risks and can be used as a
powerful decision support system for evaluating environmental risks.
PMID- 29654569
TI - Professor Giampaolo Velo 31.4.1943-17.8.2017.
PMID- 29654567
TI - New Penicillium and Talaromyces species from honey, pollen and nests of stingless
bees.
AB - Penicillium and Talaromyces species have a worldwide distribution and are
isolated from various materials and hosts, including insects and their
substrates. The aim of this study was to characterize the Penicillium and
Talaromyces species obtained during a survey of honey, pollen and the inside of
nests of Melipona scutellaris. A total of 100 isolates were obtained during the
survey and 82% of those strains belonged to Penicillium and 18% to Talaromyces.
Identification of these isolates was performed based on phenotypic characters and
beta-tubulin and ITS sequencing. Twenty-one species were identified in
Penicillium and six in Talaromyces, including seven new species. These new
species were studied in detail using a polyphasic approach combining phenotypic,
molecular and extrolite data. The four new Penicillium species belong to sections
Sclerotiora (Penicillium fernandesiae sp. nov., Penicillium mellis sp. nov.,
Penicillium meliponae sp. nov.) and Gracilenta (Penicillium apimei sp. nov.) and
the three new Talaromyces species to sections Helici (Talaromyces pigmentosus sp.
nov.), Talaromyces (Talaromyces mycothecae sp. nov.) and Trachyspermi
(Talaromyces brasiliensis sp. nov.). The invalidly described species Penicillium
echinulonalgiovense sp. nov. was also isolated during the survey and this species
is validated here.
PMID- 29654570
TI - The role of ethylene-vinyl alcohol copolymer in association with other embolic
agents for the percutaneous and endovascular treatment of type Ia endoleak.
AB - AIM: To evaluate safety, technical and clinical success of embolization of type
Ia endoleak (T1a EL) using ethylene-vinyl alcohol copolymer as embolic agent
alone or in combination with other materials. MATERIALS AND METHODS: Five
patients presented T1a EL after endovascular repair of aortic aneurysms (EVAR)
with radiological evidence of expanding sac size; in particular, three had
contained rupture. In one patient, proximal cuff insertion was previously
performed, in three patients proximal cuff was urgently inserted but T1a EL
persisted; one patient, previously treated with Ovation Abdominal Stent Graft
System, was directly proposed for endovascular treatment. In all cases,
endovascular embolization was successfully performed and the transfemoral
approach was always chosen; in one case it failed and translumbar approach by
direct puncture of the sac was required. Used embolization agents were glue,
ethylene-vinyl alcohol copolymer (Onyx) and coils in three cases, n-butyl
cyanoacrylate and Onyx in one case, Onyx and coils in the last case. RESULTS:
Technical success rate was 100% as well as clinical success. No major or minor
complication, including non-target embolization, was registered. Clinical success
was 100% until today and the sac diameter remained stable in four patients and
decreased in one. CONCLUSIONS: Onyx may be considered a suitable embolic agent in
the treatment of patients with type Ia endoleaks after EVAR, after failure of
conventional treatments such as prolonged balloon inflation of the aortic neck or
deployment of large bare stent.
PMID- 29654571
TI - The Role of Presepsin Obtained from Tracheal Aspirates in the Diagnosis of Early
Onset Pneumonia in Intubated Newborns.
AB - OBJECTIVES: To investigate the role of presepsin obtained from tracheal aspirate
of intubated newborns in the diagnosis of early neonatal pneumonia. METHODS: A
cross-sectional observational study was performed on 60 intubated newborns during
the two-year period. Tracheal aspirate for examination was taken in aseptic
conditions in usual toilets, by lavage with 2 ml of 0.9% NaCl in Mucus suction
set. On the same day, presepsin (blood) was measured. RESULTS: There were 34
newborns in the examined group (with pneumonia) and 26 in the control group.
Patient groups were similar regarding demographic characteristics related to
gender and Apgar score. The coefficients of simple linear correlation revealed
the statistically significant connection between presepsin (from tracheal
aspirate) and birth body weight, presepsin (plasma), maternal infection and
pneumonia. Significant differences in the values of presepsin (from tracheal
aspirate) (p < 0.001) and birth body weight (p = 0.036) were found. CONCLUSIONS:
In intubated newborns, measurements of presepsin obtained from tracheal aspirate
suggested that it can be used as a complementary marker in diagnosing early onset
neonatal pneumonia.
PMID- 29654573
TI - Correcting "confusability regions" in face morphs.
AB - The visual system represents summary statistical information from a set of
similar items, a phenomenon known as ensemble perception. In exploring various
ensemble domains (e.g., orientation, color, facial expression), researchers have
often employed the method of continuous report, in which observers select their
responses from a gradually changing morph sequence. However, given their current
implementation, some face morphs unintentionally introduce noise into the
ensemble measurement. Specifically, some facial expressions on the morph wheel
appear perceptually similar even though they are far apart in stimulus space. For
instance, in a morph wheel of happy-sad-angry-happy expressions, an expression
between happy and sad may not be discriminable from an expression between sad and
angry. Without accounting for this confusability, observer ability will be
underestimated. In the present experiments we accounted for this by delineating
the perceptual confusability of morphs of multiple expressions. In a two
alternative forced choice task, eight observers were asked to discriminate
between anchor images (36 in total) and all 360 facial expressions on the morph
wheel. The results were visualized on a "confusability matrix," depicting the
morphs most likely to be confused for one another. The matrix revealed multiple
confusable images between distant expressions on the morph wheel. By accounting
for these "confusability regions," we demonstrated a significant improvement in
performance estimation on a set of independent ensemble data, suggesting that
high-level ensemble abilities may be better than has been previously thought. We
also provide an alternative computational approach that may be used to determine
potentially confusable stimuli in a given morph space.
PMID- 29654572
TI - Cardiac Troponin-T as a Marker of Myocardial Dysfunction in Term Neonates with
Perinatal Asphyxia.
AB - OBJECTIVES: To describe the diagnostic test properties of Cardiac Troponin-T
(cTnT) in predicting myocardial dysfunction in asphyxiated term neonates by
taking echocardiography as the gold standard and to establish the optimum cut-off
values of cTnT for myocardial dysfunction, shock, severe hypoxic ischemic
encephalopathy (HIE) and mortality by receiver operator characteristic (ROC)
curve analysis. METHODS: This was a prospective study based on diagnostic test
evaluation. The study included 120 term asphyxiated neonates in a tertiary care
neonatal intensive care unit (NICU) in Southern India from June 2011 through June
2015. All the neonates were clinically evaluated. Venous blood was taken at 4 h
of life for cTnT estimation. Echocardiography was done within 24 h of birth.
RESULTS: The mean cTnT level of asphyxiated term neonates was 0.207+/-0.289 ng/ml
(mean +/- SD). Asphyxiated neonates with myocardial dysfunction had higher cTnT
levels (0.277+/-0.231) as compared to those without myocardial dysfunction
(0.061+/-0.036, p = 0.0001). Using ROC curve, the cut-off cTnT values for
myocardial dysfunction was 0.1145 ng/ml with sensitivity 92.4% and specificity
94.1%. Cardiac Troponin-T levels were significantly higher among asphyxiated
neonates with shock (0.378+/-0.348, p = 0.0001) and the levels also correlated
positively with increasing grades of HIE. The cut-off cTnT value for mortality
was 0.2505 ng/ml with sensitivity 83.9% and specificity 96.6%. CONCLUSIONS: In
asphyxiated term neonates, early cTnT elevation is a marker for predicting
myocardial dysfunction and elevated cTnT levels had high sensitivity and
specificity. There was significant relation with increasing cTnT values and
increasing grades of HIE.
PMID- 29654574
TI - Evaluation of clinical outcomes following implantation of a sub-2-mm hydrophilic
acrylic MICS intraocular lens.
AB - PURPOSE: To evaluate clinical outcomes following sub-2-mm microincision cataract
surgery (MICS) and intraocular lens (IOL) implantation. SETTING: Five EU clinical
sites. DESIGN: Prospective, multicenter, open-label, single-arm, non-randomized.
METHODS: Preoperative assessment involved visual acuity (VA), intraocular
pressure and biometry measurements. 1.4-mm wound-assisted or 1.8-mm MICS was
performed. Follow-up visits were made 1 day, 1-2 weeks, 1-2 and 4-6 months after
surgery. The incision size, corrected distance VA (CDVA), uncorrected distance
VA, manifest refraction spherical equivalent (MRSE), refraction
predictability/stability and IOL decentration were assessed. At 12-, 18-, and 24
month, long-term centration, posterior capsular opacification (PCO) and Nd:YAG
capsulotomy rates were investigated. RESULTS: A total of 103 eyes were implanted
with the study IOL (INCISE, Bausch & Lomb), 96 of which were included in visual
outcome analysis. A mean 6-month CDVA of - 0.02 logMAR (20/20 + 1) was observed
and 75 eyes (79.8%) and 93 eyes (98.3%) achieved a visual acuity of at least
20/20 or 20/40. Mean MRSE was - 0.20 +/- 0.60 D. Mean absolute predictive error
was 0.44 +/- 0.36 D, with 90.4% within 1.00 D of target. Mean total decentration
was 0.35 +/- 0.36 mm at 6 months and 0.32 +/- 0.14 mm at 24 months (p > 0.05). 24
month evaluation of posterior capsular opacification score was 0.03 for the
central area. A Nd:YAG rate of 3.4% was observed at 24 months. CONCLUSIONS: The
new MICS IOL provided excellent visual outcomes and was safe and effective for
the sub-2-mm procedure. The MICS IOL demonstrated long-term centration, stability
and a low rate of PCO development.
PMID- 29654575
TI - Confocal scanning laser microscopy in patients with postoperative
endophthalmitis.
AB - PURPOSE: To investigate alterations of corneal layers in eyes treated for acute
postoperative endophthalmitis. METHODS: In this retrospective, nonrandomized
comparative study, eyes treated with 25 gauge pars plana vitrectomy (PPV) for
acute post-cataract endophthalmitis (group A) were compared to eyes receiving
uneventful cataract surgery (group B) and uneventful 25 gauge PPV for epiretinal
membrane (group C). After a minimum follow-up of 8 months from last surgical
procedure, laser scanning in vivo confocal microscopy (IVCM) was performed.
RESULTS: Twelve eyes for each group were recruited. Comparing study eyes with
control eyes of group B and C, no statistical difference was found in corneal
epithelial cell density (p = n.s.), in density of nerve fibers (p = n.s.), mean
grade of nerve reflectivity (p = n.s.), mean grade of nerve tortuosity (p =
n.s.), mean grade of anterior keratocyte activation (p = n.s.), and corneal
endothelium cell density (p = n.s.), whereas a statistically higher mean grade of
posterior keratocyte activation was found in group A (p < 0.01). Epithelial and
endothelial corneal morphologies were graded as regular in all groups. Langerhans
cells and corneal dendritic-shaped hyper-reflective endothelial deposits were
found in group A. Both findings were absent in group B and C, and the difference
was statistically significant (p < 0.01). CONCLUSIONS: IVCM was a useful tool in
the detection of microscopic chronic corneal abnormalities caused by
postoperative endophthalmitis. These findings confirmed the presence of a
subclinical chronic corneal inflammation localized to the posterior stroma that
should be related to the infectious process. Future studies might clarify
pathological processes in the acute phase of postoperative endophthalmitis.
PMID- 29654576
TI - Quantitative evaluation of the tracer distribution in dopamine transporter SPECT
for objective interpretation.
AB - PURPOSE: Quantification of the tracer distribution would add objectivity to the
visual assessments of dopamine transporter (DAT) single photon emission computed
tomography (SPECT) data. Our study aimed to evaluate the diagnostic utility of
fractal dimension (FD) as a quantitative indicator of tracer distribution and
compared with the conventional quantitative value: specific binding ratio (SBR).
We also evaluated the utility of the combined index SBR/FD (SBR divided by FD).
MATERIALS AND METHODS: We conducted both clinical and phantom studies. In the
clinical study, 150 patients including 110 patients with Parkinsonian syndrome
(PS) and 40 without PS were enrolled. In the phantom study, we used a striatal
phantom with the striatum chamber divided into two spaces, representing the
caudate nucleus and putamen. The SBR, FD, and SBR/FD were calculated and compared
between datasets for evaluating the diagnostic utility. Mann-Whitney test and
receiver-operating characteristics (ROC) analysis were used for analysis.
RESULTS: ROC analysis revealed that the FD value had high diagnostic performance
[the areas under the curve (AUC) = 0.943] and the combined use of SBR and FD
(SBR/FD) delivered better results than the SBR alone (AUC, 0.964 vs 0.899; p <
0.001). The sensitivity, specificity, and accuracy, respectively, were 79.1,
85.0, and 80.7% with SBR, 84.5, 97.5, and 88.0% with FD, and 92.7, 87.5, and
91.3% with SBR/FD. CONCLUSION: Our results confirmed that the FD value is a
useful diagnostic index, which reflects the tracer distribution in DAT SPECT
images. The combined use of SBR and FD was more useful than either used alone.
PMID- 29654577
TI - Association of the rs1870634 Variant in Long Intergenic Non-protein Coding RNA
841 with Coronary Artery Disease: A GWAS-Replication Study in an Iranian
Population.
AB - Recent genome-wide association studies (GWAS) identified a list of single
nucleotide polymorphisms (SNPs) associated with coronary artery disease (CAD).
Replication of GWAS findings in different population corroborated the observed
association in the parent GWAS. In this study, we aimed to replicate the
association of rs1870634, a GWAS identified SNP, to CAD in an Iranian population.
The study population consisted of 267 subjects undergoing coronary angiography
coronary angiography including 155 CAD patients and 112 non-CAD age- and gender
matched controls. The genotype determination of rs1870634 SNP performed using
high-resolution melting analysis (HRM) technique. Our results revealed that the
GG genotype frequency was significantly higher in CAD patients compared with
controls (P = 0.03). The results of binary logistic regression suggested that
this genotype was significantly associated with CAD risk adjustment for age, BMI,
sex, TC, and LDL-C lipid levels (OR of 2.78, 95% CI (1.10-7.01), P = 0.03).
Moreover, our results showed that the GG+TG genotypes were 2.52 times more likely
to develop CAD (95% CI 1.05-6.03) than TT genotype carriers after adjusting for
age, sex, and lipid profiles (P = 0.037). These data showed that the GG genotype
could be associated with increased risk of CAD in a sample of Iranian population.
PMID- 29654579
TI - Metabolic Profiling: Status, Challenges, and Perspective.
AB - Metabolic profiling has advanced greatly in the past decade and evolved from the
status of a research topic of a small number of highly specialized laboratories
to the status of a major field applied by several hundreds of laboratories,
numerous national centers, and core facilities. The present chapter provides our
view on the status of the remaining challenges and a perspective of this
fascinating research area.
PMID- 29654578
TI - Functional Characterization of Novel Phenylalanine Hydroxylase p.Gln226Lys
Mutation Revealed Its Non-responsiveness to Tetrahydrobiopterin Treatment in
Hepatoma Cellular Model.
AB - Treatment with tetrahydrobiopterin (BH4) is the latest therapeutic option
approved for patients with phenylketonuria (PKU)-one of the most frequent inborn
metabolic diseases. PKU or phenylalanine hydroxylase (PAH) deficiency is caused
by mutations in the PAH gene. Given that some PAH mutations are responsive to BH4
treatment while others are non-responsive, for every novel mutation that is
discovered it is essential to confirm its pathogenic effect and to assess its
responsiveness to a BH4 treatment in vitro, before the drug is administered to
patients. We found a c.676C>A (p.Gln226Lys) mutation in the PAH gene in two
unrelated patients with PKU. The corresponding aberrant protein has never been
functionally characterized in vitro and its response to BH4 treatment is unknown.
Computational analyses proposed that glutamine at position 226 is an important,
evolutionary conserved amino acid while the substitution with lysine probably
disturbs tertiary protein structure and impacts posttranslational PAH
modifications. Using hepatoma cellular model, we demonstrated that the amount of
mutant p.Gln226Lys PAH detected by Western blot was only 1.2% in comparison to
wild-type PAH. The addition of sepiapterin, intracellular precursor of BH4, did
not increase PAH protein yield thus marking p.Gln226Lys as BH4-non-responsive
mutation. Therefore, computational, experimental, and clinical data were all in
accordance showing that p.Gln226Lys is a severe pathogenic PAH mutation. Its non
responsiveness to BH4 treatment in hepatoma cellular model should be considered
when deciding treatment options for PKU patients carrying this mutation.
Consequently, our study will facilitate clinical genetic practice, particularly
genotype-based stratification of PKU treatment.
PMID- 29654580
TI - Quality Control and Validation Issues in LC-MS Metabolomics.
AB - Global metabolic profiling (untargeted metabolomics) of different and complex
biological matrices aims to implement an holistic, hypothesis-free analysis of
(potentially) all the metabolites present in the analyzed sample. However, such
an approach, although it has been the focus of great interest over the past few
years, still faces many limitations and challenges, particularly with regard to
the validation and the quality of the obtained results. The present protocol
describes a quality control (QC) procedure for monitoring the precision of the
analytical process involving untargeted metabolic phenotyping of urine and
plasma/serum. The described/suggested methodology can be applied to different
biological matrices, such as biological biofluids, cell, and tissue extracts.
PMID- 29654581
TI - Data Treatment for LC-MS Untargeted Analysis.
AB - Liquid chromatography-mass spectrometry (LC-MS) untargeted experiments require
complex chemometrics strategies to extract information from the experimental
data. Here we discuss "data preprocessing", the set of procedures performed on
the raw data to produce a data matrix which will be the starting point for the
subsequent statistical analysis. Data preprocessing is a crucial step on the path
to knowledge extraction, which should be carefully controlled and optimized in
order to maximize the output of any untargeted metabolomics investigation.
PMID- 29654582
TI - Bio- and Chemoinformatics Approaches for Metabolomics Data Analysis.
AB - Metabolomics data analysis includes several repetitive tasks, including data
sorting, calculation of exact masses or other physicochemical properties, or
searching for identifiers in different databases. Several of these tasks can be
automated using command line tools or short scripts in different scripting
languages like Perl, Python, or R. This chapter presents simple solutions and
short scripts written in R that can be used for the interaction with specific web
services or for the calculation of physicochemical properties or molecular
formulae.
PMID- 29654583
TI - HILIC-MS/MS Multi-Targeted Method for Metabolomics Applications.
AB - Metabolomics aims at the identification and quantification of key-end point
metabolites, basically polar, in order to study changes in biochemical activities
in response to pathophysiological stimuli or genetic modifications. Targeted
profiling assays have enjoyed a growing popularity during the last years with LC
MS/MS as a powerful tool for development of such (semi-) quantitative methods for
a large number of metabolites. Here we describe a method for absolute
quantification of ca. 100 metabolites belonging to key metabolite classes such as
sugars, amino acids, nucleotides, organic acids, and amines with a hydrophilic
interaction liquid chromatography (HILIC) system comprised of ultra (high)
performance liquid chromatography (UHPLC) with detection on a triple-quadrupole
mass spectrometer operating in both positive and negative electrospray ionization
modes.
PMID- 29654584
TI - Ion Pair Chromatography for Endogenous Metabolites LC-MS Analysis in Tissue
Samples Following Targeted Acquisition.
AB - A protocol for the preparation of tissue extracts for the targeted analysis of
ca. 150 polar metabolites, including those involved in central carbon metabolism
is described, using a reversed-phase ion pair U(H)PLC-MS method. Data collection
enabled by multiple-reaction monitoring provides highly specific, sensitive
acquisition of metabolic intermediates with a wide range of physicochemical
properties and pathway coverage. Technical aspects are discussed for method
transfer along with the basic principles of sample sequence setup, data analysis,
and validation. General comments are given to help the assessment of data quality
and system performance.
PMID- 29654585
TI - LC-MS Untargeted Analysis.
AB - LC-MS untargeted analysis is a valuable tool in the field of metabolic profiling
(metabonomics/metabolomics), and the applications of this technology have grown
rapidly over the past decade. LC-MS offers advantages over other analytical
platforms such as speed, sensitivity, relative ease of sample preparation, and
large dynamic range. As with any analytical approach, there are still drawbacks
and challenges to overcome, but advances are constantly being made regarding both
column chemistries and instrumentation. There are numerous untargeted LC-MS
approaches which can be used in this ever-growing research field; these can be
optimized depending on sample type and the nature of the study or biological
question. Some of the main LC-MS approaches for the untargeted analysis of
biological samples will be described in detail in the following protocol.
PMID- 29654586
TI - NMR-Based Metabolic Profiling Procedures for Biofluids and Cell and Tissue
Extracts.
AB - Metabolomic studies offer a wealth of information on cells, tissues, and
biofluids. The phenotype representation through the metabolic profiling is a
valuable tool for direct diagnosis, therapeutic strategies, and system's biology
studies. Nuclear magnetic resonance (NMR) spectroscopy provides a nondestructive
and extremely reproducible method allowing simultaneous detection of a large
number of known and unknown chemical substances.Sample collection and preparation
and experimental conditions are critical for the reliability of the subsequent
analysis. The pre-analytical phase is decisive as it could generate biased
spectral data misleading the following analysis. The formulation of standard
operating procedures is thus of crucial importance in order to access meaningful
samples and results. In this protocol, we provide standardized operations and
routine procedures from sample preparation to determine the measurement details
for the acquisition of NMR spectra highlighting major methodological issues.
PMID- 29654587
TI - Untargeted GC-MS Metabolomics.
AB - Untargeted metabolomics refers to the high-throughput analysis of the metabolic
state of a biological system (e.g., tissue, biological fluid, cell culture) based
on the concentration profile of all measurable free low molecular weight
metabolites. Gas chromatography-mass spectrometry (GC-MS), being a highly
sensitive and high-throughput analytical platform, has been proven a useful tool
for untargeted studies of primary metabolism in a variety of applications. As an
omic analysis, GC-MS metabolomics is a multistep procedure; thus, standardization
of an untargeted GC-MS metabolomics protocol requires the integrated optimization
of pre-analytical, analytical, and computational steps. The main difference of GC
MS metabolomics compared to other metabolomics analytical platforms, including
liquid chromatography-MS, is the need for the derivatization of the metabolite
extracts into volatile and thermally stable derivatives, the latter being
quantified in the metabolic profiles. This analytical step requires special care
in the optimization of the untargeted GC-MS metabolomics experimental protocol.
Moreover, both the derivatization of the original sample and the compound
fragmentation that takes place in GC-MS impose specialized GC-MS metabolomic data
identification, quantification, normalization and filtering methods. In this
chapter, we describe the integrated protocol of untargeted GC-MS metabolomics
with both the analytical and computational steps, focusing on the GC-MS specific
parts, and provide details on any sample depending differences.
PMID- 29654588
TI - Rat Fecal Metabolomics-Based Analysis.
AB - Fecal metabolomics-based analysis indisputably constitutes a very useful tool for
elucidating the biochemistry of digestion and absorption of the gastrointestinal
system. Fecal samples represent the most suitable, non-invasive, specimen for the
study of the symbiotic relationship between the host and the intestinal
microbiota.It is well established that the balance of the intestinal microbiota
changes in response to some stimuli, physiological such as gender, age, diet,
exercise and pathological such as gastrointestinal and hepatic disease. Fecal
samples have been analyzed using the most widespread analytical techniques,
namely, NMR spectroscopy, GC-MS, and LC-MS/MS. Rat fecal sample is a frequently
used and particularly useful substrate for metabolomics-based studies in related
fields. The complexity and diversity of the nature of fecal samples require
careful and skillful handling for the effective quantitative extraction of the
metabolites while avoiding their deterioration. Parameters such as the fecal
sample weight to extraction solvent volume, the nature and the pH value of the
extraction solvent, and the homogenization process are some important factors for
the optimal extraction of samples, in order to obtain high-quality metabolic
fingerprints, using either untargeted or targeted metabolomics.
PMID- 29654589
TI - GC-MS Metabolomic Profiling of Protic Metabolites Following Heptafluorobutyl
Chloroformate Mediated Dispersive Liquid Microextraction Sample Preparation
Protocol.
AB - A simple analytical workflow is described for gas chromatographic-mass
spectrometry (GC-MS)-based metabolomic profiling of protic metabolites,
particularly amino-carboxylic species in biological matrices. The sample
preparation is carried out directly in aqueous samples and uses simultaneous in
situ heptafluorobutyl chloroformate (HFBCF) derivatization and dispersive liquid
liquid microextraction (DLLME), followed by GC-MS analysis in single-ion
monitoring (SIM) mode. The protocol involves ten simple pipetting steps and
provides quantitative analysis of 132 metabolites by using two internal
standards. A comment on each analytical step and explaining notes are provided
with particular attention to the GC-MS analysis of 112 physiological metabolites
in human urine.
PMID- 29654590
TI - Sheathless Capillary Electrophoresis-Mass Spectrometry for the Profiling of
Charged Metabolites in Biological Samples.
AB - Capillary electrophoresis (CE) is well suited for the profiling of highly polar
and charged metabolites as compounds are separated on the basis of their charge
to-size ratio. The protocol presented here is based on using a recently developed
sheathless interfacing design, i.e., a porous tip interface, for coupling CE to
electrospray ionization mass spectrometry (MS). It is demonstrated that
sheathless CE-MS employing a bare fused-silica capillary at low-pH separation
conditions can be used for the profiling of both cationic and anionic metabolites
by only switching the MS detection and electrophoretic separation voltage
polarity. The proposed sheathless CE-MS protocol allows efficient and sensitive
profiles to be obtained for a broad array of charged metabolites, including amino
acids, organic acids, nucleotides, and sugar phosphates, in various biological
samples, such as urine and extracts of the glioblastoma cell line.
PMID- 29654591
TI - Two-Phase Extraction for Comprehensive Analysis of the Plant Metabolome by NMR.
AB - Metabolomics is the area of research, which strives to obtain complete metabolic
fingerprints, to detect differences between them, and to provide hypothesis to
explain those differences [1]. But obtaining complete metabolic fingerprints is
not an easy task. Metabolite extraction is a key step during this process, and
much research has been devoted to finding the best solvent mixture to extract as
much metabolites as possible.Here a procedure is described for analysis of both
polar and apolar metabolites using a two-phase extraction system. D2O and CDCl3
are the solvents of choice, and their major advantage is that, for the
identification of the compounds, standard databases can be used because D2O and
CDCl3 are the solvents most commonly used for pure compound NMR spectra. The
procedure enables the absolute quantification of components via the addition of
suitable internal standards. The extracts are also suitable for further analysis
with other systems like LC-MS or GC-MS.
PMID- 29654593
TI - Direct Injection Analysis of Fruit VOCs by PTR-ToF-MS: The Apple Case Study.
AB - The instrumental characterization of volatile organic compounds (VOCs) is
essential to have a precise, reliable, and reproducible estimation of food aroma
and, therefore, of the overall product quality. In this report, we introduce four
analytical approaches based on PTR-MS (proton transfer reaction-mass
spectrometry) technology suitable to fully investigate the complexity of apple
aroma. In our opinion, these proposed methodologies can be applied, with slight
modification, to every kind of fruit for destructive and nondestructive rapid VOC
fingerprinting.
PMID- 29654592
TI - NMR Spectroscopy Protocols for Food Metabolomics Applications.
AB - NMR spectroscopy has become an indispensable tool for the metabolic profiling of
foods and food products. In the present protocol, we report an analytical
approach based on liquid-state NMR for the determination of polar and nonpolar
metabolites in some common liquid (wine, spirits, juice) and solid (cheese,
coffee, honey) foods. Although the diversity of foods precludes the use of a
single protocol, with small modifications, the proposed methodologies can be
adapted to a broader range of foodstuffs.
PMID- 29654594
TI - LC-MS Untargeted Protocol for the Analysis of Wine.
AB - This chapter describes a protocol for the analysis of the metabolomic fingerprint
of wine by liquid chromatography-mass spectrometry. The straightforward,
optimized sample preparation procedure is limited to a single-step dilution with
water or acetonitrile. The separation of wine analytes is carried out by two
columns with orthogonal selectivity, including both reversed-phase (C18) and
hydrophilic interaction (HILIC) chromatography, while the detection is assured by
a high-resolution quadrupole time-of-flight mass spectrometer operating in
negative and positive electrospray ionization mode, in order to obtain four
different chromatograms for each sample. This validated protocol, or parts of it,
could be applied in several oenological topic experimental designs, including
wine quality and wine authenticity.
PMID- 29654595
TI - Tissue Multiplatform-Based Metabolomics/Metabonomics for Enhanced Metabolome
Coverage.
AB - The use of tissue as a matrix to elucidate disease pathology or explore
intervention comes with several advantages. It allows investigation of the target
alteration directly at the focal location and facilitates the detection of
molecules that could become elusive after secretion into biofluids. However,
tissue metabolomics/metabonomics comes with challenges not encountered in
biofluid analyses. Furthermore, tissue heterogeneity does not allow for tissue
aliquoting. Here we describe a multiplatform, multi-method workflow which enables
metabolic profiling analysis of tissue samples, while it can deliver enhanced
metabolome coverage. After applying a dual consecutive extraction (organic
followed by aqueous), tissue extracts are analyzed by reversed-phase (RP-) and
hydrophilic interaction liquid chromatography (HILIC-) ultra-performance liquid
chromatography coupled to mass spectrometry (UPLC-MS) and nuclear magnetic
resonance (NMR) spectroscopy. This pipeline incorporates the required quality
control features, enhances versatility, allows provisional aliquoting of tissue
extracts for future guided analyses, expands the range of metabolites robustly
detected, and supports data integration. It has been successfully employed for
the analysis of a wide range of tissue types.
PMID- 29654596
TI - UHPLC-HRMS Analysis for Steroid Profiling in Serum (Steroidomics).
AB - The extraction and untargeted UHPLC-HRMS analysis of endogenous steroids in serum
samples is described in this protocol. The employed full-scan acquisition mode
provides the adequate sensitivity to highlight the main endogenous steroids
present in blood, including mineralocorticoids, progestogens , and androgens.
Technical aspects for both chromatography and mass spectrometry are discussed in
detail, together with a proposition of setup for sample sequence and data
analysis. Furthermore, general comments are given to help the assessment of data
quality and system performance.
PMID- 29654597
TI - Metabolomics in Human Acute-Exercise Trials: Study Design and Preparation.
AB - Metabolomics can be of great value in the study of exercise metabolism. However,
because of the high intraindividual and interindividual biological variability of
the human metabolome, special considerations should be taken into account when
designing an acute-exercise metabolomic study. To study different exercise
parameters, e.g., different exercise modes, intensities, etc., a crossover study
design, where each participant acts as their own control, is preferable to a
parallel design, one involving different groups of participants. Moreover, the
study should include a no exercise, control trial. Before each trial,
participants should follow carefully designed preparatory steps to control for
possible confounding factors, i.e., maintain repeatable and constant conditions
for all individual trials of the study to minimize variation due to factors other
than the one(s) being studied. This chapter focuses on the design of human
metabolomic studies, where the intervention is an acute metabolic challenge, such
as an exercise bout or a test meal, and presents some basic steps for screening
potential participants, performing preliminary tests, preparing for the trial
day, and performing the trial.
PMID- 29654599
TI - Gender identity disparities in Pap test use in a sample of binary and non-binary
transmasculine adults.
PMID- 29654600
TI - Addressing social determinants of health in an ambulatory setting: quasi
experimental controlled study of a curricular intervention for residents.
PMID- 29654601
TI - Gender Differences in the Consequences of Divorce: A Study of Multiple Outcomes.
AB - In this study, I examined gender differences in the consequences of divorce by
tracing annual change in 20 outcome measures covering four domains: economic,
housing and domestic, health and well-being, and social. I used data from the
German Socio-Economic Panel Study (SOEP) and fixed-effects panel regression
models on a sample of N = 18,030 individuals initially observed in a marital
union, N = 1,220 of whom divorced across the observation period (1984-2015).
Three main findings emerged from the analysis. First, men were more vulnerable to
short-term consequences of divorce for subjective measures of well-being, but
postdivorce adaptation alleviated gender differences in these outcomes. Second, a
medium-term view on multiple outcomes showed more similarity than differences
between women and men. The medium-term consequences of divorce were similar in
terms of subjective economic well-being; mental health, physical health, and
psychological well-being; residential moves, homeownership, and satisfaction with
housework; and chances of repartnering, social integration with friends and
relatives, and feelings of loneliness. Third, the key domain in which large and
persistent gender differences emerged were women's disproportionate losses in
household income and associated increases in their risk of poverty and single
parenting. Taken together, these findings suggest that men's disproportionate
strain of divorce is transient, whereas women's is chronic.
PMID- 29654603
TI - Anatomy of equine incisors: Pulp horns and subocclusal dentine thickness.
AB - BACKGROUND: Equine incisors are often reduced in height during corrective dental
procedures. Increased knowledge of subocclusal dentine thickness and pulp
morphology may help prevent iatrogenic pulpar exposure. Although such data exist
for equine cheek teeth, there are currently no reliable data for incisors.
OBJECTIVES: To measure the distances between pulp cavities and the occlusal as
well as the labial surfaces of equine incisors and to test if these distances
change with age. Furthermore, pulp morphology with regard to number and
orientation of pulp horns was investigated. STUDY DESIGN: Observational study
using cadaver material and high-resolution computed tomography. METHODS: Upper
and lower incisor arcades were removed from heads of 13 horses and scanned with
high-resolution computed tomography. 3D Models were reconstructed and
configuration as well as number of the pulp horns was evaluated. Anatomical
marker points were set to measure distances between the pulp horn tips and the
labial and occlusal surfaces. RESULTS: Subocclusal dentine thickness ranged
between 1.5 and 11.7 mm in upper and 0.7 and 6.7 mm in lower incisors. It
decreased with tooth age. Distance to labial aspect ranged between 3.5 and 9.0 mm
in upper and 3.8 and 8.1 mm in lower incisors and increased with tooth age. MAIN
LIMITATIONS: Details of horse management, feeding and previous dental care were
not available. Therefore, it remains unknown how these factors influenced the
results. CONCLUSIONS: Although mean subocclusal dentine thickness of greater than
4.1 mm was found, equine incisors occasionally have less than 1 mm of thickness
with potential for iatrogenic pulpar exposure during incisor reduction.
Therefore, great care should be exercised by any practitioner during incisor
reduction.
PMID- 29654602
TI - A genome wide association study identifies new genes potentially associated with
eyelid sagging.
AB - Sagging eyelid is considered as an outward of skin ageing and may cause medical
issues. However, little is known about the factors involved in sagging eyelid.
The study, which aims at determining genetic risk factors for eyelid sagging, was
conducted in a cohort of 502 unrelated Caucasian women living in the Paris
region. All included participants were aged between 44 and 70 years old (mean
age, 57.6 years old). The severity of sagging eyelid was graded in 6 categories
by a dermatologist using standardized photographs of the face. A genome wide
association study adjusted on potential risk factors (including age and smoking
habits) was conducted to identify genetic associations. Two single nucleotide
polymorphisms in total linkage disequilibrium on chromosome 10, rs16927253 (P =
7.07 * 10-10 ) and rs4746957 (P = 1.06 * 10-8 ), were significantly associated
with eyelid sagging severity. The rs16927253-T and rs4746957-A alleles showed a
dominant protective effect towards eyelid sagging. These polymorphisms are
located in intronic parts of the H2AFY2 gene which encodes a member of the H2A
histone family and very close to the AIFM2 gene that induces apoptosis.
Additionally, single nucleotide polymorphisms with a false discovery rate below
0.25 were located nearby the type XIII collagen COL13A1 gene on chromosome 10 and
in the ADAMTS18 gene on chromosome 16. Several relevant genes were identified by
the genome wide association study for their potential role in the sagging eyelid
severity.
PMID- 29654604
TI - Facile Synthesis of Highly Efficient Amorphous Mn-MIL-100 Catalysts: Formation
Mechanism and Structure Changes during Application in CO Oxidation.
AB - A comprehensive study was carried out on amorphous metal-organic frameworks Mn
MIL-100 as efficient catalysts for CO oxidation. This study focused on explaining
the crystalline-amorphous-crystalline transformations during thermolysis of Mn
MIL-100 and studying the structure changes during the CO oxidation reaction. A
possible formation mechanism of amorphous Mn-MIL-100 was proposed. Amorphous Mn
MIL-100 obtained by calcination at 250 degrees C (a-Mn-250) showed a smaller
specific surface area (4 m2 g-1 ) but high catalytic activity. Furthermore, the
structure of amorphous Mn-MIL-100 was labile during the reaction. When a-Mn-250
was treated with reaction atmosphere at high temperature (giving used-a-Mn-250
S), the amorphous catalysts transformed into Mn2 O3 . Meanwhile, the BET surface
area (164 m2 g-1 ) and catalytic performance both sharply increased. In
addition, used-a-Mn-250-S catalyst transformed from Mn2 O3 into Mn3 O4 , and this
resulted in a slight decrease of catalytic activity in the presence of 1 vol %
water vapor in the feed stream. A schematic mechanism of the structure changes
during the reaction process was proposed. The success of the synthesis relies on
the increase in BET surface area by using CO as retreatment atmosphere, and the
enhanced catalytic activity was attributed to the unique structure, a large
quantity of surface active oxygen species, oxygen vacancies, and good low
temperature reduction behavior.
PMID- 29654605
TI - Maximization of calcium and phosphate in neonatal total parenteral nutrition.
AB - BACKGROUND: Appropriate calcium and phosphate supplementation is essential for
bone growth in preterm infants. Using Rehabix-K2TM (AY Pharmaceuticals, Tokyo,
Japan) and Pleamin-P InjectionTM (Fuso Pharmaceutical Industries, Osaka, Japan)
as the total parenteral nutrition (TPN) and amino acid solution, respectively, we
investigated ways of maximizing calcium and phosphate in the TPN solution.
METHODS: Rehabix-K2, Pleamin-P, calcium gluconate, sodium phosphate, 50% glucose,
and water were mixed in varying proportions to create 16 formulations.
Precipitation assessment was done three times for each of the 16 formulations,
and was based on the Japanese Pharmacopeia. RESULT: Precipitation was observed 24
h after mixing when the calcium and phosphate were 60 mEq/L and 30 mmol/L or 80
mEq/L and 40 mmol/L, respectively. No precipitation was observed when the calcium
and phosphate were 20 mEq/L and 10 mmol/L, respectively. Precipitation was
observed once out of three times, when the calcium and phosphate were 40 mEq/L
and 20 mmol/L, respectively, and the amino acids were 2% and 3% (mean pH, 6.13
and 6.26, respectively). No precipitation was observed, however, when the calcium
and phosphate were 40 mEq/L and 20 mmol/L, respectively, and the amino acids were
0% and 1% (mean pH, 5.88 and 6.05, respectively). CONCLUSION: Not only the
concentration of calcium and phosphate, but also the pH of the TPN solution, are
crucial factors for precipitation. Based on these results, a well-balanced TPN
solution maximizing calcium and phosphate availability will be able to be
formulated.
PMID- 29654606
TI - Pharmacokinetics of cefquinome in crucian carp (Carassius auratus gibelio) after
oral, intramuscular, intraperitoneal, and bath administration.
AB - The pharmacokinetics (PK) of cefquinome (CEQ) was studied in crucian carp
(Carassius auratus gibelio) after single oral, intramuscular (i.m.), and
intraperitoneal (i.p.) administration at a dose of 10 mg/kg body weight and
following incubation in a 5 mg/L bath for 5 hr at 25 degrees C. The plasma
concentration of CEQ was determined using high-performance liquid chromatography
(HPLC). PK parameters were calculated based on mean CEQ concentration using
WinNonlin 6.1 software. The disposition of CEQ following oral, i.m., or i.p.
administration was best described by a two-compartment open model with first
order absorption. After oral, i.m., and i.p. administration, the maximum plasma
concentration (Cmax ) values were 1.52, 40.53, and 67.87 MUg/ml obtained at 0.25,
0.23, and 0.35 hr, respectively, while the elimination half-life (T1/2beta )
values were 4.68, 7.39, and 6.88 hr, respectively; the area under the
concentration-time curve (AUC) values were 8.61, 339.11, and 495.06 MUg hr/ml,
respectively. No CEQ was detected in the plasma after bath incubation.
Therapeutic blood concentrations of CEQ can be achieved in the crucian carp
following i.m. and i.p. administration at a dosage of 10 mg/kg once every 2 days.
PMID- 29654607
TI - Hydraulic redistribution affects modeled carbon cycling via soil microbial
activity and suppressed fire.
AB - Hydraulic redistribution (HR) of water from moist to drier soils, through plant
roots, occurs world-wide in seasonally dry ecosystems. Although the influence of
HR on landscape hydrology and plant water use has been amply demonstrated, HR's
effects on microbe-controlled processes sensitive to soil moisture, including
carbon and nutrient cycling at ecosystem scales, remain difficult to observe in
the field and have not been integrated into a predictive framework. We
incorporated a representation of HR into the Community Land Model (CLM4.5) and
found the new model improved predictions of water, energy, and system-scale
carbon fluxes observed by eddy covariance at four seasonally dry yet ecologically
diverse temperate and tropical AmeriFlux sites. Modeled plant productivity and
microbial activities were differentially stimulated by upward HR, resulting at
times in increased plant demand outstripping increased nutrient supply. Modeled
plant productivity and microbial activities were diminished by downward HR.
Overall, inclusion of HR tended to increase modeled annual ecosystem uptake of
CO2 (or reduce annual CO2 release to the atmosphere). Moreover, engagement of
CLM4.5's ground-truthed fire module indicated that though HR increased modeled
fuel load at all four sites, upward HR also moistened surface soil and hydrated
vegetation sufficiently to limit the modeled spread of dry season fire and
concomitant very large CO2 emissions to the atmosphere. Historically, fire has
been a dominant ecological force in many seasonally dry ecosystems, and
intensification of soil drought and altered precipitation regimes are expected
for seasonally dry ecosystems in the future. HR may play an increasingly
important role mitigating development of extreme soil water potential gradients
and associated limitations on plant and soil microbial activities, and may
inhibit the spread of fire in seasonally dry ecosystems.
PMID- 29654608
TI - Neuromuscular blocking agents induced anaphylaxis: Results and trends of a French
pharmacovigilance survey from 2000 to 2012.
AB - BACKGROUND: Perioperative anaphylaxis mainly involves neuromuscular blocking
agents (NMBAs) with an IgE-mediated mechanism. In France, this life-threatening
condition is reported by anesthetists and allergologists, and two safety alerts
concerning suxamethonium were raised in 2011 and 2012. This led to start a
national survey over the 2000-2012 period which objectives were to provide a
descriptive analysis, to estimate incidence rates, and to analyze the trends over
this period. METHODS: The French pharmacovigilance database was retrospectively
queried for all the available NMBAs. Anaphylaxis cases with elevated tryptase and
positive skin tests were qualified as "confirmed cases." Subgroup analysis
compared atracurium and cisatracurium vs suxamethonium and rocuronium. RESULTS: A
total of 680 confirmed cases and 944 nonconfirmed cases were identified.
Suxamethonium was the most implied NMBA (64%). Incidence rates (according to
sales data) of suxamethonium and rocuronium were, respectively, 10- and 13-folds
higher than those of the others NMBAs, regardless the confirmed/nonconfirmed
status. Cisatracurium incidence rates remained stable over the period, while
suxamethonium and atracurium increased and rocuronium first decreased but re
increased after 2006. Male patients were more frequent in the subgroup
"atracurium-cisatracurium" (P = .019), whereas obesity and emergency setting were
more frequent in the subgroup "rocuronium-suxamethonium." Shared characteristics
were the poorly documented previous exposure to NMBA(s) and an insufficient
adherence of patients to perform skin tests, showing the need to improve this
procedure. CONCLUSION: Suxamethonium and rocuronium are markedly more involved in
perioperative anaphylaxis than the other available NMBAs. Patients should be more
informed about their perioperative anaphylaxis and its consequences.
PMID- 29654609
TI - A study of the environmental survival of Streptococcus equi subspecies equi.
AB - BACKGROUND: Streptococcus equi represents a common hazard to equids worldwide.
Environmental contamination with bacteria shed from an infected horse may
represent a significant source of contagion and further knowledge of ex vivo
bacterial survival under different conditions is important for disinfection and
isolation protocols. OBJECTIVES: To determine the potential duration of survival
and vigour of growth of S. equi inoculated onto surfaces relevant to equine
veterinary practice and stabling in summer and winter. STUDY DESIGN: Repeat
sampling of environmental inocula of S. equi. METHODS: Cultures of S. equi were
inoculated onto wood, a shoe sole, cotton overalls, inside a nasogastric tube,
inside a dental rasp, in a wet plastic bucket and onto a fence post both in the
summer and winter seasons. Frequent resampling and culture from the inoculated
sites was conducted until no viable bacteria were found. Bacterial viability was
determined by both duration (time to first negative culture) and vigour of growth
(growth score over the first 3 days of culture) and compared between inoculated
sites and times of year. RESULTS: Bacterial viability was enhanced by a wet local
environment and by the winter season. Survival tended to be short in the summer
(up to 9 days in wet sites and up to 2 days in dry sites) but much longer in the
winter (up to 34 days in wet sites and up to 13 days in dry sites). Vigour of
bacterial growth was also greater in the winter than in the summer as judged by 3
day-growth scores. MAIN LIMITATIONS: Direct comparison with the variable size and
nature of naturally shed infectious material is difficult. CONCLUSIONS:
Veterinarians and personnel handling horses should be aware that S. equi may
survive in an equine environment for longer than previously found, especially
when protected by wet and cold conditions.
PMID- 29654610
TI - Urinary fibrin/fibrinogen degradation products measured using an anti-fibrinogen
antibody predict orthostatic proteinuria.
AB - BACKGROUND: To assess the diagnostic value of urinary fibrin/fibrinogen
degradation products (uFDP) measured using an anti-fibrinogen antibody in
patients with orthostatic proteinuria (OP), and their use in differentiating
between OP and glomerulonephritis (GN). METHODS: uFDP levels were measured in the
first morning (supine) and during a hospital visit (upright) and then normalized
to urine creatinine levels (uFDP/Cr, ng/mgCr). We compared (i) OP patients
(n=16); (ii) those in remission for nephrotic syndrome (NS group, n=14) and GN
(IgA nephropathy: IgAN, n=14; Henoch-Schonlein purpura nephritis: HSPN, n=12);
and (iii) those with active GN (IgAN, n=12; HSPN, n=19). RESULTS: The uFDP/Cr
ratio increased from supine to upright urine in patients with OP (P<0.001), but
decreased in one case. uFDP was excreted in supine urine in 94% of OP cases, with
no excretion in NS cases or in 92% of cases in GN remission (P<0.001 for both).
The uFDP/Cr ratio in supine urine was comparable between OP and active GN cases
(P=0.40), whereas proteinuria in supine urine of all OP patients was in the
normal range, but was significantly higher in OP cases in upright urine
(P<0.001). The urinary protein-creatinine ratio was significantly lower in
patients with OP than in those with active GN in upright urine (P=0.005). A 1108
ng/mgCr cutoff for the uFDP/Cr ratio in upright urine correctly differentiated OP
from active GN, with a sensitivity of 87.5% and a specificity of 100%.
CONCLUSION: Comparison of uFDP levels in supine/upright urine can be reliable for
diagnosing OP and differentiating it from active GN. This article is protected by
copyright. All rights reserved.
PMID- 29654611
TI - Free-Standing Air Cathodes Based on 3D Hierarchically Porous Carbon Membranes:
Kinetic Overpotential of Continuous Macropores in Li-O2 Batteries.
AB - Free-standing macroporous air electrodes with enhanced interfacial contact, rapid
mass transport, and tailored deposition space for large amounts of Li2 O2 are
essential for improving the rate performance of Li-O2 batteries. An ordered
mesoporous carbon membrane with continuous macroporous channels was prepared by
inversely topological transformation from ZnO nanorod array. Utilized as a free
standing air cathode for Li-O2 battery, the hierarchically porous carbon membrane
shows superior rate performance. However, the increased cross-sectional area of
the continuous macropores on the cathode surface leads to a kinetic overpotential
with large voltage hysteresis and linear voltage variation against Butler-Volmer
behavior. The kinetics were investigated based on the rate-determining step of
second electron transfer accompanied by migration of Li+ in solid or quasi-solid
intermediates. These discoveries shed light on the design of the air cathode for
Li-O2 batteries with high-rate performance.
PMID- 29654612
TI - Conserving rare species can have high opportunity costs for common species.
AB - Conservation practitioners face difficult choices in apportioning limited
resources between rare species (to ensure their existence) and common species (to
ensure their abundance and ecosystem contributions). We quantified the
opportunity costs of conserving rare species of migratory fishes in the context
of removing dams and retrofitting road culverts across 1,883 tributaries of the
North American Great Lakes. Our optimization models show that maximizing total
habitat gains across species can be very efficient in terms of benefits achieved
per dollar spent, but disproportionately benefits common species. Conservation
approaches that target rare species, or that ensure some benefits for every
species (i.e., complementarity) enable strategic allocation of resources among
species but reduce aggregate habitat gains. Thus, small habitat gains for the
rarest species necessarily come at the expense of more than 20 times as much
habitat for common ones. These opportunity costs are likely to occur in many
ecosystems because range limits and conservation costs often vary widely among
species. Given that common species worldwide are declining more rapidly than rare
ones within major taxa, our findings provide incentive for triage among multiple
worthy conservation targets.
PMID- 29654613
TI - Incidence and associations of painful neuroma in a contemporary cohort of lower
limb amputees.
AB - BACKGROUND: The painful post amputation neuroma significantly impairs the
prosthesis-wearing lower-limb amputee. It remains poorly understood, with
literature limited to cohorts of traumatic amputees. This paper reports the
incidence and associations of painful neuroma in a modern tertiary centre with a
case load of amputations performed for both traumatic and non-traumatic
indications. METHOD: This retrospective cohort study analysed the records of 304
patients who underwent all-cause lower-limb amputation at The Alfred Hospital
between January 2002 and March 2012. Patients were included in our analysis if
they completed 1-year follow-up at our Amputee Rehabilitation Clinic, producing a
final cohort of 96 patients. In order to identify a painful neuroma post
operatively, both clinical suspicion, and either histopathological or
radiological evidence were required. RESULTS: The overall incidence of
symptomatic neuromas was 4.17%. There was no significant difference between
patients who underwent amputation for a traumatic indication (6.25% (2/32) versus
3.13% (2/64); P = 0.59) compared to non-traumatic indication (P = 0.59). Visual
analogue score at discharge and the presence of phantom limb pain at follow-up
showed significant associations with the formation of painful neuroma. Dose of
opioid on discharge, history of depression and current smoking did not reach
statistical significance. CONCLUSION: This study presents a lower incidence of
painful post-amputation neuroma to those published in the literature. This may be
attributed to improved methodology. The described associations require further
investigation into central factors leading to neuroma sensitization.
PMID- 29654614
TI - Quercetin protects against chronic prostatitis in rat model through NF-kappaB and
MAPK signaling pathways.
AB - BACKGROUND: Chronic Prostatitis/Chronic Pelvic Pain Syndrome (CP/CPPS) is a
common disease of urology, of which the pathogenesis and therapy remain to be
further elucidated. Quercetin has been reported to improve the symptoms of
CP/CPPS patients. We aimed to verify the therapeutic effect of quercetin on
CP/CPPS and identify the mechanism responsible for it. METHODS: A novel CP/CPPS
model induced with Complete Freund Adjuvant in Sprague Dawley rats was
established and the prostates and blood specimens were harvested for further
measurement after oral administration of quercetin for 4 weeks. RESULTS:
Increased prostate index and infiltration of lymphocytes, up-regulated expression
of IL-1beta, IL-2, IL-6, IL-17A, MCP1, and TNFalpha, decreased T-SOD, CAT, GSH
PX, and increased MDA, enhanced phosphorylation of NF-kappaB, P38, ERK1/2, and
SAPK/JNK were detected in CP/CPPS rat model. Quercetin was identified to
ameliorate the histo-pathologic changes, decrease the expression of pro
inflammatory cytokines IL-1beta, IL-2, IL-6, IL-17A, MCP1, and TNFalpha, improve
anti-oxidant capacity, and suppress the phosphorylation of NF-kappaB and MAPKs.
CONCLUSIONS: Quercetin has specific protective effect on CP/CPPS, which is
mediated by anti-inflammation, anti-oxidation, and at least partly through NF
kappaB and MAPK signaling pathways.
PMID- 29654615
TI - Predictors of 1-year mortality after transcatheter aortic valve replacement.
AB - OBJECTIVE: To identify variables predictive of increased mortality within 1 year
of transcatheter aortic valve replacement (TAVR). METHODS: We retrospectively
reviewed the records of 723 consecutive patients who received TAVR from November
2008 through April 2016. Patient and procedure-related characteristics were
analyzed with logistic regression for an association with death within 1 year of
TAVR. RESULTS: Patient mean age was 81 +/- 9 years, male sex was present in 428
patients (59%), and STS predicted risk of mortality was 9.2 +/- 6.2%. There were
107 deaths (15%) within 1 year of operation. Multivariable analysis identified
increased risk of death with severe chronic lung disease (odds ratio [OR] 2.01;
95% confidence interval [CI] 1.23-3.29; P = 0.006), severe tricuspid valve
regurgitation (OR 2.35; 95%CI 1.17-4.30; P = 0.017), vascular injury (OR 2.23;
95%CI 1.15-4.30; P = 0.017), and new-onset dialysis (OR 8.49; 95%CI 3.00-24.03; P
< 0.001) (Area under the curve 0.687). When stratified by arterial access, there
was increased risk of death following severe tricuspid valve regurgitation,
vascular injury, or new-onset dialysis for transfemoral access and severe chronic
lung disease or new-onset dialysis for alternative access. CONCLUSION: Patient
characteristics and procedure-related complications are both significantly
associated with increased risk of death within 1 year of TAVR. Patients with the
baseline findings of severe chronic lung disease or severe tricuspid valve
regurgitation may not experience mortality benefit from TAVR, and they should be
assessed and counselled accordingly. Avoiding procedure-related complications is
paramount to a good outcome. The findings have important implications for health
care delivery services.
PMID- 29654616
TI - Evaluation of digital cryotherapy using a commercially available sleeve style ice
boot in healthy horses and horses receiving i.v. endotoxin.
AB - BACKGROUND: Continuous digital cryotherapy experimentally prevents development
and reduces severity of sepsis-associated laminitis. A sleeve style ice boot
where ice is in direct contact with the skin, and water drains from the boot is
being used clinically for distal limb cryotherapy. The degree of cooling achieved
by this boot is unknown. OBJECTIVES: Evaluate skin and lamellar cooling after
application of the ice sleeve in healthy horses, and the same horses during an
endotoxaemia model. STUDY DESIGN: Prospective study, crossover design. METHODS:
In eight healthy horses thermocouples were inserted into dorsal lamellae of both
front feet, and under skin on both metacarpi. One forelimb received cryotherapy
using sleeve style ice boot, with contralateral limb as control. Temperature was
recorded on data logging devices at 5 min intervals during each cryotherapy
session. Day 1: temperature data was collected for healthy horses. Day 2: data
was collected for the same horses during i.v. administration of endotoxin.
RESULTS: In healthy and endotoxaemic horses, the sleeve style ice boot
significantly decreased mean skin (7.2 degrees C and 5.8 degrees C respectively)
and lamellar (10.8 degrees C and 9.6 degrees C respectively) temperatures
compared with control limbs (P<0.001). Skin and lamellar temperatures in
endotoxaemic horses undergoing cryotherapy were significantly colder than in
healthy horses (P = 0.01). MAIN LIMITATIONS: Order of treatment not randomised.
CONCLUSIONS: The boot caused significant decreases in lamellar temperatures
compared with untreated control limbs in all horses. Endotoxaemic horses had
significantly colder lamellae and skin than healthy horses. This study is the
first to show that a sleeve style boot, where ice does not cover the hoof, can
cause significant decreases in lamellar temperatures through cooling of blood as
it travels to the foot.
PMID- 29654617
TI - Lipidomics for translational skin research: A primer for the uninitiated.
AB - Healthy skin depends on a unique lipid profile to form a barrier that confers
protection and prevents excessive water loss, aids cell-cell communication and
regulates cutaneous homoeostasis and inflammation. Alterations in the cutaneous
lipid profile can have severe consequences for skin health and have been
implicated in numerous inflammatory skin conditions. Thus, skin lipidomics is
increasingly of interest, and recent developments in mass spectrometry-based
analytical technologies can deliver in-depth investigation of cutaneous lipids,
providing insight into their role and mechanism of action. The choice of tissue
sampling technique and analytical approach depends on the location and chemistry
of the lipid of interest. Lipidomics can be conducted by various mass
spectrometry approaches, including different chromatography and ionisation
techniques. Targeted mass spectrometry is a sensitive approach for measuring low
abundance signalling lipids, such as eicosanoids, endocannabinoids and ceramides.
This approach requires specific extraction, chromatography and mass spectrometry
protocols to quantitate the lipid targets. Untargeted mass spectrometry reveals
global changes and allows analysis of hundreds of complex lipids across a range
of lipid classes, including phospholipids, glycerophospholipids, cholesteryl
esters and sphingolipids. Mass spectrometry lipid imaging, including matrix
assisted laser desorption ionisation mass spectrometry and desorption
electrospray ionisation mass spectrometry, can reveal information about abundance
and anatomical distribution of lipids within a single skin sample. Skin
lipidomics can provide qualitative and quantitative data on hundreds of
biologically relevant lipid species with different properties and activities, all
found within a single skin sample, and support translational studies exploring
the involvement of lipids in skin health and disease.
PMID- 29654618
TI - Investigation of carryover effect of prior fibre consumption on growth, serum and
tissue metabolic markers in Ossabaw pigs fed a high-fat diet.
AB - Carryover effect of prior fibre consumption on metabolic markers was
investigated. Treatments were arranged in 2 * 2 factorial with 2 fibre sources,
4% inulin or cellulose (Solka-Floc(r)) and fat levels (5 or 15%) for the low-fat
diet (LFD) and high-fat diet (HFD) respectively. Pigs were fed the two fibre
diets for the first 56d (nursery phase), and thereafter fed either the LFD or HFD
containing no added fibre source from d56 to 140 (growing phase). Pigs on the HFD
were heavier (p = .05) than those on LF (64.61 vs. 68.38 kg), regardless of prior
fibre type consumed. Pigs that were fed cellulose during the nursery and later
fed the HFD had the highest ADG (p < .05). Feeding the HFD resulted in higher
back fat (BF) (13.41 and 18.18 +/- 0.12 mm for LFD and HFD, respectively; p <
.01). The HFD resulted in higher (p < .01) insulin (0.014 and 0.016 +/- 0.001
mg/L for LF and HF respectively) and glucose (100.89 and 125.03 +/- 4.39 mg/dl
for LF and HF respectively) concentrations in the serum. Inulin increased (p <=
.02) jejunal expression of SREBP-1c and CL-4, but reduced (p < .05) TNFalpha and
IL-6 expression in the ileum. Alpha-diversity was significantly different (p <
.05) between the inulin and cellulose fed pigs at the end of the nursery and
finishing phases. Therefore, inulin feeding before a HFD may lead to reduction in
ADG and inflammatory markers in the small intestine of pigs, and thus prevent
future metabolic disorders.
PMID- 29654619
TI - Overexpression of ZAKbeta in human osteosarcoma cells enhances ZAKalpha
expression, resulting in a synergistic apoptotic effect.
AB - : ZAK is a novel mixed lineage kinase-like protein that contains a leucine-zipper
and a sterile-alpha motif as a protein-protein interaction domain, and it is
located in the cytoplasm. There are 2 alternatively spliced forms of ZAK:
ZAKalpha and ZAKbeta. Previous studies showed that ZAKalpha is involved in
various cell processes, including cell proliferation, cell differentiation, and
cardiac hypertrophy, but the molecular mechanism of ZAKbeta is not yet known. In
a recent study in our laboratory, we found that ZAKbeta can ameliorate the
apoptotic effect induced by ZAKalpha in H9c2 cells. We further hypothesized that
ZAKbeta could also improve the apoptotic effect induced by ZAKalpha in human
osteosarcoma cells. The results of this study show that ZAKbeta can induce
apoptosis and decrease cell viability similar to the effects of ZAKalpha.
Interestingly, our ZAKalpha-specific inhibitor assay shows that the expression of
ZAKbeta is highly dependent on ZAKalpha expression. However, ZAKbeta expression
effectively induces ZAKalpha expression and results in synergistic enhancement of
apoptosis in human osteosarcoma cells. Furthermore, co-immunoprecipitation
results revealed that ZAKalpha can directly interact with ZAKbeta, and this
interaction may contribute to the enhanced apoptotic effects. SIGNIFICANCE OF THE
STUDY: ZAK is a mixed lineage kinase involved in cell differentiation,
proliferation, and hypertrophic growth. ZAKalpha isoform of ZAK is associated
with tumorigenesis, but the function of ZAKbeta is not yet known. In H9c2 cells,
ZAKbeta was found to ameliorate the apoptotic effect induced by ZAKalpha.
However, in osteosarcoma cells, ZAKbeta elevates the apoptotic effect induced by
ZAKalpha. In this study, we show that similar to ZAKalpha, the ZAKbeta induces
apoptosis and decreases cell viability. Interestingly, the expression of ZAKbeta
is dependent on ZAKalpha expression, and ZAKbeta further enhances ZAKalpha
expression and results in synergistic enhancement of apoptosis in osteosarcoma
cells.
PMID- 29654620
TI - Digestive proteases and in vitro protein digestibility of feed ingredients for
the Central American river turtle, Dermatemys mawii.
AB - Functional characteristics of digestive proteases and in vitro digestibility of
several protein sources were studied in hatchlings of Central American river
turtles, Dermatemys mawii. Acidic and alkaline proteases from the stomach and
intestines were used, and optimums for acidic proteases were registered at 55
degrees C and pH 2, while alkaline proteases were found at 55 degrees C and pH 9.
Ten protein ingredients, both vegetable and animal sources, were explored by the
pH-STAT method, only for alkaline proteases. The degree of hydrolysis was at its
highest for squid meal and lowest for blood meal, while the total free amino
acids level was at its highest for squid meal, and lowest for wheat gluten meal.
Our results indicate that D. mawii has a broad capacity to digest both animal and
vegetable sources, and suggests some ingredients more suitable to design
artificial diets for this species.
PMID- 29654621
TI - Prenatal predictors of neonatal intensive care unit admission due to respiratory
distress.
AB - BACKGROUND: Admission to the neonatal intensive care unit (NICU) due to
respiratory distress is determined according to subjective clinician judgement.
We investigated prenatal factors predictive of NICU admission in neonates with
respiratory distress. METHODS: This case-control study was conducted at Nara
Prefecture General Medical Center, Nara, Japan, from February 2013 to April 2017
and included neonates with birthweight >=2,000 g, >=36 weeks of gestational age,
who required oxygen due to respiratory distress at birth. The cases consisted of
neonates admitted to the NICU, and the control group consisted of neonates who
did not require NICU admission. Perinatal factors between the groups were
compared, and multivariate logistic regression analysis carried out to evaluate
predictive factors. RESULTS: The NICU group consisted of 94 neonates, and the
control group, 417 neonates during the period reviewed. On multivariate analysis,
maternal anemia (OR, 2.97; 95%CI: 1.42-6.21), infertility treatment (OR, 2.79;
95%CI: 1.36-5.71), threatened preterm labor (OR, 2.16; 95%CI: 1.10-4.23),
premature rupture of membranes (OR, 5.30, 95%CI: 2.52-11.17), fibroids (OR, 6.06;
95%CI: 1.57-23.41), history of uterine surgery (OR, 3.53, 95%CI: 1.13-11.06),
abruptio placentae (OR, 10.21; 95%CI: 1.83-57.17), intrauterine growth
restriction (OR, 6.69; 95%CI: 1.96-22.85), and having twins (OR, 0.23; 95%CI:
0.09-0.58) were significant prenatal predictors of NICU admission. CONCLUSION:
Factors that potentially predict NICU admission were identified. Clinicians may
wish to consider these factors when treating neonates with respiratory distress.
PMID- 29654622
TI - Costal Margin Tenderness and the Risk for Intraabdominal Injuries in Children
With Blunt Abdominal Trauma.
AB - BACKGROUND: The risk of radiation exposure from computed tomography (CT) imaging
in children is well recognized. Patient history and physical examination
findings, including costal margin tenderness (CMT), influence a physician's
decision to image a child with blunt torso trauma. The objective of this study
was to determine the importance of CMT for identifying children with
intraabdominal injuries (IAI) found on CT and IAI undergoing acute intervention.
METHODS: We conducted an analysis of the Pediatric Emergency Care Applied
Research Network (PECARN) IAI public use data set, representing a large
prospective multicenter cohort study from May 2007 to January 2010. Isolated CMT
was defined as CMT without other identified PECARN risk factors for IAI (i.e.,
abdominal or thoracic wall trauma, abdominal tenderness or pain, decreased breath
sounds, or vomiting). Logistic regression was used to calculate adjusted odds of
IAI in children presenting with isolated and nonisolated CMT. Risk differences
were calculated to estimate the risk of IAI independently attributable to CMT in
the setting of isolated PECARN risk factors. Finally, CT use among exposure
groups was estimated to quantify potentially avoidable imaging. RESULTS: Among
9,174 children with Glasgow Coma Scale scores of 14 or 15 who sustained blunt
torso trauma, 1,267 (13.8%) had CMT. Among those with CMT, 177 (14.0%) had
isolated CMT and 1,090 (86.0%) had nonisolated CMT. No children (0/177; 0%, 95%
confidence interval [CI] = 0.0%-2.1%) with isolated CMT had IAI, compared to
17.2% (187/1,090; 95% CI = 15.0%-19.5%) of those with nonisolated CMT. The risk
differences were not statistically significant. 36/177 (20.3%; 95% CI = 14.7%
27.0%) children with isolated CMT underwent abdominal CT scans. CONCLUSIONS: The
risk of IAI associated with isolated CMT is minimal. For children with blunt
abdominal trauma and isolated CMT, abdominal CT scan is of low yield.
PMID- 29654624
TI - The development of in vitro embryo production in the horse.
AB - The development of techniques to produce equine embryos in vitro is reviewed with
specific reference to intracytoplasmic sperm injection (ICSI). Unexplored 50
years ago, this technology has progressed rapidly in the last 20 years to become
a commercial reality for the equine breeding industry. Improvements in our
understanding of oocyte and embryo competence in the horse have been key factors
in overcoming some of the initial problems associated with ICSI. It is now
possible to obtain high nuclear maturation and cleavage rates in vitro and the
most limiting factor, presently, is the low rate of development to the blastocyst
stage. However, in spite of this, once obtained, these in vitro-derived
blastocysts can result in pregnancy rates in excess of 60% following transfer.
PMID- 29654623
TI - An immunologically relevant rodent model demonstrates safety of therapy using a
tumour-specific IgE.
AB - BACKGROUND: Designing biologically informative models for assessing the safety of
novel agents, especially for cancer immunotherapy, carries substantial
challenges. The choice of an in vivo system for studies on IgE antibodies
represents a major impediment to their clinical translation, especially with
respect to class-specific immunological functions and safety. Fcepsilon receptor
expression and structure are different in humans and mice, so that the murine
system is not informative when studying human IgE biology. By contrast,
FcepsilonRI expression and cellular distribution in rats mirror that of humans.
METHODS: We are developing MOv18 IgE, a human chimeric antibody recognizing the
tumour-associated antigen folate receptor alpha. We created an immunologically
congruent surrogate rat model likely to recapitulate human IgE-FcepsilonR
interactions and engineered a surrogate rat IgE equivalent to MOv18. Employing
this model, we examined in vivo safety and efficacy of antitumour IgE antibodies.
RESULTS: In immunocompetent rats, rodent IgE restricted growth of syngeneic
tumours in the absence of clinical, histopathological or metabolic signs
associated with obvious toxicity. No physiological or immunological evidence of a
"cytokine storm" or allergic response was seen, even at 50 mg/kg weekly doses.
IgE treatment was associated with elevated serum concentrations of TNFalpha, a
mediator previously linked with IgE-mediated antitumour and antiparasitic
functions, alongside evidence of substantially elevated tumoural immune cell
infiltration and immunological pathway activation in tumour-bearing lungs.
CONCLUSION: Our findings indicate safety of MOv18 IgE, in conjunction with
efficacy and immune activation, supporting the translation of this therapeutic
approach to the clinical arena.
PMID- 29654625
TI - Brazilian artisanal ripened cheeses as sources of proteolytic lactic acid
bacteria capable of reducing cow milk allergy.
AB - AIM: The objective was to obtain lactic acid bacteria (LAB) capable of
hydrolysing immunoreactive proteins in milk, to optimize the hydrolysis, to
determine the proteolysis kinetics and to test the safety of the best hydrolytic
strain. METHODS AND RESULTS: Brazilian cheese was used as source of LAB capable
of hydrolysing main milk allergens. Proteolytic isolates were submitted to RAPD
PCR for the characterization of clonal diversity. Optimized hydrolysis was strain
and protein fraction dependent. 16S rDNA sequencing identified three proteolytic
strains: Enterococcus faecalis VB43, that hydrolysed alphaS1 -, alphaS2 - and
beta-caseins, alpha-lactalbumin and beta-lactoglobulin (partial hydrolysis), and
Pediococcus acidilactici VB90 and Weissella viridescens VB111, that caused
partial hydrolysis of alphaS1 - and alphaS2 -caseins. Enterococcus faecalis VB43
tested negative for virulence genes asa1, agg, efaA, hyl, esp, cylLL and cylLS
but positive for genes ace and gelE. Ethylenediamine tetra-acetic acid inhibited
the proteolysis, indicating that the main proteases of E. faecalis VB43 are
metalloproteases. CONCLUSION: Brazilian artisanal cheese is a good source of LAB
capable of hydrolysing allergenic proteins in milk. One isolate (E. faecalis
VB43) presented outstanding activity against these proteins and lacked most of
the tested virulence genes. SIGNIFICANCE AND IMPACT OF THE STUDY: Enterococcus
faecalis VB43 presents good potential for the manufacture of hypoallergenic dairy
products.
PMID- 29654626
TI - Effects of enrofloxacin on antioxidant system, microsomal enzymatic activity, and
proteomics in porcine liver.
AB - Enrofloxacin (EF) is a widely used fluoroquinolone, usually regarded as a safe
and effective treatment for bacterial infections. Adverse effects of EF have
previously been demonstrated in some species, but so far there have been no
studies looking specifically at the impact of EF on pigs. In this study, three
different doses of EF (5, 25 and 125 mg kg bw-1 ) were administrated to Bama
pigs. The results showed that lipid peroxidation of pig liver tissue occurred
with all EF doses. The 125 mg kg dose of EF induced catalase (CAT) and
glutathione peroxidase (GSH-px) and increased CYP450 content in pig liver
microsomes. The activity of microsomal NADPH-cytochrome C reductase (NCCR) was
elevated at both the 25 and 125 mg kg doses of EF. Microsomal erythromycin N
demethylase (ERND) and aminopyrin N-demethylase (AND) were inhibited by high
doses of EF, while aniline-4-hydroxylase (AH) was unaffected. None of the EF
treatments affected superoxide dismutase (SOD) or cytochrome b5 content.
Antioxidases and microsomal enzymes may work together to resist the adverse
effects of EF. Proteomic analysis revealed increased protein expression of
carboxylesterase (CES) and alpha-enolase (ENO1) in microsomes as a stress
response to EF. These results provide new information about the adverse effect of
fluoroquinolones and help guide their usage more effectively in the clinic or
animal breeding.
PMID- 29654627
TI - Clinical features of, and association of bladder ultrasound and uroflowmetry
with, overactive bladder recovery period in children.
AB - BACKGROUND: Overactive bladder (OAB) is a symptomatic syndrome defined by urinary
urgency, usually accompanied by increased urination frequency and nocturia, with
or without urinary incontinence. The prevalence of pediatric OAB in 5-13 year
olds is as high as 16.6%, but the pathophysiology and epidemiology have not been
sufficiently elucidated. METHODS: We retrospectively reviewed medical records in
117 children with OAB aged between 5 and 15 years during the years 2012-2016. At
initial presentation, abdominal ultrasound and uroflowmetry were performed, and
behavioral modifications, such as timed voiding, and constipation therapy were
initiated. If there was no response after 4 weeks, antimuscarinic treatment was
added. We evaluated the clinical features of OAB and factors related to the
recovery period, which was defined as the period from the start of behavioral
modifications to cure. RESULTS: The average recovery period was 11.9 +/- 9.73
months. There was no significant difference in the recovery period according to
age, gender, percentage of urination frequency, nocturnal enuresis, or
constipation. The recovery period was significantly shorter in the group with
bladder wall thickness >=5 mm than with bladder wall thickness <5 mm. Children
with a tower-shaped curve on uroflowmetry had a significantly shorter recovery
period than those with a bell-shaped curve. CONCLUSIONS: Bladder wall thickness
and uroflow curve shape are related to the recovery period of pediatric OAB.
PMID- 29654628
TI - Birch pollen-related foods can cause late eczematous reactions in patients with
atopic dermatitis.
AB - BACKGROUND: Previous studies have indicated that in patients with atopic
dermatitis (AD) and birch pollen allergy pollen-related foods are able to cause
late eczematous response. However, the relevance of AD worsening by ingestion of
birch pollen-related foods is still a matter of debate. OBJECTIVE: The purpose of
this retrospective study was to determine how frequently birch pollen-related
foods induce a deterioration of eczema. Additionally, the diagnostic value of
specific IgE (sIgE) determination was evaluated. METHODS: A total of 182 children
and adults with AD and suspected birch pollen-related food allergy underwent 261
double-blind placebo-controlled food challenges (DBPCFC). Total and sIgE levels
were determined prior to DBPCFC. RESULTS: Sixty-five patients developed allergic
reactions (responders) upon DBPCFC with birch pollen-related foods (n = 103
DBPCFC). Of these, 32 patients exhibited significant deterioration of AD defined
as a median increase of 15.4 severity scoring of atopic dermatitis index points
(95% CI 12.4-16.3) from baseline making up 37% of all positive reactions.
Responders showed significantly higher sIgE levels to birch pollen and apple as
well as a higher prevalence of allergic rhinoconjunctivitis compared to
nonresponders (P < .05). However, patients with late eczematous response could
not be differentiated from those with isolated immediate-type reactions by sIgE
levels. CONCLUSION: In a subpopulation of patients with AD and birch pollen
sensitization, related foods should be considered as a trigger for an aggravation
of eczema. As sufficient markers for prediction of late eczematous reactions are
still lacking, DBPCFC cannot be replaced in diagnosis of birch pollen-related
foods in patients with AD. CLINICAL IMPLICATIONS: In patients with AD and birch
pollen allergy, birch pollen-related foods should be considered as a provocation
factor for an aggravation of disease signs and symptoms.
PMID- 29654629
TI - Management of patients with incurable colorectal cancer: a retrospective audit.
AB - AIM: Counselling patients and their relatives about non-curative management
options in colorectal cancer is difficult because of a paucity of published data.
This study aims to determine outcomes in patients unsuitable for curative surgery
and the rates of subsequent surgical intervention. METHOD: This was an analysis
of all colorectal cancers managed without curative surgery in a district general
hospital from a prospectively maintained cancer registry between 2009 and 2016,
as decided by a multidisciplinary team. Primary outcomes were overall survival
and secondary outcomes were subsequent intervention rates and impact of tumour
stage. RESULTS: In all, 183 patients out of 976 patients (18.8%) were identified.
The median age at diagnosis was 81 years [interquartile range (IQR) 71-87 years].
Overall median survival from diagnosis was 205 days (IQR 60-532 days). One-year
mortality was 62.3%. Patients were classified into two groups depending on the
reason for a non-curable approach: patient-related (PR) or disease-related (DR).
The difference in survival between PR (median 277 days, IQR 70-593) and DR
(median 179 days, IQR 51-450) was 98 days (P = 0.023). Twenty-four patients were
alive at the end of the study period; 19 out of 91 cases in PR (20.8%) and five
out of 92 cases in DR (5.4%). Overall intervention rates were 11.9%, with higher
rates in the DR group (P = 0.005). Disease stage was not associated with
subsequent surgical intervention between the two groups (P = 0.392). CONCLUSION:
Life expectancy for non-curatively managed patients within our unit was 6.8
months with one in nine patients requiring subsequent surgical admission for
palliation. This information may be useful when counselling patients with
incurable colorectal malignancy.
PMID- 29654630
TI - Physical activity modifies the FTO effect on BMI change in Japanese adolescents.
AB - BACKGROUND: Evidence of the effects of fat mass and obesity-associated (FTO) gene
variation and long-term effects of physical activity (PA) on adiposity in
adolescents is largely scarce. This study aimed to investigate whether physical
activity modulates the effects of the FTO gene on body mass index (BMI) changes
in Japanese adolescents between the ages of 13 and 18 years. METHODS: Data of 343
subjects (156 boys; 187 girls) who were enrolled in 2006 and 2007 from schools on
Shunan City, Japan, were collected. Genotyping (rs1558902) was conducted, and
anthropometric measurements and blood test results were recorded for subjects in
the eighth grade. A second survey involving self-reporting of anthropometric
measurements was conducted when the subjects were in the twelfth grade. PA was
estimated using the International Physical Activity Questionnaire in this survey.
BMI and the standard deviation score for BMI (BMI-SDS) were calculated. BMI
changes and BMI-SDS changes were compared among FTO genotypes using a
multivariate model. RESULTS: The effect of the interaction between PA and the FTO
genotype on BMI changes was significant among boys but not girls. Among boys, PA
had a significant negative influence on BMI-SDS changes in those with the AA
genotype and a significant positive influence on BMI and BMI-SDS changes in those
with the TT genotype. CONCLUSION: These data suggest that the influence of PA on
BMI changes and BMI-SDS changes varied on the basis of genotype. PA modified the
effect of the FTO gene on BMI changes in Japanese boys. This article is protected
by copyright. All rights reserved.
PMID- 29654631
TI - Incidence and survival of hematological cancers among adults ages >=75 years.
AB - Evaluating population-based data of hematologic malignancies (HMs) in older
adults provides prognostic information for this growing demographic. Incidence
rates and one- and five-year relative survival rates were examined for specific
HMs among adults ages >=75 years using data from the Surveillance, Epidemiology
and End Results (SEER) Program. Hematologic malignancy cases (Hodgkin lymphoma
(HL), non-Hodgkin lymphoma (NHL), multiple myeloma (MM), acute lymphocytic
leukemia (ALL), chronic lymphocytic leukemia (CLL), acute myeloid leukemia (AML),
and chronic myeloid leukemia (CML)) were reported to one of 18 SEER registries.
Recent average annual (2010-2014) incidence rates and incidence trends from 1973
to 2014 were examined for cases ages >=75 years. One- and five-year relative
cancer survival rates were examined for adults ages >=75 years diagnosed 2007
2013, with follow-up into 2014. From 1973 to 2014, incidence rates increased for
NHL, MM, and AML, decreased for HL, and remained relatively stable for ALL, CLL,
and CML among adults ages >=75 years. The highest one- and five-year relative
survival rates were observed among adults with CLL ages 75-84 years (1 year:
91.8% (95% CI = 91.8-90.8)) and 5 years: 76.5% (95% CI = 74.2-78.6)). The lowest
one- and five-year survival rates were observed among adults with AML ages 75-84
(1 year: 18.2% (95% CI = 74.2-78.6) and 5 years: 2.7% (95% CI = 2.0-3.6)).
Survival for older adults ages >=75 years with HMs is poor, particularly for
acute leukemia. Understanding the heterogeneity in HM outcomes among older
patients may help clinicians better address the hematological cancer burden and
mortality in the aging population.
PMID- 29654632
TI - Assessment of area spread of porcine reproductive and respiratory syndrome (PRRS)
virus in three clusters of swine farms.
AB - Despite decades of porcine reproductive and respiratory syndrome (PRRS) research,
outbreaks with emerging and re-emerging PRRS virus (PRRSV) strains are not
uncommon in North America. The role of area spread, commonly referred but not
limited to airborne transmission, in originating such outbreaks is currently
unknown. The main objective of this study was to explore the role of area spread
on the occurrence of new PRRSV cases by combining information on genetic
similarity among recovered PRRSV isolate's open-reading frame (ORF) 5 sequences
and publicly available weather data. Three small regions were enrolled in the
study for which high farm-level participation rate was achieved, and swine sites
within those regions were readily sampled after reporting of an outbreak in a sow
farm. Oral fluid PCR testing was used to determine PRRSV status of farms, and
wind roses were generated for assessment of prevailing wind directions during 2
14 days preceding the outbreak. Under the conditions of this study, the data did
not support the area spread theory as the main cause for these outbreaks. We
suggest that for future studies, analysis of animal movement and other links
between farms such as personnel, equipment and sharing of service providers
should be incorporated for better insights on source of the virus. Furthermore,
the development of rapid and easy diagnostic methods for ruling out resident
PRRSV is urgently needed.
PMID- 29654633
TI - Molecular changes during TGFbeta-mediated lung fibroblast-myofibroblast
differentiation: implication for glucocorticoid resistance.
AB - Airway remodeling is an important process in response to repetitive inflammatory
mediated airway wall injuries. This is characterized by profound changes and
reorganizations at the cellular and molecular levels of the lung tissue. It is of
particular importance to understand the mechanisms involved in airway remodeling,
as this is strongly associated with severe asthma leading to devastating airway
dysfunction. In this study, we have investigated the transforming growth factor
beta (TGFbeta, a proinflammatory mediator)-activated fibroblast to myofibroblast
transdifferentiation pathway, which plays a key role in asthma-related airway
remodeling. We show that TGFbeta induces fibroblast to myofibroblast
transdifferentiation by the expression of alphaSMA, a specific myofibroblast
marker. Furthermore, Smad2/Smad3 gene and protein expression patterns are
different between fibroblasts and myofibroblasts. Such a change in expression
patterns reveals an important role of these proteins in the cellular phenotype as
well as their regulation by TGFbeta during cellular transdifferentiation.
Interestingly, our data show a myofibroblastic TGFbeta-mediated increase in
glucocorticoid receptor (GR) expression and a preferential localization of GR in
the nucleus, compared to in fibroblasts. Furthermore, the GRbeta (nonfunctional
GR isoform) is increased relative to GRalpha (functional isoform) in
myofibroblasts. These results are interesting as they support the idea of a
GRbeta-mediated glucocorticoid resistance observed in the severe asthmatic
population. All together, we provide evidence that key players are involved in
the TGFbeta-mediated fibroblast to myofibroblast transdifferentiation pathway in
a human lung fibroblast cell line. These players could be the targets of new
treatments to limit airway remodeling and reverse glucocorticoid resistance in
severe asthma.
PMID- 29654635
TI - Super-quenched Molecular Probe Based on Aggregation-Induced Emission and
Photoinduced Electron Transfer Mechanisms for Formaldehyde Detection in Human
Serum.
AB - Energy transfer between fluorescent dyes and quenchers is widely used in the
design of light-up probes. Although dual quenchers are more effective in offering
lower background signals and higher turn-on ratios than one quencher, such probes
are less explored in practice as they require both quenchers to be within the
proximity of the fluorescent core. In this contribution, we utilized
intramolecular motion and photoinduced electron transfer (PET) as quenching
mechanisms to build super-quenched light-up probes based on fluorogens with
aggregation-induced emission. The optimized light-up probe possesses negligible
background and is able to detect not only free formaldehyde (FA) but also
polymeric FA, with an unprecedented turn-on ratio of >4900. We envision that this
novel dual quenching strategy will help to develop various light-up probes for
analyte sensing.
PMID- 29654634
TI - Measurement of cations, anions, and acetate in serum, urine, cerebrospinal fluid,
and tissue by ion chromatography.
AB - Accurate quantification of cations and anions remains a major diagnostic tool in
understanding diseased states. The current technologies used for these analyses
are either unable to quantify all ions due to sample size/volume, instrument
setup/method, or are only able to measure ion concentrations from one
physiological sample (liquid or solid). Herein, we adapted a common analytical
chemistry technique, ion chromatography and applied it to measure the
concentration of cations; sodium, potassium, calcium, and magnesium (Na+ , K+ ,
Ca2+ , and Mg2+ ) and anions; chloride, and acetate (Cl- , - OAc) from
physiological samples. Specifically, cations and anions were measured in liquid
samples: serum, urine, and cerebrospinal fluid, as well as tissue samples: liver,
cortex, hypothalamus, and amygdala. Serum concentrations of Na+ , K+ , Ca2+ ,
Mg2+ , Cl- , and - OAc (mmol/L): 138.8 +/- 4.56, 4.05 +/- 0.21, 4.07 +/- 0.26,
0.98 +/- 0.05, 97.7 +/- 3.42, and 0.23 +/- 0.04, respectively. Cerebrospinal
fluid concentrations of Na+ , K+ , Ca2+ , Mg2+ , Cl- , and - OAc (mmol/L): 145.1
+/- 2.81, 2.41 +/- 0.26, 2.18 +/- 0.38, 1.04 +/- 0.11, 120.2 +/- 3.75, 0.21 +/-
0.05, respectively. Tissue Na+ , K+ , Ca2+ , Mg2+ , Cl- , and - OAc were also
measured. Validation of the ion chromatography method was established by
comparing chloride concentration between ion chromatography with a known method
using an ion selective chloride electrode. These results indicate that ion
chromatography is a suitable method for the measurement of cations and anions,
including acetate from various physiological samples.
PMID- 29654636
TI - Healthy community-living older men differ from women in associations between
myostatin levels and skeletal muscle mass.
AB - BACKGROUND: Myostatin is a negative regulator of muscle growth but the
relationship between serum myostatin levels and muscle mass is unclear. This
study investigated the association between serum myostatin levels and skeletal
muscle mass among healthy older community residents in Taiwan, to evaluate the
potential of serum myostatin as a biomarker for diagnosing sarcopenia and/or
evaluating the effect of its treatment. METHODS: Study data were excerpted from a
random subsample of the I-Lan Longitudinal Aging Study population. Serum
myostatin levels were determined and categorized into tertiles (low, medium,
high). Relative appendicular skeletal muscle mass (RASM) was calculated as
appendicular lean body mass by dual-energy X-ray absorptiometry divided by height
squared (kg/m2 ). Low muscle mass was defined as recommended by the Asian Working
Group for Sarcopenia. RESULTS: The analytic study sample comprised 463 adults
(mean age: 69.1 years; 49.5% men). Compared with subjects with normal RASM, those
with lower RASM were older and frailer, with significantly higher prevalence of
malnutrition, lower serum dehydroepiandrosterone (DHEA) levels, and were more
likely to have low serum myostatin status. Multivariable logistic regression
analysis showed that male sex (OR 3.60, 95% CI 1.30-9.92), malnutrition (OR 4.39,
95% CI 1.56-12.36), DHEA (OR 0.99, 95% CI 0.99-1.00), and low myostatin (OR 3.23,
95% CI 1.49-7.01) were all independent risk factors for low RASM (all P < 0.05).
In men, DHEA (OR 0.99, 95% CI 0.98-1.00) and low myostatin (OR 4.89, 95% CI 1.79
13.37) were significantly associated with low RASM (both P < 0.05); however, only
malnutrition was associated with low RASM in women (OR 13.59, 95% CI 2.22-83.25,
P < 0.05). CONCLUSIONS: Among healthy community-living older adults, low serum
myostatin levels were associated with low skeletal muscle mass in men, but not in
women. Our results do not support using serum myostatin levels to diagnose
sarcopenia, or to monitor how it responds to treatments. Further research is
needed to understand why men apparently differ from women in the
interrelationship between their myostatin levels and muscle mass.
PMID- 29654637
TI - Retrospective detection of Porcine circovirus 3 (PCV-3) in pig serum samples from
Spain.
AB - Porcine circovirus 3 (PCV-3) is an emerging circovirus species that has recently
been reported in different countries around the world, suggesting a widespread
circulation. In this study, sera samples originating from 654 pigs of different
production phases and clinical/pathological conditions, submitted for diagnostic
purposes between 1996 and 2017, were randomly selected. Detection of PCV-3 genome
in such samples was attempted with a previously described PCR method, and the
partial genome sequence was obtained from selected PCV-3-positive samples from
different years. Compiled data confirmed that PCV-3 has been circulating in the
Spanish pig population since 1996. The overall frequency of PCV-3 PCR-positive
samples in the study period was 11.47% (75 of 654). Phylogenetic analysis of
twelve PCV-3 partial sequences obtained showed a high nucleotide identity with
the already known PCV-3 sequences, with minor variations among years. No
significant correlation was found between the detection of PCV-3 and any
production phase nor clinical/pathological condition. These results confirm PCV-3
circulation at least since 1996 in the Spanish pig population with a low/moderate
frequency. Although the information obtained was limited, PCV-3 did not appear to
be linked to any specific pathological condition or age group.
PMID- 29654638
TI - Diagnosis of oral lichen planus from analysis of saliva samples using terahertz
time-domain spectroscopy and chemometrics.
AB - The ability to diagnose oral lichen planus (OLP) based on saliva analysis using
THz time-domain spectroscopy and chemometrics is discussed. The study involved 30
patients (2 male and 28 female) with OLP. This group consisted of two subgroups
with the erosive form of OLP (n = 15) and with the reticular and papular forms
of OLP (n = 15). The control group consisted of six healthy volunteers (one
male and five females) without inflammation in the mucous membrane in the oral
cavity and without periodontitis. Principal component analysis was used to reveal
informative features in the experimental data. The one-versus-one multiclass
classifier using support vector machine binary classifiers was used. The two
stage classification approach using several absorption spectra scans for an
individual saliva sample provided 100% accuracy of differential classification
between OLP subgroups and control group.
PMID- 29654639
TI - Analytic Errors in Analysis of Public Health Survey Data Are Avoidable.
PMID- 29654640
TI - Rochester Epidemiology Project Data Exploration Portal.
AB - INTRODUCTION: The goal of this project was to develop an interactive, web-based
tool to explore patterns of prevalence and co-occurrence of diseases using data
from the expanded Rochester Epidemiology Project (E-REP) medical records-linkage
system. METHODS: We designed the REP Data Exploration Portal (REP DEP) to include
summary information for people who lived in a 27-county region of southern
Minnesota and western Wisconsin on January 1, 2014 (n = 694,506; 61% of the
entire population). We obtained diagnostic codes of the International
Classification of Diseases, 9th edition, from the medical records-linkage system
in 2009 through 2013 (5 years) and grouped them into 717 disease categories. For
each condition or combination of 2 conditions (dyad), we calculated prevalence by
dividing the number of persons with a specified condition (numerator) by the
total number of persons in the population (denominator). We calculated observed
to-expected ratios (OERs) to test whether 2 conditions co-occur more frequently
than would co-occur as a result of chance alone. RESULTS: We launched the first
version of the REP DEP in May 2017. The REP DEP can be accessed at
http://rochesterproject.org/portal/. Users can select 2 conditions of interest,
and the REP DEP displays the overall prevalence, age-specific prevalence, and sex
specific prevalence for each condition and dyad. Also displayed are OERs overall
and by age and sex and maps of county-specific prevalence of each condition and
OER. CONCLUSION: The REP DEP draws upon a medical records-linkage system to
provide an innovative, rapid, interactive, free-of-charge method to examine the
prevalence and co-occurrence of 717 diseases and conditions in a geographically
defined population.
PMID- 29654641
TI - Impact of Early High-protein Diet on Neurofunctional Recovery in Rats with
Ischemic Stroke.
AB - BACKGROUND Ischemic stroke, featuring high incidence, morbidity, and mortality,
is one of the three major diseases troubling human beings. The purpose of the
study was to examine the impact of early high-protein diet on neurofunctional
recovery in rats with ischemic stroke as well as their cerebral infarct areas and
molecular expressions of oxidative stress. MATERIAL AND METHODS The middle
cerebral artery occlusion model (MCAO) was established, and 48 adult, male
Sprague Dawley (SD) rats of clean grade aged seven to eight months (250-280 g
body weight) were randomized into four groups: the MCAO group with high-protein
diet (MH), the MCAO group with standard-protein diet (MS), the sham group with
high-protein diet (SH), and the sham group with standard-protein diet (SS). High
protein diet intervention started on the first day of the surgery, and the rats'
body weights and their neurological deficit scores were measured on each
postoperative day while the scores of motors coordination and balance ability
were recorded every other day. In addition, their cerebral infant areas and the
molecular expressions of oxidative stress injuries were detected as well. RESULTS
Compared to the MS group, the rats in the MH group gained faster weight growth
(p<0.05), presented significantly lower neurological impairment scores (p<0.05),
remarkably improved motor coordination and balance ability (p<0.05) as well as
showed smaller cerebral infarct areas (p<0.05), increased expression of SOD
(superoxide dismutase), and reduced expressions of MDA (malondialdehyde) and iNOS
(inducible nitric oxide synthase). However, there was no significant difference
between the SS group and the SH group (p>0.05). CONCLUSIONS Early high-protein
diet facilitates the recovery of body weights and neurological functions as well
the reduction of the cerebral infarct areas of rats, thus alleviating ischemic
stroke-caused oxidative stress injuries.
PMID- 29654642
TI - Analysis of RDR1/RDR2/RDR6-independent small RNAs in Arabidopsis thaliana
improves MIRNA annotations and reveals unexplained types of short interfering RNA
loci.
AB - Plant small RNAs (sRNAs) modulate key physiological mechanisms through post
transcriptional and transcriptional silencing of gene expression. Small RNAs fall
into two major categories: those are reliant on RNA-dependent RNA polymerases
(RDRs) for biogenesis and those that are not. Known RDR1/2/6-dependent sRNAs
include phased and repeat-associated short interfering RNAs, while known RDR1/2/6
independent sRNAs are primarily microRNAs (miRNA) and other hairpin-derived
sRNAs. In this study we produced and analyzed sRNA-seq libraries from
rdr1/rdr2/rdr6 triple mutant plants. We found 58 previously annotated miRNA loci
that were reliant on RDR1, -2, or -6 function, casting doubt on their
classification. We also found 38 RDR1/2/6-independent sRNA loci that are not
MIRNAs or otherwise hairpin-derived, and did not fit into other known paradigms
for sRNA biogenesis. These 38 sRNA-producing loci have as-yet-undescribed
biogenesis mechanisms, and are frequently located in the vicinity of protein
coding genes. Altogether, our analysis suggests that these 38 loci represent one
or more undescribed types of sRNA in Arabidopsis thaliana.
PMID- 29654643
TI - Is glucagon-like peptide-1 fully protected by the dipeptidyl peptidase 4
inhibitor sitagliptin when administered to patients with type 2 diabetes?
AB - AIM: To evaluate the relationship between plasma dipeptidyl-peptidase 4 (DPP-4)
activity and its protection of glucagon-like peptide-1 (GLP-1) using the DPP-4
inhibitor sitagliptin. METHODS: On four separate days, patients with type 2
diabetes (T2D) (n = 8; age: 59.9 +/-10.8 [mean +/-SD] years; body mass index
[BMI]: 28.8 +/-4.6 kg/m2 ; glycated haemoglobin A1c [HbA1c]: 43.1 +/-0.5 mmol/mol
[6.6% +/-1.7%]) received a 380-minute continuous intravenous infusion of GLP-1
(1.0 pmol * kg bodyweight-1 * minutes-1 ) and a double-blind, single-dose oral
administration of sitagliptin in doses of 0 (placebo), 25, 100 and 200 mg.
RESULTS: Plasma DPP-4 activity decreased compared to baseline (placebo) with
increasing doses of sitagliptin (P < .01), reaching a maximal inhibition with the
100 mg dose. Levels of intact GLP-1 increased with increasing doses of
sitagliptin from placebo to 100 mg (area under curve [AUC] 7.2 [95%, CI; 12.1,
16.4] [placebo], 10.7 [16.1, 21.4] [25 mg], 11.7 [17.8, 23.6] [100 mg] nmol/L *
360 minutes [P < .01]), but no further increase in intact GLP-1 levels was
observed with 200 mg of sitagliptin (11.5 [17.6, 23.4] nmol/L * 360 minutes) (P =
.80). CONCLUSION: Our findings suggest that the sitagliptin dose of 100 mg is
sufficient to inhibit both plasma and membrane-bound DPP-4 activity, presumably
also leading to complete protection of endogenous GLP-1 in patients with T2D.
PMID- 29654644
TI - Reciprocal relationships and the importance of feedback in patient and public
involvement: A mixed methods study.
AB - BACKGROUND: Reciprocal relationships between researchers and patient and public
involvement (PPI) contributors can enable successful PPI in research. However,
research and anecdotal evidence suggest that researchers do not commonly provide
feedback to PPI contributors thus preventing them from knowing whether, how or
where their contributions were useful to researchers and research overall. AIMS:
The aim of this study was to explore the variation, types, importance of, and
satisfaction with feedback given by researchers to PPI contributors in six PPI
groups in England, and identify the barriers to the process of feedback. METHODS:
An explanatory mixed methods sequential study design with a questionnaire survey
followed by semi-structured interviews with researchers and PPI contributors in
six PPI groups. PPI contributors were involved in all stages of the research
process. RESULTS: Researchers do not routinely give feedback to PPI contributors.
Feedback was found to have different meanings: an acknowledgement, impact and
study success and progress. PPI contributors who receive feedback are motivated
for further involvement; it supports their learning and development and prompts
researchers to reflect on PPI impact. The importance of the role of a PPI lead or
coordinator to facilitate the process of providing feedback was also highlighted.
CONCLUSION: This study found no generic way to give feedback indicating that
mutual feedback expectations should be discussed at the outset. PPI feedback
needs to become integral to the research process with appropriate time and
resources allocated. PPI feedback can be seen as a key indicator of mature,
embedded PPI in research.
PMID- 29654646
TI - An In Vitro Evaluation of the Effect of Various Adhesives and Surface Treatments
on Bond Strength of Resin Cement to Polyetheretherketone.
AB - PURPOSE: To investigate the effect of various surface pretreatments and adhesive
systems on bond strength of resin cement to polyetheretherketone (PEEK).
MATERIALS AND METHODS: 360 PEEK specimens were divided into 4 groups to receive
the following pretreatments (n = 90): no pretreatment, sandblasting, silica
coating and Er:YAG laser. Surface roughness (SR) and scanning electron microscopy
(SEM) evaluations were conducted after mechanical pretreatments for topographical
surface evaluations. After the pretreatments, each group was divided into 3
subgroups (n = 30) according to the adhesive system used: no adhesive system,
Visio.link, and Signum PEEK Bond. Resin cement was applied, and specimens
underwent 5000 thermocycles (5-55 degrees C) before shear bond strength (SBS)
test. One-way ANOVA and Tukey test were used to analyze the SR data; 2-way ANOVA
and Fisher's LSD test were used to analyze the SBS data at the confidence
interval of 95% (alpha = 0.05). RESULTS: The sandblasting group demonstrated the
highest SR values (p < 0.05). No significant differences in SR values were
identified among silica coating, laser, and control groups (p ? 0.05).
Conditioning with Visio.link after sandblasting group exhibited the highest SBS
values (p < 0.05). No significant differences in SBS values were found between
laser and control group (p ? 0.05). Conditioned groups presented higher SBS
values than unconditioned groups (p < 0.05). Between the two adhesive systems,
Visio.link demonstrated statistically significant higher SBS values than Signum
PEEK Bond (p < 0.05). CONCLUSION: Silica coating and sandblasting were shown to
provide superior pretreatment of PEEK surface. Laser pretreatments showed no
effectiveness on bond strength of resin cement to PEEK. Adhesive systems improved
the resin bonding to PEEK.
PMID- 29654645
TI - A randomized trial of adjunct testosterone for cancer-related muscle loss in men
and women.
AB - BACKGROUND: Cancer cachexia negatively impacts cancer-related treatment options,
quality of life, morbidity, and mortality, yet no established therapies exist. We
investigated the anabolic properties of testosterone to limit the loss of body
mass in late stage cancer patients undergoing standard of care cancer treatment.
METHODS: A randomized, double-blind, placebo-controlled phase II clinical trial
was undertaken to assess the potential therapeutic role of adjunct testosterone
to limit loss of body mass in patients with squamous cell carcinoma of the cervix
or head and neck undergoing standard of care treatment including chemotherapy and
chemoradiation. Patients were randomly assigned in blocks to receive weekly
injections of either 100 mg testosterone enanthate or placebo for 7 weeks. The
primary outcome was per cent change in lean body mass, and secondary outcomes
included assessment of quality of life, tests of physical performance, muscle
strength, daily activity levels, resting energy expenditure, nutritional intake,
and overall survival. RESULTS: A total of 28 patients were enrolled, 22 patients
were studied to completion, and 21 patients were included in the final analysis
(12 placebo, nine testosterone). Adjunct testosterone increased lean body mass by
3.2% (95% confidence interval [CI], 0-7%) whereas those receiving placebo lost
3.3% (95% CI, -7% to 1%, P = 0.015). Although testosterone patients maintained
more favourable body condition, sustained daily activity levels, and showed
meaningful improvements in quality of life and physical performance, overall
survival was similar in both treatment groups. CONCLUSIONS: In patients with
advanced cancer undergoing the early phase of standard of care therapy, adjunct
testosterone improved lean body mass and was also associated with increased
quality of life, and physical activity compared with placebo.
PMID- 29654648
TI - Photoinducible DRONPA-s: a new tool for investigating cell-cell connectivity.
AB - The development of multicellular plants relies on the ability of their cells to
exchange solutes, proteins and signalling compounds through plasmodesmata,
symplasmic pores in the plant cell wall. The aperture of plasmodesmata is
regulated in response to developmental cues or external factors such as pathogen
attack. This regulation enables tight control of symplasmic cell-to-cell
transport. Here we report on an elegant non-invasive method to quantify the
passive movement of protein between selected cells even in deeper tissue layers.
The system is based on the fluorescent protein DRONPA-s, which can be switched on
and off repeatedly by illumination with different light qualities. Using
transgenic 35S::DRONPA-s Arabidopsis thaliana and a confocal microscope it was
possible to activate DRONPA-s fluorescence in selected cells of the root
meristem. This enabled us to compare movement of DRONPA-s from the activated
cells into the respective neighbouring cells. Our analyses showed that pericycle
cells display the highest efflux capacity with a good lateral connectivity. In
contrast, root cap cells showed the lowest efflux of DRONPA-s. Plasmodesmata of
quiescent centre cells mediated a stronger efflux into columella cells than into
stele initials. To simplify measurements of fluorescence intensity in a complex
tissue we developed software that allows simultaneous analyses of fluorescence
intensities of several neighbouring cells. Our DRONPA-s system generates
reproducible data and is a valuable tool for studying symplasmic connectivity.
PMID- 29654647
TI - Common genetic variants in GAL, GAP43 and NRSN1 and interaction networks confer
susceptibility to Hirschsprung disease.
AB - Hirschsprung disease (HSCR) is a severe multifactorial genetic disorder.
Microarray studies indicated GAL, GAP43 and NRSN1 might contribute to the altered
risk in HSCR. Thus, we focused on genetic variations in GAL, GAP43 and NRSN1, and
the gene-gene interactions involved in HSCR susceptibility. We recruited a
strategy combining case-control study and MassArray system with interaction
network analysis. For GAL, GAP43 and NRSN1, a total of 18 polymorphisms were
assessed in 104 subjects with sporadic HSCR and 151 controls of Han Chinese
origin. We found statistically significant differences between HSCR and control
groups at 5 genetic variants. For each gene, the haplotypes combining all
polymorphisms were the most significant. Based on SNPsyn, MDR and GeneMANIA
analyses, we observed significant gene-gene interactions among GAL, GAP43, NRSN1
and our previous identified RELN, GABRG2 and PTCH1. Our study for the first time
indicates that genetic variants within GAL, GAP43 and NRSN1 and related gene-gene
interaction networks might be involved in the altered susceptibility to HSCR in
the Han Chinese population, which might shed more light on HSCR pathogenesis.
PMID- 29654649
TI - Mindful organizing in patients' contributions to primary care medication safety.
AB - BACKGROUND: There is a need to ensure that the risks associated with medication
usage in primary health care are controlled. To maintain an understanding of the
risks, health-care organizations may engage in a process known as "mindful
organizing." While this is typically conceived of as involving organizational
members, it may in the health-care context also include patients. Our study aimed
to examine ways in which patients might contribute to mindful organizing with
respect to primary care medication safety. METHOD: Qualitative focus groups and
interviews were carried out with 126 members of the public in North West England
and the East Midlands. Participants were taking medicines for a long-term health
condition, were taking several medicines, had previously encountered problems
with their medication or were caring for another person in any of these
categories. Participants described their experiences of dealing with medication
related concerns. The transcripts were analysed using a thematic method. RESULTS:
We identified 4 themes to explain patient behaviour associated with mindful
organizing: knowledge about clinical or system issues; artefacts that facilitate
control of medication risks; communication with health-care professionals; and
the relationship between patients and the health-care system (in particular,
mutual trust). CONCLUSIONS: Mindful organizing is potentially useful for framing
patient involvement in safety, although there are some conceptual and practical
issues to be addressed before it can be fully exploited in this setting. We have
identified factors that influence (and are strengthened by) patients' engagement
in mindful organizing, and as such would be a useful focus of efforts to support
patient involvement.
PMID- 29654650
TI - An evaluation of a visual-visual successive matching-to-sample procedure to
establish equivalence classes in adults.
AB - Traditionally, behavior analysts have studied stimulus equivalence using a
matching-to-sample (MTS) preparation. Although researchers have shown the utility
of MTS to yield equivalence classes, the procedure requires several prerequisite
skills for a learner to accurately respond. Previous research with humans and
nonhumans has shown that relational responding can be produced via compound
stimulus discrimination and successive matching-to-sample (S-MTS). We conducted
four experiments with college students to further evaluate the effectiveness of S
MTS in the establishment of stimulus relations. S-MTS trials consisted of the
presentation of a single sample stimulus followed by one comparison in a fixed
location on a computer screen. Depending upon the sample-comparison relation,
participants touched (i.e., go) or did not touch (i.e., no-go) the comparison
stimulus. Following training of the baseline relations (AB/BC), we assessed the
emergence of symmetry, transitivity, and equivalence performances (i.e., BA/CB
and AC/CA). Results support the utility of the S-MTS procedure as a possible
alternative to traditional MTS. This study has direct implications for
participants for whom traditional three-array MTS procedures may be challenging.
PMID- 29654651
TI - Enhanced longevity and metabolism by brown adipose tissue with disruption of the
regulator of G protein signaling 14.
AB - Disruption of the regulator for G protein signaling 14 (RGS14) knockout (KO) in
mice extends their lifespan and has multiple beneficial effects related to
healthful aging, that is, protection from obesity, as reflected by reduced white
adipose tissue, protection against cold exposure, and improved metabolism. The
observed beneficial effects were mediated by improved mitochondrial function. But
most importantly, the main mechanism responsible for the salutary properties of
the RGS14 KO involved an increase in brown adipose tissue (BAT), which was
confirmed by surgical BAT removal and transplantation to wild-type (WT) mice, a
surgical simulation of a molecular knockout. This technique reversed the
phenotype of the RGS14 KO and WT, resulting in loss of the improved metabolism
and protection against cold exposure in RGS14 KO and conferring this protection
to the WT BAT recipients. Another mechanism mediating the salutary features in
the RGS14 KO was increased SIRT3. This mechanism was confirmed in the RGS14 X
SIRT3 double KO, which no longer demonstrated improved metabolism and protection
against cold exposure. Loss of function of the Caenorhabditis elegans RGS-14
homolog confirmed the evolutionary conservation of this mechanism. Thus,
disruption of RGS14 is a model of healthful aging, as it not only enhances
lifespan, but also protects against obesity and cold exposure and improves
metabolism with a key mechanism of increased BAT, which, when removed, eliminates
the features of healthful aging.
PMID- 29654652
TI - Solidarity and cost management: Swiss citizens' reasons for priorities regarding
health insurance coverage.
AB - CONTEXT: Approaches to priority-setting for scarce resources have shifted to
public deliberation as trade-offs become more difficult. We report results of a
qualitative analysis of public deliberation in Switzerland, a country with high
health-care costs, an individual health insurance mandate and a strong tradition
of direct democracy with frequent votes related to health care. METHODS: We
adapted the Choosing Healthplans All Together (CHAT) tool, an exercise developed
to transform complex health-care allocation decisions into easily understandable
choices, for use in Switzerland. We conducted focus groups in twelve Swiss
cities, recruiting from a range of socio-economic backgrounds in the three
language regions. FINDINGS: Participants developed strategic arguments based on
the importance of basic coverage for all, and of cost-benefit evaluation. They
also expressed arguments relying on a principle of solidarity, in particular the
importance of protection for vulnerable groups, and on the importance of medical
care. They struggled with the place of personal responsibility in coverage
decisions. In commenting on the exercise, participants found the degree of
consensus despite differing opinions surprising and valuable. CONCLUSION: The
Swiss population is particularly attentive to the costs of health care and means
of reducing these costs. Swiss citizens are capable of making trade-offs and
setting priorities for complex health issues.
PMID- 29654653
TI - Identification of four TMC1 variations in different Chinese families with
hereditary hearing loss.
AB - BACKGROUND: Variants in TMC1 (transmembrane channel-like 1) can cause both
autosomal dominant and recessive hearing loss in human population. Mice with Tmc1
variants have been shown to be ideal animal models for gene therapy. In this
article, we report four TMC1 variants in four different Chinese families and the
follow-up auditory phenotype of a previously reported family. METHODS: Four
families with TMC1 variants, as well as a previously described family with TMC1
variant orthologous to the Beethoven mouse, were recruited in this study. A
comprehensive auditory evaluation was performed on all ascertained family
members. High-throughput sequencing was conducted using genomic DNA from the
probands and other family members to identify probable deafness genes. RESULTS:
We identified four TMC1 (NM_138691.2) variations, including two pathogenic
variants, c.1714G>A, and c.1253T>A, one likely pathogenic variant,
c.[797T>C];[797T>C], and one single nucleotide polymorphism (SNP), c.2276G>A.
Among these variants, c.[797T>C];[797T>C] is a novel likely pathogenic variant,
and c.1714G>A and c.1253T>A are known pathogenic variants at the DFNB7/11
(DFNA36) locus. Phenotype-genotype correlation analysis of TMC1 variants showed
that the TMC1 dominant variation-related phenotype was late-onset, progressive,
high frequency to all frequency sensorineural hearing loss, while the TMC1
recessive variant was related to congenital all frequency sensorineural hearing
impairment. CONCLUSIONS: Two pathogenic, one likely pathogenic variants and one
SNP of TMC1 were identified in four Chinese families with hereditary hearing
loss, indicating that TMC1 may be a more frequent cause of hearing loss than
expected. TMC1 variants related to hearing loss result in specific phenotypes.
The TMC1 c.1253T>A (p.M418K) variation, homologous to the Tmc1 c. 1235 T> A
(p.M412K) variant in Beethoven mice, was the second report of this variant in
human patients with hearing loss, suggesting the possibility to translational
gene therapy from Beethoven mice to human patients.
PMID- 29654654
TI - Compressed Collagen Enhances Stem Cell Therapy for Corneal Scarring.
AB - Stem cells from human corneal stroma (CSSC) suppress corneal stromal scarring in
a mouse wound-healing model and promote regeneration of native transparent tissue
(PMID:25504883). This study investigated efficacy of compressed collagen gel
(CCG) as a vehicle to deliver CSSC for corneal therapy. CSSC isolated from limbal
stroma of human donor corneas were embedded in soluble rat-tendon collagen,
gelled at 37 degrees C, and partially dehydrated to a thickness of 100 um by
passive absorption. The CCG disks were dimensionally stable, easy to handle, and
could be adhered securely to de-epithelialized mouse cornea with fibrin-based
adhesive. CSSC in CCG maintained >80% viability for >1 week in culture media and
could be cryopreserved in 20% fetal bovine serum-10%DMSO in liquid nitrogen. CCG
containing as few as 500 CSSC effectively prevented visible scarring and
suppressed expression of fibrotic Col3a1 mRNA. CSSC in CCG were more effective at
blocking scarring on a per-cell basis than CSSC delivered directly in a fibrin
gel as previously described. Collagen-embedded cells retained the ability to
suppress corneal scarring after conventional cryopreservation. This study
demonstrates use of a common biomaterial that can facilitate storage and handling
of stem cells in a manner that may provide off-the-shelf delivery of stem cells
as a therapy for corneal scarring. Stem Cells Translational Medicine 2018;7:487
494.
PMID- 29654655
TI - Biliary drainage as treatment for allograft steatosis following liver
transplantation for PFIC-1 disease: A single-center experience.
AB - Development of macrovesicular steatosis post-LT in patients with PFIC-1 is
increasingly being observed, with the etiology not fully understood. We highlight
successful and effective EBD for reversal of allograft steatosis in 2 patients
with PFIC-1 disease and discuss our experience with internal biliary diversion in
this patient population.
PMID- 29654656
TI - Giardia duodenalis in primates: Classification and host specificity based on
phylogenetic analysis of sequence data.
AB - Giardia duodenalis colonizes the gastrointestinal tract of a wide range of hosts,
including humans and other primates. It is grouped into eight different
Assemblages and, beyond that, into a number of sub-Assemblages, defined ad hoc on
the basis of genetic differences; these various groups are often considered to be
associated with a specific restricted host range. The aim of this study was to
use publicly available genotyping data to investigate the relatedness of human
and non-human primate (NHP) Giardia isolates in order to evaluate the usefulness
of current taxonomic classification and to assess whether there is potential for
zoonotic transmission between humans and NHP. Our final data set consisted of
sequence data from 165 isolates, 111 from NHP and 54 from humans. Assemblages
were well defined, but sub-Assemblages across Assemblage B were not resolved.
Although sub-Assemblages AI and AII were resolved, the terms were not found to
capture any useful molecular or host/deme properties. In the phylogenetic tree,
NHP isolates were scattered among human isolates across Assemblages A and B, and
were even found in Assemblage E. We conclude that there does not appear to be
significant molecular distinction between human and NHP Giardia isolates across
these four molecular markers. Thus, on the basis of these markers, we cannot
exclude a risk for zoonotic and anthropozoonotic transmission of Assemblages A
and B isolates, irrespective of sub-Assemblage classification. We further
evaluated the relative merit of the four genes used in genotyping studies. The
tpi, gdh and bg genes gave relatively congruent tree topologies, but the SSU gene
did not resolve Assemblages according to the current classification. Future
genotyping efforts should aim for multilocus or whole-genome approaches and, in
particular, use of the SSU gene as the sole marker should be avoided when
possible.
PMID- 29654657
TI - Hepatoprotective effect of Herpetospermum caudigerum Wall. on carbon
tetrachloride-induced hepatic fibrosis in rats.
AB - Tibetan medicine Herpetospermum caudigerum Wall. (HCW) has long been employed to
treat hepatitis, inflammatory diseases and jaundice according to the records of
"The Four Medical Tantras" in China. This study was investigated to explore the
protective effects of HCW on hepatic fibrosis and the possible mechanism in a rat
model. Hepatic fibrosis was established by intragastric administration of 3 ml/kg
carbon tetrachloride (CCl4 ) twice a week for 6 weeks. CCl4 -treated rats were
received HCW (1 and 3 g/kg/d) and silymarin (0.1 g/kg/d) from 3 to 6 weeks. The
results showed that HCW could significantly decrease the levels of AST, ALT, HA,
LN, PCIII, Col IV, TNF-alpha, IL-1beta and IL-6. Moreover, HCW could effectively
inhibit collagen deposition and reduce the pathological damage. Analysis
experiments finally exhibited that HCW was able to markedly inhibit hepatic
fibrosis by modulating the expressions of NF-kappaB p65, IkappaBalpha, Samd3 and
TGF-beta1 proteins. Therefore, our results suggest that HCW has hepatoprotective
activity against CCl4 -induced hepatic fibrosis in rats by regulating the
inflammatory responses.
PMID- 29654658
TI - High incidence of BK virus-associated hemorrhagic cystitis in children after
second or third allogeneic hematopoietic stem cell transplantation.
AB - BKV-HC is a serious complication of allogeneic HSCT. To characterize the
incidence, risk factors, and clinical outcomes of post-HSCT BKV-HC, we
retrospectively analyzed 112 patients who underwent one or more allogeneic HSCTs
at our hospital between 2001 and 2017. Twenty underwent second or third HSCT
thereafter. Ten patients developed BKV-HC at a median of 30 days after HSCT. The
100-day cumulative incidences of grade 0-4 and grade 2-4 BKV-HC were 7.8% and
6.2%, respectively. HSCTs performed in 2011-2017 associated with significantly
higher 100-day cumulative incidence of grade 2-4 BKV-HC (14.0%) than HSCTs
performed in 2001-2010 (1.3%, P = 0.004). On multivariate analysis, second or
third HSCT was the only independent significant risk factor for development of
grade 2-4 BKV-HC (P = 0.015). Serial PCR monitoring of urine and blood BKV load
did not predict BKV-HC. The recent increase in the incidence of BKV-HC may
reflect recent innovations in transplant technologies that facilitate second or
third HSCT, which are known to cause prolonged immune deficiency. If safe and
effective treatment or prophylaxis becomes available, it could be used to target
the high-risk patients for BKV-HC.
PMID- 29654659
TI - Human umbilical cord mesenchymal stem cells facilitate the up-regulation of miR
153-3p, whereby attenuating MGO-induced peritoneal fibrosis in rats.
AB - MiRNAs contribute greatly to epithelial to mesenchymal transition (EMT) of
peritoneal mesothelial cells (PMCs), which is a crucial step in peritoneal
fibrosis (PF). In this study, we tried to profile whether miRNA expression
differences exist after human umbilical cord mesenchymal stem cells (hUCMSCs)
treatment in PF rats and investigate the possible role of miR-153-3p involved in
anti-EMT process. We randomly assigned 34 rats into three groups: control group
(Group Control), MGO-induced PF rats (Group MGO) and hUCMSCs-treated rats (Group
MGO + hUCMSCs). MiRNA microarrays and real-time PCR analyses were conducted in
three groups. alpha-SMA, Snail1 and E-cadherin expression were detected by
Western blot. Luciferase reporter assays were used to detect the effects of miR
153-3p overexpression on Snai1 in rat peritoneal mesothelial cells (RPMCs). We
identified differentially expressed miRNAs related to EMT, in which miR-153-3p
demonstrated the greatest increase in Group MGO + hUCMSCs. Transient
cotransfection of miR-153-3p mimics with luciferase expression plasmids resulted
in a significant repression of Snai1 3'-untranslated region luciferase activity
in RPMCs. These studies suggest that miR-153-3p is a critical molecule in anti
EMT effects of hUCMSCs in MGO-induced PF rats. MiR-153-3p might exert its
beneficial effect through directly targeting Snai1.
PMID- 29654660
TI - Culture-specific links between maternal executive function, parenting, and
preschool children's executive function in South Korea.
AB - BACKGROUND: Research on the relationships between parental factors and children's
executive function (EF) has been conducted mainly in Western cultures. AIM: This
study provides the first empirical test, in a non-Western context, of how
maternal EF and parenting behaviours relate to child EF. SAMPLE: South Korean
mothers and their preschool children (N = 95 dyads) completed EF tasks. METHOD:
Two aspects of parental scaffolding were observed during a puzzle task:
contingency (i.e., adjusting among levels of scaffolding according to the child's
ongoing evidence of understanding) and intrusiveness (i.e., directive, mother
centred interactions). RESULTS AND CONCLUSIONS: Maternal EF and maternal
contingency each accounted for unique variance in child EF, above and beyond
child age, child language and maternal education. Maternal intrusiveness,
however, was not significantly related to child EF. Additionally, no mediating
role of parenting was found in the maternal and child EF link. However, child
language was found to partially mediate the link between maternal contingency and
child EF. These results complement prior findings by revealing distinctive
patterns in the link between maternal EF, parenting behaviours, and child EF in
the Korean context.
PMID- 29654661
TI - Simulation-based education: A narrative review of the use of VERT in radiation
therapy education.
AB - Simulation has a long history in medical and health science training and
education. The literature describing this history is extensive. The role
simulation plays in many health disciplines has evolved, as has the focus of the
literature around it. The Virtual Environment for Radiotherapy Training (VERT)
system is a relative newcomer to radiation therapy education and, similar to the
literature around radiation therapy (RT) education, is still in its infancy. This
narrative review sets the scene of simulation-based education within the health
sciences and considers the lessons learned from published work on VERT to date.
The evidence suggests that future inquiry involving VERT should explore different
ways in which VERT can be used to contribute to the skillset required by the
radiation therapist of tomorrow.
PMID- 29654662
TI - Improved dose homogeneity using electronic compensation technique for total body
irradiation.
AB - In total body irradiation (TBI) utilizing large parallel-opposed fields, the
manual placement of lead compensators has conventionally been used to compensate
for the varying thickness throughout the body. The goal of this study is to
pursue utilizing the modern electronic compensation (E-comp) technique to more
accurately deliver dose to TBI patients. Bilateral parallel-opposed TBI treatment
plans were created using E-comp for 15 patients for whom CT data had been
previously acquired. A desirable fluence pattern was manually painted within each
field to yield a uniform dose distribution. The conventional compensation
technique was simulated within the treatment planning system (TPS) using a field
in-field (FIF) method. This allows for a meaningful evaluation of the E-comp
technique in comparison to the conventional method. Dose-volume histograms (DVH)
were computed for all treatment plans. The mean total body dose using E-comp
deviates from the prescribed dose (4 Gy) by an average of 2.4%. The mean total
body dose using the conventional compensation deviates from the prescribed dose
by an average of 4.5%. In all cases, the mean body dose calculated using E-comp
technique deviates less than 10% from that of conventional compensation. The
average reduction in maximum dose using E-comp compared to that of the
conventional method was 30.3% +/- 6.6% (standard deviation). In all cases, the s
index for the E-comp technique was lower (10.5% +/- 0.7%) than that of the
conventional method (15.8% +/- 4.4%), indicating a more homogenous dose
distribution. In conclusion, a large reduction in maximum body dose can be seen
using the proposed E-comp technique while still producing a mean body dose that
accurately complies with the prescription dose. Dose homogeneity was quantified
using s-index which demonstrated a reduction in hotspots with E-comp technique.
Electronic compensation technique is capable of more accurately delivering a
total body dose compared to conventional methods.
PMID- 29654663
TI - Risk factors for breast cancer in a cohort of mammographic screening program: a
nested case-control study within the FRiCaM study.
AB - Breast cancer is the most common cancer diagnosis and the leading cause of cancer
death among women in the world, and differences across populations indicate a
role of hormonal, reproductive and lifestyle factors. This study is based on a
cohort of 78,050 women invited to undergo a mammogram by Local Health Authority
of Milan, between 2003 and 2007. We carried out a nested case-control study
including all the 3303 incident breast cancer cases diagnosed up to 2015, and
9909 controls matched by age and year of enrollment. Odds ratios (ORs) and
corresponding 95% confidence intervals (CIs) were estimated using logistic
regression models. The ORs were 0.88 (95% CI: 0.78-0.98) for an age at menarche
>=14 years and 1.39 (95% CI: 1.07-1.81) for an age of 30 years or older at first
pregnancy. Body mass index (BMI) was positively associated with breast cancer
risk in women older than 50 years (OR = 1.89, 95% CI: 1.54-2.31, for BMI>=30 vs.
<20), while the association tended to be inverse in younger women. A high
mammographic density increased breast cancer risk (OR = 2.61, 95% CI: 2.02-3.38
for density >75% vs. adipose tissue). The ORs were 1.67 (95% CI: 1.47-1.89) and
2.04 (95% CI: 1.38-3.00) for one first-degree relative and two or more relatives
affected by breast cancer, respectively. Our study confirms the role of major
recognized risk factors for breast cancer in our population and provides the
basis for a stratification of the participants in the mammographic screening
according to different levels of risk.
PMID- 29654664
TI - Noncontiguous finished genome sequence and description of Intestinimonas
massiliensis sp. nov strain GD2T , the second Intestinimonas species cultured
from the human gut.
AB - Intestinimonas massiliensis sp. nov strain GD2T is a new species of the genus
Intestinimonas (the second, following Intestinimonas butyriciproducens gen. nov.,
sp. nov). First isolated from the gut microbiota of a healthy subject of French
origin using a culturomics approach combined with taxono-genomics, it is strictly
anaerobic, nonspore-forming, rod-shaped, with catalase- and oxidase-negative
reactions. Its growth was observed after preincubation in an anaerobic blood
culture enriched with sheep blood (5%) and rumen fluid (5%), incubated at 37
degrees C. Its phenotypic and genotypic descriptions are presented in this paper
with a full annotation of its genome sequence. This genome consists of 3,104,261
bp in length and contains 3,074 predicted genes, including 3,012 protein-coding
genes and 62 RNA-coding genes. Strain GD2T significantly produces butyrate and is
frequently found among available 16S rRNA gene amplicon datasets, which leads
consideration of Intestinimonas massiliensis as an important human gut commensal.
PMID- 29654665
TI - Xenotransplantation literature update, January/February 2018.
PMID- 29654666
TI - Blood parasites shape extreme major histocompatibility complex diversity in a
migratory passerine.
AB - Pathogens are one of the main forces driving the evolution and maintenance of the
highly polymorphic genes of the vertebrate major histocompatibility complex
(MHC). Although MHC proteins are crucial in pathogen recognition, it is still
poorly understood how pathogen-mediated selection promotes and maintains MHC
diversity, and especially so in host species with highly duplicated MHC genes.
Sedge warblers (Acrocephalus schoenobaenus) have highly duplicated MHC genes, and
using data from high-throughput MHC genotyping, we were able to investigate to
what extent avian malaria parasites explain temporal MHC class I supertype
fluctuations in a long-term study population. We investigated infection status
and infection intensities of two different strains of Haemoproteus, that is avian
malaria parasites that are known to have significant fitness consequences in
sedge warblers. We found that prevalence of avian malaria in carriers of specific
MHC class I supertypes was a significant predictor of their frequency changes
between years. This finding suggests that avian malaria infections partly drive
the temporal fluctuations of the MHC class I supertypes. Furthermore, we found
that individuals with a large number of different supertypes had higher
resistance to avian malaria, but there was no evidence for an optimal MHC class I
diversity. Thus, the two studied malaria parasite strains appear to select for a
high MHC class I supertype diversity. Such selection may explain the maintenance
of the extremely high number of MHC class I gene copies in sedge warblers and
possibly also in other passerines where avian malaria is a common disease.
PMID- 29654667
TI - The development of Neoechinorhynchus buttnerae (Eoacanthocephala:
Neoechinorhynchidae) in its intermediate host Cypridopsis vidua in Brazil.
AB - The family Neoechinorhynchidae includes seven species of Neoechinorhynchus from
freshwater fishes of Brazil. Although several Neoechinorhynchus species are cited
infecting different fish species in Brazil, there is a lack of information
concerning to their life cycle and the identification of the intermediate hosts.
Thus, the aim of the present study was to describe the development of
Neoechinorhynchus buttnerae in its intermediate host collected in a fish farm
located in Rio Preto da Eva, Amazonas, Brazil. To verify the presence of N.
buttnerae in the fish pond, twenty Colossoma macropomum were captured and
analyzed, being corroborated the presence of this parasite species. Samples of
plankton were also collected, finding the ostracod Cypridopsis vidua as the
intermediate host. For the description of the larvae development, a laboratory
experimental procedure was conducted by feeding the collected ostracods with the
eggs of the adult specimens taken from the sampled fish. To observe the stages of
development an artificial hatch was performed. Every stage of development was
photographed, measured, drawn and described. The time of development of the
immature stages of N. buttnerae was 29 days, reporting the stages: acanthor,
acanthella (with eight developmental changes) and cystacanth. As high infections
by N. buttnerae causes morphological damages to the intestine and may compromise
the quality of C. macropomum and in consequence the production of fish farmers in
the Brazilian Amazon region, the knowledge of its intermediate host and the
understanding of its life cycle represents a useful information to prevent and
combat infections by this parasite.
PMID- 29654668
TI - Evaluation of four commercial DNA extraction kits for the detection of
Microsporidia and the importance of pretreatments in DNA isolation.
AB - Microsporidia are obligate intracellular parasitic protozoa infecting the wide
variety of hosts and are commonly known as a cause of chronic diarrhea
particularly in immunocompromised individuals. Molecular-based tests have high
sensitivity and specificity in disease diagnosis. However, these tests'
performance relies on the isolation of DNA in a good concentration. The standard
procedures of commercial DNA extraction kits are usually insufficient for this
purpose due to the tough walls of spores. This study aimed to test the
significance of pretreatments by glass beads and freeze-thawing processes in DNA
isolation from microsporidia spores. The parasite was cultured in growing Vero
cells and seven serial dilutions were prepared from the collected spores. DNA
purification was performed according to different tissue kits and stool kit
procedures with and without any pretreatment. Concentration of isolated DNA
samples were evaluated by real-time PCR. As a result of this study, the
detectable amount of spores is minimum 10 spores in each 100 MU! sample according
to the different tissue kits' standard protocols. However, according to the DNA
stool mini kit, the detectable amount of spores was found to be 1,000 spores/100
MUl of stool sample when pretreated with both the freeze-thawing and glass beads
methods.In conclusion, the current study demonstrated that further pretreatments
are an essential process for DNA extraction from the stool specimens in order to
avoid possible false negativity in the diagnosis of microsporidiosis.
PMID- 29654669
TI - Evaluation of frequency of antibodies against Toxoplasma gondii,Neospora caninum
and Sarcocystis spp. and transmission routes in sheep from Humid Pampa,
Argentina.
AB - The aim of this study was to describe the frequency of ovine specific antibodies
to Toxoplasma gondii, Neospora caninum and Sarcocystis spp. and to estimate
different transmission routes of these infections. One hundred and thirty Texel
sheep and their 117 Texel lambs were included in the study. Serum samples were
tested for antibodies to T. gondii, N. caninum and Sarcocystis spp. using IFAT.
Toxoplasma gondii seroprevalence was 10.00% in sheep (IC95%: 4.80-15.20%), being
higher in adult sheep (>=12 year) than in younger sheep (OR 1.30; 95% CI, 1.10
1.50). N. caninum and Sarcocystis spp. seroprevalences were 1.54% (IC95%: 0.00
5.70) and 72.09% (IC95%: 67.70-82.70), respectively, with no association between
age and seropositivity in sheep (P>0.05). T. gondii seroprevalence in lambs was
4.27% (IC95%: 0.61-7.94). No association between T. gondii serological status in
sheep and their lambs was detected (P = 0.07). Two T. gondii and Sarcocystis spp.
seropositive lambs were euthanized and T. gondii and Sarcocystis spp. DNA was
detected by PCR in their tissues. In conclusion, the increase of T. gondii
seropositivity in relationship with sheep age and the lack of association between
sheep-lamb serological status, suggest that horizontal infection is the main
transmission route in this flock as reported before. Due to the low number of N.
caninum-seropositive ewes no assumptions can be done about the impact of this
parasite in this flock. According with previous reports, the main transmission
route for Sarcocystis spp. in this species in the present study was horizontal.
PMID- 29654670
TI - Isolation, identification and characterization of the nematophagous fungus
Arthrobotrys (Monacrosporium) sinense from China.
AB - With the development of anthelmintic resistance of parastic nematodes, it is
necessary to isolate and study nematophagous fungi to screen out the native
isolates for their potential in the biocontrol of domestic animal nematodosis.
This study aimed to isolate the Arthrobotrys sinense (Monacrosporium sinense) of
nematophagous fungus, to characterize representative molecular isolates using
scanning electron microscope (SEM), and to determine the effect of the
temperature and pH values on radial growth of the isolate. Five isolates were
isolated from 1532 samples of different types, and their occurrence frequencies
were 0.32% of the total samples. They were identified as A. sinense by means of
morphology and the sequence of the 5.8S, 18S, and 28S rDNA, as well as internal
transcribed spacers 1 and 2. The isolate NBS003 could grow from 11 degrees C to
35 degrees C and had optimal growth at 30 degrees C. The isolate could grow at pH
4 to 11, and its optimal value was obtained at pH 9. SEM results showed that 6 h
after their addition, the second stage larvae (L2) and the third stage infective
larvae (L3) of Haemonchus contortus were captured. L2 and L3 were penetrated by
the fungus at 18 and 24 h post-capture, respectively. L2 and L3 were completely
digested at 84 and 90 h post-capture, respectively. The NBS003 of the A. sinense
should have a certain potential to be used for capturing the free-living stage of
nematodes in sheep.
PMID- 29654671
TI - Morphological, histological and molecular characterization of Myxobolus
kingchowensis and Thelohanellus cf. sinensis infecting gibel carp Carassius
auratus gibelio (Bloch, 1782).
AB - A Myxobolus species and a Thelohanellus species infecting Carassius auratus
gibelio (Bloch, 1782) were redescribed by their morphological, histological and
molecular characterization. In the present study, the Myxobolus species infecting
the muscle was identified as Myxobolus kingchowensis Chen et Ma, 1998 by the
morphological and molecular data. Histologically, mature spores of M.
kingchowensis were observed in the intercellular and connective tissue of muscle,
though the plasmodia were not found. In addition, scattered spores also occurred
in the intercellular of haematopoietic cells, intraepithelial of the renal
tubules and interior of the melano-macrophage centres. Phylogenetic analysis
showed that M. kingchowensis clustered in the clade of muscle-infecting Myxobolus
species, further supporting muscle as the infection site of M. kingchowensis. The
present Thelohanellus species infecting the gills was identified conspecific as
Thelohanellus sinensis reported in Sun (2006) (mark it as T. sinensis-Sun)based
on spore morphology, biological traits (host specificity and organ specificity),
and molecular data. However, compared with the original description of T.
sinensis Chen et Hsieh, 1960, the present Thelohanellus species and T. sinensis
Sun both infecting the gills of gibel carp are distinguishable from the original
description in the host and infection site, which made the validity of T.
sinensis-Sun dubious. Due to the absence of molecular data in the original
description of T. sinensis, we suggest marking the present species and T.
sinensis-Sun as T. cf. sinensis to avoid the confusion until T. sinensis is
obtained from the type host and type infection site.
PMID- 29654672
TI - Virulent T4 Acanthamoeba causing keratitis in a patient after swimming while
wearing contact lenses in Southern Brazil.
AB - Several strains of free-living amoebae belonging to the genus Acanthamoeba can
cause a painful sight-threatening disease of the cornea known as Acanthamoeba
keratitis (AK). The numbers of AK cases keep rising worldwide mainly due to an
increase in contact lens wearers and lack of hygiene in the maintenance of
contact lenses and their cases. We report a case of AK in a healthy young woman
admitted to the Hospital de Clinicas in Porto Alegre, southern Brazil. Corneal
scrapings were examined for the presence of Acanthamoeba strains. The initial
isolate was characterized by morphological and genotypic properties. The isolate
belonged to group III according to Pussard and Pons' cyst morphology. Analysis of
its 18S rDNA sequence identified the isolate as genotype T4. The T4 genotype is
the most commonly reported among keratitis isolates and the most common in
environmental samples.
PMID- 29654673
TI - A new species of Chondracanthus (Cyclopoida: Chondracanthidae) parasitic on deep
sea Dibranchus spongiosa(Lophiiformes: Ogcocephalidae) from the Eastern Central
Pacific.
AB - A total of 228 sampling stations were visited for benthic fauna during a series
of oceanographic cruises in the Gulf of California, west coast of the Baja
California Peninsula, and Eastern Central Pacific from year 1991 to 2014. Among
others, three fish species of the genus Dibranchus were caught in 28 stations. Of
these, D. spongiosa was the most common and abundant. Close inspection of this
fish revealed the presence of a new species of parasitic copepod, Chondracanthus
dibranchi sp. nov., found in the gill cavity of seven specimens of D. spongiosa.
Chondracanthus dibranchi sp. nov. seems to be morphologically related to C.
psetti and C. janebennettae. The female of C. janebennettae can be separated from
these other two congeners by the general shape of the head and abdomen, by the
number of teeth on the mandibular blade, and by the general body shape.
Chondracanthus psetti and C. dibranchi sp. nov. share the relative lengths of
legs 1 and 2, the relative size and shape of the genito-abdomen, and the conical
attenuating lateral processes on the trunk of the female. The females of these
two species can be separated by the shape and armature of the antennule, shape of
the antenna, the claw of the maxilliped, the rami of leg 1 and 2 and posterior
processes, the head region, and by the position of the lateral processes of the
trunk. An amendment to Tang's (2007) key to the species of Chondracanthus is
proposed.
PMID- 29654674
TI - Characterization of the complete mitochondrial genome of Metastrongylus salmi (M.
salmi) derived from Tibetan pigs in Tibet, China.
AB - The present study was designed to determine and analyze the mt genomes of
Metastrongylus salmi (M. salmi), and reveal the phylogenetic relationships of
this parasite using mt DNA sequences. Results showed that the complete mt genome
of M. salmi was 13722 bp containing 12 protein-coding genes (cox1-3, nad1-6,
nad4L, atp6 and cytb), 22 transfer RNA genes, and 2 ribosomal RNA genes (rrnL and
rrnS). The overall A+T content was 73.54% and the nucleotide composition was A
(23.52%), C (6.14%), G (19.60%), T (50.02%), and N (UCAG) (0.73%). A total of
4237 amino acids are encoded from the Tibetan isolates of M. salmi mt genomes.
The ATA was predicted as the most common starting codon with 41.7% (5/12 protein
genes); and 11 of the 12 protein genes were found to have a TAG or TAA
translation termination codon. By clustering together the phylogenetic trees of
Tibetan M. salmi and Austrian M. salmi, the M. salmi isolated from Tibetan pigs
was found to be highly homological with that stemmed from Austrian one. This
information provides meaningful insights into the phylogenetic position of the M.
salmi China isolate and represents a useful resource for selecting molecular
markers for diagnosis and population studies.
PMID- 29654675
TI - Detection of Dirofilaria immitis antigen and antibodies against Anaplasma
phagocytophilum, Borrelia burgdorferi and Ehrlichia canis in dogs from ten
provinces of China.
AB - Despite the fact vector-borne diseases (VBDs) have been increasingly reported in
dogs worldwide, there are only limited reports on VBDs in dogs in China with most
being based on molecular detection of active infections. To provide further data
on the exposure of dogs in China to VBD agents, we used commercial
immunochromatographic assays to test plasma from 637 apparently healthy indoor
and breeding colony dogs from 21 veterinary clinics in 10 provinces in China and
a commercial dog breeding facility for circulating antigen of Dirofilaria
immitis, and for circulating antibodies against Ehrlichia spp., Anaplasma spp.,
and Borrelia burgdorferi. Overall, we found only low levels of exposure to
Ehrlichia spp. (4.7%; 30/637), Anaplasma spp. (1.4%; 9/637), B. burgdorferi
(0.9%; 6/637) and D. immitis (0.2%; 1/637) with most of the positive animals
coming from the commercial breeding colony (26/103; 25.2%) where ectoparasites
were most commonly noted. At least one vector-borne agent was found in dogs from
6 of the 10 provinces investigated. Our results confirm exposure of dogs from
around China to a variety of VBDs, even indoor pets seldom observed to harbor
ectoparasites.
PMID- 29654676
TI - Histological description of Cercaria etgesii Shchenkov, 2017 daughter sporocysts
(Trematoda: Pleurogenidae): compartmentalization of the brood cavity and germinal
mass morphology.
AB - Morphology of the daughter sporocysts of Cercaria etgesii Shchenkov, 2017
(Pleurogenidae) has been described according to conventional histological
techniques and subsequent 3D reconstruction with Bitplanelmaris(r) software.
Novel data were obtained concerning the spatial organization of the brood cavity
of Pleurogenidae daughter sporocysts. It was shown that the laminated structures
of the endocyst are lining the brood cavity and carry out its
compartmentalisation by formation of the chambers around developing and
disintegrating embryos of cercariae. First description of the germinal mass of
the Pleurogenidae daughter sporocysts is given. No mitoses in germinal mass were
evident although apparent production of the cercariae embryos by the sporocysts
take place. The analogous principle of daughter sporocysts morphofunctional
organisation was noted for the studied representatives of closely related taxa of
trematodes: Pleurogenidae (C. etgesii) and Microphallidae (Microphallus
'pygmaeus' group).
PMID- 29654677
TI - Evaluation of the protective effect of a prime-boost strategy with plasmid DNA
followed by recombinant adenovirus expressing BmAMA1 as vaccines against Babesia
microti infection in hamster.
AB - In the present study, we have investigated the protective effect of a
heterologous prime-boost strategy with priming plasmid DNA followed by
recombinant adenovirus, both expressing BmAMA1, against Babesia microti
infection. Four groups consisting of 3 hamsters per group were immunized with
pBmAMA1/Ad5BmAMA1, pNull/Ad5BmAMA1, pBmAMA1/Ad5Null and pNull/Ad5Null, followed
by challenge infection with B. microti. Our results showed that hamsters
immunized with plasmid and adenovirus expressing BmAMA1 developed a robust IgG
and IgG2a antibody response against BmAMA1, suggesting the DNA vaccine or viral
vector vaccine tend to induce a Th1-biased response. Compared to the control
hamsters, the hamsters vaccinated either with the prime-boost strategy or one of
the two "vaccines" exhibited no significant protection against B. microti
challenge. Although a slight difference in terms of parasitemia and hematocrit
values at days 14-16 post challenge infection was observed, no other statistical
difference was detected. Our results indicate that the prime-boost vaccination
strategy of injection of plasmid and adenovirus expressing BmAMA1 is not
efficient in protecting against B. microti infection.
PMID- 29654678
TI - Prevalence and risk factors associated with Tritrichomonas foetus infection in
cattle in the state of Paraiba, Brazil.
AB - The objective of this study was to determine the prevalence of Tritrichomonas
foetus infection and to evaluate risk factors associated with this infection
among cattle in the state of Paraiba in northeastern Brazil. Samples of
cervicovaginal mucus from 290 females and smegma from 59 males [beef, 31; mixed
aptitude (beef and dairy), 10; and dairy, 18] from 31 farms were collected.
Modified Diamond's medium and polymerase chain reaction (PCR) were used for the
laboratory diagnosis of T. foetus infection. Univariate analysis and logistic
regression were performed to test for potential risk factors in addition to
prevalence mapping. No sample was positive for T. foetus in culture, and the
prevalence of T. foetus infection using PCR was 3.7% (13/349) [confidence
interval (CI) 95%, 2.1%-6.4%]. In total, 19.3% (6/31) of the farms had at least
one animal positive for T. foetus. The contact of females with males from other
farms [Odds ratio, 5.9; 95% CI, 1.5-22.4; p = 0.009] was identified as a risk
factor for T. foetus infection. This study demonstrates that T. foetus infection
is prevalent among dairy cows in the state of Paraiba, Brazil. Sexual resting,
removal of positive females, and avoiding contact of females with males from
other farms are recommended to reduce the risk of infection.
PMID- 29654679
TI - Structural predication and antigenic analysis of Toxoplasma gondii ROP20.
AB - Toxoplasma gondii infects almost all the warm-blooded animals. ROP20 protein is
expressed in the rhoptry of Toxoplasma gondii. In this study, the secondary
structure of ROP20 was analyzed using SMART software. We constructed and analyzed
the 3D model of ROP20 protein using SWISS-MODEL online procedure and Visual
Molecular Dynamics (VMD) software. The structure analysis fully indicated that
ROP20 protein is an important member of the ROP family. Furthermore, We used
DNASTAR software and Epitope Database online service to analyze liner-B cell
epitopes and T-cell epitopes of ROP20 protein. All the analysis results of ROP20
protein can provide positive information on treatment and vaccine for
toxoplasmosis. Moreover, ROP20 gene was obtained from PCR, and a recombinant
eukaryotic expression vector (pEGFP-C1-ROP20) was constructed in the following
study. After restriction enzyme digestion, the constructed plasmid was
transfected into HEK 293-T cells. The RT-PCR result indicated that the
recombinant plasmid could transcribe successfully in HEK 293-T cell. The results
of western blotting indicated the expressed proteins can be recognized by anti
STAg mouse sera.
PMID- 29654680
TI - Primary culture of cat intestinal epithelial cells in vitro and the cDNA library
construction.
AB - Felids are the only definitive hosts of Toxoplasma gondii. To lay a foundation
for screening the T. gondii-felids interaction factors, we have developed a
reproducible primary culture method for cat intestinal epithelial cells (IECs).
The primary IECs were isolated from a new born cat's small intestine jejunum
region without food ingress, and respectively in vitro cultured by tissue
cultivation and combined digestion method with collagenase XI and dispase I, then
purified by trypsinization. After identification, the ds cDNA of cat IECs was
synthesized for constructing pGADT7 homogenization three-frame plasmid, and
transformed into the yeast Y187 for generating the cDNA library. Our results
indicated that cultivation of primary cat IECs relays on combined digestion to
form polarized and confluent monolayers within 3 days with typical features of
normal epithelial cells. The purified cells cultured by digestion method were
identified to be nature intestinal epithelial cells using immunohistochemical
analysis and were able to maintain viability for at least 15 passages. The
homogenizable ds cDNA, which is synthesized from the total RNA extracted from our
cultured IECs, distributed among 0.5-2.0 kb, and generated satisfying three-frame
cDNA library with the capacity of 1.2 * 106 and the titer of 5.2 * 107 pfu/mL.
Our results established an optimal method for the culturing and passage of cat
IECs model in vitro, and laid a cDNA library foundation for the subsequent
interaction factors screening by yeast two-hybrid.
PMID- 29654681
TI - Presence of Acanthamoeba in the ocular surface in a Spanish population of contact
lens wearers.
AB - Pathogenic strains of Acanthamoeba are causative agents of a sight-threatening
infection of the cornea known as Acanthamoeba keratitis (AK) which mainly affects
contact lens wearers and it is commonly related to poor hygiene of contact lenses
and their cases. Moreover, treatment of AK is complex due to the existence of a
highly resistant cyst stage and if not diagnosed early has poor prognosis,
leading to blindness and/or keratoplasty. Even though AK is increasing worldwide
as well as awareness among patients and clinicians, it is still a poorly studied
pathogen. Additionally, a remaining question to be answered is whether these
opportunistic pathogens are present in the ocular surface of healthy contact lens
wearers since they are the main group at risk.In order to carry out this study,
sterile Schirmer strip tests were collected from a group of individuals all of
them contact lens wearers who were attending a local ophthalmology clinic in
Tenerife, Canary Islands, Spain. The collected samples (100 eyes of 50 patients)
were cultured in 2% Non-Nutrient Agar (NNA) plates and positive plates (16) were
then cultured in axenic conditions for further analyses. Molecular analysis
classified all isolated strains belonged to Acanthamoeba genotype T4 and
osmotolerance and thermotolerance assays revealed that all strains were
potentially pathogenic. In conclusion, the ocular surface of contact lens wearers
included in this study was colonized by potentially pathogenic strains of
Acanthamoeba and should be considered as a risk for AK infection in this region
and worldwide.
PMID- 29654682
TI - Genetic diversity of Taenia hydatigena in the northern part of the West Bank,
Palestine as determined by mitochondrial DNA sequences.
AB - Cysticercus tenuicollis is the metacestode of canine tapeworm Taenia hydatigena,
which has been reported in domestic and wild ruminants and is causing veterinary
and economic losses in the meat industry. This study was conducted to determine
the sequence variation in the mitochondrial cytochrome c oxidase subunit 1 (coxl)
gene in 20 isolates of T. hydatigena metacestodes (cysticercus tenuicollis)
collected from northern West Bank in Palestine. Nine haplotypes were detected,
with one prevailing (55%). The total haplotype diversity (0.705) and the total
nucleotide diversity (0.0045) displayed low genetic diversity among our isolates.
Haplotype analysis showed a star-shaped network with a centrally positioned
common haplotype. The Tajima's D, and Fu and Li's statistics in cysticercus
tenuicollis population of this region showed a negative value, indicating
deviations from neutrality and both suggested recent population expansion for the
population. The findings of this study would greatly help to implement control
and preventive measures for T. hydatigena larvae infection in Palestine.
PMID- 29654683
TI - First report of Cryptosporidium parvum in a dromedary camel calf from Western
Australia.
AB - Cryptosporidium is an important enteric parasite that can contribute large
numbers of infectious oocysts to drinking water catchments. As a result of its
resistance to disinfectants including chlorine, it has been responsible for
numerous waterborne outbreaks of gastroenteritis. Wildlife and livestock play an
important role in the transmission of Cryptosporidium in the environment. Studies
conducted outside Australia have indicated that camels may also play a role in
the transmission of zoonotic species of Cryptosporidium. Despite Australia being
home to the world's largest camel herd, nothing is known about the prevalence and
species of Cryptosporidium infecting camels in this country. In the present
study, C. parvum was identified by PCR amplification and sequencing of a formalin
fixed intestinal tissue specimen from a one-week old dromedary camel (Camelus
dromedarius). Subtyping analysis at the glycoprotein 60 (gp60) locus identified
C. parvum subtype IIaA17G2R1, which is a common zoonotic subtype reported in
humans and animals worldwide. Histopathological findings also confirmed the
presence of large numbers of variably-sized (1-3 um in diameter) circular
basophilic protozoa - consistent with Cryptosporidium spp.- adherent to the
mucosal surface and occasionally free within the lumen. Further analysis of the
prevalence and species of Cryptosporidium in camel populations across Australia
are essential to better understand their potential for contamination of drinking
water catchments.
PMID- 29654684
TI - First molecular characterization and morphological aspects of Sarcocystis
fusiformis infecting water buffalo Bubalus bubalis in Egypt.
AB - Fresh muscle samples from water buffalo (Bubalus bubalis) aged 2-15, from Giza
Province, Egypt; were examined for Sarcocystis infection. Macroscopic ovoid
sarcocysts embedded in the muscle tissues of the examined buffaloes were
detected; they measured 152-230 (210 +/- 7) MUm in length and 37-119 (95 +/- 3)
MUm in width. The esophagus was the most infected organ followed by the
diaphragm, and tongue, while the heart muscles were the least infected. The cyst
cavity was compartmentalized by septa derived from the ground substance located
under the primary cyst wall. Using transmission electron microscopy, the primary
cyst wall bordered sarcocysts were determined to be 0.08-0.22 MUm in thickness,
raised from the parasitophorous vacuolar membrane, and surrounded by a secondary
cyst wall of host origin. The primary cyst wall had irregular wall folds with
numerous cauliflower-like projections of variable sizes and shapes accompanied by
knob-like electron-dense elevations. 18S rRNA gene expression studies confirmed
that the present parasite isolates belonged to the genus Sarcocystis. The
sequence data showed significant identities (>90%) with archived gene sequences
from many Eimeriidae organisms, and a dendogram showing the phylogenetic
relationship was constructed. The most closely related species was Sarcocystis
fusiformis KR186117, with an identity percentage of 98%. The recovered sequences
were deposited in the GenBank under the accession number MG572125. The present
study, to our knowledge, is the first collective ultrastructural and molecular
study that confirmed the taxonomy of sarcocysts isolated from water buffaloes in
Egypt as Sarcocystis fusiformis.
PMID- 29654685
TI - Cystic echinococcosis in animals and humans of Maharashtra State, India.
AB - Echinococcosis/hydatidosis which is a neglected parasitic zoonosis in the
developing country like India. The study was conducted during April, 2010 to
March, 2017 to determine the prevalence among slaughtered food animals, dogs and
human. The samples were collected from the various slaughterhouses situated in
different regions of Maharashtra state. A total of 9464 cattle (male), 3661
buffalo, 47189 sheep, 33350 goats and 13579 pigs were scientifically examined
during PM inspection at different slaughterhouses. The study revealed that the
prevalence of disease in cattle (3.00%) was highest followed by buffalo (2.05%),
pig (1.28%), sheep (0.09%) and goat (0.01%), by PM examination. The average
estimated economic losses (direct and indirect) due to hydatidosis were Rs.
8,65,83,566 in cattle, Rs. 44,33,93,900 in buffalo, Rs. 7,24,50,615 in sheep, Rs.
1,88,29,359 in goat and Rs. 5,20,49,081 in pigs. Dog faecal samples analyzed and
showed the prevalence of echinococcosis as 4.34% (19/438) by sedimentation method
and positive samples were confirmed by PCR assay, whereas in high risk human,
11.09% sera samples were found to be positive for echinococcosis. However, based
on data collection for seven years, 58 patients were found to be surgically
operated for hydatid cyst removal. The results of the present study indicated
that cystic echinococcosis/hydatidosis is prevalent in both human and animal
population in study areas which attracts serious attention from veterinary and
public health authority to reduce economic burden and in designing appropriate
strategy for prevention and control of disease.
PMID- 29654686
TI - Balantioides coli: morphological and ultrastructural characteristics of pig and
non-human primate isolates.
AB - Balantioides coli is a ciliated protozoon that inhabits the intestine of pigs,
non-human primates and humans. Light microscopy studies have described over 50
species of the genus Balantioides but their validity is in doubt. Due to the
limited information about this genus, this study is aimed to identify
morphological characteristics of Balantioides coli isolated using fluorescence
microscopy and both scanning (SEM) and transmission electron microscopy (TEM).
Trophozoites isolated from the feces of pig and macaque were washed and subjected
to centrifugation. These cells were fixed with paraformaldehyde for
immunofluorescence. Other aliquots of these trophozoites were fixed with
glutaraldehyde, post fixed with osmium tetroxide and processed for SEM and TEM.
Immunofluorescence studies revealed microtubules with a longitudinal distribution
to the main axis of the parasite and in the constitution of cilia. SEM
demonstrated a high concentration of cilia covering the oral apparatus and a poor
presence of such structures in cytopyge. TEM revealed in the plasma membrane,
several associated structures were observed to delineate the cellular cortex and
mucocysts. The cytoskeleton of the oral region was observed in detail and had an
organization pattern consisting of microtubules, which formed files and
nematodesmal networks. Organelles such as hydrogenosomes like and peroxisomes
were observed close to the cortex. Macronuclei were observed, but structures that
were consistent with micronuclei were not identified. Ultrastructural
morphological analysis of isolates confirms its similarity to Balantioides coli.
In this study were identified structures that had not yet been described, such as
hydrogenosomes like and cytoskeletal structures.
PMID- 29654687
TI - Molecular cloning of enolase from Trichinella spiralis and the protective
immunity in mice.
AB - Trichinella spiralis, the main pathogen of trichinosis, infects a wide range of
mammalian hosts and is one of the most widespread parasites worldwide. For
parasites, glycolysis is the most important way to generate energy. Previous
studies showed that some enzymes involved in the glycolytic pathway play roles in
regulation the host immunity. In this paper, enolase from T. spiralis was cloned
and the protective potentials were studied. One hundred and sixty ICR mice were
divided into four groups and vaccinated with recombinant enolase (pET-ENO),
eukaryotic recombinant plasmid encoding enolase (pVAX1-ENO) and negative controls
(pVAXl and PBS), respectively. Two weeks after the second immunization, each
mouse was challenged orally with 200 muscle larvae (MLs) of T. spiralis. Results
showed that mice vaccinated with pET-ENO and pVAX1-ENO induced specific
antibodies of IgG, IgA, IgM, but no IgE. Subclasses of IgG antibodies showed that
mice immunized with recombinant protein and recombinant plasmids induced a
Th1/Th2 immune response. Concentrations of serum cytokines were detected and
showed significant increase of IFN-gamma, IL-4 and TGFbeta1, while IL-17 in each
group was not significantly different. Flow cytometric analysis showed
significant increase of CD4+ and CD8+ T lymphocytes in the groups immunized with
recombinant protein and recombinant plasmids. Challenge infection demonstrated
that immunized groups had a reduced number of worm burdens. The reductions of
larvae per gram muscle (LPG) in pET-ENO and pVAX1-ENO group were 17.7% and 15.8%
when compared with PBS control.
PMID- 29654688
TI - Entamoeba histolytica L220 induces the in vitro activation of macrophages and
neutrophils and is modulated by neurotransmitters.
AB - The neuroimmunoregulation of inflammation has been well characterized. Entamoeba
histolytica provokes an inflammatory response in the host in which macrophages
and neutrophils are the first line of defense. The aim of this study was to
analyze the effect of the 220 kDa lectin of Entamoeba histolytica on stimulation
of human macrophages and neutrophils, especially the secretion of cytokines and
the relation of these to neurotransmitters. Human cells were interacted with
L220, epinephrine, nicotine, esmolol and vecuronium bromide. The concentrations
of IL-1beta, IFN-gamma, TNF-alpha and IL-10 were determined by ELISA at, 4 h of
interaction. L220 has a cytokine stimulating function of macrophages and
neutrophils for secretion of IL-1beta, and IL-10 only by macrophages, which was
modulated by the effect of vecuronium on cholinergic receptors in this immune
cells.
PMID- 29654689
TI - Genotyping and virulence analysis of Toxoplasma gondii isolates from a dead human
fetus and dead pigs in Jiangsu province, Eastern China.
AB - Toxoplasma gondii is an obligate intracellular parasite with worldwide
distribution. Virulence of T. gondii is a multigenic trait. Genetic and virulence
data for T. gondii isolates from humans and animals in China have been reported.
However, almost all biological materials used for genotyping of T. gondii from
humans and pigs were DNA samples prepared from tissues, and T. gondii strains
used for virulence analysis were isolated mainly from cats. In this study, one
isolate from a dead human fetus was identified as type I (ToxoDB #10) while the
two isolates from dead pigs were type Chinese I (ToxoDB #9) with PCR-restriction
fragment length polymorphism using 10 markers (SAG1, SAG2, SAG3, BTUB, GRA6, c22
8, c29-2, L358, PK1 and Apico). Three isolates were comfirmed as virulent strains
in mice. By cloning and sequences analysis, all isolates contained a Pvu II
restriction site (572-577 bp) in the KHB fragment and five tandem repeats in the
5' UTR region of SAG1, which were associated with T. gondii virulence. The type
Chinese I isolates contained two deletions of 15 and 3 bp at positions 635 to 649
and 658 to 660 in the GRA6, which were correlated with genotype, but not with
virulence. To our knowledge, this is the first report on the systematic analysis
of murine virulence of type Chinese I strain from pigs, and the associations of
sequences of the KHB fragment and SAG1 with virulence of type Chinese I strain.
The Chinese I genotype was more closely related to type II strains.
PMID- 29654690
TI - Patterns of the parasite communities in a fish assemblage of a river in the
Brazilian Amazon region.
AB - This paper characterizes the pattern of ectoparasite and endoparasite communities
in an assemblage of 35 sympatric fish from different trophic levels in a
tributary from the Amazon River system, northern Brazil. In detritivorous,
carnivorous, omnivorous and piscivorous hosts, the species richness consisted of
82 ectoparasites and endoparasites, but protozoan ectoparasites such as
Ichthyophthirius multifiliis, Piscinoodinium pillulare and Tripartiella sp. were
dominant species predominated, such that they were present in 80% of the hosts.
The taxon richness was in the following order: Monogenea > Nematoda > Digenea >
Crustacea > Protozoa > Acanthocephala = Cestoda > Hirudinea. Among the hosts, the
highest number of parasitic associations occurred in Satanoperca jurupari,
Aequidens tetramerus, Hoplerythrinus unitaeniatus, Hoplosternum littorale,
Cichlasoma amazonarum, Chaetobranchus flavescens, Squaliforma emarginata,
Chaetobranchopsis orbicularis and Hoplias malabaricus. A weak positive
correlation between ectoparasite abundance and length of the hosts was observed.
Ectoparasite communities of detritivorous, carnivorous and omnivorous hosts were
similar, but these differed from the communities of piscivorous hosts. Larval
endoparasite species with low host specificity were the main determinants of the
parasite infracommunity structure of the fish assemblage. Fish assemblage had few
species of helminth that were specialist endoparasites, while many were parasites
at the larval stage, infecting intermediate and paratenic hosts. Finally,
carnivorous and omnivorous hosts harbored endoparasite communities that were more
heterogeneous than those of detritivorous and piscivorous hosts. This result
lends supports to the notion that the feeding habits of the host species are a
significant factor in determining the endoparasites fauna.
PMID- 29654691
TI - A new species of Cacatuocotyle (Monogenea, Dactylogyridae) parasitizing Astyanax
spp. (Characiformes, Characidae) from Brazil, including molecular data and a key
to species identification.
AB - The present study describes Cacatuocotyle papilionis n. sp. (Monogenea,
Dactylogyridae) from the skin of the characid fishes Astyanax lacustris (Lutken,
1875) (=Astyanax altiparanae Garutti & Britski, 2000) and Astyanax fasciatus
(Cuvier, 1819) (Characiformes, Characidae) from the Southeast of Brazil,
supported by morphological and molecular data. The new species differs from all
congeners, mainly due to the morphology of the ventral bar (resembling a
butterfly), accessory piece, and the number of rings of the male copulatory organ
(MCO), comprising a coiled tube with 4.5-5.5 counterclockwise rings. The first
molecular data for this monogenean genus is provided in this study, using the
partial sequences of the ribosomal gene (28S), as well as providing an
identification key to the species.
PMID- 29654693
TI - Assessment of genetic diversity in ragi [Eleusine coracana (L.) Gaertn] using
morphological, RAPD and SSR markers.
AB - Finger millet (Eleusine coracana L. Gaertn., 2n=36) is one of the most important
minor crops, commonly known as 'ragi' and used as a staple food grain in more
than 25 countries including Africa and south Asia. Twenty-seven accessions of
ragi were collected from different parts of India and were evaluated for morpho
genetic diversity studies. Simple sequence repeat (SSR) and random amplified
polymorphic DNA (RAPD) markers were used for assessment of genetic diversity
among 27 genotypes of E. coracana. High degree of similarity (90%) was obtained
between 'IC49979A' and 'IC49974B' genotypes, whereas low level of similarity
(9.09%) was found between 'IC204141' and 'IC49985' as evident in morphological
and DNA markers. A total of 64 SSR and 301 RAPD amplicons were produced, out of
which 87.50% and 77.20% DNA fragments showed polymorphism, respectively. The
clustering pattern obtained among the genotypes corresponded well with their
morphological and cytological data with a monophyletic origin of this species
which was further supported by high bootstrap values and principal component
analysis. Cluster analysis showed that ragi accessions were categorised into
three distinct groups. Genotypes IC344761, IC340116, IC340127, IC49965 and
IC49985 found accession specific in RAPD and SSR markers. The variation among
ragi accessions might be used as potential source of germplasm for crop
improvement.
PMID- 29654692
TI - Adult height in patients with familial male-limited precocious puberty and the
role of an aromatase inhibitor in patient management.
AB - BACKGROUND: There is little adult height data in patients with familial male
limited precocious puberty (FMPP) and no management consensus. We assessed the
treatment and adult height in local patients with FMPP and those reported in the
literature. METHODS: Growth data were obtained on four local patients with FMPP
and a search performed to obtain management details and adult height data on
cases in the literature. UK (90) population standards were used to calculate
standard deviation scores (SDS). RESULTS: Adult height data were available on 25
men with FMPP of whom 21 were treated. Median adult height SDS of patients was
1.5 SD with a mid-parental target of -0.6 SD (p=0.1). Eight patients (32%) had an
adult height above the mid-parental target and seven patients (28%) had a height
>2 SD below the mean. The median height SD was -0.03 in untreated patients and
+0.5 SD in those receiving an aromatase inhibitor. There was no relationship
between height and age at presentation. CONCLUSIONS: Aromatase inhibitor therapy
is associated with a positive height outcome in FMPP but the outcome with and
without intervention is unpredictable. Clinicians need to be cautious when
counselling families about the potential height outcome in FMPP.
PMID- 29654694
TI - High Expression of Retinoic Acid Induced 14 (RAI14) in Gastric Cancer and Its
Prognostic Value.
AB - BACKGROUND To explore the expression level of retinoic acid induced 14 (RAI14) in
gastric cancer (GC) patients and its potentially clinical prognostic value.
MATERIAL AND METHODS Initially, The Cancer Genome Atlas (TCGA) and Oncomine
databases were mined to examine the differential expression levels and clinical
prognostic significance of RAI14 mRNA in GC patients. Subsequently, 68 cases of
GC and paired adjacent normal tissues were collected retrospectively, and the
expression level of RAI14 protein was detected by immunohistochemical staining.
In addition, Kaplan-Meier univariate and Cox multivariate survival analyses were
used to verify the correlation between RAI14 expression and clinicopathological
parameters in GC patients and its clinical prognostic significance. RESULTS TCGA
and GEO (from Oncomine database) data mining results found that RAI14 mRNA level
was remarkably higher in GC than normal gastric tissues (All P<0.05). Besides,
immunohistochemical results detected that RAI14 protein level in GC was
dramatically higher (P=0.004) compared to that in the matched normal tissues.
Moreover, TCGA database and Kaplan-Meier Plotter mining results showed that
compared to those with RAI14 low mRNA expression levels, GC patients with RAI14
high mRNA expression levels had remarkably lower time of both overall survival
and disease-free survival (All P<0.05). Additionally, based on the
immunohistochemical results, Kaplan-Meier univariate and Cox multivariate
survival analyses indicated that high expression of RAI14 was the only
independent predictor of unfavorable prognosis in patients with gastric cancer
(P=0.000). CONCLUSIONS RAI14 was highly expressed in GC, and the high expression
of RAI14 could be an independent predictor of poor prognosis in GC patients.
PMID- 29654695
TI - Is depression screening in patients with acne critical?
PMID- 29654696
TI - A core domain set for hidradenitis suppurativa trial outcomes: an international
Delphi process.
AB - BACKGROUND: There is no consensus on core outcome domains for hidradenitis
suppurativa (HS). Heterogeneous outcome measure instruments in clinical trials
likely leads to outcome-reporting bias and limits the ability to synthesize
evidence. OBJECTIVES: To achieve global multistakeholder consensus on a core
outcome set (COS) of domains regarding what to measure in clinical trials for HS.
METHODS: Six stakeholder groups participated in a Delphi process that included
five anonymous e-Delphi rounds and four face-to-face consensus meetings to reach
consensus on the final COS. The aim was for a 1 : 1 ratio of patients to
healthcare professionals (HCPs). RESULTS: A total of 41 patients and 52 HCPs from
19 countries in four continents participated in the consensus process, which
yielded a final COS that included five domains: pain, physical signs, HS-specific
quality of life, global assessment and progression of course. A sixth domain,
symptoms, was highly supported by patients and not by HCPs but is recommended for
the core domain set. CONCLUSIONS: Routine adoption of the COS in future HS trials
should ensure that core outcomes of importance to both patients and HCPs are
collected.
PMID- 29654697
TI - Melatonin disturbs SUMOylation-mediated crosstalk between c-Myc and nestin via
MT1 activation and promotes the sensitivity of paclitaxel in brain cancer stem
cells.
AB - Here the underlying antitumor mechanism of melatonin and its potency as a
sensitizer of paclitaxel was investigated in X02 cancer stem cells. Melatonin
suppressed sphere formation and induced G2/M arrest in X02 cells expressing
nestin, CD133, CXCR4, and SOX-2 as biomarkers of stemness. Furthermore, melatonin
reduced the expression of CDK2, CDK4, cyclin D1, cyclin E, and c-Myc and
upregulated cyclin B1 in X02 cells. Notably, genes of c-Myc related mRNAs were
differentially expressed in melatonin-treated X02 cells by microarray analysis.
Consistently, melatonin reduced the expression of c-Myc at mRNA and protein
levels, which was blocked by MG132. Of note, overexpression of c-Myc increased
the expression of nestin, while overexpression of nestin enhanced c-Myc through
crosstalk despite different locations, nucleus, and cytoplasm. Interestingly,
melatonin attenuated small ubiquitin-related modifier-1 (SUMO-1) more than SUMO-2
or SUMO-3 and disturbed nuclear translocation of nestin for direct binding to c
Myc by SUMOylation of SUMO-1 protein by immunofluorescence and
immunoprecipitation. Also, melatonin reduced trimethylated histone H3K4me3 and
H3K36me3 more than dimethylation in X02 cells by Western blotting and chromatin
immunoprecipitation assay. Notably, melatonin upregulated MT1, not MT2, in X02
cells and melatonin receptor inhibitor luzindole blocked the ability of melatonin
to decrease the expression of nestin, p-c-Myc(S62), and c-Myc. Furthermore,
melatonin promoted cytotoxicity, sub-G1 accumulation, and apoptotic body
formation by Paclitaxcel in X02 cells. Taken together, these findings suggest
that melatonin inhibits stemness via suppression of c-Myc, nestin, and histone
methylation via MT1 activation and promotes anticancer effect of Paclitaxcel in
brain cancer stem cells.
PMID- 29654698
TI - SunSmart schools: a New Zealand skin cancer primary prevention intervention
blueprint for primary school settings.
PMID- 29654699
TI - A smartphone application supporting patients with psoriasis improves adherence to
topical treatment: a randomized controlled trial.
AB - BACKGROUND: Adherence to topical psoriasis treatments is low, which leads to
unsatisfactory treatment results. Smartphone applications (apps) for patient
support exist but their potential to improve adherence has not been
systematically evaluated. OBJECTIVES: To evaluate whether a study-specific app
improves adherence and reduces psoriasis symptoms compared with standard
treatment. METHODS: We conducted a randomized controlled trial (RCT,
clinicaltrials.gov registration: NCT02858713). Patients received once-daily
medication [calcipotriol/betamethasone dipropionate (Cal/BD) cutaneous foam] and
were randomized to no app (n = 66) or app intervention (n = 68) groups. In total,
122 patients (91%) completed the 22-week follow-up. The primary outcome was
adherence, which was defined as medication applied >= 80% of days during the
treatment period and assessed by a chip integrated into the medication dispenser.
Secondary outcomes were psoriasis severity measured by the Lattice System
Physician's Global Assessment (LS-PGA) and quality of life, measured using the
Dermatology Life Quality Index (DLQI) at all visits. RESULTS: Intention-to-treat
analyses using regression was performed. More patients in the intervention group
were adherent to Cal/BD cutaneous foam than those in the nonintervention group at
week 4 (65% vs. 38%, P = 0.004). The intervention group showed a greater LS-PGA
reduction than the nonintervention group at week 4 (mean 1.86 vs. 1.46, P =
0.047). A similar effect was seen at weeks 8 and 26, although it did not reach
statistical significance. CONCLUSIONS: This RCT demonstrates that the app
improved short-term adherence to Cal/BD cutaneous foam treatment and psoriasis
severity.
PMID- 29654701
TI - Vedolizumab-induced acne in inflammatory bowel disease.
PMID- 29654703
TI - Asymmetric oceanographic processes mediate connectivity and population genetic
structure, as revealed by RADseq, in a highly dispersive marine invertebrate
(Parastichopus californicus).
AB - Marine populations are typically characterized by weak genetic differentiation
due to the potential for long-distance dispersal favouring high levels of gene
flow. However, strong directional advection of water masses or retentive
hydrodynamic forces can influence the degree of genetic exchange among marine
populations. To determine the oceanographic drivers of genetic structure in a
highly dispersive marine invertebrate, the giant California sea cucumber
(Parastichopus californicus), we first tested for the presence of genetic
discontinuities along the coast of North America in the northeastern Pacific
Ocean. Then, we tested two hypotheses regarding spatial processes influencing
population structure: (i) isolation by distance (IBD: genetic structure is
explained by geographic distance) and (ii) isolation by resistance (IBR: genetic
structure is driven by ocean circulation). Using RADseq, we genotyped 717
individuals from 24 sampling locations across 2,719 neutral SNPs to assess the
degree of population differentiation and integrated estimates of genetic
variation with inferred connectivity probabilities from a biophysical model of
larval dispersal mediated by ocean currents. We identified two clusters
separating north and south regions, as well as significant, albeit weak,
substructure within regions (FST = 0.002, p = .001). After modelling the
asymmetric nature of ocean currents, we demonstrated that local oceanography
(IBR) was a better predictor of genetic variation (R2 = .49) than geographic
distance (IBD) (R2 = .18), and directional processes played an important role in
shaping fine-scale structure. Our study contributes to the growing body of
literature identifying significant population structure in marine systems and has
important implications for the spatial management of P. californicus and other
exploited marine species.
PMID- 29654700
TI - FACE-Q Skin Cancer Module for measuring patient-reported outcomes following
facial skin cancer surgery.
AB - BACKGROUND: The patient's perspective of their facial scar after skin cancer
surgery influences perception of care and quality of life (QoL). Appearance
satisfaction after surgery is also an important but often overlooked treatment
outcome. OBJECTIVES: To report the psychometric validation of the FACE-Q Skin
Cancer Module consisting of five scales, measuring appearance satisfaction
(Satisfaction with Facial Appearance, Appraisal of Scars), QoL (Cancer Worry,
Appearance-related Psychosocial Distress) and the patient experience
(Satisfaction with Information: Appearance). METHODS: Participants underwent Mohs
surgery for facial basal or squamous cell carcinoma or excision of early facial
melanoma. Cohort 1 received a set of scales before and after surgery. Cohort 2
received the scales on two occasions in the postoperative period for test-retest
reliability. Rasch measurement theory was used to select (item-reduce) the most
clinically meaningful items for the scales. Reliability, validity, floor and
ceiling effects and responsiveness were also analysed. RESULTS: Of 334 patients,
209 (response rate 62.6%) were included. Rasch analysis reduced the total scale
items from 77 to 41. All items had ordered thresholds and good psychometric fit.
Reliability was high (Person separation index and Cronbach's alpha >= 0.90) and
scales measuring similar constructs were correlated. High floor and ceiling
effects were seen for the scales. The Cancer Worry scale demonstrated
responsiveness (P = 0.004). CONCLUSIONS: The FACE-Q Skin Cancer Module meet the
requirements of the Rasch model providing linearized measurement. Discriminating
between patients with minimal appearance or worry impairment may be a limitation.
The scales can be used for larger validation studies, clinical practice and
research.
PMID- 29654704
TI - Is depression screening in patients with acne critical?: reply from authors.
PMID- 29654706
TI - Arteriovenous specification: BMPER and TWSG1 determine endothelial cell fate via
activation of synergistic BMP and Notch signaling.
AB - Two extracellular BMP modulators, BMPER and TWSG1, act in a pro-BMP fashion to
activate endothelial-specific members of the TGF-beta/BMP receptor family.
Through cross-talk with the Notch signaling pathways, they are key regulators of
downstream Notch targets, including ephrin B2. This adds to our understanding of
BMP and Notch signaling, how these pathways converge, and thereby control
arteriovenous specification.
PMID- 29654707
TI - Melatonin promotes sleep in mice by inhibiting orexin neurons in the perifornical
lateral hypothalamus.
AB - Melatonin promotes sleep. However, the underlying mechanisms are unknown. Orexin
neurons in the perifornical lateral hypothalamus (PFH) are pivotal for wake
promotion. Does melatonin promote sleep by inhibiting orexin neurons? We used
C57BL/6J mice and designed 4 experiments to address this question. Experiment 1
used double-labeled immunofluorescence and examined the presence of melatonin
receptors on orexin neurons. Second, mice, implanted with bilateral guides
targeted toward PFH and sleep-recording electrodes, were infused with melatonin
(500 pmole/50 nL/side) at dark onset (onset of active period), and spontaneous
bouts of sleep-wakefulness were examined. Third, mice, implanted with bilateral
guides into the PFH, were infused with melatonin (500 pmole/50 nL/side) at dark
onset and euthanized 2 hours later, to examine the activation of orexin neurons
using c-Fos expression in orexin neurons. Fourth, mice, implanted with PFH
bilateral guides and sleep-recording electrodes, were infused with melatonin
receptor antagonist, luzindole (10 pmol/50 nL/side), at light onset (onset of
sleep period), and spontaneous bouts of sleep-wakefulness were examined. Our
results suggest that orexin neurons express MT1, but not MT2 receptors. Melatonin
infusion into the PFH, at dark onset, site-specifically and significantly
increased NREM sleep (43.7%, P = .003) and reduced wakefulness (12.3%, P = .013).
Local melatonin infusion at dark onset inhibited orexin neurons as evident by a
significant reduction (66%, P = .0004) in the number of orexin neurons expressing
c-Fos. Finally, luzindole infusion-induced blockade of melatonin receptors in PFH
at sleep onset significantly increased wakefulness (44.1%, P = .015). Based on
these results, we suggest that melatonin may act via the MT1 receptors to inhibit
orexin neurons and promote sleep.
PMID- 29654708
TI - Hyponatremia in neuromyelitis optica spectrum disorders: Literature review.
AB - Hyponatremia is a potentially serious electrolyte abnormality observed in
neuromyelitis optica spectrum disorders (NMOSDs), and its most common cause is
syndrome of inappropriate antidiuretic hormone secretion (SIADH). Another
potential cause of hyponatremia is cerebral salt-wasting syndrome (CSWS),
although CSWS has not previously been reported in NMOSDs. Accurate and early
differentiation between SIADH and CSWS is difficult. However, the two conditions
have important implications for the selection of therapy. Here, we describe two
patients with aquaporin-4 antibody (AQP4-Ab)-positive NMOSDs who developed
hyponatremia as a result of CSWS and SIADH, respectively. Additionally, we review
all previously reported studies of hyponatremia in patients with NMOSDs and
propose several potential pathophysiological mechanisms of hyponatremia. In
conclusion, NMOSDs accompanied by hyponatremia are not actually rare, but have
previously been given little attention. Furthermore, SIADH should not be the only
consideration, before the exclusion of rare but significant CSWS.
PMID- 29654709
TI - 13 C Kinetic Isotope Effects as a Quantitative Probe To Distinguish between Enol
and Enamine Mechanisms in Aminocatalysis.
AB - A combination of experimental 13 C kinetic isotope effects (KIEs) and high-level
density functional theory (DFT) calculations is used to distinguish between
"enamine" and "enol" mechanisms in the Michael addition of acetone to trans-beta
nitrostyrene catalyzed by Jacobsen's primary amine thiourea catalyst. In light of
the recent findings that the widely used 18 O-incorporation probe for these
mechanisms is flawed, the results described in this communication demonstrate an
alternative probe to distinguish between these pathways. A key advantage of this
probe is that quantitative mechanistic information is obtained without modifying
experimental conditions. This approach is expected to find application in
resolving mechanistic debates, while providing valuable information about the key
transition state of organocatalyzed reactions involving the alpha
functionalization of carbonyls.
PMID- 29654710
TI - Similarities in temperature-dependent gene expression plasticity across
timescales in threespine stickleback (Gasterosteus aculeatus).
AB - Phenotypic plasticity occurs at a variety of timescales, but little is known
about the degree to which plastic responses at different timescales are
associated with similar underlying molecular processes, which is critical for
assessing the effects of plasticity on evolutionary trajectories. To address this
issue, we identified differential gene expression in response to developmental
temperature in the muscle transcriptome of adult threespine stickleback
(Gasterosteus aculeatus) exposed to 12, 18 and 24 degrees C until hatch and then
held at 18 degrees C for 9 months and compared these results to differential gene
expression in response to adult thermal acclimation in stickleback developed at
18 degrees C and then acclimated to 5 and 25 degrees C as adults. Adult thermal
acclimation affected the expression of 7,940 and 7,015 genes in response to cold
and warm acclimation, respectively, and 4,851 of these genes responded in both
treatments. In contrast, the expression of only 33 and 29 genes was affected by
cold and warm development, respectively. The majority of the genes affected by
developmental temperature were also affected by adult acclimation temperature.
Many genes that were differentially expressed as a result of adult acclimation
were associated with previously identified temperature-dependent effects on DNA
methylation patterns, suggesting a role of epigenetic mechanisms in regulating
gene expression plasticity during acclimation. Taken together, these results
demonstrate similarities between the persistent effects of developmental
plasticity on gene expression and the effects of adult thermal acclimation,
emphasizing the potential for mechanistic links between plasticity acting at
these different life stages.
PMID- 29654711
TI - Development and validation of a novel score for fibrosis staging in patients with
chronic hepatitis B.
AB - BACKGROUND & AIMS: Non-invasive assessment methods for liver fibrosis are
urgently needed. The present study aimed to develop a novel diagnostic model for
fibrosis staging in patients with chronic hepatitis B. METHODS: A cross-sectional
set of 417 chronic hepatitis B patients who underwent liver biopsy was enrolled
and the METAVIR score was adopted as the reference of fibrosis staging. RESULTS:
Among thyroid hormones, only the level of free tetraiodothyronine (FT4) decreased
gradually with the METAVIR fibrosis score (P < .001). FibroStage, a novel
diagnosis model that incorporates data on FT4, platelets, cholinesterase, gamma
glutamyl transpeptidase, and age, was developed using the deriving set (n = 219).
For the diagnosis of significant fibrosis, the FibroStage model had a
significantly higher area under the receiver operating curve than did the
FibroIndex, Forn, and Lok models (all of P < .01) and tended to better than the
fibrosis-4 (P = .0791) but comparable with the aspartate transaminase-to-platelet
ratio index model (P = .1694). For the diagnosis of advanced fibrosis, FibroStage
had a higher area under the receiver operating curve than did the aspartate
transaminase-to-platelet ratio index, FibroIndex, Forn, and Lok models (all of P
< .05) and had a comparable area under the receiver operating curve with the
fibrosis-4 model (P = .2109). For the diagnosis of cirrhosis, the area under the
receiver operating curve of FibroStage was higher than those of the aspartate
transaminase-to-platelet ratio index, fibrosis-4, FibroIndex, and Lok (all of P <
.05) models and was comparable with Forn (P = .1649). These results was validated
by a validation set (n = 198). CONCLUSION: FT4 may be an indicator for fibrosis
staging in chronic hepatitis B patients. FibroStage is a better model than
aspartate transaminase-to-platelet ratio index, fibrosis-4, FibroIndex, Forn, and
Lok for the comprehensively diagnosis of significant and advanced fibrosis and
cirrhosis.
PMID- 29654713
TI - Glucose control and autonomic response during acute stress in youth with type 1
diabetes: A pilot study.
AB - BACKGROUND: Type 1 diabetes (T1D) is a chronic source of metabolic and
neuropsychological stress, which may eventually lead to autonomic neuropathy and
other complications related to micro- and macro-vasculopathies. We aimed to
investigate the relationship between T1D chronic stress and autonomic response to
acute stress testing that was expected being affected by chronic stress. METHODS:
Twenty youths with confirmed diagnosis of T1D were assessed. Chronic stress
assessment included hemoglobin A1c (HbA1c) >=7.5%, psychological stress assessed
by perceived stress scale (PSS), hypoglycemic events, and proinflammatory
cytokines. The acute stress testing used standardized stress video games.
Autonomic response to acute stress was assessed by the amplitude and direction of
changes in heart rate variability. Analyses determined correlations between
changes in parasympathetic nervous system during stress testing and chronic
diabetes stressors. RESULTS: A strong correlation was found between the amplitude
of high frequency (HF) changes and HbA1c values (rho = 0.74, P < .001). Youths
with HbA1c >=7.5% showed a larger amplitude of HF changes during acute stress
(49% vs 16%, P < .001) and a higher PSS score (22.5 vs 19.0, P = .003), compared
to those with HbA1c <7.5%. Additionally, among youths with HbA1c >=7.5%, those
with positive changes in HF had a lower level of IL-8 than those with negative
changes (5.40 vs 7.85 pg/mL, P = .009). CONCLUSIONS: Study findings support the
need for better understanding the health effects of stress-related autonomic
dysfunction in youth with T1D.
PMID- 29654714
TI - The diverse origins of circulating cell-free DNA in the human body: a critical re
evaluation of the literature.
AB - Since the detection of cell-free DNA (cfDNA) in human plasma in 1948, it has been
investigated as a non-invasive screening tool for many diseases, especially solid
tumours and foetal genetic abnormalities. However, to date our lack of knowledge
regarding the origin and purpose of cfDNA in a physiological environment has
limited its use to more obvious diagnostics, neglecting, for example, its
potential utility in the identification of predisposition to disease, earlier
detection of cancers, and lifestyle-induced epigenetic changes. Moreover, the
concept or mechanism of cfDNA could also have potential therapeutic uses such as
in immuno- or gene therapy. This review presents an extensive compilation of the
putative origins of cfDNA and then contrasts the contributions of cellular
breakdown processes with active mechanisms for the release of cfDNA into the
extracellular environment. The involvement of cfDNA derived from both cellular
breakdown and active release in lateral information transfer is also discussed.
We hope to encourage researchers to adopt a more holistic view of cfDNA research,
taking into account all the biological pathways in which cfDNA is involved, and
to give serious consideration to the integration of in vitro and in vivo
research. We also wish to encourage researchers not to limit their focus to the
apoptotic or necrotic fraction of cfDNA, but to investigate the intercellular
messaging capabilities of the actively released fraction of cfDNA and to study
the role of cfDNA in pathogenesis.
PMID- 29654715
TI - Virgin coconut oil extract mitigates testicular-induced toxicity of alcohol use
in antiretroviral therapy.
AB - The consumption of alcohol by people living with HIV/AIDS is associated with a
graver prognosis. Long-term use of antiretrovirals may have certain health
challenges that may be aggravated by concomitant alcohol use. This study
investigated virgin coconut oil (VCO) as an adjuvant to the deleterious effects
of highly active antiretroviral therapy (HAART) and alcohol on the cyto
architecture and functioning of the testis. Forty adult male Sprague-Dawley rats,
weighing 165~176 g, were divided into eight groups and treated according to
protocol. Testicular histology, stereological parameters, seminal fluid,
testosterone, luteinizing hormone, follicle-stimulating hormone, the antioxidants
marker malondialdehyde (MDA), and antioxidant glutathione (GSH) were examined.
The use of ethanol alone and ethanol + HAART showed extensive degeneration in the
seminiferous epithelium, decreased semen quality, disorganized basement membrane
and widened, hypocellular interstitium. GSH was significantly decreased in the
ethanol alone treated group with no significant effect on testosterone, LH, and
MDA levels. Adjuvant treatment with VCO at low dose (2.5 mL/kg/bw) improved sperm
motility with a partial restoration of the histopathological alterations. High
doses of VCO (5.0 mL/kg/bw) showed greater improvement with respect to sperm
counts, increased FSH hormonal and GSH antioxidant levels, and a well-preserved
testicular cyto-architecture.
PMID- 29654716
TI - Vascularization of colorectal carcinoma liver metastasis: insight into
stratification of patients for anti-angiogenic therapies.
AB - Current treatment for metastatic disease targets angiogenesis. With the
increasing data demonstrating that cancer cells do not entirely rely on
angiogenesis but hijack the existing vasculature through mechanisms such as co
option of existing blood vessels, identification of targets has become of utmost
importance. Our study looks at the vasculature of chemonaive and treated
colorectal carcinoma liver metastases (CRCLMs) to obtain a basic understanding of
the microvessel density, type of vasculature (mature versus immature), and
correlation with histopathological growth patterns that demonstrate unique
patterns of angiogenesis. We performed immunohistochemistry on chemonaive
sections of desmoplastic histopathological growth pattern (DHGP) and replacement
histopathological growth patterns (RHGP) lesions with CD31 [endothelial cell (EC)
marker] and CD34/Ki67 double staining, which denotes proliferating ECs. The CD31
stains demonstrated a lower microvascular CD31 +ve capillary density in the DHGP
versus RHGP lesions; and integrating both immunostains with CD34/Ki67 staining on
serial sections revealed proliferating vessels in DHGP lesions and co-option of
mature existing blood vessels in RHGP lesions. Interestingly, upon treatment with
chemotherapy and bevacizumab, the RHGP lesions showed no necrosis whereas the
DHGP lesions had almost 100% necrosis of the cancer cells and in most cases there
was a single layer of viable cancer cells, just under or within the desmoplastic
ring. The survival of these cells may be directly related to spatial location and
possibly a different microenvironment, which may involve adhesion to different
extracellular matrix components and/or different oxygen/nutrient availability.
This remains to be elucidated. We provide evidence that DHGP CRCLMs obtain their
blood supply via sprouting angiogenesis whereas RHGP lesions obtain their blood
supply via co-option of existing vasculature. Furthermore current treatment
regimens do not affect RHGP lesions and although they kill the majority of the
cancer cells in DHGP lesions, there are cells surviving within or adjacent to the
desmoplastic ring which could potentially give rise to a growing lesion.
PMID- 29654717
TI - Structure and dynamics of plant TatA in micelles and lipid bilayers studied by
solution NMR.
AB - The twin-arginine translocase (Tat) transports folded proteins across the
cytoplasmic membrane of prokaryotes and the thylakoid membrane of plant
chloroplasts. In Gram-negative bacteria and chloroplasts, the translocon consists
of three subunits, TatA, TatB, and TatC, of which TatA is responsible for the
actual membrane translocation of the substrate. Herein we report on the
structure, dynamics, and lipid interactions of a fully functional C-terminally
truncated 'core TatA' from Arabidopsis thaliana using solution-state NMR. Our
results show that TatA consists of a short N-terminal transmembrane helix (TMH),
a short connecting linker (hinge) and a long region with propensity to form an
amphiphilic helix (APH). The dynamics of TatA were characterized using 15 N
relaxation NMR in combination with model-free analysis. The TMH has order
parameters characteristic of a well-structured helix, the hinge is somewhat less
rigid, while the APH has lower order parameters indicating structural
flexibility. The TMH is short with a surprisingly low protection from solvent,
and only the first part of the APH is protected to some extent. In order to
uncover possible differences in TatA's structure and dynamics in detergent
compared to in a lipid bilayer, fast-tumbling bicelles and large unilamellar
vesicles were used. Results indicate that the helicity of TatA increases in both
the TMH and APH in the presence of lipids, and that the N-terminal part of the
TMH is significantly more rigid. The results indicate that plant TatA has a
significant structural plasticity and a capability to adapt to local
environments.
PMID- 29654718
TI - Socrates on Quality.
PMID- 29654719
TI - Ethico-Legal Challenges of Drug Packing-A Case Report.
PMID- 29654720
TI - Gender Differences in Antithrombotic Treatment for Newly Diagnosed Atrial
Fibrillation: The GLORIA-AF Registry Program.
AB - AIMS: Data on gender differences in oral anticoagulation for stroke prevention in
patients with atrial fibrillation are conflicting, largely limited to regional
reports and vitamin K antagonist use. We aimed to analyze gender-specific
anticoagulant prescription patterns early following the introduction of non
vitamin K antagonist oral anticoagulants (NOACs) in a large, global registry on
atrial fibrillation. METHODS: The Global Registry on Long-Term Oral
Antithrombotic Treatment in Patients with Atrial Fibrillation (GLORIA-AF) is an
international registry program involving patients with newly diagnosed atrial
fibrillation (<3 months from arrhythmia onset). We used data from 15,092
consecutive patients (median age, 71.0 years; 45.5% were women) enrolled between
2011 and 2014. Globally, 79.7% of women and 80.2% of men were anticoagulated; the
absolute between-gender difference in prevalence of anticoagulant use was -0.5%
(95% confidence interval, -1.8% to 0.8%). Vitamin K antagonists were prescribed
to 32.8% and 31.9% (NOACs 46.8% and 48.3%) of women and men, respectively.
RESULTS: No confounder for the association between gender and anticoagulant
prescription was identified. Between-gender differences in anticoagulant use
(lower use in women compared with men by decreasing order of magnitude of the
difference) were found for CHA2DS2-VASc (congestive heart failure, hypertension,
age >=75 years, diabetes mellitus, stroke/transient ischemic attack, vascular
disease, age 65-74 years, sex category [female]) score = 1; CHADS2 (congestive
heart failure, hypertension, age >=75 years, diabetes mellitus, stroke) score =
0; previous bleeding; age <65 years; no history of hypertension; myocardial
infarction; coronary artery disease; North America region; and specialist office
setting. CONCLUSION: Globally, the prevalence of anticoagulant use is similar in
women and men. The decision to prescribe oral anticoagulation seems to depend
predominantly on guideline-related differences in stroke risk stratification
rather than on gender.
PMID- 29654722
TI - Giant Mediastinal Liposarcoma: A Rare Yet Distinct Clinical Entity.
AB - Mediastinal liposarcomas are rare tumors that occasionally present as unusually
large and rapidly growing mediastinal masses resulting in compressive symptoms.
We present a case of a 48-year-old woman undergoing resection of a mediastinal
liposarcoma of massive proportion and propose that "giant mediastinal
liposarcomas" be identified as a distinct clinical entity.
PMID- 29654721
TI - Pleiotropic Role of p53 in Injury and Liver Regeneration after Acetaminophen
Overdose.
AB - p53 is the major cellular gatekeeper involved in proliferation, cell death,
migration, and homeostasis. The role of p53 in pathogenesis of drug-induced liver
injury is unknown. We investigated the role of p53 in liver injury and
regeneration after acetaminophen (APAP) overdose, the most common cause of acute
liver failure in the Western world. Eight-week-old male wild-type (WT) and p53
knockout (p53KO) mice were treated with 300 mg/kg APAP, and the dynamics of liver
injury and regeneration were studied over a time course of 0 to 96 hours.
Deletion of p53 resulted in a threefold higher liver injury than in WT mice.
Interestingly, despite higher liver injury, p53KO mice recovered similarly as the
WT mice because of faster liver regeneration. Deletion of p53 did not affect APAP
bioactivation and initiation of injury. Microarray analysis revealed that p53KO
mice had disrupted metabolic homeostasis and induced inflammatory and
proliferative signaling. p53KO mice showed prolonged steatosis correlating with
prolonged liver injury. Initiation of liver regeneration in p53KO mice was
delayed, but once initiated, cell cycle was significantly faster than WT mice
because of sustained AKT, extracellular signal-regulated kinase, and mammalian
target of rapamycin signaling. These studies show that p53 plays a pleotropic
role after APAP overdose, where it prevents progression of liver injury by
maintaining metabolic homeostasis and also regulates initiation of liver
regeneration through proliferative signaling.
PMID- 29654723
TI - Long-Term Outcome of Homograft Implants Related to Donor and Tissue
Characteristics.
AB - BACKGROUND: Cardiovascular homografts are used for right ventricular outflow
tract reconstruction. The procedure has excellent survival rates, but many
homografts degenerate and require reintervention. Studies analyzing risk factors
for reintervention most often focus on recipient and homograft characteristics,
with identification of risk factors such as young recipient age and the use of
aortic homografts. This study focuses on less known variables, including donor
characteristics and homograft management and its effect on long-term outcome of
homograft implantation. METHODS: A retrospective study was conducted of 304
patients undergoing right ventricular outflow tract reconstruction at University
Hospital Lund, Sweden, between 1995 and 2008. Follow-up was up to 22 years.
Donor, homograft, and recipient characteristics were analyzed. Statistical
analyses included the Kaplan-Meier method with log-rank test and Cox proportional
hazard regression. RESULTS: Follow-up was 98% complete. There were 12 deaths, and
115 reinterventions were required. Younger donor age was identified as a risk
factor. Homografts from multiorgan donors with no ischemic time produced the
longest homograft survival. In non-heart-beating donors, long-term results did
not differ between 1 and 24 hours of ischemic time and more than 24 hours of
ischemic time. Longer retrieval to cryopreservation times seemed to be better
compared with shorter time intervals. Long-term outcome was superior in
homografts from middle-aged donors. CONCLUSIONS: The best long-term outcome was
found when homografts were retrieved from organ donors and middle-aged donors.
There is no harm extending the ischemic time in non-heart-beating donors to 48
hours to increase the number of possible donors.
PMID- 29654724
TI - Left Thoracotomy for Redo Mitral Valve Replacement in Giant Left Atrium and
Severe Pectus Excavatum.
PMID- 29654725
TI - Lung Cancer Screening: The True Benefit.
PMID- 29654726
TI - Robotic Hybrid Approach for an Anterior Pancoast Tumor in a Severely Obese
Patient.
AB - Several different surgical approaches to anterior Pancoast tumors have been
proposed. The osteomuscular-sparing transmanubrial approach allows optimal
exposure and control of apical chest wall structures, but it requires an
additional thoracotomy to perform the lobectomy with radical lymph node
resection. The presented technique combines the osteomuscular-sparing
transmanubrial approach with robotic-assisted upper lobectomy in a severely obese
patient, thereby reducing the invasiveness of the surgical approach and the
postoperative complications.
PMID- 29654727
TI - Comparative proteomic analysis of human malignant ascitic fluids for the
development of gastric cancer biomarkers.
AB - OBJECTIVES: Malignant ascites is a sign of peritoneal seeding, which is one of
the most frequent forms of incurable distant metastasis. Because the development
of malignant ascites is associated with an extremely poor prognosis, determining
whether it resulted from peritoneal seeding has critical clinical implications in
diagnosis, choice of treatment, and active surveillance. At present, the
molecular characterizations of malignant ascites are especially limited in case
of gastric cancer. We aimed to identify malignant ascites-specific proteins that
may contribute to the development of alternative methods for diagnosis and
therapeutic monitoring and also increase our understanding of the pathophysiology
of peritoneal seeding. DESIGN & METHODS: First, comprehensive proteomic
strategies were employed to construct an in-depth proteome of ascitic fluids.
Label-free quantitative proteomic analysis was subsequently performed to identify
candidates that can differentiate between malignant ascitic fluilds of gastric
cancer patients from benign ascitic fluids. Finally, two candidate proteins were
verified by ELISA in 84 samples with gastric cancer or liver cirrhosis. RESULTS:
Comprehensive proteome profiling resulted in the identification of 5347 ascites
proteins. Using label-free quantification, we identified 299 proteins that were
differentially expressed in ascitic fluids between liver cirrhosis and stage IV
gastric cancer patients. In addition, we identified 645 proteins that were
significantly expressed in ascitic fluids between liver cirrhosis and gastric
cancer patients with peritoneal seeding. Finally, Gastriscin and Periostin that
can distinguish malignant ascites from benign ascites were verified by ELISA.
CONCLUSIONS: This study identified and verified protein markers that can
distinguish malignant ascites with or without peritoneal seeding from benign
ascites. Consequently, our results could be a significant resource for gastric
cancer research and biomarker discovery in the diagnosis of malignant ascites.
PMID- 29654729
TI - Performance specifications and six sigma theory: Clinical chemistry and industry
compared.
AB - Analytical performance specifications are crucial in test development and quality
control. Although consensus has been reached on the use of biological variation
to derive these specifications, no consensus has been reached which model should
be preferred. The Six Sigma concept is widely applied in industry for quality
specifications of products and can well be compared with Six Sigma models in
clinical chemistry. However, the models for measurement specifications differ
considerably between both fields: where the sigma metric is used in clinical
chemistry, in industry the Number of Distinct Categories is used instead. In this
study the models in both fields are compared and discussed.
PMID- 29654728
TI - Evaluation of Cobas 8000(r) for the quantification of albumin and IgG in serum
and cerebrospinal fluid.
AB - According to the 2017 revised McDonald criteria, the presence of oligoclonal
bands (OCB) at isoelectric focusing (IEF) is useful for the diagnosis of Multiple
Sclerosis (MS), including relapsing-remitting MS and primary progressive MS. In
this context, the quantification of IgG in serum and CSF is required for IEF
execution (to deposit the same amount of IgG in serum and CSF), while the
quantification of albumin in serum and CSF allows the calculation of the albumin
quotient. We have evaluated the analytical performances of Cobas 8000(r) analyzer
for the quantification of albumin and IgG in serum and CSF. Coefficients of
variation were below 3.3% for within-run precision and below 3.1% for between-run
precision. Results were similar or better than those obtained on nephelometer
Immage 800(r) and turbidimeter SPAPLUS(r). The uncertainty of quantification of
IgG in CSF was 9% and that of albumin in CSF was 12%. IgG and albumin measured on
Cobas 8000(r) in serum and CSF showed good agreement with results obtained on the
nephelometer Immage 800(r), including for the classification of albumin quotient
and CSF IgG index as normal or pathological. Therefore, Cobas 8000(r) is a
valuable tool for the quantification of IgG and albumin in CSF, in the context of
diagnosis of MS and other inflammatory disease affecting the central nervous
system.
PMID- 29654730
TI - Carotenoid esters analysis and occurrence: What do we know so far?
AB - Carotenoids possessing hydroxyl groups can be found in nature both as free
xanthophylls and as carotenoid esters, i.e. acylated with fatty acids. A single
carotenoid can be acylated with different fatty acids, resulting in a high number
of possible structures of carotenoid esters. The analysis of carotenoid esters
can be challenging; although extraction techniques are essentially the same as
those used for free carotenoids, depending on the sample composition, interfering
compounds such as triacylglycerides are also present in the extract in
significant amounts to cause high background noise and ionization suppression in
mass spectrometric analysis. Besides analysis of UV-visible spectrum features,
identification of carotenoid esters must include tandem mass spectrometry (MSn)
because no changes in the carotenoid molecule chromophore occur due to acylation
with fatty acids. Although carotenoid esters are widespread found in foods,
especially in fruits, and their bioavailability is equivalent or even higher than
that of the free carotenoid, occurrence of xanthophyll esters is very limited in
human plasma and tissues. Challenges and further investigations needed on the
topics above are given in this review.
PMID- 29654733
TI - Cortical remodeling after electroacupuncture therapy in peripheral nerve
repairing model.
AB - Electroacupuncture (EA) is an alternative therapy for peripheral nerve injury
(PNI). The treatment relies on post-therapeutic effect rather than real-time
effect. We utilized fMRI to clarify the resting-state alteration caused by
sustained effect of EA on peripheral nerve repairing model. Twenty-four rats were
divided equally into three groups: normal group, model group and intervention
group. Rats of the model and intervention group underwent sciatic nerve
transection and direct anastomosis. EA intervention at ST-36 and GB-30 was
conducted continuously for 4 months on the intervention group. Behavioral
assessments and fMRI were performed 1 month and 4 months after surgery.
Intervention group showed significant improvement on the gait parameters max
contact mean intensity (MCMI) and thermal withdrawal latency (TWL) than model
group. EA-related sustained effects of amplitude of low frequency fluctuations
(ALFF) could be described as a remolding pattern of somatosensory area and
sensorimotor integration regions which presented higher ALFF in the contralateral
hemisphere and lower in the ipsilateral hemisphere than model group.
Interhemispheric functional connectivity (FC) analysis showed a significantly
lower FC after EA therapy between the largest significantly different clusters in
bilateral somatosensory cortices than the model group 4 months after surgery(p <
0.05). And the model group presented significantly higher FC than the normal
group at both two time-points (p < 0.01). The sustained effect of EA on
peripheral nerve repairing rats appeared to induce both regional and extensive
neuroplasticity in bilateral hemispheres. We proposed that such EA-related effect
was a reverse of maladaptive plasticity caused by PNI.
PMID- 29654732
TI - First report of Anaplasma phagocytophilum infection in Holstein cattle in the
Republic of Korea.
AB - Global warming has increased the incidence and risk of tick-borne diseases in
domestic animals and humans in the Republic of Korea (ROK). In this study, we
investigated the prevalence of Anaplasma phagocytophilum in Holstein cattle (n =
214) in the ROK using specific PCR assays. A. phagocytophilum infection was
detected in only two animals (0.93%, 2/214). Our findings showed that PCR assay
using the 16S rRNA gene, but not groEL, was suitable for detection of A.
phagocytophilum in cattle. Phylogenetic analysis based on the16S rRNA gene showed
that A. phagocytophilum was divided into two clades. Clade 1 included Korean
isolates, such as those from dogs, cats, Korean water deer, and ticks, while A.
phagocytophilum identified in Holstein cattle formed clade 2. Our results suggest
that there is genetic variability among isolates of A. phagocytophilum
circulating in the ROK. This is the first study to report A. phagocytophilum
infection in Holstein cattle in the ROK. As A. phagocytophilum has zoonotic
potential, additional epidemiological studies are needed to investigate the
prevalence and genetic characterization of A. phagocytophilum from different
regions and hosts.
PMID- 29654734
TI - Editorial Comment.
PMID- 29654731
TI - Lutein, zeaxanthin and mammalian development: Metabolism, functions and
implications for health.
AB - It is now widely accepted that nutrition during critical periods in early
development, both pre- and postnatal, may have lifetime consequences in
determining health or onset of major diseases in the adult life. Dietary
carotenoids have shown beneficial health effects throughout the life cycle due to
their potential antioxidant properties, their ability to serves as precursors of
vitamin A and to the emerging signaling functions of their metabolites. The non
provitamin A carotenoids lutein and zeaxanthin are emerging as important
modulators of infant and child visual and cognitive development, as well as
critical effectors in the prevention and treatment of morbidity associated with
premature births. This review provides a general overview of lutein and
zeaxanthin metabolism in mammalian tissues and highlights the major advancements
and remaining gaps in knowledge in regards to their metabolism and health effects
during pre- and early post-natal development. Furthering our knowledge in this
area of research will impact dietary recommendation and supplementation
strategies aimed at sustaining proper fetal and infant growth.
PMID- 29654735
TI - Editorial Comment.
PMID- 29654736
TI - Reply by Authors.
PMID- 29654737
TI - There is insufficient evidence to claim that cerclage is the treatment of choice
for patients with a cervical length <10 mm.
PMID- 29654738
TI - Genetic and Phenotypic Traits of Staphylococcus Epidermidis Strains Causing
Postcataract Endophthalmitis Compared to Commensal Conjunctival Flora.
AB - PURPOSE: The aim of this study was to compare the virulence and antibiotic
resistance traits of Staphylococcus epidermidis strains causing acute
postcataract endophthalmitis to those isolated from the conjunctiva of uninfected
control patients. DESIGN: Case-control study. METHODS: We isolated an S
epidermidis strain from each of the 22 endophthalmitis patients, and from 43 of
the 72 controls. Species identification was confirmed using both Matrix-assisted
laser desorption ionization-time of flight (MALDI-TOF) mass spectrometry and tuf
gene amplification and sequencing. Antibiotic susceptibilities were evaluated
using the AST-P631 card and the Vitek II automated system. The S epidermidis
strains were tested for the presence of 7 virulence genes (icaA, icaB, icaC,
icaD, atlE, aap, and capA), the insertion sequence IS256, and the mecA gene.
RESULTS: The S epidermidis strains from the endophthalmitis patients displayed
higher prevalence rates for aap, atlE, and mecA gene carriage compared to those
of the control group (77% vs 42%, P = .007; 100% vs 79%, P = .02; and 54% vs 11%,
P < .001, respectively). They also harbored the combination of the mecA and icaA
genes more frequently compared to the control group (13% vs 2%, P = .01). They
were significantly more resistant than control strains to methicillin,
fluoroquinolones, and the aminoglycosides. CONCLUSIONS: A higher capacity of
adhesion to the intraocular lens and formation of biofilms as well as greater
resistance to antibiotics were found in S epidermidis strains causing
postcataract endophthalmitis. The usefulness of such virulence and antibiotic
resistance markers warrants further evaluation for prevention, treatment, and
prognostic evaluation of S epidermidis endophthalmitis.
PMID- 29654739
TI - Fifty years of ERCP: a personal review.
PMID- 29654741
TI - RHBDF1 regulates APC-mediated stimulation of the epithelial-to-mesenchymal
transition and proliferation of colorectal cancer cells in part via the Wnt/beta
catenin signalling pathway.
AB - The human rhomboid family-1 gene (RHBDF1) is an oncogene in breast and head and
neck squamous cancers. Here, we show that RHBDF1 plays a significant role in
colorectal cancer (CRC) formation and that the RHBDF1 expression level is higher
in CRC than in corresponding normal tissues. Moreover, RHBDF1 promotes cell
proliferation, invasion and migration in vitro. Furthermore, through
overexpression and silencing of RHBDF1 and the mediator complex, our study
demonstrates that RHBDF1 may positively regulate adenomatous polyposis coli (APC)
in the Wnt/beta-catenin signalling pathway to increase the expression levels of
MMP-14 and Twist, which act as important epithelial-to-mesenchymal transition
(EMT) stimulating factors. Additionally, RHBDF1 may regulate c-myc and CyclinD1
expression to influence cell proliferation. Finally, RHBDF1 overexpression and
silencing influence CRC growth in BALB/c nude mice. In summary, our findings
demonstrate that the regulatory effects of RHBDF1 on EMT and on cell
proliferation are partially attributable to the Wnt/beta-catenin signalling
pathway.
PMID- 29654742
TI - The E3 ligase for metastasis associated 1 protein, TRIM25, is targeted by
microRNA-873 in hepatocellular carcinoma.
AB - Tumor metastasis accounts for 90% of all cancer-related deaths. Epithelial to
mesenchymal transition (EMT) considered to be centrally important in acquired
resistance to chemotherapy and in progression of tumors to secondary organs. One
of the important mediators of metastatic progression in hepatocellular carcinoma
(HCC) is the metastasis associated protein 1 (MTA-1). We have earlier shown that
in the context of HCC and normal liver cell lines, MTA-1 protein is actively
stabilized in HCC cell lines and actively degraded in normal liver cells. We have
also shown that TRIM25 is the E3 ligase that interacts with and degrades MTA-1
protein. The identity of the factor regulating expression of TRIM25 in normal
liver cells and HCC is unknown. In the current work we elucidate that microRNA
(miR)- 873 targets TRIM25 in HCC cells. Both metagenomic analysis and
quantification of miR-873 and TRIM25 in 25 HCC patients revealed an inverse
correlation between the two in HCC patients with high miR-873 and low TRIM25
expression, respectively. The expression pattern was mimicked in the normal liver
cells THLE-2 and the HCC cell line, HuH6. In vitro luciferase reporter assays
confirmed TRIM25 as the target of miR-873. Transient transfection of HuH6 cells
with an anti-miR-873 antagomir significantly decreased both transwell motility in
these cells. Furthermore, in in vivo xenograft assays treatment with anti-miR-873
antagomir significantly decreased hepatic nodules formation. Cumulatively, our
data indicate that suppression of TRIM25 expression by high levels of miR-873
dictates MTA1 protein upregulation in HCC.
PMID- 29654743
TI - Characterization of therapeutic antibodies in the presence of human serum
proteins by AU-FDS analytical ultracentrifugation.
AB - The preclinical characterization of biopharmaceuticals seeks to determine the
stability, state of aggregation, and interaction of the antibody/drug with other
macromolecules in serum. Analytical ultracentrifugation is the best experimental
method to understand these factors. Sedimentation velocity experiments using the
AU-FDS system were performed in order to quantitatively characterize the
nonideality of fluorescently labeled therapeutic antibodies in high
concentrations of human serum proteins. The two most ubiquitous serum proteins
are human serum albumin, HSA, and gamma-globulins, predominantly IgG. Tracer
experiments were done pairwise as a function of HSA, IgG, and therapeutic
antibody concentration. The sedimentation coefficient for each fluorescently
labeled component as a function of the concentration of the unlabeled component
yields the hydrodynamic nonideality (ks). This generates a 3x3 matrix of ks
values that describe the nonideality of each pairwise interaction. The ks matrix
is validated by fitting both 2:1 mixtures of HSA (1-40 mg/ml) and IgG (0.5-20
mg/ml) as serum mimics, and human serum dilutions (10-100%). The data are well
described by SEDANAL global fitting with the ks nonideality matrix. The ks values
for antibodies are smaller than expected and appear to be masked by weak
association. Global fitting to a ks and K2 model significantly improves the fits.
PMID- 29654744
TI - Metabolism of fructose in B-cells: A 13C NMR spectroscopy based stable isotope
tracer study.
AB - Earlier studies on glucose metabolism in B-cells suggested an active TCA cycle in
both naive B cells and differentiated IgA plasma cells. Glycolysis was shown to
be more active in IgA plasma cells than naive B-cells. There have been no reports
on the metabolism of fructose in B-cells. Fructose is a major sugar present in
the western diet. Thus, we have investigated the metabolism of fructose in B
cells including the effect of glucose on the metabolism of fructose. In this
study, using 13C NMR spectroscopy and [U-13C]fructose and [U-13C]glucose as
stable 13C isotope tracers, we investigated the metabolic fate of fructose and
glucose in B-cells. B-cells showed mitochondrial oxidation of fructose when
administered alone, but showed diminished oxidation of fructose in the presence
of glucose. On the other hand, fructose did not significantly affect the
mitochondrial metabolism of glucose.
PMID- 29654740
TI - Next-generation proteasome inhibitors for cancer therapy.
AB - Over 2 decades ago, the proteasome was considered a risky or even untenable
therapeutic target. Today, proteasome inhibitors are a mainstay in the treatment
of multiple myeloma (MM) and have sales in excess of 3 billion US dollars
annually. More importantly, the availability of proteasome inhibitors has greatly
improved the survival and quality of life for patients with MM. Despite the
remarkable success of proteasome inhibitor therapies to date, the potential for
improvement remains, and the development and optimal use of proteasome inhibitors
as anticancer agents continues to be an active area of research. In this review,
we briefly discuss the features and limitations of the 3 proteasome inhibitor
drugs currently used in the clinic and provide an update on current efforts to
develop next-generation proteasome inhibitors with the potential to overcome the
limitations of existing proteasome inhibitor drugs.
PMID- 29654745
TI - WDR1-regulated actin dynamics is required for outflow tract and right ventricle
development.
AB - Outflow tract (OFT) anomalies account for about 30% of human congenital heart
defects detected at birth. The second heart field (SHF) progenitors contribute to
OFT and right ventricle (RV) development, but the process largely remains
unknown. WDR1 (WD-repeat domain 1) is a major co-factor of actin depolymerizing
factor (ADF)/cofilin that actively disassembles ADF/cofilin-bound actin
filaments. Its function in embryonic heart development has been unknown. Using
Wdr1 floxed mice and Nkx2.5-Cre, we deleted Wdr1 in embryonic heart
(Wdr1F/F;Nkx2.5-Cre) and found that these mice exhibited embryonic lethality, and
hypoplasia of OFT and RV. To investigate the role of WDR1 in OFT and RV
development, we generated SHF progenitors-specific Wdr1 deletion mice (shfKO).
shfKO mice began to die at embryonic day 11.5 (E11.5), and displayed decreased
size of the proximal OFT and RV at E10.5. In shfKO embryos, neither the number of
SHF cells deployment to OFT nor cell proliferation and the cell number were
changed, whereas the cellular organization and myofibrillar assembly of
cardiomyocytes were severely disrupted. In the proximal OFT and RV of both shfKO
and Wdr1F/F;Nkx2.5-Cre embryos, cardiomyocytes were dissociated from the outer
compact myocardial layer and loosely and disorderly arranged into multilayered
myocardium. Our results demonstrate that WDR1 is indispensable for normal OFT and
RV development, and suggest that WDR1-mediated actin dynamics functions in
controlling the size of OFT and RV, which might through regulating the spatial
arrangement of cardiomyocytes.
PMID- 29654746
TI - The role of the notochord in amniote vertebral column segmentation.
AB - The vertebral column is segmented, comprising an alternating series of vertebrae
and intervertebral discs along the head-tail axis. The vertebrae and outer
portion (annulus fibrosus) of the disc are derived from the sclerotome part of
the somites, whereas the inner nucleus pulposus of the disc is derived from the
notochord. Here we investigate the role of the notochord in vertebral patterning
through a series of microsurgical experiments in chick embryos. Ablation of the
notochord causes loss of segmentation of vertebral bodies and discs. However, the
notochord cannot segment in the absence of the surrounding sclerotome. To test
whether the notochord dictates sclerotome segmentation, we grafted an ectopic
notochord. We find that the intrinsic segmentation of the sclerotome is dominant
over any segmental information the notochord may possess, and no evidence that
the chick notochord is intrinsically segmented. We propose that the segmental
pattern of vertebral bodies and discs in chick is dictated by the sclerotome,
which first signals to the notochord to ensure that the nucleus pulposus develops
in register with the somite-derived annulus fibrosus. Later, the notochord is
required for maintenance of sclerotome segmentation as the mature vertebral
bodies and intervertebral discs form. These results highlight differences in
vertebral development between amniotes and teleosts including zebrafish, where
the notochord dictates the segmental pattern. The relative importance of the
sclerotome and notochord in vertebral patterning has changed significantly during
evolution.
PMID- 29654748
TI - Vaccine refusal - what we need to know.
AB - OBJECTIVE: Opposition to vaccines is not a new event, and appeared soon after the
introduction of the smallpox vaccine in the late 18th century. The purpose of
this review is to educate healthcare professionals about vaccine hesitancy and
refusal, its causes and consequences, and make suggestions to address this
challenge. SOURCE OF DATA: A comprehensive and non-systematic search was carried
out in the PubMed, LILACS, and ScieLo databases from 1980 to the present day,
using the terms "vaccine refusal," "vaccine hesitancy," and "vaccine confidence."
The publications considered as the most relevant by the author were critically
selected. SYNTHESIS OF DATA: The beliefs and arguments of the anti-vaccine
movements have remained unchanged in the past two centuries, but new social media
has facilitated the dissemination of information against vaccines. Studies on the
subject have intensified after 2010, but the author did not retrieve any
published studies to quantify this behavior in Brazil. The nomenclature on the
subject (vaccine hesitancy) was standardized by the World Health Organization in
2012. Discussions have been carried out on the possible causes of vaccine
hesitancy and refusal, as well as on the behavior of families and health
professionals. Proposals for interventions to decrease public doubts, clarify
myths, and improve confidence in vaccines have been made. Guides for the health
care professional to face the problem are emerging. CONCLUSIONS: The healthcare
professional is a key element to transmit information, resolve doubts and
increase confidence in vaccines. They must be prepared to face this new
challenge.
PMID- 29654749
TI - Differences in cortisol concentrations in adolescents with eating disorders: a
systematic review.
AB - OBJECTIVE: To perform a systematic review of the literature for scientific
evidence of possible differences in cortisol concentrations in adolescents with
eating disorders. SOURCE OF DATA: Electronic searches were conducting in the
PubMed, Scientific Electronic Library Online, Virtual Health Library, and Science
Direct databases for articles published between 2007 and 2017 using the keywords,
cortisol, hydrocortisone; eating disorders, bulimia, bulimia nervosa, anorexia,
anorexia nervosa; adolescence, adolescent, adolescents. SYNTHESIS OF DATA: A
total of 192 articles were found. After the analysis of the eligibility criteria
using the PRISMA method, 19 articles were selected for the present review. Most
studies were conducted in Europe. Adolescents diagnosed with anorexia nervosa
were evaluated in all studies, except one, when other eating disorders were
investigated. Blood was the means used for the determination of cortisol. In ten
studies, cortisol levels were higher in the group with anorexia than the control
group and a reduction in cortisol levels occurred in the adolescents after being
submitted to nutritional recovery. CONCLUSIONS: Patients with eating disorders
may have several clinical consequences, such as changes in body fat distribution,
changes in bone mineral density, worsening of neurocognitive ability, and
endocrine changes (e.g., hypercortisolemia), which in turn can lead to
hyperglycemia, insulin resistance, hypertension, and increased risk of
infections. The findings demonstrate that adolescents with eating disorders,
especially anorexia nervosa, have increased cortisol levels, which are reduced
after the treatment period. Further studies on differences in cortisol
concentrations in adolescents with other eating disorders are needed, using
different methods.
PMID- 29654750
TI - Emergency contraception in Albania: a multimethods qualitative study of
awareness, knowledge, attitudes and practices.
AB - OBJECTIVES: Contraceptive prevalence is relatively low in Albania, and abortion
is the mainstay of family planning. Although levonorgestrel-only emergency
contraceptive pills are available, uptake of this method is minimal. Emergency
contraception (EC) could play a significant role in addressing women's need for
an effective and discreet pregnancy prevention method. However, information about
the dynamics surrounding EC is limited. STUDY DESIGN: In 2016-2017, we conducted
a multimethods qualitative study that aimed to explore awareness, knowledge,
attitudes and practices toward EC in Albania. This project comprised four
components: a community-based survey with 115 respondents, six focus group
discussions with women of reproductive age, 19 semistructured key informant
interviews, and 16 structured interviews with retail pharmacists. We analyzed our
data using descriptive statistics and for content and themes. RESULTS: Our
findings suggest that EC is widely available in pharmacies in Albania. However, a
quarter of our survey participants did not know whether EC was available, and
more than a third did not think EC was safe to use. Women face numerous barriers
to accessing this form of contraception. Misconceptions about hormonal
contraceptives, in general, and about progestin-only EC in particular, lack of
training among providers, and stigma and fear of judgment were common obstacles
identified by participants. CONCLUSIONS: Misinformation and lack of knowledge
about EC among women and providers in Albania appears common. Training health
service providers, raising awareness among women, and developing linguistically
and culturally resonant materials for distribution could be keys to improving
access to and use of EC. IMPLICATIONS: Although the availability of progestin
only EC is widespread in Albania, our findings suggest that more work needs to be
done to align national regulatory policies with international standards,
facilitate evidence-based service delivery, and increase access to medically
accurate information in Albanian.
PMID- 29654747
TI - Advances in Evaluation of Chronic Diarrhea in Infants.
AB - Diarrhea is common in infants (children less than 2 years of age), usually acute,
and, if chronic, commonly caused by allergies and occasionally by infectious
agents. Congenital diarrheas and enteropathies (CODEs) are rare causes of
devastating chronic diarrhea in infants. Evaluation of CODEs is a lengthy process
and infrequently leads to a clear diagnosis. However, genomic analyses and the
development of model systems have increased our understanding of CODE
pathogenesis. With these advances, a new diagnostic approach is needed. We
propose a revised approach to determine causes of diarrhea in infants, including
CODEs, based on stool analysis, histologic features, responses to dietary
modifications, and genetic tests. After exclusion of common causes of diarrhea in
infants, the evaluation proceeds through analyses of stool characteristics
(watery, fatty, or bloody) and histologic features, such as the villus to crypt
ratio in intestinal biopsies. Infants with CODEs resulting from defects in
digestion, absorption, transport of nutrients and electrolytes, or
enteroendocrine cell development or function have normal villi to crypt ratios;
defects in enterocyte structure or immune-mediated conditions result in an
abnormal villus to crypt ratios and morphology. Whole-exome and genome sequencing
in the early stages of evaluation can reduce the time required for a definitive
diagnosis of CODEs, or lead to identification of new variants associated with
these enteropathies. The functional effects of gene mutations can be analyzed in
model systems such as enteroids or induced pluripotent stem cells and are
facilitated by recent advances in gene editing procedures. Characterization and
investigation of new CODE disorders will improve management of patients and
advance our understanding of epithelial cells and other cells in the intestinal
mucosa.
PMID- 29654751
TI - Continuation of injectable contraception when self-injected vs. administered by a
facility-based health worker: a nonrandomized, prospective cohort study in
Uganda.
AB - OBJECTIVE: The purpose of this study was to compare 12-month continuation rates
for subcutaneous depot medroxyprogesterone acetate (DMPA-SC) administered via
self-injection and DMPA-IM administered by a health worker in Uganda. STUDY
DESIGN: Women seeking injectable contraception at participating health facilities
were offered the choice of self-injecting DMPA-SC or receiving an injection of
DMPA-IM from a health worker. Those opting for self-injection were trained one-on
one. They self-injected under supervision and took home three units, a client
instruction guide and a reinjection calendar. Those opting for DMPA-IM received
an injection and an appointment card for the next facility visit in 3 months. We
interviewed participants at baseline (first injection) and after 3 (second
injection), 6 (third injection) and 9 (fourth injection) months, or upon
discontinuation. We used Kaplan-Meier methods to estimate continuation
probabilities, with a log-rank test to compare differences between groups. A
multivariate Cox regression identified factors correlated with discontinuation.
RESULTS: The 12-month continuation rate for the 561 women self-injecting DMPA-SC
was .81 [95% confidence interval (CI) .78-.84], and for 600 women receiving DMPA
IM from a health worker, it was .65 (95% CI .61-.69), a significant difference at
the .05 level. There were no differences in pregnancy rates or side effects. The
multivariate analysis revealed that, controlling for covariates, self-injecting
reduced the hazard for discontinuing by 46%. A significant interaction between
injection group and age suggests that self-injection may help younger women
continue injectable use. CONCLUSIONS: The significant difference in 12-month
continuation between women self-injecting DMPA-SC and women receiving DMPA-IM
from a health worker - which remains significant in a multivariate analysis -
suggests that self-injection may improve injectable contraceptive continuation.
IMPLICATIONS: While injectable contraceptives are popular throughout much of sub
Saharan Africa, they have high rates of discontinuation. This study is the second
from an African country to demonstrate that self-injection may improve injectable
continuation rates and may do so without increasing the risk of pregnancy or
adverse events.
PMID- 29654752
TI - Role of acid responsive genes in the susceptibility of Escherichia coli to
ciclopirox.
AB - Antibiotic resistance poses a huge threat to the effective treatment of bacterial
infections. To circumvent the limitations in developing new antibiotics,
researchers are attempting to repurpose pre-developed drugs that are known to be
safe. Ciclopirox, an off-patent antifungal agent, inhibits the growth of Gram
negative bacteria, and genes involved in galactose metabolism and
lipopolysaccharide (LPS) biosynthesis are plausible antibacterial targets for
ciclopirox, since their expression levels partially increase susceptibility at
restrictive concentrations. In the present study, to identify new target genes
involved in the susceptibility of Escherichia coli to ciclopirox, genome-wide
mRNA profiling was performed following ciclopirox addition at sublethal
concentrations, and glutamate-dependent acid resistance (GDAR) genes were
differentially regulated. Additional susceptibility testing, growth analyses and
viability assays of GDAR regulatory genes revealed that down-regulation of evgS
or hns strongly enhanced susceptibility to ciclopirox. Further microscopy and
phenotypic analyses revealed that down-regulation of these genes increased cell
size and decreased motility. Our findings could help to maximise the efficacy of
ciclopirox against hard-to-treat Gram-negative pathogens.
PMID- 29654753
TI - GSK126 alleviates the obesity phenotype by promoting the differentiation of
thermogenic beige adipocytes in diet-induced obese mice.
AB - A close relationship between epigenetic regulation and obesity has been
demonstrated in several recent studies. Histone methyltransferase enhancer of
Zeste homolog 2 (Ezh2), which mainly catalyzes trimethylation of histone H3K27 to
form H3K27me3 was found to be required for the differentiation of white and brown
adipocytes in vitro. Here, we investigated the effects of the Ezh2-specific
inhibitor GSK126 in a mouse model of obesity induced by a high-fat diet (HFD). We
found that GSK126 treatment reduced body fat, improved glucose tolerance,
increased lipolysis and improved cold tolerance in mice by promoting the
differentiation of thermogenic beige adipocytes. Moreover, we discovered that
GSK126 inhibited the differentiation of white adipocytes, and the decrease of
Ezh2 enzymatic activity and H3K27me3 also changed the morphology of brown
adipocytes but did not alter the expression of thermogenic genes in these cells.
Our results indicated that GSK126 was a novel chemical inducer of beige
adipocytes and may be a potential therapeutic agent for the management of
obesity. Furthermore, they also prompted that Ezh2 and H3K27me3 play different
roles in the differentiation of the white, brown, and beige adipocytes in vivo.
PMID- 29654754
TI - Sphingolipidomics analysis of large clinical cohorts. Part 1: Technical notes and
practical considerations.
AB - Lipids comprise an exceptionally diverse class of bioactive macromolecules. While
quantitatively abundant lipid species serve fundamental roles in cell structure
and energy metabolism, thousands of structurally-distinct, quantitatively minor
species may serve as important regulators of cellular processes. Historically, a
complete understanding of the biological roles of these lipids has been limited
by a lack of sensitive, discriminating analytical techniques. The class of
sphingolipids alone, for example, is known to consist of over 600 different
confirmed species, but is likely to include tens of thousands of metabolites with
potential biological significance. Advances in mass spectrometry (MS) have
improved the throughput and discrimination of lipid analysis, allowing for the
determination of detailed lipid profiles in large cohorts of clinical samples.
Databases emerging from these studies will provide a rich resource for the
identification of novel biomarkers and for the discovery of potential drug
targets, analogous to that of existing genomics databases. In this review, we
will provide an overview of the field of sphingolipidomics, and will discuss some
of the challenges and considerations facing the generation of robust lipidomics
databases.
PMID- 29654755
TI - Cytoprotective role of ubiquitin against toxicity induced by polyglutamine
expanded aggregates.
AB - Ubiquitin (Ub) homeostasis is important for cellular function and survival,
especially under stress conditions. Recently, we have demonstrated that Ubc-/-
(Ub-deficient) mouse embryonic fibroblasts (MEFs) exhibited reduced viability
under oxidative stress induced by arsenite, which was not due to dysregulation of
the antioxidant response pathway, but rather due to the potential toxicity caused
by the misfolded protein aggregates. However, it is still not clear whether Ub
deficiency is directly related to the accumulation of toxic protein aggregates,
as arsenite itself triggers protein aggregation and renders cells into aberrant
conditions such as reduced proteasome function and inhibition of autophagic flux.
Therefore, under arsenite treatment, the outcome could be derived from the
combination of multiple defective pathways. Furthermore, it has also been
suggested that ubiquitination status of misfolded proteins may not be important
for the formation of inclusion bodies composed of misfolded protein aggregates.
We therefore wondered whether Ub deficiency is sufficient to trigger the
accumulation of toxic protein aggregates inside the cells. In this study, we
ectopically expressed polyQ-expanded aggregates (Q103) in MEFs and observed
inclusion body formation at the juxtanuclear region, which was independent of
cellular Ub levels. In contrast to arsenite treatment, polyQ expression did not
affect proteasome function. However, we observed an increased accumulation of
Q103 aggregates in Ubc-/- MEFs, which was due to impaired autophagic clearance.
Finally, we demonstrated that the increased accumulation of Q103 aggregates under
Ub deficiency dramatically reduced the viability of cells. Therefore, our results
suggest that the maintenance of proper levels of cellular Ub is important to
protect cells against the toxicity induced by the accumulation of protein
aggregates.
PMID- 29654756
TI - PPM1B depletion in U2OS cells supresses cell growth through RB1-E2F1 pathway and
stimulates bleomycin-induced cell death.
AB - PPM1B is a metal-dependent serine/threonine protein phosphatase, with a similar
structure and function to the well-known oncogene in breast cancer, PPM1D (WIP1).
However, clinical significance of PPM1B as a pharmacological target in cancer
therapy has not been explored. To test if PPM1B can be a drug target in the
cellular proliferation and death pathway, the lentiviral PPM1B shRNA was stably
expressed in cancer cell lines and its regulatory function in the RB1-E2F1
pathway was examined. We found that PPM1B depletion suppressed cellular
proliferation of U2OS cells, accompanied by hyper-phosphorylation of RB1 and up
regulation of E2F1 target genes, p27 and caspase 7. Notably, PPM1B depletion
significantly sensitised U2OS cells to bleomycin-induced cell death at a minimal
effective concentration. Our results suggest that PPM1B plays a negative role in
the activation of the p38-RB1-E2F1 pathway and that targeting PPM1B could be
useful in certain types of cancer by stimulating chemotherapy-induced cell death.
PMID- 29654757
TI - Sphingolipidomics analysis of large clinical cohorts. Part 2: Potential impact
and applications.
AB - It has been known for decades that the regulation of sphingolipids (SLs) is
essential for the proper function of many cellular processes. However, a complete
understanding of these processes has been complicated by the structural diversity
of these lipids. A well-characterized metabolic pathway is responsible for
homeostatic maintenance of hundreds of distinct SL species. This pathway is
perturbed in a number of pathological processes, resulting in derangement of the
"sphingolipidome." Recently, advances in mass spectrometry (MS) techniques have
made it possible to characterize the sphingolipidome in large-scale clinical
studies, allowing for the identification of specific SL molecules that mediate
pathological processes and/or may serve as biomarkers. This manuscript provides
an overview of the functions of SLs, and reviews previous studies that have used
MS techniques to identify changes to the sphingolipidome in non-metabolic
diseases.
PMID- 29654758
TI - Regulation of hair follicle development by exosomes derived from dermal papilla
cells.
AB - BACKGROUND: Dermal papilla cells (DPCs) play a critical role in the regulation of
hair follicle (HF) growth, formation, and cycling. DPCs are thought to regulate
HF growth through a paracrine mechanism, in which exosomes may play a critical
role. METHODS: DPC-Exos were cutaneously injected into HFs at different HF cycle
stages and the effects were evaluated by histological and immunohistochemical
analyses. The effects of DPC-Exos on proliferation, migration, and cell cycle
status of outer root sheath cells (ORSCs) were evaluated. After treatment of DPC
Exos, changes in mRNA and protein levels of beta-catenin and Sonic hedgehog (Shh)
in ORSCs were detected. RESULTS: DPC-Exos were approximately 105 nm in diameter
and expressed tumor susceptibility gene 101, cluster of differentiation (CD)9,
and CD63. Injection of DPC-Exos accelerated the onset of HF anagen and delayed
catagen in mice. Immunohistochemical analyses revealed that beta-catenin and Shh
levels were upregulated in the skin. In vitro, DPC-Exo treatment enhanced ORSC
proliferation and migration, and stimulated the expression of beta-catenin and
Shh. CONCLUSION: DPC-Exos contribute to the regulation of HF growth and
development, and provide a potential avenue for the treatment of hair loss.
PMID- 29654759
TI - Small nucleolar RNA U2_19 promotes hepatocellular carcinoma progression by
regulating Wnt/beta-catenin signaling.
AB - Emerging evidence suggests that small nucleolar RNAs (snoRNAs) have
malfunctioning roles in oncogenesis. In the present study, we investigated the
role of box C/D small nucleolar RNA U2_19 (snoU2_19) in the tumorigenesis of
hepatocellular carcinoma (HCC). Recently, we screened snoRNAs differential
signatures by performing high-throughput small RNA sequence in HCC tissues and
validated that upregulated snoU2_19 was associated with aggressive phenotypes in
HCC patients. Aberrant snoU2_19 facilitated HCC cell proliferation, inhibited
apoptosis and induced cell cycle progression in vitro analyses. We globally
investigated the molecular mechanisms of snoU2_19 in HCC and found that snoU2_19
knockdown inhibited Wnt/beta-catenin signaling pathway through inducing the
translocation of beta-catenin in cytoplasm. We concluded that snoU2_19 plays a
pathological role in the development and progression of HCC, and is a potential
therapeutic target for HCC.
PMID- 29654760
TI - Melanosome degradation in epidermal keratinocytes related to lysosomal protease
cathepsin V.
AB - The cause of hyperpigmentation, such as solar lentigo and seborrheic keratosis,
is the excessive accumulation of melanin pigments in the epidermal basal layer.
Melanin pigments are synthesized in the melanosomes, which are specific
organelles produced by melanocytes in the basal layer. Melanosomes containing
melanin pigments are transported to the neighboring keratinocytes. However, the
behavior of melanosomes after being transported to the keratinocytes has been
poorly understood. In this study, we focused on a lysosomal protease cathepsin V
(CTSV) to clarify the mechanism underlying melanosome degradation in the
keratinocytes. Using immunohistochemical observation, we found that CTSV was
highly expressed across the entire epidermis in normal skin; however, CTSV
expression levels were lower in the basal layer than those in the stratum corneum
side in the hyperpigmented region. Moreover, we found that melanosome degradation
was suppressed in CTSV knockdown cells. These results indicated that CTSV is
involved in melanosome degradation.
PMID- 29654761
TI - Particulate matter increases beta-amyloid and activated glial cells in
hippocampal tissues of transgenic Alzheimer's mouse: Involvement of PARP-1.
AB - Exposure to air pollutants, such as particulate matter (PM), has been implicated
in neurodegenerative disorders including Alzheimer's disease (AD). However,
direct effects of PM on production of beta-amyloid (Abeta), a key pathogenic
molecule in AD, and its underlying mechanism are still elusive. Given PM's
potential to induce oxidative stress in other tissues, we hypothesized that
poly(ADP-ribose) polymerase (PARP-1) might be involved in PM-induced
neurotoxicity. To address this, we used an ex vivo model of AD, the organotypic
hippocampal slice tissue culture from old (12-14 months-of-age) triple transgenic
3xTg-AD mice. First, we observed that fine PM (aerodynamic diameter < 4 MUm) can
dose-dependently activate PARP-1 and decrease NAD+ levels in Neuro2A cells. PARP
1 activation did occur under concentrations of PM which did not affect cell
viability. Next, we observed that direct treatment of PM increased Abeta levels
and activated glial cells in the ex vivo hippocampal tissues of 3xTg-AD mice. PM
induced glial activation was most prominent in CA1 region of the hippocampal
tissue. Notably, we found that pharmacological inhibition of PARP-1 reversed both
PM-induced Abeta increase and glial activation, arguing the possible involvement
of PARP-1 in PM-induced AD pathogenesis. Our findings suggest that PARP-1 might
be a potential molecular target, responsible for mediating negative effects of PM
on the brain. Modulating PARP-1 activity could be a promising approach to prevent
or alleviate PM-related environmental neurotoxicity which could initiate AD
pathogenesis.
PMID- 29654762
TI - Egress of murine regulatory T cells from the thymus requires TIPE2.
AB - Regulatory T cells (Tregs) can be divided into thymus-derived Treg (tTregs) and
peripheral induced Tregs (pTregs) in vivo according to their origins and are
essential for the maintenance of immune hemostasis and immune tolerance. Tumor
necrosis factor-alpha-induced protein 8 like 2 (TIPE2) is expressed primarily by
immune cells and is a negative regulator of the innate and adaptive immune
response. Previous studies indicate that TIPE2 is required for the expression of
Treg signature genes and promotes leading-edge formation in neutrophils through
cytoskeleton remodeling. In the current study, we showed that TIPE2 deficient
mice accumulate more Treg cells in the thymus. Further studies revealed that
TIPE2 deficiency doesn't affect the development and apoptosis of tTregs. Instead,
TIPE2 promotes the chemotaxis of tTregs in vitro, which may account for the
accumulation of Tregs in the thymus of TIPE2 deficient mice. Mechanistic study
revealed that TIPE2 promotes the polarization of pAKT and F-actin in tTregs
undergoing directed migration. Taken together, these results demonstrated that
TIPE2 enhances the cytoskeleton remodeling and promotes the thymus egress of
tTregs, which may play an important role in the maintenance of self-tolerance.
PMID- 29654763
TI - ST6GAL1 negatively regulates monocyte transendothelial migration and
atherosclerosis development.
AB - Monocyte transendothelial migration is a critical step in the initial stage of
atherosclerosis, in which the involvement of alpha-2,6 sialyltransferase 1
(ST6GAL1) has been confirmed by increasing evidence. But the direct relationship
between ST6GAL1 and atherosclerosis remains incompletely uncertain. In this
study, we demonstrated that the expression level of ST6GAL1 in vascular
endothelium was significantly decreased in atherosclerosis development process,
while obviously recovered after atherosclerosis regression. Further analysis
showed that knockdown of ST6GAL1 by RNA interference in vascular endothelial
cells EA. hy926 obviously promoted TNFalpha-triggered monocyte-transendothelial
migration, whereas overexpression of ST6GAL1 strongly inhibited monocyte
transendothelial migration. Moreover, we firstly found beta-catenin is a
sialylated protein and its sialylation level is decreased in TNFalpha-treated EA.
hy926 cells, suggesting that the function of ST6GAL1 in preventing both
atherosclerosis development and monocyte transendothelial migration might result
from the sialylation of beta-catenin of endothelium. Our results suggested
ST6GAL1 might be a potential target for atherosclerosis prevention and treatment.
PMID- 29654764
TI - Rg1 inhibits high glucose-induced mesenchymal activation and fibrosis via
regulating miR-2113/RP11-982M15.8/Zeb1 pathway.
AB - Recent study has showed that Ginsenoside Rg1, the mian active compound of Panax
ginseng, could ameliorate oxidative stress and myocardial apoptosis in diabetes
mellitus. However, the roles and mechanisms of Rg1 in proliferative diabetic
retinopathy (PDR) are still unclear. In the present study, we aimed to
investigate the effects of Rg1 on mesenchymal activation of high-glucose (HG)
cultured muller cells. High glucose conditions up-regulate MMP-2, MMP-9 and down
regulate TIMP-2, and promote mesenchymal activation in Muller cells. And Rg1
inhibits the HG-induced mesenchymal activation and HG-increased MMP-2 and MMP-9
and HG-decreased TIMP-2 in Muller cells. HG up-regulates Zeb1 and lncRNA RP11
982M15.8, and down-regulates miR-2113, and Rg1 inhibits these effects of HG. Both
inhibition of miR-2113 and over-expression of RP11-982M15.8 significantly
restored the HG induced mesenchymal activasion. Taken together, our findings
suggested that Rg1 inhibited HG-induced mesenchymal activation and fibrosis via
regulating miR-2113/RP11-982M15.8/Zeb1 pathway.
PMID- 29654765
TI - Adipose mesenchymal stem cell-derived exosomes stimulated by hydrogen peroxide
enhanced skin flap recovery in ischemia-reperfusion injury.
AB - BACKGROUND: Mesenchymal stem cell (MSC)-derived exosomes have been recognized as
new candidates for the treatment of ischemic disease or injury and may be an
alternative treatment for cell therapy. This aim of the study was to evaluate
whether exosomes derived from adipose mesenchymal stem cell (ADSC) can protect
the skin flap during ischemia-reperfusion (I/R) injury and induce
neovascularization. METHODS: To investigate the effects of exosomes in the I/R
injury of flap transplantation in vivo, flaps were subjected to 6 h of ischemia
by ligating the left superficial inferior epigastric vessels (SIEA) followed by
blood perfusion. Exosomes derived from normal ADSC (ADSC-exos) and exosomes
derived from ADSC preconditioned with H2O2 (H2O2-ADSC-exos) were injected into
the flaps. Then, the blood perfusion unit (BPU) of the flaps was measured by
Laser Doppler Perfusion Imaging (LDPI) and microvessel density was determined by
the endothelial with cell marker CD31 with Immunohistochemistry (IHC) staining.
Inflammatory cell infiltration of the skin flap and apoptosis were detected by
hematoxylin & eosin staining (H&E) and the TdT-mediated biotinylated dUTP nick
end-labeling (TUNEL) technique. RESULTS: In vivo, exosomes significantly
increased flap survival and capillary density compared to I/R on postoperative
day 5, and decreased the inflammatory reaction and apoptosis in the skin flap (P
< 0.05). Furthermore, H2O2-ADSC-exos had better outcomes compared to normal
exosomes (P < 0.05). ADSC-exos could significantly increase human umbilical vein
endothelial cell (HUVEC) proliferation (P < 0.05), but no statistic difference
was found in exosomes derived from different microenvironments (P > 0.05). HUVEC
co-cultured with H2O2-ADSC-exos increased the migration ratio and generated more
cord-like structures compared to ADSC-exos and the control group (P < 0.05).
CONCLUSION: ADSC-exos can enhance skin flap survival, promote neovascularization
and alleviate the inflammation reaction and apoptosis in the skin flap after I/R
injury. The use of a specific microenvironment for in vitro stem cell culture,
such as one containing a low concentration of H2O2, will facilitate the
development of customized exosomes for cell-free therapeutic applications in skin
flap transplantation.
PMID- 29654766
TI - Orai1 downregulation impairs lymphocyte function in type 2 diabetes mellitus.
AB - BACKGROUND/AIMS: It has been suggested that diabetes is associated with immune
dysfunction, in which Ca2+ signaling malfunction in lymphocyte may contributes
most. However, the pattern of the Ca2+ signal disorder and the mechanism(s) that
explains the change are unclear. Here, in this study we aimed to investigate
possible changes and mechanism(s) accounting for the internal Ca2+ signals in
diabetic T lymphocyte upon stimulation. METHODS AND RESULTS: Using Fura-2-AM, we
found a significant decrease in Ca2+ influx induced by thapsigargin (TG) and anti
CD3 antibody (OKT3) in T lymphocytes from blood of both diabetes patients and
animals. Furthermore, a downregulated Orai1 protein expression, but not mRNA, was
also observed in these cells using western blot and qRT-PCR, respectively. In
addition, in high-glucose and agonist treated Jurkat T cells, Ca2+ entry and the
release of interleukin-2 (IL-2) were also decreased. Orai1 expression reduced,
while stromal interaction molecule 1 (STIM1) and other downstream proteins
remained unchanged. CONCLUSION: This study demonstrates that the declined Orai1
expression, at least partly, contributes to the downregulated Ca2+ entry during
lymphocyte excitation, providing an important mechanism for T lymphocyte
malfunction in diabetes.
PMID- 29654767
TI - A novel small RNA S042 increases acid tolerance in Lactococcus lactis F44.
AB - Lactococcus lactis, a gram-positive bacterium, encounters various environmental
stresses, especially acid stress, during fermentation. Small RNAs (sRNAs) that
serve as regulators at post-transcriptional level play important roles in acid
stress response. Here, a novel sRNA S042 was identified by RNA-Seq, RT-PCR and
Northern blot. The transcription level of s042 was upregulated 2.29-fold under
acid stress by Quantitative RT-PCR (qRT-PCR) analysis. Acid tolerance assay
showed that overexpressing s042 increased the survival rate of L. lactis F44 and
deleting s042 significantly inhibited the viability under acidic conditions.
Moreover, the targets were predicted by online software and four genes were
chosen as candidates. Among them, argR (arginine regulator) and accD (acetyl-CoA
carboxylase carboxyl transferase subunit beta) were validated to be the direct
targets activated by S042 through reporter fusion assay. The regulatory mechanism
between S042 and its targets was further investigated through Bioinformatics and
qRT-PCR. This study served to highlight the role of the novel sRNA S042 in acid
resistance of L. lactis and provided new insights into the response mechanism of
acid stress.
PMID- 29654768
TI - Seed-specific overexpression of AtFAX1 increases seed oil content in Arabidopsis.
AB - Biosynthesis of plant seed oil is accomplished through the coordinate action of
multiple enzymes in multiple subcellular compartments. Fatty acid (FA) has to be
transported from plastid to endoplasmic reticulum (ER) for TAG synthesis.
However, the role of plastid FA transportation during seed oil accumulation has
not been evaluated. AtFAX1 (Arabidopsis fatty acid export1) mediated the FA
export from plastid. In this study, we overexpressed AtFAX1 under the control of
a seed specific promoter in Arabidopsis. The resultant overexpression lines (OEs)
produced seeds which contained 21-33% more oil and 24-30% more protein per seed
than those of the wild type (WT). The increased oil content was probably because
of the enhanced FA and TAG synthetic activity. The seed size and weight were both
increased accordingly. In addition, the seed number per silique and silique
number per plant had no changes in transgenic plants. Taken together, our results
demonstrated that seed specific overexpression of AtFAX1 could promote oil
accumulation in Arabidopsis seeds and manipulating FA transportation is a
feasible strategy for increasing the seed oil content.
PMID- 29654769
TI - Apixaban attenuates ischemia-induced myocardial fibrosis by inhibition of Gq/PKC
signaling.
AB - It was previously found that patients with symptom of myocardial dysfunction had
increased levels of thrombin. Apixaban is one of the novel oral anticoagulant
drugs widely used in clinic. As the inhibitor of FXa (prothrombin), it inhibits
prothrombin conversion into thrombin leading to thrombin deficiency in vivo.
However, the effects of apixaban on myocardial fibrosis were still unclear, and
the concomitant molecular mechanisms remain to be investigated. Here, we showed
that myocardial fibrosis-bearing mice induced by continuous myocardial ischemia
(MI) had higher levels of thrombin. Orally administration of apixaban
significantly abrogated fibrosis condition and thrombin levels. In vitro,
thrombin induced collagen deposition in primary cardiac fibroblasts in a dose
dependent manner. Mechanistic experiments showed that thrombin induced collagen
deposition by activation of the Par-1-coupled Gq/PKC signaling. Genetic ablation
of Gq or pharmacological inhibition of PKC effectively blunted thrombin-induced
collagen deposition in cardiac fibroblasts. Moreover, administration of PKC
inhibitor or Gq antagonist obviously blocked MI-induced myocardial fibrosis in
mice. To conclude, apixaban attenuates MI-induced myocardial fibrosis by
inhibition of thrombin-dependent Par-1/Gq/PKC signaling axis.
PMID- 29654770
TI - TRPV1-dependent ERK1/2 activation in porcine lens epithelium.
AB - Recently we determined that the Transient Receptor Potential Vanilloid 4 ion
channel (TRPV4) has a crucial signaling role in a pathway that regulates various
aspects of lens epithelium function. Here, we report on a different TRPV channel,
TRPV1, in porcine lens. The presence of TRPV1 in the lens was evident from RT-PCR
studies and Western blot analysis of MAPK signaling pathway activation caused by
the TRPV1 agonist capsaicin. TRPV1 mRNA was detected in the epithelium of porcine
as well as human lens. Transient ERK1/2 and p38 MAPK phosphorylation was detected
within 1 min in the epithelium isolated from intact porcine lenses exposed to
capsaicin (100 nM), a selective TRPV1 agonist, and the response was significantly
inhibited by A889245 (1.0 MUM), a TRPV1 antagonist. A similar ERK 1/2 and p38
response in the epithelium, also inhibitable by A889245, was evident in lenses
treated with hyperosmotic solution (350 vs 300 mOsm). Lenses pre-treated with
either the cytosolic Ca2+ chelator BAPTA-AM or the PKC inhibitor sotrastaurin
(1.0 MUM) had a diminished ERK1/2 activation response to capsaicin and
hyperosmotic solution. Taken together the findings support the notion that TRPV1
functions as a plasma membrane ion channel that, when activated, permits the
entry of extracellular calcium into the lens epithelium, leading to activation of
PKC, ERK1/2 and p38 MAPK. It is significant that the findings confirm earlier
proposals that hyperosmotic stress is linked to TRPV1 channel activation in the
mouse lens. Further studies are ongoing to determine what functional changes are
triggered by the TRPV1-linked signaling pathways and how they might relate to
lens volume homeostasis.
PMID- 29654771
TI - Developmental analysis of SV2 in the embryonic chicken corneal epithelium.
AB - Intraepithelial corneal nerves (ICNs) help protect the cornea as part of the
blink reflex and by modulating tear production. ICNs are also thought to regulate
the health and homeostasis of the cornea through the release of trophic factors.
Disruption to these nerves can lead to vision loss. Despite their importance
little is known about how corneal nerves function and even less is known about
how the cornea is initially innervated during its embryonic development. Here, we
investigated the innervation of the embryonic chicken cornea. Western blot and
immunohistochemistry were used to characterize the localization of the synaptic
vesicle marker SV2, a molecule thought to be involved in the release of trophic
factors from sensory nerves. The data show that both SV2 and synaptotagmin co
localize to ICNs. Nerves in the conjunctiva also contained SV2 and synaptotagmin,
but these were localized to below the basal layers of the conjunctiva epithelium.
SV2 isolated from corneal epithelium migrates in western blot at a heavier weight
than SV2 isolated from brain, which suggests a role in vesicle targeting, as the
deglycosylating enzyme PnGase does not affect corneal SV2.
PMID- 29654772
TI - Mutations in KATNB1 Cause Complex Cerebral Malformations by Disrupting
Asymmetrically Dividing Neural Progenitors.
PMID- 29654773
TI - Procyanidin from peanut skin induces antiproliferative effect in human prostate
carcinoma cells DU145.
AB - In this study, the antiproliferative activity of peanut skin procyanidins (PSP)
and six fractions (PSP-1~6) isolated from PSP by several chromatographic steps on
the human prostate cancer DU145 cells were evaluated. The results showed that PSP
and PSP-1~6 significantly inhibited the proliferation of DU145 cells. PSP-2 was
the most effective fraction, which was identified as procyanidin B3 mainly and
procyanidin dimer [(E)C-luteolin or keampferol] secondarily. Moreover, the
mechanism of antiproliferative activity of PSP-2 was investigated. It was
observed that PSP-2 induced apoptotic cell death and cell cycle arrest at S phase
in DU145 cells. PSP-2 caused the increase of intracellular ROS level and the
decrease of Bcl-2/Bax ratio, and triggered the activation of p53 and caspases-3
in DU145 cells. Our findings demonstrated that procyanidins from peanut skin have
the potential to be developed as an anti-prostate cancer agent.
PMID- 29654774
TI - Volumetric Cleft Changes in Treatment With Bone Morphogenic Protein/beta
Tricalcium Phosphate Versus Grafts From the Iliac Crest or Symphysis.
AB - PURPOSE: To compare the volumetric changes in successfully treated clefts with
secondary alveolar grafting using recombinant human bone morphogenic protein-2
(rhBMP-2) delivered in beta-tricalcium phosphate (betaTCP) scaffold versus
autogenous grafts obtained from the iliac crest and mandibular symphysis.
PATIENTS AND METHODS: We performed a retrospective cohort study of cone-beam
computed tomography scans of 25 subjects with unilateral or bilateral clefts. Of
the 25 patients, 7 received an iliac crest bone graft, 9 received a mandibular
symphyseal bone graft, and 9 subjects received the rhBMP-2/betaTCP bone
substitute. Volumetric rendering software was used to calculate the amount of new
bone formation and residual bone defect present in the cleft area. The data were
analyzed using Wilcoxon and Kruskal-Wallis tests and Pearson's correlation
coefficient. RESULTS: The mean percentage of new bone formation for the iliac
crest, symphysis, and rhBMP-2/betaTCP was 85.47, 80.56, and 81.22%, respectively
(P = .0854). The initial cleft volume had a weak positive correlation with the
percentage of new bone formation (r = 0.18), but the postoperative residual cleft
volume had a strong negative correlation (r = 0.71). CONCLUSIONS: rhBMP2
delivered in a betaTCP scaffold in alveolar cleft patients can be a viable
alternative to autogenous iliac crest and symphysis grafts, eliminating donor
site morbidity.
PMID- 29654775
TI - Correction of Delayed Traumatic Enophthalmos Using Customized Orbital Implants.
AB - PURPOSE: To determine the relation between overcorrection of orbital volume and
ocular projection in patients with orbital trauma. MATERIALS AND METHODS: A
prospective cohort study was performed of patients with enophthalmos as a side
effect of orbital trauma. The sample included patients older than 18 years who
required reconstruction using customized implants to treat enophthalmos with or
without diplopia. The exclusion criteria were patients who had multiple or
extended fractures and patients with amaurosis or a prosthetic eye. Orbital
volumes were calculated and the position of the eyeball in the healthy and
traumatized sockets was determined before and after installing the implant and
the ratio between these variables was calculated. Two variables were identified:
1) orbital volume and 2) enophthalmos. Analysis of the estimator variables was
performed, defining 3 groups: 1) healthy eye socket, 2) traumatized eye socket
without implant, and 3) traumatized eye socket with implant. The Shapiro-Wilk
test, paired t test, and linear regression analysis were performed. A P value
less than .05 (95% confidence interval) indicated significant differences.
RESULTS: Of 294 patients who underwent orbital zygomatic complex reconstruction
surgery, 13 required customized implants and only 5 met the inclusion criteria.
The average volumetric variation in the groups of traumatized eye sockets with
and without implants was statistically significant (P < .05), overcorrecting by
an average of 4.2 cm3. The average enophthalmos variation in the groups of
traumatized eye sockets with and without implants was statistically significant
(P < .05), projecting the eyeball by an average 1.80 mm. The ratio between the
average orbital volume and projection of the eyeball was determined to be 1:0.721
(correlation, 45.6%). CONCLUSION: This study concluded that the eyeball is
projected 0.7 mm for every 1 cm3 of volume added in customized orbital implants.
However, additional clinical studies with larger samples should be conducted.
PMID- 29654776
TI - Hypertrophic Mental Tubercles of the Mandible.
AB - PURPOSE: Enlarged mental tubercles are rare benign exostoses that occur in
children. The purpose of this study was to report a case series of patients with
hypertrophic mental tubercles and propose a theory for their etiopathogenesis.
PATIENTS AND METHODS: In this retrospective case series of patients with
hypertrophic mental tubercles, we reviewed patients' medical records for age,
gender, clinical presentation, findings on physical examination and radiographic
images, and management details. Descriptive data were summarized. RESULTS: The
sample included 14 patients (71% of whom were male patients) who presented at a
mean age of 12.9 +/- 4.8 years (range, 6 to 21 years). Most patients had
bilaterally enlarged mental tubercles (n = 8); unilateral cases were primarily on
the left side (5 of 6 patients). On clinical examination, a bony prominence in
the parasymphyseal region was noted on palpation and occasionally by visual
inspection. No tenderness to palpation or overlying skin changes were noted.
Typical radiographic features included asymmetry along the inferior border of the
mandible in the parasymphyseal region on panoramic radiographs and prominence
and/or enlargement of the mental tubercles on computed tomography scans. No
evidence of cortical expansion, perforation, or periosteal reaction was found.
Three patients requested contouring of the hypertrophied tubercles. CONCLUSIONS:
Hypertrophic mental tubercles are rare bony exostoses that occur in children in a
region where a confluence of facial muscles attach to the mandible. The etiology
likely involves bone deposition occurring in an area of muscle pull.
PMID- 29654777
TI - Evaluation of Andrews' Analysis as a Predictor of Ideal Sagittal Maxillary
Positioning in Orthognathic Surgery.
AB - PURPOSE: There is no universally accepted method for determining the ideal
sagittal position of the maxilla in orthognathic surgery. In "Element II" of "The
Six Elements of Orofacial Harmony," Andrews used the forehead to define the goal
maxillary position. The purpose of this study was to compare how well this
analysis correlated with postoperative findings in patients who underwent
bimaxillary orthognathic surgery planned using other guidelines. The authors
hypothesized that the Andrews analysis would more consistently reflect clinical
outcomes than standard angular and linear measurements. MATERIALS AND METHODS:
This is a retrospective cohort study of patients who had bimaxillary orthognathic
surgery and achieved an acceptable esthetic outcome. Patients with no maxillary
sagittal movement, obstructive sleep apnea, cleft or craniofacial diagnoses, or
who were non-Caucasian were excluded. Treatment plans were developed using
photographs, radiographs, and standard cephalometric measurements. The Andrews
analysis, measuring the distance from the maxillary incisor to the goal anterior
limit line, and standard measurements were applied to end-treatment records. The
Andrews analysis was statistically compared with standard methods. RESULTS: There
were 493 patients who had orthognathic surgery from 2007 through 2014, and 60
(62% women; mean age, 22.1 +/- 6.8 yr) met the criteria for inclusion in this
study. The mean Andrews distances were -4.8 +/- 2.9 mm for women and -8.6 +/- 4.6
mm for men preoperatively and -0.6 +/- 2.1 mm for women and -1.9 +/- 3.4 mm for
men postoperatively. For women, the Andrews analysis was closer to the goal value
(0 mm) postoperatively than any standard measurement (P < .001). For men, the
linear distance from the A point to a vertical line tangent to the nasion from
the McNamara analysis performed best (P < .001), followed by the Andrews
analysis. CONCLUSION: The Andrews analysis correlated well with the final
esthetic sagittal maxillary position in the present sample, particularly for
women, and could be a useful tool for orthognathic surgical planning.
PMID- 29654778
TI - Can Hyaluronidase Be an Alternative Postoperative Anti-edema Agent to
Dexamethasone? Preliminary Results of an Animal Study.
AB - PURPOSE: Recombinant human hyaluronidase (rHuPH20) is widely used as a spreading
factor, which enhances the absorption of subcutaneously injected medicines. The
anti-inflammatory and anti-edema effects of the enzyme were demonstrated in
previous studies. In the present study, the anti-edema effect of rHuPH20 was
compared with that of dexamethasone in a traumatic rat paw edema model. MATERIALS
AND METHODS: Twenty-four Sprague-Dawley rats (weight 200 to 450 g) were divided
into 3 groups: control (group 1), rHuPH20 (group 2), and dexamethasone (group 3).
Traumatic edema was induced in the right hind paws of the rats using Feeney's
weight-drop model. After edema induction, 0.4 mL of rHuPH20 (100 U/kg = 0.88
MUg/kg dose) and 0.4 mL of dexamethasone (0.5 mg/kg dose) were injected into the
right hind paws of the rats in groups 2 and 3. The paw volumes were measured
before edema induction and at 3, 6, 12, 24, 48, and 72 hours after induction
using a plethysmometer. The Mann-Whitney U test was used for the statistical
analyses. Probabilities < .05 were accepted as statistically significant.
RESULTS: The between percentage change in the edema mean values of groups 1 and 3
showed no significant difference at all time points; however, group 2 showed
significantly less change in the edema mean values at 3, 6, 12, 24, and 48 hours
after edema induction (P < .05) compared with group 1. The change in the edema
mean value for group 2 was significantly less than that for group 3 at 3, 6, 12,
24, and 48 hours after edema induction (P < .05). CONCLUSIONS: Local rHuPH20
injection more effectively reduced the edema that was induced traumatically in
rat paws than did dexamethasone. However, further clinical studies are needed
regarding the use of rHuPH20 as a postoperative anti-edema agent in place of
dexamethasone.
PMID- 29654779
TI - Height Changes of Tutoplast-Processed Fascia Lata Over Time After Dorsal
Augmentation During Rhinoplasty.
AB - PURPOSE: Tutoplast (Tutogen Medical, Neunkirchen am Brand, Germany)-processed
fascia lata (TPFL) has been used for dorsal augmentation in rhinoplasty in the
Republic of Korea for approximately 10 years, but few studies have described
changes in TPFL in terms of dorsal height over time. We investigated changes in
dorsal height after TPFL use as a dorsal implant material during rhinoplasty.
MATERIALS AND METHODS: The records of 18 rhinoplasty patients who had undergone
dorsal augmentation with TPFL were examined retrospectively. The patients had
undergone rhinoplasty from March 2008 to June 2012. Two different ear, nose, and
throat doctors analyzed the first follow-up photographs (2 lateral views and 2
oblique views) taken at approximately 1 month postoperatively and the last follow
up photographs taken from 18 to 75 months after surgery. The last follow-up
photographs were classified as showing no nasal dorsal height change, slight
change, and marked change compared with the first follow-up photographs. RESULTS:
Of the 18 patients enrolled, 50% (n = 9) showed no change in the nasal dorsum
whereas 33% (n = 6) showed mild depression and 17% (n = 3) showed marked
depression of the nasal dorsum at last follow-up. CONCLUSIONS: About half of the
patients who had undergone dorsal augmentation using TPFL during rhinoplasty
showed mild or marked dorsal depression over time. It is recommended that TPFL be
used with another implant during augmentation rhinoplasty or TPFL be used only
for a slightly depressed nose. In addition, patients should be informed that TPFL
could be resorbed over time.
PMID- 29654780
TI - Knotless Suture for Wound Closure in Intraoral Surgery-A Report of 2 Cases.
AB - Suturing is the most commonly used method of wound closure in intraoral surgery,
whose objectives include anatomic reapproximation of tissues, hemostasis, and
prevention of wound contamination by providing an adequate tissue seal.
Conventional suturing in the oral cavity is difficult because of the restricted
space for instrumentation. Further, knots act as a nidus to food entrapment and
microbial colonization. The knotless (barbed) suture could be considered an ideal
alternative to eliminate these limitations. This report describes the
effectiveness of the intraoral use of the knotless suture after open reduction
and internal fixation of maxillofacial fractures.
PMID- 29654781
TI - Effects of propofol on cancer development and chemotherapy: Potential mechanisms.
AB - Propofol (2, 6-diisopropylphenol) is the commonly used intravenous sedative
hypnotic agent. Accumulating evidence shows that propofol affects cancer
development by direct and indirect ways. In this review, we will provide an
overview of the effects of propofol on cancer development and chemotherapy, with
a special focus on the underlying molecular mechanisms involved. Propofol
regulates both microRNAs (miRNAs) and long non-coding RNAs (lncRNAs), and serves
as a regulator of different signaling pathways including hypoxia-inducible factor
1alpha (HIF-1alpha), mitogen-activated protein kinase (MAPK), nuclear factor
kappaB (NF-kappaB), and nuclear factor E2-related factor-2 (Nrf2) pathways. In
addition, propofol modulates host immune function. Possible correlation between
propofol and cancer should be verified in further studies, including animal
trials and prospective clinical studies.
PMID- 29654782
TI - Mirabegron, a beta3-adrenoceptor agonist reduced platelet aggregation through
cyclic adenosine monophosphate accumulation.
AB - Mirabegron is a beta3-adrenoceptor agonist and released on the marked for the
treatment of overactive bladder. Because mirabegron is the only beta3
adrenoceptor agonist available and substances that increase the levels of cyclic
adenosine monophosphate (cAMP) inhibit platelet activity, we tested the
hypothesis that mirabegron could have antiplatelet activity. Collagen- and
thrombin induced platelet aggregation, thromboxane B2 (TXB2) and cyclic
nucleotides quantification and calcium (Ca2+) mobilization were determined in the
absence and presence of mirabegron in human washed platelets. Our results
revealed that mirabegron (10-300 uM) produced significant inhibitions on platelet
aggregation induced by collagen- or thrombin, accompanied by greater
intracellular levels of cAMP. The beta3-adrenoceptor antagonist L 748,337 (1 uM)
and the adenylate cyclase inhibitor, SQ 22,536 (100 uM) reversed the inhibition
induced by mirabegron in thrombin-stimulated platelets. The selective antagonists
for beta1-and beta2-adrenoceptors, atenolol and ICI 117,551 (3 uM), respectively
did not interfere on the inhibition induced by mirabegron. In Fluo-4 loaded
platelets, mirabegron reduced the total and intracellular Ca2+ levels. Pre
incubation with mirabegron almost abolished the levels of TXB2. Mirabegron did
not augment the intracellular levels of cyclic guanosine monophosphate. In
conclusion, mirabegron inhibited human platelet aggregation through cAMP
accumulation, thus suggesting that substances that activate beta3-adrenoceptor
could be beneficial as adjuvant antiplatelet therapy.
PMID- 29654783
TI - Resveratrol attenuates pro-inflammatory cytokines and activation of JAK1-STAT3 in
BTBR T+ Itpr3tf/J autistic mice.
AB - Autism is a neurodevelopmental disorder characterized by qualitative impairment
in communication, social interaction, and repetitive stereotypic behavior.
Resveratrol plays a role in several disorders such as neuroimmune, autoimmune,
and allergic disorders. BTBR T+ Itpr3tf/J (BTBR) mice, a model for autism, show
several behavioral deficits that are physiological characteristics similar to
those observed in patients with autism. Previous studies have shown that JAK-STAT
signaling pathway is associated with many neurodevelopmental disorders. We
investigated the possible role of resveratrol on IL-6+, TNF-alpha+, IFN-gamma+,
and STAT3+ in CD4+ T spleen cells in BTBR mice as compared to C57BL/6J mice. We
also assessed the effect of resveratrol treatment on IL-6, TNF-alpha, IFN-gamma,
JAK1, and STAT3 mRNA expression levels in the brain tissue. We further assessed
IL-6, IFN-gamma, TNF-alpha, phosphorylated (p) JAK1, and pSTAT3 (Tyr705) protein
expression levels in the brain tissue. Resveratrol (20 and 40 mg/kg)-treated mice
had significantly decreased in IL-6+, TNF-alpha+, IFN-gamma+, and STAT3+ in CD4+
spleen cells as compared with BTBR control mice. Resveratrol treatment also
decreased IL-6, TNF-alpha, IFN-gamma, JAK1, and STAT3 mRNA expression levels as
compared with BTBR control mice in the brain tissue. Moreover, resveratrol
treatment resulted in decreased protein expression levels of IL-6, IFN-gamma, TNF
alpha, pJAK1, and pSTAT3 (Tyr705) as compared with BTBR control mice in the brain
tissues. Taken together, these results indicate the efficacy of resveratrol in
reducing cytokines and JAK-1/STAT3 signaling in BTBR mice, which is a novel and
important finding and might be important for future therapies in neuroimmune
dysfunction.
PMID- 29654784
TI - The Mechanisms of PML-Nuclear Body Formation.
PMID- 29654785
TI - A metabolomics study on the immunosuppressive effect of Tripterygium hypoglaucum
(Levl.) Hutch in mice: The discovery of pathway differences in serum metabolites.
AB - Tripterygium hypoglaucum (Levl.) Hutch (THH), a typical traditional Chinese
medicine, is widely used in clinical practice for the treatment of rheumatoid
arthritis, systemic lupus erythematous, and other connective tissue and
autoimmune diseases. However, most related researches focused on the
pharmacological effects of THH, while less attention has been paid to the
immunosuppressive mechanism. The present study aims to determine the metabolic
profiles, based on UPLC-Q-TOF-MS, identify differential metabolites, and find
related metabolic pathways among the sensitization red blood cell (SRBC) model
mice, THH treated mice, and cyclophosphamide treated group. Totally, 24 and 19
changed metabolites were found in the THH and cyclophosphamide treated groups
respectively. Among them, we found that urocanate metabolic pathway change could
be considered as the most relevant pathway associated with immunosuppression.
This is the first study that comprehensively assessed the differences in
metabolome between the model and THH treated groups. The results provide insights
into the difference between the immunosuppressive mechanisms of THH and
cyclophosphamide and also demonstrated that metabolomics is a valuable tool for
investigating the efficacy of drugs in the treatment of diseases and the
associated mechanism involved.
PMID- 29654786
TI - Biochemical and molecular analyses of infantile sialic acid storage disease in a
patient with nonimmune hydrops fetalis.
AB - Nonimmune hydrops fetalis is the most severe clinical manifestation of lysosomal
storage diseases (LSDs). Around 14 different LSDs have been accounted for as 1
15% of the cause of nonimmune hydrops fetalis. We report a Korean infant affected
by an extremely rare but severe form of sialic acid storage disease. The patient
presented with nonimmune hydrops fetalis, dysmorphic facial features,
hepatosplenomegaly, and dysostosis multiplex and died at 39 days of age due to
persistent pulmonary hypertension. LSD was suspected based on the presence of
diffuse vacuolation of syncytiotrophoblast, villous stromal cells, and
intermediate trophoblast in placental biopsy. Increased excretion of urinary free
sialic acid was detected by liquid chromatography-tandem mass spectrometry. The
patient was compound heterozygous of the c.908G>A (p.Trp303Ter) and the splicing
mutation c.1259+5G>T (IVS9+5 G>T) in the SLC17A5 gene.
PMID- 29654788
TI - ERP evidence for implicit L2 word stress knowledge in listeners of a fixed-stress
language.
AB - Languages with contrastive stress, such as English or German, distinguish some
words only via the stress status of their syllables, such as "CONtent" and
"conTENT" (capitals indicate a stressed syllable). Listeners with a fixed-stress
native language, such as Hungarian, have difficulties in explicitly
discriminating variation of the stress position in a second language (L2).
However, Event-Related Potentials (ERPs) indicate that Hungarian listeners
implicitly notice variation from their native fixed-stress pattern. Here we used
ERPs to investigate Hungarian listeners' implicit L2 processing. In a cross-modal
word fragment priming experiment, we presented spoken stressed and unstressed
German word onsets (primes) followed by printed versions of initially stressed
and initially unstressed German words (targets). ERPs reflected stress priming
exerted by both prime types. This indicates that Hungarian listeners implicitly
linked German words with the stress status of the primes. Thus, the formerly
described explicit stress discrimination difficulty associated with a fixed
stress native language does not generalize to implicit aspects of L2 word stress
processing.
PMID- 29654787
TI - Prognostic role of microRNA-155 in patients with leukemia: A meta-analysis.
AB - BACKGROUND: Recent studies have shown that microRNA-155 (miR-155) is correlated
with clinical outcomes of leukemia. This meta-analysis explores to evaluate the
prognostic value of miR-155 for survival in patients with leukemia. METHODS:
Eligible studies were searched from PubMed and EMBASE databases. Hazard ratios
(HRs) and corresponding 95% confidence intervals (CIs) for overall survival (OS),
disease-free survival, event-free survival, progression-free survival and
treatment-free survival were extracted, if available. Pooled HRs and 95% CIs were
used to study any correlation between miR-155 and survival. RESULTS: 11 studies
from 10 articles containing 1718 leukemia patients were included. Data showed
that the pooled HR for OS was 1.67 (95% CI: 1.44-1.95, P < 0.01). Subgroup
analyses for OS showed that the pooled HRs and their 95% CIs were 1.68, 1.41-2.00
(P < 0.01) and 1.73, 1.25-2.41 (P < 0.01) for acute myeloid leukemia and chronic
lymphoblastic leukemia, respectively. Furthermore, there was no significant
heterogeneity or publication bias among the enrolled datasets. CONCLUSION: We
conclude that high miR-155 expression was associated with shorter OS for leukemia
patients, and that miR-155 might be a promising prognostic biomarker for this
patient population.
PMID- 29654789
TI - Detection of four regulated grapevine viruses in a qualitative, single tube real
time PCR with melting curve analysis.
AB - The detection of the four grapevine viruses (GLRaV-1, GLRaV-3, GFLV and ArMV)
regulated in European Union plant material certification, requires sensitive and
specific diagnostic tools. A strategy of simultaneous detection in a real-time
single tube amplification was developed, based on the EvaGreen binding dye. The
melting curve analysis (MCA) of the amplicons allows a qualitative detection of
the four different virus targets in multiplex analysis. A plasmid dilution assay
calculated an analytical sensitivity with an amplification threshold up to 100
copies of the target sequences. A small cohort of field grapevine samples, with a
known status of infection by mixtures of the target viruses or free of them,
respectively, was successfully tested for the evaluation of the amplicons Tm.
PMID- 29654790
TI - Scarless wound healing: From development to senescence.
AB - An essential element of tissue homeostasis is the response to injuries, cutaneous
wound healing being the most studied example. In the adults, wound healing aims
at quickly restoring the barrier function of the skin, leading however to scar, a
dysfunctional fibrotic tissue. On the other hand, in fetuses a scarless tissue
regeneration takes place. During ageing, the wound healing capacity declines;
however, in the absence of comorbidities a higher quality in tissue repair is
observed. Senescent cells have been found to accumulate in chronic unhealed
wounds, but more recent reports indicate that their transient presence may be
beneficial for tissue repair. In this review data on skin wound healing and
scarring are presented, covering the whole spectrum from early embryonic
development to adulthood, and furthermore until ageing of the organism.
PMID- 29654791
TI - Modelling mass diffusion for a multi-layer sphere immersed in a semi-infinite
medium: application to drug delivery.
AB - We present a general mechanistic model of mass diffusion for a composite sphere
placed in a large ambient medium. The multi-layer problem is described by a
system of diffusion equations coupled via interlayer boundary conditions such as
those imposing a finite mass resistance at the external surface of the sphere.
While the work is applicable to the generic problem of heat or mass transfer in a
multi-layer sphere, the analysis and results are presented in the context of drug
kinetics for desorbing and absorbing spherical microcapsules. We derive an
analytical solution for the concentration in the sphere and in the surrounding
medium that avoids any artificial truncation at a finite distance. The closed
form solution in each concentric layer is expressed in terms of a suitably
defined inverse Laplace transform that can be evaluated numerically.
Concentration profiles and drug mass curves in the spherical layers and in the
external environment are presented and the dependency of the solution on the mass
transfer coefficient at the surface of the sphere analyzed.
PMID- 29654792
TI - Who is the infector? Epidemic models with symptomatic and asymptomatic cases.
AB - What role do asymptomatically infected individuals play in the transmission
dynamics? There are many diseases, such as norovirus and influenza, where some
infected hosts show symptoms of the disease while others are asymptomatically
infected, i.e. do not show any symptoms. The current paper considers a class of
epidemic models following an SEIR (Susceptible -> Exposed -> Infectious ->
Recovered) structure that allows for both symptomatic and asymptomatic cases. The
following question is addressed: what fraction rho of those individuals getting
infected are infected by symptomatic (asymptomatic) cases? This is a more
complicated question than the related question for the beginning of the epidemic:
what fraction of the expected number of secondary cases of a typical newly
infected individual, i.e. what fraction of the basic reproduction number R0, is
caused by symptomatic individuals? The latter fraction only depends on the type
specific reproduction numbers, while the former fraction rho also depends on
timing and hence on the probabilistic distributions of latent and infectious
periods of the two types (not only their means). Bounds on rho are derived for
the situation where these distributions (and even their means) are unknown.
Special attention is given to the class of Markov models and the class of
continuous-time Reed-Frost models as two classes of distribution functions for
latent and infectious periods. We show how these two classes of models can
exhibit very different behaviour.
PMID- 29654793
TI - Immune signatures associated with mortality differ in elderly populations from
different birth cohorts and countries even within northern Europe.
AB - Since associations between lifespan and certain simple immune parameters such as
T cell proliferative responses to mitogens were reported in the 1970's, efforts
to dissect out immune parameters correlating with morbidity and mortality have
sought to define factors predicting individual longevity. Such "immune
signatures" associating with defined clinical outcomes would represent biomarkers
of "immunosenescence" that might also provide mechanistic insights into the
ageing process. Because appropriate immune function is necessary for a healthy
old age, a better understanding of immunosenescence contributing to frailty and
death might allow interventions to improve personal and public health. Here, we
discuss data from our studies in several different European countries and
document significant differences between overtly similar populations. These
findings draw attention to the marked variation even between presumably quite
homogeneous populations, which may be due to the different birth cohorts studied
in addition to numerous other variables. Thus, immunological parameters, and
presumably many other factors, are sensitive to context-dependent variation,
making it currently difficult to extrapolate biomarkers of longevity from any one
human population to another.
PMID- 29654794
TI - Piracetam attenuates binge eating disorder related symptoms in rats.
AB - Binge eating disorder (BED) is a stress-related disorder characterized by acute
episodes of excessive food intake. Piracetam, a nootropic agent has been reported
to show several other neuropharmacological properties. The present study,
evaluated the pharmacological effect of piracetam (200 mg/kg i.p.) on BED in
female rats, induced by free access to palatable cookies for 2 h on alternate
days. BED was confirmed by an increase in binge eating behavior and weight gain.
BED leads to anxiety, cognitive and memory deficits, as evaluated by EPM
(Elevated plus maze), OFT (open field test), and Y-maze tests. Increased levels
of plasma corticosterone (CORT), glutamate in nucleus accumbens (NAC),
hypothalamus (HYP) and prefrontal cortex (PFC) indicate stress and
excitotoxicity. Moreover, it was observed that the levels of dopamine were higher
in NAC and PFC, and less in HYP which may be responsible for motivational
behavior for palatable feeding and cognitive deficits. More surprisingly, feeding
behaviour regulating hormones namelyleptin was increased and ghrelin level was
decreased in BED. Further, level of acetylcholine which regulates cognitive
behaviour was compromised in BED. Piracetam significantly decreased binge eating
behavior and associated body weight and regulated the levels of concerned
neurotransmitters in respective regions. However, piracetam did not alter normal
feeding behavior in the fast-refed model. Further, piracetam showed brain region
specific decrease in vascular endothelial growth factor expression. Piracetam
showed anxiolytic activity and also alleviated cognitive deficit observed in BED.
Hence, preclinical evidence indicates the potential use of piracetam for the
treatment of BED.
PMID- 29654795
TI - Promiscuous and Selective: How Intrinsically Disordered BH3 Proteins Interact
with Their Pro-survival Partner MCL-1.
AB - The BCL-2 family of proteins plays a central role in regulating cell survival and
apoptosis. Disordered BH3-only proteins bind promiscuously to a number of
different BCL-2 proteins, with binding affinities that vary by orders of
magnitude. Here we investigate the basis for these differences in affinity. We
show that eight different disordered BH3 proteins all bind to their BCL-2 partner
(MCL-1) very rapidly, and that the differences in sequences result in different
dissociation rates. Similarly, mutation of the binding surface of MCL-1 generally
affects association kinetics in the same way for all BH3 peptides but has
significantly different effects on the dissociation rates. Importantly, we infer
that the evolution of homologous, competing interacting partners has resulted in
complexes with significantly different lifetimes.
PMID- 29654796
TI - Combinatorial Design of a Nanobody that Specifically Targets Structured RNAs.
AB - Recent advances in transcriptome sequencing and analysis have revealed the
complexity of the human genome. The majority (~ 98%) of cellular transcripts is
not translated into proteins and represents a vast, unchartered world of
functional non-coding RNAs. Most of them adopt a well-defined three-dimensional
structure to achieve their biological functions. However, only very few RNA
structures are currently available which reflects the challenges associated with
RNA crystallization. Nevertheless, these structures would represent a critical
step in understanding functions of non-coding RNAs and their molecular mechanisms
in the cell. The overall goal of this study is to develop an innovative and
versatile tool to facilitate the functional study and crystallization of
structured RNAs (stRNAs). In this work, we have engineered an antibody fragment
from camelid heavy-chain antibody (nanobody) able to specifically bind with low
nanomolar affinity to stRNA, while no binding could be detected for single
stranded DNA/RNA, double-stranded DNA/RNA or a negatively charged protein.
However, this nanobody recognizes different and non-related stRNAs, this
observation suggests that it binds to an epitope shared by these stRNAs. Finally,
our data also show that the binding of the nanobody does not alter the secondary
structure content of the stRNA as well as its unfolding/refolding processes
during heat treatment. This work constitutes a successful proof of concept
demonstrating that nanobodies can be engineered to recognize RNA-related
epitopes.
PMID- 29654797
TI - Efficacy of paclitaxel/dexamethasone intra-tumoral delivery in treating
orthotopic mouse breast cancer.
AB - The effect of topical co-administration of promoter drugs with paclitaxel to
increase anti-tumor effects of paclitaxel was investigated. Mice with orthotopic
4T1-Luc breast cancer received single intra-tumoral injection of a polymeric
formulation with paclitaxel and a specific promoter drug. Several promoter drugs
were evaluated, including: dexamethasone, losartan, nicotinamide, Azone, and
oleic acid. Dexamethasone exhibited the highest effect on paclitaxel anti-tumor
activity, in a dose-dependent fashion. However, this effect was accompanied by
systemic effects of dexamethasone, and inability to prevent tumor metastasis to
the lungs. Topical co-administration of promoter drugs with anti-cancer agents
can enhance their anti-tumor effects. Further investigations are needed to
identify the most efficient combinations of promoter and anti-cancer drugs, and
their suitability for the clinical management of the breast cancer disease.
PMID- 29654798
TI - Tailoring supersaturation from amorphous solid dispersions.
AB - The maximum achievable concentration of a drug in solution is dictated by the
chemical potential of the solid form. Because an amorphous solid has a higher
chemical potential than the corresponding crystal form, in the absence of phase
transformations, a higher transient solubility is expected. However, the chemical
potential of an amorphous drug can be reduced by mixing with another component.
Therefore, upon mixing with a polymer to form an amorphous solid dispersion
(ASD), the maximum solution concentration achieved can be potentially altered, in
particular if the polymer is poorly soluble in the dissolution medium. Such
changes in the chemical potential of the drug may be a critical factor in
determining the maximum achievable solution concentration, and could alter the
crystallization driving force of the drug. Therefore, the aim of this study was
to gain insights into the impact of poorly soluble polymers on the "amorphous
solubility" of drugs formulated as amorphous solid dispersions. Lopinavir was
selected as a model drug with a low crystallization tendency, enabling
determination of the amorphous solubility as a function of ASD composition. Model
polymers included cellulose acetate (CA), CA phthalate (CAP), ethylcellulose
(EC), Eudragit(r) RL PO (EUD), hydroxypropylmethylcellulose (HPMC), HPMC acetate
succinate (HPMCAS), and HPMC phthalate (HPMCP). The "amorphous solubility" of the
drug alone was determined and then the changes in maximum achievable
concentration were measured as a function of drug loading. Drug-polymer
interactions were characterized using infrared spectroscopy (IR), differential
scanning calorimetry (DSC) and moisture sorption analysis. The results showed
that the maximum achievable concentration ("amorphous solubility") of lopinavir
varied with the extent of drug-polymer interactions, as well as the drug weight
fraction in the ASD. This information is of great value when evaluating the
maximum achievable concentration of amorphous systems formulated with pH
responsive polymers, and should contribute to a broader understanding of drug
phase behavior in the context of ASDs.
PMID- 29654799
TI - Immobilization of microalgae on the surface of new cross-linked polyethylenimine
based sorbents.
AB - We report on the use of the polyethylenimine-based (PEI) sorbents for
immobilization and harvesting of microalgae (MA) cells. Specific materials
assessed were porous solid polymers from highly-branched PEI synthesized by cross
linking with epichlorohydrin (ECH) or diethylene glycol diglycidyl ether (DGDE).
We estimated the effect of PEI/cross-linker ratio on the MA attachment and
biocompatibility of the sorbents with the MA cells. A decrease in the cross
linker percentage resulted in the enhancement of the immobilization efficiency
but impaired the cell viability as was manifested by inhibition of the
photosynthetic activity of the MA cells. The rate of Chlorella vulgaris cell
attachment to the sorbents with ECH was faster as compared to that of the PEI
DGDE-based polymers. The cells immobilized on the PEI-ECH sorbents showed a more
profound decline in their viability (assessed via photosynthetic activity). The
sorbents with 60% of DGDE were characterized by high immobilization efficiency.
These sorbents supported a prolonged cultivation of the immobilized MA without
impairing their viability and metabolic activity. We conclude that the sorbents
with a lower percentage of DGDE (<30%) and sorbents with ECH are suitable for
harvesting of the MA cells intended for immediate downstream processing,
potentially without the cell desorption. To the best of our knowledge, this is
the first report on successful application of PEI-based sorbents in microalgal
biotechnology.
PMID- 29654800
TI - The evolution of subtype B HIV-1 tat in the Netherlands during 1985-2012.
AB - For the production of viral genomic RNA, HIV-1 is dependent on an early viral
protein, Tat, which is required for high-level transcription. The quantity of
viral RNA detectable in blood of HIV-1 infected individuals varies dramatically,
and a factor involved could be the efficiency of Tat protein variants to
stimulate RNA transcription. HIV-1 virulence, measured by set-point viral load,
has been observed to increase over time in the Netherlands and elsewhere.
Investigation of tat gene evolution in clinical isolates could discover a role of
Tat in this changing virulence. A dataset of 291 Dutch HIV-1 subtype B tat genes,
derived from full-length HIV-1 genome sequences from samples obtained between
1985-2012, was used to analyse the evolution of Tat. Twenty-two patient-derived
tat genes, and the control TatHXB2 were analysed for their capacity to stimulate
expression of an LTR-luciferase reporter gene construct in diverse cell lines, as
well as for their ability to complement a tat-defective HIV-1LAI clone. Analysis
of 291 historical tat sequences from the Netherlands showed ample amino acid (aa)
variation between isolates, although no specific mutations were selected for over
time. Of note, however, the encoded protein varied its length over the years
through the loss or gain of stop codons in the second exon. In transmission
clusters, a selection against the shorter Tat86 ORF was apparent in favour of the
more common Tat101 version, likely due to negative selection against Tat86
itself, although random drift, transmission bottlenecks, or linkage to other
variants could also explain the observation. There was no correlation between Tat
length and set-point viral load; however, the number of non-intermediate variants
in our study was small. In addition, variation in the length of Tat did not
significantly change its capacity to stimulate transcription. From 1985 till
2012, variation in the length of the HIV-1 subtype B tat gene is increasingly
found in the Dutch epidemic. However, as Tat proteins did not differ
significantly in their capacity to stimulate transcription elongation in vitro,
the increased HIV-1 virulence seen in recent years could not be linked to an
evolving viral Tat protein.
PMID- 29654801
TI - Liver X receptor beta in the hippocampus: A potential novel target for the
treatment of major depressive disorder?
AB - Liver X receptors (LXRs), including LXRalpha and LXRbeta isoforms, have been
implicated in multiple physiological functions including promoting neurogenesis,
improving synaptic plasticity, preventing neurodegeneration, inhibiting
inflammation as well as regulating cholesterol metabolism. However, a potential
role of LXRs in the treatment of major depressive disorder (MDD) has never been
investigated previously. Our present results demonstrated that levels of
hippocampal LXRbeta but not LXRalpha were down-regulated in rats exposed to
chronic unpredictable stress (CUS) and were negatively correlated with the
severity of CUS-induced depressive-like behaviors. Furthermore, rats with LXRbeta
knockdown by short hairpin RNA (shRNA) in hippocampus displayed depressive-like
behaviors and impaired hippocampal neurogenesis similar to those observed after
CUS exposure. Conversely, LXRs activation by GW3965 (GW), a synthetic dual
agonist for both LXRalpha and LXRbeta isoforms, could improve depression-like
behaviors and reverse the impaired hippocampal neurogenesis in rats exposed to
CUS. LXRbeta knockdown by shRNA completely abrogated the antidepressant and
hippocampal neurogenesis-promoting effects of GW, suggesting that LXRbeta isoform
mediated the antidepressant and hippocampal neurogenesis-promoting effects of the
LXRalpha/beta dual agonist. However, ablation of hippocampal neurogenesis with x
irradiation only partly but not completely abolished the antidepressant effects
of GW in the behavioral tests, implying that the antidepressant effects mediated
by LXRbeta isoform are likely through both neurogenesis-dependent and
independent pathways. Thus, our findings suggest that LXRbeta activation may
represent a potential novel target for the treatment of MDD and also provide a
novel insight into the underlying mechanisms of MDD.
PMID- 29654802
TI - Saving lives with public access defibrillation: A deadly game of hide and seek.
AB - BACKGROUND: Early defibrillation is a critical link in the chain of survival.
Public access defibrillation (PAD) programmes utilising automated external
defibrillators (AEDs) aim to decrease the time-to-first-shock, and improve
survival from out-of-hospital cardiac arrest. Effective use of PADs requires
rapid location of the device, facilitated by adequate signage. We aimed to
therefore assess the quality of signage for PADs in the community. METHOD: From
April 2017 to January 2018 we surveyed community PADs available for public use on
the 'Save a Life' AED locator mobile application in and around Southampton, UK.
Location and signage characteristics were collected, and the distance from the
furthest sign to the AED was measured. RESULTS: Researchers evaluated 201
separate PADs. All devices visited were included in the final analysis. No
signage at all was present for 135 (67.2%) devices. Only 15/201 (7.5%) AEDs had
signage at a distance from AED itself. In only 5 of these cases (2.5%) was
signage mounted more than 5.0 m from the AED. When signage was present, 46 used
2008 ILCOR signage and 15 used 2006 Resuscitation Council (UK) signage. Signage
visibility was partially or severely obstructed at 27/66 (40.9%) sites. None of
the 45 GP surgeries surveyed used exterior signage or an exterior 24/7 access
box. CONCLUSIONS: Current signage of PADs is poor and limits the device
effectiveness by impeding public awareness and location of AEDs. Recommendations
should promote visible signage within the operational radius of each AED.
PMID- 29654803
TI - Donor cell chimerism evaluation in cerebrospinal fluid by short tandem repeat
analysis following allogeneic hematopoietic stem cell transplantation.
AB - Cerebrospinal fluid (CSF) cell count, morphology and flow cytometric evaluations
are used to investigate central nervous system (CNS) involvement in
leukemia/lymphoma. We performed CSF short tandem repeat (STR) analysis to monitor
CSF chimerism status and evaluate for disease involvement in 11 asymptomatic
pediatric allogeneic hematopoietic stem cell transplantation (HSCT) recipients
with hemato-lymphoid neoplasms and high risk for or history of CNS involvement.
Eighteen (64%) of the samples with median CSF cell count of 1/mm3 with 90%
lymphocytes gave conclusive STR results, suggesting that this DNA-based method
can be used in monitoring CSF chimerism status after HSCT with an acceptable
yield.
PMID- 29654804
TI - Prevalence of Post-Micturition Incontinence before and after Anterior
Urethroplasty.
AB - PURPOSE: In this study we aimed to define the prevalence of preoperative and
postoperative post-micturition incontinence or post-void dribbling after anterior
urethroplasty for urethral stricture disease. We also sought to determine risk
factors for its presence. MATERIALS AND METHODS: We retrospectively reviewed a
prospectively maintained, multi-institutional urethral stricture database to
evaluate post-micturition incontinence using a single question from a validated
questionnaire, "How often have you had a slight wetting of your pants a few
minutes after you had finished urinating and had dressed yourself?" Possible
answers were never-0 to all the time-3. The presence of post-micturition
incontinence was defined as any answer greater than 0. Comparisons were made to
stricture type and location, repair type and patient medical comorbidities.
RESULTS: Preoperative and postoperative post-micturition incontinence
questionnaires were completed by 614 and 331 patients, respectively. Patients
without complete data available were excluded from study. Preoperative post
micturition incontinence was present in 73% of patients, of whom 44% stated that
this symptom was present most of the time. Overall postoperative post-micturition
incontinence was present in 40% of patients and again it was not predicted by
stricture location or urethroplasty type. Of the 331 patients with followup
questionnaires 60% reported improvement, 32% reported no change and 8% reported
worsening symptoms. The overall rate of de novo post-micturition incontinence was
low at 6.3%. CONCLUSIONS: The prevalence of preoperative post-micturition
incontinence is high and likely under reported. In most patients post-micturition
incontinence improves after urethroplasty and the prevalence of de novo post
micturition incontinence is low. The presence of post-micturition incontinence
was not predicted by stricture length or location, or urethroplasty repair type.
PMID- 29654805
TI - Efficacy and Safety of SER120 Nasal Spray in Patients with Nocturia: Pooled
Analysis of 2 Randomized, Double-Blind, Placebo Controlled, Phase 3 Trials.
AB - PURPOSE: SER120 desmopressin intranasal spray is the first U.S. Food and Drug
Administration approved pharmacotherapy for nocturia. We evaluated its efficacy
and safety in 2 randomized, double-blind, placebo controlled studies, DB3 and
DB4. MATERIALS AND METHODS: A total of 1,333 intent to treat patients 50 years
old or older with 2.16 or more nocturic voids per night during a 2-week screening
period were randomized equally to SER120 intranasal spray 1.66 or 0.83 mcg, or
placebo for a 12-week treatment. Co-primary end points were the mean change from
baseline in nocturic episodes per night and the percent of patients with a 50% or
greater reduction in mean nocturic episodes per night. Secondary end points were
the validated INTU (Impact of Nighttime Urination) quality of life questionnaire
in DB4, time to the first nocturic void and the percent of nights with 1 or fewer
nocturic voids. RESULTS: Each SER120 dose showed statistical significance vs
placebo for the 2 co-primary end points, including the mean nocturic episodes per
night (-1.4 with 0.83 mcg and -1.5 with 1.66 mcg vs -1.2 with placebo, each p
<0.0001), the percent of patients with a 50% or greater reduction in mean
nocturic episodes per night (37.9% with 0.83 mcg and 48.7% with 1.66 mcg vs 30.3%
with placebo, p = 0.0227 and <0.0001, respectively) as well as for all secondary
end points in the pooled analyses. The 1.66 mcg dose demonstrated significant
improvements in the INTU score (p = 0.0255). The incidence of hyponatremia,
defined as serum sodium 125 mmol/l or less regardless of symptoms or less than
130 mmol/l with symptoms, was 1.1%, 0% and 0.2% in the 1.66 and 0.83 mcg, and
placebo groups, respectively. Other adverse events were similar across treatment
groups. CONCLUSIONS: SER120 demonstrated significant improvements over placebo
for co-primary and secondary efficacy end points that corresponded with quality
of life improvements. SER120 at each dose had an acceptable safety profile.
PMID- 29654806
TI - Targeted and random genetic modification of the black Sigatoka pathogen
Pseudocercospora fijiensis by Agrobacterium tumefaciens-mediated transformation.
PMID- 29654807
TI - Maternal fructose intake disturbs ovarian estradiol synthesis in rats.
AB - AIMS: Recent increases in fructose consumption have raised concerns regarding the
potential adverse intergenerational effects, as maternal fructose intake may
induce physiological dysfunction in offspring. However, no reports are available
regarding the effect of excess maternal fructose on reproductive tissues such as
the ovary. Notably, the maternal intrauterine environment has been demonstrated
to affect ovarian development in the subsequent generation. Given the fructose is
transferred to the fetus, excess fructose consumption may affect offspring
ovarian development. As ovarian development and its function is maintained by
17beta-estradiol, we therefore investigated whether excess maternal fructose
intake influences offspring ovarian estradiol synthesis. Rats received a 20%
fructose solution during gestation and lactation. After weaning, offspring
ovaries were isolated. KEY FINDINGS: Offspring from fructose-fed dams showed
reduced StAR and P450(17alpha) mRNA levels, along with decreased protein
expression levels. Conversely, attenuated P450arom protein level was found in the
absence of mRNA expression alteration. Consistent with these phenomena, decreased
circulating levels of estradiol were observed. Furthermore, estrogen receptor
alpha (ERalpha) protein levels were also down-regulated. In accordance, the mRNA
for progesterone receptor, a transcriptional target of ERalpha, was decreased.
These results suggest that maternal fructose might alter ovarian physiology in
the subsequent generation.
PMID- 29654808
TI - On the role of cystatin C in cancer progression.
AB - Cystatin C (Cyst C) is an endogenous inhibitor of lysosomal cysteine proteinases,
which has been shown to play a role in several normal and pathological processes.
Interestingly, a growing number of experimental and clinical studies suggest that
this inhibitor also appears to be implicated in the malignant progression of
various human tumors. However, the role of Cyst C in malignant diseases is still
controversial as these studies have highlighted that this protein may function
either as tumor suppressor or tumor promoter. The specific mechanisms underlying
these opposing effects at present remain murky and are the subject of many
current investigations. On the other hand, a complete knowledge of these
mechanisms is of clinical interest in order to develop new, effective antitumor
treatments based on the appropriate use of natural and/or synthetic cysteine
proteinase inhibitors. This paper discusses the current findings regarding the
role of Cyst C in cancer progression and the clinical implications emerging from
these studies.
PMID- 29654809
TI - Pituitary adenylate cyclase-activating polypeptide is a potent broad-spectrum
antimicrobial peptide: Structure-activity relationships.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP) is a naturally
occurring cationic peptide with potent immunosuppressant and cytoprotective
activities. We now show that full length PACAP38 and to a lesser extent, the
truncated form PACAP27, and the closely related vasoactive intestinal peptide
(VIP) and secretin had antimicrobial activity against the Gram-negative bacteria
Escherichia coli in the radial diffusion assay. PACAP38 was more potent than
either the bovine neutrophil antimicrobial peptide indolicidin or the synthetic
antimicrobial peptide ARVA against E. coli. PACAP38 also had activity against the
Gram-positive bacteria Staphylococcus aureus in the same assay with comparable
potency to indolicidin and ARVA. In the more stringent broth dilution assay,
PACAP38 had moderate sterilizing activity against E. coli, and potent sterilizing
activity against the Gram-negative bacteria Pseudomonas aeruginosa. PACAP27, VIP
and secretin were much less active than PACAP38 in this assay. PACAP38 also had
some activity against the Gram-positive bacteria Bacillus cereus in the broth
dilution assay. Many exopeptidase-resistant analogs of PACAP38, including both
receptor agonists and antagonists, had antimicrobial activities equal to, or
better than PACAP38, in both assays. PACAP38 made the membranes of E. coli
permeable to SYTOX Green, suggesting a classical membrane lytic mechanism. These
data suggest that analogs of PACPAP38 with a wide range of useful biological
activities can be made by judicious substitutions in the sequence.
PMID- 29654810
TI - Sustained improvement in hand hygiene compliance using a multi-modal improvement
programme at a Swiss multi-site regional hospital.
AB - BACKGROUND: Evidence of sustained improvement in hand hygiene compliance at the
institutional level is scarce. AIM: To assess the impact and sustainability of a
hospital-wide improvement programme on hand hygiene compliance of staff. METHODS:
Analysis of trends of hand hygiene compliance for all clinical staff, measured
through direct observation by trained observers, within a 450-bed multi-centre
teaching hospital in the county of Neuchatel, Switzerland. INTERVENTION:
Implementation of a multi-modal improvement programme based on the World Health
Organization (WHO) strategy, with the goal of reaching overall compliance of at
least 80%. The strategy content included increasing access to alcohol hand rub;
healthcare worker education; two-month interval compliance measurement; and
hospital-wide open communication about ward-level results, point-of-care
reminders, communication via a dedicated in-house newsletter and leadership
engagement. The implementation phase was followed by a consolidation phase.
FINDINGS: In total, 33,476 observations were collected from September 2012 to
March 2014 (mean >3000 opportunities per audit). Overall compliance improved from
61.4% at baseline to 83.6% after the 18-month improvement programme (P<0.001),
and was sustained at 85.3% 18 months later (i.e. 18 months after the programme
finished) (P=0.08). The same trend (significant and clinically relevant
improvement during the intervention, sustained after 18 months) was measured for
all professional categories. CONCLUSIONS: This WHO-inspired improvement programme
was associated with a significant improvement in hand hygiene compliance,
globally and for each professional category. The results were sustained over an
18-month period.
PMID- 29654811
TI - Sepsis programme successes are responsible for the increased detection of
bacteraemia.
AB - BACKGROUND: Escherichia coli bacteraemia reduction targets are challenging but,
in West Wales, this was the key infection surrogate measure set by the local
health board in 2013, prior to the introduction of a Welsh Government target. The
initial plateau of cases was not maintained and prompted this review. AIM: To
review all blood cultures submitted between 2002 and 2016, both positive and
negative. METHODS: With access to a microbiology data warehouse in Wales, all
blood culture results were collected, extracted to Excel tables and analysed
using change point analysis. FINDINGS: Just under 200,000 blood culture results
were examined. This study found an increase in blood culture submissions, but the
positivity rate remained constant throughout the period and the increased number
of E. coli reflected the increased number of blood cultures taken. This
demonstrated the success of sepsis awareness and the use of sepsis bundles for
rapid diagnosis and management. CONCLUSION: Success in one area (sepsis
management) conflicts with 'failure' in reducing E. coli bacteraemia. It is
argued that targets need to be considered carefully in the light of all available
information, which have currently set the National Health Service up to fail.
PMID- 29654813
TI - Novel role of GLP-1 receptor signaling in energy expenditure during chronic high
fat diet feeding in rats.
AB - OBJECTIVE: Glucagon-like peptide-1 (GLP-1) secreted from intestinal L-cells plays
a major role in meal termination and glucose-dependent insulin secretion. Several
lines of evidence indicate, however, that the acute satiating and incretin
effects of GLP-1 are attenuated with high fat diet (HFD) exposure. Here we tested
the hypothesis that endogenous GLP-1 differentially affects energy balance and
glucose homeostasis dependent on whether rats are fed chow or HFD (60% energy
from fat). METHODS: We blocked GLP-1 receptor (GLP-1R) signaling by daily
intraperitoneal (IP) injection of the GLP-1R antagonist exendin (9-39) (Ex9, 10
MUg/kg) or vehicle for 5 weeks in male Sprague-Dawley rats fed either chow or
HFD, recorded body weight (BW) and food intake throughout, and assessed energy
expenditure (3rd week) and glucose tolerance (4th week). RESULTS: Five week daily
Ex9 injections reduced BW gain in HFD-fed rats, but did not affect BW in chow-fed
rats. On the other hand, chronic Ex9 treatment did not affect daily food intake
in either chow or HFD-fed rats during the entire study. The reduced BW gain in
HFD-fed rats was associated with an increase in energy expenditure.
Interestingly, chronic Ex9 treatment induced glucose intolerance in chow-fed
rats, but not in HFD-fed rats, suggesting a differential role of GLP-1R signaling
in glucose metabolism during chow and HFD feeding. CONCLUSIONS: Our findings
reveal a novel role of GLP-1R signaling, modulating energy expenditure rather
than eating behavior during HFD feeding. Furthermore, these results suggest a
previously unrecognized contribution of GLP-1R signaling to the pathophysiology
of obesity.
PMID- 29654814
TI - Cardiovascular outcomes of dipeptidyl peptidase-4 inhibitors in patients with
type 2 diabetes on insulin therapy.
AB - AIMS: To compare the hazard of cardiovascular diseases between DPP-4 inhibitor
users and non-users who were on insulin therapy. METHODS: We conducted a
population-based cohort study. 2652 patients with type 2 diabetes mellitus (T2DM)
under insulin therapy were enrolled during 1997-2010. The incidence rates per
1000 person-years (PYs) of stroke, coronary heart disease (CAD) and heart failure
were compared between 1326 DPP-4 inhibitor users and 1326 propensity score
matched non-users. Multivariable Cox proportional hazards model was used to
evaluate excess risk of the DPP-4 inhibitor non-users. RESULTS: After adjustment
of age, gender, comorbidities, drugs used and diabetes duration; the incidence
rate per 1000 PYs were 21.70 and 9.88 in DPP-4 inhibitor non-users and users; DPP
4 inhibitor users had lower hazard ratio (HR) of stroke (adjusted HR: 0.59, 95%
CI: 0.42-0.83, p value: 0.002) compared with non-users. The incidence rates per
1000 PYs of CAD and heart failure of control versus users were 19.85 versus 13.54
and 5.56 versus 4.78; the adjusted HRs of CAD and heart failure were 0.86 and
1.11. Both were non-significant. CONCLUSION: This nationwide study demonstrated
that insulin plus DPP-4 inhibitor users had significantly lower risk of stroke as
compared with non-users.
PMID- 29654812
TI - Intermittent, extended access to preferred food leads to escalated food
reinforcement and cyclic whole-body metabolism in rats: Sex differences and
individual vulnerability.
AB - Compulsive binge eating is a hallmark of binge eating disorder and bulimia
nervosa and is implicated in some obesity cases. Eating disorders are sexually
dimorphic, with females more often affected than males. Animal models of binge
like eating based on intermittent access to palatable food exist; but, little is
known regarding sex differences or individual vulnerability in these models with
respect to the reinforcing efficacy of food, the development of compulsive- and
binge-like eating, or associated changes in whole-body metabolism or body
composition. Adolescent male (n = 24) and female (n = 32) Wistar rats were
maintained on chow or a preferred, high-sucrose, chocolate-flavored diet in
continuous or intermittent, extended access conditions. Body weight and
composition, intake, fixed- and progressive-ratio operant self-administration,
and whole body energy expenditure and respiratory exchange ratios were measured
across an 11-week study period. Subgroup analyses were conducted to differentiate
compulsive-like "high responder" intermittent access rats that escalated to
extreme progressive-ratio self-administration performance vs. more resistant "low
responders." Female rats had greater reinforcing efficacy of food than males in
all diet conditions and were more often classified as "high responders". In both
sexes, rats with intermittent access showed cycling of fuel substrate utilization
and whole-body energy expenditure. Further, "high-responding" intermittent access
female rats had especially elevated respiratory exchange ratios, indicating a fat
sparing phenotype. Future studies are needed to better understand the molecular
and neurobiological basis of the sex and individual differences we have observed
in rats and their translational impact for humans with compulsive, binge eating
disorders.
PMID- 29654815
TI - Quantification of NK cell activity using whole blood: Methodological aspects of a
new test.
AB - Natural Killer (NK) cells are essential in the biological fight against cancer
and intracellular pathogens, and their level of activity has in many settings
been used as a biomarker for a functional immune response. Currently, NK cell
activity is measured using either 51Cr-release assays or flow cytometry based
assays revealing the cells' cytotoxic capacity or by stimulating them to produce
cytokines. Although very effective, these are cumbersome techniques not suitable
for high volume clinical laboratories. Recently, an assay has been introduced to
measure NK cell activity in a simple and standardized manner. Following
stimulation of NK cells in whole blood with a recombinant protein, it utilizes
the concentration of IFNgamma released to the plasma as a surrogate marker for NK
cell activity. However, whole blood holds several sources of IFNgamma which may
blur the results and hamper the interpretation of the test. Therefore, the
present study aimed at analyzing how specifically the test is measuring the
activity of NK cells. Intracellular flow cytometry showed that NK cells, T cells,
and Natural Killer T (NKT) cells were producing IFNgamma in the assay, however
when analyzing the distribution of lymphocytes in the IFNgamma-expressing subset,
the proportion of NK cells far exceeded the percentage of T-, and NKT cells (p <
.0001). Hence, our data indicate that the readout of the test was indicative of
the NK cells' ability to mount a response and thus the results may pave the way
for the assay to become applicable in the clinical setting as an estimate of NK
cell activity for both diagnostic and prognostic purposes.
PMID- 29654816
TI - A novel method for sensitive, low-cost and portable detection of hepatitis B
surface antigen using a personal glucose meter.
AB - Hepatitis B virus (HBV) infection is the major public health problem leading
cause of death worldwide. The most important diagnostic marker for this infection
is hepatitis B surface antigen (HBsAg). In this study, a novel, inexpensive,
portable and sensitive ELISA method was designed and investigated for diagnosis
of HBsAg based on the functionalized Fe3O4 and Al2O3 nanoparticles, with the
strategy for detecting the concentration of glucose using a cheap and accessible
personal glucose meter (PGM). The ELISA system was constructed using hepatitis B
antibody against HBsAg immobilized on streptavidin coated magnetic iron oxide
particles (S-Fe3O4) as the capture antibody (Ab1). In addition, another hepatitis
B antibody against different epitope of HBsAg (Ab2) and glucoamylase both were
immobilized on Al2O3 nanoparticles. After formation of the sandwich immune
complex between Ab1 and Ab2 immobilized on S-Fe3O4 and Al2O3 NPs, respectively,
through HBsAg, starch was converted into glucose using glucoamylase. Then, the
glucose concentration was measured using PGM. The concentration of HBsAg was
calculated based on the linear relation between the concentrations of HBsAg and
glucose. Under optimal conditions, this assay showed detection limit values of
0.3 to 0.4 ng ml-1 for "ay" and "ad" subtypes of HBsAg, respectively. The results
indicate that the designed assay is comparable to the commercial kits in terms of
sensitivity, on-site, specificity, cost, simplicity, portability and
reproducibility. The presented method can be used in disadvantaged areas of the
world and blood transfusion centers. To the best of our knowledge, this is the
first report of using PGMs for HBSAg detection.
PMID- 29654818
TI - New insights into HDV-induced innate immunity: MDA5 senses HDV replication.
PMID- 29654817
TI - Dysregulation of serum bile acids and FGF19 in alcoholic hepatitis.
AB - BACKGROUND & AIMS: The degree of cholestasis is an important disease driver in
alcoholic hepatitis, a severe clinical condition that needs new biomarkers and
targeted therapies. We aimed to identify the largely unknown mechanisms and
biomarkers linked to cholestasis in alcoholic hepatitis. METHODS: Herein, we
analyzed a well characterized cohort of patients with alcoholic hepatitis and
correlated clinical and histological parameters and outcomes with serum bile
acids and fibroblast growth factor 19 (FGF19), a major regulator of bile acid
synthesis. RESULTS: We found that total and conjugated bile acids were
significantly increased in patients with alcoholic hepatitis compared with
controls. Serum FGF19 levels were strongly increased and gene expression of FGF19
was induced in biliary epithelial cells and ductular cells of patients with
alcoholic hepatitis. De novo bile acid synthesis (CYP7A1 gene expression and C4
serum levels) was significantly decreased in patients with alcoholic hepatitis.
Importantly, total and conjugated bile acids correlated positively with FGF19 and
with disease severity (model for end-stage liver disease score). FGF19 correlated
best with conjugated cholic acid, and model for end-stage liver disease score
best with taurine-conjugated chenodeoxycholic acid. Univariate analysis
demonstrated significant associations between FGF19 and bilirubin as well as
gamma glutamyl transferase, and negative correlations between FGF19 and fibrosis
stage as well as polymorphonuclear leukocyte infiltration, in all patients with
alcoholic hepatitis. CONCLUSION: Serum FGF19 and bile acids are significantly
increased in patients with alcoholic hepatitis, while de novo bile acid synthesis
is suppressed. Modulation of bile acid metabolism or signaling could represent a
promising target for treatment of alcoholic hepatitis in humans. LAY SUMMARY:
Understanding the underlying mechanisms that drive alcoholic hepatitis is
important for the development of new biomarkers and targeted therapies. Herein,
we describe a molecule that is increased in patients with alcoholic hepatitis.
Modulating the molecular pathway of this molecule might lead to promising targets
for the treatment of alcoholic hepatitis.
PMID- 29654820
TI - The impact of acute thermal stress on green mussel Perna viridis: Oxidative
damage and responses.
AB - Examining the physiological responses of mussels to thermal stress is crucial to
evaluate their biogeographic distribution and ability to adapt to a changing
climate. In the present study, we investigated the effects of acute cold (8
degrees C and 15 degrees C) and heat (35 degrees C and 42 degrees C) stress on
the mortality rate, reactive oxygen species (ROS) production, malondialdehyde
(MDA) content, mitochondrial membrane potential (MMP) and antioxdative responses
in the gill tissue of the green mussel species Perna viridis. Our results showed
that cold and heat stress induced a temperature-dependent increase in mortality
rate. ROS production increased significantly (p < 0.01) after both cold and heat
stress. However, the activities of antioxidant enzymes, including SOD, CAT and
GSH-Px, were greatly enhanced only after heat stress. In addition, MDA content
and MMP increased significantly under both cold and heat stress. The up
regulation of Hsp70 transcripts was only detected after acute stress at 35
degrees C. However, p38-MAPK phosphorylation levels increased after both cold and
heat stress. In addition, a moderate activation of caspase-3 was found after
mussels were exposed to 8 degrees C and 42 degrees C stress. Our results
suggest that both extreme cold and heat stress could induce ROS production in the
gill tissue of P. viridis, which might result in lipid peroxidation and
mitochondria dysfunction. Antioxidative enzymes and Hsp70 might be important in
the heat stress response of animals, whereas p38-MAPK might be crucial in the
acute response to both cold and heat stress. However, caspase-3 activation might
be very weak under both cold and heat stress.
PMID- 29654819
TI - The telocytes/myofibroblasts 3-D network forms a stretch receptor in the human
bladder mucosa. Is this structure involved in the detrusor overactive diseases?
AB - Several connective tissue cells are present in the human bladder wall; among
them, the myofibroblasts (MyF) and the so-called interstitial cells (IC) are a
matter of investigation either by basic researchers or clinicians. The interest
derives from the possibility that these two cell types could regulate the organ
function forming a special sensory system in the bladder mucosa. Whereas
attention for the myofibroblasts was mainly focused on understanding their role,
the so-called IC are debatable starting from their nomenclature. Indeed, the IC
should correspond to the previously called fibroblasts-like cells/interstitial
Cajal-like cells (ICLC)/interstitial cells of Cajal (ICC) or PDGFRalpha positive
cells, or CD34 positive cells. Recently a proper name was proposed to give them
an identity, i.e. telocyte (TC). To date, this nomenclature is a better term than
IC that is quite vague and can be used for all the cells that reside in the
connective tissue. Noteworthy, in the bladder mucosa, TC and MyF form a hetero
cellular 3-D network. The detrusor overactivity/overactive bladder (DO/OAB) are
pathological conditions characterized by hypersensitivity to filling. It has been
hypothesized that erroneous afferent inputs generated in the mucosa affect the
efferent pathways and, consequently, the detrusor response. Presently, we review
the literature regarding the presence and the potential role of TC and MyF in
control conditions and in DO/OAB. On the possibility that the 3D-network made up
by these two cell types might play a major role in the genesis of anomalous
afferent stimuli will be given attention.
PMID- 29654821
TI - PRISMA and AMSTAR show systematic reviews on health literacy and cancer screening
are of good quality.
AB - OBJECTIVES: The objectives of this study were to evaluate the reporting and
methodological quality of systematic reviews (SRs) on health literacy and cancer
screening and to investigate factors that may influence overall quality. STUDY
DESIGN AND SETTING: We reviewed SRs published between 2009 and 2017. We
calculated indices to represent the included SRs' adherence to Preferred
Reporting Items for Systematic Reviews and Meta-Analysis (PRISMA) and A
Measurement Tool to Assess Systematic Reviews (AMSTAR). To assess possible
determinants of SRs' quality, we regressed the index scores on year and region of
publication, journal impact factor, authors' reported use of PRISMA, and presence
of funding statements. RESULTS: We included 19 SRs, and median index scores were
0.86 for PRISMA (interquartile range [IQR] = 0.11; range = 0.32-1.00) and 0.67
for AMSTAR (IQR = 0.30; range = 0.22-1.00). Methodological and reporting problems
pertained to protocol registration or publication, number of raters used, gray
literature searches, excluded article lists, and unintegrated discussions of risk
of bias and efficacy. Only journal impact factor was statistically significantly
associated (positively) with PRISMA and AMSTAR index scores. CONCLUSION: The
quality of SRs on health literacy and cancer screening was generally good.
Systematic reviewers should register or publish their protocols, include PRISMA
and AMSTAR checklists when submitting SRs to journals, and self-evaluate their
SRs before submission.
PMID- 29654822
TI - Considerations when assessing heterogeneity of treatment effect in patient
centered outcomes research.
AB - When baseline risk of an outcome varies within a population, the effect of a
treatment on that outcome will vary on at least one scale (e.g., additive,
multiplicative). This treatment effect heterogeneity is of interest in patient
centered outcomes research. Based on a literature review and solicited expert
opinion, we assert the following: (1) Treatment effect heterogeneity on the
additive scale is most interpretable to health-care providers and patients using
effect estimates to guide treatment decision-making; heterogeneity reported on
the multiplicative scale may be misleading as to the magnitude or direction of a
substantively important interaction. (2) The additive scale may give clues about
sufficient-cause interaction, although such interaction is typically not relevant
to patients' treatment choices. (3) Statistical modeling need not be conducted on
the same scale as results are communicated. (4) Statistical testing is one tool
for investigations, provided important subgroups are identified a priori, but
test results should be interpreted cautiously given nonequivalence of statistical
and clinical significance. (5) Qualitative interactions should be evaluated in a
prespecified manner for important subgroups. Principled analytic plans that take
into account the purpose of investigation of treatment effect heterogeneity are
likely to yield more useful results for guiding treatment decisions.
PMID- 29654823
TI - The Mechanical Revolution.
PMID- 29654824
TI - Escherichia coli expression, purification, and refolding of human folate receptor
alpha (hFRalpha) and beta (hFRbeta).
AB - Human folate receptors (hFRalpha and hFRbeta) are membrane proteins anchored to
the cell surface by glycosylphosphatidylinositol. They play an important role in
cell growth by taking up folate for de novo synthesis of purines and methylation
of DNA, lipids, and proteins. Thus, controlling folate uptake through hFRs may
lead to the development of anti-cancer drugs. Development of hFRs-targeting drug
requires a large amount of hFRs. However, it is difficult to prepare active forms
of hFRs from prokaryotic cells because of their high content of cysteine residues
that form disulfide bonds. Here, we prepared active forms of hFRalpha and hFRbeta
from inclusion bodies of Escherichia coli. The crucial steps in our preparation
were intensive washing of the inclusion bodies to remove impurities derived from
E. coli and gradual dropping of solubilized hFRs into refolding buffers to
correctly reform disulfide bonds. The binding activity of prepared hFRs to folate
was confirmed by biolayer interferometry measurements. Finally, we successfully
prepared the active form of 2.52 mg hFRalpha and 2.4 mg hFRbeta from 10 g of E.
coli cell bodies.
PMID- 29654825
TI - UDP-glucose pyrophosphorylase: Isolation, purification and characterization from
developing thermotolerant wheat (Triticum aestivum) grains.
AB - UDP-glucose pyrophosphorylase (UGPase, EC 2.7.7.9) activity was determined in
four different thermotolerant varieties of wheat viz. WH-1021, PBW-373, Raj-3765
and DBW-16. The specific activity of UGPase was found to be highest at 21 days
after anthesis (DAA) in the variety WH-1021 which has been developed by Haryana
Agricultural University, Hisar (Haryana, India). Hence, crude extract prepared
from immature grains (21 days after anthesis) of WH-1021 was used for
purification of UGPase using standard protein purification techniques which
exploit differences in protein properties viz. ammonium sulphate fractionation
(based on solubility differences), DEAE-ion exchange chromatography (based on
charge differences) and molecular sieving through Sephadex G-100 gel (based on
molecular mass differences). Near homogeneous enzyme preparation with molecular
mass of 82 kDa and subunit molecular weight of 39 kDa was obtained. The purified
enzyme had thermostability upto 50 degrees C. Kinetic studies revealed that the
enzyme followed Michaelis Menten kinetics with Km value of 0.9 mM and 1.66 mM for
UDP and PPi, respectively. Physico-chemical and kinetic characterization
suggested that the enzyme UGPase from WH-1021 is a homodimer which has adapted to
high temperature stress and that lower availability of substrates and high Km
values may be responsible for reduced starch synthesis/grain yield.
PMID- 29654826
TI - Real time quantitative analysis of lipid storage and lipolysis pathways by
confocal spectral imaging of intracellular micropolarity.
AB - Organisms store fatty acids in triacylglycerols in the form of lipid droplets, or
hydrolyze triacylglycerols in response to energetic demands via activation of
lipolytic or storage pathways. These pathways are complex sets of sequential
reactions that are finely regulated in different cell types. Here we present a
high spatial and temporal resolution-based method for the quantification of the
turnover of fatty acids into triglycerides in live cells without introducing
sample preparation artifacts. We performed confocal spectral imaging of
intracellular micropolarity in cultured insulin secreting beta cells to detect
micropolarity variations as they occur in time and at different pixels of
microscope images. Acquired data are then analyzed in the framework of the
spectral phasors technique. The method furnishes a metabolic parameter, which
quantitatively assesses fatty acids - triacylglycerols turnover and the
activation of lipolysis and storage pathways. Moreover, it provides a polarity
profile, which represents the contribution of hyperpolar, polar and non-polar
classes of lipids. These three different classes can be visualized on the image
at a submicrometer resolution, revealing the spatial localization of lipids in
cells under physiological and pathological settings. This new method allows for a
fine-tuned, real-time visualization of the turnover of fatty acids into
triglycerides in live cells with submicrometric resolution. It also detects
imbalances between lipid storage and usage, which may lead to metabolic disorders
within living cells and organisms.
PMID- 29654827
TI - Palmitoyl lactic acid induces adipogenesis and a brown fat-like phenotype in 3T3
L1 preadipocytes.
AB - Brown adipose tissue is specialized to generate heat by dissipating chemical
energy and may provide novel strategies for obesity treatment in humans.
Recently, advances in understanding the pharmacological and dietary agents that
contribute to the browning of white adipose tissue have been made to alleviate
obesity by promoting energy expenditure. Krill oil is widely used as a health
supplement in humans. In this study, the components from krill oil that promote
adipogenesis of 3T3-L1 cells were screened to reveal palmitoyl lactic acid (PLA)
as a promoter of adipogenesis. The PLA-induced adipocytes contained large number
of small lipid droplets. Moreover, similar to the peroxisome proliferator
activated receptor (PPAR)gamma agonists, pioglitazone and rosiglitazone, PLA
significantly enhances adipogenesis in the presence of dexamethasone compared
with PLA alone. Treatment with PLA causes a brown fat-like phenotype in 3T3-L1
cells by enhanced expression of various brown/beige cell-specific genes, such as
PR domain containing 16 (Prdm16) and peroxisome proliferative activated receptor,
gamma, coactivator 1 alpha (Pgc1a), as well as adiponectin gene. The expression
profile of the brown/beige cell-specific genes induced by PLA was similar to that
of the PPARgamma agonist in 3T3-L1 cells. Our findings suggest that PLA induces a
brown fat-like phenotype and, thus, likely has therapeutic potential in treating
obesity.
PMID- 29654828
TI - Fight or flight? Effects of vaginal oestrus on cortisol, testosterone, and
behaviour in guinea pig female-female interaction.
AB - It is accepted that social stress in relation to confrontation and competition
can elicit behavioural and hormonal changes in social mammals. These effects
have, however, been less frequently studied among female-female interactions. In
the present study female-female confrontation experiments were carried out to
monitor socio-positive and agonistic behaviour by controlling for the oestrus
cycles of 12 individuals. Additionally, plasma cortisol (CORT) and testosterone
(T) levels were determined before and after the experiments. During non-oestrus
conditions a significant increase in CORT levels from pre- to post confrontation
was registered and females spent more time to sit side by side. During vaginal
oestrus the confrontation experiments revealed avoiding of a conspecific female
by showing increased flight behaviour. However, during that period no changes in
CORT levels were found. But, a non-significant increase in T was measured from
pre- to post confrontation in both cycle phases, while no differences in the
display of aggressive behaviours were found. These findings indicate considerable
influences of different oestrus cycle phases on social stress-induced CORT
secretion and the modulation of socio-positive and agonistic behaviour in female
guinea pigs.
PMID- 29654829
TI - Investigation of the therapy targets of Yi-Qi-Yang-Yin-Hua-Tan-Qu-Yu recipe on
type 2 diabetes by serum proteome labeled with iTRAQ.
AB - ETHNOPHARMACOLOGY RELEVANCE: Based on basic theories of Chinese medicine, Yi-Qi
Yang-Yin-Hua-Tan-Qu-Yu (YQYYHTQY) recipe was constituted by eleven kinds of
Chinese herbs and effective in treatment of type 2 diabetes (T2DM). But the
therapy target was unclear. OBJECTIVE: In this study, we used the serum proteome
labeled by iTRAQ to find therapy target of YQYYHTQY recipe on T2DM. MATERIALS AND
METHODS: The rat model was induced by high-fat diet (HFD) and streptozotocin
(STZ, 30 mg/kg). Drugs were administered to rats once daily for 14 days. Related
laboratory parameters were observed. Serum proteome were compared between T2DM
and YQYYHTQY group using the iTRAQ labeling quantitative proteomics technique.
Functional differential proteins were analysis by STRING software. Target
proteins were confirmed by ELISA kits. RESULTS: Hyperglycemia, hyperinsulinemia,
insulin resistance, decrease of glucose transporter, depilation, less activity,
flock together, depression, ecchymosis of tongue and tail appearance, the typical
diabetic patients "a little more than three" symptoms, as well as the decrease of
grip strength, serum cyclic adenosine monophosphate (cAMP)/ cyclic guanosine
monophosphate (cGMP) ratio, serum high density lipoprotein-cholesterol (HDL-C)
and the increase of serum triglyceride (TG), total cholesterol (TC), low density
lipoprotein-cholesterol (LDL-C), thromboxane B2 (TXB2)/ 6-keto prostaglandin
F1alpha (6-keto PGF1alpha) ratio, endothelin-1 (ET-1) levels were found in T2DM
group. After drugs treatment, all the above indexes almost were improved in
different degrees and effect of YQYYHTQY recipe was superior to pioglitazone
hydrochloride. In addition, there were 23 differential proteins, 5 up-regulated
and 18 down-regulated proteins. Of them, there were 4 proteins related with
diabetes, blood and behavior. Cell division control protein 42 homolog (CDC42)
and Ras homolog gene family member A (RhoA) were the therapy targets of YQYYHTQY
recipe on T2DM. CONCLUSIONS: YQYYHTQY recipe showed therapy effect on T2DM. CDC42
and RhoA proteins were the therapy targets of YQYYHTQY recipe.
PMID- 29654830
TI - Neuronal erythropoietin overexpression is protective against kanamycin-induced
hearing loss in mice.
AB - Aminoglycosides have detrimental effects on the hair cells of the inner ear, yet
these agents indisputably are one of the cornerstones in antibiotic therapy.
Hence, there is a demand for strategies to prevent aminoglycoside-induced
ototoxicity, which are not available today. In vitro data suggests that the
pleiotropic growth factor erythropoietin (EPO) is neuroprotective against
aminoglycoside-induced hair cell loss. Here, we use a mouse model with EPO
overexpression in neuronal tissue to evaluate whether EPO could also in vivo
protect from aminoglycoside-induced hearing loss. Auditory brainstem response
(ABR) thresholds were measured in 12-weeks-old mice before and after treatment
with kanamycin for 15 days, which resulted in both C57BL/6 and EPO-transgenic
animals in a high-frequency hearing loss. However, ABR threshold shifts in EPO
transgenic mice were significantly lower than in C57BL/6 mice (mean difference in
ABR threshold shift 13.6 dB at 32 kHz, 95% CI 3.8-23.4 dB, p = 0.003).
Correspondingly, quantification of hair cells and spiral ganglion neurons by
immunofluorescence revealed that EPO-transgenic mice had a significantly lower
hair cell and spiral ganglion neuron loss than C57BL/6 mice. In conclusion,
neuronal overexpression of EPO is protective against aminoglycoside-induce
hearing loss, which is in accordance with its known neuroprotective effects in
other organs, such as the eye or the brain.
PMID- 29654831
TI - Fingolimod interrupts the cross talk between estrogen metabolism and sphingolipid
metabolism within prostate cancer cells.
AB - Sphingolipids are critical regulators of tumor microenvironments and play an
important role in estrogen-dependent cancers. Estrogen and estrogen metabolites
were found to be involved in prostate cancer. Fingolimod (FTY720) is a
sphingokinase-1 (SphK1) inhibitor with anticancer properties against various
tumor cell types. Herein, we investigated the interference of FTY720 with the
cross talk between sphingolipid metabolism and estrogen metabolism within
prostate cancer cells. FTY720 showed cytotoxic antiproliferative effects against
androgen-dependent and -independent prostate cancer cells with IC50 ranging from
3.0 +/- 0.3 to 6.8 +/- 1.7 MUM. Exposure of prostate cancer cells to FTY720
resulted in a dramatic decrease in the concentration of estradiol, estrone, 4
hydroxyestradiol and 16alpha-hydroxyestrone compared to control cells. However,
FTY720 significantly increased the concentration of 2-methoxyestrone and 2
methoxyestradiol within prostate cancer cells. This was mirrored by significant
downregulating of the expression of estrogen and catechol estrogen-synthesizing
enzymes (CYP19, CYP1A1 and CYP1B1) within prostate cancer cells. On the other
hand, FTY720 significantly upregulated the expression of catechol estrogen
detoxifying enzyme (COMT). Additionally, FTY720 abolished estrogen-stimulated
expression of ERalpha and basal expression of ERbeta within prostate cancer
cells. Furthermore, FTY720 suppressed the expression of the ER-downstream
regulated genes, CXCR4 and cyclin D1. Reciprocally, it was found that estradiol
and catechol estrogens significantly induced the expression of SphK1 while
methoxylated catechol estrogen suppressed its expression within prostate cancer
cells in a dose-dependent manner. Current research has highlighted the hazardous
influence of the estrogenic component to prostate cancer. We found that
fingolimod (FTY720) could modulate the estrogenic micromilieu and interrupt its
cross talk with sphingolipid metabolism.
PMID- 29654832
TI - Enhancing fructosylated chondroitin production in Escherichia coli K4 by
balancing the UDP-precursors.
AB - Microbial production of chondroitin and chondroitin-like polysaccharides from
renewable feedstock is a promising and sustainable alternative to extraction from
animal tissues. In this study, we attempted to improve production of
fructosylated chondroitin in Escherichia coli K4 by balancing intracellular
levels of the precursors UDP-GalNAc and UDP-GlcA. To this end, we deleted pfkA to
favor the production of Fru-6-P. Then, we identified rate-limiting enzymes in the
synthesis of UDP-precursors. Third, UDP-GalNAc synthesis, UDP-GlcA synthesis, and
chondroitin polymerization were combinatorially optimized by altering the
expression of relevant enzymes. The ratio of intracellular UDP-GalNAc to UDP-GlcA
increased from 0.17 in the wild-type strain to 1.05 in a 30-L fed-batch culture
of the engineered strain. Titer and productivity of fructosylated chondroitin
also increased to 8.43 g/L and 227.84 mg/L/h; the latter represented the highest
productivity level achieved to date.
PMID- 29654833
TI - Improved riboflavin production with Ashbya gossypii from vegetable oil based on
13C metabolic network analysis with combined labeling analysis by GC/MS, LC/MS,
1D, and 2D NMR.
AB - The fungus Ashbya gossypii is an important industrial producer of riboflavin,
i.e. vitamin B2. In order to meet the constantly increasing demands for improved
production processes, it appears essential to better understand the underlying
metabolic pathways of the vitamin. Here, we used a highly sophisticated set-up of
parallel 13C tracer studies with labeling analysis by GC/MS, LC/MS, 1D, and 2D
NMR to resolve carbon fluxes in the overproducing strain A. gossypii B2 during
growth and subsequent riboflavin production from vegetable oil as carbon source,
yeast extract, and supplemented glycine. The studies provided a detailed picture
of the underlying metabolism. Glycine was exclusively used as carbon-two donor of
the vitamin's pyrimidine ring, which is part of its isoalloxazine ring structure,
but did not contribute to the carbon-one metabolism due to the proven absence of
a functional glycine cleavage system. The pools of serine and glycine were
closely connected due to a highly reversible serine hydroxymethyltransferase.
Transmembrane formate flux simulations revealed that the one-carbon metabolism
displayed a severe bottleneck during initial riboflavin production, which was
overcome in later phases of the cultivation by intrinsic formate accumulation.
The transiently limiting carbon-one pool was successfully replenished by time
resolved feeding of small amounts of formate and serine, respectively. This
increased the intracellular availability of glycine, serine, and formate and
resulted in a final riboflavin titer increase of 45%.
PMID- 29654834
TI - Characterization of three multicopper oxidases in the filamentous fungus
Podospora anserina: A new role of an ABR1-like protein in fungal development?
AB - The Podospora anserina genome contains a large family of 15 multicopper oxidases
(MCOs), including three genes encoding a FET3-like protein, an ABR1-like protein
and an ascorbate oxidase (AO)-like protein. FET3, ABR1 and AO1 are involved in
global laccase-like activity since deletion of the relevant genes led to a
decrease of activity when laccase substrate (ABTS) was used as substrate.
However, contrary to the P. anserina MCO proteins previously characterized, none
of these three MCOs seemed to be involved in lignocellulose degradation and in
resistance to phenolic compounds and oxidative stress. We showed that the bulk of
ferroxidase activity was clearly due to ABR1, and only in minor part to FET3,
although ABR1 does not contain all the residues typical of FET3 proteins.
Moreover, we showed that ABR1, related to the Aspergillus fumigatus ABR1 protein,
was clearly and specifically involved in pigmentation of ascospores.
Surprisingly, phenotypes were more severe in mutants lacking both abr1 and ao1.
Deletion of the ao1 gene led to an almost total loss of AO activity. No direct
involvement of AO1 in fungal developmental process in P. anserina was evidenced,
except in a abr1Delta background. Overall, unlike other previously characterized
MCOs, we thus evidence a clear involvement of ABR1 protein in fungal development.
PMID- 29654835
TI - The role of inflammation in subventricular zone cancer.
AB - The adult subventricular zone (SVZ) stem cell niche has proven vital for
discovering neurodevelopmental mechanisms and holds great potential in medicine
for neurodegenerative diseases. Yet the SVZ holds a dark side - it can become
tumorigenic. Glioblastomas can arise from the SVZ via cancer stem cells (CSCs).
Glioblastoma and other brain cancers often have dismal prognoses since they are
resistant to treatment. In this review we argue that the SVZ is susceptible to
cancer because it contains stem cells, migratory progenitors and unusual
inflammation. Theoretically, SVZ stem cells can convert to CSCs more readily than
can postmitotic neural cells. Additionally, the robust long-distance migration of
SVZ progenitors can be subverted upon tumorigenesis to an infiltrative phenotype.
There is evidence that the SVZ, even in health, exhibits chronic low-grade
cellular and molecular inflammation. Its inflammatory response to brain injuries
and disease differs from that of other brain regions. We hypothesize that the SVZ
inflammatory environment can predispose cells to novel mutations and exacerbate
cancer phenotypes. This can be studied in animal models in which human mutations
related to cancer are knocked into the SVZ to induce tumorigenesis and the CSC
immune interactions that precede full-blown cancer. Importantly inflammation can
be pharmacologically modulated providing an avenue to brain cancer management and
treatment. The SVZ is accessible by virtue of its location surrounding the
lateral ventricles and CSCs in the SVZ can be targeted with a variety of
pharmacotherapies. Thus, the SVZ can yield aggressive tumors but can be targeted
via several strategies.
PMID- 29654836
TI - Homeostatic and regenerative neurogenesis in salamanders.
AB - Large-scale regeneration in the adult central nervous system is a unique capacity
of salamanders among tetrapods. Salamanders can replace neuronal populations,
repair damaged nerve fibers and restore tissue architecture in retina, brain and
spinal cord, leading to functional recovery. The underlying mechanisms have long
been difficult to study due to the paucity of available genomic tools. Recent
technological progress, such as genome sequencing, transgenesis and genome
editing provide new momentum for systematic interrogation of regenerative
processes in the salamander central nervous system. Understanding central nervous
system regeneration also entails designing the appropriate molecular, cellular,
and behavioral assays. Here we outline the organization of salamander brain
structures. With special focus on ependymoglial cells, we integrate cellular and
molecular processes of neurogenesis during developmental and adult homeostasis as
well as in various injury models. Wherever possible, we correlate developmental
and regenerative neurogenesis to the acquisition and recovery of behaviors.
Throughout the review we place the findings into an evolutionary context for
inter-species comparisons.
PMID- 29654837
TI - Restoration of short chain fatty acid and bile acid metabolism following fecal
microbiota transplantation in patients with recurrent Clostridium difficile
infection.
AB - A significant proportion of individuals develop recurrent Clostridium difficile
infection (CDI) following initial disease. Fecal microbiota transplantation
(FMT), a highly effective treatment method for recurrent CDI, has been
demonstrated to induce microbiota recovery. One of the proposed functions
associated with restoration of colonization resistance against C. difficile has
been recovery of bile acid metabolism. In this study, we aimed to assess recovery
of short chain fatty acids (SCFAs) in addition to bile acids alongside microbial
community structure in six patients with recurrent CDI following treatment with
FMT over time. Using 16S rRNA gene-based sequencing, we observed marked
similarity of the microbiota between recipients following FMT (n = 6, sampling up
to 6 months post-FMT) and their respective donors. Sustained increases in the
levels of the SCFAs butyrate, acetate, and propionate were observed post-FMT, and
variable recovery over time was observed in the secondary bile acids deoxycholate
and lithocholate. To correlate these changes with specific microbial taxa at an
individual level, we applied a generalized estimating equation approach to model
metabolite concentrations with the presence of specific members of the
microbiota. Metabolites that increased following FMT were associated with
bacteria classified within the Lachnospiraceae, Ruminococcaceae, and unclassified
Clostridiales families. In contrast, members of these taxa were inversely
associated with primary bile acids. The longitudinal aspect of this study allowed
us to characterize individualized patterns of recovery, revealing variability
between and within patients following FMT.
PMID- 29654838
TI - Neighbourhood safety and smoking in population subgroups: The HELIUS study.
AB - This study examines the associations between neighbourhood safety and three types
of smoking behaviour, and whether these associations differ by sex, age,
ethnicity and individual-level socio-economic position. Baseline data (2011-2015)
from the The HEalthy LIfe in an Urban Setting (HELIUS) study (Amsterdam, the
Netherlands) were used. Smoking behaviour was based on self-report. Heavy smoking
was defined as smoking >=10 cigarettes per day. Nicotine dependence was assessed
using the Fagerstrom questionnaire. Geographic Information System techniques were
used to construct local residential areas and to examine neighbourhood safety for
these areas using micro-scale environmental data. Multilevel logistic regression
analyses with 6-digit zip code area as a second level were used to assess the
association between neighbourhood safety and smoking. In our study sample of
22,728 participants (18-70 years), 24.0% were current smokers, 13.7% were heavy
smokers and 8.1% were nicotine dependent individuals. Higher levels of
neighbourhood safety were significantly associated with less heavy smoking (OR =
0.88, 95% CI = 0.78-0.99) and less nicotine dependence (OR = 0.81, 95% CI = 0.69
0.95), but not with less current smoking (OR = 1.01, 95% CI = 0.91-1.11). The
associations between neighbourhood safety and the three types of smoking
behaviour varied by ethnicity. For instance, higher levels of neighbourhood
safety were associated with less current smoking in participants of African
Surinamese origin (OR = 0.71, 95% CI = 0.57-0.89), but not in those of Dutch (OR
= 1.13, 95% CI = 0.91-1.39), South-Asian Surinamese (OR = 1.22, 95% CI = 0.95
1.55), Turkish (OR = 1.08, 95% CI = 0.84-1.38), Moroccan (OR = 1.53, 95% CI =
1.12-2.10) or Ghanaian (OR = 1.18, 95% CI = 0.47-2.94) origin. Policies that
improve neighbourhood safety potentially contribute to less heavy smoking and
nicotine dependence.
PMID- 29654839
TI - Risk factors associated with multiple correlated health outcomes in the 500
Cities Project.
AB - Reducing chronic disease is a major health challenge. Risk factors for chronic
diseases are often studied at the individual level, even though interventions and
policies may be implemented at the city level. We use an ecologic study design
with city-level data, to simultaneously assess the relative impact of unhealthy
behaviors and preventive care measures on multiple chronic disease health
outcomes. We analyze a newly available, large national dataset called the 500
Cities Project. We examine the associations between city-level prevalence of
unhealthy behaviors, clinical preventive service use, and all chronic disease
health outcomes in 500 of the largest U.S. cities for year 2014. After adjusting
for age and demographic characteristics, using MANOVA we found that the top three
risk factors for all health outcomes are smoking (Pillai's trace = 0.95, approx.
F = 688.7, p-value < 0.0001), lack of physical activity (Pillai's trace = 0.91,
approx. F = 380.0, p-value < 0.0001) and binge drinking (Pillai's trace = 0.91,
approx. F = 348.8, p-value < 0.0001), which are statistically significant after
adjusting for multiple comparisons. Higher prevalence of an annual dental
checkup, a preventive service use measure, is correlated with lower prevalence of
several chronic diseases such as diabetes (correlation coefficient r = -0.88),
poor physical health (r = -0.91), stroke (r = -0.85), cardiovascular disease (r =
-0.83) and poor mental health (r = -0.82). Identifying important chronic disease
risk factors at the city-level may provide more actionable information for
policymakers to improve urban health.
PMID- 29654840
TI - Efficacy of Acupuncture in the Management of Primary Dysmenorrhea: A Randomized
Controlled Trial.
AB - INTRODUCTION: Dysmenorrhea constitutes one of the most frequent disorders in
women of a fertile age. The present study was conducted to evaluate the efficacy
of acupuncture in the management of primary dysmenorrhea. MATERIALS AND METHODS:
Sixty females aged 17-23 years were randomly assigned to either a study group or
a control group. The study group received acupuncture for the duration of 20
minutes/day, for 15 days/month, for the period of 90 days. The control group did
not receive acupuncture for the same period. Baseline, during, and post
assessments of both the groups were taken on day 1; day 30 and day 60; and day
90, respectively. Statistical analysis was performed by repeated measures of
analysis of variance followed by post hoc analysis with Bonferroni adjustment for
multiple comparisons, independent samples t test for visual analog scale score,
and Mann-Whitney U test for rest of the variables using statistical package for
the social sciences, version 16. RESULTS: This study showed a significant
reduction in all the variables such as the visual analog scale score for pain,
menstrual cramps, headache, dizziness, diarrhea, faint, mood changes, tiredness,
nausea, and vomiting in the study group compared with those in the control group.
CONCLUSION: Acupuncture could be considered as an effective treatment modality
for the management of primary dysmenorrhea.
PMID- 29654841
TI - Comparison of the Prophylactic Effect Between Acupuncture and Acupressure on
Menstrual Migraine: Results of a Pilot Study.
AB - OBJECTIVES: To compare between acupuncture and acupressure for preventing
menstrual migraine (MM). METHODS: MM is one kind of migraine associated with
menses in female. It is often associated with increased menstrual distress and
disability, leading to decreased daily activity and quality of life. A randomized
and controlled pilot study was conducted with three groups: verum acupuncture
(VA) group, acupressure (AP) group, and control acupuncture (CA) group. The study
lasted for 7 cycle-months, with a 1 cycle-month baseline observation (T1), a 3
cycle-month intervention (3 times per cycle-month) (T2-T4), and a 3 cycle-month
follow-up (T5-T7). Outcome measures were number of migraine days, average and
peak pain, total duration period of MM, and percentage of patients with >=50%
reduction in the number of MM days. RESULTS: A total of 18 participants were
included in the analysis (VA, n = 7; AP, n = 6; CA, n = 5). Both VA and AP were
significantly more effective than CA for reducing MM days during the intervention
period. Both VA and AP tended to be more effective than CA for reducing peak pain
during the intervention period. No significant differences for the outcomes were
observed among VA, AP, and CA during the follow-up period. No serious adverse
events were reported. DISCUSSION: Results of the pilot study suggest that both VA
and AP could be considered as alternative and safe prophylactic interventions for
MM. Register ClinicalTrials.gov Identifier: NCT02592681.
PMID- 29654842
TI - Optical techniques for the diagnosis and treatment of lesions induced by the
human papillomavirus - A resource letter.
AB - Human papillomaviruses (HPV) are the most common sexually-transmitted virus, and
carcinogenic HPV strains are reported to be responsible for virtually all cases
of cervical cancer and its precursor, the cervical intraepithelial neoplasia
(CIN). About 30% of the sexually active population are considered to be affected
by HPV. Around 600 million people are estimated to be infected worldwide.
Diseases related to HPV cause significant impact from both the personal welfare
point of view and public healthcare perspective. This resource letter collects
relevant information regarding HPV-induced lesions and discusses both diagnosis
and treatment, with particular attention to optical techniques and the challenges
involved to the implementation of those approaches.
PMID- 29654843
TI - Regarding "Understanding the Legal Essentials of a Bowel Injury Lawsuit in
Minimally Invasive Gynecologic Surgery".
PMID- 29654844
TI - Oxidative stress and dietary micronutrient deficiencies contribute to
overexpression of epigenetically regulated genes by lupus T cells.
AB - Patients with active lupus have altered T cells characterized by low DNA
methyltransferase levels. We hypothesized that low DNA methyltransferase levels
synergize with low methionine levels to cause greater overexpression of genes
normally suppressed by DNA methylation. CD4+ T cells from lupus patients and
controls were stimulated with PHA then cultured in custom media with normal or
low methionine levels. Oxidative stress was induced by treating the normal CD4+ T
cells with peroxynitrite prior to culture. Methylation sensitive gene expression
was measured by flow cytometry. Results showed low methionine levels caused
greater overexpression of methylation sensitive genes in peroxynitrite treated T
cells relative to untreated T cells, and in T cells from lupus patients relative
to T cells from healthy controls. In conclusion, low dietary transmethylation
micronutrient levels and low DNA methyltransferase levels caused either by
oxidative stress or lupus, have additive effects on methylation sensitive T cell
gene expression.
PMID- 29654845
TI - Immune senescence, epigenetics and autoimmunity.
AB - Aging of the immune system in humans and animals is characterized by a decline in
both adaptive and innate immune responses. Paradoxically, aging is also
associated with a state of chronic inflammation ("inflammaging") and an increased
likelihood of developing autoimmune diseases. Epigenetic changes in non-dividing
and dividing cells, including immune cells, due to environmental factors
contribute to the inflammation and autoimmunity that characterize both the state
and diseases of aging. Here, we review the epigenetic mechanisms involved in the
development of immune senescence and autoimmunity in old age.
PMID- 29654846
TI - Formation and fate of DNA adducts of alpha- and beta-asarone in rat hepatocytes.
AB - While alpha-asarone (aA) and beta-asarone (bA) are genotoxic and were shown to be
carcinogenic the mechanisms underlying these effects are not understood. Major
metabolites of both compounds are epoxides which are mutagenic in the Ames test.
We investigated their reactivity towards nucleosides and identified epoxide
derived DNA adducts with 2'-deoxyadenosine (dA) and 2'-deoxyguanosine (dG) using
UPLC-UV/VIS, LC-MS/MS and NMR spectroscopy. The adducts were characterized as N6
1'-hydroxy-dihydro-asarone-dA and N2-1'-hydroxy-dihydro-asarone-dG. Chemical
synthesis of these adducts, isotope labeled standards and development of a
sensitive and specific isotope dilution mass spectrometric method allowed the
quantification of DNA adducts formed in primary rat hepatocytes incubated with aA
or bA over up to 48 h. We observed a concentration-dependent, nearly linear
formation of DNA adducts, which was higher for bA than for aA. In time course
experiments, the amount of DNA adducts reached a maximum within the first 6 h.
Over the next 42 h, the amount of DNA adducts decreased, however DNA adducts were
still detectable even at the lowest substrate concentration of 10 MUM. These
results clearly show that aA and bA are able to form epoxide-derived DNA adducts
in mammalian cells which may be responsible for their genotoxic, mutagenic and
carcinogenic mode of action.
PMID- 29654847
TI - Alleviative effect of resveratrol on polyvinyl chloride-induced reproductive
toxicity in male Wistar rats.
AB - The present study was aimed to investigate the effect of PVC on reproductive
competence in adult male Wistar rats. Further, the study also encompasses the
protective effect of trans-resveratrol on PVC-induced reproductive toxicity in
rats. Adult male rats weighing 210-240 g were administered with either PVC at two
different doses 100 and 500 mg/kg body weight, orally, daily for 60 days or
resveratrol (20 mg/kg body weight/day) through gavage for 60 days on alternate
days or both PVC (500 mg/kg body weight) and resveratrol. The results revealed
significant reduction in the weights of reproductive organs, epididymal sperm
count, viable-, motile-, and HOS-tail coiled sperm and testicular daily sperm
production, steroidogenic enzyme activities, serum testosterone levels in PVC
treated rats. Conversely the levels of lipid peroxidation increased significantly
with a decrease in activity levels of antioxidant enzymes in the testis of PVC
exposed rats. Exposure to PVC resulted in reduction in epithelial thickness and
seminiferous tubule diameter. No significant changes in the selected reproductive
variables were observed in the resveratrol alone treated control rats, whereas,
co-administration of resveratrol and PVC resulted in a significant improvement in
steroidogenesis and spermatogenesis and mitigated oxidative stress over PVC
exposed rats.
PMID- 29654848
TI - A 90-day OECD TG 413 rat inhalation study with systems toxicology endpoints
demonstrates reduced exposure effects of the aerosol from the carbon heated
tobacco product version 1.2 (CHTP1.2) compared with cigarette smoke. I.
Inhalation exposure, clinical pathology and histopathology.
AB - Within the framework of a systems toxicology approach, the inhalation toxicity of
aerosol from a novel tobacco-heating potentially modified risk tobacco product
(MRTP), the carbon-heated tobacco product (CHTP) 1.2, was characterized and
compared with that of mainstream smoke (CS) from the 3R4F reference cigarette in
a 90-day nose-only rat inhalation study in general accordance with OECD TG 413.
CHTP1.2 is a heat-not-burn product using a carbon heat source to produce an
aerosol that contains nicotine and tobacco flavor. At equal or twice the nicotine
concentration in the test atmospheres, inhalation of CHTP1.2 aerosol led to a
significantly lower exposure to harmful constituents and induced less respiratory
tract irritation, systemic, and pathological effects compared with CS. Nasal
epithelial changes were less pronounced in the CHTP1.2- than in the CS-exposed
groups and reverted in the nicotine concentration-matched group after a recovery
period. Lung inflammation was minimal in the CHTP1.2-treated groups compared with
the moderate extent seen in the 3R4F groups. Many other toxicological endpoints
evaluated did not show CHTP1.2 aerosol exposure-related effects, and no effects
not seen for 3R4F were observed. These observations were consistent with findings
from previous studies in which rats were exposed to MRTP aerosols containing
similar nicotine concentrations.
PMID- 29654849
TI - Effects of metformin, rosiglitazone and insulin on bone metabolism in patients
with type 2 diabetes.
AB - BACKGROUND: Fracture risk is increased in individuals with type 2 diabetes (T2D).
The pathophysiological mechanisms accentuating fracture risk in T2D are
convoluted, incorporating factors such as hyperglycaemia, insulinopenia, and
antidiabetic drugs. The objectives of this study were to assess whether different
insulin regimens, metformin and rosiglitazone influence bone metabolism. We
explored if the concentration of metformin and rosiglitazone in blood or improved
glycaemic control altered bone turnover. METHODS: Two-year clinical trial
designed to investigate effects of antidiabetic treatment in 371 T2D patients.
Participants were randomized to short or long-acting human insulin (non-blinded)
and then further randomized to metformin + placebo, rosiglitazone + placebo,
metformin + rosiglitazone or placebo + placebo (blinded). Fasting bone turnover
markers (BTM) representing bone resorption (CTX) and formation (PINP) including
HbA1c were measured at baseline and after 3, 12 and 24 months. Trough steady
state plasma concentrations of metformin and rosiglitazone were measured after 3,
6 and 9 months of treatment. Associations between treatments and BTMs during the
follow-up of the trial were analysed in mixed-effects models that included
adjustments for age, gender, BMI, renal function and repeated measures of HbA1c.
RESULTS: BTMs increased from baseline to month 12 and remained higher at month
24, with CTX and PINP increasing 28.5% and 23.0% (all: p < 0.001), respectively.
Allocation of insulin regimens was not associated with different levels of BTMs.
Metformin and metformin + rosiglitazone but not rosiglitazone alone were
associated with lower bone formation (PINP). Neither metformin nor rosiglitazone
plasma concentrations was associated with BTMs. HbA1c was inversely associated
with CTX but not P1NP. CONCLUSIONS: The choice of insulin treatment is not
influencing BTMs, metformin treatment may decrease BTMs, and improvement of
glycaemic control may influence bone resorption activity.
PMID- 29654850
TI - Satiation deficits and binge eating: Probing differences between bulimia nervosa
and purging disorder using an ad lib test meal.
AB - Purging disorder (PD) has been included as a named condition within the DSM-5
category of Other Specified Feeding or Eating Disorder and differs from bulimia
nervosa (BN) in the absence of binge-eating episodes. The current study evaluated
satiation through behavioral and self-report measures to understand how this
construct may explain distinct symptom presentations for bulimia nervosa (BN) and
purging disorder (PD). Women (N = 119) were recruited from the community if they
met DSM-5 criteria for BN (n = 57), PD (n = 31), or were free of eating pathology
(n = 31 controls). Participants completed structured clinical interviews and
questionnaires and an ad lib test meal during which they provided reports of
subjective states. Significant group differences were found on self-reported
symptoms, ad lib test meal intake, and subjective responses to food intake
between individuals with eating disorders and controls and between BN and PD.
Further, ad lib intake was associated with self-reported frequency and size of
binge episodes. In a multivariable model, the amount of food consumed during
binges as reported during clinical interviews predicted amount of food consumed
during the ad lib test meal, controlling for other binge-related variables.
Satiation deficits distinguish BN from PD and appear to be specifically linked to
the size of binge episodes. Future work should expand exploration of
physiological bases of these differences to contribute to novel interventions.
PMID- 29654851
TI - The relation between family meals and health of infants and toddlers: A review.
AB - Family meals are associated with multiple health benefits in children and
adolescents including evidence that eating together as a family may play a role
in reducing childhood obesity. The current review aims to investigate whether the
beneficial health effects of the family meal also apply to infants and toddlers.
PubMed, Web of Science, Scopus and PsycInfo were searched and 14 empirical
studies were identified. The findings were discussed according to frequency of
having a family meal and parental perception, associations between the family
meal and health aspects (e.g., eating behaviors and diet quality) and causal
influences of these associations. Descriptive data showed that mothers offer food
at a structured mealtime, but that eating together as a family was not always
upheld. The frequency of family meals was positively associated with more
nutrient-dense food intake and a more balanced diet. Different advantages (e.g.,
social importance, practical considerations) and obstacles (e.g., planning,
possible mess) of the family meal were mentioned by parents. Further, having
structured mealtimes and family meals was associated with more food enjoyment and
less fussy and emotional eating. Finally, no causal studies were identified. The
limited number of studies suggests that the pattern of positive associations
between family meal and child health which has been shown in older children may
also exist in infants and toddlers. More specific research is needed to examine
the causality of the associations between the family meal and health of the
infant and toddler. The associations between the family meal and less fussiness
and emotional eating, more food enjoyment and better nutrient intake suggest that
the family meal is a valuable moment to promote healthy eating in toddlers and
infants.
PMID- 29654852
TI - The effects of scheduled running wheel access on binge-like eating behavior and
its consequences.
AB - Binge eating disorder (BED) is an eating disorder involving repeated,
intermittent over consumption of food in brief periods of time, usually with no
compensatory behaviors. There are few successful treatments and the underlying
neural mechanisms remain unclear. In the current study, we hypothesized that
voluntary running wheel (RW) activity could reduce binge-like eating behavior in
a rat model. Rats were given intermittent (3 times/wk) limited (1hr) access to a
high-fat food (Crisco), in addition to continuously available chow. Crisco was
available every Mon, Wed, and Fri for 1hr before dark onset. Rats were divided
into 2 groups: those with RW access during the first half of the experiment and
sedentary during the second half (RW-SED) and those that were sedentary during
the first half of the experiment and had RW access during the second half (SED
RW). Crisco intake was significantly less in both groups during the period of
time with a RW present. Within the bingeing RW-SED rats, the gene expression of
the orexigenic neuropeptides AgRP and NPY were similar to a non-bingeing
sedentary control (CON) group, while the expression of the anorexigenic
neuropeptide POMC was significantly increased relative to the SED-RW and CON
groups. Despite elevated POMC, the rats continued to binge. Additionally, within
both groups, the gene expression of the D2R and Oprm1 in the NAc and the VTA were
altered suggesting that the reward system was stimulated by both the bingeing
behavior and the running wheel activity. Overall, access to a RW and the
resulting activity significantly reduced binge-like behavior as well as modulated
the effects of binging on brain appetite and reward systems.
PMID- 29654853
TI - Antiarrhythmic effects of stimulating the left dorsal branch of the thoracic
nerve in a canine model of paroxysmal atrial tachyarrhythmias.
AB - BACKGROUND: Stellate ganglion nerve activity (SGNA) precedes paroxysmal atrial
tachyarrhythmia (PAT) episodes in dogs with intermittent rapid left atrial (LA)
pacing. The left dorsal branch of the thoracic nerve (LDTN) contains sympathetic
nerves originating from the stellate ganglia. OBJECTIVE: The purpose of this
study was to test the hypothesis that high-frequency electrical stimulation of
the LDTN can cause stellate ganglia damage and suppress PATs. METHODS: We
performed long-term LDTN stimulation in 6 dogs with and 2 dogs without
intermittent rapid LA pacing while monitoring SGNA. RESULTS: LDTN stimulation
reduced average SGNA from 4.36 MUV (95% confidence interval [CI] 4.10-4.62 MUV)
at baseline to 3.22 MUV (95% CI 3.04-3.40 MUV) after 2 weeks (P = .028) and
completely suppressed all PAT episodes in all dogs studied. Tyrosine hydroxylase
staining showed large damaged regions in both stellate ganglia, with increased
percentages of tyrosine hydroxylase-negative cells. The terminal deoxynucleotidyl
transferase dUTP nick end labeling assay showed that 23.36% (95% CI 18.74%
27.98%) of ganglion cells in the left stellate ganglia and 11.15% (95% CI 9.34%
12.96%) ganglion cells in the right stellate ganglia were positive, indicating
extensive cell death. A reduction of both SGNA and heart rate was also observed
in dogs with LDTN stimulation but without rapid LA pacing. Histological studies
in the 2 dogs without intermittent rapid LA pacing confirmed the presence of
extensive stellate ganglia damage, along with a high percentage of terminal
deoxynucleotidyl transferase dUTP nick end labeling-positive cells. CONCLUSION:
LDTN stimulation damages both left and right stellate ganglia, reduces left SGNA,
and is antiarrhythmic in this canine model of PAT.
PMID- 29654855
TI - Host-pathogen dynamics under sterilizing pathogens and fecundity-longevity trade
off in hosts.
AB - Infectious diseases are known to regulate population dynamics, an observation
that underlies the use of pathogens as control agents of unwanted populations.
Sterilizing rather than lethal pathogens are often suggested so as to avoid
unnecessary suffering of the infected hosts. Until recently, models used to
assess plausibility of pathogens as potential pest control agents have not
included a possibility that reduced fecundity of the infected individuals may
save their energy expenditure on reproduction and thus increase their longevity
relative to the susceptible ones. Here, we develop a model of host-pathogen
interaction that builds on this idea. We analyze the model for a variety of
infection transmission functions, revealing that the indirect effect of
sterilizing pathogens on mortality of the infected hosts, mediated by a fecundity
longevity trade-off, may cause hosts at endemic equilibria to attain densities
higher than when there is no effect of pathogens on host mortality. On the other
hand, an opposite outcome occurs when the fecundity-longevity trade-off is
concave or when the degree of fecundity reduction by the pathogen is high enough.
This points to a possibility that using sterilizing pathogens as agents of pest
control may actually be less effective than previously thought, the more so since
we also suggest that if sexual selection acts on the host species then the
presence of sterilizing pathogens may even enhance host densities above the
levels achieved without infection.
PMID- 29654854
TI - Control of clustered action potential firing in a mathematical model of
entorhinal cortex stellate cells.
AB - The entorhinal cortex is a crucial component of our memory and spatial navigation
systems and is one of the first areas to be affected in dementias featuring tau
pathology, such as Alzheimer's disease and frontotemporal dementia.
Electrophysiological recordings from principle cells of medial entorhinal cortex
(layer II stellate cells, mEC-SCs) demonstrate a number of key identifying
properties including subthreshold oscillations in the theta (4-12 Hz) range and
clustered action potential firing. These single cell properties are correlated
with network activity such as grid firing and coupling between theta and gamma
rhythms, suggesting they are important for spatial memory. As such, experimental
models of dementia have revealed disruption of organised dorsoventral gradients
in clustered action potential firing. To better understand the mechanisms
underpinning these different dynamics, we study a conductance based model of mEC
SCs. We demonstrate that the model, driven by extrinsic noise, can capture
quantitative differences in clustered action potential firing patterns recorded
from experimental models of tau pathology and healthy animals. The differential
equation formulation of our model allows us to perform numerical bifurcation
analyses in order to uncover the dynamic mechanisms underlying these patterns. We
show that clustered dynamics can be understood as subcritical Hopf/homoclinic
bursting in a fast-slow system where the slow sub-system is governed by
activation of the persistent sodium current and inactivation of the slow A-type
potassium current. In the full system, we demonstrate that clustered firing
arises via flip bifurcations as conductance parameters are varied. Our model
analyses confirm the experimentally suggested hypothesis that the breakdown of
clustered dynamics in disease occurs via increases in AHP conductance.
PMID- 29654856
TI - Optimization of preparation conditions for calcium pectinate with response
surface methodology and its application for cell encapsulation.
AB - The most notable and unique property of pectin is its ability to form gels, which
is the foundation of its many functions and applications. To obtain the desired
pectin hydrogel beads for tissue engineering or biological applications, the
combined effect of the key factors of gel properties of calcium pectinate (CP)
beads were investigated by response surface methodology (RSM). The results
derived from RSM indicated that the model equation of average size and mechanical
stability were significant and could be used to describe the process under a wide
range of preparation conditions. The optimum condition for preparing variables
were gained graphically. Moreover, the degree of methyl-esterification (DE) of
pectin and pectin - calcium concentrates showed significant and combined effort
on sphericity factor (SF). The mechanical stability of CP beads was significantly
affected by the quadratic contributions of the pectin concentration, the
interaction effects between pectin and calcium concentration, and the linear
contribution of pectin concentration. The viability and proliferation of cells
encapsulated in optimal CP beads demonstrated that the optimal formula results in
better gel properties and are more suitable to cell encapsulation.
PMID- 29654857
TI - The antiviral activity of polysaccharides and their derivatives.
AB - Viral infectious diseases are seriously endangering human health. In the search
for effective antiviral drugs, people have found that polysaccharides have good
antiviral activity. As an effective and low-toxic antiviral component,
polysaccharides have broad prospects for medicinal use and are deserved for
further study. Herein, the antiviral activity and action mechanisms of
polysaccharides and their various derivatives were summed up and analyzed.
PMID- 29654858
TI - Effect of CMC addition on steady and dynamic shear rheological properties of
binary systems of xanthan gum and guar gum.
AB - The effect of CMC on the steady and dynamic shear rheological properties of
binary mixtures of XG and GG was examined at different mixing ratios. All XG-GG
CMC ternary mixtures had high shear-thinning behavior and the n value of the
sample with 5% CMC was the smallest compared with those of other samples. A
marked increase in K and etaa,50 values was observed for ternary mixtures at a
lower content (5%) of CMC, indicating that the synergistic interactions of the XG
GG binary mixture were affected by the content of CMC. The effect of temperature
on the etaa,50 was well described by the Arrhenius equation for all samples. The
activation energy values of all ternary gum mixtures are higher than that of
binary gum mixture, and these values also decreased with an increase in CMC
content from 5 to 15%. The dynamic moduli of ternary gum mixtures decreased with
an increase in CMC content. The tan delta value of the ternary gum mixture with
5% CMC was much lower than those of other ternary mixtures. In general, these
results suggest that the flow and dynamic shear rheological properties of XG-GG
binary mixtures are strongly influenced by a small addition of CMC.
PMID- 29654859
TI - Facile preparation and dual responsive behaviors of starch-based hydrogel
containing azo and carboxylic groups.
AB - Starch-based hydrogel containing azo group (SHA) was prepared through radical
cross-linking reaction among starch- and PVA-based macromonomers, acrylic acid
(AA) and 4-acryloyoxyazobenzene (AHAB). AHAB was prepared through an acylation
reaction between acryloyl chloride and 4-hydroxyazobenzene (p-HAB), which was
obtained by the diazo coupling reaction between aniline and phenol. The structure
of SHA was confirmed with Fourier transform infrared spectrometer,
thermogravimetric analysis and UV-Visible spectroscopy. SHA displayed pH
sensitive swelling in buffer saline. SHA film also exhibited a reversible trans
cis-trans photoisomerization behavior when they were subjected to alternative UV
and visible light irradiation or dark storage. The dual-responsive characteristic
was easily to be tailored via varying the initial amount of AHAB or AA.
PMID- 29654860
TI - Hypoglycemic activity in vitro of polysaccharides from Camellia oleifera Abel.
seed cake.
AB - We isolated and purified polysaccharide from the Camellia oleifera Abel. seed
cake (CCP) and studied its hypoglycemic activity in vitro. The molecular weight
of CCP was 4736 Da and the monosaccharide molar composition ratio was:
Xylose:Glucuronic acid:Galactosamine:Mannose = 10.9:4.4:2.6:1.8. The CCP
contained conjugated proteins and belonged to acidic polysaccharide, which can
promote the consumption of the glucose in the medium by HepG2 cells within the
range of 0.125-0.500 mg/mL. Relative consumption rate (RCR) of the glucose was
the highest at 0.5 mg/mL, exceeded that of the metformin hydrochloride, as well
as that of Tea Polysaccharide under the same concentration. A meaningful
structure activity relationship (SAR) of hypoglycemia polysaccharides had
established. This result suggests that CCP can be used as hypoglycemic medicine
or health food.
PMID- 29654861
TI - Hydrolysis of lactose using beta-d-galactosidase immobilized in a modified Arabic
gum-based hydrogel for the production of lactose-free/low-lactose milk.
AB - beta-d-galactosidase was immobilized in a modified Arabic gum-based hydrogel for
the hydrolysis of standard lactose and lactose contained in UHT milk with the aim
of producing lactose-free/low-lactose milk. The hydrogel was synthesized by cross
linking the modified Arabic gum with acrylamide, using potassium persulfate as
initiator. Fourier-transform infrared spectroscopy and scanning electron
microscopy confirmed the formation of the hydrogel and its three-dimensional
porous network. Swelling degrees in distilled water, drinking water, phosphate
buffer solution at pH7.0 and acetate buffer solution at pH4.0 were 12.56 and
17.72, 10.65 and 12.58, 8.58 and 10.71, and 6.49 and 8.16g water per g dried
hydrogel at room temperature and 37.0+/-1.0 degrees C, respectively. The
immobilization capacities of beta-d-galactosidase in acetate and phosphate buffer
solutions at room temperature were 242.52+/-0.13 and 118.42+/-0.23mg enzyme per g
dried hydrogel, respectively, after 1440min of contact. Higher enzymatic
activities were found after immobilization in phosphate buffer solution due to
the isoelectric point of beta-d-galactosidase. Hydrolysis of standard lactose and
lactose contained in UHT milk was more efficient using immobilized beta-d
galactosidase than free beta-d-galactosidase. Immobilized beta-d-galactosidase
was applied for three cycles of hydrolysis of lactose without significantly
losing enzymatic activity.
PMID- 29654862
TI - Polycaprolactone/carboxymethyl chitosan nanofibrous scaffolds for bone tissue
engineering application.
AB - This research focused on the physical properties and cell compatibility of
nanofibrous scaffolds based on polycaprolactone/chitosan (PCL/CTS) and
PCL/carboxymethyl chitosan (PCL/CMC) blends for bone tissue engineering
application. Scaffolds were fabricated by electrospinning technique. SEM images
showed that the undesirable ultrafine and splitting fibers in PCL/CTS scaffolds
are eliminated by replacing CTS with CMC. PCL/CMC scaffolds exposed significantly
improved surface hydrophilicity improvement comparing to PCL/CTS ones. The water
contact angle of PCL scaffold was reduced on the addition of 15% CMC from 123 +/-
1 degrees to 51 +/- 3 degrees in high concentration of CMC scaffold. The
average diameter of fibers in PCL/CTS 15% and PCL/CMC 15% were 439 and 356 nm,
respectively, which demonstrated higher concentrations of CMC resulted in
decrease fibers diameter than other blended scaffolds. FTIR spectroscopy
confirmed the composition of PCL/CTS and PCL/CMC scaffolds. The culturing of
human osteoblast cells (MG63) on the scaffolds showed that all scaffolds are
biocompatible. The PCL/CMC nanofibers exhibited promoting proliferation trend,
compared to the PCL and PCL/CTS ones, especially at maximum concentrations of
CMC. The results demonstrate that the PCL/CMC electrospun scaffolds can be an
excellent candidate for bone tissue engineering application.
PMID- 29654863
TI - The structure changes of water-soluble polysaccharides in papaya during ripening.
AB - Papaya is a fruit mainly grown in tropical and subtropical regions. The
structural changes of polysaccharides are highly involved in the organoleptic
property change of papaya. However, it remains unclear how the structure
characteristics of water-soluble polysaccharides are changed during postharvest
ripening. It is interesting to work on this topic. In this work, the dominant
water-soluble polysaccharide in papaya was purified. It was identified to be
alpha-(1->4)-D-galacturonan by NMR. It remained stable during postharvest
ripening. beta-(1->4)-D-galactan was only detected in fully green papaya. Once
the postharvest ripening initiated, this polysaccharide was rapidly degraded.
There were no significant differences in the structure changes of water-soluble
polysaccharides for the naturally ripening papaya and ethylene-treated papaya.
These results extended the understanding about the relationship between
polysaccharide structure and papaya ripening during postharvest storage.
PMID- 29654864
TI - Mutation of conserved residues K329 and R330 on the surface of firefly
luciferase: Effect on proteolytic degradation.
AB - Firefly luciferase is highly susceptible to proteolytic digestion that reduces
its half-life and leads to loss in sensitivity. Due to the protease contamination
in most in vitro and in vivo environments, it has interest to generate some
mutations that may lead to improved susceptibility to digestion. Some important
conserved residues (including K206, R213, R218, K329, R330 and R337) on
accessible and flexible regions on the surface of Photinus pyralis luciferase
have been suggested that susceptible to trypsinolysis. In current study, two
mutants (K329I and R330Q) are designed to investigate the impact of these
conserved sites on the protease stability and flexibility. This study showed that
these mutations did not cause resistance against trypsin digestion. K329I mutant
was more susceptible to trypsin, but no difference in the digestion pattern was
observed. This point mutation brought about structural flexibility, which
revealed by quenching and extrinsic fluorescence. The experimental and
theoretical studies demonstrated that R330Q mutagenesis didn't have any
noticeable effect on the tryptic sites and flexibility. Moreover, the results of
proteolysis experiment showed that the primary sites for trypsin digestion are
still exposed after both mutations.
PMID- 29654865
TI - Chemistry, biochemistry, metabolic fate and mechanism of action of 6-oxo
cholestan-3beta,5alpha-diol (OCDO), a tumor promoter and cholesterol metabolite.
AB - Oxygenation products of cholesterol, named oxysterols, were suspected since the
20th century to be involved in carcinogenesis. Among the family of oxysterol
molecules, cholesterol-5,6-epoxides (5,6-EC) retained the attention of scientists
because they contain a putative alkylating epoxide group. However, studies failed
into demonstrating that 5,6-EC were direct carcinogens and revealed a surprising
chemical stability and unreactivity towards nucleophiles in standard conditions.
Analyses of 5,6-EC metabolism in normal cells showed that they were extensively
transformed into cholestane-3beta,5alpha,6beta-triol (CT) by the cholesterol-5,6
epoxide hydrolase (ChEH). Studies performed in cancer cells showed that CT was
additionally metabolized into an oxysterol identified as the 6-oxo-cholestan
3beta,5alpha-diol (OCDO), by the 11beta-hydroxysteroid dehydrogenase of type 2
(HSD2), the enzyme which inactivates cortisol into cortisone. Importantly, OCDO
was shown to display tumor promoter properties in breast cancers, by binding to
the glucocorticoid receptor, and independently of their estrogen receptor status,
revealing the existence of a new tumorigenic pathway centered on 5,6-EC. In
breast tumors from patients, OCDO production as well as the expression of the
enzymes involved in the pathway producing OCDO, namely ChEH subunits and HSD2,
were higher compared to normal tissues, and overexpression of these enzymes
correlate with a higher risk of patient death, indicating that this onco
metabolism is of major importance to breast cancer pathology. Herein, we will
review the actual knowledge and the future trends in OCDO chemistry,
biochemistry, metabolism and mechanism of action and will discuss the impact of
OCDO discovery on new anticancer therapeutic strategies.
PMID- 29654866
TI - Effects of the beta2 agonist formoterol on atrophy signaling, autophagy, and
muscle phenotype in respiratory and limb muscles of rats with cancer-induced
cachexia.
AB - Muscle mass loss and wasting are characteristic features of patients with chronic
conditions including cancer. Beta-adrenoceptors attenuate muscle wasting. We
hypothesized that specific muscle atrophy signaling pathways and altered
metabolism may be attenuated in cancer cachectic animals receiving treatment with
the beta2 agonist formoterol. In diaphragm and gastrocnemius of tumor-bearing
rats (intraperitoneal inoculum, 108 AH-130 Yoshida ascites hepatoma cells, 7-day
study period) with and without treatment with formoterol (0.3 mg/kg body
weight/day/7days, subcutaneous), atrophy signaling pathways (NF-kappaB, MAPK,
FoxO), proteolytic markers (ligases, proteasome, ubiquitination), autophagy
markers (p62, beclin-1, LC3), myostatin, apoptosis, muscle metabolism markers,
and muscle structure features were analyzed (immunoblotting,
immunohistochemistry). In diaphragm and gastrocnemius of cancer cachectic rats,
fiber sizes were reduced, levels of structural alterations, atrophy signaling
pathways, proteasome content, protein ubiquitination, autophagy, and myostatin
were increased, while those of regenerative and metabolic markers (myoD, mTOR,
AKT, and PGC-1alpha) were decreased. Formoterol treatment attenuated such
alterations in both muscles. Muscle wasting in this rat model of cancer-induced
cachexia was characterized by induction of significant structural alterations,
atrophy signaling pathways, proteasome activity, apoptotic and autophagy markers,
and myostatin, along with a significant decline in the expression of muscle
regenerative and metabolic markers. Treatment of the cachectic rats with
formoterol partly attenuated the structural alterations and atrophy signaling,
while improving other molecular perturbations similarly in both respiratory and
limb muscles. The results reported in this study have relevant therapeutic
implications as they showed beneficial effects of the beta2 agonist formoterol in
the cachectic muscles through several key biological pathways.
PMID- 29654867
TI - Preclinical evaluation of the neutralizing ability of a monospecific antivenom
for the treatment of envenomings by Bothrops lanceolatus in Martinique.
AB - Bothrops lanceolatus is an endemic viperid species in the Lesser Caribbean island
of Martinique. Envenomings by this species are characterized by local and
systemic effects, among which the development of thrombosis in various organs is
the most severe complication. An experimental toxicological characterization of
this venom was performed using in vivo mouse tests and various in vitro assays.
The venom induced lethal, local and systemic hemorrhagic, edema-forming,
myotoxic, thrombocytopenic, proteinase and phospholipase A2 activities. The
preclinical efficacy of a batch of monospecific Bothrofav(r) antivenom currently
in use in Martinique was assessed. The antivenom was highly effective in the
neutralization of all activities tested, in agreement with its described clinical
efficacy. This batch of antivenom showed a higher preclinical efficacy as
compared to a previous batch used in the past.
PMID- 29654868
TI - Bee venom therapy: Potential mechanisms and therapeutic applications.
AB - Bee venom is a very complex mixture of natural products extracted from honey bee
which contains various pharmaceutical properties such as peptides, enzymes,
biologically active amines and nonpeptide components. The use of bee venom into
the specific points is so called bee venom therapy, which is widely used as a
complementary and alternative therapy for 3000 years. A growing number of
evidence has demonstrated the anti-inflammation, the anti-apoptosis, the anti
fibrosis and the anti-arthrosclerosis effects of bee venom therapy. With these
pharmaceutical characteristics, bee venom therapy has also been used as the
therapeutic method in treating rheumatoid arthritis, amyotrophic lateral
sclerosis, Parkinson's disease, Alzheimer's disease, liver fibrosis,
atherosclerosis, pain and others. Although widely used, several cases still
reported that bee venom therapy might cause some adverse effects, such as local
itching or swelling. In this review, we summarize its potential mechanisms,
therapeutic applications, and discuss its existing problems.
PMID- 29654869
TI - A membrane disrupting toxin from wasp venom underlies the molecular mechanism of
tissue damage.
AB - The molecular mechanism of the local hypersensitivity reactions to wasp venom
including dermal necrosis remains an enigma regardless of the numerosity of the
reported cases. In this study, we discovered a new membrane disrupting toxin,
VESCP-M2 responsible for tissue damage symptoms following Vespa mandarinia
envenomation. Electrophysiological assays revealed a potent ability of VESCP-M2
to permeate the cell membrane whereas in vivo experiments demonstrated that VESCP
M2 induces edema, pain and dermal necrosis characterized by the presence of
morphological and behavioral phenotypes, pro-inflammatory mediators, biomarkers
as well as the disruption of dermal tissue. This study presents the molecular
mechanism and symptom-related function of VESCP-M2 which may form a basis for
prognosis as well as therapeutic interventions.
PMID- 29654870
TI - A functional and thromboelastometric-based micromethod for assessing crotoxin
anticoagulant activity and antiserum relative potency against Crotalus durissus
terrificus venom.
AB - The assessment of the capacity of antivenoms to neutralize the lethal activity of
snake venoms still relies on traditional rodent in vivo lethality assay. ED50 and
LD50 assays require large quantities of venoms and antivenoms, and besides
leading to animal suffering. Therefore, in vitro tests should be introduced for
assessing antivenom neutralizing capacity in intermediary steps of antivenom
production. This task is facilitated when one key lethal toxin is identified. A
good example is crotoxin, a beta-neurotoxin phospholipase A2-like toxin that
presents anticoagulant activity in vitro and is responsible for the lethality of
venoms of Crotalus durissus snakes. By using rotational thromboelastometry, we
reported recently one sensitive coagulation assay for assessing relative potency
of the anti-bothropic serum in neutralizing procoagulant activity of Bothrops
jararaca venom upon recalcified factor-XII-deficient chicken plasma samples
(CPS). In this study, we stablished conditions for determining relative potency
of four batches of the anti-crotalic serum (ACS) (antagonist) in inactivating
crotoxin anticoagulant activity in CPS (target) simultaneously treated with one
classical activator of coagulation (agonists). The correlation coefficient (r)
between values related the ACS potency in inactivating both in vitro crotoxin
anticoagulant activity and the in vivo lethality of whole venom (ED50) was 0.94
(p value < 0.05). In conclusion, slowness in spontaneous thrombin/fibrin
generation even after recalcification elicit time lapse sufficient for
elaboration of one dose-response curve to pro- or anti-coagulant agonists in CPS.
We propose this methodology as an alternative and sensitive assay for assessing
antivenom neutralizing ability in plasma of immunized horses as well as for in
process quality control.
PMID- 29654871
TI - Carbapenem-resistant Enterobacteriaceae in wildlife, food-producing, and
companion animals: a systematic review.
AB - OBJECTIVES: The spread of carbapenem-resistant Enterobacteriaceae (CRE) in
healthcare settings challenges clinicians worldwide. However, little is known
about dissemination of CRE in livestock, food, and companion animals and
potential transmission to humans. METHODS: We performed a systematic review of
all studies published in the PubMed database between 1980 and 2017 and included
those reporting the occurrence of CRE in samples from food-producing and
companion animals, wildlife, and exposed humans. The primary outcome was the
occurrence of CRE in samples from these animals; secondary outcomes included the
prevalence of CRE, carbapenemase types, CRE genotypes, and antimicrobial
susceptibilities. RESULTS: We identified 68 articles describing CRE among pigs,
poultry, cattle, seafood, dogs, cats, horses, pet birds, swallows, wild boars,
wild stork, gulls, and black kites in Africa, America, Asia, Australia, and
Europe. The following carbapenemases have been detected (predominantly affecting
the genera Escherichia and Klebsiella): VIM, KPC, NDM, OXA, and IMP. Two studies
found that 33-67% of exposed humans on poultry farms carried carbapenemase
producing CRE closely related to isolates from the farm environment. Twenty-seven
studies selectively screened samples for CRE and found a prevalence of <1% among
livestock and companion animals in Europe, 2-26% in Africa, and 1-15% in Asia.
Wildlife (gulls) in Australia and Europe carried CRE in 16-19%. CONCLUSIONS: The
occurrence of CRE in livestock, seafood, wildlife, pets, and directly exposed
humans poses a risk for public health. Prospective prevalence studies using
molecular and cultural microbiological methods are needed to better define the
scope and transmission of CRE.
PMID- 29654872
TI - Pyelonephritis in persons after age 50 as a clinical marker of urogenital cancer.
AB - OBJECTIVES: Urinary tract infections have been linked with urinary tract cancer,
but the association remains controversial. We examined whether pyelonephritis is
a clinical marker of urogenital cancer. METHODS: We used Danish medical databases
to create a population-based cohort of patients with an incident hospital-based
pyelonephritis diagnosis during 1994-2013. Follow-up for cancer began at
pyelonephritis diagnosis and ended on 30 November 2013. We restricted the cohort
to patients older than 50 years, as urogenital cancer risk in the younger
population is low. We calculated the absolute risk of urogenital cancer and the
standardized incidence ratio (SIR) comparing risk observed in pyelonephritis
patients to risk expected in the general population of Denmark. RESULTS: Among 15
070 patients with pyelonephritis, we observed 197 urinary tract cancers and 374
genital organ cancers over a 20-year follow-up period. The absolute risk of
urogenital cancer was 1.5% 6 months after a pyelonephritis diagnosis, and the
cumulative risk was 3.0% at 5 years. During the first 6 months following a
pyelonephritis diagnosis, the SIR of urogenital cancer was 8.56 (95% CI 7.49
9.75). Between 6 and 12 months following this diagnosis, the SIR was 1.75 (95% CI
1.26-2.35), and beyond 1 year the SIR was approximately unity for most cancers.
Notably, the SIR for bladder cancer among women remained elevated beyond 1 year
of follow-up. CONCLUSIONS: Patients presenting with a hospital-based diagnosis of
pyelonephritis had a higher 6-month risk of urogenital cancer than expected.
However, causation cannot be inferred because of the study design.
PMID- 29654873
TI - 'Aspergillus galactomannan detection in exhaled breath condensate compared to
bronchoalveolar lavage fluid' by Husain, et al.
PMID- 29654874
TI - Warnings and caveats in brain controllability.
AB - A recent article by Gu et al. (Nat. Commun. 6, 2015) proposed to characterize
brain networks, quantified using anatomical diffusion imaging, in terms of their
"controllability", drawing on concepts and methods of control theory. They
reported that brain activity is controllable from a single node, and that the
topology of brain networks provides an explanation for the types of control roles
that different regions play in the brain. In this work, we first briefly review
the framework of control theory applied to complex networks. We then show
contrasting results on brain controllability through the analysis of five
different datasets and numerical simulations. We find that brain networks are not
controllable (in a statistical significant way) by one single region.
Additionally, we show that random null models, with no biological resemblance to
brain network architecture, produce the same type of relationship observed by Gu
et al. between the average/modal controllability and weighted degree. Finally, we
find that resting state networks defined with fMRI cannot be attributed specific
control roles. In summary, our study highlights some warning and caveats in the
brain controllability framework.
PMID- 29654876
TI - Multi-voxel pattern classification differentiates personally experienced event
memories from secondhand event knowledge.
AB - Studies of autobiographical memory retrieval often use photographs to probe
participants' memories for past events. Recent neuroimaging work has shown that
viewing photographs depicting events from one's own life evokes a characteristic
pattern of brain activity across a network of frontal, parietal, and medial
temporal lobe regions that can be readily distinguished from brain activity
associated with viewing photographs from someone else's life (Rissman, Chow,
Reggente, and Wagner, 2016). However, it is unclear whether the neural signatures
associated with remembering a personally experienced event are distinct from
those associated with recognizing previously encountered photographs of an event.
The present experiment used a novel functional magnetic resonance imaging (fMRI)
paradigm to investigate putative differences in brain activity patterns
associated with these distinct expressions of memory retrieval. Eighteen
participants wore necklace-mounted digital cameras to capture events from their
everyday lives over the course of three weeks. One week later, participants
underwent fMRI scanning, where on each trial they viewed a sequence of
photographs depicting either an event from their own life or from another
participant's life and judged their memory for this event. Importantly, half of
the trials featured photographic sequences that had been shown to participants
during a laboratory session administered the previous day. Multi-voxel pattern
analyses assessed the sensitivity of two brain networks of interest-as identified
by a meta-analysis of prior autobiographical and laboratory-based memory
retrieval studies-to the original source of the photographs (own life or other's
life) and their experiential history as stimuli (previewed or non-previewed). The
classification analyses revealed a striking dissociation: activity patterns
within the autobiographical memory network were significantly more diagnostic
than those within the laboratory-based network as to whether photographs depicted
one's own personal experience (regardless of whether they had been previously
seen), whereas activity patterns within the laboratory-based memory network were
significantly more diagnostic than those within the autobiographical memory
network as to whether photographs had been previewed (regardless of whether they
were from the participant's own life). These results, also apparent in whole
brain searchlight classifications, provide evidence for dissociable patterns of
activation across two putative memory networks as a function of whether real
world photographs trigger the retrieval of firsthand experiences or secondhand
event knowledge.
PMID- 29654875
TI - Maternal Interleukin-6 concentration during pregnancy is associated with
variation in frontolimbic white matter and cognitive development in early life.
AB - Maternal inflammation during pregnancy can alter the trajectory of fetal brain
development and increase risk for offspring psychiatric disorders. However, the
majority of relevant research to date has been conducted in animal models. Here,
in humans, we focus on the structural connectivity of frontolimbic circuitry as
it is both critical for socioemotional and cognitive development, and commonly
altered in a range of psychiatric disorders associated with intrauterine
inflammation. Specifically, we test the hypothesis that elevated maternal
concentration of the proinflammatory cytokine interleukin-6 (IL-6) during
pregnancy will be associated with variation in microstructural properties of this
circuitry in the neonatal period and across the first year of life. Pregnant
mothers were recruited in early pregnancy and maternal blood samples were
obtained for assessment of maternal IL-6 concentrations in early (12.6 +/- 2.8
weeks [S.D.]), mid (20.4 +/- 1.5 weeks [S.D.]) and late (30.3 +/- 1.3 weeks
[S.D.]) gestation. Offspring brain MRI scans were acquired shortly after birth (N
= 86, scan age = 3.7 +/- 1.7 weeks [S.D.]) and again at 12-mo age (N = 32, scan
age = 54.0 +/- 3.1 weeks [S.D.]). Diffusion Tensor Imaging (DTI) was used to
characterize fractional anisotropy (FA) along the left and right uncinate
fasciculus (UF), representing the main frontolimbic fiber tract. In N = 30 of the
infants with serial MRI data at birth and 12-mo age, cognitive and socioemotional
developmental status was characterized using the Bayley Scales of Infant
Development. All analyses tested for potentially confounding influences of
household income, prepregnancy Body-Mass-Index, obstetric risk, smoking during
pregnancy, and infant sex, and outcomes at 12-mo age were additionally adjusted
for the quality of the postnatal caregiving environment. Maternal IL-6
concentration (averaged across pregnancy) was prospectively and inversely
associated with FA (suggestive of reduced integrity under high inflammatory
conditions) in the newborn offspring (bi-lateral, p < 0.01) in the central
portion of the UF proximal to the amygdala. Furthermore, maternal IL-6
concentration was positively associated with rate of FA increase across the first
year of life (bi-lateral, p < 0.05), resulting in a null association between
maternal IL-6 and UF FA at 12-mo age. Maternal IL-6 was also inversely associated
with offspring cognition at 12-mo age, and this association was mediated by FA
growth across the first year of postnatal life. Findings from the current study
support the premise that susceptibility for cognitive impairment and potentially
psychiatric disorders may be affected in utero, and that maternal inflammation
may constitute an intrauterine condition of particular importance in this
context.
PMID- 29654878
TI - Inter-subject phase synchronization for exploratory analysis of task-fMRI.
AB - Analysis of task-based fMRI data is conventionally carried out using a hypothesis
driven approach, where blood-oxygen-level dependent (BOLD) time courses are
correlated with a hypothesized temporal structure. In some experimental designs,
this temporal structure can be difficult to define. In other cases, experimenters
may wish to take a more exploratory, data-driven approach to detecting task
driven BOLD activity. In this study, we demonstrate the efficiency and power of
an inter-subject synchronization approach for exploratory analysis of task-based
fMRI data. Combining the tools of instantaneous phase synchronization and
independent component analysis, we characterize whole-brain task-driven responses
in terms of group-wise similarity in temporal signal dynamics of brain networks.
We applied this framework to fMRI data collected during performance of a simple
motor task and a social cognitive task. Analyses using an inter-subject phase
synchronization approach revealed a large number of brain networks that
dynamically synchronized to various features of the task, often not predicted by
the hypothesized temporal structure of the task. We suggest that this
methodological framework, along with readily available tools in the fMRI
community, provides a powerful exploratory, data-driven approach for analysis of
task-driven BOLD activity.
PMID- 29654877
TI - Enhanced perceptual processing of self-generated motion: Evidence from steady
state visual evoked potentials.
AB - The sense of agency emerges when our voluntary actions produce anticipated or
predictable outcomes in the external world. It remains unclear how the sense of
control also influences our perception of the external world. The present study
examined perceptual processing of self-generated motion versus non-self-generated
motion using steady-state visual evoked potentials (SSVEPs). Participants
continuously moved their finger on a touchpad to trigger the movements of two
shapes (Experiment 1) or two groups of dots (Experiment 2) on a monitor. Degree
of control was manipulated by varying the spatial relation between finger
movement and stimulus trajectory across conditions. However, the velocity, onset
time, and offset time of visual stimuli always corresponded to participants'
finger movement. Stimuli flickered at a frequency of either 7.5 Hz or 10 Hz, thus
SSVEPs of these frequencies and their harmonics provided a frequency-tagged
measurement of perceptual processing. Participants triggered the motion of all
stimuli simultaneously, but had greater levels of control over some stimuli than
over others. Their task was to detect a brief colour change on the border(s) of
one shape (Experiment 1) or of one group of dots (Experiment 2). Although control
over shapes/dots was irrelevant to the visual detection task, we found stronger
SSVEPs for stimuli that were under a high level of control, compared with the
stimuli that were under a low level of control. Our results suggest that the
spatial regularity between self-generated movements and visual input boosted the
neural responses underlying perceptual processing. Our results support the
preactivation account of sensory attenuation, suggesting that perceptual
processing of self-generated events is enhanced rather than inhibited.
PMID- 29654879
TI - Anti-oxidant effect of bergamot polyphenolic fraction counteracts doxorubicin
induced cardiomyopathy: Role of autophagy and c-kitposCD45negCD31neg cardiac stem
cell activation.
AB - Doxorubicin (DOXO) is one of the most widely used antineoplastic drugs. Despite
its highly beneficial effects against several malignancies, the clinical use of
DOXO is often associated to cardiomyopathy that leads to congestive heart
failure. Here we investigated the antioxidant and cardioprotective effects of a
polyphenol-rich fraction of citrus bergamot (BPF), in DOXO-induced cardiac damage
in rats. Moreover, we evaluated the effect of BPF on cardiomyocyte survival and
resident endogenous cardiac stem/progenitor cell (eCSC) activation. Adult male
Wistar rats were i.p. injected with saline (serving as controls, CTRL, n = 10),
BPF (20 mg/kg daily for 14 consecutive days, n = 10), DOXO (6 doses of 2,5 mg/Kg
from day 1 to day 14, n = 10), and DOXO + BPF (n = 10). Animals were then
sacrificed 7 days later (i.e., at 21 days). DOXO administration reduced cardiac
function at 21 days, an adverse effect significantly attenuated in animals
receiving DOXO + BPF. No changes were detected in rats receiving just saline or
BPF alone. The cardioprotective effect of BPF on DOXO acute toxicity was also
associated with a significant antioxidant effect coupled with protective
autophagy restoration, and attenuation of cardiomyocyte apoptosis and reactive
hypertrophy. Finally, treatment of rats with BPF prevented eCSCs attrition by
DOXO which was followed by a limited but significant increase of newly-formed
BrdU+ cardiomyocytes. In conclusion, BPF reduces DOXO-induced cardiotoxicity by
counteracting reactive oxygen species (ROS) overproduction, thereby restoring
protective autophagy and attenuating cardiomyocyte apoptosis and pathologic
remodeling. This beneficial effects on the early toxicity of DOXO is associated
with enhanced CSCs survival and regenerative potential. Overall these data point
to a potential clinical role by diet supplementation with polyphenol-rich
fraction of citrus bergamot in counteracting antracycline-induced cardiomyopathy.
PMID- 29654880
TI - Neural/Bayes network predictor for inheritable cardiac disease pathogenicity and
phenotype.
AB - The cardiac muscle sarcomere contains multiple proteins contributing to
contraction energy transduction and its regulation during a heartbeat.
Inheritable heart disease mutants affect most of them but none more frequently
than the ventricular myosin motor and cardiac myosin binding protein c (mybpc3).
These co-localizing proteins have mybpc3 playing a regulatory role to the energy
transducing motor. Residue substitution and functional domain assignment of each
mutation in the protein sequence decides, under the direction of a sensible
disease model, phenotype and pathogenicity. The unknown model mechanism is
decided here using a method combing neural and Bayes networks. Missense single
nucleotide polymorphisms (SNPs) are clues for the disease mechanism summarized in
an extensive database collecting mutant sequence location and residue
substitution as independent variables that imply the dependent disease phenotype
and pathogenicity characteristics in 4 dimensional data points (4ddps). The SNP
database contains entries with the majority having one or both dependent data
entries unfulfilled. A neural network relating causes (mutant residue location
and substitution) and effects (phenotype and pathogenicity) is trained,
validated, and optimized using fulfilled 4ddps. It then predicts unfulfilled
4ddps providing the implicit disease model. A discrete Bayes network interprets
fulfilled and predicted 4ddps with conditional probabilities for phenotype and
pathogenicity given mutation location and residue substitution thus relating the
neural network implicit model to explicit features of the motor and mybpc3
sequence and structural domains. Neural/Bayes network forecasting automates
disease mechanism modeling by leveraging the world wide human missense SNP
database that is in place and expanding.
PMID- 29654882
TI - Neuroticism is linked to microstructural left-right asymmetry of fronto-limbic
fibre tracts in adolescents with opposite effects in boys and girls.
AB - Neuroticism is a fundamental personality trait that reflects a tendency to
experience heightened negative affect and susceptibility to stress. Negative
emotionality has been associated with fronto-limbic brain structures and
connecting fibre tracts. The major fibre tracts connecting the frontal and limbic
brain regions are the cingulum bundle and uncinate fasciculus. We previously
found that healthy adults with higher neuroticism scores had decreased left
relative to right fractional anisotropy (FA) of the cingulum. Both cingulum and
uncinate fasciculus FA increases throughout childhood and into early adulthood.
Since adolescence is associated with an increased incidence of anxiety and mood
disorders, for which neuroticism is a known risk factor, the question arises
whether the association between neuroticism and fronto-limbic white matter
microstructure asymmetry is already present in children and adolescents or
whether such relationship emerges during this age period. To address this
question, we assessed 72 typically-developing 10-to-15 year-olds with diffusion
weighted imaging on a 3 T magnetic resonance scanner. Neuroticism was assessed
with the Junior Eysenck Personality Questionnaire. FA and parallel and
perpendicular diffusivity measures were extracted for cingulum, uncinate
fasciculus as well as the white matter underlying the ventromedial prefrontal
cortex. Higher neuroticism scores were associated with decreased left relative to
right cingulum FA in boys, while in girls, higher neuroticism scores were
associated with increased left relative to right cingulum and ventromedial
prefrontal white matter FA, indicating that there are sex differences in the
neural correlates of neuroticism. Our findings suggest that the link between
neuroticism and frontal-limbic white matter microstructure asymmetry likely
predates early adolescence. Future studies need to elucidate the significance of
the observed sex differences in the neural correlates of neuroticism.
PMID- 29654883
TI - Long-term retention of proprioceptive recalibration.
AB - Sensorimotor changes are well documented following reaches with altered visual
feedback of the hand. Specifically, reaches are adapted and proprioceptive
estimates of felt hand position shifted in the direction of the visual feedback
experienced. While research has examined one's ability to retain reach
adaptation, limited attention has been given to the retention of proprioceptive
recalibration. This experiment examined retention of proprioceptive recalibration
in the form of recall and savings (i.e., faster proprioceptive recalibration on
subsequent testing days) over an extended period of time (i.e., four days). As
well, we looked to determine the benefits of additional training on short-term
retention (i.e., one day) of proprioceptive recalibration. Twenty-four
participants trained to reach to a visual target while seeing a cursor that was
rotated 30 degrees clockwise relative to their hand on an initial day of
testing. Half of the participants then completed additional reach training trials
on 4 subsequent testing days (Training group), whereas the second half of
participants did not complete additional training until Day 5 (Non-Training
group). Participants provided estimates of their felt hand position on all 5
testing days to establish retention of proprioceptive recalibration. Results
revealed that proprioceptive recalibration was recalled 24 h after initial
training across all participants. Recall of proprioceptive recalibration was not
observed on subsequent testing days for the Non-Training group, while recall of
proprioceptive recalibration was retained at a similar level across all
subsequent testing days for the Training group. Retention of proprioceptive
recalibration in the form of savings was observed on Day 5 in the Non-Training
group. These results reveal that short-term recall of proprioceptive
recalibration does not benefit from additional training. Moreover, the different
time scales (i.e., retention in the form of recall seen only at 24 h after
initial training versus savings observed 4 days after initial training in the Non
Training group), suggest that distinct processes may underlie recall and savings
of proprioceptive recalibration.
PMID- 29654884
TI - Anti-fibrotic treatments: A review of clinical evidence.
AB - Renal fibrosis is a condition characterized by excessive extracellular matrix
accumulation in the kidney. Representing the final common result of a variety of
injuries, it can lead to chronic kidney disease and end-stage renal disease.
Although major efforts have been made in understanding the process of renal
fibrosis, attempts to halt its progression have been successful only in a
laboratory setting with limited success in clinical practice. Here, we review the
current knowledge on the process of renal fibrogenesis and the emerging anti
fibrotic drugs that have shown encouraging results in experimental models and
were subsequently tested in clinical trials. We also propose possible
explanations that may account for clinical trial failures and poor translation
outcomes. Finally, we discuss alternative therapeutic options and future
directions in which anti-fibrotic treatments may be coupled with drugs that can
enhance endogenous tissue regeneration.
PMID- 29654881
TI - A systematic literature review of sex differences in childhood language and brain
development.
AB - The extent of sex differences in childhood language development is unclear. We
conducted a systematic literature review synthesizing results from studies
examining sex differences in brain structure and function relevant to language
development during childhood. We searched PubMed and Scopus databases, and this
returned a total of 46 published studies meeting criteria for inclusion that
directly examined sex differences in brain development relevant to language
function in children. The results indicate that: (a) sex differences in brain
structure or function do not necessarily lead to differences in language task
performance; (b) evidence for sex differences in brain and language development
are limited; (c) when present, sex differences often interact with a variety of
factors such as age and task. Overall, the magnitude of sexual dimorphism of
brain developmental trajectories associated with language is not as significant
as previously thought. Sex differences were found, however, in studies employing
tighter age ranges. This suggests that sex differences may be more prominent
during certain developmental stages but are negligible in other stages, likely
due to different rates of maturation between the sexes. More research is needed
to improve our understanding of how sex differences may arise due to the
influence of sex hormones and developmental stages, and how these differences may
lead to differences in various language task performance. These studies are
expected to provide normative information that may be used in studies examining
neurodevelopmental disorders that frequently affect more males than females, and
also often affect language development.
PMID- 29654885
TI - Fabrication of inhaled hybrid silver/ciprofloxacin nanoparticles with synergetic
effect against Pseudomonas aeruginosa.
AB - Ciprofloxacin (CFX) is a fluoroquinolone antibiotic used as a first line
treatment against infections caused by Pseudomonas aeruginosa and Streptococcus
pneumonia that are commonly acquired by cystic fibrosis (CF) patients. However,
no inhalation formulation is currently available for ciprofloxacin. Hybrid silica
coated silver nanoparticles were prepared using Stober reaction and the optimum
ratio of chitosan and sodium tripolyphosphate was used to encapsulate CFX.
Particle deposition was assessed in vitro using twin stage impinger while
antimicrobial activity was evaluated based on the planktonic growth of P.
aeruginosa as well as against P. aeruginosa sp biofilm formation. In vitro
deposition results showed significant deposition in stage 2 using twin stage
impinger (TSI) (~70%). Compared to CFX, the formed hybrid nanoparticles were 3-4
folds more effective against inhibiting growth and biofilm formation by P.
aeruginosa PAO1 and P. aeruginosa NCTC 10662.
PMID- 29654886
TI - Role of ABC transporters White, Scarlet and Brown in brown planthopper eye
pigmentation.
AB - The brown planthopper ATP-binding cassette (ABC) proteins White (W), Scarlet (St)
and Brown (Bw) belong to the ABC transporter superfamily and are responsible for
the transportation of guanine and tryptophan precursors of eye pigments. In the
present study, the brown planthopper White (NlW), S t(NlSt) and Bw (NlBw) genes
were cloned, and subsequent phylogenetic analysis showed that these genes are
clustered with their respective homologues, with a genetic relationship observed
between NlW and its Bemisia tabaci homologue having the highest similarity.
Sequence alignments showed that these three proteins have a highly conserved
Walker A domain, an ABC "signature sequence" and a Walker B domain. QRT-PCR
demonstrated that W, St and Bw are highly expressed in the head of long-winged
males and are highly expressed in both egg and male. Adult eye colour was altered
after the downregulation of NlW, NlSt and NlBw in the 1st to 3rd instar nymph.
The eye colours of emerged adults became white, dark and red after injection of
dsNlW, dsNlSt and dsNlBw, respectively. The eye pigment content assay revealed
that xanthommatin and pteridine were significantly decreased after the injection
of dsRNAs, and the range of variation was inversely correlated with nymph age.
The present study provides a theoretical basis for understanding the function of
ABC transporters at the molecular and biochemical levels.
PMID- 29654887
TI - Emerging role of dynamic RNA modifications during animal development.
AB - The central dogma of molecular biology statically says that the information flows
from DNA to messenger RNA to protein. But the recent advances in mass
spectrometry and high throughput technology have helped the scientists to view
RNA as little more than a courier of genetic information encoded in the DNA. The
dynamics of RNA modifications in coding and non-coding RNAs are just emerging as
a carrier of non-genetic information, uncovering a new layer of complexity in the
regulation of gene expression and protein translation. In this review, we
summarize about the current knowledge of N6-methyladenosine (m6A), N1
methyladenosine (m1A), 5-methylcytosine (m5C) and pseudouridine (Psi)
modifications in RNA, and described how these RNA modifications are implicated in
early animal development and in several human diseases.
PMID- 29654888
TI - Towards the structural characterization of the human methyltransferome.
AB - Approximately 2000 structures of methyltransferases (MTases) are currently
available, displaying fifteen different folds for binding a methyl donor and
providing molecular level insight into nearly half the human methyltransferome.
Several MTases involved in gene expression and regulation are catalytically
inefficient when isolated, and their catalytic domains often show inhibitory
active site architectures. Recently reported structures of complexes that more
closely reflect biological context have begun to reveal the structural basis of
activation. DNA and particular histone MTases are allosterically activated by
binding histone modifications using reader domains or separate reader proteins,
and some MTases operating beyond chromatin are activated by binding an activator
protein. In this review, we describe the structural status of the human
methyltransferome and then discuss newly revealed structural mechanisms of MTase
activation.
PMID- 29654889
TI - HOXB9 acetylation at K27 is responsible for its suppression of colon cancer
progression.
AB - We previously reported that HOXB9 is overexpressed in colon cancer and predicts a
favourable patient outcome, which is opposite to the tumour-promoting role of
HOXB9 in other cancers. We hypothesized that HOXB9 acetylation may account for
its inhibitory role in colon cancer. We aim to examine the role of acetylated
HOXB9 in colon cancer cells and patients. The AcK27-HOXB9 levels in colon cancer
cells and patients were analysed by Western blot analysis and
immunohistochemistry separately. Correlation between AcK27-HOXB9 expression and
patient survival was assessed by Kaplan-Meier analysis. HOXB9 target gene EZH2
was determined by luciferase assay in HOXB9-transfected colon cancer cells.
Nucleocytoplasmic translocation of HOXB9 was detected by subcellular
fractionation and immunofluorescence. The AcK27-HOXB9 level was decreased in
colon cancer patients and predicted better outcome. HOXB9 upregulated oncogenic
EZH2 expression, whereas AcK27-HOXB9 suppressed it by translocating HOXB9 from
nuclei into cytoplasm. We demonstrated that AcK27-HOXB9 inhibits while non
acetylated HOXB9 promotes EZH2 expression and colon cancer progression. Thus,
AcK27-HOXB9 underlies the tumour suppressive role of HOXB9. Detection of the
ratio between AcK27-HOXB9 and HOXB9 is of differential diagnostic value for colon
cancer patients.
PMID- 29654890
TI - Residential radon and small cell lung cancer. A systematic review.
AB - Residential radon exposure is considered the second cause of lung cancer and the
first in never smokers. Nevertheless, the association between the different
histological types of lung cancer and radon is not completely clear, and radon
effect on small cell lung cancer is not completely understood. We aim to asses
the effect of residential radon exposure on the risk of small cell lung cancer
(SCLC) in general population and miners through a systematic review applying
predefined inclusion and exclusion criteria. 16 studies were included. Most of
them point to a relationship between indoor radon and SCLC, though some
investigations show no association. When comparing the risk of SCLC due to radon
exposure with NSCLC, it can be observed that an increased risk for SCLC is
present. Small cell lung cancer seems to be the histological type of lung cancer
most tightly related with residential radon.
PMID- 29654891
TI - Emerging roles of Hippo signaling in inflammation and YAP-driven tumor immunity.
AB - Initially identified as a cell and organ size controller, Hippo pathway turns
into a hotspot for researchers. Within recent years, more and more mechanisms
about Hippo pathway were uncovered. Even though Hippo signaling has been revealed
to exert controversial roles according to different cell context and
microenvironment, which is because of its diversified interplays with a great
variety of signaling transduction cascades; mechanisms other than size
limitation, however, remain to be elucidated. Recently, a growing number of
studies tend to put Hippo on inflammatory and immunological focus: its
antimicrobial role in flies, its pro- or anti-inflammation in mammals, as well as
its relevance to cancerous immunity. From inflammation to tumor immunogenicity,
Hippo has been gradually justified to play a crucial role. This review summarized
the latest findings regarding the involvement of Hippo pathway in immunity, and a
more comprehensive understanding of Hippo pathway will shed light on clinical
translational potential even precision medicine.
PMID- 29654892
TI - Hospital outbreak due to a Klebsiella pneumoniae ST147 clonal strain co-producing
KPC-2 and VIM-1 carbapenemases in a tertiary teaching hospital in Northern
Greece.
AB - Here we present the characteristics of a large outbreak caused by a clonal
Klebsiella pneumoniae strain producing both KPC-2 and VIM-1 carbapenemases in a
tertiary teaching hospital. Between January 2013 and January 2015, 45 carbapenem
resistant K. pneumoniae isolates that gave a positive modified Hodge test and
were phenotypically suspected of metallo-beta-lactamase (MBL) and K. pneumoniae
carbapenemase (KPC) co-production were recovered from 25 patients hospitalised in
AHEPA University Hospital (Thessaloniki, Greece). All of the patients were
hospitalised in the three intensive care units of the hospital and 17 (68%) of
them developed bloodstream infections; the overall mortality of the patients
involved in the outbreak was 48% (12/25). Molecular testing verified that all 45
K. pneumoniae isolates co-harboured blaKPC-2 and blaVIM-1 genes and were
associated with OmpK35 deficiency and OmpK36 porin loss. The blaTEM-1 gene was
also present in 18 isolates. Pulsed-field gel electrophoresis (PFGE) clustered
all of the isolates into a single clonal type, and multilocus sequence typing
(MLST) assigned them to the emerging high-risk ST147 clonal lineage. Following
recognition of the outbreak, infection control measures were implemented in the
affected areas. The outbreak continued for ca. 2 years and since then only
sporadic cases of K. pneumoniae harbouring both carbapenemases have been
detected.
PMID- 29654893
TI - Molecular beta-lactamase characterization of Gram-negative pathogens recovered
from patients enrolled in the ceftazidime-avibactam phase 3 trials (RECAPTURE 1
and 2) for complicated urinary tract infections: Efficacies analysed against
susceptible and resistant subsets.
AB - This study characterized the beta-lactamase content of baseline pathogens
recovered from patients with complicated urinary tract infections (cUTI),
including acute pyelonephritis, who were enrolled in two phase 3 clinical trials
of ceftazidime-avibactam (RECAPTURE 1 and 2), and correlated the clinical
efficacy of ceftazidime-avibactam and the comparator doripenem according to
resistance mechanisms. A total of 26.2% (93/355) ceftazidime-avibactam and 26.8%
(101/377) doripenem patients had baseline isolates that met the MIC screening
criteria. The majority of Enterobacteriaceae (87.5%; 154/176) carried blaCTX-M.
This pattern was mainly observed in Escherichia coli (96.8%; 92/95) and
Klebsiella pneumoniae (96.0%; 48/50), whereas most Proteus mirabilis (80.0%;
8/10) carried plasmid AmpC genes. Two K. pneumoniae and 1 Klebsiella oxytoca
carried blaOXA-48 and 1 K. pneumoniae carried blaNDM-1. Five (13/35; 37.1%)
Pseudomonas aeruginosa isolates were screened, and 2 carbapenemase producers (IMP
18 and VIM-2) were detected. Among patients enrolled in the ceftazidime-avibactam
arm who were infected by MIC screen-positive Enterobacteriaceae, clinical cure
occurred in 85.7-95.5%, regardless of beta-lactamase content; the respective rate
in the doripenem arm was 82.1-92.5%. A total of 75.0% in the ceftazidime
avibactam arm and 100.0% in the doripenem arm of patients infected by P.
aeruginosa with MIC screen-positive criteria were clinically cured. Ceftazidime
avibactam efficacy was comparable to doripenem efficacy for treating cUTI caused
by uropathogens producing extended-spectrum and/or AmpC beta-lactamases.
PMID- 29654894
TI - Trends in hepatitis B virus resistance to nucleoside/nucleotide analogues in
North China from 2009-2016: A retrospective study.
AB - Nucleos(t)ide analogues (NAs) are widely used in anti-hepatitis B virus (anti
HBV) therapy for effective inhibition of HBV replication. However, HBV resistance
to NAs has emerged, resulting in virus reactivation and disease recurrence. Data
on the current dynamics of HBV resistance are still rare in China. This study
analysed 4491 plasma samples with HBV primary genotypic resistance mutations
representative of the general HBV resistance situation in northern China from
2009-2016. We found that entecavir (ETV), representing 57.6% (12 713/22 060) of
NA users in North China in 2016, has become the major NA for treating Chinese
patients infected with HBV. Despite >50% of M204I/V+/-L180M among all HBV
resistance cases annually and extensive exposure of patients to lamivudine (LAM),
telbivudine (LdT) and adefovir dipivoxil (ADV), ETV resistance also showed a
dramatically increased incidence, which rose to 17.1% in 2016. Moreover, A181T/V,
ETV resistance mutations and multidrug resistance mutations were found more
frequently in HBV genotype C compared with genotype B (21.2% vs. 8.5%, 12.4% vs.
7.9% and 5.9% vs. 3.0%, respectively), whereas M204I and N236T were more
predominant in genotype B than genotype C (40.3% vs. 20.8% and 11.3% vs. 1.8%,
respectively). In conclusion, we report the dynamic changes of HBV NA resistance
mutation patterns and the current NA usage profile for anti-HBV treatment in
North China over the past 8 years. These data provide valuable information on HBV
NA resistance that is an important reference for clinicians to devise more
effective treatment regimens for individual patients.
PMID- 29654895
TI - Electrostatically assembled dendrimer complex with a high-affinity protein binder
for targeted gene delivery.
AB - Although a variety of non-viral gene delivery systems have been developed, they
still suffer from low efficiency and specificity. Herein, we present the assembly
of a dendrimer complex comprising a DNA cargo and a targeting moiety as a new
format for targeted gene delivery. A PAMAM dendrimer modified with histidine and
arginine (HR-dendrimer) was used to enhance the endosomal escape and transfection
efficiency. An EGFR-specific repebody, composed of leucine-rich repeat (LRR)
modules, was employed as a targeting moiety. A polyanionic peptide was
genetically fused to the repebody, followed by incubation with an HR-dendrimer
and a DNA cargo to assemble the dendrimer complex through an electrostatic
interaction. The resulting dendrimer complex was shown to deliver a DNA cargo
with high efficiency in a receptor-specific manner. An analysis using a confocal
microscope confirmed the internalization of the dendrimer complex and subsequent
dissociation of a DNA cargo from the complex. The present approach can be broadly
used in a targeted gene delivery in many areas.
PMID- 29654896
TI - Evaluation of in vitro and in vivo antitumor effects of gambogic acid-loaded
layer-by-layer self-assembled micelles.
AB - This study aimed to develop a novel type of multilayer micelle using protamine
(PRM) and hyaluronic acid (HA) for the delivery of gambogic acid (GA). GA-loaded
micelles (GA-M) were simply andrapidly prepared using lecithin/solutol HS15 using
a film-dispersion method. PRM and HA were added in sequence to form layer-by
layer self-assembled micelles (HA-PRM-GA-M), in which particle size, zeta
potential, particle morphology, drug loading, encapsulation efficiency, and in
vitro release were investigated. Surface charge reversal demonstrated that rapid
HA detachment exposed PRM, leading to activation of a "proton sponge" effect in
the hyaluronidase (HAase)-rich tumor microenvironment. Compared with coumarin 6
loaded micelles (C6-M), more efficient intracellular trafficking was observed for
HA-PRM-C6-M, which is associated with the endosomal/lysosomal escaping ability of
the exposed PRM. In vivo imaging showed increased enrichment of near infrared
fluorescent dye (DIR)-loaded HA-PRM-DIR-M at the tumor site, suggesting that HA
enhanced the active tumor targeting of GA. Furthermore, HA-PRM-GA-M showed the
stronger antitumor activity than GA and GA-M against human lung adenocarcinoma
(A549) tumor xenografts in nude mice. In summary, our findings show the potential
of HA-PRM-GA-M as a novel intravenous drug carrier for the treatment of lung
cancer.
PMID- 29654897
TI - Qualitative and quantitative analysis of lateral diffusion of drugs in human
skin.
AB - This study aimed to qualitatively and quantitatively analyze lateral diffusion of
drugs in dermatomed human skin. Lateral diffusion of calcein and methylene blue
dyes in skin was investigated using confocal laser microscopy, calcein imaging,
and histology studies. In in vitro permeation studies, two linear microdialysis
probes were inserted into the dermis of untreated, poly lacto-glycolic acid
microneedle-treated, and ablative laser-treated skin such that one was in the
center of the diffusion area and the other was parallel, at 8 mm from the central
probe. Skin was mounted on Franz cells, sandwiched between donor containing
diclofenac sodium solution and receptor containing phosphate buffered saline, pH
7.4. Qualitative techniques revealed faster lateral diffusion of the dyes in
microneedle-treated skin than laser-treated skin. Rate of drug diffusion in the
central probe in the microneedle-treated skin (11.8 +/- 2.5 MUg/h) was
significantly higher than untreated and laser-treated skin (p < 0.05). Rate of
lateral diffusion in untreated group (0.7 +/- 0.1 MUg/h) was significantly lower
than microneedle and laser-treated skin (p < 0.05). Overall, in vitro
microdialysis was demonstrated as a novel and valuable tool that can be employed
for quantitative investigation of rate of vertical and lateral diffusion of drugs
in intact and microporated skin.
PMID- 29654898
TI - Improvement of intestinal transport, absorption and anti-diabetic efficacy of
berberine by using Gelucire44/14: In vitro, in situ and in vivo studies.
AB - This study aims to evaluate the effects of Gelucire44/14 on the in vitro
transport, in situ intestinal absorption, as well as in vivo antidiabetic
efficacy of berberine (BBR). In the in vitro study, Gelucire44/14 (0.1%, v/v)
increased the absorptive transport of BBR across the intestinal membrane of a rat
and reduced the relative transport in the secretory direction, thus demonstrating
its potential inhibitory effect on intestinal P-glycoprotein (P-gp). In the in
situ absorption study, Gelucire44/14 (0.1%, v/v) increased BBR absorption, and
this enhancing effect was more significant in the ileum than in the colon of a
rat. Oral delivery of BBR with Gelucire44/14 (0.1%, v/v) to diabetic mice,
compared with the BBR group, induced a significant hypoglycemic effect on day 7
and day 12 after administration. This result was well correlated with the results
of the in vitro study, indicating the important contribution of the P-gp
inhibitory effect of Gelucire44/14 to the improvement of the antidiabetic
efficacy in vivo. In addition, Gelucire44/14 (0.1%, v/v) neither increased the
levels of protein and lactate dehydrogenase in intestinal perfusion nor changed
the morphology of the rat intestinal epithelium relative to those of the negative
control. This finding suggested that 0.1% (v/v) Gelucire44/14 caused no apparent
membrane damage to rat intestine. In conclusion, Gelucire44/14 exhibited
potential for enhancing the oral absorption of BBR, thereby improving the
antidiabetic efficacy of BBR.
PMID- 29654899
TI - "Trans-nonachlor increases extracellular free fatty acid accumulation and de novo
lipogenesis to produce hepatic steatosis in McArdle-RH7777 cells".
AB - Recent studies suggest there may be an environmental exposure component to the
development and progression of non-alcoholic fatty liver disease (NAFLD)
involving the organochlorine (OC) pesticides or their metabolites. However, the
roles of OC compounds in the development of NAFLD has not been fully elucidated.
Therefore, the current study was designed to determine if exposure to trans
nonachlor, a prevalent OC compound, could promote hepatocyte lipid accumulation
and determine potential pro-steatotic mechanisms. McArdle-RH7777 (McA) hepatoma
cells were incubated with trans-nonachlor for 24 h then neutral lipid
accumulation was determined by Oil Red O staining. Exposure to trans-nonachlor
produced a concentration dependent increase in neutral lipid accumulation. Trans
nonachlor also increased extracellular free fatty acid-induced neutral lipid
accumulation which appears to be due at least in part to increased free fatty
acid accumulation as evident by increased accumulation of Bodipy labeled
dodecanoic acid. Additionally, 14C-acetate incorporation into total cellular
lipids was increased by trans-nonachlor implicating increased de novo lipogenesis
(DNL) as a potential mediator of trans-nonachlor-induced neutral lipid
accumulation. Taken together, the present data indicate exposure to trans
nonachlor has a direct, pro-steatotic effect on hepatocytes to increase lipid
accumulation through the combinatorial actions of extracellular free fatty acid
accumulation and increased DNL.
PMID- 29654900
TI - Urinary extracellular vesicle biomarkers in urological cancers: From discovery
towards clinical implementation.
AB - Urine contains cellular elements, biochemicals, and proteins derived from
glomerular filtration of plasma, renal tubule excretion, and urogenital tract
secretions that reflect an individual's metabolic and pathophysiologic state.
Despite intensive research into the discovery of urinary biomarkers to facilitate
early diagnosis, accurate prognosis and prediction of therapy response in
urological cancers, none of these markers has reached widespread use. Their
implementation into daily clinical practice is hampered by a substantial degree
of heterogeneity in performance characteristics and uncertainty about
reliability, clinical utility and cost-effectiveness, in addition to several
technical limitations. Extracellular vesicles (EV) have raised interest as a
potential source of biomarker discovery because of their role in intercellular
communication and the resemblance of their molecular content to that of the
releasing cells. We review currently used urinary biomarkers in the clinic and
attempts that have been made to identify EV-derived biomarkers for urological
cancers. In addition, we discuss technical and methodological considerations
towards their clinical implementation.
PMID- 29654901
TI - Ethanolamine utilization supports Clostridium perfringens growth in infected
tissues.
AB - Clostridium perfringens possesses the ethanolamine (EA) utilization (eut) system
encoded within the eut operon, which utilizes the EA as a carbon, nitrogen and
energy source. To determine the role of the eut system in C. perfringens growth,
an in-frame deletion of the eutABC genes was made in strain HN13 to generate the
eutABC-deleted mutant strain HY1701. Comparison of HN13 and HY1701 growth in
media supplemented with 1.0% glucose and/or 1.0% EA showed that glucose enhanced
the growth of both strains, whereas EA enhanced HN13 growth, but not that of
HY1701, indicating that the eut system is necessary for C. perfringens to utilize
EA. The two-component regulatory system EutVW is needed to induce eut gene
expression in response to EA whereas the global virulence regulator VirRS
differentially controlled eut gene expression depending on glucose and EA
availability. To assess the role of the eut system in vivo, an equal number of
HN13 and HY1701 cells were injected into the right thigh muscles of mice. Mice
infected with HY1701 showed fewer symptoms than those injected with HN13. The
mortality rate of mice infected with HY1701 tended to be lower than for mice
infected with HN13. In addition, in infected tissues from mice injected with a
mixture of HN13 and HY1701, HN13 outnumbered HY1701. PCR screening demonstrated
that C. perfringens isolated from gas gangrene and sporadic diarrhea cases
carried both eut genes and the perfringolysin O gene (pfoA) as well as the
phospholipase C gene (plc). However, pfoA was not detected in isolates from food
poisoning patients and healthy volunteers. Culture supernatants prepared from
HN13 grown in media containing 7.5% sheep red blood cells induced significantly
higher eutB expression levels compared to those from plc- and/or pfoA-deletion
mutants. Together, these results indicate that the eut system plays a nutritional
role for C. perfringens during histolytic infection.
PMID- 29654902
TI - Characterization of extracellular virulence properties and biofilm-formation
capacity of Vibrio species recovered from ready-to-eat (RTE) shrimps.
AB - In this study, we evaluated the virulence factor production, biofilm-forming
ability and cell surface properties of ready-to-eat shrimps associated vibrios
strains. A total of 1440 ready-to-eat (RTE) shrimp samples were purchased from
open markets in southern Nigeria, from November 2016 to October 2017. Biofilm
formation was carried out using the microtitre plate method. Cell-to-cell
adhesion of Vibrio species was assessed via surface hydrophobicity using the
bacterial adherence to hydrocarbons (BATH) and salting aggregation technique,
autoaggregation and coaggregation assay. The virulence potential of the
identified 120 Vibrio strains includes haemolysis 107 (89.17%), lipase 106
(88.33%), protease 108 (90%), gelatinase 111 (92.5%), the presence of surface
layer (S-layer) 109 (90.8%) and DNA degrading activity 107 (89.17%). Biofilm
formation at 30 degrees C tryptone soy broth in dynamic conditions revealed
total biofilm producers for the Vibrio species as follows: V. parahaemolyticus
(95.65%), V. vulnificus (92.86%), V. fluvialis (91.67%), V. alginolyticus
(87.5%), V. cholerae (100%), V. mimicus (90%), V. harveyi (66.7%), and other
Vibrio spp. (84%). A total of 50 biofilm producing vibrios using BATH technique
include 49 (98%) hydrophilic and 1 (2%) moderately hydrophobic. Using the
modified salting aggregation technique, 50 (100%) was characterized as
hydrophilic. Autoaggregation index for the 12 biofilms producing Vibrio strains
ranged from 11.6 to 41.3%, while the autoaggregation index for the 12 test
bacteria ranged from 26.2 to 71.3%. Coaggregation between the 12 test bacteria
with the 12 Vibrio strains ranged from 9.3 to 78.5%. However most vibrios in this
study were hydrophilic, their hydrophilic potential is important for their
capability to autoaggregate and coaggregate. Findings on the specific process by
which virulent Vibrio spp. form biofilm and adhere to shrimp surface as attached
plankton may assist in monitoring epidemics of the pathogen.
PMID- 29654903
TI - Host cell protein removal from biopharmaceutical preparations: Towards the
implementation of quality by design.
AB - Downstream processing of protein products of mammalian cell culture currently
accounts for the largest fraction of the total production cost. A major challenge
is the removal of host cell proteins, which are cell-derived impurities. Host
cell proteins are potentially immunogenic and can compromise product integrity
during processing and hold-up steps. There is an increasing body of evidence that
the type of host cell proteins present in recombinant protein preparations is a
function of cell culture conditions and handling of the harvest cell culture
fluid. This, in turn, can affect the performance of downstream purification steps
as certain species are difficult to remove and may require bespoke process
solutions. Herein, we review recent research on the interplay between upstream
process conditions, host cell protein composition and their downstream removal in
antibody production processes, identifying opportunities for increasing process
understanding and control. We further highlight advances in analytical and
computational techniques that can enable the application of quality by design.
PMID- 29654904
TI - A de novo 921 Kb microdeletion at 11q13.1 including neurexin 2 in a boy with
developmental delay, deficits in speech and language without autistic behaviors.
AB - Microdeletions at 11q13.1 are very rare. At present only two patients with
11q13.1 deletion involving neurexin 2 (NRXN2) have been reported. Both patients
exhibited autistic features, which supported the role of NRXN2 in autism
pathogenicity. It is currently unknown whether heterozygous deletion of NRXN2 is
of high penetrance or if it is sufficient to result in autism behaviors. Here we
reported a 2-year-9-month old boy with developmental delay, short stature,
significant language delay and other congenital anomalies. In contrast to
previously reported cases, the boy did not present with autistic behaviors and
did not meet the clinical diagnosis of autism. A de novo 921 kb microdeletion at
11q13.1 was detected by chromosomal microarray analysis (CMA). Whole Exome
Sequencing (WES) was also employed for our patient. The deletion was confirmed
and no additional pathogenic variants were detected. We compared our patient's
genomic information and clinical features with those of two previously reported
individuals. Three patients shared similar deleted intervals and had similar
clinical features except for autistic behaviors. This study suggested that NRXN2
gene had incomplete penetrance for autistic behavioral phenotype. The finding is
of interest for genetic counseling and clinical management to patients with NRXN2
defects.
PMID- 29654905
TI - Family Functioning and Childhood Obesity Treatment: A Family Systems Theory
Informed Approach.
AB - Childhood obesity recommendations advise providers to use family-based care for
the treatment of youth and adolescent obesity. Family-based care, defined as the
inclusion of a caregiver and a youth, is commonly conducted through behavioral
interventions that target the dietary and physical activity behaviors of the
attending parent-youth dyads. However, focusing on behaviors isolated to the
parent and youth neglects the rest of the family members, and the larger rules,
routines, communication, and dynamics in the family. Family-based interventions
grounded in family systems theory (FST) target family dynamics to influence
weight-related behaviors through higher-level changes in the family. The utility
of using FST in childhood obesity treatment has not been extensively
conceptualized or applied. Few outcome studies have reported on variables
representative of FST, and even fewer FST interventions have been conducted.
Because of the lack of detail on the application of FST to childhood obesity
treatment, providers are left with little clarity on how to use FST in clinical
encounters. We provide the background and evidence for use of FST, detail how
families organize around weight-related behaviors that contribute to obesity, and
on the basis of their organization, what type of treatment might be beneficial,
FST-informed or family-based behavioral interventions. Finally, a suggested
family-based clinical algorithm is provided detailing the use of FST through
assessment, intervention, and follow-up that can be refined over time by
providers and researchers committed to viewing obesity in the context of the
family and family dynamics.
PMID- 29654906
TI - United States Pediatricians' Attitudes Regarding Public Policies for Low-Income
Children and Their Profession's Advocacy Priorities.
AB - OBJECTIVE: To examine pediatricians' attitudes toward public policies for low
income children and the advocacy efforts for the American Academy of Pediatrics
(AAP). METHODS: Data from the AAP Periodic Survey in October 2014 to March 2015
were used. Respondents ranked 1) attitudes toward government programs, and 2)
attitudes toward AAP policies on: income support, housing, education, job
training, food, and health care. Results were analyzed according to age, gender,
practice location, practice region, type of practice setting, and percent of
patients with economic hardship. RESULTS: Response rate was 47% (n = 650). Most
respondents reported that for children, the government should guarantee health
insurance (88.9%), and food and shelter (90.0%). Most also reported that the
government should guarantee health insurance (68.9%) and food and shelter (63.9%)
for every citizen and to take care of people who cannot take care of themselves.
There was variation among the level of support on the basis of practice setting.
In multivariable analyses related to supporting the role of government for
children and citizens, not being from the Northeast was associated with lower
odds of support of children as well as citizens; primary care practices in rural
areas were less supportive of government involvement related to all citizens but
similar for children; and those younger than 40 and 50 to 59 years of age were
more supportive of government guaranteeing enough to eat and a place to sleep for
children. More than 55% supported the AAP advocating for income support, housing,
education, and access to health care. CONCLUSIONS: Pediatricians strongly support
government policies that affect child poverty and the provision of basic needs to
families. This support should be used to inform professional organizations,
advocates, and policy-makers focused on children and families.
PMID- 29654908
TI - Strabismus surgery for Medicare-aged patients: more than a decade of insights.
AB - BACKGROUND: The psychosocial and functional impact of strabismus among the
elderly is increasingly important as life expectancy increases and factors that
enhance the quality of life become more significant. The purpose of this study
was to characterize the demographics, presenting complaints, health status,
underlying etiology, and outcomes of strabismus surgery in three age cohorts of
Medicare-aged patients. METHODS: The medical records of patients at least 65
years of age who underwent strabismus surgery between 2004 and 2015 in a
university-based strabismus practice were reviewed retrospectively. RESULTS: A
total of 110 patients were identified and divided into three age cohorts for
analysis: young-old (age 65-74), middle-old (age 75-84), and old-old (age 85+).
At least 75% of patients in all cohorts cited diplopia as their chief complaint
(P = 0.87). There was no difference in sex distribution, type of deviation,
underlying etiology, or preoperative American Society of Anesthesiologists
physical status classification scores between the cohorts (P = 0.68, P = 0.53, P
= 0.71, P = 0.93, resp.). By the 6- to 8-week postoperative visit, 63% of all
patients reported complete resolution of their presenting chief complaint, 23%
reported some improvement, and 11% reported no improvement, with no difference
between the cohorts (P = 0.12). CONCLUSIONS: Given the functional and
psychosocial impact of strabismus in the elderly, this study lends support to
consideration of surgery as a viable option to successfully treat strabismus
among the oldest age cohorts.
PMID- 29654907
TI - Vascular wall regulator of G-protein signalling-1 (RGS-1) is required for
angiotensin II-mediated blood pressure control.
AB - G-Protein coupled receptors (GPCRs) activate intracellular signalling pathways by
coupling to heterotrimeric G-proteins that control many physiological processes
including blood pressure homeostasis. The Regulator of G-Protein Signalling-1
(RGS1) controls the magnitude and duration of downstream GPCR signalling by
acting as a GTPase-activating protein for specific Galpha-proteins. RGS1 has
contrasting roles in haematopoietic and non-haematopoietic cells. Rgs1-/-ApoE-/-
mice are protected from Angiotensin II (Ang II)-induced aortic aneurysm rupture.
Conversely, Ang II treatment increases systolic blood pressure to a greater
extent in Rgs1-/-ApoE-/- mice than ApoE-/- mice, independent of its role in
myeloid cells. However the precise role of RGS1 in hypertension and vascular
derived cells remains unknown. We determined the effects of Rgs1 deletion on
vascular function in ApoE-/- mice. Rgs1 deletion led to enhanced vasoconstriction
in aortas and mesenteric arteries from ApoE-/- mice in response to phenylephrine
(PE) and U46619 respectively. Rgs1 was shown to have a role in the vasculature,
with endothelium-dependent vasodilation being impaired, and endothelium
independent dilatation to SNP being enhanced in Rgs1-/-ApoE-/- mesenteric
arteries. To address the downstream signalling pathways in vascular smooth muscle
cells (VSMCs) in response to Ang II-stimulation, we assessed pErk1/2, pJNK and
pp38 MAPK activation in VSMCs transiently transfected with Rgs1. pErk1/2
signalling but not pJNK and pp38 signalling was impaired in the presence of Rgs1.
Furthermore, we demonstrated that the enhanced contractile response to PE in Rgs1
/-ApoE-/- aortas was reduced by a MAPK/Erk (MEK) inhibitor and an L-type voltage
gated calcium channel antagonist, suggesting that Erk1/2 signalling and calcium
influx are major effectors of Rgs1-mediated vascular contractile responses,
respectively. These findings indicate RGS1 is a novel regulator of blood pressure
homeostasis and highlight RGS1-controlled signalling pathways in the vasculature
that may be new drug development targets for hypertension.
PMID- 29654909
TI - Visual impairment evaluation in 119 children with congenital Zika syndrome.
AB - PURPOSE: To assess visual impairment in a large sample of infants with congenital
Zika syndrome (CZS) and to compare with a control group using the same assessment
protocol. METHODS: The study group was composed of infants with confirmed
diagnosis of CZS. Controls were healthy infants matched for age, sex, and
socioeconomic status. All infants underwent comprehensive ophthalmologic
evaluation including visual acuity, visual function assessment, and visual
developmental milestones. RESULTS: The CZS group included 119 infants; the
control group, 85 infants. At examination, the mean age of the CZS group was 8.5
+/- 1.2 months (range, 6-13 months); of the controls, 8.4 +/- 1.8 months (range,
5-12 months; P = 0.598). Binocular Teller Acuity Card (TAC) testing was abnormal
in 107 CZS infants and in 4 controls (89.9% versus 5% [P < 0.001]). In the study
group, abnormal monocular TAC results were more frequent in eyes with funduscopic
alterations (P = 0.008); however, 104 of 123 structurally normal eyes (84.6%)
also presented abnormal TAC results. Binocular contrast sensitivity was reduced
in 87 of 107 CZS infants and in 8 of 80 controls (81.3% versus 10% [P < 0.001]).
The visual development milestones were less achieved by infants with CZS compared
to controls (P < 0.001). CONCLUSIONS: Infants with CZS present with severe visual
impairment. A protocol for assessment of the ocular findings, visual acuity, and
visual developmental milestones tested against age-matched controls is suggested.
PMID- 29654910
TI - Surgical success and lateral incomitance following three-muscle surgery for large
angle horizontal strabismus.
PMID- 29654911
TI - Resolution of cystoid macular edema following arginine-restricted diet and
vitamin B6 supplementation in a case of gyrate atrophy.
AB - We report the outcome of 3 years of arginine-restricted diet and vitamin B6
supplementation in a boy who presented with gyrate atrophy of the choroid and
retina and bilateral cystoid macular edema. The diagnosis of gyrate atrophy was
made on the basis of clinical findings and increased plasma ornithine levels.
Molecular genetic testing revealed a disease-causing homozygous mutation in the
ornithine aminotransferase (OAT) gene. After 3 months of dietary modification and
pyridoxine supplementation, visual acuity improved, and optical coherence
tomography showed resolution of cystoid macular edema in both eyes. This
anatomical and functional improvement was maintained during 3 years of follow-up.
PMID- 29654912
TI - Antibody Concentrations Decrease 14-Fold in Children With Celiac Disease on a
Gluten-Free Diet but Remain High at 3 Months.
AB - BACKGROUND & AIMS: Celiac disease can be identified by a serologic test for IgA
against tissue transglutaminase (IgA-TTG) in a large proportion of children.
However, the increased concentrations of antibody rarely normalize within the
months after children are placed on a gluten-free diet (GFD). Early serologic
predictors of sufficient adherence to GFD are required for optimal treatment.
METHODS: In a prospective study, we observed the response to a GFD in 345
pediatric patients (67% girls; mean age, 8.4 y) who underwent duodenal biopsy to
confirm or refute celiac disease from October 2012 through December 2015.
Baseline serum samples were tested centrally for IgA-TTG and IgG against
deamidated gliadin. Follow-up serologic analyses of children on a GFD were
performed about 3 months later. RESULTS: The geometric mean concentration of IgA
TTG decreased from 72.4-fold to 5.2-fold the upper limit of normal (ULN), or by a
factor of 14.0 (95% CI, 12.0-16.4). A substantial response (defined as a larger
change than the typical variation in patients not on a GFD) was observed in 80.6%
of the children. Only 28.1% of patients had a substantial response in the
concentration of IgG against deamidated gliadin. Concentration of IgA-TTG
remained above 1-fold the ULN in 83.8% of patients, and above 10-fold the ULN in
26.6% of patients with a substantial response. CONCLUSIONS: Serum concentration
of IgA-TTG decreases substantially in most children with celiac disease within 3
months after they are placed on a GFD, but does not normalize in most. This
information on changes in antibody concentrations can be used to assess patient
response to the diet at short-term follow-up evaluations. Patients with a
substantial response to a GFD often still have high antibody levels after 3
months. German Clinical Trials Registry no. DRKS00003854.
PMID- 29654913
TI - Factors Associated With Response to Placebo in Patients With Irritable Bowel
Syndrome and Constipation.
AB - BACKGROUND & AIMS: A high proportion of patients with irritable bowel syndrome
(IBS) respond to placebo in clinical trials (estimated at about 40%). We aimed to
identify factors that contribute to the high placebo response rate using data
from a placebo-controlled trial of patients with IBS. METHODS: We performed a
retrospective analysis of 599 women with IBS with constipation who were in the
placebo group of a 12-week, randomized, double-blind, phase 3 trial of the
experimental medication renzapride. Primary analyses evaluated frequency of
abdominal pain in patients who received placebo, defined as >=30% pain
improvement from baseline for >=6 of the 12 study weeks. We performed backward
elimination regression with bootstrapping to identify factors associated with
response to placebo. RESULTS: In the placebo group, 29.0% of the patients had an
abdominal pain response. Factors associated with a response to placebo were
baseline variation in abdominal pain (odds ratio [OR], 1.71), maximum baseline
pain severity (OR, 1.34), and placebo response in study week 2 (OR, 2.23) or week
3 (OR, 3.69). Factors associated with lack of response to placebo were number of
baseline complete spontaneous bowel movements (OR, 0.73; P = .019) and final
baseline pain ratings (OR, 0.73; P < .001). CONCLUSIONS: We identified factors
associated with a response in abdominal pain to placebo using original data from
an IBS clinical trial. Baseline factors associated with the placebo response in
women with IBS and constipation included variation in baseline pain symptoms,
severity of baseline symptoms, and early improvement of abdominal pain. These
findings have significant implications for clinical trial design.
PMID- 29654914
TI - Metastatic Cervical Cancer Causing Esophageal Compression and Dysphagia.
PMID- 29654915
TI - Efficacy of MaZiRenWan, a Chinese Herbal Medicine, in Patients With Functional
Constipation in a Randomized Controlled Trial.
AB - BACKGROUND & AIMS: The Chinese herbal medicine, MaZiRenWan (MZRW), has been used
for more than 2000 years to treat constipation, but it has not been tested in a
randomized controlled trial. We performed a trial to evaluate the efficacy and
safety of MZRW, compared with the stimulant laxative senna or placebo, for
patients with functional constipation (FC). METHODS: We performed a double-blind,
double-dummy, trial of 291 patients with FC based on Rome III criteria, seen at 8
clinics in Hong Kong from June 2013 through August 2015. Patients were observed
for 2 weeks and then assigned randomly (1:1:1) to groups given MZRW (7.5 g, twice
daily), senna (15 mg daily), or placebo for 8 weeks. Patients were then followed
for 8 weeks and evaluated at baseline and weeks 4, 8 (end of treatment), and 16
(end of follow up). Participants recorded information on stool form and
frequency, feeling of complete evacuation, and research medication taken. Data on
individual bowel symptoms, global symptom improvement, and adverse events were
collected. A complete response was defined as an increase >=1 complete
spontaneous bowel movement (CSBM)/week from baseline (the primary outcome).
Secondary outcomes included response during the follow-up period, colonic
transit, individual and global symptom assessments, quality of life measured with
36-item short form Chinese version, and adverse events. RESULTS: Although there
was no statistically significant difference in proportions of patients with a
complete response to MZRW (68%) vs. senna (57.7%) (P = .14) at week 8, there was
a statistically significant difference vs. placebo (33.0%) (P < .005). At the 16
week timepoint (after the 8-week follow-up period), 47.4% of patients had a
complete response to MZRW, 20.6% had a complete response to senna, and 17.5% had
a complete response to placebo (P < .005 for MZRW vs. placebo). The group that
received MZRW group also had significant increases in colonic transit and reduced
severity of constipation, straining, incomplete evacuation, and global
constipation symptoms compared with the groups that received placebo or senna in
(P < .05 for all comparisons). CONCLUSIONS: In a randomized controlled trial of
291 patients with FC, we found MZRW to be well-tolerated and effective in
increasing CSBM/week. MZRW did not appear to be more effective than senna and
might be considered as an alternative to this drug. ClincialTrials.gov no:
NCT01695850.
PMID- 29654916
TI - Endoscopic Management of Octopus Food Bolus Lodged Within the Esophagus.
PMID- 29654917
TI - Lifestyle Factors in Late Adolescence Associate With Later Development of
Diverticular Disease Requiring Hospitalization.
AB - BACKGROUND & AIMS: The burden of diverticular disease on society is high and is
increasing with an aging population. It is therefore important to identify risk
factors for disease development or progression. Many lifestyle behaviors during
adolescence affect risk for later disease. We searched for adolescent lifestyle
factors that affect risk of diverticular disease later in life. METHODS: We
performed a retrospective analysis of data from 43,772 men (age, 18-20 y)
conscripted to military service in Sweden from 1969 through 1970, with a follow
up period of 39 years. All conscripts underwent an extensive mental and physical
health examination and completed questionnaires covering alcohol consumption,
smoking, and use of recreational drugs; cardiovascular fitness was assessed using
an ergometer cycle at the time of conscription. Outcome data were collected from
national registers to identify discharge diagnoses of diverticular disease until
the end of 2009. We performed Cox regression analysis to determine whether body
mass index, cardiovascular fitness, smoking, use of recreational drugs, alcohol
consumption, and risky use of alcohol, at time of conscription are independent
risk factors for development of diverticular disease. RESULTS: Overweight and
obese men had a 2-fold increased risk of diverticular disease compared to normal
weight men (hazard ratio, 2.00; P < .001). A high level of cardiovascular fitness
was associated with a reduced risk of diverticular disease requiring
hospitalization (P = .009). Smoking (P = .003), but not use of recreational drugs
(P = .11), was associated with an increased risk of diverticular disease
requiring hospitalization. Risky use of alcohol, but not alcohol consumption per
se, was associated with a 43% increase in risk of diverticular disease requiring
hospitalization (P = .007). CONCLUSIONS: In a retrospective analysis of data from
43,772 men in Sweden, we associated being overweight or obese, a smoker, a high
risk user of alcohol, and/or having a low level of cardiovascular fitness in late
adolescence with an increased risk of developing diverticular disease requiring
hospitalization later in life. Improving lifestyle factors among adolescents
might reduce the economic burden of diverticular disease decades later.
PMID- 29654919
TI - Jejunal Ectopic Pancreas.
PMID- 29654918
TI - Reduced Unplanned Care and Disease Activity and Increased Quality of Life After
Patient Enrollment in an Inflammatory Bowel Disease Medical Home.
AB - BACKGROUND & AIMS: Specialty medical homes (SMHs) are a new health care model in
which a multidisciplinary team and specialists manage patients with chronic
diseases. As part of a large integrated payer-provider network, we formed an
inflammatory bowel diseases (IBDs) SMH and investigated its effects on health
care use, disease activity, and quality of life (QoL). METHODS: We performed a
retrospective analysis of 322 patients (58% female; mean age, 34.6 y; 62% with
Crohn's disease; 32% with prior IBD surgery) enrolled in an IBD SMH, in
conjunction with the University of Pittsburgh Medical Center Health Plan, from
June 2015 through July 2016. Patients had at least 1 year of follow up. We
evaluated changes in numbers of emergency department visits and hospitalizations
from the year before vs after SMH enrollment. Secondary measures included IBD
activity assessments and QoL. RESULTS: Compared to the year before IBD SMH
enrollment, patients had a 47.3% reduction in emergency department visits (P <
.0001) and a 35.9% reduction in hospitalizations (P = .008). In the year
following IBD SMH enrollment, patients had significant reductions in the median
Harvey-Bradshaw Index score (reduced from 4 to 3.5; P = .002), and median
ulcerative colitis activity index score (from 4 to 3; P = .0003), and increases
in QoL (median short inflammatory bowel disease questionnaire score increased
from 50 to 51.8; P < .0001). Patients in the most extreme (highest and lowest)
quartiles had the most improvement when we compared scores at baseline vs after
enrollment. Based on multivariable regression analysis, use of corticosteroids
(odds ratio [OR], 2.72; 95% CI, 1.32-5.66; P = .007) or opioids (OR, 3.20; 95%
CI, 1.32-7.78; P = .01), and low QoL (OR, 4.44; 95% CI, 1.08-18.250; P = .04) at
enrollment were significantly associated with persistent emergency department
visits and hospitalizations. CONCLUSIONS: We found development of an IBD SMH to
be feasible and significantly reduce unplanned care and disease activity and
increase patient QoL 1 year after enrollment.
PMID- 29654920
TI - Myristic acid induces proteomic and secretomic changes associated with steatosis,
cytoskeleton remodeling, endoplasmic reticulum stress, protein turnover and
exosome release in HepG2 cells.
AB - : Myristic acid, the 14-carbon saturated fatty acid (C14:0), is associated to an
increased cardiovascular disease risk. Since it is found in low concentration in
cells, its specific properties have not been fully analyzed. The aim of this
study was to explore the cell response to this fatty acid to help explaining
clinical findings on the relationship between C14:0 and cardiovascular disease.
The human liver HepG2 cell line was used to investigate the hepatic response to
C14:0 in a combined proteomic and secretomic approach. A total of 47
intracellular and 32 secreted proteins were deregulated after treatments with
different concentrations of C14:0. Data are available via ProteomeXchange
(PXD007902). In addition, C14:0 treatment of primary murine hepatocytes confirmed
that C14:0 induces lipid droplet accumulation and elevates perilipin-2 levels.
Functional enrichment analysis revealed that C14:0 modulates lipid droplet
formation and cytoskeleton organization, induce ER stress, changes in exosome and
extracellular miRNA sorting in HepG2cells. Our data provide for the first time a
proteomic profiling of the effects of C14:0 in human hepatoma cells and
contribute to the elucidation of molecular mechanisms through which this fatty
acid may cause adverse health effects. BIOLOGICAL SIGNIFICANCE: Myristic acid is
correlated with an increase in plasma cholesterol and mortality due to
cardiovascular diseases. This study is the first example of an integration of
proteomic and secretomic analysis of HepG2 cells to investigate the specific
properties and functional roles of myristic acid on hepatic cells. Our analyses
will lead to a better understanding of the myristic acid induced effects and can
elicit new diagnostic and treatment strategies based on altered proteins.
PMID- 29654921
TI - Putative salivary biomarkers useful to differentiate patients with fibromyalgia.
AB - Fibromyalgia (FM) is a chronic pain disorder characterized by widespread pain and
associated with unspecific symptoms. So far, no laboratory tests have been
validated. The aim of the present study was to investigate the presence in saliva
of potential diagnostic and/or prognostic biomarkers which could be useful for
the management of FM patients. Specifically, the salivary profile of FM patients
was compared with those of healthy subjects, subjects suffering migraine (model
of non-inflammatory chronic pain), and patients affected by rheumatoid arthritis
(model of inflammatory chronic pain). For proteomics analysis 2-DE and SELDI-TOF
MS were applied. From 2-DE serotransferrin and alpha-enolase were found
differentially expressed in FM. Hence, their expression was validated by ELISA
together with phosphoglycerate-mutase-I and transaldolase, which were found in a
previous work. Moreover, ROC curve was calculated by comparing FM patients versus
control subjects (healthy plus migraine) to investigate the discriminative power
of biomarkers. The best performance was obtained by combining alpha-enolase,
phosphoglycerate-mutase-I and serotransferrin. On the other hand, none of the
candidate proteins showed a statistical correlation with clinical features.
Finally, preliminary SELDI analysis highlighted two peaks whose identification
need to be validated. Overall, these results could be useful in supporting the
clinical diagnosis of FM. SIGNIFICANCE: FM is one of the most common chronic pain
condition which is associated with significant disability. The fibromyalgic pain
is a peculiar characteristic of this disease and FM patients suffer from reduced
quality of life, daily functioning and productivity. Considering the deep
complexity of FM, the discovery of more objective markers is crucial for
supporting clinical diagnosis. Therefore, the aim of the present study was the
selection of biomarkers effectively associated with fibromyalgic pain which will
enable clinicians to achieve an unambiguous diagnosis, and to improve approaches
to patients' management. We defined a panel of 3 salivary proteins which could be
one of the criteria to be taken into account. Consequently, the identification of
disease salivary biomarkers could be helpful in detecting FM clusters and
targeted treatment. Actually, our future perspective foresees to develop a
simple, rapid and not invasive point-of-care testing which will be of use during
the diagnostic process. In addition, the present results can offer a clue for
shedding light upon the complex entity of such a disease like FM.
PMID- 29654923
TI - Analysis of codon usage pattern of infectious laryngotracheitis virus immunogenic
glycoproteins and its biological implications.
AB - Infectious laryngotracheitis virus (ILTV) is a highly contagious acute
respiratory poultry pathogen. Modified live ILTV vaccines are the only control
against ILT infections. Reversions and establishment of latent infections are the
major concerns imparting the need to develop safer vaccines against ILTV
infection. ILTV glycoprotein B and D (gB and gD) are major protective immunogens.
The factors shaping synonymous codon usage bias and nucleotide composition in
ILTV glycoprotein genes have not yet been reported. In the present study, we have
analyzed the synonymous codon usage indices of ILTV gB and gD genes. Variation in
the codon usage was seen in both the glycoproteins majorly by mutational
pressure. The pattern was determined using the correspondence analysis, effective
number of codon (Nc), GC3 plot and correlation analyses among different indices.
The study is a comprehensive analysis of the codon usage patterns of ILTV
glycoprotein genes. This will be helpful in understanding the codon usage bias of
ILTV and related DNA viruses which could further explore its biology.
PMID- 29654922
TI - Comparative qualitative phosphoproteomics analysis identifies shared
phosphorylation motifs and associated biological processes in evolutionary
divergent plants.
AB - : Phosphorylation is one of the most prevalent post-translational modifications
and plays a key role in regulating cellular processes. We carried out a
bioinformatics analysis of pre-existing phosphoproteomics data, to profile two
model species representing the largest subclasses in flowering plants the dicot
Arabidopsis thaliana and the monocot Oryza sativa, to understand the extent to
which phosphorylation signaling and function is conserved across evolutionary
divergent plants. We identified 6537 phosphopeptides from 3189 phosphoproteins in
Arabidopsis and 2307 phosphopeptides from 1613 phosphoproteins in rice. We
identified phosphorylation motifs, finding nineteen pS motifs and two pT motifs
shared in rice and Arabidopsis. The majority of shared motif-containing proteins
were mapped to the same biological processes with similar patterns of fold
enrichment, indicating high functional conservation. We also identified shared
patterns of crosstalk between phosphoserines with enrichment for motifs pSXpS,
pSXXpS and pSXXXpS, where X is any amino acid. Lastly, our results identified
several pairs of motifs that are significantly enriched to co-occur in
Arabidopsis proteins, indicating cross-talk between different sites, but this was
not observed in rice. SIGNIFICANCE: Our results demonstrate that there are
evolutionary conserved mechanisms of phosphorylation-mediated signaling in
plants, via analysis of high-throughput phosphorylation proteomics data from key
monocot and dicot species: rice and Arabidposis thaliana. The results also
suggest that there is increased crosstalk between phosphorylation sites in A.
thaliana compared with rice. The results are important for our general
understanding of cell signaling in plants, and the ability to use A. thaliana as
a general model for plant biology.
PMID- 29654924
TI - Reservoir and vector evolutionary pressures shaped the adaptation of Borrelia.
AB - The life cycle of spirochetes of the genus Borrelia includes complex networks of
vertebrates and ticks. The tripartite association of Borrelia-vertebrate-tick has
proved ecologically successful for these bacteria, which have become some of the
most prominent tick-borne pathogens in the northern hemisphere. To keep
evolutionary pace with its double-host life history, Borrelia must adapt to the
evolutionary pressures exerted by both sets of hosts. In this review, we attempt
to reconcile functional, phylogenetic, and ecological perspectives to propose a
coherent scenario of Borrelia evolution. Available empirical information supports
that the association of Borrelia with ticks is very old. The major split between
the tick families Argasidae-Ixodidae (dated some 230-290 Mya) resulted in most
relapsing fever (Rf) species being restricted to Argasidae and few associated
with Ixodidae. A further key event produced the diversification of the Lyme
borreliosis (Lb) species: the radiation of ticks of the genus Ixodes from the
primitive stock of Ixodidae (around 217 Mya). The ecological interactions of
Borrelia demonstrate that Argasidae-transmitted Rf species remain restricted to
small niches of one tick species and few vertebrates. The evolutionary pressures
on this group are consequently low, and speciation processes seem to be driven by
geographical isolation. In contrast to Rf, Lb species circulate in nested
networks of dozens of tick species and hundreds of vertebrate species. This
greater variety confers a remarkably variable pool of evolutionary pressures,
resulting in large speciation of the Lb group, where different species adapt to
circulate through different groups of vertebrates. Available data, based on ospA
and multilocus sequence typing (including eight concatenated in-house genes)
phylogenetic trees, suggest that ticks could constitute a secondary bottleneck
that contributes to Lb specialization. Both sets of adaptive pressures contribute
to the resilience of highly adaptable meta-populations of bacteria.
PMID- 29654926
TI - Fine-Tuning of Eui1: Breaking the Bottleneck in Hybrid Rice Seed Production.
PMID- 29654925
TI - Effects of bisphenol A (BPA) on brain-specific expression of cyp19a1b gene in
swim-up fry of Labeo rohita.
AB - Estrogen regulates numerous developmental and physiological processes and effects
are mediated mainly by estrogenic receptors (ERs), which function as ligand
regulated transcription factor. ERs can be activated by many different types
endocrine disrupting chemicals (EDCs) and interfere with behaviour and
reproductive potential of living organism. Estrogenic regulation of membrane
associated G protein-coupled estrogen receptor, GPER activity has also been
reported. Bisphenol A (BPA), a ubiquitous endocrine disruptor is present in many
household products, has been linked to many adverse effect on sexual development
and reproductive potential of wild life species. The present work is aimed to
elucidate how an environmentally pervasive chemical BPA affects in vivo
expression of a known estrogen target gene, cyp19a1b in the brain, and a known
estrogenic biomarker, vitellogenin (Vg) in the whole body homogenate of 30 days
post fertilization (dpf) swim-up fry of Labeo rohita. We confirm that, like
estrogen, the xenoestrogen BPA exposure for 5-15 days induces strong
overexpression of cyp19a1b, but not cyp19a1a mRNA in the brain and increase
concentration of vitellogenin in swim-up fry. BPA also induces strong
overexpression of aromatase B protein and aromatase activity in brain.
Experiments using selective modulators of classical ERs and GPER argue that this
induction is largely through nuclear ERs, not through GPER. Thus, BPA has the
potential to elevate the levels of aromatase and thereby, levels of endogenous
estrogen in developing brain. These results indicate that L. rohita swim-up fry
can be used to detect environmental endocrine disruptors either using cyp19a1b
gene expression or vitellogenin induction.
PMID- 29654927
TI - Prognostic Impact of Tumor Mutation Burden in Patients With Completely Resected
Non-Small Cell Lung Cancer: Brief Report.
AB - INTRODUCTION: Tumor mutation burden (TMB) is thought to be associated with the
amount of neoantigen in the tumor and to have an important role in predicting the
effect of immune checkpoint inhibitors. However, the relevance of TMB to
prognosis is not yet fully understood. In this study, we investigated the
clinical significance of TMB in patients with NSCLC and examined the relationship
between TMB and prognosis. METHODS: We calculated TMB within individual tumors by
whole-exome sequencing analysis using next-generation sequencing. We included
that there were 90 patients with NSCLC who underwent surgery in the Hospital of
Fukushima Medical University from 2013 to 2016. No patients received chemotherapy
or immunotherapy before surgery. We assessed the correlation between TMB and
prognosis. RESULTS: TMB greater than 62 was associated with worse overall
survival (OS) of patients with NSCLC (hazard ratio [HR] = 6.633, p = 0.0003).
Multivariate analysis showed poor prognosis with high TMB (HR = 12.31, p =
0.019). In patients with stage I NSCLC, higher TMB was associated with worse
prognosis for both OS (HR = 7.582, p = 0.0018) and disease-free survival (HR =
6.07, p = 0.0072). CONCLUSIONS: High TMB in NSCLC is a poor prognostic factor. If
high TMB is a predictor of the efficacy of immune checkpoint inhibitors,
postoperative adjuvant therapy with immune checkpoint inhibitors may contribute
to improvement of recurrence and OS.
PMID- 29654928
TI - Progress in the Management of Early-Stage Non-Small Cell Lung Cancer in 2017.
AB - The landscape of care for early-stage non-small cell lung cancer continues to
evolve. While some of the developments do not seem as dramatic as what has
occurred in advanced disease in recent years, there is a continuous improvement
in our ability to diagnose disease earlier and more accurately. We have an
increased understanding of the diversity of early-stage disease and how to better
tailor treatments to make them more tolerable without impacting efficacy. The
International Association for the Study of Lung Cancer and the Journal of
Thoracic Oncology publish this annual update to help readers keep pace with these
important developments. Experts in the care of early-stage lung cancer patients
have provided focused updates across multiple areas including screening,
pathology, staging, surgical techniques and novel technologies, adjuvant therapy,
radiotherapy, surveillance, disparities, and quality of life. The source for
information includes large academic meetings, the published literature, or novel
unpublished data from other international oncology assemblies.
PMID- 29654929
TI - A randomized controlled trial of community health workers using patient stories
to support hypertension management: Study protocol.
AB - BACKGROUND: Uncontrolled hypertension is a significant public health problem in
the U.S. with about one half of people able to keep blood pressure (BP) under
control. Uncontrolled hypertension leads to increased risk of stroke, heart
attack, and death. Furthermore, the social and economic costs of poor
hypertension control are staggering. People living with hypertension can benefit
from additional educational outreach and support. METHODS: This randomized trial
conducted at two Community Health Centers (CHCs) in Massachusetts assessed the
effect of community health workers (CHWs) assisting patients with hypertension.
In addition to the support provided by CHWs, the study uses video narratives from
patients who have worked to control their BP through diet, exercise, and better
medication adherence. Participants enrolled in the study were randomly assigned
to immediate intervention (I) by CHWs or a delayed intervention (DI) (4 to 6
months later). Each participant was asked to meet with the CHW 5 times (twice in
person and three times telephonically). Study outcomes include systolic and
diastolic BP, diet, exercise, and body mass index. CONCLUSION: CHWs working
directly with patients, using multiple approaches to support patient self
management, can be effective agents to support change in chronic illness
management. Moreover, having culturally appropriate tools, such as narratives
available through videos, can be an important, cost effective aid to CHWs.
Recruitment and intervention delivery within a busy CHC environment required
adaptation of the study design and protocols for staff supervision, data
collection and intervention delivery and lessons learned are presented.
RETROSPECTIVE TRIAL REGISTRATION: Clinical Trials.gov registration submitted
8/17/16: Protocol ID# 5P60MD006912-02 and Clinical trials.gov ID# NCT02874547
Community Health Workers Using Patient Stories to Support Hypertension
Management.
PMID- 29654930
TI - Does food addiction contribute to excess weight among clinic patients seeking
weight reduction? Examination of the Modified Yale Food Addiction Survey.
AB - BACKGROUND: Despite controversy surrounding the construct of food addiction, its
relationship with obesity and the validity of the Yale Food Addiction Scale
(YFAS), have become emerging fields of study. No prior research has examined the
prevalence and correlates of food addiction, and validation of the Modified Yale
Food Addiction Scale (mYFAS), in a non-research based weight management clinic
setting. OBJECTIVE: The current study sought to examine the validity of a brief
version of the Yale Food Addiction Scale in weight loss seeking patients, and to
determine whether food addiction contributes to excess weight in this patient
population. PARTICIPANTS: The sample consisted of 126 Veterans with
overweight/obesity who attended an orientation session for a weight management
program. Participants (mean age = 61.8 years, mean BMI = 38.0, male = 89.7%,
Caucasian = 76.0%) completed questionnaires related to food addiction, weight and
eating, and mental health and behavior. RESULTS: Ten percent of the sample met
diagnostic threshold for food addiction. Correlational analysis revealed that
food addiction was significantly and highly correlated with BMI, emotional
eating, night eating and screens for depression and insomnia (p's < 0.001);
significantly correlated with eating pathology, and screening for PTSD (p's <
0.05); and inversely correlated with screening for alcohol use disorders (p <
0.01). The prevalence of food addiction was significantly higher in participants
with Binge Eating Disorder (75%) compared to participants without (5.4%; p <
0.001). Food addiction uniquely accounted for 15% of the variance of BMI, almost
three times more than general eating disorder pathology. DISCUSSION: This study
provides further evidence for the validity of the mYFAS, and clinical
significance of the food addiction construct among weight loss seeking patients
in non-research based weight management settings. Findings confirm that food
addiction contributes to excess weight among clinic patients seeking weight
reduction above and beyond the effects of disordered eating. It is recommended
that clinicians and researchers consider an addiction framework for addressing
comorbid overweight and food addiction among afflicted individuals seeking weight
loss.
PMID- 29654931
TI - Alpha lipoic acid attenuates cadmium-induced nephrotoxicity via the mitochondrial
apoptotic pathways in rat.
AB - Alpha lipoic acid (alpha-LA), a potent antioxidant, is protective against acute
nephrotoxicity. In the present study, the attenuation of cadmium (Cd)-induced
kidney injury by alpha-LA on was investigated in a rat model. Exposure to 50 mg/L
Cd for 12 weeks increased kidney index and Cd content, malondialdehyde (MDA)
levels, and histological damage to the renal cortex, and decreased the activities
of glutathione peroxidase (GSH-Px), superoxide dismutase (SOD), catalase (CAT),
and glutathione (GSH). Treatment with 50 mg/L Cd also damaged renal cell
mitochondria and nuclei, and activated the mitochondrial apoptosis pathway,
indicated by increased gene and protein expression/activation of caspase-9,
caspase-3, poly ADP-ribose polymerase (PARP) and Bcl-2 adenovirus E1a nineteen
kilodalton interacting protein 3 (BNIP3), and translocation of cytochrome c (cyt
c), apoptosis-inducing factor (AIF), and endonuclease G (Endo G). However,
simultaneous supplementation with alpha-LA (50 mg/kg.bw) protected kidney cells
from Cd-induced cytotoxicity by reducing MDA levels and Cd content, restoring
endogenous enzyme activities, renewing mitochondrial function, and preventing
activation of the mitochondria apoptosis pathway.
PMID- 29654932
TI - Using the "Hill-Sachs interval to glenoid track width ratio" for prediction of
recurrent instability after arthroscopic Bankart repair.
AB - INTRODUCTION: The glenoid track concept was used to confirm the engaging Hill
Sachs lesion (HSL) as a risk factor for recurrent instability following
arthroscopic Bankart repair (ABR). However, the post-operative condition of soft
tissue in vivo was not comparable to that designed in the intact condition in
vitro in the original study of the glenoid track concept. Herein, the possibility
of engagement may be underestimated. HYPOTHESIS: A threshold of the Hill-Sachs
interval to glenoid track width ratio (H/G ratio) that is related to recurrent
instability after ABR could be found, in order to adjust the original glenoid
track concept. PATIENTS AND METHODS: Patients who underwent ABR with minimum 24
months follow-up were reviewed retrospectively. The primary outcome was evaluated
with the recurrent instability. The H/G ratio of individual patients was used to
calculate the sensitivity, specificity, and a receiver operating characteristic
(ROC) curve, which aimed to establish a H/G ratio threshold related to recurrent
instability after ABR. RESULTS: From June 2005 to December 2013, 160 patients
with a mean age of 27.7years were enrolled. The mean follow-up period was 77.2
months. The ROC curve indicated that H/G ratio>=0.7 had the sensitivity and
specificity of 0.74 and 0.71, respectively, in predicting recurrent instability.
On univariate logistic regression analysis, the H/G ratio>=0.7 was a significant
predictor of higher risk for recurrent instability (p<0.001). DISCUSSION: H/G
ratio seems to be a reliable parameter for predicting recurrent instability. H/G
ratio>=0.7 may be considered as a positive predictor for recurrent instability
after ABR. LEVEL OF EVIDENCE: Level IV: retrospective diagnostic study.
PMID- 29654933
TI - No-go decision: A newly identified adverse event in orthopaedic surgery - causes
and medico-legal implications.
AB - BACKGROUND: No-go designates a decision not to perform surgery when it becomes
apparent that safety and/or feasibility requirements are not met. No-go decisions
can occur at any time between patient admission to a hospital department and
immediately before the first incision. The primary objective of this study was to
assess the causes of no-go decisions reported as healthcare-associated adverse
events (HAAEs). HYPOTHESIS: Most no-go decisions in orthopaedic surgery are
related to problems with medical devices. MATERIAL AND METHODS: A preliminary
retrospective study assessed HAAEs reported over the 1-year period from 1st
October 2014 to 30th September 2015, using the risk-management tool ALARM. A
prospective survey was then performed by emailing a 15-item questionnaire to the
1828 members of Orthorisq (the French orthopaedic surgeon accreditation agency).
Responses were either yes/no or open. Statistical comparisons were performed,
using the paired Wilcoxon signed-rank test to estimate p values. RESULTS: Among
reported HAAEs, 5.6% were no-go decisions. Of the 101 reported no-go decisions,
43.5% and 45.2% were due to problems with managing implantable medical devices in
the retrospective and prospective assessments, respectively. In over 85% of
cases, surgery was cancelled or postponed. Over half the no-go decisions were
associated with unnecessary anaesthesia. Checklist completion was performed in
only half the cases and was not associated with no-go decisions (p>0.8).
DISCUSSION: This study provides descriptive data on no-go decisions in
orthopaedic surgery. Healthcare professionals use many methods to enhance patient
safety by preventing adverse events or diminishing their impact. Errors in
managing implantable medical devices are the leading cause of no-go decisions.
The current checklist is not appropriate for managing implantable medical devices
in orthopaedic surgery, in part because it does not include checking devices upon
receipt. Before surgery, patients should be informed of the risk of a no-go
decision, since unnecessary anaesthesia occurs in over half the cases. LEVEL OF
EVIDENCE: IV, prospective study.
PMID- 29654934
TI - Humeral metastasis of renal cancer: Surgical options and review of literature.
AB - INTRODUCTION: The humerus is the second most common long bone site of metastatic
disease from renal cell carcinomas (RCC) after femur. Surgery has an important
role in the treatment of these lesions due to renal cell tumor's resistance to
chemotherapy and radiotherapy. HYPOTHESIS: Prosthetic replacement is an effective
and safe solution in treatment of renal humeral metastasis. MATERIAL AND METHODS:
Fifty-six patients affected by RCC bone metastases of the humerus that underwent
a surgical reconstruction were rewised. Thirty-five lesions were localized on
proximal third, 12 on the shaft, 9 on distal third. Among proximal 29 were
treated with resection and endoprosthetic replacement and 6 with plate and
cement. Six diaphyseal lesions were stabilized with intramedullary nailing, 5
with plate and cement and 1 with an intercalary prosthesis. Regarding distal
lesions, 7 elbow prostheses and 2 plates and cement were used. RESULTS: The
average age was 63years. Metastasis was single in 55% of cases, and in 45%
metachronous. A pathologic fracture (PF) occurred in 64% of cases. Only 9% of
patients had a mechanical complication, 7% an infection and 5% neurological
deficit. A local recurrence occurred in 14% of patients. An implant failure has
been observed in 10 patients, 5 for mechanical complications, 2 for infections
and 3 for local recurrence; of these 7 were treated with a prosthesis and 3 with
plate and cement. The mean value of MSTS score was 64%, 63% and 59% respectively
in patients with proximal, diaphyseal and distal humerus metastases. DISCUSSION:
Solitary and metachronous bone metastases have a longer survival. Disease-free
interval>2years is another important prognostic factor. Reconstruction with a
modular prosthesis is recommended in proximal and distal third. Instead in
diaphyseal lesions a closed reduction and fixation with intramedullary locked
nailing are preferred. When surgical indications are correctly followed, good
oncologic and functional outcomes are obtained, leading to markedly improvement
of patients' quality of life. RETROSPECTIVE STUDY: Level of evidence: IV.
PMID- 29654935
TI - Functional assessment of transplantar nailing for ankle fracture in the elderly:
48 weeks' prospective follow-up of 14 patients.
AB - INTRODUCTION: Retrograde transplantar intramedullary nailing (TIMN) is a recently
described option for ankle fracture in elderly patients with multiple comorbidity
contraindicating classical internal fixation. The main objective of the present
study was to assess mobility after TIMN for ankle fracture in the elderly. The
secondary objective was to assess complications. HYPOTHESIS: Retrograde TIMN
provides reliable fixation of ankle fracture in the elderly, enabling early
resumption of walking. MATERIAL AND METHODS: Fourteen patients, with a mean age
of 79.6years (range: 65-99years), with fracture of the ankle or tibial pilon
treated by retrograde TIMN, were prospectively included over a 1-year period
(2014-2016). Full weight-bearing with walking cast boot was authorized as of day
1. Mobility was assessed on Parker score in consultation at 6, 12, 24 and 48
weeks. RESULTS: Ten patients were followed up. Mean Parker score was 3.6 (range:
1-5) preoperatively, and 2.4 (range: 1-5) and 2.7 (range: 1-5) at 24 and 48
weeks, respectively: i.e., not significantly different from preoperative values
(p=0.057 and p=0.054, respectively). There were no decubitus-related
complications. Two patients (20%) showed other complications, including 1 deep
infection requiring material ablation. Consolidation was systematic, without
hindfoot malunion. DISCUSSION: Retrograde TIMN appeared to be a useful option for
ankle fracture in elderly patients for whom classical internal fixation was
contraindicated. It allowed immediate resumption of weight-bearing and early
rehabilitation, with no increased morbidity or mortality. LEVEL OF EVIDENCE: IV.
PMID- 29654936
TI - The CJOrtho app: A mobile clinical and educational tool for orthopedics.
AB - The need for modern patient evaluation tools continues to grow. A dependable and
reproducible assessment provides objective follow-up and increases the validity
of collected data. This is where mobile apps come into play, as they provide a
link between surgeons and patients. They also open the possibility of interacting
with other healthcare staff to exchange common scientific reference systems and
databases. The CJOrtho app provides fast access to 65 classification systems in
orthopedics or trauma surgery, 20 clinical outcome scores and a digital
goniometer. The development of free mobile apps is an opportunity for education
and better follow-up, while meeting the demands of patients.
PMID- 29654937
TI - Cognition, health-related quality of life, and mood in children and young adults
diagnosed with a glioneuronal tumor in childhood.
AB - AIMS: The aim of this study was to investigate long-term cognitive outcome,
health-related quality of life (HRQoL), and psychiatric symptoms in children and
young adults diagnosed with a glioneuronal tumor in childhood. METHODS: Twenty
eight children and adolescents (0-17.99years) with a minimum postoperative follow
up time of five years were eligible for the study; four persons declined
participation. A cross-sectional long-term follow-up evaluation was performed
using the following study measures: Wechsler Intelligence Scale for Children
(WISC-IV) or Wechsler Adult Intelligence Scale (WAIS-IV), Reys Complex Figure
Test (RCFT), Short Form 36 version 2 (SF-36v2), Short Form 10 (SF-10), Quality of
Life in Epilepsy 31 (QOLIE-31), Hospital Anxiety Depression Scale (HADS) or Beck
Youth Inventory Scales (BYI), and Rosenberg Self-Esteem Scale. Historical WISC
III and RCFT data were used to compare cognitive longitudinal data. RESULTS: Mean
follow-up time after surgery was 12.1years. Sixty-three percent (15/24) were
seizure-free. Despite a successive postoperative gain in cognitive function, a
significant reduction relative to norms was seen in the seizure-free group with
respect to perceptual reasoning index (PRI), working memory index (WMI), and full
scale intelligence quotient (FSIQ). Seizure freedom resulted in acceptable HRQoL.
Thirty-two percent and 16% exceeded the threshold level of possible anxiety and
depression, respectively, despite seizure freedom. CONCLUSION: Although lower
than in corresponding reference groups, cognitive outcome and HRQoL are good
provided that seizure freedom or at least a low seizure severity can be achieved.
There is a risk of elevated levels of psychiatric symptoms. Long-term clinical
follow-up is advisable.
PMID- 29654939
TI - Is training sufficient for ultrasound operators to diagnose deep infiltrating
endometriosis and bowel involvement by transvaginal ultrasound?
AB - OBJECTIVES: To assess and compare the diagnostic accuracy of transvaginal
ultrasonography (TVUS) by trained or untrained ultrasound operators in deep
infiltrating endometriosis (DIE) imaging, for diagnosing DIE and bowel
involvement. METHODS: This was an observational study of patients with clinically
suspected DIE operated in a reference center. TVUS was performed pre-operatively
by a trained or/and untrained ultrasound operator to search for DIE and rectal
involvement. During surgery, DIE was diagnosed according to macroscopic and
histological criteria. Sensitivity (Se), specificity (Sp) and c-index were
calculated with 95% confidence intervals for trained and untrained operators, if
TVUS results were significantly predictive of DIE and rectal involvement at
p<0.05. RESULTS: 115 patients were included: 100 (87%) had DIE and 34 (29.6%) had
bowel involvement. TVUS was performed by a trained ultrasound operator for 70
patients and by an untrained one for 56 patients. When performed by a trained
operator, TVUS significantly predicted DIE with a Se of 58% (95% CI, 46-70), a Sp
of 87.5% (95% CI, 63-100) and a c-index of 0.73 (95% CI, 0.59-0.87). TVUS
performed by an untrained operator was not significantly predictive of DIE
(p=0.58). Rectal involvement was significantly predicted by TVUS performed by a
trained operator with a Se of 40% (95% CI, 23-59), a Sp of 93% (95% CI, 86-100)
and a c-index of 0.67 (95% CI, 0.56-0.77). None of the untrained ultrasound
operators diagnosed a bowel involvement. CONCLUSION: TVUS is not sufficient to
diagnose DIE and bowel involvement, in particular when performed by untrained
ultrasound operators.
PMID- 29654938
TI - Single port laparoscopy (SPL): Retrospective study evaluating postoperative pain
in comparison with conventional laparoscopy (CL).
AB - OBJECTIVE: To compare postoperative pain after single port laparoscopy (SPL)
approach with conventional laparoscopy (CL) in case of adnexectomy. MATERIAL AND
METHODS: This is a retrospective monocentric study involving patients who
underwent adnexal surgery by SPL or CL for a suspected benign disease or as a
preventive measure. The main outcome measure was the level of postoperative pain.
RESULTS: A total of 87 patients were enrolled. Within 2h, the numerical scale
(NS) was 1.9 in SPL group and 2.0 in the CL group (P=0.85). The next day, the NS
was 1.8 in SPL group and 1.5 in CL group (P=0.55). The operating time was
significantly shorter in SPL group (33 versus 56min, 95% CI [-31; -15], P<0.001)
and no rupture of ovarian cysts occurred in this group. There was no significant
difference concerning complications, length of hospital stay, general
satisfaction and POSAS (Patient and Observer Scar Assessment Scale) score.
CONCLUSION: This study confirms the feasibility of single-port laparoscopic
adnexectomy. We have not shown significant difference in postoperative pain but
the operating time was significantly reduced under the guise of an experienced
surgeon.
PMID- 29654940
TI - A technique for safe aspiration of an adnexal cyst during laparoscopy.
PMID- 29654941
TI - Surgery using plasma energy for deep endometriosis: A quality of life assessment.
AB - OBJECTIVE: The principal objective of our study was to assess women's quality of
life (QoL) after surgery for Deep Endometriosis (DE), according to the surgical
technique used. MATERIAL AND METHODS: Qualitative single-center survey in the
department of obstetrics and gynecology, Angers University Hospital Center,
France. All women who underwent surgery for DE from January 2011 to December 2015
were contacted by phone. The Endometriosis Health Profile-5 score was used to
assess QoL before and after the surgery. Fifty-two women (response rate=86%) were
included and classified into 3 groups according to the surgical technique used:
simple shaving, shaving exclusively or in part by plasma vaporization (plasma),
and resection. RESULTS: The 3 groups were comparable for surgical history,
preoperative QoL score, and characteristics of endometriotic lesions (size and
site). All DE symptoms and QoL scores improved significantly after the surgery,
all techniques combined (P<0.01). QoL scores for women who had plasma shaving or
complete resection were significantly higher than those for women with simple
shaving (respectively, 375 [225-800] and 450 [-50 to 725] vs 275 [-100 to 600];
P=0.04). Self-image significantly improved only in the plasma group (P=0.03). The
complete resection group had longer hospitals stays than the other groups
(P=0.001), as well as a higher surgical revision rate (23% vs 0%; P=0.02).
CONCLUSION: Plasma and complete resection improved QoL similarly for women with
DE, both more than shaving alone. The advantage of plasma vaporization lies in
the lesser morbidity and better self-image, both better than in women with
resection.
PMID- 29654942
TI - Exploring fetal response to acidosis in ewes: Choosing an adequate experimental
model.
AB - INTRODUCTION: Knowledge of fetal physiology during labor has been largely
generated from animal models. Our team recently developed a new index to assess
parasympathetic activity using different experimental protocols to obtain
acidosis. The objective of the present study was to discuss the different
protocols and to review other models proposed in the literature. MATERIAL AND
METHODS: Pregnant ewes underwent a surgical procedure at the 123+/-2 days
gestational age (term=145 days). Three experimental protocols were used: protocol
A consisted of 25%, 50% and 75% umbilical cord occlusion (UCO) for 20min.
Protocol B consisted of partial 75% UCO until reaching a pH<7.10. Protocol C
consisted of brief, repetitive complete occlusion until severe acidosis occurred.
Hemodynamic and blood gas parameters were compared to those of the stability
period before UCO. RESULTS: Protocol A led to a progressive response depending on
the degree of occlusion (decrease in fetal heart rate, arterial hypertension and
pH). Protocol B led to severe acidosis, although the duration of UCO varied per
animal. Protocol C also progressively led to acidosis. We observed high inter
individual variability in the acidosis response. CONCLUSION: Pregnant ewes are a
relevant model for exploring fetal response to acidosis. The frequency of UCO and
partial or complete occlusion should be adapted to the expected effects.
Knowledge of these protocols is important to respect ethical guidelines and to
reduce the required number of animals. Moreover, it is important to consider the
high individual variability of the acidosis response in the interpretation of the
results.
PMID- 29654943
TI - Acyl donors for native chemical ligation.
AB - Native chemical ligation (NCL) has become one of the most important methods in
chemical syntheses of proteins. Recently, in order to expand its scope,
considerable effort has been devoted to tuning the C-terminal acyl donor
thioesters used in NCL. This article reviews the recent advances in the design of
C-terminal acyl donors, their precursors and surrogates, and highlights some
noteworthy progress that may lead the future direction of protein chemical
synthesis.
PMID- 29654944
TI - Perinatal alpha-tocopherol overload programs alterations in kidney development
and renal angiotensin II signaling pathways at birth and at juvenile age:
Mechanisms underlying the development of elevated blood pressure.
AB - alpha-Tocopherol (alpha-Toc) overload increases the risk of dying in humans (E.R.
Miller III et al. Meta-analysis: high-dosage vitamin E supplementation may
increase all-cause mortality Ann Int Med. 142 (2005) 37-46), and overload during
early development leads to elevation of blood pressure at adult life, but the
mechanism(s) remains unknown. We hypothesized that alpha-Toc overload during
organogenesis affects the renal renin angiotensin system (RAS) components and
renal Na+ handling, culminating with late elevated blood pressure. Pregnant
Wistar rats received alpha-Toc or the superoxide dismutase mimetic tempol
throughout pregnancy. We evaluated components of the intrarenal renin angiotensin
system in neonate and juvenile offspring: Ang II-positive cells, Ang II receptors
(AT1 and AT2), linked protein kinases, O2- production, NADPH oxidase abundance,
lipid peroxidation and activity of Na+-transporting ATPases. In juvenile
offspring we followed the evolution of arterial blood pressure. Neonates from
alpha-Toc and tempol mothers presented with accentuated retardment in tubular
development, pronounced decrease in glomerular Ang II-positive cells and AT1/AT2
ratio, intense production of O2- and upregulation of the alpha, epsilon and
lambda PKC isoforms. alpha-Toc decreased or augmented the abundance of renal
(Na++K+)ATPase depending on the age and alpha-Toc dose. In juvenile rats the
number of Ang II-positive cells returned to control values as well as PKCalpha,
but co-existing with marked upregulation in the activity of (Na++K+) and Na+
ATPase and elevated arterial pressure at 30 days. We conclude that the mechanisms
of these alterations rely on selective targeting of renal RAS components through
genic and pro-oxidant effects of the vitamin.
PMID- 29654946
TI - Diagnostic contribution of HLA-A,B,C,DR genotyping in inflammatory joint disease.
PMID- 29654945
TI - FOXO1 inhibition potentiates endothelial angiogenic functions in diabetes via
suppression of ROCK1/Drp1-mediated mitochondrial fission.
AB - Diabetes-induced endothelial cell (EC) dysfunction and neovascularization
impairment constitute vascular complications with limited treatment regimens.
Transcription factor FOXO1 is a key angiogenic regulator and plays a pathologic
role in progression of diabetes. The present study was designed to determine the
involvement of FOXO1 in impaired EC function and post-ischemic neovascularization
in diabetes and investigate underlying mechanisms. We found that FOXO1-selective
inhibitor AS1842856 improved blood flow recovery and capillary density in
ischemic hindlimb, and rescued the delay of wound closure with a concomitant
augmentation of mean perfusion rate in diabetic mice. In vitro, treatment with
AS1842856 or FOXO1 siRNA abrogated high glucose-induced apoptosis and ameliorated
capillary tube formation in human umbilical vein endothelial cells (HUVECs).
FOXO1 inhibition relieved alterations in mitochondrial networks and significantly
suppressed the overproduction of mitochondrial reactive oxygen species (mtROS)
induced by high glucose in ECs. Expression of dynamin-related protein-1 (Drp1)
and phosphorylation at Ser616, a protein required for mitochondrial fission, were
enhanced by hyperglycemia, which could be neutralized by FOXO1 inhibition.
Moreover, the transcription of Rho-associated coiled-coil containing protein
kinase 1 (ROCK1), which phosphorylates Drp1 at Ser616, was shown by luciferase
assay to be directly regulated by FOXO1. These findings suggested that FOXO1 is
critical to preserve mitochondrial quantity and function in ECs, and FOXO1 may
serve as a therapeutic target for microvascular complications of diabetes.
PMID- 29654947
TI - 2018 update of French recommendations on the management of postmenopausal
osteoporosis.
AB - OBJECTIVES: To update the 2012 recommendations on pharmacotherapy for
postmenopausal osteoporosis, under the aegis of the Bone Task Force of the French
Society for Rheumatology (SFR) and of the Osteoporosis Research and Information
Group (GRIO), in collaboration with scientific societies (College national des
generalistes enseignants, College national des gynecologues et obstetriciens
francais, Federation nationale des colleges de gynecologie medicale, Groupe
d'etude de la menopause et du vieillissement hormonal, Societe francaise de
chirurgie orthopedique, Societe francaise d'endocrinologie, and Societe francaise
de geriatrie et de gerontologie). METHODS: Updated recommendations were developed
by a task force whose members represented the medical specialties involved in the
management of postmenopausal osteoporosis. The update was based on a literature
review and developed using the method advocated by the French National Authority
for Health (HAS). DISCUSSION AND CONCLUSION: The updated recommendations place
strong emphasis on the treatment of women with severe fractures, in whom the use
of osteoporosis medications is recommended. All the available osteoporosis
medications are suitable in patients with severe fractures; zoledronic acid
deserves preference as the fist-line drug after a hip fracture. In patients with
or without non-severe fractures, the decision to use osteoporosis medications is
based on bone mineral density values and in challenging cases, on probabilities
supplied by prediction tools such as FRAX(r). All osteoporosis medications are
suitable; raloxifene should be reserved for patients at low risk for peripheral
fractures. The fracture risk should be reevaluated every 2 to 3 years to decide
on the best follow-up treatment. These updated recommendations discuss the
selection of first-line osteoporosis medications and treatment sequences.
PMID- 29654948
TI - Gut microbiome and bone.
AB - The gut microbiome is now viewed as a tissue that interacts bidirectionally with
the gastrointestinal, immune, endocrine and nervous systems, affecting the
cellular responses in numerous organs. Evidence is accumulating of gut microbiome
involvement in a growing number of pathophysiological processes, many of which
are linked to inflammatory responses. More specifically, data acquired over the
last decade point to effects of the gut microbiome on bone mass regulation and on
the development of bone diseases (such as osteoporosis) and of inflammatory joint
diseases characterized by bone loss. Mice lacking a gut microbiome have bone mass
alteration that can be reversed by gut recolonization. Changes in the gut
microbiome composition have been reported in mice with estrogen-deficiency
osteoporosis and have also been found in a few studies in humans. Probiotic
therapy decreases bone loss in estrogen-deficient animals. The effect of the gut
microbiome on bone tissue involves complex mechanisms including modulation of
CD4+T cell activation, control of osteoclastogenic cytokine production and
modifications in hormone levels. This complexity may contribute to explain the
discrepancies observed betwwen some studies whose results vary depending on the
age, gender, genetic background and treatment duration. Further elucidation of
the mechanisms involved is needed. However, the available data hold promise that
gut microbiome manipulation may prove of interest in the management of bone
diseases.
PMID- 29654949
TI - Relevance and feasibility of a systematic screening of multimorbidities in
patients with chronic inflammatory rheumatic diseases.
AB - OBJECTIVES: EULAR recently proposed to screen multimorbidities in chronic
inflammatory rheumatic diseases. The aims of the study were to define the most
common multimorbidities in chronic inflammatory rheumatic diseases, compare the
screening approach performed in the clinic with the recent EULAR recommendations,
validate the points to consider for the systematic standardized multimorbidity
screening proposed by EULAR and assess feasibility of such a screening in a daily
clinic. METHODS: Data were collected prospectively during a 1-day multimorbidity
clinic. Diabetes, hypertension, CVD damage, chronic respiratory diseases,
osteoporosis and preventive measures were assessed. The comparison with EULAR
points to consider was performed retrospectively. RESULTS: We included 200
consecutive patients (157 with rheumatoid arthritis, 37 spondyloarthritis, and 6
connective tissue diseases or vasculitis). The most common multimorbidities
already diagnosed in our patients were hypertension (26%) and diabetes (7.5%).
Screening showed that 61.5% (CI95%: 54.6%-67.9%) patients presented at least one
undiagnosed or uncontrolled diseases: diabetes (6%), hypertension (20.6%),
dyslipidemia (16.1%) valvulopathies (16.8%), peripheral artery disease (4.5%);
carotid stenosis (6.5%) and aortic aneurysm (5.5%). Overall, 39.9% patients had
incomplete cancer screening and 52.8% incomplete vaccine schedule. Undiagnosed
pulmonary obstruction and risk of sleep apnea were suspected in 15.5% and 40.1%
patients, respectively. CONCLUSION: This study underlines the relevance of a
systematic screening of multimorbidities in chronic inflammatory rheumatic
diseases and its feasibility in a 1-day clinic. Spirometry and sleep apnea
screening should be added to EULAR points to consider. The long-term impact of
such screening needs to be evaluated.
PMID- 29654950
TI - Effectiveness and safety of anakinra in gout patients with stage 4-5 chronic
kidney disease or kidney transplantation: A multicentre, retrospective study.
AB - OBJECTIVES: Interleukin (IL)-1beta blocking is effective for the treatment of
gout flares and is recommended in patients with contraindications to the standard
of care, such as stage 4-5 chronic kidney disease (CKD) patients. However,
efficacy and safety data regarding these agents are lacking in this population.
We aimed to investigate the efficacy and safety of anakinra for the treatment of
gout flares in patients with stage 4-5 CKD or renal transplantation. METHODS:
This retrospective study encompassing 3 academic centres included consecutive
patients with stage 4-5 CKD or kidney transplantation who received anakinra for
the treatment of acute gouty arthritis and completed at least one follow-up
visit. Efficacy, occurrence of infection, and renal function variations were
recorded. RESULTS: Of the 31 included patients (24 men, mean age 72+/-11 years),
25 were non-transplant subjects with stage 4-5 CKD (mean estimated glomerular
filtration rate, MDRD formula (eGFR) 22.7+/-6.5mL/min/1.73m2), and six had
undergone kidney transplantation (mean eGFR 41.5+/-22.8mL/min/1.73m2). Median
gout duration was 3.5 years, and the mean serum urate (SUA) level was 8.7mg/dL.
Twenty-one (68%) patients had tophi, and 21 had gout arthropathy. Anakinra was
efficacious in all patients (final VAS 10 and CRP level 10mg/L). Ten patients
(32%) were anakinra dependent (i.e., required prolonged treatment with anakinra).
A serious infection was recorded in only one patient, occurring 3 months after
starting anakinra. No significant variation in renal function was observed.
CONCLUSION: Anakinra may be a safe therapeutic option for gout patients with
advanced CKD. Further randomized controlled studies are required to confirm our
results.
PMID- 29654951
TI - Residential risk factors for childhood pneumonia: A cross-sectional study in
eight cities of China.
AB - BACKGROUND: Children's pneumonia is a heavy health burden. Few studies have been
carried out on residential risk factors for pneumonia in children. Potential
risks associated with dwelling characteristics are still unknown. METHODS: A
cross-sectional study was conducted among children in 8 cities in China during
2010-2011 and 41,176 valid data on children aged 3-8 years old were used in this
analysis. To obtain the lifetime-ever incidence of pneumonia in children and
identify associations between pneumonia and residential risk factors, chi-square
analysis and logistic regression methods were employed. Adjusted odds ratios were
used as measures of effect with a 95% confidence interval. Confounding variables
in the regression model include children's gender, birthweight, breastfeeding
duration, parental smoking and family history of atopy. RESULTS: The average
lifetime-ever incidence of childhood pneumonia was 32.3%. Urban children (33.6%)
had more pneumonia than suburban (29.9%) and rural children (24.9%). More
residential risk factors were found in urban-dwellings. Boys, low birthweight
(<2500 g), breastfeeding duration <6 months, family allergic history, and
exposure to parental smoking were found to be associated with higher pneumonia
lifetime-ever incidences. Various indicators of dampness, including visible mold
spots, damp stains, water damage, water condensation, damp clothing or bedding
and mold odor, were also positively associated with pneumonia. Pneumonia
incidence increased as the number of dampness indicators increased. Both natural
gas and solid cooking fuels were positively associated with pneumonia compared
with electricity. Compared with cement, construction materials including
synthetic fiber, laminated wood, real wood, paint, emulsion paint and wall paper
were positively associated with pneumonia. Daily living habits such as putting
bedding to sunshine frequently and cleaning the child's bedroom every day could
be effective preventive strategies. A dose-response relationship between the
number of residential risk factors and pneumonia was observed when the risk
factors number ranged from 7 to 11. Residences with more risk factors had higher
lifetime-ever pneumonia odds ratios. CONCLUSIONS: Indoor environmental factors
including dampness, use of solid fuels or natural gas for cooking and use of new
construction materials are risk factors for childhood pneumonia. This study gives
evidence for the importance of home environment exposures in the occurrence of
childhood pneumonia. Actions against the residential risk factors described in
this study may help to prevent pneumonia in children.
PMID- 29654952
TI - The physical and cellular conditions of the human pulmonary circulation enable
thrombopoiesis.
AB - Animal evidence that platelet production occurs in the lungs is growing. We have
investigated whether there is evidence to support pulmonary platelet production
from studies using human conditions. We documented the presence of megakaryocytes
(MKs) in the human pulmonary circulation and analyzed the role of the vascular
microenvironment on MK function. Our results suggest that the endothelial
microenvironment favors platelet formation and that von Willebrand factor
combined with appropriate physical forces in flowing blood are determinant for
platelet release. We also demonstrate that MKs have the potential to change
ploidy as they circulate. These findings demonstrate a new pathophysiological
environment affecting platelet production and provide new targets for therapeutic
intervention.
PMID- 29654953
TI - Effect of Subthalamic Deep Brain Stimulation on Upper Limb Dexterity in Patients
with Parkinson Disease.
AB - OBJECTIVE: The efficacy of deep brain stimulation (DBS) of the subthalamic
nucleus (STN) on dexterity remains controversial despite its recognition as an
effective strategy for Parkinson disease. The present study investigated the
efficacy of STN-DBS for ameliorating bradykinesia and dexterity compared with
dopaminergic medications. METHODS: Part III of the Unified Parkinson's Disease
Rating Scale was used for the evaluation of bradykinesia, whereas the Purdue
Pegboard Test and the Box and Block test were selected for dexterity. RESULTS:
Our findings indicate that bradykinesia is significantly improved with both DBS
and dopaminergic medication, whereas dexterity is improved only with DBS.
Dopaminergic medication did not show a satisfactory efficacy on dexterity, and
there was little synergistic effect of dopaminergic medication and STN-DBS for
improving dexterity associated with Parkinson disease. CONCLUSIONS: Our results
suggest that DBS is potentially more effective than dopaminergic medications for
improving dexterity. The disparities in efficacy for bradykinesia and dexterity
between DBS and dopaminergic medication hint at the potential mechanisms of STN
DBS. We speculate that DBS follows at least 2 different mechanisms for improving
parkinsonian symptoms: 1) the dopaminergic system, primarily for the improvement
of bradykinesia and 2) the nondopaminergic system, for the improvement of
dexterity. This hypothesis requires further verification and investigation.
PMID- 29654954
TI - A New Minimally Invasive Technique for Primary Unstable C2 Spondylolysis in an 8
Year-Old Child: A Case Report and Review of the Literature.
AB - BACKGROUND: Posterior arch defect of C2 with an unstable spondylolysis is a rare
condition mostly occurring within children. Its management is still
controversial. CASE DESCRIPTION: We report in this article the first minimally
invasive surgery (MIS) technique for this condition in an 8-year-old boy. A
primary unstable C2 spondylolysis was diagnosed on the exploration of episodic
paresthesia of upper limbs associated with headache lasting for several months.
The surgical technique consisted in a direct pars repair through an MIS approach:
METRx tubular retractor system (Medtronic Sofamor Danek, Memphis, Tennessee,
USA), intraoperative C-arm fluoroscopy, surgical microscope, endoscopic spine
instrument, and high-speed drill were required. Muscles were split and retracted.
One-year postoperative course was excellent. CONCLUSIONS: After reviewing the
past literature of this pathology, we discuss the minimally invasive posterior
approach of the upper cervical spine. It is the first case, to our knowledge,
describing direct minimally invasive repair for bilateral primary C2 pars defect
in a child. Image guidance and surgical microscope help safe and effective screw
placement.
PMID- 29654955
TI - Histologic Features and Prognosis of Spinal Intradural Extramedullary Ewing
Sarcoma: Case Report, Literature Review, and Analysis of Prognosis.
AB - BACKGROUND: Ewing sarcoma is a malignant neoplasm that primarily involves
extremity long bones, the pelvis, and soft tissues of children. The intradural
extramedullary space is an uncommon location for Ewing sarcoma, and there is
therefore a paucity of information regarding the features and natural history of
this particular presentation. There is controversy regarding preoperative
diagnosis, surgical management, and postoperative care, and there are no accepted
standards. METHODS: Herein we present a 61-year-old man with an L1-3 intradural
extramedullary Ewing sarcoma who underwent gross total resection of the tumor via
laminectomy. Pathologic analysis revealed a diagnosis of Ewing sarcoma based on
the characteristic immunohistochemistry and the presence of EWSR1-FLI1 fusion
transcript by reverse-transcription polymerase chain reaction. RESULTS: There
have been 28 cases of intradural extramedullary Ewing sarcoma reported in the
literature. Out of 29 cases including the current case, 18 cases (62.1%) were
men. The median and mean ages were 32 and 34.0 years, respectively, with a peak
age group of 10-20 years. Twenty-two of 29 (72.4%) cases involved the lumbar
spine, followed by the cervical spine (n = 7, 24.1%). Long-term prognosis was
poor, with 1-, 2-, 3-, and 5-year progression-free survival rate of 75.0%, 56.3%,
37.5%, and 18.8%, respectively. The 1-, 2-, 3-, and 5-year overall survival rate
was 89.5%, 80.5%, 80.5%, and 43.0%, respectively. CONCLUSIONS: Herein, we present
imaging and pathologic findings of the case with review of the literature.
PMID- 29654957
TI - Cerebral Microbleeds Could Be Independently Associated with Intracranial Aneurysm
Rupture: A Cross-Sectional Population-Based Study.
AB - OBJECTIVE: To determine whether the presence of cerebral microbleeds (CMBs) is
independently associated with intracranial aneurysm rupture and to identify the
time interval of CMB-related intracranial aneurysm rupture. METHODS: This cross
sectional study included 1847 patients with unruptured and ruptured intracranial
aneurysms from January 2010 to November 2017. Clinical records and imaging,
including T2-weighted gradient-recalled echo sequence magnetic resonance imaging
that identified the presence of CMBs preoperatively, were evaluated. Univariate
analysis and multivariate logistic regression were done to determine which
parameters were independent factors for aneurysm rupture. The time interval of
CMB-related intracranial aneurysm rupture was also evaluated. RESULTS: CMBs
confirmed by magnetic resonance imaging were present in 142 patients (142/1847;
7.7%). Of 142 patients with CMBs, 56 patients (including 17 ruptured aneurysms)
who received endovascular treatment and another 86 consecutive patients who did
not receive embolization or surgery for various reasons were followed for 3-49
months. The incidence of CMB-related intracranial aneurysm rupture was 27.9%
(24/86) during the follow-up period. The time interval of CMB-related
intracranial aneurysm rupture was 3-27 months (median 9.5 months). Multivariate
analyses showed CMBs were significantly correlated with intracranial aneurysm
rupture (odds ratio = 1.6; 95% confidence interval, 1.1-2.4; P = 0.010).
CONCLUSIONS: CMBs were independently associated with intracranial aneurysm
rupture. Patients with CMBs have a 60% increased risk of aneurysm rupture
compared with patients without CMBs.
PMID- 29654956
TI - Comparison of Zero-profile Device Versus Plate-and-Cage Implant in the Treatment
of Symptomatic Adjacent Segment Disease after Anterior Cervical Discectomy and
Fusion: A Minimum 2-Year Follow-Up Study.
AB - OBJECTIVE: The purpose of this study was to compare the clinical efficacy of
anterior cervical discectomy and fusion (ACDF) with Zero-profile device (Zero-p)
and traditional cervical plate-and-cage implant in the treatment of symptomatic
adjacent segment disease (ASD) and to determine the optimal reoperation
procedure. METHODS: This was a retrospective study of 58 patients with
symptomatic ASD after an initial ACDF surgery and who had undergone a reoperation
with ACDF with Zero-p (n = 27) and cervical plate-and-cage (n = 31) at our
medical center between January 2010 and December 2015. RESULTS: The Japanese
Orthopaedic Association score, Neck Disability Index score, Visual Analog Scale
score, C2-C7 Cobb angle, and disc height index demonstrated significant
improvements compared with the preoperative in both Zero-p and plate-and-cage
groups (P < 0.05). However, there were no differences between the two groups (P >
0.05). The reoperation time for the Zero-p group (83.4 +/- 18.9 min) was less
than that for the plate-and-cage group (96.5 +/- 20.1 min), with significant
difference (P < 0.05). Five patients (8.6%) had cage subsidence, and 14 patients
(24.1%) had dysphagia after the reoperation. There was no statistical
significance in the difference between the 2 groups in cage subsidence (P >
0.05). However, the incidence of dysphagia in the plate-and-cage group (38.7%)
was higher than in the Zero-p group (7.4%), with a significant difference (P <
0.05). CONCLUSIONS: ACDF with Zero-p obtaining the same surgical efficacy,
compared with traditional cervical plate-and-cage, can significantly shorten the
reoperation time and reduce the incidence of postoperative dysphagia. This option
may be preferable for symptomatic patients with ASD qualifying for the anterior
approach, in terms of biomechanics and surgical outcomes.
PMID- 29654958
TI - The Survival Effect of Repeat Surgery at Glioblastoma Recurrence and its Trend: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Glioblastoma (GBM) is a dismal disease managed in the first instance
by surgical resection, temozolomide, and radiation. The role of repeat surgery at
recurrence remains ill defined. This study aims to quantify the effect of repeat
surgery in recurrent GBM on overall survival and determine if a trend in reported
effect over time exists. METHODS: Searches of 7 electronic databases from
inception to January 2018 were conducted following PRISMA (Preferred Reporting
Items for Systematic reviews and Meta-Analyses) guidelines. There were 2692
articles identified for screening. Prognostic hazard ratios (HRs) derived from
multivariate regression analysis were extracted and analyzed using meta-analysis
of proportions and linear regression. RESULTS: Eight observational studies
reporting prognostic HRs in 10 cohorts were included. They described 1906
recurrent GBM diagnoses, managed by surgery at primary diagnosis, with 709 (37%)
undergoing further repeat surgery at recurrence. Repeat surgery was shown to
confer a statistically significant survival advantage compared with no surgery at
recurrence in the pooled cohort (HR, 0.722; P < 0.001). Newer studies trended
toward a more superior prognostic advantage of repeat surgery compared with
earlier studies (effect coefficient, 0.856; P = 0.012). CONCLUSIONS: This meta
analysis of contemporary literature suggests that repeat surgery at GBM
recurrence in select patients confers a significant, prognostic overall survival
advantage independent of other prognostic factors. Furthermore, newer studies are
significantly more likely to suggest greater benefit than are older studies. The
main limitation is the selection bias inherent in the cohorts pooled for
analysis. Larger prospective randomized controlled studies are needed to validate
the findings of this study and provide stratification for such benefit justified
by quality of life metrics.
PMID- 29654959
TI - A Small Glossopharyngeal Schwannoma Presenting with Intractable Vomiting: Case
Presentation and Literature Review.
AB - BACKGROUND: Glossopharyngeal schwannomas are rare tumors. Clinical and radiologic
presentation closely resembles those of vestibular schwannomas. Their clinical
presentation varies from vestibulocochlear dysfunction to glossopharyngeal and
vagal nerve dysfunction. CASE DESCRIPTION: We report a case of a small
glossopharyngeal schwannoma presenting with intractable recurrent sudden episodes
of vomiting that subsided after tumor resection. To our knowledge, this is the
only case in the literature of glossopharyngeal schwannoma presenting with nerve
irritation in the form of intractable emesis. CONCLUSIONS: Small glossopharyngeal
schwannomas can present with irritative symptoms of the ninth cranial nerve
causing vomiting refractory to medical treatment. Intracranial imaging should be
considered in the investigation of unexplained intractable vomiting even if the
gross neurologic examination is normal.
PMID- 29654960
TI - Challenges of Managing Patients with Symptomatic Large Traumatic Cervical
Pseudomeningoceles.
AB - BACKGROUND: Traumatic cervical pseudomeningoceles (TCPs) occur secondary to
traction of the cervical nerve roots resulting in violation of the dura. Surgical
repair is not necessary in most cases because pseudomeningoceles have a high
propensity to spontaneously resolve with conservative management alone.
Currently, there are a limited number of cases of large TCPs (large is defined as
>=6 cm in greatest diameter), and there is no established guideline for the
management of such lesions. CASE DESCRIPTION: We describe the cases of 2 young
men in their 20s who were involved in a motor vehicle accident. Both patients
suffered a brachial plexus injury and developed large TCPs. Patient 1 was treated
surgically for TCP using a combined intra-/extradural approach using a fascia
lata graft. Patient 2 was ultimately treated nonsurgically because a spontaneous
resolution of the pseudomeningocele was achieved over the period of 7 months
after the accident. Both patients underwent brachial plexus repair surgery
consisting of spinal accessory nerve transfer to the suprascapular nerve and
intercostal nerve transfer to the musculocutaneous nerve. CONCLUSIONS: Disease
progression of TCPs is a dynamic process, and even large lesions may
spontaneously resolve without surgical intervention. When surgery is indicated, a
definitive dural repair using a fascia lata graft to cover the dural tear intra-
and extradurally is an effective method. Surgery must be planned carefully on a
case-by-case basis, and close follow-up with thorough physical examination and
serial imaging is critical to monitor disease progression.
PMID- 29654961
TI - Airborne non-contact and contact broadband ultrasounds for frequency attenuation
profile estimation of cementitious materials.
AB - In this paper, the study of frequency-dependent ultrasonic attenuation in
strongly heterogeneous cementitious materials is addressed. To accurately
determine the attenuation over a wide frequency range, it is necessary to have
suitable excitation techniques. We have analysed two kinds of ultrasound
techniques: contact ultrasound and airborne non-contact ultrasound. The
mathematical formulation for frequency-dependent attenuation has been established
and it has been revealed that each technique may achieve similar results but
requires specific different calibration processes. In particular, the airborne
non-contact technique suffers high attenuation due to energy losses at the air
material interfaces. Thus, its bandwidth is limited to low frequencies but it
does not require physical contact between transducer and specimen. In contrast,
the classical contact technique can manage higher frequencies but the measurement
depends on the pressure between the transducer and the specimen. Cement specimens
have been tested with both techniques and frequency attenuation dependence has
been estimated. Similar results were achieved at overlapping bandwidth and it has
been demonstrated that the airborne non-contact ultrasound technique could be a
viable alternative to the classical contact technique.
PMID- 29654962
TI - Proposed Chaoyang vascular classification for superior mesenteric-portal vein
invasion, resection, and reconstruction in patients with pancreatic head cancer
during pancreaticoduodenectomy - A retrospective cohort study.
AB - BACKGROUND: Patients with pancreatic head cancer involving the superior
mesenteric-portal vein can benefit from vascular resection and reconstruction. We
aimed to propose our vascular classification and assess its effect in this study.
MATERIAL AND METHODS: Data of consecutive patients, who were diagnosed with
pancreatic head cancer, and underwent radical pancreaticoduodenectomy combined
with superior mesenteric-portal vein resection and reconstruction at our
institute between October 2013 and August 2016, were retrospectively collected.
On a scale of one to four, our classification was proposed. Perioperative
parameters were then analyzed among the four types. RESULTS: There were a total
of 52 patients with 11 in type I, 15 in type II, 18 in type III, 8 in type IV.
The respective operative time and estimated blood loss of types III (425-990 min,
265-1820 mL) and IV (480-1036 min, 330-1690 mL) were greater than those of types
I (300-824 min, 200-1255 mL) and II (369-875 min, 305-1400 mL) (p < 0.05). Type
IV had larger tumors (4-7 cm) than type I (1.5-4 cm) (p < 0.05). Percentage of
tunica intima involvement and the median survival time of type I (9.1%, 22
months) were lower and longer than those of types II (46.7%, 17 months) and III
(44.4%, 16 months; p < 0.05), and even lower and longer than those of type IV
(87.5%, 10 months; p < 0.01), respectively. CONCLUSIONS: Our classification can
provide a system to grade patients with venous invasion in order of surgical
difficulty and survival.
PMID- 29654963
TI - The pretreatment thrombocytosis as one of prognostic factors for gastric cancer:
A systematic review and meta-analysis.
AB - BACKGROUND & AIMS: At present, increasing reports have shown that pretreatment
platelet count was associated with the prognosis of many types of cancer. We
performed rounded analysis to comprehensively analyze and evaluate the prognostic
significance of pretreatment thrombocytosis for patients with gastric cancer.
METHODS: We identified relevant studies by searching database including PubMed,
Embase, Cochrane Library and Web of Science. The relative risk (RR) with its 95%
confidence interval (CI) was used to assess the correlation between
thrombocytosis and overall survival (OS) of gastric cancer patients. We also
conducted subgroup analysis and sensitivity analysis for the prognostic effect of
thrombocytosis on OS. The analysis was performed and assessed using Review
Manager 5.2. RESULTS: A total of nine studies including 7158 participants were
included in this systematic review. Analysis results showed that pretreatment
thrombocytosis had a close relationship with 1, 3 and 5 years survival of gastric
cancer, with the pooled RRs being 0.80 (95% CI 0.71-0.90; P = 0.0004), 0.65 (95%
CI 0.45-0.92; P = 0.02) and 0.64 (95% CI 0.47-0.87; P = 0.004) respectively.
CONCLUSIONS: The present rounded analysis suggests that pretreatment
thrombocytosis may have significant association with poor survival of patients
with gastric cancer.
PMID- 29654964
TI - Adjuvant systemic therapy and postoperative outcomes after resection of node
positive gallbladder cancer.
PMID- 29654965
TI - Endothelial nitric oxide synthase gene polymorphisms and risk of erectile
dysfunction: An updated meta-analysis of genetic association studies.
AB - PURPOSE: Endothelial nitric oxide synthase (eNOS) polymorphisms have been
implicated as risk factors for erectile dysfunction (ED), but the results of
genetic association studies are inconclusive. We performed a meta-analysis of
published studies investigating the association between ED and three eNOS
polymorphisms, intron 4 VNTR, G894T and T786C in humans. METHODS: The PubMed, Web
of Science, CNKI and Google Scholar databases were searched for relevant studies
published up to November 2017. Association studies with case-control design were
included. For each study with genotype information we calculated odds ratios (OR)
and 95% confidence intervals (CI). RESULTS: The search identified 13 eligible
studies. The G894T and T786C polymorphisms showed a significant association with
ED risk in Caucasians (GT + TT versus GG for G894T: OR = 2.13, 95% CI = 1.08
4.19; CC versus CT + TT for T786C: OR = 3.29, 95% CI = 2.30-4.72) and Asians (GT
+ TT versus GG for G894T: OR = 2.08, 95% CI = 1.53-2.84; CC + CT versus TT for
T786C: OR = 3.13, 95% CI = 1.35-7.25). In addition, the intron 4 VNTR
polymorphism was associated with ED risk only among Caucasian subjects (aa versus
bb + ab: OR = 2.38, 95% CI = 1.15-4.93). We found no evidence of publication
bias. The robustness of overall analyses was ensured in sensitivity analyses
excluding studies deviating from Hardy-Weinberg equilibrium. CONCLUSION: Our
findings suggest that common genetic polymorphisms in the eNOS gene contribute to
risk of ED, presumably by effects on eNOS activity and NO availability.
PMID- 29654966
TI - Reply letter to: Letter to the editor on the article " Adjuvant systemic therapy
and postoperative outcomes after resection of node positive gallbladder cancer".
PMID- 29654967
TI - Conversion surgery for gastric cancer: A cohort study from a western center.
AB - BACKGROUND: Advanced unresectable gastric cancer has a dismal prognosis. The aim
of this study was to evaluate the short- and long-term outcomes of patients who
underwent induction chemotherapy +/- gastrectomy for advanced gastric cancer.
MATERIAL AND METHODS: All patients referred to our center with a clinical
diagnosis of unresectable locally advanced or stage IV gastric adenocarcinoma
between April 2005 and August 2016 were included in the study. Cox regression was
performed to find independent prognostic factor among the considered variable.
RESULTS: The cohort included 73 patients: 16 had best supportive care, 35
chemotherapy alone and 22 chemotherapy plus radical surgery. Thirty-three
patients underwent surgery after chemotherapy. Twenty-two patients had R0
surgery, while the remaining 11 had only an exploratory procedure. Nine patients
(40.9%) underwent gastrectomy plus hyperthermic intraperitoneal chemotherapy.
Three patients out of 22 developed postoperative complications with a Clavien
Dindo grade above 2. Median survival was 50 months for patients who had
chemotherapy plus surgery while it was 14 and 3 for those who had chemotherapy
alone and best supportive care, respectively (p < 0.0001). Cox regression
analysis performed on the whole cohort identified only radical conversion surgery
as an independent factor positively associated with survival (HR 0.12, 95% CI
0.05-0.29, p < 0.0001). CONCLUSION: Conversion gastrectomy, when R0 could be
achieved, is associated with long survivals and it is the most important
prognostic factor in patients with advanced gastric cancer. Further studies are
needed to define the ideal patient who can really benefit from this treatment.
PMID- 29654968
TI - Mini-incision versus hand-assisted laparoscopic donor nephrectomy in living-donor
kidney transplantation: A retrospective cohort study.
AB - BACKGROUND: Increasing use of Living Donor Kidney Transplantation (LDKT) would
decrease the discrepancy between patients awaiting transplantation and organ
availability. Minimally invasive surgical approaches attempt to improve outcomes
and foster living donation. This report compares outcomes of open minimal
incision nephrectomy (Mini N) and a hand assisted laparoscopic nephrectomy
(HALN). METHODS: This is a retrospective analysis of a prospectively maintained
clinical database of LDKT using HALN or Mini N at a single institution between
July 2007 and December 2015. Donor and recipient demographics, relevant pre-,
intra- and post-operative factors, outcomes such as patient and graft survival
rates, and complications were evaluated. RESULTS: Four hundred and fifty-four
adult LDKT (243 Mini N, 211 HALN) were performed during the study period.
Recipient and donor demographics were comparable except for higher BMI (p =
0.027) in HALN donors. One-, 3- and 5-year patient and graft survival rates were
comparable. Six HALN donors experienced infectious wound complications or
superficial skin dehiscence; none did in the Mini N group (p = 0.009). Eight HALN
donors and one Mini N donor required an incisional hernia repair (p = 0.014).
Recipients had similar warm ischemia times (33 v. 35 min, p = 0.491), but
recipient surgeons of HALN nephrectomies subjectively noted higher anastomotic
difficulty (10.4% v. 4.5%, p = 0.0183). Other parameters were similar between
groups. CONCLUSION: Both Mini N and HALN provide similar long term recipient and
donor outcomes. Offering techniques such as Mini N and HALN for living donor
kidney procurement facilitates the opportunity to provide living donors safer and
better tolerated nephrectomy procedures.
PMID- 29654969
TI - Assessing associations of anaemia with morbidity and mortality following liver
transplantation.
PMID- 29654970
TI - Efficient co-conversion process of chicken manure into protein feed and organic
fertilizer by Hermetia illucens L. (Diptera: Stratiomyidae) larvae and functional
bacteria.
AB - A chicken manure management process was carried out through co-conversion of
Hermetia illucens L. larvae (BSFL) with functional bacteria for producing larvae
as feed stuff and organic fertilizer. Thirteen days co-conversion of 1000 kg of
chicken manure inoculated with one million 6-day-old BSFL and 109 CFU Bacillus
subtilis BSF-CL produced aging larvae, followed by eleven days of aerobic
fermentation inoculated with the decomposing agent to maturity. 93.2 kg of fresh
larvae were harvested from the B. subtilis BSF-CL-inoculated group, while the
control group only harvested 80.4 kg of fresh larvae. Chicken manure reduction
rate of the B. subtilis BSF-CL-inoculated group was 40.5%, while chicken manure
reduction rate of the control group was 35.8%. The weight of BSFL increased by
15.9%, BSFL conversion rate increased by 12.7%, and chicken manure reduction rate
increased by 13.4% compared to the control (no B. subtilis BSF-CL). The residue
inoculated with decomposing agent had higher maturity (germination index >92%),
compared with the no decomposing agent group (germination index ~86%). The
activity patterns of different enzymes further indicated that its production was
more mature and stable than that of the no decomposing agent group. Physical and
chemical production parameters showed that the residue inoculated with the
decomposing agent was more suitable for organic fertilizer than the no
decomposing agent group. Both, the co-conversion of chicken manure by BSFL with
its synergistic bacteria and the aerobic fermentation with the decomposing agent
required only 24 days. The results demonstrate that co-conversion process could
shorten the processing time of chicken manure compared to traditional compost
process. Gut bacteria could enhance manure conversion and manure reduction. We
established efficient manure co-conversion process by black soldier fly and
bacteria and harvest high value-added larvae mass and biofertilizer.
PMID- 29654972
TI - Mammal responses to the human footprint vary across species and stressors.
AB - A rapidly expanding human footprint - comprised of anthropogenic land-use change
and infrastructure - is profoundly affecting wildlife distributions worldwide.
Cumulative effects management (CEM) is a regional approach that seeks to manage
combined effects of the human footprint on biodiversity across large spatial
scales. Challenges to implementing this approach include a lack of ecological
data at large spatial scales, the high cost of monitoring multiple indicators,
and the need to manage multiple footprints across industries. To inform
development of effective CEM, we used large mammals as indicators to address the
following questions: a) do species respond more strongly to individual footprint
features or to cumulative effects (combined area of all footprint types, measured
as total footprint), b) which features elicit the strongest responses across
species, and c) are the direction of responses to footprint consistent? We used
data from 12 years of snowtrack surveys (2001-2013) in the boreal forest of
Alberta, coupled with regional footprint and landcover data, to develop
generalized linear mixed-effects models relating the relative abundance of five
boreal mammals [gray wolf (Canis lupus), Canada lynx (Lynx canadensis), coyote
(Canis latrans), white-tailed deer (Odocoileus virginianus) and moose (Alces
alces)] to individual and cumulative effects of the human footprint. We found
that across species the strongest responses were to agriculture, roads, and young
cutblocks (<10 years), suggesting these as potential priority stressors to
address within CEM. Most species also responded to total footprint, indicating
that in the absence of detailed information on individual features, this coarse
measure can serve as an index of cumulative effects. There was high variability
in direction and magnitude of responses across species, indicating that community
level responses are likely and should be considered within CEM planning.
PMID- 29654971
TI - Agricultural implications of providing soil-based constraints on urban expansion:
Land use forecasts to 2050.
AB - Urbanization onto adjacent farmlands directly reduces the agricultural area
available to meet the resource needs of a growing society. Soil conservation is a
common objective in urban planning, but little focus has been placed on targeting
soil value as a metric for conservation. This study assigns commodity and water
storage values to the agricultural soils across all of the watersheds in
Michigan's Lower Peninsula to evaluate how cities might respond to a soil
conservation-based urbanization strategy. Land Transformation Model (LTM)
simulations representing both traditional and soil conservation-based
urbanization, are used to forecast urban area growth from 2010 to 2050 at five
year intervals. The expansion of urban areas onto adjacent farmland is then
evaluated to quantify the conservation effects of soil-based development. Results
indicate that a soil-based protection strategy significantly conserves total
farmland, especially more fertile soils within each soil type. In terms of
revenue, ~$88 million (in current dollars) would be conserved in 2050 using soil
based constraints, with the projected savings from 2011 to 2050 totaling more
than $1.5 billion. Soil-based urbanization also increased urban density for each
major metropolitan area. For example, there were 94,640 more acres directly
adjacent to urban land by 2050 under traditional development compared to the soil
based urbanization strategy, indicating that urban sprawl was more tightly
contained when including soil value as a metric to guide development. This study
indicates that implementing a soil-based urbanization strategy would better
satisfy future agricultural resource needs than traditional urban planning.
PMID- 29654973
TI - A green and sustainable approach on statistical optimization of laccase mediated
delignification of sugarcane tops for enhanced saccharification.
AB - Bioethanol production from lignocellulosic biomass is a promising approach
towards finding an alternative for transportation fuels that is driven by the
prerequisite to lessen our dependency on fossil fuels, increase energy security
and mitigate greenhouse gas emission. Recalcitrance of lignocellulosic biomass is
a major hindrance in bioethanol production. Hence, an efficient pretreatment
method is necessary for degradation of lignin and providing accessibility of
holocellulose for hydrolysis. In an attempt to overcome this bottleneck, laccase
mediated delignification of sugarcane tops was studied using central composite
design (CCD) based on response surface methodology (RSM). The effect of different
process parameters such as temperature, pH, solid loading, enzyme titre and
incubation time were evaluated. It was observed that under optimum conditions of
pH 7, solid loading of 21% (w/v), enzyme titre of 430.3 IU/mL, temperature of 40
degrees C and incubation of 6 h, maximum delignification of 79.1% was achieved.
Compositional analysis, energy density measurement and water retention capacity
of the biomass was also conducted along with GC-MS analysis for identification of
low molecular compounds formed during delignification. Structural
characterization of the biomass before and after pretreatment process were
analysed by Scanning Electron Microscopy (SEM), Fourier-Transform Infra-Red
Spectroscopy (FTIR) and X-Ray Diffraction Spectroscopy (XRD) that further
substantiated the delignification of sugarcane tops.
PMID- 29654974
TI - A new methodology for royalties distribution of the Itaipu hydroelectric plant:
The hydrographic basin as the unit of analysis.
AB - Over the past few years, many sectors such as energy generation, industry,
domestic supply, and agriculture have encountered serious environmental problems
due to the lack of rainfall. Thus, the purpose of this paper is to review the
current methodology of royalties distribution from Itaipu hydroelectric plant. In
the proposed approach, two criteria were considered to establish the division of
Itaipu royalties: (1) the relative percentage of the water flow in the generation
of electricity and, (2) the relative percentage of the drop in the height of
water. 62 hydroelectric plants were evaluated in this study. In 52 plants the
water flow was the factor that most contributed to the generation of electricity.
In 2013, 346 municipalities benefited the Itaipu royalties. With the proposed
methodology, 1,327 municipalities will receive the resource, what would increase
the revenue of each of these municipalities by, on average, US$ 87,436.91 per
year. The methodology presented herein proposes a reduction in the environmental
disparity that now exists in Brazil, through improvement to Government
instruments and environmental policies. The distribution of royalties throughout
the watershed can provide additional resources to support payment programs for
environmental services at the state and municipality levels.
PMID- 29654975
TI - Detection of retromer assembly in Plasmodium falciparum by immunosensing coupled
to Surface Plasmon Resonance.
AB - Retromer complex plays a crucial role in intracellular protein trafficking and is
conserved throughout the eukaryotes including malaria parasite, Plasmodium
falciparum, where it is partially conserved. The assembly of retromer complex in
RBC stages of malarial parasite is extremely difficult to explore because of its
complicated physiology, small size, and intra-erythrocytic location. Nonetheless,
understanding of retromer assembly may pave new ways for the development of novel
antimalarials targeting parasite-specific protein trafficking pathways. Here, we
investigated the assembly of retromer complex in P. falciparum, by an
immunosensing method through highly sensitive Surface Plasmon Resonance (SPR)
technique. After taking leads from the bioinformatics search and literature,
different interacting proteins were identified and specific antibodies were
raised against them. The sensor chip was prepared by covalently linking antibody
specific to one component and the whole cell lysate was passed through it in
order to trap the interacting complex. Antibodies raised against other
interacting components were used to detect them in the trapped complex on the SPR
chip. We were able to detect three different components in the retromer complex
trapped by the immobilized antibody specific against a different component on a
sensor chip. The assay was reproduced and validated in a different two-component
CD74-MIF system in mammalian cells. We, thus, illustrate the assembly of retromer
complex in P. falciparum through a bio-sensing approach that combines SPR with
immunosensing requiring a very small amount of sample from the native source.
PMID- 29654976
TI - Mechanism of irreversible inhibition of Mycobacterium tuberculosis shikimate
kinase by ilimaquinone.
AB - Ilimaquinone (IQ), a marine sponge metabolite, has been considered as a potential
therapeutic agent for various diseases due to its broad range of biological
activities. We show that IQ irreversibly inactivates Mycobacterium tuberculosis
shikimate kinase (MtSK) through covalent modification of the protein.
Inactivation occurred with an apparent second-order rate constant of about 60 M-1
s-1. Following reaction with IQ, LC-MS analyses of intact MtSK revealed covalent
modification of MtSK by IQ, with the concomitant loss of a methoxy group,
suggesting a Michael-addition mechanism. Evaluation of tryptic fragments of IQ
derivatized MtSK by MS/MS demonstrated that Ser and Thr residues were most
frequently modified with lesser involvement of Lys and Tyr. In or near the MtSK
active site, three residues of the P-loop (K15, S16, and T17) as well as S77,
T111, and S44 showed evidence of IQ-dependent derivatization. Accordingly,
inclusion of ATP in IQ reactions with MtSK partially protected the enzyme from
inactivation and limited IQ-based derivatization of K15 and S16. Additionally,
molecular docking models for MtSK-IQ were generated for IQ-derivatized S77 and
T111. In the latter, ATP was observed to sterically clash with the IQ moiety. Out
of three other enzymes evaluated, lactate dehydrogenase was derivatized and
inactivated by IQ, but pyruvate kinase and catalase-peroxidase (KatG) were
unaffected. Together, these data suggest that IQ is promiscuous (though not
entirely indiscriminant) in its reactivity. As such, the potential of IQ as a
lead in the development of antitubercular agents directed against MtSK or other
targets is questionable.
PMID- 29654977
TI - Identification of Isomeric Aspartate residues in betaB2-crystallin from Aged
Human Lens.
AB - Many post-translational modifications such as oxidation, deamidation and
isomerization of amino acid residues occur in lens proteins with aging. One such
modification, isomerization of aspartate in lens alpha-crystallin, has been well
studied by amino acid enantiomer analysis and LC-MS/MS. LC-MS/MS can quickly and
easily identify D- and L-amino acid-containing peptides without purification of
lens protein mixtures. However, this method has a weak point in that isomeric
peptides of major components are detected predominantly, while those from minor
proteins such as beta- and gamma-crystallins have not been fully determined.
Therefore, the isomerization of amino acid residues in beta- and gamma-crystallin
families has been little studied. To solve those problems and detect the
isomerization of Asp residues in lens betaB2-crystallin, the main component of
the beta-crystallin family, here we have developed steps for sample fractionation
before d/l analysis based on either LC-MS/MS or amino acid derivatization to
diastereoisomers followed by RP-HPLC. To capture a small amount of peptide, a
multiple reaction monitoring (MRM) method based on quadrupole MS/MS (Q-MS) was
applied to the water-soluble fraction of whole lens. The d/l analysis based on
both LC-MS/MS and diastereoisomer formation showed the presence of multiple
isomerization sites, including Asp4, Asp83, Asp92 and Asp192, in betaB2
crystallin in aged lens. These isomerization sites were confirmed to exist in an
age-dependent manner by Q-MS. Synthetic peptides of betaB2-crystallin containing
different isomers of Asp showed differential elution profiles during RP-HPLC,
indicating differences in the local structure or hydrophobicity of Asp-isomer
containing peptides. These results suggest that the isomerization sites are
distributed on exposed regions of betaB2-crystallin and thus likely to have an
impact on crystallin subunit-subunit interactions, induce abnormal crystallin
aggregation, and contribute to senile cataract formation in aged lens.
PMID- 29654979
TI - Attachment in action-changing the face of 21st century couple therapy.
AB - The field of couple therapy-one of the most widely sought and practiced modality
of therapy-has been revolutionized by the emergence of attachment science in the
21st century. We now understand not only the centrality of close relationships
for human health and wellbeing, but also that the key to a healthy happy
relationship is a secure attachment bond. Emotionally Focused Therapy is an
attachment-based approach that aims to help couples create a secure attachment
bond. Several outcome studies have shown that EFT helps to not only alleviate
relationship distress but individual co-morbidities as well, with positive follow
up effects. EFT appears to help couples not only improve their relationships but
also access the optimal resilience and wellbeing secure attachment allows.
PMID- 29654978
TI - Proteomic approach and expression analysis revealed the differential expression
of predicted leptospiral proteases capable of ECM degradation.
AB - Leptospira, the causative agent of leptospirosis is known to have many proteases
with potential to degrade extracellular matrix. However, a multipronged approach
to identify, classify, characterize and elucidate their role has not been
attempted. Our proteomic approach using high-resolution LC-MS/MS analysis of
Triton X-114 fractions of Leptospira interrogans resulted in the identification
of 104 proteases out of 130 proteases predicted by MEROPS. In Leptospira
approximately 3.5% of the genome complements for proteases, which include
catalytic types of metallo-, serine-, cysteine-, aspartic-, threonine- and
asparagine- peptidases. Comparison of proteases from different serovars revealed
that M04, M09B, M14A, M75, M28A, A01 and U73 protease families are exclusively
present in pathogenic form. The M23 and S33 protease families are represented
with >14 members in Leptospira. The differential expression under physiological
temperature (37 degrees C) and osmolarity (300 mOsM) showed that proteases
belonging to the catalytic type of Metallo-peptidases are upregulated
significantly in pathogenic conditions. In silico prediction and characterization
of the proteases revealed that several proteases are membrane anchored and
secretory, classical as well as non-classical system. The study demonstrates the
diversity and complexity of proteases, while maintaining conservation across the
serovars in Leptospira and their differential expression under pathogenic
conditions.
PMID- 29654980
TI - Hypnosis Enhances the Effects of Pain Education in Patients With Chronic
Nonspecific Low Back Pain: A Randomized Controlled Trial.
AB - : The potential benefits of combining pain education (PE) with clinical hypnosis
(CH) has not yet been investigated in individuals with chronic pain. A total of
100 patients with chronic nonspecific low back pain were randomized to receive
either: 1) PE alone, or 2) PE with CH. Outcomes were collected by a blinded
assessor at 2 weeks and 3 months after randomization. The primary outcomes were
average pain intensity, worst pain intensity (both assessed with 11-point numeric
rating scales), and disability (24-item Roland Morris Disability Questionnaire)
at 2 weeks. At 2 weeks, participants who received PE with CH reported lower worst
pain intensity (mean difference = 1.35 points, 95% confidence interval [CI] = .32
2.37) and disability (mean difference = 2.34 points, 95% CI = .06-4.61), but not
average pain intensity (mean difference = .67 point, 95% CI = -.27 to 1.62),
relative to participants who received PE alone. PE with CH participants also
reported more global perceived benefits at 2 weeks (mean difference = -1.98
points, 95% CI = -3.21 to -.75). At 3 months, participants who received PE with
CH reported lower worst pain intensity (mean difference = 1.32 points, 95% CI =
.29-2.34) and catastrophizing (mean difference = 5.30 points, 95% CI = 1.20
9.41). No adverse effects in either treatment condition were reported. To our
knowledge, this is the first trial showing that additional use of hypnosis with
PE results in improved outcomes over PE alone in patients with chronic
nonspecific low back pain. PERSPECTIVE: This study provides evidence supporting
the efficacy of another treatment option for teaching patients to self-manage
chronic low back pain that has a relatively low cost and that can be offered in
groups.
PMID- 29654981
TI - Urinary metabolomics study on the anti-inflammation effects of flavonoids
obtained from Glycyrrhiza.
AB - Rheumatoid arthritis (RA) is a chronic disease with pain, swelling, and
limitation in the motion and function of multiple joints thus leading to high
disability. Previous studies have shown that flavonoids and saponins are the most
abundant and active constituents in Glycyrrhiza, which possess a wide range of
pharmacological effects such as anti-inflammatory, antioxidant and anti-bacteria.
But the mechanisms of those actions are not entirely clear. In order to clarify
the mechanisms of those actions, the pharmacodynamical assessments of extraction
of water-soluble components and flavonoids and saponins obtained from Glycyrrhiza
were investigated. Combining the pharmacodynamical researches, we found that
flavonoids obtained from Glycyrrhiza had more significant therapeutic effects on
acute inflammation, chronic inflammation and inflammatory pain than that of
extraction of water-soluble components and saponins obtained from Glycyrrhiza.
The results indicated that flavonoids are the main medicinal ingredients in
Glycyrrhiza. In order to further investigate the mechanism of the action of
flavonoids in Glycyrrhiza on treating RA, a urine metabolomics method based on
ultra high-performance liquid chromatography coupled with quadrupole time-of
flight mass spectrometry (UPLC-Q-TOF-MS) was established to observe the metabolic
variations in adjuvant-induced arthritis (AIA) rats and investigate the
therapeutic effect of flavonoids in Glycyrrhiza on RA. As a result, twenty
potential biomarkers were found by comparison with the model group (MG) and
flavonoid treated group (FG). We associated these compounds with related
metabolic pathways, the results showed that these biomarkers were mainly
associated with purine metabolism, taurine and hypotaurine metabolism, tryptophan
metabolism, phenylalanine metabolism, tricarboxylic acid cycle (TCA cycle),
pantothenate and coenzyme A (CoA) biosynthesis. The results about the
pharmacodynamics and metabolomics provided a theoretical basis for clarifying the
mechanism of flavonoids in Glycyrrhiza in the treatment of RA.
PMID- 29654982
TI - Rapid characterization of the chemical constituents of Sijunzi decoction by UHPLC
coupled with Fourier transform ion cyclotron resonance mass spectrometry.
AB - Sijunzi decoction, a renowned Chinese prescription has long been utilized to
treat gastrointestinal problems. In the context of this research work, the use of
Ultra high performance liquid chromatography combined with Fourier transform ion
cyclotron resonance mass spectrometry was made to separate and characterize the
components of Sijunzi decoction. The performance of Liquid chromatography was
carried out on a C8 column (150 mm * 2.1 mm, 1.8 MUm); moreover, the mobile phase
were consisted of 0.2% formic acid (A) and acetonitrile (B). In accordance with
the findings, characterization of 120 chemical compounds was performed by liquid
chromatography with mass spectrometry. The key constituents among them included
ginsenosides (in Radix Ginseng), 16 triterpene carboxylic acids (in Poria),
sesquiterpenes (in Rhizoma Atractylodis Macrocephalae), triterpenesaponins (in
Glycyrrhizae Radix et Rhizoma Praeparata Cum Melle) as well as flavonoids (in
Glycyrrhizae Radix et Rhizoma Praeparata Cum Melle) in Sijunzi decoction. This
research developed the bases for prospective research associated with Sijunzi
decoction, together with being expected to be useful to rapidly extract and
characterize the constituents in other Traditional Chinese herbal formulations.
PMID- 29654983
TI - Effects of process parameters on the efficiency of chromatographic separations
using a cuboid packed-bed device.
AB - In recent papers we have proposed cuboid packed-bed devices as viable
alternatives to preparative columns with low bed-height to diameter ratios that
are typically used for biopharmaceutical purification processes. In this case
study, we systematically examined operating parameters such as mobile phase flow
rate, sample injection volume and feed protein concentration on the
characteristics of flow-through and bound-and-eluted protein peaks. The current
study was carried out using strong anion exchange media packed in a cuboid packed
bed device and its equivalent column, i.e. with identical volume and bed-height.
Our experimental results showed that the cuboid packed-bed device outperformed
its equivalent column at all conditions examined. However, the improvement in
performance in flow-through experiments was more significant at lower flow rates,
and when smaller sample volumes (i.e. less than 20% of bed volume) were injected.
Also, the performance of the cuboid packed-bed was significantly better when a
concentrated protein sample was injected using a small volume loop. In bind-and
elute experiments, the flow rate had a very significant impact on the performance
of the cuboid packed-bed device, with better results being obtained at the lower
flow rates examined. By choosing appropriate experimental conditions,
significantly sharper peaks and thereby efficient separations could be achieved
using the cuboid packed-bed device than with its equivalent column.
PMID- 29654984
TI - The investigation of immunoprotective and sedative hypnotic effect of total
polysaccharide from Suanzaoren decoction by serum metabonomics approach.
AB - Suanzaoren decoction, as one of the traditional Chinese medicine prescriptions,
has been most commonly used in Asian countries and reported to inhibit the
process of immunodeficiency insomnia. Polysaccharide is important component which
also contributes to the role of immunoprotective and sedative hypnotic effects.
This study was aimed to explore the immunoprotective and sedative hypnotic
mechanisms of polysaccharide from Suanzaoren decoction by serum metabonomics
approach. With this purpose, complex physical and chemical immunodeficiency
insomnia models were firstly established according to its multi-target property.
Serum samples were analyzed using UHPLC/Q-TOF-MS spectrometry approach to
determine endogenous metabolites. Then, principal component analysis was used to
distinguish the groups, and partial least squares discriminate analysis was
carried out to confirm the important variables. The serum metabolic profiling was
identified and pathway analysis was performed after the total polysaccharide
administration. The twenty-one potential biomarkers were screened, and the levels
were all reversed to different degrees in the total polysaccharide treated
groups. These potential biomarkers were mainly related to vitamin, sphingolipid,
bile acid, phospholipid and acylcarnitine metabolisms. The result has indicated
that total polysaccharide could inhibit insomnia triggered by immunodeficiency
stimulation through regulating those metabolic pathways. This study provides a
useful approach for exploring the mechanism and evaluating the efficacy of total
polysaccharide from Suanzaoren decoction.
PMID- 29654986
TI - Progression of calcific aortic valve sclerosis in WHHLMI rabbits.
AB - BACKGROUND AND AIMS: Aortic valve stenosis (AS) is the most common valvular heart
disease and can be life-threatening. The pathogenesis of aortic valve
calcification remains largely unknown, primarily due to the lack of an adequate
animal model. The high-cholesterol diet-induced AS model in rabbits is one of the
established models, but it has the significant limitation of liver dysfunction
leading to low survival rates. We hypothesized that a myocardial infarction-prone
Watanabe heritable hyperlipidemic (WHHLMI) rabbit, an animal model of familial
hypercholesterolemia and atherosclerosis, is a useful animal model of AS.
METHODS: WHHLMI rabbits, aged 20 months and 30 months (n = 19), and control
Japanese White rabbits (n = 4), aged 30 months, were used and evaluated by
echocardiography under anesthesia. Pathological evaluation and quantitative
analyses by polymerase chain reaction (PCR) were also performed. RESULTS: The
lipid profile was similar between 20 months and 30 months. Two rabbits died due
to spontaneous myocardial infarction during the study. Thirty-month-old WHHLMI
rabbits exhibited significantly smaller aortic valve area (0.22 +/- 0.006 cm2vs.
0.12 +/- 0.01 cm2, p < 0.05) and higher maximal transvalvular pressure gradient
(7.0 +/- 0.32 vs. 9.9 +/- 0.95 mmHg, p < 0.05) than 20 month-old rabbits.
Macroscopic examination of excised aortic valves demonstrated thickened and
degenerated valve leaflets at 30 months. Histological evaluation confirmed
thickened leaflets with calcified nodules at 30 months. Real-time PCR of resected
aortic valve also showed increased expression level of calcification-related
molecules including osteopontin, Sox9, Bmp2, RANKL, osteoprotegerin, and Runx2 (p
< 0.05 each) in 30-month-old rabbits. CONCLUSIONS: WHHLMI rabbits may be useful
models of early-stage AS in vivo.
PMID- 29654985
TI - Summary of the 2017 Alcohol and Immunology Research Interest Group (AIRIG)
meeting.
AB - On June 24, 2017, the 22nd annual Alcohol and Immunology Research Interest Group
(AIRIG) meeting was held as a satellite conference during the annual Research
Society on Alcoholism (RSA) Scientific Meeting in Denver, Colorado. The 2017
meeting focused broadly on mechanisms that link alcohol to tissue injury and
inflammation, and how this research can be translated to improve human health.
Two plenary sessions composed the meeting, which first explored the association
between alcohol and trauma/tissue injury, and finished with a discussion of
alcohol and mucosal inflammation. The presentations encompassed diverse areas of
alcohol research, from effects on the brain, to airway and pulmonary systems, to
gut barrier disruption. The discussions also thoughtfully highlighted how current
laboratory and clinical research can be used to prevent or treat alcohol-related
morbidity and mortality.
PMID- 29654987
TI - Ecological momentary assessment of contextual variables, satisfaction, and
emotional and behavioral states of adolescents by level of victimization.
AB - The harmful effects of victimization on mental health have been demonstrated in
several age groups, cultures, and populations, but there is wide variability in
the resulting psychopathology. Ecological momentary assessment (EMA) allows the
expression of an emotional state to be recorded at any given time and linked to a
situation or context. This study aimed to analyze the contextual variables
(where, with whom, and what), momentary satisfaction, and perception of momentary
emotional and behavioral symptoms in a cohort of adolescents by the level of
victimization, using EMA. We explored the everyday symptom profiles and the
contexts in which events took place among 100 adolescents over a one-week period.
Sociodemographic data were collected and assessment was done using the EMA (as a
smartphone application) and the Juvenile Victimization Questionnaire (to assess
childhood and adolescent victimization). In this study, regarding contextual
variables, the group with the highest level of victimization (top 10%) showed a
significant relationship with being away from home and being with friends other
than classmates, and not being with parents or relatives. There was also an
unexpectedly higher frequency of sporting engagement in this group. A
relationship existed between emotional and behavioral problems and higher levels
of victimization. In conclusion, the different groups of victimized subjects
present a relatively high level of satisfaction in relation to the daily contexts
and show low levels of emotional and behavioral symptomatology.
PMID- 29654988
TI - Assessment of the safety benefits of vehicles' advanced driver assistance,
connectivity and low level automation systems.
AB - The Connected Vehicle (CV) technologies together with other Driving Assistance
(DA) technologies are believed to have great effects on traffic operation and
safety, and they are expected to impact the future of our cities. However, few
research has estimated the exact safety benefits when all vehicles are equipped
with these technologies. This paper seeks to fill the gap by using a general
crash avoidance effectiveness framework for major CV&DA technologies to make a
comprehensive crash reduction estimation. Twenty technologies that were tested in
recent studies are summarized and sensitivity analysis is used for estimating
their total crash avoidance effectiveness. The results show that crash avoidance
effectiveness of CV&DA technology is significantly affected by the vehicle type
and the safety estimation methodology. A 70% crash avoidance rate seems to be the
highest effectiveness for the CV&DA technologies operating in the real-world
environment. Based on the 2005-2008 U.S. GES Crash Records, this research found
that the CV&DA technologies could lead to the reduction of light vehicles'
crashes and heavy trucks' crashes by at least 32.99% and 40.88%, respectively.
The rear-end crashes for both light vehicles and heavy trucks have the most
expected crash benefits from the technologies. The paper also studies the
effectiveness of Forward Collision Warning technology (FCW) under fog conditions,
and the results show that FCW could reduce 35% of the near-crash events under fog
conditions.
PMID- 29654989
TI - Perfusion-decellularization of human ear grafts enables ECM-based scaffolds for
auricular vascularized composite tissue engineering.
AB - INTRODUCTION: Human ear reconstruction is recognized as the emblematic enterprise
in tissue engineering. Up to now, it has failed to reach human applications
requiring appropriate tissue complexity along with an accessible vascular tree.
We hereby propose a new method to process human auricles in order to provide a
poorly immunogenic, complex and vascularized ear graft scaffold. METHODS: 12
human ears with their vascular pedicles were procured. Perfusion
decellularization was applied using a SDS/polar solvent protocol. Cell and
antigen removal was examined by histology and DNA was quantified. Preservation of
the extracellular matrix (ECM) was assessed by conventional and 3D-histology,
proteins and cytokines quantifications. Biocompatibility was assessed by
implantation in rats for up to 60 days. Adipose-derived stem cells seeding was
conducted on scaffold samples and with human aortic endothelial cells whole graft
seeding in a perfusion-bioreactor. RESULTS: Histology confirmed cell and antigen
clearance. DNA reduction was 97.3%. ECM structure and composition were preserved.
Implanted scaffolds were tolerated in vivo, with acceptable inflammation,
remodeling, and anti-donor antibody formation. Seeding experiments demonstrated
cell engraftment and viability. CONCLUSIONS: Vascularized and complex auricular
scaffolds can be obtained from human source to provide a platform for further
functional auricular tissue engineered constructs, hence providing an ideal road
to the vascularized composite tissue engineering approach. STATEMENT OF
SIGNIFICANCE: The ear is emblematic in the biofabrication of tissues and organs.
Current regenerative medicine strategies, with matrix from donor tissues or 3D
printed, didn't reach any application for reconstruction, because critically
missing a vascular tree for perfusion and transplantation. We previously
described the production of vascularized and cell-compatible scaffolds, from
porcine ear grafts. In this study, we ---- applied findings directly to human
auricles harvested from postmortem donors, providing a perfusable matrix that
retains the ear's original complexity and hosts new viable cells after seeding.
This approach unlocks the ability to achieve an auricular tissue engineering
approach, associated with possible clinical translation.
PMID- 29654991
TI - An electrospun fiber reinforced scaffold promotes total meniscus regeneration in
rabbit meniscectomy model.
AB - : Low vascularization in meniscus limits its regeneration ability after injury,
and tissue engineering is the most promising method to achieve meniscus
regeneration. In this study, we fabricated a kind of composite scaffold by
decellularized meniscus extracellular matrix/polycaprolactone (DMECM/PCL)
electrospinning fibers and porous DMECM, in which DMECM/PCL fibers were used as
reinforcing component. The tensile modulus of the composite scaffold in
longitudinal and crosswise directions were 8.5 +/- 1.9 and 2.3 +/- 0.3 MPa,
respectively. Besides that, the DMECM/PCL electrospinning fibers enhanced suture
resistance of the composite scaffold more than 5 times than DMECM scaffold
effectively. In vitro cytocompatibility showed that the porous structure provided
by DMECM component facilitated meniscus cells' proliferation. DMECM was also the
main component to regulate cell behaviors, which promoted meniscus cells
expressing extracellular matrix related genes such as COL I, COL II, SOX9 and
AGG. Rabbits with total meniscectomy were used as animal model to evaluated the
composited scaffolds performance in vivo at 3 and 6 months. Results showed that
rabbits with scaffold implanting could regenerate neo-menisci in both time
points. The neo-menisci had similar histology structure and biochemical content
with native menisci. Although neo-menisci had inferior tensile modulus than
native ones, its modulus was improved with implanting time prolonging. MRI
imaging showed the signal of neo-meniscus in the body is clear, and X-ray imaging
of knee joints demonstrated the implantation of scaffolds could relief joint
space narrowing. Moreover, rabbits with neo-menisci had better cartilage
condition in femoral condyle and tibial plateau compared than meniscectomy group.
STATEMENT OF SIGNIFICANCE: We fabricated the meniscus scaffold by combining
porous decellularized meniscus extracellular matrix (DMECM) and DMECM/PCL
electrospinning fibers together, which used the porous structure of DMECM, and
the good tensile property of electrospinning fibers. We believe single material
cannot satisfy increasing needs of scaffold. Therefore, we combined not only
materials but also fabrication methods together to develop scaffold to make good
use of each part. DMECM in electrospinning fibers also made these two components
possible to be integrated through crosslinking. Compared to existing meniscus
scaffold, the composite scaffold had (1) soft structure and extrusion would not
happen after implantation, (2) ability to be trimmed to suitable shape during
surgery, and (3) good resistance to suture.
PMID- 29654990
TI - Combinatorial approaches in post-polymerization modification for rational
development of therapeutic delivery systems.
AB - : The combinatorial polymer library approach has been proven to be effective for
the optimization of therapeutic delivery systems. The library of polymers with
chemical diversity has been synthesized by (i) polymerization of functionalized
monomers or (ii) post-polymerization modification of reactive polymers. Most
scientists have followed the first approach so far, and the second method has
emerged as a versatile approach for combinatorial biomaterials discovery. This
review focuses on the second approach, especially discussing the post
modifications that employ reactive polymers as templates for combinatorial
synthesis of a library of functional polymers with distinct structural diversity
or a combination of different functionalities. In this way, the functional
polymers have a consistent chain length and distribution, which allows for
systematic optimization of therapeutic delivery polymers for the efficient
delivery of genes, small-molecule drugs, and protein therapeutics. In this
review, the modification of representative reactive polymers for the delivery of
different therapeutic payloads are summarized. The recent advances in rational
design and optimization of therapeutic delivery systems based on reactive
polymers are highlighted. This review ends with a summary of the current
achievements and the prospect on future directions in applying the approach of
post-polymerization modification of polymers to accelerate the development of
therapeutic delivery systems. STATEMENT OF SIGNIFICANCE: A strategy to rationally
design and systematically optimize polymers for the efficient delivery of
specific therapeutics is highly needed. The combinatorial polymer library
approach could be an effective way to this end. The post-polymerization
modification of reactive polymer precursors is applicable for the combinatorial
synthesis of a library of functional polymers with distinct structural diversity
across a consistent degree of polymerization. This allows for parallel comparison
and systematic evaluation/optimization of functional polymers for efficient
therapeutic delivery. This review summarizes the key elements of this
combinatorial polymer synthesis approach realized by post-polymerization
modification of reactive polymer precursors towards the development and
identification of optimal polymers for the efficient delivery of therapeutic
agents.
PMID- 29654992
TI - Exploring the potential of polyurethane-based soft foam as cell-free scaffold for
soft tissue regeneration.
AB - : Reconstructive treatment after trauma and tumor resection would greatly benefit
from an effective soft tissue regeneration. The use of cell-free scaffolds for
adipose tissue regeneration in vivo is emerging as an attractive alternative to
tissue-engineered constructs, since this approach avoids complications due to
cell manipulation and lack of synchronous vascularization. In this study, we
developed a biodegradable polyurethane-based scaffold for soft tissue
regeneration, characterized by an exceptional combination between softness and
resilience. Exploring the potential as a cell-free scaffold required profound
understanding of the impact of its intrinsic physico-chemical properties on the
biological performance in vivo. We investigated the effect of the scaffold's
hydrophilic character, degradation kinetics, and internal morphology on (i) the
local inflammatory response and activation of MGCs (foreign body response); (ii)
its ability to promote rapid vascularisation, cell infiltration and migration
through the scaffold over time; and (iii) the grade of maturation of the newly
formed tissue into vascularized soft tissue in a murine model. The study revealed
that soft tissue regeneration in vivo proceeded by gradual infiltration of
undifferentiated mesenchymal cells though the periphery toward the center of the
scaffold, where the rapid formation of a functional and well-formed vascular
network supported cell viability overtime. STATEMENT OF SIGNIFICANCE: Exploring
the potential of polyurethane-based soft foam as cell-free scaffold for soft
tissue regeneration. In this work, we address the unmet need for synthetic
functional soft tissue substitutes that provide adequate biological and
mechanical support to soft tissue. We developed a series of flexible cross-linked
polyurethane copolymer scaffolds with remarkable fatigue-resistance and tunable
physico-chemical properties for soft tissue regeneration in vivo. Accordingly, we
could extend the potential of this class of biomaterials, which was so far
confined for bone and osteochondral tissue regeneration, to other types of
connective tissue.
PMID- 29654993
TI - Effects of upstream shear forces on priming of platelets for downstream adhesion
and activation.
AB - : Platelets in flowing blood are sometimes exposed to elevated shear forces
caused by anastomotic stenosis at the blood vessel-vascular implant interface.
The objective of this study was to determine how effective upstream shear forces
are in priming platelets for downstream adhesion and activation. Flow chambers
with upstream stenotic regions (shear rates of 400-1000 s-1) were manufactured by
relief molding of polydimethylsiloxane. Downstream from the stenotic regions,
microcontact printing was used to covalently immobilize three different proteins
(fibrinogen, collagen, or von Willebrand factor) to serve as platelet capture
agents. Anticoagulated whole blood was perfused through the flow chambers and
platelet adhesion to the downstream capture region was quantified. It was found
that transient exposure of platelets to increased shear forces resulted in higher
platelet adhesion on all three proteins. The duration of the platelet exposure to
elevated shear forces was varied by changing the length of the stenotic regions.
The results indicated that, in addition to the magnitude of shear forces, the
duration of exposure to these forces was also an important factor in priming
platelets. The effect of upstream shear forces on platelet activation was
assessed by quantifying P-selectin, integrin alphaIIbbeta3, lysosomal
glycoprotein, and phosphatidylserine exposure using flow cytometry. The results
suggested that increased shear forces were capable of increasing the priming of
platelets for downstream activation. This study implicates the anastomotic
region(s) of vascular implants as a locus of platelet pre-activation that may
lead to thrombus formation downstream. STATEMENT OF SIGNIFICANCE: A synthetic
small-diameter vascular graft can often become stenotic due to intimal fibrous
hyperplasia, either generally along the inside of the graft or at the anastomotic
regions, leading to an increased shear force on flowing platelets. Our lab is
studying how the upstream platelet preactivation (aka "priming") in flowing blood
affects their downstream adhesion and activation. This manuscript describes a
study in which priming of platelets is achieved by upstream stenotic narrowing in
a microfluidic flow chamber. Such experimental design was intended to mimic a
vascular implant with stenotic upstream anastomosis and downstream exposed
platelet protein agonists. Understanding how the pre-activated platelets respond
to imperfect vascular implant surfaces downstream is an important factor in
designing better vascular implants.
PMID- 29654995
TI - Kinetic study of thermal degradation of olive cake based on a scheme of
fractionation and its behavior impregnated of metals.
AB - This research aims to provide a better knowledge of the thermal decomposition of
the olive cake as well as this lignocellulosic material loaded, in a previous
stage of biosorption, with heavy metals for its use in processes of energy
recovery. Firstly, isolation of constituents of the olive cake was carried out.
Then, experiments were performed by thermogravimetric analysis (TGA) and
differential thermogravimetry (DTG) under inert and oxidative atmosphere at a
heating rate of 15 K/min for each isolated fraction. Next, adequate reactions
schemes were proposed to find kinetic parameters. Validation of these schemes
were verified by the goodness of fitting between experimental and simulated data.
Also, some important combustion characteristics such as ignition and burnout
temperatures were determined. With regard to the effect of metals, cadmium,
copper, chromium, nickel and lead present in metal-loaded olive cake did not
modify values of kinetic parameters which described the thermal decomposition
processes.
PMID- 29654994
TI - Oral feeding practices and discharge timing for moderately preterm infants.
AB - BACKGROUND: Oral feeding skills of moderately preterm infants are not mature at
birth. AIMS: To establish the relationship between postmenstrual age at
introduction of first oral feeding and attainment of full oral feeding and
hospital discharge for moderately preterm infants. STUDY DESIGN: Multicenter
retrospective analysis of a prospective cohort of moderately preterm infants
admitted to a Eunice Kennedy Shriver National Institute of Child Health and Human
Development Neonatal Research Network hospital. SUBJECTS: 6146 infants born at 29
33 weeks' gestation from January 2012 to November 2013. OUTCOME MEASURES:
Postmenstrual age at full oral feeding and at hospital discharge. RESULTS: The
median postmenstrual age at first oral feeding was 33.9 weeks (interquartile
range 33.1-34.3). For each week earlier at first oral feeding, full oral feeding
occurred 4.5 days earlier (p < 0.0001) and hospital stay was shortened by 3.4
days (p < 0.0001). Higher birth weight (p < 0.0001) and black maternal race (p =
0.0001) were associated with younger postmenstrual age at full oral feeding and
at discharge. CONCLUSION: Moderately preterm infants with earlier introduction of
oral feeding achieved earlier full oral feeding and hospital discharge.
PMID- 29654996
TI - Ultrasound-assisted in-situ transesterification of wet Aurantiochytrium sp. KRS
101 using potassium carbonate.
AB - A new in-situ transesterification method was developed for wet biomass: K2CO3 was
used as an alkaline catalyst and, Aurantiochytrium sp. KRS 101 as oleaginous DHA
producing microalgae. It was found that the presence of water greatly impaired
the overall efficiency even with the powerful catalyst that had worked
surpassingly well with dry biomass, and thus a mechanical aid like
ultrasonication was needed to make advantage of full potential of the alkaline
catalyst. The total fatty acid ethyl ester (FAEE) recovery yield of 94.6% was
achieved with sonication at 100 g/L of biomass (40% moisture), 3% of K2CO3, 70
degrees C and 30 min. All these suggest that the ultrasound assisted in-situ
transesterification can offer a feasible means for FAEE recovery and it was so by
way of overcoming the physical limitation of mass transfer caused the presence of
water and providing effective contacts between reactants.
PMID- 29654997
TI - Promoting helix pitch and trichome length to improve biomass harvesting
efficiency and carbon dioxide fixation rate by Spirulina sp. in 660 m2 raceway
ponds under purified carbon dioxide from a coal chemical flue gas.
AB - The helix pitch and trichome length of Spirulina sp. were promoted to improve the
biomass harvesting efficiency and CO2 fixation rate in 660 m2 raceway ponds
aerated with food-grade CO2 purified from a coal chemical flue gas. The CO2
fixation rate was improved with increased trichome length of the Spirulina sp. in
a raceway pond with double paddlewheels, baffles, and CO2 aerators (DBA raceway
pond). The trichome length has increased by 33.3 MUm, and CO2 fixation rate has
increased by 42.3% and peaked to 51.3 g/m2/d in a DBA raceway pond. Biomass
harvesting efficiency was increased with increased helix pitch. When the day
average greenhouse temperature was 33 degrees C and day-average sunlight
intensity was 72,100 lu*, the helix pitch of Spirulina sp. was increased to 56.2
MUm. Hence the biomass harvesting efficiency was maximized to 75.6% and biomass
actual yield was increased to 35.9 kg in a DBA raceway pond.
PMID- 29654998
TI - Improving hydrocarbon yield from catalytic fast co-pyrolysis of hemicellulose and
plastic in the dual-catalyst bed of CaO and HZSM-5.
AB - The high concentration of oxygenated compounds in pyrolytic products prohibits
the conversion of hemicellulose to important biofuels and chemicals via fast
pyrolysis. Herein a dual-catalyst bed of CaO and HZSM-5 was developed to convert
acids in the pyrolytic products of xylan to valuable hydrocarbons. Meanwhile,
LLDPE was co-pyrolyzed with xylan to supplement hydrogen during the catalysis of
HZSM-5. The results showed that CaO could effectively transform acids into
ketones. A minimum yield of acids (2.74%) and a maximum yield of ketones (42.93%)
were obtained at a catalyst to feedstock ratio of 2:1. The dual-catalyst bed
dramatically increased the yield of aromatics. Moreover, hydrogen-rich fragments
derived from LLDPE promoted the Diels-Alder reactions of furans and participated
in the hydrocarbon pool reactions of non-furanic compounds. As a result, a higher
yield of hydrocarbons was achieved. This study provides a fundamental for
recovering energy and chemicals from pyrolysis of hemicellulose.
PMID- 29654999
TI - Biogas production and microbial community properties during anaerobic digestion
of corn stover at different temperatures.
AB - Temperature has different effects on anaerobic digestion (AD) of various
biomasses, which could bring out changes in microbial communities. The
relationship between microbial community and methane production at 35 degrees C
(R35), 38 degrees C (R38), 41 degrees C (R41), and 44 degrees C (R44) was
analyzed during AD of corn stover (CS). The results showed that the daily biogas
and methane production from R44 were 16.6%-42.4% and 16.2%-40.6% higher than
yields from R35, R38 and R41, respectively. The abundance of Bacteroidetes in
R35, R38 and R41 was relatively close (30.70%-39.36%), which was low in R44
(16.00%). The abundance of Firmicutes in R35 was 32.30%, however, Firmicutes was
the most dominant phylum at R44 (66.58%). The abundance of
Miscellaneous_Crenarchaeotic_Group and Euryarchaeota were 54.63 +/- 6.47% and
44.43 +/- 6.73% across all digesters. This research demonstrated that among all
temperatures studied, 44 degrees C could enhance the conversion efficiency of
the substrates to methane and be recommended for better conversion of CS in AD
process.
PMID- 29655000
TI - Platelet activation and antiplatelet therapy in sepsis: A narrative review.
AB - Platelet activation plays an important role in the development of sepsis. During
sepsis, platelet activation leads to endothelial cell injury and promotes
neutrophil extracellular trap and microthrombus formation, exacerbating septic
coagulation and inflammatory reactions. The resultant induction or aggravation of
disseminated intravascular coagulation (DIC) leads to organ damage. Antiplatelet
drugs can inhibit coagulation and inflammatory reactions in models of sepsis,
reducing damage to organ function. Clinical studies suggest that aspirin may
improve the prognosis of patients with sepsis. In conclusion, antiplatelet drugs
are promising agents that can improve the prognosis of sepsis patients and are
expected to become a new line of treatment. However, further clinical studies are
required for validation.
PMID- 29655001
TI - Asian patients versus non-Asian patients in the efficacy and safety of direct
oral anticoagulants relative to vitamin K antagonist for venous thromboembolism:
A systemic review and meta-analysis.
AB - INTRODUCTION: The standard for treatment and secondary prevention of venous
thromboembolism (VTE) has been vitamin K antagonist (VKA), which might be
associated with a higher risk of bleeding particularly in Asian patients. Direct
oral anticoagulants (DOAC) have been shown to be safer alternatives for VTE. It
remains unclear whether this is the case in Asian ethnicity. MATERIALS AND
METHODS: We performed a meta-analysis of randomized controlled trials to evaluate
the efficacy and safety of DOACs in Asian and non-Asian patients with acute VTE.
We searched MEDLINE, CENTRAL, and ClinicalTrials.gov. The efficacy endpoint was
recurrent VTE or VTE-related death. The safety endpoint was major bleedings or
clinically relevant non-major bleedings. The pooled odds ratios (OR) and 95%
confidence intervals (CI) were calculated. RESULTS: We identified 6 studies that
comprised 3542 Asian and 23,481 non-Asian patients. The efficacy of DOACs was
comparable with VKA in both Asian and non-Asian patients (OR, 0.90; 95% CI, 0.55
1.49; P = 0.69 for Asian patients; OR, 0.92; 95% CI, 0.78-1.08; P = 0.32 for non
Asian patients; P interaction = 0.94). DOACs significantly reduced the safety
endpoint compared with VKA in Asian patients (OR, 0.64; 95% CI, 0.51-0.80; P <
0.001), while DOACs were associated with non-significant reduction in non-Asian
patients (OR, 0.73; 95% CI, 0.53-1.01; P = 0.06), indicating that the reduction
seemed numerically more prominent in Asian patients, although there was no
statistically significant interaction (P interaction = 0.49). CONCLUSIONS: The
efficacy of DOACs was comparable with VKA irrespective of ethnicity, and DOACs
could be safer alternatives in Asian patients.
PMID- 29655002
TI - Structural changes of fibrinogen as a consequence of cirrhosis.
AB - Cirrhosis is a disease which may develop as a consequence of various conditions.
In advanced liver disease, blood coagulation can be seriously affected. Portal
hypertension, vascular abnormalities and/or a dysbalance in coagulation factors
may result in bleeding disorders or in the development of thrombosis. Fibrinogen
is the main protein involved in clot formation and wound healing. The aim of this
work was to analyse the glycosylation pattern of the isolated fibrinogen
molecules by lectin-based protein microarray, together with the carbonylation
pattern of the individual fibrinogen chains, possible changes in the molecular
secondary and tertiary structure and reactivity with the insulin-like growth
factor-binding protein 1 (IGFBP-1) in patients with cirrhosis. The results
pointed to an increase in several carbohydrate moieties: tri/tetra-antennary
structures, Gal beta-1,4 GlcNAc, terminal alpha-2,3 Sia and alpha-1,3 Man, and a
decrease in core alpha-1,6 Fuc and bi-antennary galactosylated N-glycans with
bisecting GlcNAc. Fibrinogen Aalpha chain was the most susceptible to
carbonylation, followed by the Bbeta chain. Cirrhosis induced additional protein
carbonylation, mostly on the alpha chain. Spectrofluorimetry and CD spectrometry
detected reduction in the alpha-helix content, protein unfolding and/or
appearance of modified amino acid residues in cirrhosis. The amount of complexes
which fibrinogen forms with IGFBP-1, another factor involved in wound healing was
significantly greater in patients with cirrhosis than in healthy individuals. A
more detailed knowledge of individual molecules in coagulation process may
contribute to deeper understanding of coagulopathies and the results of this
study offer additional information on the possible mechanisms involved in
impaired coagulation due to cirrhosis.
PMID- 29655003
TI - Evaluation of endogenous thrombin potential among patients with antithrombin
deficiency.
PMID- 29655004
TI - Midwifery students in health visitor placements: the importance of student-mentor
relationships.
AB - OBJECTIVE: The aim of this study was to explore midwifery students and health
visitor practice mentors experiences of a health visiting placement for midwifery
students, focusing on the student-mentor relationship. DESIGN: Interview study
SETTING: East London, United Kingdom PARTICIPANTS: Eighteen students and eighteen
mentors were invited to take part in an interview. Ten midwifery students (55.5%)
and fifteen health visitor practice mentors (83.3%) took part in interviews or
provided information via email. Thematic analysis was used to analyse findings.
FINDINGS: The main study finding was that students reported valuing practice
mentors who took the time to get to know them, were welcoming and enthusiastic
and planned their time in advance. The mentors in turn spoke highly of the
students who were keen and enthusiastic about the placement, but noted that not
all students had appeared interested. KEY CONCLUSIONS: The findings from this
small interview study show that taking time to make the students feel welcome was
important to facilitate a student-mentor relationship. Another important factor
in whether a student enjoyed their placement was the mentors' advance planning.
PMID- 29655005
TI - Psychological adaptation after peripartum cardiomyopathy: A qualitative study.
AB - BACKGROUND: Peripartum cardiomyopathy (PPCM) is a rare and potentially life
threatening condition of heart failure affecting women with no previous heart
disease in the last months of pregnancy and up to six months after childbirth.
OBJECTIVE: To explore women's experiences of the process of regaining
psychological balance and wellbeing (i.e. psychological adaptation) after having
experienced severe peripartum morbidity. DESIGN: A qualitative exploratory
research design was applied to guide the study. Data was collected through in
depth, semi-structured, face-toface telephone and e-mail interviews. Thematic
analysis was applied in the data analysis. SETTING: The study was a sub-study of
a larger nationwide research study investigating the incidence and clinical
outcome of peripartum cardiomyopathy in Denmark during a ten-year period of 2005
2014. PARTICIPANTS: Through a criterion-based sampling strategy, 14 Danish women
with peripartum cardiomyopathy were recruited for participation in the study. In
relation to severity of disease, demographics and pregnancy related
characteristic, the sample showed a wide range of diversity. FINDINGS: The
overarching theme of the thematic analysis was identified to be Recovering to a
new normal after peripartum cardiomyopathy. The overarching theme was comprised
by five main themes: Losing trust, Silence after chaos, Disrupted early
mothering, Choices made for me and not by me, and Ability to mobilize inner
resources. CONCLUSIONS: Findings from this study suggest that women are
vulnerable in the time after PPCM diagnosis and struggle to find psychological
balance in their life. The need for professional psychological support was often
unmet and the physical symptoms were foregrounded in the recovery period. After
PPCM, follow-up on psychological wellbeing and morbidity should be offered to
women routinely.
PMID- 29655006
TI - Turkish adaptation of the pregnancy-related anxiety questionnaire-revised 2:
Validity and reliability study in multiparous and primiparous pregnancy.
AB - OBJECTIVE: The purpose of this study was to create a Turkish version of the
Pregnancy-Related Anxiety Questionnaire-Revised 2 (PRAQR2), which was revised for
application to multiparous and primiparous pregnancy, and to explore its
psychometric characteristics in multiparous and primiparous pregnancy. DESIGN:
This study was methodologically designed to assess the reliability and validity
of the PRAQ-R2. SETTING: The study was carried out in the obstetrics clinic of a
training and research hospital in Malatya. PARTICIPANTS: A total of 616 healthy
pregnant women (399 multiparous and 217 primiparous) constituted the sample of
the study. METHODS: The cultural adaptation process of the questionnaire was
conducted in three phases: language validity, content validity, and pilot
application. Exploratory factor analysis (EFA) and confirmatory factor analysis
(CFA) were used to test the construct validity of the questionnaire. The
reliability of the PRAQ-R2 was evaluated with Cronbach's alpha internal
consistency coefficient, item-total correlation, test-retest analysis, and
parallel forms reliability. FINDINGS: The EFA revealed that the PRAQ-R2 consists
of 10 items for the multiparous group and 11 for the primiparous group after
adding the item ''I am anxious about the delivery because I have never
experienced one before.'' The CFA for both groups supported the three-factor
questionnaire yielded by the EFA. Good fit index values were obtained in both
groups. Cronbach's alpha internal consistency coefficient ranged from 0.81 to
0.93 for the multiparous group and 0.87 to 0.94 for the primiparous group for the
complete PRAQ-R2 and each of its subdimensions. In addition, the item-total
correlation, test-retest analysis, and parallel forms reliability of the
questionnaire were highly correlated. CONCLUSION: The PRAQ-R2 is a valid and
reliable instrument that can be used to evaluate the level of anxiety in Turkish
pregnant women irrespective of parity. IMPLICATIONS FOR PRACTICE: The use of the
PRAQ-R2 in prenatal healthcare services will contribute to the early diagnosis,
treatment, and management of pregnancy-related anxiety.
PMID- 29655007
TI - Antenatal diet and postpartum depressive symptoms: A prospective study.
AB - Postnatal depression is a critical public health concern, and gaining a better
understanding of possible causes is paramount. Recently, diet quality during
pregnancy has emerged as a possible preventative measure in ameliorating
postnatal depression, however the evidence-base exploring this association is
immature. The aim of this study was to examine the association between
consumption of food groups characteristic of a quality diet during pregnancy
(that is fruit, vegetable and fish intake) and postnatal depressive symptoms at
12 months postpartum. Pregnant women were recruited at 10-18 weeks gestation via
advertising on online pregnancy forums, pregnancy and parenting magazines, and
two Australian maternity clinics. Participants (n = 253) completed self-report
questionnaires assessing fruit, vegetable and fish intake as well as depressive
symptoms at early- to mid- pregnancy. Path analyses were conducted to examine
whether fruit, vegetable and fish intake during pregnancy were associated with
depressive symptom scores at 12 months postpartum. There were no associations
between fruit, vegetable or fish intake in pregnancy and postnatal depressive
symptoms. Antenatal diet quality as measured by intake of food groups associated
with a healthy diet was not associated with postpartum depressive symptoms at 12
months postpartum. Future research should consider the exploration of dietary
quality as a measure of overall adherence to evidence-based dietary guidelines.
PMID- 29655008
TI - Continuous professional development of Liberia's midwifery workforce-A
coordinated multi-stakeholder approach.
AB - BACKGROUND: Maternal and newborn mortality remains high in Liberia. There is a
severe rural-urban gap in accessibility to health care services. A competent
midwifery workforce is able to meet the needs of mothers and newborns. Evidence
shows that competence can be assured through initial education along with
continuous professional development (CPD). In the past, CPD was not regulated and
coordinated in Liberia which is cpommon in the African region. AIM: To Support a
competent regulated midwifery workforce through continuous professional
development. METHODOLOGY: A new CPD model was developed by the Liberian Board for
Nursing and Midwifery. With its establishment, all midwives and nurses are
required to undertake CPD programmes consisting of certified training and
mentoring in order to renew their practicing license. The new model is being
piloted in one county in which regular mentoring visits that include skills
training are being conducted combined with the use of mobile learning
applications addressing maternity health issues. Quality control of the CPD pilot
is assured by the Liberian Board for Nursing and Midwifery. The mentoring visits
are conducted on a clinical level but are coordinated on the national and county
level. SUCCESSES AND SUSTAINABILITY: CPD using mobile learning on smartphones and
regular mentoring visits not only improved knowledge and skills of midwives and
nurses but also provided a solution to enhance accessibility in rural areas
through improved communication and transportation, as well as improved career
development of health personnel working in remote areas. Mentors were trained on
a national, county, and health facility level in the pilot county with mentoring
visits conducted regularly. CONCLUSION: The CPD programme of the Liberian Board
for Nursing and Midwifery, currently in pilot-testing by various partners, aims
to highlight the positive impact of the coordinating role of both the regulatory
body and health authorities. Using regular process and programme reviews to
improve capacity, knowledge, and skills of health professionals.
PMID- 29655009
TI - Selection procedure of bioprotective cultures for their combined use with High
Pressure Processing to control spore-forming bacteria in cooked ham.
AB - High Pressure Processing (HPP) and biopreservation can contribute to food safety
by inactivation of bacterial contaminants. However these treatments are
inefficient against bacterial endospores. Moreover, HPP can induce spore
germination. The objective of this study was to select lactic acid bacteria
strains to be used as bioprotective cultures, to control vegetative cells of
spore-forming bacteria in ham after application of HPP. A collection of 63
strains of various origins was screened for their antagonistic activity against
spore-forming Bacillus and Clostridium species and their ability to resist to
HPP. Some safety requirements should also be considered prior to their
introduction into the food chain. Hence, the selection steps included the
assessment of biogenic amine production and antibiotic resistance. No strain
produced histamine above the threshold detection level of 50 ppm. From the
assessment of antibiotic resistance against nine antibiotics, 14 susceptible
strains were kept. Antagonistic action of the 14 strains was then assessed by the
well diffusion method against pathogenic or spoilage spore-forming species as
Bacillus cereus, Clostridium sp. like botulinum, Clostridium frigidicarnis, and
Clostridium algidicarnis. One Lactobacillus curvatus strain and one Lactococcus
lactis strain were ultimately selected for their widest inhibitory spectrum and
their potential production of bacteriocin. A Lactobacillus plantarum strain was
included as control. Their resistance to HPP and ability to regrow during chilled
storage was then assessed in model ham liquid medium. Treatments of pressure
intensities of 400, 500, and 600 MPa, and durations of 1, 3, 6, and 10 min were
applied. After treatment, cultures were incubated at 8 degrees C during 30 days.
Inactivation curves were then fitted by using a reparameterized Weibull model
whereas growth curves were modelled with a logistic model. Although the two
Lactobacillus strains were more resistant than L. lactis to HPP, the latter was
the only strain able to regrow following HPP. The absence of biogenic amine
production of this strain after growth on diced cube cooked ham was also shown.
In conclusion this L. lactis strain could be selected as representing the best
candidate for a promising preservative treatment combining biopreservation and
HPP to control spore-forming bacteria in cooked ham.
PMID- 29655010
TI - The mycobiota of the production environments of traditional Norwegian salted and
dried mutton (pinnekjott).
AB - In 2013, mould growth on Norwegian ready-to-sell pinnekjott (dried and cured lamb
ribs) lead to the withdrawal of 200 tons of the product. The aim of this study
was to identify the mycobiota at two Norwegian production sites and determine
which species present the highest risk for reduced product quality and safety. A
total of 485 samples from 2014, 2015 and 2016 were analysed for Penicillium and
Aspergillus species. Both production sites showed a persistent mycobiota that
remained stable over three seasons. Samples from site A were dominated by P.
solitum while samples from site B were equally dominated by P. solitum, P.
brevicompactum/bialowiezense and P. nordicum. The presence of P. nordicum was
concentrated in one area of the production site where long-time stored hams were
produced, but P. nordicum was also found sporadically in other parts of the site.
Product samples taken from products with visible mould growth were at both sites
dominated by P. solitum, highlighting its importance for product quality. P.
nordicum was found frequently in the long-time stored hams, indicating a food
safety risk of these products. However, P. nordicum was rarely isolated from
pinnekjott. Aspergillus spp. were isolated from both sites at all samplings;
however, there were no Aspergillus isolated from products, and no sites were
repeatedly tested positive for identical species, indicating that Aspergillus is
not a part of the persistent mycobiota, but enters the site sporadically. In
conclusion, the study showed that a stable mycobiota consisting of few
Penicillium species dominated the products and production environments of
pinnekjott.
PMID- 29655011
TI - Endocrine outcomes of endoscopic versus transcranial resection of
craniopharyngiomas: A system review and meta-analysis.
AB - It is unclear whether the endoscopic technique has any advantages over
transcranial approach in the aspect of endocrine outcomes in patients with
craniopharyngiomas, thus, we conducted a systematic review and meta-analysis. We
conducted a comprehensive search of PubMed to identify relevant studies.
Pituitary, hypothalamus functions and recurrence were used as outcome measures. A
total of 39 cohort studies involving 3079 adult patients were included in the
comparison. Among these studies, 752 patients across 17 studies underwent
endoscopic transsphenoidal resection, and 2327 patients across 23 studies
underwent transcranial resection. More patients in the endoscopic group (75.7%)
had visual symptoms and endocrine symptoms (60.2%) than did patients in the
transcranial group (67.0%, p = 0.038 and 42.0%, p = 0.016). There was no
significant difference in hypopituitarism and pan-hypopituitarism after surgery
between the two groups: 72.2% and 43.7% of the patients in endoscopic group
compared to 80.7% and 48.3% in the transcranial group (p = 0.140 and p = 0.713).
We observed same proportions of transient and permanent diabetes insipidus in
both groups. Similar recurrence was observed in both groups (p = 0.131). Pooled
analysis showed that neither weight gain (p = 0.406) nor memory impairment (p =
0.995) differed between the two groups. Meta-regression analysis revealed that
gross total resection contributed to the heterogeneity of recurrence proportion
(p < 0.001). We observed similar proportions of endocrine outcomes and recurrence
in both endoscopic and transcranial groups. More recurrences were observed in
studies with lower proportions of gross total resection.
PMID- 29655012
TI - Is rivaroxaban associated with higher morbidity and mortality in patients with
traumatic head injuries? A retrospective cohort study comparing rivaroxaban, no
anticoagulation, and phenprocoumon.
AB - OBJECTIVES: The use of new anticoagulants potentially carries the risk of
increased intracranial bleeding, but there is a lack of evidence. The aim of this
study was to investigate whether the morbidity and mortality differs in head
trauma patients depending on the type of anticoagulation. PATIENTS AND METHODS: A
retrospective cohort study was conducted in 2009-2014. Based on sex, age, and
Glasgow-Coma Scale (GCS), patients that received rivaroxaban were matched to two
control groups, one that received no anticoagulant and another one that received
phenprocoumon. The primary outcome was mortality. Among others, secondary outcome
variables were the length of stay (LOS) at the hospital and presence of an
intracranial injury. RESULTS: Sixty-nine patients (23 patients per group) were
analyzed. The characteristics of patients did not differ significantly across
groups. There were no significant differences between groups for the primary and
secondary outcomes. Two patients died in the rivaroxaban group (one of them
likely due to head trauma), while one patient died in the phenprocoumon group
(likely not due to head trauma), and no patient died in the no anticoagulatoin
group (p = 0.36). The LOS at the hospital was similar (5.0, 4.0, and 5.0 days; p
= 0.94). An intracranial injury was observed in a similar number of patients in
all groups (n = 11, n = 10, and n = 8; p = 0.75). CONCLUSION: Although limited in
size, this study did not observe significant outcome differences in patients with
traumatic head injuries, who received rivaroxaban, no anticoagulant or
phenprocoumon. Although not significant, the only death likely due to head trauma
in the study occurred in the rivaroxaban group. Larger studies are needed before
clinical application of these findings.
PMID- 29655013
TI - Comparison of operative outcomes of eloquent glioma resection performed under
awake versus general anesthesia: A systematic review and meta-analysis.
AB - Surgical resection of eloquent glioma can be achieved under general anesthesia
(GA) or awake anesthesia (AA). The appeal of AA is that it facilitates
intraoperative identification and avoidance of eloquent areas, which has the
potential to minimize functional compromise. The aim of this meta-analysis was to
compare the operative outcomes of eloquent glioma resection performed under GA
compared to AA to assist in optimizing the decision algorithm between the two
approaches. Searches of seven electronic databases from inception to December
2017 were conducted following Preferred Reporting Items for Systematic reviews
and Meta-Analyses (PRISMA) guidelines. There were 1037 articles identified for
screening. Data were extracted and analyzed using meta-analysis of proportions. A
total of 9 comparative studies were included for analysis. Resection of glioma
involving eloquent areas achieved under AA is mostly comparable in terms of
operative and functional outcomes to that of GA. AA did demonstrate significantly
lower incidence of postoperative nausea and vomiting (PONV, OR, 0.17; p < 0.001)
and shorter length of stay (LOS, MD, -1.76 days; p = 0.02) when compared to GA.
Future studies that are larger, prospective, randomized, and include long term
quality of life metrics will assist in elucidating the true clinical benefit of
AA in resecting glioma involving eloquent areas. This will assist in further
developing management protocol of these glioma.
PMID- 29655015
TI - Toxic effect of [Omim]BF4 and [Omim]Br on antioxidant stress and oxidative damage
in earthworms (Eisenia fetida).
AB - In this paper, model soil organism, earthworms (Eisenia fetida), were selected to
examine the chronic toxic effect of two different ionic liquids (ILs) [Omim]BF4
and [Omim]Br. Earthworms were put into different ILs concentrations (0, 5, 10,
20, 40 mg/kg) in artificial soil and random selected on days 7, 14, 21 and 28.
Reactive oxygen species (ROS), antioxidant enzymes and detoxifying enzyme
glutathione-S-transferase (GST) were researched for determination of antioxidant
stress. Malondialdehyde (MDA) and olive tail moment (OTM) were researched to
determine the oxidative damage. Both the pollutants had the same effect on
earthworms: ILs led to accumulation of ROS, and then antioxidant enzymes and
detoxification enzyme all changed to eliminate the effects of ROS, and the above
process led to lipid peroxidation and DNA damage in earthworms. This paper shows
that [Omim]BF4 and [Omim]Br both caused toxicity to earthworms and had the
similar toxicity levels.
PMID- 29655014
TI - InP/ZnS QDs exposure induces developmental toxicity in rare minnow (Gobiocypris
rarus) embryos.
AB - We investigated the in vivo toxicity of InP/ZnS quantum dots (QDs) in Chinese
rare minnow (Gobiocypris rarus) embryos. The 72 h post-fertilization (hpf) LC50
(median lethal concentration) was 1678.007 nmol/L. Rare minnows exposed to
InP/ZnS QDs exhibited decreased spontaneous movement, decreased survival and
hatchability rates, and an increased malformation rate. Pericardial edema, spinal
curvature, bent tails and vitelline cysts were observed. Embryonic Wnt8a and Mstn
mRNA levels were significantly up-regulated after InP/ZnS QDs treatment at 48 hpf
(200 nmol/L) (p < 0.05). The superoxide dismutase (SOD) activity and
malondialdehyde (MDA) levels at 96 hpf (800 nmol/L) had an increasing trend.
Hsp70 mRNA expression was significantly changed at 48 hpf (200 nmol/L), but
compared with the blank control, the different InP/ZnS QDs treatments did not
significantly change the Olive tail moments (p > 0.05). Thus, InP/ZnS QDs caused
teratogenic effects and death during the development of Chinese rare minnow
embryos, but InP/ZnS QDs did not cause significant genetic toxicity during
Chinese rare minnow development.
PMID- 29655016
TI - Coil extensions improve line shapes by removing field distortions.
AB - The static magnetic susceptibility of the rf coil can substantially distort the
field B0 and be a dominant source of line broadening. A scaling argument shows
that this may be a particular problem in microcoil NMR. We propose coil
extensions to reduce the distortion. The actual rf coil is extended to a much
longer overall length by abutted coil segments that do not carry rf current. The
result is a long and nearly uniform sheath of copper wire, in terms of the static
susceptibility. The line shape improvement is demonstrated at 43.9 MHz and in
simulation calculations.
PMID- 29655017
TI - The impact of 'missed care' on the professional socialisation of nursing
students: A qualitative research study.
AB - BACKGROUND: Missed care is a recently described concept that is subject to an
increasing amount of international nursing research. The impact of missed care is
associated with poorer patient outcomes (mortality and morbidity) and poorer
levels of patient satisfaction with the services provided by the hospital. Missed
care has also been linked to decreased staff satisfaction and increased intention
to leave. Overall disaffection amongst registered nurses has also been reported.
Professional socialisation refers to the acquisition of behaviours within
cultural norms, and it has been suggested that students enter a period of
professional socialisation during their programme. Whilst it has been proposed
that students may absorb the characteristics of those around them, to date, no
empirical studies have reported the impact of missed care on student nurses.
METHODS: The aim of this project is to explore the impact of missed care on the
professional socialisation of student nurses. A qualitative study was undertaken
in one higher education institute in UK with final year pre-registration nursing
degree (adult field) students. Focus group interviews, utilizing a broad topic
guide, were used to collect data which was analysed using thematic analysis.
FINDINGS: Student nurses were aware that some planned care is missed and these
findings resonated with those identified in the literature. In addition to
illuminating aspects of professional socialisation, analysis yielded five themes
with regards to missed care: awareness, rationale, impact, strategies to avoid
and influence of missed care on career aspiration. Student nurses exposed to
missed care appear to accept this as part of their professional socialisation.
CONCLUSION: With regards to professional socialisation, student nurses developed
a pragmatic acceptance that care would be missed and that this could happen in
any environment. As such they did not see missed care as influencing their career
aspirations.
PMID- 29655018
TI - Evaluation of an interprofessional education program for advanced practice
nursing and dental students: The oral-systemic health connection.
AB - BACKGROUND: In response to the growing body of evidence supporting the need for
expanded interprofessional education among health professions, an
interprofessional education program, based on the Interprofessional Education
Collaborative Core Competencies, was piloted with nurse practitioner and dental
students. OBJECTIVES: The purpose of this pilot study was to evaluate a
technology enhanced interprofessional education program focused on the oral
systemic health connection for nurse practitioner and dental students. DESIGN: A
two-group comparative study using cross-sectional data and a quasi-experimental
one-group pre-test/post-test design were used to evaluate students' knowledge of
IPE core competencies, attitudes toward interprofessional education and
interdisciplinary teamwork, and self-efficacy in functioning as a member of an
interdisciplinary team. SETTING AND PARTICIPANTS: This program was implemented
with master of science in nursing students pursuing a primary care nurse
practitioner (NP) degree and dental students at a large urban academic health
sciences center. Cohort 1 (N = 75) consisted of NP (n = 34) and dental students
(n = 41) at the end of their degree program who participated in a one-time
survey. Cohort 2 (N = 116) was comprised of second-year NP students (n = 22) and
first-year dental students (n = 94) who participated in the IPE program. METHODS:
Students participated in a multi-faceted educational program consisting of
technology- enhanced delivery as well as interactive exercises in the joint
health assessment course. Data were collected prior to the initiation and at the
conclusion of the program. RESULTS: Nurse practitioner and dental students who
participated in the program had better self-efficacy in functioning as a member
of an interdisciplinary team than graduating students who did not participate.
Students from both nursing and dentistry who participated in the program had
significantly improved self-efficacy in functioning in interprofessional teams
from pre- to post-test. CONCLUSION: An interprofessional education program can be
a valuable addition to the health professions curriculum of nurse practitioner
and dental students. Care must be taken to address logistical issues when working
with students in different academic programs.
PMID- 29655019
TI - Emotional intelligence among nursing students: Findings from a cross-sectional
study.
AB - BACKGROUND: Emotional intelligence in nursing is of global interest.
International studies identify that emotional intelligence influences nurses'
work and relationships with patients. It is associated with compassion and care.
Nursing students scored higher on measures of emotional intelligence compared to
students of other study programmes. The level of emotional intelligence increases
with age and tends to be higher in women. OBJECTIVES: This study aims to measure
the differences in emotional intelligence between nursing students with previous
caring experience and those without; to examine the effects of gender on
emotional intelligence scores; and to test whether nursing students score higher
than engineering colleagues on emotional intelligence measures. DESIGN: A cross
sectional descriptive study design was used. SETTINGS AND PARTICIPANTS: The study
included 113 nursing and 104 engineering students at the beginning of their first
year of study at a university in Slovenia. DATA: Emotional intelligence was
measured using the Trait Emotional Intelligence Questionnaire (TEIQue) and
Schutte Self Report Emotional Intelligence Test (SSEIT). METHODS: Shapiro-Wilk's
test of normality was used to test the sample distribution, while the differences
in mean values were tested using Student t-test of independent samples. RESULTS:
Emotional intelligence was higher in nursing students (n = 113) than engineering
students (n = 104) in both measures [TEIQue t = 3.972; p < 0.001; SSEIT t =
8.288; p < 0.001]. Although nursing female students achieved higher emotional
intelligence scores than male students on both measures, the difference was not
statistically significant [TEIQue t = -0.839; p = 0.403; SSEIT t = -1.159; p =
0.249]. EI scores in nursing students with previous caring experience were not
higher compared to students without such experience for any measure [TEIQue t =
1.633; p = 0.105; SSEIT t = -0.595; p = 0.553]. CONCLUSIONS: Emotional
intelligence was higher in nursing than engineering students, and slightly higher
in women than men. It was not associated with previous caring experience.
PMID- 29655020
TI - Anomalous course of the sigmoid colon and the mesosigmoid encountered during
colectomy. A case report of a redundant loop of sigmoid colon.
AB - : Introduction Sigmoid colon constitutes a part of the large intestine that
presents several congenital anatomic variations. In particular, the presence of a
redundant loop of sigmoid colon is of tremendous importance for surgeons,
obstetricians and radiologists, since it is closely related to multiple
pathological conditions and functional implications of the neighboring anatomical
structures. PRESENTATION OF CASE: An unusual anatomic variation in position and
length of the sigmoid colon and its mesocolon was unexpectedly detected during
right hemicolectomy to a 67-year-old Caucasian male patient due to colon cancer.
The operation was uneventful. A meticulous review of the literature was conducted
as well. DISCUSSION: A redundant loop of sigmoid colon may go unnoticed or it
might lead to urinary, digestive and vascular complications. Its presence is
associated with acute and chronic pathological conditions, sigmoid volvulus and
serious confusions in radiological diagnosis and instrumentation. CONCLUSION:
Surgeons' thorough knowledge concerning this rare anatomic variation is
fundamental and crucial in order to establish a correct diagnosis and assert the
appropriate management when performing operations including pelvis and abdomen.
PMID- 29655021
TI - Obesity induced T cell dysfunction and implications for cancer immunotherapy.
AB - Obesity has been shown to increase risk for a number of different disorders,
including cancer. In addition, obesity is also associated with immune
dysfunction, which could contribute to its strong association with other
comorbidities. Recently, the immune system has been found to be heavily regulated
by changes in metabolism. In particular, T cells are able to respond to intrinsic
metabolic regulatory mechanisms, as well as extrinsic factors such as the changes
in metabolite availability. The dysfunctional metabolic environment created by
obesity could therefore have a direct impact on T cell responses. In this review,
we highlight recent findings in the fields of T cell biology and obesity, with a
focus on mechanisms driving T cell dysfunction and potential implications for
immunotherapeutic treatment of cancer.
PMID- 29655022
TI - Mass cytometry: a powerful tool for dissecting the immune landscape.
AB - Advancement in methodologies for single cell analysis has historically been a
major driver of progress in immunology. Currently, high dimensional flow
cytometry, mass cytometry and various forms of single cell sequencing-based
analysis methods are being widely adopted to expose the staggering heterogeneity
of immune cells in many contexts. Here, we focus on mass cytometry, a form of
flow cytometry that allows for simultaneous interrogation of more than 40
different marker molecules, including cytokines and transcription factors,
without the need for spectral compensation. We argue that mass cytometry occupies
an important niche within the landscape of single-cell analysis platforms that
enables the efficient and in-depth study of diverse immune cell subsets with an
ability to zoom-in on myeloid and lymphoid compartments in various tissues in
health and disease. We further discuss the unique features of mass cytometry that
are favorable for combining multiplex peptide-MHC multimer technology and
phenotypic characterization of antigen specific T cells. By referring to recent
studies revealing the complexities of tumor immune infiltrates, we highlight the
particular importance of this technology for studying cancer in the context of
cancer immunotherapy. Finally, we provide thoughts on current technical
limitations and how we imagine these being overcome.
PMID- 29655023
TI - Morphology and Molecular Phylogeny of Peritrich Ciliate Epibionts on Pelagic
Diatoms: Vorticella oceanica and Pseudovorticella coscinodisci sp. nov.
(Ciliophora, Peritrichia).
AB - Consortia of the peritrich ciliate Vorticella oceanica and the planktonic diatom
Chaetoceros coarctactus are reported from the South Atlantic Ocean. The
morphologies of the constituent species were similar to their counterparts from
other geographical regions, revealing a widespread distribution in tropical
waters. Besides, we describe Pseudovorticella coscinodisci sp. nov. that lives on
Coscinodiscus wailesii and other pelagic diatoms off Brazil. The zooids were 34
45MUm long, and 19-30MUm wide with a stalk too short to coil. The cell surface
showed a reticulate pellicle with 18-19 and 11-12 rows above and below the aboral
ciliary wreath, respectively. In the SSU rDNA phylogeny V. oceanica clustered
between the clades of Vorticella and Pseudovorticella/Epicarchesium, and
Pseudovorticella coscinodisci sp. nov. clustered as a sister group of
Pseudovorticella paracratera and P. sinensis. The V. oceanica-C. coarctactus
consortium likely represents an obligate species-specific mutualistic symbiosis
as the constituents are not known as free-living forms. In contrast, the diatom
hosts are known as free-living forms in the consortia of Pseudovorticella
coscinodisci. The new molecular data and species description will be valuable
dataset for future research on the diversity and ecological significance of
ciliate-diatom epibiotic consortia.
PMID- 29655025
TI - Design, facile synthesis, and evaluation of novel spiro- and pyrazolo[1,5
c]quinazolines as cholinesterase inhibitors: Molecular docking and MM/GBSA
studies.
AB - Given the wide spectrum of biological uses of pyrazolo[1,5-c]quinazoline and
spiro-quinazoline derivatives as anticancer, anti-inflammatory analgesic agents,
and their therapeutic applications in neurodegenerative disorders, it is
compulsory to find easy, efficient, and simple methods to obtain and chemically
diversify these families of compounds, thereby improving their biological
applications. In this paper, we report the design and eco-friendly two-step
synthesis of novel, fused spiro-pyrazolo[1,5-c]quinazoline derivatives as
cholinesterase inhibitors. In addition, we studied their protein-ligand
interactions via molecular docking and MM/GBSA calculations for a further
rational design of more potent inhibitors. In first step, 2-(1H-pyrazol-5
yl)anilines were obtained through microwave (MW) assisted solvent-free/catalyst
free conditions and the second step involved the synthesis of the spiro
pyrazolo[1,5-c]quinazolines by a cyclocondensation reaction between 2-(1H-pyrazol
5-yl)anilines and cyclic ketones, or acetophenones, using stirring at room
temperature. The compounds were obtained in high purity, good yields (50-97%),
and at varying reaction times. The spiro-compounds were evaluated as
acetylcholinesterase and butyrylcholinesterase inhibitors (AChEIs/BuChEIs)
respectively, and the most potent compound exhibited a moderate AChE inhibitory
activity (5f: IC50 = 84 MUM). Molecular docking studies indicated that the
binding mode of the compound 5f share common characteristics with the
galantamine/donepezil-AChE complexes. Moreover, free binding energy (DeltaG)
calculations showed a good agreement with the experimental biological activity
values. Our theoretical results indicated that halogen bond interactions could be
involved with differential potency of these compounds and provide a new starting
point to design novel pyrazolo[1,5-c]quinazolines as new anti-Alzheimer agents.
PMID- 29655026
TI - Interoceptive influences on peripersonal space boundary.
AB - Integration of body-related signals within the peripersonal space (PPS)
contributes to bodily self-awareness. Whereas several studies have shown how
individual PPS extension is shaped by external factors, e.g. during interactions
with people and objects, no studies have looked at interoceptive influences on
PPS extension. We exposed participants to an audio-tactile interaction task, to
measure their PPS boundary (Session 1), and to a heartbeat counting task and a
time estimation task, to specifically assess their interoceptive accuracy
(Session 2). Participants' traits of private self-consciousness and social
anxiety were also evaluated, to account for their possible effect on the relation
between interoception and PPS representation. We found that higher interoceptive
accuracy specifically predicts narrower PPS boundary. Moreover, this relation is
moderated by individual traits of private self-consciousness, but not social
anxiety. Extending the concept of interoceptive influences on exteroceptive body
representations to PPS, our results, first, support the idea that a dynamic
balance between intero-exteroceptive processing might represent a general
principle underlying bodily self-awareness; second, they shed light on how
interoception may affect also the way we interface with the external world.
Finally, showing that, in order for interoceptive accuracy to be effective on the
intero-exteroceptive balance, it is important that individuals tend to focus on
inner sensations and feelings, our results suggest that a comprehensive intero
exteroceptive model of bodily self-awareness should be (at least) a three
dimensional model that includes individual self-consciousness traits.
PMID- 29655027
TI - Identification of nonsynonymous TP53 mutations in hydatidiform moles.
AB - Hydatidiform mole (HM), an unusual pregnancy with pure or predominant paternal
genetic contribution, is the most common form of gestational trophoblastic
disease. Most HM regress after uterine evacuation but some will develop into
persistent disease or even frank malignancy. Although p53 is highly expressed in
HM, TP53 mutations have rarely been detected in previous studies. Here we
screened for specific missense mutations on several TP53 hotspots in 49 HMs using
a highly sensitive pyrosequencing approach and revealed the significant existence
of such mutations in HM tissues. A particularly high frequency (~59% of the
cases) of p53 inactivating mutation on exon 7 has been detected. Our
identification of hitherto unreported TP53 mutations in HM suggests the presence
of p53 mutants and reflects the advantages of using pyrosequencing for point
mutation detection in clinical samples. Traditional sequencing method may have
overlooked such mutations that only occur in a small population of trophoblasts.
PMID- 29655024
TI - Frontotemporal stimulation modulates semantically-guided visual search during
confrontation naming: A combined tDCS and eye tracking investigation.
AB - Transcranial direct current stimulation (tDCS) was paired with eye tracking to
elucidate contributions of frontal, temporoparietal and anterior temporal cortex
to early visual search patterns during picture naming (e.g., rapid visual
scanning to diagnostic semantic features). Neurotypical adults named line
drawings of objects prior to and following tDCS in three separate sessions, each
employing a unique electrode montage. The gaze data revealed montage by
stimulation (pre/post) interaction effects characterized by longer initial visual
fixations (mean difference = 89 ms; Cohen's d = .8) and cumulative fixation
durations (mean difference = 98 ms; Cohen's d = .9) on key semantic features
(e.g., the head of an animal) after cathodal frontotemporal stimulation relative
to the pre-stimulation baseline. We interpret these findings as reflecting a tDCS
induced modulation of semantic contributions of the anterior temporal lobe(s) to
top-down influences on object recognition. Further, we discuss implications for
the optimization of tDCS for the treatment of anomia in aphasia.
PMID- 29655028
TI - Modulation of human osteoclastogenesis and osteoblastogenesis by lycopene.
AB - Lycopene is a lipid-soluble pigment that is mainly found in tomato. It is the
carotenoid that presents the highest antioxidant potential, and due to that, it
has been implicated in a decrease of the risk of several oxidative-stress-related
disorders, such as cancer, inflammatory diseases and osteoporosis. Nevertheless,
at the present, there is no detailed information about how lycopene affects bone
metabolism. The aim of the present work was to characterize the cellular and
molecular effects of lycopene on human osteoclast and osteoblast differentiation
and function. It was observed that lycopene, at levels found in plasma after the
ingestion of lycopene-containing products, decreased osteoclast differentiation
but did not affect cell density/survival; calcium-phosphate resorbing ability was
also decreased. On the other hand, osteoblast proliferation (via a decrease on
apoptosis) and differentiation were increased in the presence of lycopene. The
observed effects in both cell types appeared to be related to significant changes
in MEK signaling pathway, but also in protein kinase C pathway in osteoclasts and
NFkB signaling in osteoblasts. In conclusion, lycopene appears to promote an
anabolic state of bone metabolism, stimulating osteoblastogenesis and inhibiting
osteoclastogenesis, which may contribute to the promotion of a proper health
status of bone tissue. This information might be relevant for the prevention and
delay in the progression of osteolytic bone conditions.
PMID- 29655029
TI - Trainee physician turnover and 30-day mortality in Korean intensive care units.
AB - PURPOSE: Previous studies have found an increase in in-hospital mortality when
trainee physicians rotate. Our retrospective cohort study investigated whether
trainee physicians' turnover influenced 30-day mortality in Korean intensive care
units (ICUs), which have high-intensity daytime intensivist coverage only on
weekdays. MATERIALS AND METHODS: Participants were adults over 19years old
admitted to ICUs in a Korean tertiary care academic hospital between 2012 and
2016. Demographic and clinical data were collected on admission, and dates of
death recorded. The primary outcome was the association between ICU admission in
the turnover periods (March and May) and 30-day mortality after admission to ICUs
with high-intensity daytime intensivist coverage. RESULTS: Overall, there was no
significant correlation between ICU admission during turnover periods and 30-day
mortality [hazard ratio (HR), 1.06; 95% confidence interval (CI), 0.83-1.35,
P=0.647]; the same trend was found for ICU admissions when there was no
intensivist coverage (HR: 1.24, 95% CI: 0.91-1.69, P=0.177). CONCLUSIONS: We
found no overall association between physician turnover and 30-day mortality of
patients admitted to ICUs with high-intensity daytime intensivist coverage or
with no intensivist coverage.
PMID- 29655030
TI - Associations between pain intensity and urge to smoke: Testing the role of
negative affect and pain catastrophizing.
AB - BACKGROUND: Cigarette smokers are more likely to experience pain than nonsmokers,
and experimental research indicates that pain is a potent motivator of smoking.
Urge to smoke is a predictor of early relapse, yet associations between pain and
urge to smoke have yet to be tested among daily smokers. This study aimed to
conduct the first crosssectional test of associations between current pain
intensity and urge to smoke, and to test the role of negative affect and pain
catastrophizing in relations between pain intensity and urge to smoke. METHODS:
Participants (N = 229, 42.4% Female, 38.9% black/African American, Mcpd = 21.9)
were recruited for a laboratory study of pain and smoking, and these data were
collected at the baseline session. Data were analyzed using a series of
regressions and conditional process models. RESULTS: Current pain intensity was
positively associated with urge to smoke, and urge to smoke for the relief of
negative affect. There was an indirect association via state negative affect,
such that pain intensity was positively associated with negative affect, which in
turn was associated with greater urge to smoke. Further, positive associations
between pain intensity and urge to smoke were only evident among smokers who
endorsed low (vs high) levels of catastrophizing. CONCLUSIONS: These findings
contribute to an emerging literature indicating that pain and related constructs
are relevant to the maintenance of tobacco smoking. Future research should
examine how painrelevant cognitive-affective factors may influence associations
between the experience of pain and motivation to smoke tobacco.
PMID- 29655032
TI - Increasing rates of quetiapine overdose, misuse, and mortality in Victoria,
Australia.
AB - BACKGROUND: Quetiapine is misused due to its anxiolytic and hedonic effects and
has been associated with deliberate self-harm. This study analyzed quetiapine
related calls to the Victorian Poisons Information Centre (VPIC), coronial data
from Victorian Institute of Forensic Medicine (VIFM) and prescribed data from the
Pharmaceutical Benefits Scheme (PBS) to determine current trends in overdose,
misuse and mortality. METHODS: This was a retrospective review of multiple
databases. Calls to VPIC and coronial data from the VIFM were reviewed from 2006
to 2016. PBS prescription data from 2000 to 2015 was obtained from the Australian
Statistics on Medicines website. RESULTS: VPIC data indicated a 6-fold increase
in the number of quetiapine-related calls over the 11-year period of which most
were overdose-related (77%). Overdose and misuse calls increased by 6-fold and
6.6-fold, respectively. Coronial data also indicated a rise in quetiapine-related
harm; a 7.4-fold increase in quetiapine-related deaths was recorded for the same
period. Similarly, Australian PBS data showed that quetiapine prescriptions
increased 285-fold since 2000. There was a significant positive correlation
between the increase in prescribing and overdose (r = 0.75, p < 0.001), and
prescribing and mortality (r = 0.82, p < 0.01). CONCLUSIONS: This study revealed
an increasing trend of misuse, non-fatal and fatal overdoses in Victoria over the
last decade. The increasing rates of prescriptions in Australia and thus
increased quetiapine availability are likely to have contributed to increased
poisoning and mortality. Further research is warranted to explore the reasons
behind increased prescribing, including off-label use.
PMID- 29655033
TI - CRISPR/Cas approach: A new way of looking at plant-abiotic interactions.
AB - It is not the most grounded of the species that survive, nor the most shrewd,
however one most receptive to change. Crop plants being sessile are subjected to
various abiotic stresses resulting significant yield losses about an average of
more than 50 percent, thus greatly threatening the global crop production. In
this regard, plant breeding innovations and genetic engineering approaches have
been used in the past for generating stress tolerant crop genotypes, but due to
complex inheritance of abiotic stress tolerance these approaches are not enough
to bring significant trait improvement and to guarantee world's future sustenance
security. Although, RNA interference (RNAi) technology has been utilized amid the
most recent decades to produce plants tolerant to environmental stress. But this
technique ordinarily prompts to down-regulate as opposed to complete inhibition
of target genes. Therefore, scientist/researchers were looking for techniques
that should be efficient, precise and reliable as well as have potential to solve
the issues experienced by previous approaches, and hence the CRISPR/Cas system
came into spotlight. Although, only few studies using CRISPR/Cas approach for
targeting abiotic stress tolerance related genes have been reported, but
suggested its effective role for future applications in molecular breeding to
improve abiotic stress tolerance. Hence, genome engineering via CRISPR-Cas system
for targeted mutagenesis promise its immense potential in generating elite
cultivars of crop plants with enhanced and durable climate resilience. Lastly,
CRISPR-Cas will be future of crop breeding as well as to target minor gene
variation of complex quantitative traits, and thus will be the key approach to
release global hunger and maintain food security.
PMID- 29655031
TI - Differences in nicotine dependence, smoke exposure and consumer characteristics
between smokers of machine-injected roll-your-own cigarettes and factory-made
cigarettes.
AB - BACKGROUND: Consumption of machine-injected roll-your-own (RYO) filtered
cigarettes made from pipe tobacco increased almost 7-fold from 2008 to 2011 in
the United States. METHODS: We used data from the Pennsylvania Adult Smoking
Study to compare the differences in sociodemographic, smoking topography,
nicotine dependence, and cotinine levels between 280 smokers using factory made
(FM) cigarettes and 68 smokers using RYO cigarettes. RESULTS: RYO smokers were
older (41 vs. 37, P = 0.053), had significantly lower levels of income (P <
0.001) and education (P = 0.007), and were less likely to be fully employed (P =
0.009). RYO smokers consumed more cigarettes per day [CPD] (21 vs. 15, P <
0.001), and had a higher mean score on the Fagerstrom Test for Cigarette/Nicotine
Dependence (5.2 vs. 4.1, P < 0.001). The main reasons for choosing RYO cigarettes
were the lower cost (68%) and believed they are less harmful (12%). The average
cost per pack of FM cigarettes was $5.74 vs. $1.13 for RYO. In multiple
regression analyses, RYO smokers had significantly lower cotinine levels across
all levels of CPD. Among smokers of king-size cigarettes, mean interpuff interval
(P < 0.05) and total smoke duration (P < 0.01) per cigarette was significantly
greater in RYO smokers. In laboratory measurements, RYO cigarettes contained more
tobacco by weight than FM cigarettes, but weight varied by both tobacco and
cigarette tube brands. CONCLUSIONS: Machine-injected RYO cigarettes made from
pipe tobacco are cheaper than FM cigarettes but may have higher abuse liability.
Smokers who might otherwise reduce their cigarette consumption or quit altogether
may continue to smoke RYO cigarettes due to their affordability.
PMID- 29655034
TI - Strangulation of the heart presenting as sudden cardiac death: A deadly but
forgotten complication of epicardial pacing device.
AB - Strangulation of the heart appears to be an exceedingly rare mechanical
phenomenon that can develop with epicardial pacing wires in individuals
undergoing somatic growth. Over the passage of time, owing to size mismatch
between a fixed wire length and dynamically growing heart, the epicardial wire
may embed around the heart resulting in the progressive over-pressurizing of
involved cardiac structures. Depending on the location of the peak constriction
pressures, cardiac strangulation may damagingly affect coronary circulation,
heart valves function, or physiologic filling of the heart chambers, with risk of
myocardial ischemia, decreased cardiac output, acute cardiac failure, ventricular
arrhythmias and death. We report a case of a 29-year-old male with sudden cardiac
death owing to cardiac strangulation with epicardial pacing wire that had been
retained in place almost 30 years. At autopsy, an enlarged and abnormally
contoured heart was found to be strangulated by an epicardial pacing wire;
histopathologic examination confirmed hypertrophy of the myocardial fibers,
myofiber disarrangement, and replacement-type fibrolipomatosis. In addition, this
article consolidates the available literature on cardiac strangulation by an
epicardial wire and highlight relevant clinical and medico-legal features for the
forensic professionals.
PMID- 29655035
TI - An insoluble iron complex coated cathode enhances direct electron uptake by
Rhodopseudomonas palustris TIE-1.
AB - Microbial electrosynthesis (MES) is a promising bioelectrochemical approach to
produce biochemicals. A previous study showed that Rhodopseudomonas palustris TIE
1 can directly use poised electrodes as electron donors for photoautotrophic
growth at cathodic potentials that avoid electrolytic H2 production
(photoelectroautotrophy). To make TIE-1 an effective biocatalyst for MES, we need
to improve its electron uptake ability and growth under photoelectroautotrophic
conditions. Because TIE-1 interacts with various forms of iron while using it as
a source of electrons for photoautotrophy (photoferroautotrophy), we tested the
ability of iron-based redox mediators to enhance direct electron uptake. Our data
show that soluble iron cannot act as a redox mediator for electron uptake by TIE
1 from a cathode poised at +100mV vs. Standard Hydrogen electrode. We then tested
whether an immobilized iron-based redox mediator Prussian blue (PB) can enhance
electron uptake by TIE-1. Chronoamperometry indicates that cathodic current
uptake by TIE-1 increased from 1.47+/-0.04 to 5.6+/-0.09MUA/cm2 (3.8 times).
Overall, our data show that immobilized PB can enhance direct electron uptake by
TIE-1.
PMID- 29655036
TI - Hair cortisol and progesterone detection in dairy cattle: interrelation with
physiological status and milk production.
AB - Hair cortisol concentrations (HCCs) and hair progesterone concentrations (HPCs)
allow monitoring long-term retrospective steroid levels. However, there are still
gaps in the knowledge of the mechanisms of steroid deposition in hair and its
potential application in dairy cattle research. This study aimed to evaluate the
potential uses of hair steroid determinations by studying the interrelations
between HCC, HPC, physiological data from cows, and their milk production and
quality. Cortisol and progesterone concentrations were analyzed in hair from 101
milking Holstein Friesian cows in a commercial farm. Physiological data were
obtained from the 60 d prior to hair collection. Moreover, productive data from
the month when hair was collected and the previous one were also obtained as well
as at 124 d after hair sampling. Significant but weak correlations were found
between HCC and HPC (r = 0.25, P < 0.0001) and between HPC and age (r = 0.06, P =
0.0133). High HCC were associated with low milk yields from the 2 previous months
to hair sampling (P = 0.0396) and during the whole lactation (P < 0.0001). High
HCC were also related to high somatic cell count (P = 0.0241). No effect of HCC
on fat or protein content was detected. No significant correlations were detected
between hair steroid concentrations and pregnancy status, days of gestation,
parturition category (primiparous vs multiparous), number of lactations or days
in milk. The relationship between physiological variables and HCC or HPC could
depend on the duration of the time period over which hair accumulates hormones.
Steroid concentrations in hair present high variability between individuals but
are a potential tool for dairy cattle welfare and production research by
providing a useful and practical tool for long-term steroid monitoring.
PMID- 29655037
TI - Genetic polymorphisms of GRIN2A and GRIN2B modify the neurobehavioral effects of
low-level lead exposure in children.
AB - Lead (Pb) is neurotoxic and children are highly susceptible to this effect,
particularly within the context of continuous low-level Pb exposure. A current
major challenge is identification of children who may be uniquely susceptible to
Pb toxicity because of genetic predisposition. Learning and memory are among the
neurobehavioral processes that are most notably affected by Pb exposure, and
modification of N-methyl-D-aspartate receptors (NMDAR) that regulate these
processes during development are postulated to underlie these adverse effects of
Pb. We examined the hypothesis that polymorphic variants of genes encoding
glutamate receptor, ionotropic, NMDAR subunits 2A and 2B, GRIN2A and GRIN2B,
exacerbate the adverse effects of Pb exposure on these processes in children.
Participants were subjects who participated as children in the Casa Pia Dental
Amalgam Clinical Trial and for whom baseline blood Pb concentrations and annual
neurobehavioral test results over the 7 year course of the clinical trial were
available. Genotyping assays were performed for variants of GRIN2A (rs727605 and
rs1070503) and GRIN2B (rs7301328 and rs1806201) on biological samples acquired
from 330 of the original 507 trial participants. Regression modeling strategies
were employed to evaluate the association between genotype status, Pb exposure,
and neurobehavioral test outcomes. Numerous significant adverse interaction
effects between variants of both GRIN2A and GRIN2B, individually and in
combination, and Pb exposure were observed particularly among boys,
preferentially within the domains of Learning & Memory and Executive Function. In
contrast, very few interaction effects were observed among similarly genotyped
girls with comparable Pb exposure. These findings support observations of an
essential role of GRIN2A and GRIN2B on developmental processes underlying
learning and memory as well as other neurological functions in children and
demonstrate, further, modification of Pb effects on these processes by specific
variants of both GRIN2A and GRIN2B genes. These observations highlight the
importance of genetic factors in defining susceptibility to Pb neurotoxicity and
may have important public health implications for future strategies aimed at
protecting children and adolescents from potential health risks associated with
low-level Pb exposure.
PMID- 29655038
TI - Electron Spin Resonance (ESR) for the study of Reactive Oxygen Species (ROS) on
the isolated frog skin (Pelophylax bergeri): A non-invasive method for
environmental monitoring.
AB - BACKGROUND: Reactive oxygen species (ROS) in biological tissues of elected
biosentinels represent an optimal biomarker for eco-monitoring of polluted areas.
Electron spin resonance (ESR) is the most definitive method for detecting,
quantifying and possibly identifying radicals in complex systems. OBJECTIVE: A
non-invasive method for monitoring polluted areas by the quantitative
determination of ROS in frog skin biopsy is presented. METHODS: We assessed by
ESR spectroscopy the ROS level in adult male of Pelophylax bergeri, specie not a
risk of extinction, collected from the polluted Sarno River (SA, Italy) basin.
The spin-trap ESR method was validated by immunohistochemical analysis of the
well-assessed pollution biomarkers cytochrome P450 aromatase 1A (CYP1A) and
glutathione S-transferase (GST), and by determining the poly(ADPribose)
polymerase (PARP) and GST enzymatic activity. RESULTS: ROS concentration in skin
samples from frogs collected in the polluted area is significantly higher than
that determined for the unpolluted reference area. Immunohistochemical analysis
of CYP1A and GST supported the reliability of our approach, even in the absence
of evident morphological and ultrastructural differences. PARP activity assay,
connected to possible oxidative DNA damage, and the detoxification index by GST
enzymatic assay give statistically significant evidence that higher levels of ROS
are associated to alterations of the different biomarkers. CONCLUSIONS: ROS
concentration, measured by ESR on isolated frog skin, through the presented non
lethal method, is a reliable biomarker for toxicity screening and represents a
useful basic datum for future modelling studies on environmental monitoring and
biodiversity loss prevention.
PMID- 29655039
TI - Engaging outreach workers in the development of a farmworker health research
agenda.
AB - Farmworker health outreach workers have not traditionally been involved in the
prioritization and design of research studies. This report from the field shares
the results of a survey administered to outreach workers providing health
services to farmworkers in North Carolina. Priority areas of research and best
practices for engaged research are presented from the perspective of the outreach
worker community. The purposeful involvement of outreach workers can make
farmworker health research more meaningful and solution-oriented.
PMID- 29655040
TI - Parental stress and air pollution increase childhood asthma in China.
AB - BACKGROUND: Although air pollution and social stress may independently increase
childhood asthma, little is known on their synergistic effect on asthma,
particularly in China with high levels of stress and air pollution. OBJECTIVES:
To examine associations between exposure to a combination of parental stress and
air pollution and asthma prevalence in children. METHODS: We conducted a cohort
study of 2406 preschool children in Changsha (2011-2012). A questionnaire was
used to collect children's lifetime prevalence of asthma and their parental
stress. Parental socioeconomic and psychosocial stresses were respectively
defined in terms of housing size and difficulty concentrating. Children's
exposure to ambient air pollutants was estimated using concentrations measured at
monitoring stations. Associations between exposure to parental stress and air
pollution and childhood asthma were estimated by multiple logistic regression
models using odds ratio (OR) and 95% confidence interval (CI). RESULTS: Life time
prevalence of asthma in preschool children (6.7%) was significantly associated
with parental socioeconomic and psychosocial stresses with OR (95% CI)
respectively 1.48 (1.02-2.16) and 1.64 (1.00-2.71). Asthma was also associated
with exposure to air pollutants, with adjusted OR (95% CI) during prenatal and
postnatal periods respectively 1.43 (1.10-1.86) and 1.35 (1.02-1.79) for SO2 and
1.61 (1.19-2.18) and 1.76 (1.19-2.61) for NO2. The association with air pollution
was significant only in children exposed to high parental stress, the association
with parental stress was significant only in children exposed to high air
pollution, and the association was the strongest in children exposed to a
combination of parental stress and air pollution. Sensitivity analysis showed
that the synergistic effects of parental stress and air pollution on childhood
asthma were stronger in boys. CONCLUSIONS: Parental stress and air pollution were
synergistically associated with increased childhood asthma, indicating a common
biological effect of parental stress and air pollution during both prenatal and
postnatal periods.
PMID- 29655041
TI - Learning for pitch and melody discrimination in congenital amusia.
AB - Congenital amusia is currently thought to be a life-long neurogenetic disorder in
music perception, impervious to training in pitch or melody discrimination. This
study provides an explicit test of whether amusic deficits can be reduced with
training. Twenty amusics and 20 matched controls participated in four sessions of
psychophysical training involving either pure-tone (500 Hz) pitch discrimination
or a control task of lateralization (interaural level differences for bandpass
white noise). Pure-tone pitch discrimination at low, medium, and high frequencies
(500, 2000, and 8000 Hz) was measured before and after training (pretest and
posttest) to determine the specificity of learning. Melody discrimination was
also assessed before and after training using the full Montreal Battery of
Evaluation of Amusia, the most widely used standardized test to diagnose amusia.
Amusics performed more poorly than controls in pitch but not localization
discrimination, but both groups improved with practice on the trained stimuli.
Learning was broad, occurring across all three frequencies and melody
discrimination for all groups, including those who trained on the non-pitch
control task. Following training, 11 of 20 amusics no longer met the global
diagnostic criteria for amusia. A separate group of untrained controls (n = 20),
who also completed melody discrimination and pretest, improved by an equal amount
as trained controls on all measures, suggesting that the bulk of learning for the
control group occurred very rapidly from the pretest. Thirty-one trained
participants (13 amusics) returned one year later to assess long-term maintenance
of pitch and melody discrimination. On average, there was no change in
performance between posttest and one-year follow-up, demonstrating that
improvements on pitch- and melody-related tasks in amusics and controls can be
maintained. The findings indicate that amusia is not always a life-long deficit
when using the current standard diagnostic criteria.
PMID- 29655043
TI - Patterns of neural response in face regions are predicted by low-level image
properties.
AB - Models of face processing suggest that the neural response in different face
regions is selective for higher-level attributes of the face, such as identity
and expression. However, it remains unclear to what extent the response in these
regions can also be explained by more basic organizing principles. Here, we used
functional magnetic resonance imaging multivariate pattern analysis (fMRI-MVPA)
to ask whether spatial patterns of response in the core face regions (occipital
face area - OFA, fusiform face area - FFA, superior temporal sulcus - STS) can be
predicted across different participants by lower level properties of the
stimulus. First, we compared the neural response to face identity and viewpoint,
by showing images of different identities from different viewpoints. The patterns
of neural response in the core face regions were predicted by the viewpoint, but
not the identity of the face. Next, we compared the neural response to viewpoint
and expression, by showing images with different expressions from different
viewpoints. Again, viewpoint, but not expression, predicted patterns of response
in face regions. Finally, we show that the effect of viewpoint in both
experiments could be explained by changes in low-level image properties. Our
results suggest that a key determinant of the neural representation in these core
face regions involves lower-level image properties rather than an explicit
representation of higher-level attributes in the face. The advantage of a
relatively image-based representation is that it can be used flexibly in the
perception of faces.
PMID- 29655042
TI - Supramodal agnosia for oblique mirror orientation in patients with
periventricular leukomalacia.
AB - Periventricular leukomalacia (PVL) is characterized by focal necrosis at the
level of the periventricular white matter, often observed in preterm infants. PVL
is frequently associated with motor impairment and with visual deficits affecting
primary stages of visual processes as well as higher visual cognitive abilities.
Here we describe six PVL subjects, with normal verbal IQ, showing orientation
perception deficits in both the haptic and visual domains. Subjects were asked to
compare the orientation of two stimuli presented simultaneously or sequentially,
using both a two alternative forced choice (2AFC) orientation-discrimination and
a matching procedure. Visual stimuli were oriented gratings or bars or collinear
short lines embedded within a random pattern. Haptic stimuli comprised two
rotatable wooden sticks. PVL patients performed at chance in discriminating the
oblique orientation, both for visual and haptic stimuli. Moreover when asked to
reproduce the oblique orientation, they often oriented the stimulus along the
symmetric mirror orientation. The deficit generalized to stimuli varying in many
low level features, was invariant for spatiotopic object orientation, and also
occurred for sequential presentations. The deficit was specific to oblique
orientations, and not for horizontal or vertical stimuli. These findings show
that PVL can affect a specific network involved with the supramodal perception of
mirror symmetry orientation.
PMID- 29655044
TI - Recommended outcome measures for inpatient rehabilitation of multiple sclerosis
are not appropriate for the patients with substantially impaired mobility.
AB - BACKGROUND: In multiple sclerosis (MS) rehabilitation, most currently used
outcome measures were validated in patients with a relapsing remitting MS and
mild to moderate impairments. We aimed to assess whether these measures were also
adequate in more impaired patients, frequently encountered in those with
progressive MS (PMS). METHODS: Outcome measurements were extracted from medical
records of 229 patients with PMS undergoing 3 weeks of routine inpatient
rehabilitation between 2011 and 2015. We assessed the acceptability of Nine-Hole
Peg Test (9HPT), Timed 25-Foot Walk (T25FW), 2-Minute Walk Test (2MWT), Rivermead
Mobility Index (RMI) and the Functional Independence Measure (FIM) by analysing
their statistical distributions, concurrent validity by comparing Spearman
correlations with pre-specified hypotheses, and responsiveness across impairment
status by calculating standardized response means. RESULTS: Our concurrent
validity hypotheses were mainly satisfied. However, all outcome measures had
skewed distributions, showed low variability, and thus were inadequately
discriminative. Moreover, 9HPT was never responsive across the impairment states,
whereas the T25FW was responsive for mildly impaired patients, and the 2MWT for
mild to moderate MS, respectively. Generic multi-items measures such as RMI and
FIM-motor were adequately responsive for all severity levels. CONCLUSIONS:
Currently used outcome measures are inadequate for patients with impaired
mobility, and there is a dire need of specifically designed outcome measures for
routine care that are less burdensome and short-term responsive.
PMID- 29655045
TI - Identification of pre-impact conditions of a cyclist involved in a vehicle
bicycle accident using an optimized MADYMO reconstruction combined with motion
capture.
AB - The aim of the present study was to develop an improved method, using MADYMO
multi-body simulation software combined with an optimization method and three
dimensional (3D) motion capture, for identifying the pre-impact conditions of a
cyclist (walking or cycling) involved in a vehicle-bicycle accident. First, a 3D
motion capture system was used to analyze coupled motions of a volunteer while
walking and cycling. The motion capture results were used to define the posture
of the human model during walking and cycling simulations. Then, cyclist, bicycle
and vehicle models were developed. Pre-impact parameters of the models were
treated as unknown design variables. Finally, a multi-objective genetic
algorithm, the nondominated sorting genetic algorithm II, was used to find
optimal solutions. The objective functions of the walk parameter were
significantly lower than cycle parameter; thus, the cyclist was more likely to
have been walking with the bicycle than riding the bicycle. In the most closely
matched result found, all observed contact points matched and the injury
parameters correlated well with the real injuries sustained by the cyclist. Based
on the real accident reconstruction, the present study indicates that MADYMO
multi-body simulation software, combined with an optimization method and 3D
motion capture, can be used to identify the pre-impact conditions of a cyclist
involved in a vehicle-bicycle accident.
PMID- 29655046
TI - Enhancing the electrical, optical, and magnetic characteristics of DNA thin films
through Mn2+ fortification.
AB - DNA is one of the most propitious biomaterials for use in nanoscience and
nanotechnology because of its exceptional characteristics, i.e. self-assembly and
sequence-programmability. In this study, we fabricate sequence-designed double
crossover (DX) DNA lattices and naturally available salmon DNA (SDNA) thin films
modified with the transition metal ion Mn2+. Phase transition of DX DNA lattices
from crystalline to amorphous form controlled by varying the concentration of
Mn2+ is discussed and a critical transition concentration ([Mn2+]C) is estimated.
In addition, the electrical, optical, and magnetic properties of Mn2+-modified
SDNA thin films including current, absorbance, photoluminescence, the X-ray
photoelectron spectrum, and magnetization are studied to understand their
conductivity, binding modes, energy transfer characteristics, chemical
composition, and magnetism. Interestingly, the physical values such as the
maximum current and photoluminescence, and the minimum absorbance, occur at
around [Mn2+]C =4 mM, which may be due to the optimal incorporation of Mn2+ into
the SDNA. The magnetization and susceptibility of SDNA thin films with Mn2+,
served as magnetic dipoles, are studied under different temperature and magnetic
field. The magnetization of SDNA thin films with [Mn2+]C shows an S-shaped curve,
indicating ferromagnetism.
PMID- 29655047
TI - Effect of surfactant concentration on the evaporation of droplets on cotton
(Gossypium hirsutum L.) leaves.
AB - The evaporation kinetics of pesticide droplets deposited on a leaf surface can
affect their application efficiency. Evaporation of droplets on the hydrophobic
leaves has received considerable attention, but little is known about hydrophilic
leaf surfaces. In this study, the effect of surfactant concentration on the
evaporation of droplets deposited on cotton leaves was investigated. The
evaporation time is roughly decreased for concentrations ranging from 0% to 0.01%
and increased from 0.01% to 0.10%. Contrary to the widely held belief that
pesticide retention on target crops can rapidly be formed only with surfactant
concentrations exceeding the CMC (critical micelle concentration), this study
demonstrates that, on hydrophilic cotton leaves, fast evaporation of the droplet
at surfactant concentrations of 0.01% (CMC) can reduce the volume quickly, lower
the loss point and enhance pesticide retention. In addition, the evolution of
droplet volume, height and contact angle on the cotton leaf surface were measured
to confirm this conclusion. The result presented herein can be used to guide the
use of surfactants and pesticides in agriculture.
PMID- 29655048
TI - The problem of 'well' in the term 'Well-being'.
PMID- 29655049
TI - Delusional disorder in brain abscess: Searching the missing link.
PMID- 29655050
TI - Aerobic glycolysis and tau deposition in preclinical Alzheimer's disease.
AB - Research of the human brain metabolism in vivo has largely focused on total
glucose use (via fluorodeoxyglucose positron emission tomography) and, until
recently, did not examine the use of glucose outside oxidative phosphorylation,
which is known as aerobic glycolysis (AG). AG supports important functions
including biosynthesis and neuroprotection but decreases dramatically with aging.
This multitracer positron emission tomography study evaluated the relationship
between AG, total glucose use (CMRGlc), oxygen metabolism (CMRO2), tau, and
amyloid deposition in 42 individuals, including those at preclinical and
symptomatic stages of Alzheimer's disease. Our findings demonstrate that in
individuals with amyloid burden, lower AG is associated with higher tau
deposition. No such correlation was observed for CMRGlc or CMRO2. We suggest that
aging-related loss of AG leading to decreased synaptic plasticity and
neuroprotection may accelerate tauopathy in individuals with amyloid burden.
Longitudinal AG and Alzheimer's disease pathology studies are needed to verify
causality.
PMID- 29655051
TI - Nonlinear pattern of the emergence of white matter hyperintensity in healthy Han
Chinese: an adult lifespan study.
AB - White matter hyperintensities (WMHs) are prevalent in the older adult and are
often accompanied by cognitive decline and an increased risk of dementia.
However, the roles of WMHs in the periventricular white matter and deep white
matter regions in the aging process remain controversial. This study aimed to
investigate the WMH burden across the adult lifespan and determine the
interrelationships among age, WMH, and cognition. The present study included 312
healthy individuals aged 21-89 years who received structural magnetic resonance
imaging and cognitive assessments. Periventricular WMH (PVWMH) and deep WMH
(DWMH) volumes were computed and fitted using different regression models to
evaluate the trajectory of WMH changes across the lifespan. Our findings support
that the changes in WMH volume in the healthy population follow a nonlinear
pattern with age, especially in PVWMH. With 2-mediator mediation analysis, we
further suggest that the effect of age on the cognitive performance is mediated
only by PVWMH. Conclusively, the increased PVWMH, but not DWMH, plays a major
role in predicting cognitive aging in healthy adults.
PMID- 29655052
TI - Modeling Possible Inclusion of Pneumococcal Conjugate Vaccine into the National
Immunization Program for Infants in India.
AB - BACKGROUND: India is home to up to 28 million infants born annually, and yet to a
large extent these children do not benefit from the protection provided by a
pneumococcal conjugate vaccine (PCV) immunization program. The Government of
India, with support from Gavi, The Vaccine Alliance (in short, Gavi), has
committed to a pilot implementation of PCV. There are few public health impact
evaluations available for India, and equally limited epidemiologic data.
OBJECTIVES: To estimate the potential impact of an infant pneumococcal
vaccination program in India. METHODS: Using a well-established pneumococcal
disease impact model parameterized with local data to the extent possible, we
calculated the potential impact of introducing an infant PCV program in India.
The model considered direct vaccine protection by PCV10 or PCV13, focusing on
children younger than 5 years, while varying vaccine uptake according to the
implementation method (i.e., state-level programs [Gavi funding] or a government
supported national immunization program [NIP]). RESULTS: With state-level PCV13
programs comprising 25% uptake across the country, approximately 1.9 million
cases of pneumococcal disease and approximately 77,000 deaths could be prevented
annually. An NIP with PCV13 could prevent approximately 7.6 million cases of
pneumococcal disease and approximately 0.3 million pneumococcal deaths annually,
compared with no vaccination, considering 100% vaccine uptake. These results are
likely to have underestimated the additional potential benefits of herd effects
in unvaccinated children and adults. CONCLUSIONS: Incorporation of PCV into an
Indian vaccination program for infants is predicted to have a substantially
positive health impact. Gavi funding of state-level programs is an important step
toward achieving the full benefits of an NIP in India.
PMID- 29655053
TI - Molecular interactions of the anticancer agent ellipticine with
glycosaminoglycans by in silico analysis.
AB - The anticancer agent ellipticine (ELP) functions as a DNA intercalating drug.
Depending on the pH of the medium, it exists both in a neutral and a protonated
form. In acidic extracellular microenvironment characteristic to malignant
tissues, charged ELP molecules can also bind to glycosaminoglycans (GAGs), linear
anionic periodic polysaccharides, which interact with various protein targets
affecting diverse cellular events. Although a previous experimental work
indicated specific GAG binding of protonated ELP, the underlying molecular
mechanisms remain to be elucidated. From a computational point of view, analysis
of molecular systems containing GAGs is challenging due to their high
flexibility, variability in sulfation patterns and a key role of electrostatics
and solvent-mediated interactions. In the present study, molecular dynamics-based
approaches were employed to model ELP-GAG interactions in order to unveil the
atomistic details of this biologically relevant molecular system. We
characterized dynamic and energetic properties of three kinds of ELP-GAG
complexes to rationalize and complement the available experimental data. The
results reported herein provide insight into possible molecular pathways by which
biological actions of ELP are mediated.
PMID- 29655055
TI - Geniposide improves hepatic inflammation in diabetic db/db mice.
AB - The current study was designed to investigate the protective role of geniposide
(GE) in liver injury in diabetic C57BL/KsJ-db/db mice and to explore the
underlying mechanisms. The oral glucose tolerance test was performed, and the
levels of insulin, alanine aminotransferase (ALT), aspartate aminotransaminase
(AST), total cholesterol (TC) and triglyceride (TG) were determined. The levels
of the pro-inflammatory cytokines interleukin (IL)-1beta, IL-6 and tumour
necrosis factor-alpha were decreased by GE, metformin and fasudil in diabetic
db/db mice. Western blotting analysis showed that the expression levels of Rho,
ROCK1, ROCK2, p-NF-kappaBp65 and p-IkappaBalpha were significantly reversed by GE
treatment. These findings demonstrated that GE exhibits a protective effect on
diabetic hepatic inflammation.
PMID- 29655054
TI - Oregonin inhibits inflammation and protects against barrier disruption in
intestinal epithelial cells.
AB - BACKGROUND AND AIMS: Oregonin, a major diarylheptanoid derivative isolated from
Alnus japonica, exerts anti-inflammatory effects; however, little is known about
the effect of oregonin in intestinal inflammation. The current study investigated
the potential of oregonin for clinical applications in the treatment of
inflammatory bowel disease (IBD) and elucidated its underlying molecular
mechanisms. METHODS: The anti-inflammatory effect of oregonin in tumor necrosis
factor-alpha (TNF-alpha)-stimulated human intestinal epithelial HT-29 cells was
investigated. In addition, the protective effect of oregonin was determined
against disruption of the intestinal barrier in tert-butyl hydroperoxide (t-BH)
stimulated human intestinal epithelial Caco-2 cells. RESULTS: Oregonin suppressed
the expression of cyclooxygenase-2 (COX-2), intercellular adhesion molecule-1
(ICAM-1), IL-8, and IL-1beta, and inhibited activation of nuclear factor kappaB
(NF-kappaB) in HT-29 cells stimulated with TNF-alpha. Oregonin increased heme
oxygenase-1 (HO-1) expression through the ERK1/2 and JNK-dependent signaling
pathway, which contributed to the oregonin-mediated suppression of COX-2
expression in the HT-29 cells stimulated with TNF-alpha. Moreover, oregonin
induced AMP-activated protein kinase (AMPK) activation. Knockdown of AMPK
abolished the induction of HO-1 protein by oregonin and suppression of oregonin
mediated ICAM-1 and COX-2 expression in the HT-29 cells stimulated with TNF
alpha. Oregonin prevented the t-BH-induced increase in monolayer permeability
through inhibition of the reduction in expression of zonula occludens-1 and
occludin in Caco-2 cells. Targeting HO-1 by siRNA transfection attenuated the
oregonin-mediated prevention of loss of tight junction proteins and increase in
permeability. CONCLUSION: The findings of this study suggest that oregonin is a
potential candidate for treatment of IBD by preventing mucosal inflammation and
barrier disruption.
PMID- 29655056
TI - IL-17 inhibits the accumulation of myeloid-derived suppressor cells in breast
cancer via activating STAT3.
AB - Breast cancer (BC) is one of the most common cancers, with high recurrence rate
and poor prognosis of recurrent metastatic disease. In our study, we analyzed the
markers and development of markers of myeloid-derived suppressor cells (MDSCs)
for BC progression and occurrence. MDSCs is one of the major orchestrators of the
immunosuppressive network, are associated with immune suppression and considered
a prime target for cancer immunotherapy. Interleukin-17 (IL-17) is a signature
cytokine of Th17 cells. Previous research has indicated that IL-17 plays a
proinflammatory role. It was found to increase frequencies at certain tumors and
involved in angiogenesis. This study aims to elucidate the biological role of IL
17 on MDSC in BC cells. Expression of MDSC in peripheral blood of 80 BCE patients
and 20 healthy volunteers was compared. Our results indicate that MDSC numbers in
patients with BC were higher than healthy donors. Moreover, the clinical grade
and prognosis were correlate with the number of MDSC. In this study, we
investigated the effect of IL-17 on MDSCs. CCK-8 assay and flow cytometry were
used to assess the proliferation and apoptosis of cells. The expression of key
MDSC-related molecules was detected by western blotting. We identified
administration with IL-17 in vitro significantly induced MDSC differentiation,
inhibited their proliferation and triggered apoptosis. In addition, Low IL-17
inhibited the activation of STAT3, leading to increase formation of MDSCs in BC.
Our results from experiments suggest that the effects of IL-17 mediate activation
of STAT3 signaling in BC cells. Taken together, our study shows that MDSCs can be
a new type prognostic marker in BC patient. Targeting IL-17/Stat3 signaling may
be a promising strategy for BC treatment.
PMID- 29655057
TI - Isolation, identification and characterization of apigenin from Justicia
gendarussa and its anti-inflammatory activity.
AB - Inflammatory responses during chronic diseases such as atherosclerosis, cancer
etc., are harmful to host organisms. Generally NSAIDs are used to treat against
these severe conditions but due to its adverse effects studies are going on with
medicinal plants, since they are rich in bioactive compounds. Justicia gendarussa
is one such plant which has been used as a remedial measure for treating
inflammatory diseases since ancient time. Thus the present study involved in the
isolation, characterization and identification of apigenin (flavonoid) from this
plant and to elucidate its molecular mechanism against inflammation via TLR-NF
kappaB signaling pathway using ox-LDL induced hPBMCs in in vitro model.
Methanolic extract was used for the isolation process and results showed that the
F6 fraction collected from ethyl acetate through column chromatography showed 89%
paw edema inhibition at a dose of 10 mg/kg in carrageenan induced rats.
Purification of F6 by TLC with toluene: chloroform: acetone (8:5:7) and further
characterization by 1HNMR indicated the presence of bioactive compound, apigenin.
In vitro studies revealed that pretreatment of ox-LDL induced hPBMCs with
apigenin (25 MUM) significantly (P < 0.05) reduced the levels of TLR4, MyD88,
TRIF, TRAF6, NF-kappaB, COX-2, PGE2, IL-1beta and TNF-alpha responsible for
generating inflammation and elevated the level of anti-inflammatory cytokine, IL
10. These results indicate the therapeutic efficacy of bioflavonoid apigenin
which was isolated from Justicia gendarussa against ox-LDL induced inflammation.
Therefore apigenin can be treated as a suitable therapeutic agent against
inflammatory diseases.
PMID- 29655058
TI - The protective effect of Lactobacillus and Bifidobacterium as the gut microbiota
members against chronic urticaria.
AB - BACKGROUND: Chronic Urticaria is a common disorder which is defined by recurrent
occurrence of wheals and sometimes angioedema. It has a notable influence on the
patients' quality of life. Regulation of the immune system is one of the
important roles of the gut microbiota. The effect of dysbiosis considering some
members of gut microbiota in patients with chronic urticaria has been
demonstrated in our previous study. OBJECTIVE: Comparing the frequency and
bacterial load of Lactobacillus, Bifidobacterium, and Bacteroides between
patients with chronic urticaria and healthy controls. METHODS: 20 patients with
chronic urticaria and 20 age and sex matched healthy individuals were included in
the present study. Stool samples were analyzed for determining the frequency and
bacterial load of Lactobacillus, Bifidobacterium, and Bacteroides genera.
RESULTS: There were no significant differences among the frequencies of
detectable Lactobacillus, Bifidobacterium, or Bacteroides in stool samples of
patients with chronic urticaria and healthy controls. The relative amounts of
Lactobacillus and Bifidobacterium were significantly higher in fecal samples from
controls compared to patients with chronic urticaria (P = 0.038 and 0.039,
respectively). CONCLUSION: It is the first study on the implication of
Lactobacillus, Bifidobacterium, and Bacteroides genera as gut microbiota members
in patients with chronic urticaria.
PMID- 29655059
TI - Examining implicit cognitions in the evaluation of a community-wide physical
activity program.
AB - The purpose of this study was to incorporate measures of attentional bias and
implicit attitudes in the evaluation of a community-wide physical activity
program, UWALK, by examining the relationships among proximal, intermediate, and
distal outcomes of the program as outlined in the hierarchy of effects model.
UWALK incorporated various communication and marketing strategies via mass media,
on- and off-line platforms. Participants (N = 127) reported unprompted then
prompted awareness of UWALK followed by measures of attentional bias and implicit
attitudes, watched a 30-second UWALK video, then completed a thought listing task
and questionnaires measuring instrumental and affective attitudes, leisure time
physical activity, and demographics. Results showed that participants with
unprompted awareness of UWALK demonstrated attentional bias toward UWALK images,
positive implicit attitudes, and greater physical activity participation compared
to unaware counterparts. Attentional bias, awareness, and implicit attitudes
significantly predicted behavior, accounting for 15.2% of the variance. This
study emphasizes the importance of including measures of automatic cognitions
during evaluation can further inform the effects of a program. The results also
showed that it is problematic to assume that visiting a website indicates a
program will be remembered and related cognitions will be affected.
PMID- 29655060
TI - Elevated cancer risk in Holocaust survivors residing in Israel: A retrospective
cohort study.
AB - BACKGROUND: The purpose of this study was to examine the incidence of malignant
diseases among Holocaust survivors in Israel compared with European and American
immigrants who did not experience the Holocaust. METHODS: Study subjects included
Holocaust survivors born in European countries under Nazi occupation before 1945,
who immigrated to Israel after 1945 and were alive as of the year 2000. Living
survivors were identified based on recognition criteria in accordance with the
Holocaust Survivor Benefits Law. The comparison group consisted of Clalit
enrollees who were born before 1945 in European countries not under Nazi
occupation and were alive in 2000 or were born in any European country or
America, immigrated to Israel before 1939 and were alive in 2000. The incidence
of malignant diseases was compared in univariate and Poisson regression models
analyses, controlling for age, smoking, obesity, diabetes and place of residence.
RESULTS: The study included 294,543 Holocaust survivors, and the mean age at the
beginning of follow-up was 74 +/- 8.7 years; 43% males. In multivariable
analyses, the rate ratio (RR) values for males and females were 1.9 and 1.3 for
colon cancer, 1.9 and 1.4 for lung cancer, 1.6 and 1.4 for bladder cancer and 1.2
and 1.3 for melanoma, respectively. For prostate cancer in males, the RR was 1.4,
while for breast cancer in females, it was 1.2. CONCLUSIONS: The incidence of
malignant diseases among Holocaust survivors residing in Israel was higher than
that among non-Holocaust survivors. These associations remained statistically
significant in a multivariable analysis and were stronger for males.
PMID- 29655061
TI - Endocrine therapy or chemotherapy as first-line therapy in hormone receptor
positive HER2-negative metastatic breast cancer patients.
AB - BACKGROUND: For hormone receptor-positive (HR+) human epidermal growth factor
receptor 2 (HER2-) negative metastatic breast cancer (MBC), international
guidelines recommend endocrine therapy as first-line treatment, except in case of
'visceral crisis'. In the latter case, chemotherapy is preferred. Few studies
have compared these two strategies. We used the Epidemiological Strategy and
Medical Economics (ESME) programme, UNICANCER, a large national observational
database (NCT03275311), to address this question. METHODS: All patients who
initiated treatment for a newly diagnosed HR+ HER2-negative MBC between January
2008 and December 2014 in any of the 18 French Comprehensive Cancer Centers
participating to ESME were selected. Patients should be aromatase inhibitor (AI)
sensitive (no previous AI or relapse occurring more than 1 year after last
adjuvant AI). Objectives of the study were evaluation of progression-free and
overall survival (OS) according to the type of first-line treatment adjusted on
main prognostic factors using a propensity score. RESULTS: Six thousand two
hundred sixty-five patients were selected: 2733 (43.6%) received endocrine
therapy alone, while 3532 (56.4%) received chemotherapy as first-line therapy.
Among the latter, 2073 (58.7%) received maintenance endocrine therapy. Median OS
was 60.78 months (95% confidence interval [CI], 57.16-64.09) and 49.64 months
(95% CI, 47.31-51.64; p < 0.0001) for patients receiving endocrine therapy alone
and chemotherapy +/- maintenance endocrine therapy, respectively. However, this
difference was not significant after adjusting on the propensity score (hazard
ratio: 0.943, 95% CI 0.863-1.030, p = 0.19). CONCLUSION: In this large
retrospective cohort of patients with AI-sensitive metastatic luminal BC, OS was
similar, whether first-line treatment was chemotherapy or endocrine therapy. In
agreement with international guidelines, endocrine therapy should be the first
choice for first-line systemic treatment for MBC in the absence of visceral
crisis.
PMID- 29655062
TI - Implicit and explicit anti-fat bias: The role of weight-related attitudes and
beliefs.
AB - INTRODUCTION: The increasing prevalence of anti-fat bias in American society
comes at a great cost to the health and well-being of people who are overweight
or obese. A better understanding of the correlates of anti-fat bias would inform
development of interventions for reducing anti-fat bias. Based on three
theoretical perspectives, this study tested the relation between attitudes and
beliefs about weight and anti-fat bias (implicit and explicit): (1) The belief
that one is like people who are fat (social identity theory). (2) The belief that
one can control her/his weight (attribution theory). And (3) the beliefs that
most people prefer thin people and that weight is important (socio-cultural
theory). METHODS: Participants were 66,799 volunteers (47,265 women, mean age of
27.88 +/- 11.9 years) who completed the Thin-Fat Implicit Association Test on the
Project Implicit website (https://implicit.harvard.edu/) during 2016. Explicit
anti-fat bias and weight-related attitudes and beliefs were assessed by self
report. Correlation and regression analyses were conducted to examine links
between weight-related attitudes and beliefs and anti-fat bias. RESULTS: All
tested weight-related attitudes and beliefs were significantly (p < .001)
correlated with explicit and implicit anti-fat bias, but some of the correlations
were very weak. An examination of the relative contribution of the tested weight
related attitudes and beliefs to a model explaining anti-fat bias suggested that
the strongest correlates of explicit anti-fat bias were the beliefs that weight
was important (beta = 0.194, p < .001), that most people prefer thin people (beta
= 0.177, p < .001), and that the respondent was like people who are fat (beta =
0.180, p < .001). DISCUSSION: The social-identity and socio-cultural theories may
provide a stronger explanation for anti-fat bias relative to attribution theory.
Future research could use longitudinal designs with more reliable measures in
order to verify these cross-sectional findings.
PMID- 29655063
TI - Acetabular labral tear description and measures of pincer and cam-type
femoroacetabular impingement and interobserver variability on 3 T MR arthrograms.
AB - PURPOSE: To evaluate the inter-rater agreement for description of labral tears
and measures of femoroacetabular impingement (FAI) on 3 T hip MR arthrography.
MATERIALS AND METHODS: 53 hip MR arthrograms were reviewed by three
musculoskeletal radiologists. Labral tears were described (Czerny classification)
with extents recorded. Cam- and pincer-type FAI measures were performed.
Intraclass correlation (ICC) and kappa statistic (kappa) assessed inter-observer
agreement. RESULTS: There was fair agreement for labral tear description (kappa =
0.25-0.39) and for alpha angles (ICC = 0.54), and fair to excellent agreement for
other measures of FAI (ICC = 0.51-0.90). CONCLUSION: There is moderate inter
observer variability reporting labral tears and measures of FAI.
PMID- 29655064
TI - Estimation of p,p'-DDT degradation in soil by modeling and constraining
hydrological and biogeochemical controls.
AB - Despite not being used for decades in most countries, DDT remains ubiquitous in
soils due to its persistence and intense past usage. Because of this it is still
a pollutant of high global concern. Assessing long term dissipation of DDT from
this reservoir is fundamental to understand future environmental and human
exposure. Despite a large research effort, key properties controlling fate in
soil (in particular, the degradation half-life (tausoil)) are far from being
fully quantified. This paper describes a case study in a large central European
catchment where hundreds of measurements of p,p'-DDT concentrations in air, soil,
river water and sediment are available for the last two decades. The goal was to
deliver an integrated estimation of tausoil by constraining a state-of-the-art
hydrobiogeochemical-multimedia fate model of the catchment against the full body
of empirical data available for this area. The INCA-Contaminants model was used
for this scope. Good predictive performance against an (external) dataset of
water and sediment concentrations was achieved with partitioning properties taken
from the literature and tausoil estimates obtained from forcing the model against
empirical historical data of p,p'-DDT in the catchment multicompartments. This
approach allowed estimation of p,p'-DDT degradation in soil after taking adequate
consideration of losses due to runoff and volatilization. Estimated tausoil
ranged over 3000-3800 days. Degradation was the most important loss process,
accounting on a yearly basis for more than 90% of the total dissipation. The
total dissipation flux from the catchment soils was one order of magnitude higher
than the total current atmospheric input estimated from atmospheric
concentrations, suggesting that the bulk of p,p'-DDT currently being remobilized
or lost is essentially that accumulated over two decades ago.
PMID- 29655065
TI - In situ microbiota distinguished primary anthropogenic stressor in freshwater
sediments.
AB - Conventional assessment and evaluation of sediment quality are based on
laboratory-based ecotoxicological and chemical measurements with lack of concern
for ecological relevance. Microbiotas in sediment are responsive to pollutants
and can be used as alternative ecological indicators of sediment pollutants;
however, the linkage between the microbial ecology and ecotoxicological endpoints
in response to sediment contamination has been poorly evaluated. Here, in situ
microbiotas from the Three Gorges Reservoir (TGR) area of the Yangtze River were
characterized by DNA metabarcoding approaches, and then, changes of in situ
microbiotas were compared with the ecotoxicological endpoint, aryl hydrocarbon
receptor (AhR) mediated activity, and level of polycyclic aromatic hydrocarbons
(PAHs) in sediments. PAHs and organic pollutant mixtures mediating AhR activity
had different effects on the structures of microbiotas. Specifically, Shannon
indices of protistan communities were negatively correlated with the levels of
AhR mediated activity and PAHs. The sediment AhR activity was positively
correlated with the relative abundance of prokaryotic Acetobacteraceae, but had a
negative correlation with protistan Oxytrichidae. Furthermore, a quantitative
classification model was built to predict the level of AhR activity based on the
relative abundances of Acetobacteraceae and Oxytrichidae. These results suggested
that in situ Protista communities could provide a useful tool for monitoring and
assessing ecological stressors. The observed responses of microbial community
provided supplementary evidence to support that the AhR-active pollutants, such
as PAHs, were the primary stressors of the aquatic community in TGR area.
PMID- 29655067
TI - Contrasting effects of photochemical and microbial degradation on Cu(II) binding
with fluorescent DOM from different origins.
AB - Effects of photochemical and microbial degradation on variations in composition
and molecular-size of dissolved organic matter (DOM) from different sources
(algal and soil) and the subsequent influence on Cu(II) binding were investigated
using UV-Vis, fluorescence excitation-emission matrices coupled with parallel
factor analysis, flow field-flow fractionation (FlFFF), and metal titration. The
degradation processes resulted in an initial rapid decline in the bulk dissolved
organic carbon and chromophoric and fluorescent DOM components, followed by a
small or little decrease. Specifically, photochemical reaction decreased the
aromaticity, humification and apparent molecular weights of all DOM samples,
whereas a reverse trend was observed during microbial degradation. The FlFFF
fractograms revealed that coagulation of both protein- and humic-like DOM induced
an increase in molecular weights for algal-DOM, while the molecular weight
enhancement for allochthonous soil samples was mainly attributed to the self
assembly of humic-like components. The Cu(II) binding capacity of algal-derived
humic-like and fulvic-like DOM consistently increased during photo- and bio
degradation, while the soil-derived DOM exhibited a slight decline in Cu(II)
binding capacity during photo-degradation but a substantial increase during
microbial degradation, indicating source- and degradation-dependent metal binding
heterogeneities. Pearson correlation analysis demonstrated that the Cu(II)
binding potential was mostly related with aromaticity and molecular size for
allochthonous soil-derived DOM, but was regulated by both DOM properties and
specific degradation processes for autochthonous algal-derived DOM. This study
highlighted the coupling role of inherent DOM properties and external
environmental processes in regulating metal binding, and provided new insights
into metal-DOM interactions and the behavior and fate of DOM-bound metals in
aquatic environments.
PMID- 29655066
TI - Distribution and availability of cadmium in profile and aggregates of a paddy
soil with 30-year fertilization and its impact on Cd accumulation in rice plant.
AB - The research was conducted to investigate the accumulation, distribution and
availability of Cd in paddy soil and their relation to Cd in rice plants under 30
year fertilization regimes. Six treatments were involved in the study: control
without fertilization (CK), chemical fertilizer (NPK), high nitrogen chemical
fertilizer (HN), rice straw incorporation (ST), low and high dosage of manure
fertilizer (LM and HM). Total and DTPA extractable concentration of Cd (T-Cd and
DTPA-Cd) in bulk soils (20 cm topsoil), profiles (0-60 cm) and aggregates (>2, 1
2, 0.5-1, 0.25-0.5, 0.053-0.25 and < 0.053 mm) were investigated. The Cd
concentration in relevant rice plant (roots, stems, leaves, husks and grains)
were also analyzed. Manure fertilizers caused T-Cd accumulation in bulk soil with
a significant increase of 36.2% in LM and 81.2% in HM. Similar impacts of manure
fertilizers were observed in DTPA-Cd in the bulk soil. Further, the HM generated
a further accumulation in deeper soil layers, presenting a remarkable increase of
T-Cd (28.3%-225%) in 10-40 cm and DTPA-Cd (116%-158%) in 10-30 cm profiles.
Moreover, the continuous application of manure fertilizers enhanced the
availability of Cd in all aggregate size classes with an increase of 17.3%-87.8%
in DTPA-Cd. Organic fertilizers (LM, HM and ST) heightened the content of Cd
(38.0%-152%) in all parts of rice plant. The accumulation of Cd in rice plants
was directly affected by fertilization regimes and Cd availability in the 10-20
cm soil layers and 0.25-0.5 mm aggregates. In conclusion, long-term application
of manures resulted in increasing availability of Cd in aggregates and in topsoil
and subsoil layers, which accordingly enhanced the accumulation of Cd in rice
plants.
PMID- 29655068
TI - Two forage fishes as potential conduits for the vertical transfer of microfibres
in Northeastern Pacific Ocean food webs.
AB - We assessed the potential role played by two vital Northeastern Pacific Ocean
forage fishes, the Pacific sand lance (Ammodytes personatus) and Pacific herring
(Clupea pallasii), as conduits for the vertical transfer of microfibres in food
webs. We quantified the number of microfibres found in the stomachs of 734 sand
lance and 205 herring that had been captured by an abundant seabird, the
rhinoceros auklet (Cerorhinca monocerata). Sampling took place on six widely
dispersed breeding colonies in British Columbia, Canada, and Washington State,
USA, over one to eight years. The North Pacific Ocean is a global hotspot for
pollution, yet few sand lance (1.5%) or herring (2.0%) had ingested microfibres.
In addition, there was no systematic relationship between the prevalence of
microplastics in the fish stomachs vs. in waters around three of our study
colonies (measured in an earlier study). Sampling at a single site (Protection
Island, WA) in a single year (2016) yielded most (sand lance) or all (herring) of
the microfibres recovered over the 30 colony-years of sampling involved in this
study, yet no microfibres had been recovered there, in either species, in the
previous year. We thus found no evidence that sand lance and herring currently
act as major food-web conduits for microfibres along British Columbia's outer
coast, nor that the local at-sea density of plastic necessarily determines how
much plastic enters marine food webs via zooplanktivores. Extensive urban
development around the Salish Sea probably explains the elevated microfibre loads
in fishes collected on Protection Island, but we cannot account for the between
year variation. Nonetheless, the existence of such marked interannual variation
indicates the importance of measuring year-to-year variation in microfibre
pollution both at sea and in marine biota.
PMID- 29655069
TI - Development of a clinical prediction rule to identify patients with plantar heel
pain likely to benefit from biomechanical anti-pronation taping: A prospective
cohort study.
AB - OBJECTIVES: To develop a clinical prediction rule (CPR) to identify patients with
plantar heel pain (PHP) likely to benefit from biomechanical anti-pronation
taping (BAPT). DESIGN: A prospective cohort study. SETTING: An outpatient
rehabilitation department in a general hospital. PARTICIPANTS: Seventy-five
patients with PHP. MAIN OUTCOME MEASURES: After completing a series of physical
examinations, all patients received BAPT and were evaluated with a numeric rating
scale for pain intensity, the patient-specific functional scale and foot function
index (FFI) for function, and the global rating of change for perceived
improvement. RESULTS: Twenty-eight patients achieved a successful outcome. A CPR
with 6 significant variables was identified by a multivariate logistic
regression: FFI score less than 33.3, hip adduction angle of the most affected
side was greater than the contralateral side, ankle plantarflexors and hip
abductors on the most affected side were not weaker than those on the
contralateral side, ankle invertors on the most affected side were weaker than
the contralateral side, and having more than 2 painful sites in the low back and
lower extremity regions. If 5 or more of the 6 predictors were presented, the
probability of success increased from 37% to 80%. CONCLUSIONS: A CPR has been
developed to identify patients with PHP likely to benefit from BAPT.
PMID- 29655070
TI - Development and external validation of a prediction rule for an unfavorable
course of late-life depression: A multicenter cohort study.
AB - BACKGROUND: Given the poor prognosis of late-life depression, it is crucial to
identify those at risk. Our objective was to construct and validate a prediction
rule for an unfavourable course of late-life depression. METHODS: For development
and internal validation of the model, we used The Netherlands Study of Depression
in Older Persons (NESDO) data. We included participants with a major depressive
disorder (MDD) at baseline (n = 270; 60-90 years), assessed with the Composite
International Diagnostic Interview (CIDI). For external validation of the model,
we used The Netherlands Study of Depression and Anxiety (NESDA) data (n = 197; 50
66 years). The outcome was MDD after 2 years of follow-up, assessed with the
CIDI. Candidate predictors concerned sociodemographics, psychopathology, physical
symptoms, medication, psychological determinants, and healthcare setting. Model
performance was assessed by calculating calibration and discrimination. RESULTS:
111 subjects (41.1%) had MDD after 2 years of follow-up. Independent predictors
of MDD after 2 years were (older) age, (early) onset of depression, severity of
depression, anxiety symptoms, comorbid anxiety disorder, fatigue, and loneliness.
The final model showed good calibration and reasonable discrimination (AUC of
0.75; 0.70 after external validation). The strongest individual predictor was
severity of depression (AUC of 0.69; 0.68 after external validation).
LIMITATIONS: The model was developed and validated in The Netherlands, which
could affect the cross-country generalizability. CONCLUSIONS: Based on rather
simple clinical indicators, it is possible to predict the 2-year course of MDD.
The prediction rule can be used for monitoring MDD patients and identifying those
at risk of an unfavourable outcome.
PMID- 29655071
TI - EEG gamma synchronization is associated with response to paroxetine treatment.
AB - BACKGROUND: Resistance to medication is a significant problem in psychiatric
practice, and effective methods for predicting response are needed to optimize
treatment efficacy and limit morbidity. Gamma oscillations are considered as an
index of the brain's general cognitive activity; however, the role of gamma
oscillations in disease has not been studied sufficiently. AIM: This study aimed
to determine if gamma power during rest can be used to predict response to anti
depressant medication treatment. METHOD: Hamilton Depression Rating Scale (HDRS)
score and resting state gamma power was measured in 18 medication-free patients
during an episode of major depression. After 6 weeks of paroxetine monotherapy
HDRS was administered again. RESULTS: Baseline gamma power at frontal, central
and temporal electrodes before treatment was significantly related to post
treatment change in HDRS scores. CONCLUSION: The results indicate that gamma
oscillations could be considered a marker of response to paroxetine treatment in
patients with major depression.
PMID- 29655072
TI - The course of anxiety in persons with dementia in Norwegian nursing homes: A 12
month follow-up study.
AB - BACKGROUND: Knowledge is scarce about the course of anxiety in persons with
dementia (PWD) in nursing homes. This study aimed to describe the course of
anxiety, anxiety symptoms, and the correlates of change in the Norwegian version
of the Rating Anxiety in Dementia scale (RAID-N) score in PWD in nursing homes.
METHODS: Using the RAID-N, anxiety was assessed in 298 PWD aged 65 years and
above from 17 Norwegian nursing homes. The assessments were made at baseline and
after a mean follow-up period of 350 days (SD 12.3). Associations between the
change in RAID-N score and demographic and clinical characteristics were analyzed
with multilevel regression models. RESULTS: At 12 months, 93 participants (31.2%)
had died. There was no significant change in the proportion of participants with
anxiety, defined as RAID-N score >=12, from baseline (33.7%) to follow-up (31.2%)
(McNemar, p = 0.597). "Motor tension", "frightened and anxious", and
"irritability" were the most frequent anxiety symptoms among those with dementia
and anxiety. A higher Neuropsychiatric Inventory-Questionnaire (NPI-Q) sub
syndrome affective baseline score and more use of anxiolytics were associated
with reduction in RAID-N score, whereas a higher NPI-Q sub-syndrome aroused
baseline score and more use of antipsychotics were associated with an increase in
RAID-N score at follow-up. LIMITATIONS: The study did not control for ongoing
treatment or changes of comorbidities. CONCLUSIONS: Anxiety and anxiety symptoms
are highly prevalent among PWD in nursing homes over a12-month period. The
symptoms "motor tension", "frightened and anxious", and "irritability" require
attention in screening for anxiety.
PMID- 29655073
TI - Neither all anti-inflammatory drugs nor all doses are effective in accelerating
the antidepressant-like effect of fluoxetine in an animal model of depression.
AB - INTRODUCTION: Non-steroidal anti-inflammatory drugs (NSAIDs) have been studied as
possible adjunctive therapy in the treatment of depression. However,
administering NSAIDs to increase the effectiveness of antidepressant has yielded
inconsistent results. METHODS: We evaluated the effect of the co-administration
of fluoxetine (5 mg/kg) and flurbiprofen (5 mg/kg) or fluoxetine (5 mg/kg) and
celecoxib (5 mg/kg) in the chronic escape deficit (CED) model of depression after
7 days of treatment. The co-administration of fluoxetine plus acetylsalicylic
acid (ASA, 45 mg/kg i.p.) was used as a positive control. Moreover, we tested the
behavioral effect of different doses (45, 22.5, and 11.25 mg/Kg i.p.) of ASA as
potentiating agent of the effect of fluoxetine in the same paradigm. RESULTS: Our
study showed that only the co-administration of ASA with fluoxetine was able to
revert the stress-induced condition of escape deficit after 7 days of treatment,
and that the amplitude of the antidepressant-like effect of ASA was dose
dependent. In the same experimental conditions, celecoxib with fluoxetine only
partially resolved the stress-induced impaired behavior while
flurbiprofen/fluoxetine cotreatment was ineffective. LIMITATIONS: Our study is
still exploratory, more doses, longer treatment regimens, and different
behavioral outcomes must be investigated to draw a clear conclusion. CONCLUSION:
Our results further stress the importance of the type and dose when NSAIDs are
associated with antidepressants to ameliorate a clinical response.
PMID- 29655074
TI - The role of cognitive impairment in psychosocial functioning in remitted
depression.
AB - BACKGROUND: Cognitive dysfunction is a prevalent and disabling symptom of Major
Depressive Disorder (MDD), and is often retained in the remitted stage of
illness. Emerging evidence suggests that cognitive impairment may be associated
with dysfunction in a number of psychosocial domains (e.g., workplace
productivity, social relationships). The current study explored the relationship
between cognition and psychosocial functioning in remitted MDD and in healthy
controls. METHODS: Data were obtained from 182 participants of the Cognitive
Function and Mood Study (CoFaM-S), a cross-sectional study of cognition, mood,
and social cognition in mood disorders. Participants' (Remitted MDD n = 72,
Healthy n = 110) cognition was assessed with a battery of cognitive tests
including the Repeatable Battery for the Assessment of Neuropsychological
Function (RBANS) and other standard measures of cognition (e.g., The Tower of
London task). Psychosocial functioning was clinically evaluated with the
Functioning Assessment Short Test (FAST). RESULTS: The results indicated that
executive functioning was the strongest independent predictor of functioning in
remitted MDD patients, whereas various cognitive domains predicted psychosocial
functioning in healthy individuals. LIMITATIONS: Psychosocial functioning was
measured with a clinical interview, and was therefore reliant on clinicians'
judgement of impairment, as opposed to more objective measures of functioning.
CONCLUSIONS: These findings suggest that executive cognition plays an important
role in functional recovery in remitted depression, and may be a crucial target
in adjunctive treatment.
PMID- 29655075
TI - Prevalence, risk factors and clinical characteristics of suicidal ideation in
Chinese patients with depression.
AB - BACKGROUND: Suicide risk is greatly increased in depression. Detection of those
at risk is clinically important. Hence, this study aimed to evaluate the
prevalence and identify independent risk factors associated with suicidal
ideation (SI) in a widespread symptomatology within and outside DSM framework.
METHODS: This study was part of the National Survey on Symptomatology of
Depression (NSSD) which was designed to investigate the magnitude of symptoms of
current major depressive episode in China. Stepwise multivariable logistic
regression was performed to examine the independent risk factors for SI,
including variables that are statistically significant in univariate analysis.
Receiver operating characteristic (ROC) was used to evaluate the performance of
the regression model. RESULTS: A total of 3275 patients (1293 males and 1982
females) were included in our analysis. Of these, 1750 patients (53.4%) had SI.
Independent risk predictors included crying (P = 0.000; odds ratio = 1.827),
helplessness (P = 0.000; odds ratio = 1.514), worthlessness (P = 0.001; odds
ratio = 1.359), hopelessness (P = 0.000; odds ratio = 1.805), unusually restless
(P = 0.005; odds ratio = 1.276), self-harm (P = 0.000; odds ratio = 3.385), mood
incongruent psychosis (P = 0.000; odds ratio = 2.782), feeling losing control of
oneself (P = 0.009; odds ratio = 1.352), hypersomnia (P = 0.000; odds ratio =
1.805), sensory system complaints (P = 0.000; odds ratio = 1.546), derealization
(P = 0.006; odds ratio = 1.580), guilt (P = 0.002; odds ratio = 1.332), suicidal
attempts (P = 0.000; odds ratio = 2.841), male gender (P = 0.001; odds ratio =
0.756), the total course of depression (P = 0.010; odds ratio = 1.003) in the
regression model. In addition, the areas under the curve of the ROC and the
accuracy for the regression model were 0.80 and 0.76, respectively. CONCLUSIONS:
This study provided an effective risk model for SI in MDD and indicated that all
these factors in our model allow better the employment of preventative measures.
PMID- 29655076
TI - Psychometric properties of the social anxiety subscale of the Youth Anxiety
Measure for DSM-5 (YAM-5-I-SAD) in a clinical sample of Spanish-speaking
adolescents.
AB - BACKGROUND: The Youth Anxiety Measure-I for DSM-5 has recently been developed to
assess youth's anxiety symptomatology. As social anxiety is one of the most
common disorders in adolescence, this scale includes a subscale measuring social
anxiety. However, psychometric properties of the YAM-5-I social anxiety subscale
(YAM-5-I-SAD) in clinical samples are lacking. This paper aims to bridge the gap.
METHODS: The sample comprised 24 clinically diagnosed and 24 healthy control
Spanish-speaking adolescents aged 14-17 years. RESULTS: Data revealed that the
YAM-5- I-SAD yielded excellent sensitivity, which makes it particularly useful as
a screening tool to early detect socially anxious adolescents. In addition, the
YAM-5-I-SAD evidenced good internal consistency and construct validity.
LIMITATIONS: Data are limited to the social anxiety subscale. CONCLUSIONS: The
YAM-5-I-SAD is a sensitive and specific measure to screen for adolescents with
social anxiety.
PMID- 29655077
TI - Trends in depression among low-income mothers in the United States, 2005-2015.
AB - BACKGROUND: Prior research has examined the association between low-income status
and maternal depression; however, important questions remain in terms of trends
in the prevalence of major depressive episodes (MDE) and treatment seeking among
mothers in the United States. The objective of the present study is to examine
trends in MDE among low-income mothers and explore perceived barriers to mental
health treatment seeking. METHODS: Using data from the National Survey on Drug
Use and Health (NSDUH; 2005-2015), we examined the prevalence of past-year MDE
among mothers living in poverty (0-99% federal poverty line [FPL]; n = 23,264)
and near-poverty (100-200% FPL; n = 21,655). Consistent with the Center for
Disease Control and Prevention (CDC) guidelines, we conducted survey-adjusted
logistic regression analyses to examine the significance of survey year in
relation to MDE while controlling for key social demographic correlates. RESULTS:
The overall decreasing MDE trend was found only among mothers in near-poverty,
not mothers in poverty. Only for mothers in poverty, financial (AOR = 0.70, 95%
CI = 0.52-0.95) and information barriers (AOR = 0.53, 95% CI = 0.39-0.73) were
associated with mental health service use. LIMITATIONS: Self-reports of
depressive symptoms and service receipt may have led to over or underreporting
due to recall or social desirability bias. Additionally, NSDUH data are cross
sectional and thereby limit our ability to make causal inferences. CONCLUSIONS:
Greater attention should be paid to the prevention/treatment of depression among
mothers in poverty. Efforts may include expanding financial assistance for mental
health service use and educational campaigns to increase awareness of services
available.
PMID- 29655078
TI - Kynurenine pathway changes in late-life depression.
AB - BACKGROUND: Kynurenine pathway (KP) activation is associated with several
neuropsychiatric diseases, including major depression disorder (MDD). Although
several investigations have been conducted on MDD, these have seldom shed light
on KP changes in late-life depression (LLD). OBJECTIVE: We aimed to investigate
whether tryptophan (TRP) metabolism and kynurenine (KYN) metabolism are
imbalanced in LLD patients and to explore the differences in KP characteristics
between early onset depression (EOD) and late onset depression (LOD) patients.
METHODS: We investigated 170 LLD patients (EOD 90, LOD 80) and 135 normal
controls. Serum concentrations of TRP, KYN and kynurenic acid (KYNA) were
detected by the liquid chromatography-tandem mass spectrometry method. Depressive
symptoms were assessed by the 17-item Hamilton Depression Scale (HAMD-17).
RESULTS: LLD patients exhibited lower levels of TRP, KYN, KYNA and KYNA/KYN ratio
and a higher level of KYN/TRY ratio than normal controls. The decrease in TRP and
the increase in KYN/TRP ratio were found in LOD patients. A low TRP level without
increased KYN/TRP ratio was found in EOD patients. The "Depression" factor, which
was extracted from HAMD-17 by the principal component factor analysis, was
correlated with the TRP level and KYNA/KYN ratio in the EOD group, but no such
correlation was found in the LOD group. CONCLUSIONS: KP changes were observed in
LLD patients; LOD patients showed profound shifts in TRP metabolism, while EOD
patients showed low TRP level and a shift in KYN metabolism.
PMID- 29655079
TI - Early maladaptive schemas in depression and somatization disorder.
AB - Cognitive theories of depression posit that early maladaptive schemas (EMSs) are
key vulnerability factors for psychological disorders. In this study, we
investigated specific EMSs as shared or distinct cognitive vulnerability factors
for depression and somatization disorder. The sample consisted of patients with
Major depressive disorder (N = 30) and Somatization disorder (N = 30) from a
community hospital or a psychiatric clinic. Participants completed the Structured
Clinical Interview for DSM-IV (SCID), the Beck Depression Inventory-II (BDI-II),
and the short form of the Young Schema Questionnaire (YSQ-SF). Depressed patients
exhibited significantly higher levels of all five schema domains and specific
maladaptive schemas, including emotional deprivation, mistrust and abuse, social
isolation and alienation, defectiveness and shame, failure, subjugation,
emotional inhibition, and insufficient self-control or self-discipline. Moreover,
depressed patients exhibited significantly higher levels of social isolation,
emotional inhibition, as well as the overvigilance and inhibition domain when
depressive symptom severity was controlled. Our results provide preliminary
evidence that specific EMSs distinguish patients with depression and
somatization. Suggestions for future research include the need to have a non
psychiatric control group, to evaluate the absolute role of EMSs in Somatization
Disorder.
PMID- 29655080
TI - The relationship between PTSD and suicidality among Wenchuan earthquake
survivors: The role of PTG and social support.
AB - BACKGROUND: Previous studies have found that suicide rates are likely to increase
after the occurrence of earthquakes. Most existing studies that examined the
relationship between PTSD and suicidality focus on risk factors. It remains
unclear whether protective factors, such as post-traumatic growth (PTG) and
social support, play a role in this relationship. OBJECTIVES: The aim of this
study was to investigate the role of PTG and social support in the association
between PTSD and suicidality, using data from a cross-sectional study conducted
in China. METHODS: 1,369 participants were recruited from two study sites that
were severely affected by the Wenchuan earthquake. Univariate and multivariate
regression analyses were conducted to examine the relationship between PTSD, PTG,
social support, and suicidality. RESULTS: The results indicate that the
prevalence of suicidal ideation, suicide plans, and suicide attempts among adult
survivors were 9.06%, 2.97% and 3.31%, respectively, even after 8 years following
the Wenchuan earthquake. Furthermore, the findings show that PTSD was
significantly associated with suicidality (OR = 1.96; 95% CI = 1.53, 2.52).
Additionally, individuals reporting lower levels of social support and the
acquisition of PTSD (OR = 5.99; 95% CI = 1.66, 21.56) were significantly related
to suicidality. Moreover, compared to individuals who reported no presence of
PTSD and high PTG, those who lived with PTSD and lower levels of PTG (OR = 2.33;
95%CI = 1.00, 5.42) were more likely to report suicidality. LIMITATIONS: The
cross-sectional design of this study limits our ability to determine causal
relationships. Effects of other related factors, such as cultural and life
events, were not examined in this study. CONCLUSIONS: Suicidality is a long-term
health issue among survivors of the Wenchuan earthquake. PTG and social support
play important roles on the association between PTSD and suicidality and are
important contributing factors to understanding this relationship. These results
contribute new knowledge of suicidal risk for a number of years after an
earthquake and have implications for further mental health promotion following
earthquakes.
PMID- 29655081
TI - PI3K-AKT-GSK3beta-CREB signaling pathway regulates anxiety-like behavior in rats
following alcohol withdrawal.
AB - BACKGROUND: Alcohol abuse and anxiety disorders often occur concurrently, but
their underlying cellular mechanisms remain unclear. Neuroadaptation within the
medial prefrontal cortex (mPFC) have been implicated in the molecular mechanisms
underlying alcohol drinking behavior and withdrawal. METHODS: A chronic alcohol
exposure rat model (35 consecutive days of 10% alcohol intake and 48 h of
withdrawal) was established, then, wortmannin (0.5 ug/side) was injected
bilaterally into the mPFC. The elevated plus maze (EPM) and open field test (OFT)
were used to assess anxiety-like behavior. Western blot assays were used to
assess protein levels. RESULTS: We found that anxiety-like behavior peaked
approximately 6 h after alcohol withdrawal. However, wortmannin greatly decreased
alcohol intake and attenuated anxiety-like behavior in the alcohol exposure rats.
Moreover, the PI3K-AKT-GSK3beta signaling pathway was activated after alcohol
withdrawal, and phosphorylation of the downstream cAMP response element-binding
protein (CREB) was increased. Wortmannin uniformly reversed PI3K-AKT-GSK3beta
CREB pathway phosphorylation. LIMITATIONS: The downstream GSK3beta activity was
not intervened and a single dose level of wortmannin was used. CONCLUSION: Our
results suggest that activating the PI3K-AKT-GSK3beta-CREB pathway in the mPFC is
an important contributor to the molecular mechanisms underlying alcohol
withdrawal. PI3K signaling pathway inhibitors are thus potential candidates for
treating alcohol abuse.
PMID- 29655082
TI - Photoactivatable substrates for systematic study of the impact of an
extracellular matrix ligand on appearance of leader cells in collective cell
migration.
AB - Epithelial cells migrate as multicellular units. The directionality and speed of
these units are determined by actively moving leader cells. It is important to
understand how external cues affect the appearance of these leader cells in
physiological and pathological processes. However, the impact of extracellular
matrices (ECMs) is still controversial, because physically-adsorbed ECM proteins
are amenable to protein remodeling, and uncontrolled cluster geometry can vary
migration phenotypes. Here, we demonstrate a photoactivatable substrate, which we
used to study the impact of a cyclic Arg-Gly-Asp (cRGD) ligand on leader cell
formation in MDCK cells. This robust platform allowed us to investigate the
effect of cRGD density on leader cell formation, in any given cluster geometry,
with minimized ECM remodeling. Our results show a biphasic response of leader
cell appearance upon reducing the surface cRGD density. The increase, in leader
cell appearance, within the higher density range, is not only associated with the
weakening of circumferential actomyosin belts, but also reduction of cellular
mechanical tension and intercellular junctional E-cadherin. These results
indicate that cRGD-mediated cell-ECM interactions positively regulate mechanical
and biochemical coupling within cell clusters; both are critical for the
coordination of cell collectives and eventual reduction in the appearance of
leader cells.
PMID- 29655083
TI - Design, synthesis, anti-lung cancer activity, and chemosensitization of tumor
selective MCACs based on ROS-mediated JNK pathway activation and NF-kappaB
pathway inhibition.
AB - EF24 and F35 both were effective monocarbonyl curcumin analogues (MCACs) with
excellent anti-tumor activity, however, drug defect such as toxicity may limit
their further development. To get anti-lung cancer drugs with high efficiency,
low toxicity and chemosensitization, a series of analogues based on EF24 and F35
were designed and synthesized. A number of compounds were found to exhibit
cytotoxic activities selectively towards lung cancer cells compared to normal
cells. Among these compounds, 5B was considered as an optimal anti-tumor agent
for lung cancer cells with IC50 values ranging from 1.0 to 1.7 MUM, selectivity
index (SI, as a logarithm of a ratio of IC50 value for normal and cancer cells)
were all above 1.1, while the SI of EF24 and F35 were less than 0.8. Consistent
with selectivity in vitro, 5B was observed to show lower toxicity in acute
toxicity experiment than EF24 and F35 respectively. Further, 5B was found to
exert anti-tumor effects through ROS-mediated activation of JNK pathway and
inhibition of NF-kappaB pathway. 5B could significantly enhance the sensitivity
of A549 cells to cisplatin or 5-Fu. These findings suggested that 5B was an
effective and less toxic MCAC and provided a promising candidate for anti-tumor
drugs.
PMID- 29655084
TI - Discovery of novel Methylsulfonyl phenyl derivatives as potent human
Cyclooxygenase-2 inhibitors with effective anticonvulsant action: Design,
synthesis, in-silico, in-vitro and in-vivo evaluation.
AB - A novel series of methylsulfonyl phenyl derivatives has been designed and
synthesized to evaluate their COX-2 inhibitory activity along with anti
convulsant potential. In-vitro evaluation revealed that two compounds MTL-1 and
MTL-2 appeared as most potent and selective COX-2 inhibitors in the entire
series. Anti-convulsant activity of both potent COX-2 inhibitors was assessed in
sc-PTZ induced seizure test and MTL-1 excellently protected animals against PTZ
induced seizure at the dose of 30 mg/kg. MTL-1 also indicates long duration of
action in time course study and displayed significant seizure protection up to 6
h of drug administration. Further, the anti-epileptogenic effect of MTL-1 has
been examined in PTZ induced chronic model of epilepsy. The results indicated
that MTL-1 had a significant anti-epileptogenic effect in PTZ kindled rats as
compared to Etoricoxib (ETX) and PTZ alone treated group. Additionally, MTL-1
successfully improved cognition deficit in PTZ kindled rats, which was confirmed
by social recognition, novel object recognition and light-dark chamber tests.
Moreover, molecular docking and molecular simulation (MD simulation) studies were
also performed to elucidate the interaction of MTL-1 with the active site of COX
2 and results showed that MTL-1 suitably binds within active site of COX-2. To
investigate the safety profile of MTL-1, a sub-acute toxicity study was also
performed and MTL-1 emerged as a new non-toxic chemical entity. Thus, the present
investigation discovered a potent and safe COX-2 inhibitor, which is endowed with
an effective anti-epileptic action.
PMID- 29655085
TI - Adiposity at different periods of life and risk of adult glioma in a cohort of
postmenopausal women.
AB - BACKGROUND: Little is known about risk factors for adult glioma. Adiposity has
received some attention as a possible risk factor. METHODS: We examined the
association of body mass index (BMI), waist circumference (WC) and waist-to-hip
ratio (WHR), measured at enrollment, as well as self-reported weight earlier in
life, with risk of glioma in a large cohort of postmenopausal women. Over 18
years of follow-up, 217 glioma cases were ascertained, including 164
glioblastomas. Cox proportional hazards models were used to estimate hazard
ratios and 95% confidence intervals. RESULTS: There was a modest, non-significant
trend toward increasing risk of glioma and glioblastoma with increasing measured
BMI and WHR. No trend was seen for WC. Self-reported BMI earlier in life showed
no association with risk. CONCLUSIONS: Our weak findings regarding the
association of adiposity measures with risk of glioma are in agreement the
results of several large cohort studies. In view of the available evidence,
adiposity is unlikely to represent an important risk factor for glioma.
PMID- 29655086
TI - Childhood nephroblastoma in Southern and Eastern Europe and the US: Incidence
variations and temporal trends by human development index.
AB - BACKGROUND: Despite advances in the management of nephroblastoma (Wilms' tumor,
WT), the etiology of the tumor remains obscure. We aimed to compare
nephroblastoma incidence rates and time trends among children (0-14 years) in 12
Southern and Eastern European (SEE) countries and the Surveillance, Epidemiology,
and End Results Program (SEER), USA, in relation to the human development index
(HDI). METHODS: In total 1776 WT cases were recorded in 13 SEE collaborating
registries (circa 1990-2016), whereas data on 2260 cases (1990-2012) were
extracted from the SEER database. Age-standardized incidence rates (AIRs) were
calculated and correlated with HDI, whereas temporal trends were evaluated using
Poisson regression and Joinpoint analyses. RESULTS: The overall SEE AIR (9.2/106)
was marginally higher than that of the SEER (8.3/106), whereas significant
differences were noted among the 13 SEE registries which comprised mainly
Caucasian populations. A statistically significant temporal increase in incidence
was noted only in Belarus. Most cases (~75%) were diagnosed before the fifth year
of life, with rates steadily declining thereafter; median age at diagnosis was
similar in SEE countries and SEER. A slight male preponderance in the first year
of life (male:female = 1.1) was followed by a female preponderance in the older
age groups (male:female = 0.7). Lastly, a statistically significant positive
association between higher HDI and increasing nephroblastoma incidence was noted
(regression coefficient: +3.25, 95%CI: +1.35, +5.15). CONCLUSIONS: Variations in
incidence and time trends across the examined registries, changing male-to-female
patterns with advancement in age, and positive associations with the HDI imply a
plausible role for environmental and genetic factors in disease etiology, and
these need to be explored further.
PMID- 29655087
TI - Coach and player attitudes to injury in youth sport.
AB - OBJECTIVES: To investigate coach and player attitudes to injury in New Zealand
(NZ) secondary school sport. DESIGN: Cross-sectional survey SETTING: Secondary
school sport. PARTICIPANTS: Secondary school coaches and players. MAIN OUTCOME
MEASURES: Responses to a survey of injury attitudes. The survey was distributed
in electronic and paper-based form to secondary school coaches and players across
three sporting organisations. Coaches' uptake of injury prevention training and
whether they had first aid qualifications was also elicited. RESULTS: 117 coaches
and 226 players from netball, football and basketball participated. The majority
of coaches surveyed (n = 82, 70%) reported having a coaching qualification and 72
(62%) had completed a sport related first aid/injury prevention programme.
Overall, 196 players (87%) reported hiding an injury to continue playing, and 102
coaches (87%) and 205 players (91%) had witnessed injured players play on.
Approximately 50% of players and coaches had seen players put under pressure to
play when injured. A lack of knowledge, the desire to win, and not letting the
team down were key reasons given for the behaviour reported. CONCLUSIONS: The
observed prevalence of players playing on when injured and associated attitudes
to secondary school sport injuries demands further investigation.
PMID- 29655088
TI - The prevalence of generalized and syndromic hypermobility in elite Australian
dancers.
AB - OBJECTIVES: To determine the prevalence of Generalized Joint Hypermobility (GJH)
and Joint Hypermobility Syndrome/Ehlers-Danlos Syndrome-Hypermobility Type
(JHS/EDS-HT) among dancers using established validated measures. DESIGN:
Observational Cohort Study. SETTING: Laboratory. PARTICIPANTS: 85 dancers from
two dance institutions. MAIN OUTCOME MEASURES: GJH was determined using the
Beighton score (cut-point >=5/9) and the Lower Limb Assessment Scale (LLAS) (cut
point >=7/12). Presence of JHS/EDS-HT was assessed using the Brighton and
Villefranche criteria. Paired sample t-test was performed to compare LLAS side-to
side scores, and percentage disagreements calculated to determine differences
between the two GJH and the two JHS/EDS-HT measures. RESULTS: 72% of dancers met
the Beighton cut-point for GJH, while 38% and 42% met the LLAS cut-point on the
left and right respectively. The proportion of dancers identified with GJH was
different when assessed using the Beighton compared to the left and right LLAS
(both p < 0.001), a disagreement of 48% and 46% respectively, with Beighton
classifying more participants as having GJH. The Villefranche identified more
dancers with JHS/EDS-HT than the Brighton (84% vs 31%, p < 0.001), with 54%
disagreement. CONCLUSIONS: High prevalence of generalized and syndromic
hypermobility was found regardless of the criteria used. A higher Beighton cut
point, e.g. >=6/9, to identify true GJH amongst dancers maybe warranted.
PMID- 29655089
TI - Hip kinematics during functional tasks in females with patellofemoral pain:
Modification following rehabilitation and correlation with clinical improvement.
AB - OBJECTIVES: To compare hip kinematics during two functional tasks in females with
and without patellofemoral pain (PFP), and to determine if hip kinematics and,
symptoms and functional limitations were improved after a rehabilitation program.
DESIGN: Cross sectional and longitudinal. PARTICIPANTS: Sixteen females with and
15 without PFP. SETTING: Laboratory and physical therapy clinic. MAIN OUTCOME
MEASURES: Hip adduction (HADD) and medial rotation (HMR) peak angles, time
integrals and inter-movement variability during step-down and vertical drop jump,
symptoms and functional limitations evaluated using the Activities of Daily
Living Scale of the Knee Outcome Survey (KOS-ADLS). RESULTS: At baseline, no
significant differences were found in step-down and vertical drop jump between
PFP and controls. Following rehabilitation, significant improvements were
reported in KOS-ADLS (p < 0.001). There was a significant decrease in HADD and
HMR variability (p < 0.05), a trend for decreased peak HMR (p = 0.06) during step
down, and a significant decrease in HADD variability (p < 0.05) during vertical
drop jump. These kinematic changes did not correlate with changes in KOS-ADLS
(all p > 0.05). CONCLUSION: Females with and without PFP demonstrated no hip
kinematic differences during functional tasks. A rehabilitation program improved
symptoms and functional status, and modified hip kinematics in females with PFP;
however these changes were not correlated.
PMID- 29655090
TI - Metataxonomy and functionality of wood-tar degrading microbial consortia.
AB - Wood-tar is a liquid material obtained by wood gasification process, and
comprises several polycyclic aromatic hydrocarbons (PAH). Tar biodegradation is a
very challenging task, due to its toxicity and to its complex chemistry. The
'microbial resource management' concerns the use of environmental microbial
communities potentially able to provide us services. We applied this concept in
tar biodegradation. Tar composed by several PAH (including phenanthrene,
acenaphthylene and fluorene) was subjected to a biodegradation process in
triplicate microcosms spiked with a microbial community collected from PAH-rich
soils. In 20 days, 98.9% of tar was mineralized or adsorbed to floccules, while
negative controls showed poor PAH reduction. The dynamics of fungal and bacterial
communities was assessed through Automated Ribosomal Intergenic Spacer Analysis
(ARISA), 454 pyrosequencing of the fungal ITS and of the bacterial 16S rRNA.
Quantification of the degrading bacterial communities was performed via
quantitative Real Time PCR of the 16S rRNA genes and of the cathecol 2,3
dioxygenase genes. Results showed the importance of fungal tar-degrading
populations in the first period of incubation, followed by a complex bacterial
dynamical growth ruled by co-feeding behaviors.
PMID- 29655091
TI - Effects of different oxyanions in solution on the precipitation of jarosite at
room temperature.
AB - The effects of five different oxyanions, AsO4, SeO3, SeO4, MoO4, and CrO4, on the
precipitation of jarosite at room temperature were investigated by X-ray
diffraction, scanning electron microscopy, and chemical analysis. Different
amounts (2, 5, and 10 mol%) of oxyanions in the starting solution and different
aging times (1 h-40 days) were used for the experiment. In the initial stage,
only the amorphous phase appears for all samples. With increasing aging time,
jarosite and jarosite with oxyanions start precipitating at room temperature with
different precipitation rates and crystallinities. Jarosite with AsO4 shows the
lowest precipitation rate and lowest crystallinity. With increasing amounts of
oxyanions, the crystallization rate decreases, especially for jarosite with AsO4.
The jarosite samples with CrO4 and SeO4 show the fastest precipitation and
highest crystallinities. For the jarosite samples with a low precipitation rate
and low crystallinity, the amorphous phase contains high concentrations of
oxyanions, probably because of the fast precipitation of the amorphous iron
oxyanion phase; however, the phase with fast jarosite precipitation contains
fewer oxyanions. The results show that coprecipitation of jarosite can play a
more important role in controlling the behavior of CrO4 than AsO4 in acid mine
drainage.
PMID- 29655092
TI - Why, who and when to start treatment for chronic hepatitis B infection.
AB - Chronic hepatitis B remains a major global health challenge due to morbidity and
mortality from hepatocellular carcinoma and complications of liver cirrhosis.
Current treatment regimens are non-curative and, once initiated, treatment is of
indefinite duration for the majority. The decision to initiate treatment
decisions is based on risk stratification. Advances in our understanding of the
natural history of chronic hepatitis B have led to a paradigm shift in
recommendations for treatment. Emerging non-invasive biomarkers of disease
activity will further enhance disease stratification. In this review, we
summarise the guidance from major international societies on treatment for
chronic hepatitis B and explore some of the novel approaches to disease
assessment.
PMID- 29655093
TI - A combination of representative compounds, metabolism platform and diagnostic
extraction strategy for characterization of metabolites of Shuang-Huang-Lian oral
liquid in vivo by ultra-performance liquid chromatography coupled with time-of
flight mass spectrometry.
AB - Traditional Chinese medicines (TCMs) usually contained a large number of chemical
components, which could be transformed into more complex metabolites in vivo. In
this work, a "Representative compounds-Metabolism platform-Diagnostic extraction"
strategy (RMD strategy) was proposed for comprehensively identification or
characterization of xenobiotics in rat after oral administration of TCMs. Shuang
Huang-Lian oral liquid (SHL), a well-known traditional Chinese medicine
preparation, was used as an example. The metabolic pathways of six representative
compounds, bearing five different core structures in SHL, were elucidated and
their metabolic reactions were employed for exploring metabolites of homologous
components in metabolism platform. Meanwhile, diagnostic ions extraction were
also used for screening more structural analogues in biofluids. All this work was
completed by ultra-performance liquid chromatography coupled electrospray
ionization quadruple time-of-flight mass spectrometry (UPLC/Qtof MS) and UNIFI
metabolism platform. As a result, a total of 254 xenobiotics were identified or
tentatively characterized in rat plasma and urine after oral administration of
SHL and six representative compounds. The metabolism reaction included phase I
reaction (hydroxylation, hydrolysis reaction, deglycosylation, hydrogenation,
demethylation, dehydroxylation and ring opening reaction) and phase II reaction
(glucuronidation, sulfation and methylation). This research provided useful
information for further study of the pharmacology and mechanism of SHL in vivo.
It also demonstrated that RMD strategy was an efficient approach for facilitate
screening-out and rapid identification of xenobiotics in biological samples after
oral administration of TCMs.
PMID- 29655094
TI - Semi-quantitative measurement of the antimalarial lumefantrine from untreated
dried blood spots using LC-MS/MS.
AB - Study of the clinical effects of combination therapy for malaria is aided by the
ability to measure concentrations of individual partner drugs. Existing methods
for measurement of the antimalarial drug lumefantrine (LF) in dried blood spots
(DBS) on filter paper rely on chemical pretreatment of the paper to facilitate
drug elution. However, in the absence of pretreatment, DBS may still offer some
utility for semi-quantitative measurements and pharmacokinetic-pharmacodynamic
(PK-PD) analyses. We present a method for semi-quantitation of LF in DBS on
untreated filter paper using liquid chromatography tandem mass spectrometry.
Optimal recovery was achieved by extraction with acetone-water-formic acid
(90:5:5). The range of quantitation was 100-20,000ng/ml. Mean intra- and inter
day accuracy values were 86.6% (coefficient of variation [CV]: 10.1%) and 91.8%
(CV: 16.1%), therefore we propose the assay as semi-quantitative. Clinical
application was demonstrated in exploratory PK-PD analyses of a drug efficacy
trial of artemether-lumefantrine in children with uncomplicated falciparum
malaria using post-treatment day 7 samples, parasite clearance times estimated
from serial blood smears, and recurrence of malaria out to 35days. The median day
7 concentration among children (n=71) was 111ng/ml (interquartile range: 100
194ng/ml). We used a truncated calibration curve of 100-5000ng/ml for
calculations due to low observed concentrations. Calculations using the full
calibration curve yielded similar values (+1% avg. deviation). Controlling for
participant age, sex, and parasite burden, each log increase in LF day 7
concentration corresponded to a decrease of 7.1h in mean parasite clearance time
(95% confidence interval: 0.1-14.3h, P=0.05). A nested case-control study of
participants (n=18) with and without recurrent malaria showed mean post-treatment
day 7 concentrations of 181ng/ml and 235ng/ml, respectively, but the difference
was not significant (P=0.64). A method for semi-quantitation of LF from post
treatment day 7 collections of DBS on untreated filter paper demonstrated
clinical application in exploratory PK-PD analyses of parasite clearance and
reinfection. Use of DBS will endure in certain study settings by virtue of their
ease of collection and resilience. Their utility should continue to be explored
as our instruments gain in sensitivity and as clinical pharmacology inquiries are
pursued to the field.
PMID- 29655095
TI - Sub-chronic inhalation of reclaimed water-induced fibrotic lesion in a mouse
model.
AB - When reclaimed water is used as municipal miscellaneous water, acute exposure of
the generated aerosol with high levels of endotoxins can cause severe
inflammation in the lungs. However, the potential risks of long-term inhalation
of reclaimed water remains unclear. To identify the adverse effects of sub
chronic reclaimed water inhalation and explain the underlying mechanisms, a mouse
model of 12-week sub-chronic exposure was established, and wastewater before a
membrane bioreactor (MBR, positive control) and the MBR effluent (reclaimed
water, which met the quality standard of urban use and was currently used for
landscape irrigation) were tested in this study. The exposure dose was set to
approach the real working scenarios. Lung lavage and histology were analyzed.
Obvious epithelial cell apoptosis in the bronchi was observed, along with the
accumulation of myofibroblasts and the collagen deposition both in main bronchi
and terminal bronchioles. All these symptoms were persistent after 4 weeks of
recovery. Inflammation and induced bronchus-associated lymphoid tissues (iBALT)
were also observed but diminished after recovery indicating inflammation may not
be the direct cause of the symptom. Furthermore, two fibrogenic cytokines (TNF
alpha and TGF-beta) were constantly high in the lung during the study. They might
be the biomarkers of lung damage after the inhalation of reclaimed water.
Adaptive immune responses were also detected as elevated levels of IgG and IgA,
but not for IgE. Inhalation of reclaimed water causes sustained fibrotic lesions
in the lungs, which suggests potential health risks during urban application
where aerosols generated.
PMID- 29655096
TI - Precipitation thresholds for fecal bacterial indicators in the Chesapeake Bay.
AB - Many coastal states of the United States restrict harvest of shellfish from
select areas based on some environmental trigger. Such areas are classified as
being conditionally approved. In Maryland, the trigger is an inch or more of
rainfall that has fallen in the last 24 h. This study used 11 years of monitoring
data to test the relationship between daily rainfall totals and densities of
fecal indicators in Maryland shellfish harvest waters. Precipitation and fecal
coliform (FC) water monitoring data from 2004 to 2014 were matched by date and
watershed. The influence of antecedent rainfall conditions (i.e. rainfall in the
preceding days or weeks) and the distance of each monitoring station to land were
compared to the percent of samples exceeding the FDA criterion for managing
shellfish harvest areas. Sample stations beyond 1000m from land had FC densities
consistently below the FDA criterion and were excluded from further analysis.
Rainfall events greater than an inch tended to result in significantly elevated
FC for the following two days, followed by lower levels thereafter. The total
amount of rain in the last three weeks was positively related to the proportion
of samples with FC greater than the FDA criterion. Bay-wide, the percent of
samples exceeding the FDA criterion rose from seven percent for rainfall less
than an inch to 37% following one or more inches of rain. Watersheds were
classified based on the percent of FC densities over the criterion when rainfall
was an inch or more, with 41 of 81 watersheds showing FC responses indicative of
potential conditionally approved areas, those shellfish growing areas where the
one inch precipitation trigger may be applied. These areas largely overlapped the
current conditionally approved areas defined by Maryland. The percent of open
water, wetlands, and poorly drained soils explained a significant amount of the
variability (R2 = 0.72) in the difference in percent of samples exceeding the FDA
criterion when rainfall was greater than an inch and when it was less than an
inch. Logistic regression analysis showed that the current trigger of one inch of
rain in 24 h is predictive of FC densities over the FDA criterion, though the
appropriate threshold will most likely depend on how far the particular shellfish
growing area is from land and antecedent rain conditions. In watersheds with
relatively high percentages of open water to total watershed size, higher
rainfall thresholds might be appropriate. The approach taken in this study could
be applied to individual stations and sub-watersheds, potentially allowing the
reclassification of some shellfish harvest areas.
PMID- 29655097
TI - A method for the statistical interpretation of friction ridge skin impression
evidence: Method development and validation.
AB - The forensic fingerprint community has faced increasing amounts of criticism by
scientific and legal commentators, challenging the validity and reliability of
fingerprint evidence due to the lack of an empirically demonstrable basis to
evaluate and report the strength of the evidence in a given case. This paper
presents a method, developed as a stand-alone software application, FRStat, which
provides a statistical assessment of the strength of fingerprint evidence. The
performance was evaluated using a variety of mated and non-mated datasets. The
results show strong performance characteristics, often with values supporting
specificity rates greater than 99%. This method provides fingerprint experts the
capability to demonstrate the validity and reliability of fingerprint evidence in
a given case and report the findings in a more transparent and standardized
fashion with clearly defined criteria for conclusions and known error rate
information thereby responding to concerns raised by the scientific and legal
communities.
PMID- 29655098
TI - Three-dimensionally derived interlandmark distances for sex estimation in intact
and fragmentary crania.
AB - The skull is the element most frequently presented to forensic anthropologists
for analysis yet weathering, corpse maiming, and scavenger activity often result
in damage and fragmentation. This fragmentation results in a reduction in the
number of traditional calliper derived measurements that can be obtained and
subjected to discriminant based analyses for sex estimation. In this
investigation, we employed three-dimensional geometric morphometric methods to
derive novel interlandmark distance measures across six regions of the cranium
including the basicranium, basipalate, zygoma, orbits and the cranium globally to
create functions to discriminate sex with high efficacy, even in the event of
fragmentation. Forty-five homologous landmarks were digitised across each of 227
(114 males and 113 females) South African crania of European descent (white)
sampled from the Raymond A Dart Collection of Human Skeletons, housed in the
School of Anatomical Sciences, University of the Witwatersrand, South Africa. A
total of 990 interlandmark distances (ILDs) were mathematically derived using
Pythagorean geometry. These ILDs were then filtered by region and subjected to
both direct and stepwise discriminant function analyses. Discriminant equations
where derived for each region and achieved the following average cross-validated
sex estimation accuracies: basicranium-74%; basipalate-80.2%; zygomatic-82.4;
orbits-71.8%; nasomaxilla-83.7%; global cranium-88.2%. A large number of the ILDs
used to derive the discriminant functions are novel, demonstrating the efficacy
of geometric morphometric methods and illustrating the need to reassess old
methods of data collection using modern methods to determine whether they best
capture biological differences. The results of this study provide an invaluable
contribution to forensic anthropology in South Africa as it provides an accurate,
practical means of assessing sex using fragmentary material that may otherwise
have been disregarded. These will undeniable aid in accurate sex estimation and
ultimately, victim identification.
PMID- 29655099
TI - Detection of latent fingerprint hidden beneath adhesive tape by optical coherence
tomography.
AB - Adhesive tape is one type of common item which can be encountered in criminal
cases involving rape, murder, kidnapping and explosives. It is often the case
that a suspect deposits latent fingerprints on the sticky side of adhesive tape
material when tying up victims, manufacturing improvised explosive devices or
packaging illegal goods. However, the adhesive tapes found at crime scenes are
usually stuck together or attached to a certain substrate, and thus the latent
fingerprints may be hidden beneath the tapes. Current methods to detect latent
fingerprint hidden beneath adhesive tape need to peel it off first and then apply
physical or chemical methods to develop the fingerprint, which undergo
complicated procedures and would affect the original condition of latent print.
Optical coherence tomography (OCT) is a novel applied techniques in forensics
which enables obtaining cross-sectional structure with the advantages of non
invasive, in-situ, high resolution and high speed. In this paper, a custom-built
spectral-domain OCT (SD-OCT) system with a hand-held probe was employed to detect
fingerprints hidden beneath different types of adhesive tapes. Three-dimensional
(3D) OCT reconstructions were performed and the en face images were presented to
reveal the hidden fingerprints. The results demonstrate that OCT is a promising
tool for rapidly detecting and recovering high quality image of latent
fingerprint hidden beneath adhesive tape without any changes to the original
state and preserve the integrity of the evidence.
PMID- 29655100
TI - Evaluation of 1,5-anhydro-d-glucitol in clinical and forensic urine samples.
AB - Because of the lack of characteristic morphological findings post mortem
diagnosis of diabetes mellitus and identification of diabetic coma can be
complicated. 1,5-Anhydroglucitol (1,5-AG), the 1-deoxy form of glucose, competes
with glucose for renal reabsorption. Therefore low serum concentrations of 1,5
AG, reflect hyperglycemic excursions over the prior 1-2 weeks in diabetic
patients. Next to clinical applications determination of 1,5-AG can also be used
in forensic analysis. To investigate the elimination of 1,5-AG, a liquid
chromatographic-mass spectrometric method for the determination of 1,5-AG and
creatinine in urine was developed and validated according to international
guidelines. To evaluate ante mortem concentrations of 1,5-AG spot urine samples
of 30 healthy subjects, 46 type 1 and 46 type 2 diabetic patients were analyzed.
1,5-AG urine concentrations of diabetic patients were significantly (p<0.001)
lower (mean: 1.54MUg/ml, n=92) compared to concentrations of healthy subjects
(mean: 4.76MUg/ml, n=30) which led to the idea that 1,5-AG urine concentrations
post mortem might help in the interpretation of a diabetic coma post mortem.
Urine of 47 deceased non-diabetics, 37 deceased diabetic and 9 cases of diabetic
coma were measured. Comparison of blood and urine 1,5-AG concentrations in clinic
samples (linear, R2=0.13) and forensic samples (linear, R2=0.02) showed no
correlation. Urinary levels of 1,5-AG in deceased diabetic (mean 6.9MUg/ml) and
in non-diabetic patients (mean 6.3MUg/ml) did not show a significant difference
(p=0.752). However, urinary 1,5-AG concentrations in deceased due to diabetic
coma (mean: 1.7MUg/ml) were significantly lower than in non-diabetic (mean:
6.3MUg/ml, p=0.039) and lower than in diabetic cases (mean: 4.7MUg/ml, p=0.058).
The determination of a reliable cut-off for the differentiation of diabetic to
diabetic coma cases was not possible. Normalization of urinary 1,5-AG
concentrations with the respective creatinine concentrations did not show any
gain of information. In clinical (serum) and forensic blood samples a significant
difference between all groups could be detected (p<0.05). Comparison of blood and
urine 1,5-AG concentrations in clinical samples (linear, R2=0.13) and forensic
samples (linear, R2=0.02) showed no correlation.
PMID- 29655102
TI - Sonochemical degradation of endocrine-disrupting organochlorine pesticide
Dicofol: Investigations on the transformation pathways of dechlorination and the
influencing operating parameters.
AB - Dicofol, an extensively used organochlorine pesticide and a recommended Stockholm
convention persistent organic pollutant (POP) candidate is well known for its
endocrine disruptive properties. The sonochemical degradation of Dicofol in
aqueous media has been investigated using a 20-kHz probe type sonicator with
power inputs from 150 to 450 W. The degradation rate was determined as a function
of concentration of Dicofol, solution pH, bulk phase temperature, ultrasonic
power density and H2O2 addition. At optimum operating conditions, the pseudo
first-order degradation rate constant (k) was determined to be 0.032 min-1 and
the extent of degradation was found to be 86% within 60 min of ultrasound
treatment. High performance liquid chromatography (HPLC) and Gas chromatography
coupled with mass spectroscopy (GC-MS) analysis indicated the presence of
degraded products. The obtained results of Dicofol degradation and control
experiments in the presence of H2O2 and radical scavenger test suggest thermal
decomposition along with radical attack at bubble-vapor interface to be the
dominant degradation pathway. Sonochemical treatment is effective and promising
for successful removal of harmful pesticides such as Dicofol and superior removal
efficiency for other POPs is expected in the near future with the successful
implementation of ultrasound-based wastewater treatment.
PMID- 29655101
TI - Hepatitis C virus status awareness and test results confirmation among people who
inject drugs in Ukraine.
AB - BACKGROUND: Among the estimated 340,000 people who inject drugs (PWID) in
Ukraine, HCV prevalence is approximately 70%. As HCV treatment availability
increases, an assessment of the HCV treatment cascade is needed to guide HCV
prevention and treatment strategies. METHODS: Opioid dependent PWID were
interviewed and tested for HIV and HCV in five Ukrainian cities from January 2014
to March 2015. Logistic regression was used to examine the independent correlates
of two cascade steps: a) anti-HCV positive status awareness; b) chronic HCV
confirmation; and of c) annual HCV testing for PWID. RESULTS: Among 1613 PWID,
1002 (62.1%) had anti-HCV positive test result, of which 568 (56.7%) were aware
of it before the study and 346 (34.5%) reported previous confirmatory testing for
chronic HCV. Independent correlates of being aware they had anti-HCV positivity
included: current [AOR: 3.08; 95%CI: 2.16-4.40] or prior [AOR: 1.85; 95%CI: 1.27
2.68] opioid agonistic treatment (OAT) experience, relative to no prior OAT,
living in Lviv [AOR: 0.50; 95%CI: 0.31-0.81] or Odesa [AOR: 2.73; 95%CI: 1.51
4.93] relative to Kyiv and being aware of having HIV [AOR: 4.10; 95%CI: 2.99
5.62]. Independent correlates of confirming HCV infection among those who were
aware of their anti-HCV positive status included: current OAT [AOR: 2.00; 95%CI:
1.24-3.23], relative to prior OAT, the middle income category [AOR: 1.74, 95%CI:
1.15-2.63], relative to the lowest, and receiving ART [AOR: 4.54; 95%CI: 2.85
7.23]. Among 1613 PWID, 918 (56.9%) were either HCV negative or not aware of
their HCV positive status, of which 198 (21.6%) reported recent anti-HCV test
(during last 12 month). Recent anti-HCV test in this group was associated with
current [AOR: 7.17; 95%CI: 4.63-11.13] or prior [AOR: 2.24; 95%CI: 1.32-3.81] OAT
experience, relative to no prior OAT. CONCLUSION: Encouraging PWID to participate
in OAT may be an effective strategy to diagnose and link PWID who are HCV
positive to care. Among HIV negative participants, regular HCV testing may be
ensured by participation in OAT. More studies are needed to assess HCV treatment
utilization among PWID in Ukraine and OAT as a possible way to retain them in
treatment.
PMID- 29655103
TI - Evaluation of the early developmental neural toxicity of F-53B, as compared to
PFOS, with an in vitro mouse stem cell differentiation model.
AB - F-53B, as an alternative to the persistent organic pollutant perfluorooctane
sulfonate (PFOS), is amply used in the electric plating industry. F-53B and PFOS
have similar physicochemical, biochemical and physiological properties, due to
the similarity in their chemical structure. Thus, they may also possess similar
toxicities. Although epidemiological studies and in vivo assays have shown that
prenatal exposure to PFOS may impair the development of the nervous system,
toxicity data for F-53B are still scarce. In this study, we employed an embryonic
stem cell (ESC) in vitro differentiation system, to detect the potential
developmental neural toxicity of F-53B and PFOS, at human exposure relevant
doses. We demonstrated that during early mouse ESC (mESC) neural differentiation,
F-53B and PFOS disrupted the expression of neural marker genes and affected the
morphology of the differentiated cells. However, the very same treatments did not
cause any cytotoxic effects. In conclusion, our ESC in vitro differentiation
system was able to prove for the first time that F-53B and PFOS at human exposure
relevant concentrations, could alter the expression of differentiation
biomarkers, indicating a potential developmental neural toxicity. Based on our
findings, it is reasonable to deduce that excessive exposure to F-53B and PFOS
may cause severe dysfunctions during early stages of embryo development.
PMID- 29655104
TI - Nitrifying trickling filters and denitrifying bioreactors for nitrogen management
of high-strength anaerobic digestion effluent.
AB - The treatment of high-strength anaerobic digester effluent in laboratory-scale
trickling filters for nitrification and then anaerobic filters for
denitrification is reported. Five media types were investigated in the trickling
filters: biochar, granular activated carbon (GAC), zeolite, Pall rings, and
gravel. Three media were tested in five denitrifying filters: sand (S), bamboo
wood chips (B), eucalyptus wood chips (E), bamboo with sand (B+S), and eucalyptus
with sand (E+S). The different wood chips served as a supplemental electron donor
for denitrification. From six months of operation, biochar, GAC, zeolite, Pall
rings, and gravel media had turbidity (NTU) removal efficiencies of 90, 91, 77,
74, and 74%, respectively, and ammonia removal efficiencies of 83, 87, 85, 30,
and 80%, respectively, which was primarily by nitrification to nitrate. For the
anaerobic filters, S, B, B+S, E, and E+S had nitrate removal efficiencies of 30,
66, 53, 35, and 35%, and turbidity removal efficiencies of 88, 89, 84, 89, and
88%, respectively. Biochar and bamboo were selected as the best combination of
media for trickling filter and anaerobic filter sequential treatment. Based on an
average initial influent of 600 mg NH3-N L-1, 50 mg NO3-N L-1, and 980 NTU, the
biochar filter's effluent would be 97 mg NH3-N L-1, 475 mg NO3-N L-1, and 120
NTU. The bamboo filter's final effluent would be 82 mg NH3-N L-1, 157 mg NO3-N L
1, and 13 NTU, which corresponds to 63% removal of total N and 99% removal of
turbidity. These filter media thus present a simple option for sustainable post
treatment for nitrogen management and effluent polishing in low-resources
settings.
PMID- 29655105
TI - Effectiveness and potential risk of CaO application in Cd-contaminated paddy
soil.
AB - In this study, the accurate effectiveness of CaO in mitigating Cd bioavailability
in paddy soil-rice system was investigated and moreover, the potential for
reversibility of CaO liming process was provided. Increasing soil pH to >=6.5 by
CaO was determined to be the minimal threshold for minimizing Cd transfer into
rice in historically contaminated soils across light to severe Cd levels, while
an elevated CaO ratio was needed for soil with recent input of Cd. In CaO
remediation treatment, a reduced pool of bioavailable Cd in rhizosphere soil
coupled with an increased Cd retention by Fe plaque and an inhibited planta Cd
transfer was determined consistently and proposed to be largely responsible for
the significant reduction in brown rice Cd. Under continuous simulated acid
precipitation test, a negligible level of Cd in eluate with ~1 unit higher pH
than control was recorded with CaO. Significant acidification, however, increased
Cd solubilization in the limed soil than in unlimited control, notably at pH 5.5
6.5. As indicated by Visual MINTEQ, a higher Cd-carbonate solubility and much
decreased Cd complexation on Fe-(hydr)-oxides across this pH range occurred as a
result of elevated Ca input. This suggests that a high soil pH (>=6.5) needs to
be permanently maintained once liming has been performed to minimize Cd
mobilization. Therefore, amending Cd-contaminated soils with CaO is a cost
effective remedial measure for reducing Cd bioavailability to paddy rice, while a
high soil pH need to be permanently maintained to sustain this beneficial effect.
PMID- 29655106
TI - Combining emission inventory and isotope ratio analyses for quantitative source
apportionment of heavy metals in agricultural soil.
AB - Two quantitative methods (emission inventory and isotope ratio analysis) were
combined to apportion source contributions of heavy metals entering agricultural
soils in the Lihe River watershed (Taihu region, east China). Source
apportionment based on the emission inventory method indicated that for Cd, Cr,
Cu, Pb, and Zn, the mean percentage input from atmospheric deposition was highest
(62-85%), followed by irrigation (12-27%) and fertilization (1-14%). Thus, the
heavy metals were derived mainly from industrial activities and traffic
emissions. For Ni the combined percentage input from irrigation and fertilization
was approximately 20% higher than that from atmospheric deposition, indicating
that Ni was mainly derived from agricultural activities. Based on isotope ratio
analysis, atmospheric deposition accounted for 57-93% of Pb entering soil, with
the mean value of 69.3%, which indicates that this was the major source of Pb
entering soil in the study area. The mean contributions of irrigation and
fertilization to Pb pollution of soil ranged from 0% to 10%, indicating that they
played only a marginally important role. Overall, the results obtained using the
two methods were similar. This study provides a reliable approach for source
apportionment of heavy metals entering agricultural soils in the study area, and
clearly have potential application for future studies in other regions.
PMID- 29655107
TI - Effect of nitrate, carbonate/bicarbonate, humic acid, and H2O2 on the kinetics
and degradation mechanism of Bisphenol-A during UV photolysis.
AB - In this study, the effects of natural water components (nitrate,
carbonate/bicarbonate, and humic acid) on the kinetics and degradation mechanisms
of bisphenol A (BPA) during UV-C photolysis and UV/H2O2 reaction were examined.
The presence of NO3- (0.04-0.4 mM) and CO32-/HCO3- (0.4-4 mM) ions increased BPA
degradation during UV photolysis. Humic acid less than 3 mg/L promoted BPA
degradation, but greater than 3 mg/L of humic acid inhibited BPA degradation.
During the UV/H2O2 reaction, all water matrix components acted as radical
scavengers in the order of humic acid > CO32-/HCO3- > NO3-. All of the
degradation reactions agreed with the pseudo-first-order kinetics. While eight
byproducts (m/z = 122, 136, 139, 164, 181, 244, 273, 289) were identified in UV
C/NO3- photolysis reaction, four (m/z = 122, 136, 164, 244) and three byproducts
(m/z = 122, 136, 164) were observed during UV-C/NO3-/CO32-/HCO3- and UV-C/CO32
/HCO3- reactions. Nitrogenated and hydrogenated byproducts were first observed
during the UV-C/NO3- photolysis, but only hydrogenated byproducts as adducts were
detected during the UV-C/NO3-/CO32-/HCO3- photolysis. Nitrogenated and
hydrogenated byproducts were formed in the early stage of degradation by OH or
NO2 radicals, and these byproducts were subsequently degraded into smaller
compounds with further reaction during UV-C/NO3- and UV-C/NO3-/CO32-/HCO3-
reactions. In contrast, BPA was directly degraded into smaller compounds by beta
scission of the isopropyl group by CO3-/HCO3 radicals during UV-C/CO32-/HCO3-
reaction. Our results imply that the water components can change the degradation
mechanism of BPA during UV photolysis.
PMID- 29655108
TI - Chronic toxicity and endocrine disruption of naproxen in freshwater waterfleas
and fish, and steroidogenic alteration using H295R cell assay.
AB - Naproxen is a non-steroidal anti-inflammatory drug (NSAID) and has been
frequently detected in surface waters around the world. Although endocrine
disruption and reproduction related effects of NSAIDs are of increasing concern,
the ecotoxicity of naproxen in aquatic organisms is limited primarily to acute
lethal effects. In this study, chronic toxicity of naproxen was evaluated
employing two daphnids (Daphnia magna and Moina macrocopa) and a fish (Oryzias
latipes). The effects of naproxen on sex steroid hormones and gene transcription
related to steroidogenesis were also evaluated in H295R cells. The chronic no
observed effect concentrations (NOECs) of naproxen for reproduction were
determined to be 10 mg L-1 in D. magna and 0.3 mg L-1 in M. macrocopa. At
concentrations of 0.5 mg L-1, the survival of juvenile medaka fish was
significantly decreased and transcription of erbeta2 gene was significantly
increased. Concentration of 17beta-estradiol (E2) and the ratio of E2 and
testosterone were significantly increased in H295R cells at 10 mg L-1, suggesting
that naproxen could modulate sex hormone production. The current detected levels
of naproxen in ambient Korean rivers are far lower than the effective levels,
however potential adverse effects cannot be ignored in some highly polluted
areas. Endocrine disruption effects in fish warrant further investigation
particularly for their ecological implications.
PMID- 29655109
TI - Indirect electrochemical oxidation of 2,4-dichlorophenoxyacetic acid using
electrochemically-generated persulfate.
AB - This research investigated persulfate electrosynthesis using a boron-doped
diamond anode and a chemical reaction of persulfate in its activated form with an
herbicide, 2,4-Dichlorophenoxyacetic acid (2,4-D). The first part of this
research is dedicated to the influence of the applied current density on the
electrosynthesis of persulfate. The first part shows that for a 2 M sulfuric
acid, the current efficiency reached 96% for 5 mA/cm2 and dropped to 52% for a
higher current density (100 mA cm-2). This fall cannot be explained by mass
transfer limitations: an increase in temperature (from 9 to 30 degrees C) during
electrolysis leads to the decomposition of 23% of the persulfate. The second part
of this research shows that a quasi-complete degradation of the target herbicide
can be reached under controlled operating conditions: (i) a high ratio of initial
concentrations [Persulfate]/[2,4-D], (ii) a minimum temperature of 60 degrees C
that produces sulfate radicals by heat decomposition of persulfate, and (iii) a
sufficient contact time between reactants is required under dynamic conditions.
PMID- 29655110
TI - Monitoring and mass balance analysis of endocrine disrupting compounds and their
transformation products in an anaerobic-anoxic-oxic wastewater treatment system
in Xiamen, China.
AB - We investigated the occurrence, removal and mass balance of 8 endocrine
disrupting compounds (EDCs), including estrone (E1), estradiol (E2), estriol
(E3), ethinylestradiol (EE2), triclosan (TCS), triclocarbon (TCC), 4-n-nonyl
phenol (NP) and 4-n-octyl phenol (OP), along with 5 of their transformation
products (TPs), including 4-hydroxy estrone (4-OH E1), 4-hydroxy estradiol (4-OH
E2), methyl triclosan (MeTCS), carbanilide (NCC), dichlorocarbanilide (DCC) in a
wastewater treatment plant. Generally, E3 showed the highest concentrations in
wastewater with median value of 514 ng/L in influent, while TCS and TCC showed
highest level in sludge and suspended solids (SS) with median value of 960 and
724 MUg/kg, respectively. Spatial variations were observed along each unit of the
wastewater treatment processes for dissolved analytes in wastewater and adsorbed
analytes in suspended solids and sludge. Special emphasis was placed to
understand the mass load of EDCs and their TPs to the wastewater treatment unit
and mass loss during the wastewater treatment processes. Mass loss based on both
aqueous and suspended phase concentration revealed that majority of these
chemicals were significantly removed during the treatment process except for TCS,
TCC, and three of their TPs (MeTCS, NCC, DCC), which were released or generated
during the treatment process. Mass load results showed that 42.4 g of these EDCs
and their TPs entered this wastewater treatment system daily via influent,
whereas 6.15 g and 7.60 g were discharged through effluent and sludge.
PMID- 29655111
TI - Degradation of bisphenol A by electro-enhanced heterogeneous activation of
peroxydisulfate using Mn-Zn ferrite from spent alkaline Zn-Mn batteries.
AB - Mn-Zn ferrite (Mn0.6Zn0.4Fe2O4) was prepared by a gel method using spent alkaline
Zn-Mn batteries as raw materials and employed as catalyst to degrade bisphenol A
(BPA) by electro-enhanced heterogeneous activation of peroxydisulfate (PDS). The
effects of initial pH, current density, PDS concentration, and Mn-Zn ferrite
dosage on BPA removal were investigated. The formation of reactive radicals was
verified by electron paramagnetic resonance (EPR) spectroscopy. The results of
radical quenching experiments indicate that surface-bound sulfate and hydroxyl
radicals played an important role in BPA removal. The stability of
Mn0.6Zn0.4Fe2O4 catalyst was investigated by cycling experiments, which indicates
Mn0.6Zn0.4Fe2O4 is stable and can be reused. This work also provides an
alternative way for the reutilization of spent alkaline Zn-Mn batteries.
PMID- 29655112
TI - Polarized electrode enhances biological direct interspecies electron transfer for
methane production in upflow anaerobic bioelectrochemical reactor.
AB - The influence of polarized electrodes on the methane production, which depends on
the sludge concentration, was investigated in upflow anaerobic bioelectrochemical
(UABE) reactor. When the polarized electrode was placed in the bottom zone with a
high sludge concentration, the methane production was 5.34 L/L.d, which was 53%
higher than upflow anaerobic sludge blanket (UASB) reactor. However, the methane
production was reduced to 4.34 L/L.d by placing the electrode in the upper zone
of the UABE reactor with lower sludge concentration. In the UABE reactor, the
methane production was mainly improved by the enhanced biological direct
interspecies electron transfer (bDIET) pathway, and the methane production via
the electrode was a minor fraction of less than 4% of total methane production.
The polarized electrodes that placed in the bottom zone with a high sludge
concentration enhance the bDIET for methane production in the UABE reactor and
greatly improve the methane production.
PMID- 29655113
TI - Evaluation of noise and blur effects with SIRT-FISTA-TV reconstruction algorithm:
Application to fast environmental transmission electron tomography.
AB - Fast tomography in Environmental Transmission Electron Microscopy (ETEM) is of a
great interest for in situ experiments where it allows to observe 3D real-time
evolution of nanomaterials under operating conditions. In this context, we are
working on speeding up the acquisition step to a few seconds mainly with
applications on nanocatalysts. In order to accomplish such rapid acquisitions of
the required tilt series of projections, a modern 4K high-speed camera is used,
that can capture up to 100 images per second in a 2K binning mode. However, due
to the fast rotation of the sample during the tilt procedure, noise and blur
effects may occur in many projections which in turn would lead to poor quality
reconstructions. Blurred projections make classical reconstruction algorithms
inappropriate and require the use of prior information. In this work, a
regularized algebraic reconstruction algorithm named SIRT-FISTA-TV is proposed.
The performance of this algorithm using blurred data is studied by means of a
numerical blur introduced into simulated images series to mimic possible
mechanical instabilities/drifts during fast acquisitions. We also present
reconstruction results from noisy data to show the robustness of the algorithm to
noise. Finally, we show reconstructions with experimental datasets and we
demonstrate the interest of fast tomography with an ultra-fast acquisition
performed under environmental conditions, i.e. gas and temperature, in the ETEM.
Compared to classically used SIRT and SART approaches, our proposed SIRT-FISTA-TV
reconstruction algorithm provides higher quality tomograms allowing easier
segmentation of the reconstructed volume for a better final processing and
analysis.
PMID- 29655114
TI - Long-term treatment effect of trauma-affected refugees with flexible cognitive
behavioural therapy and antidepressants.
AB - Few studies exist on the long-term effect of treatment of trauma-affected
refugees. The purpose of this study was to estimate the long-term treatment
effects of cognitive behavioural therapy and antidepressants (sertraline and
mianserin) in trauma-affected refugees. Follow-ups were conducted 6 and 18 months
after a randomised controlled clinical trial. The included patients were refugees
with war-related traumatic experiences, PTSD and without psychotic disorders. We
found a small improvement over time in PTSD, depression and anxiety symptoms and
level of functioning, but the improvement was not associated with any specific
treatment. Personality change after catastrophic experiences and life events
influenced the symptom level at all follow-ups while depression at completion of
treatment was associated with a steeper decline in symptom load at the follow
ups. In spite of the limited decline in symptom scores and treatment effects
immediately after treatment, the condition of the treated trauma-affected
refugees was significantly improved 6 and 18 months after treatment although the
improvement was small.
PMID- 29655115
TI - Depressive symptoms, post-traumatic stress symptoms and suicide risk among
graduate students: The mediating influence of emotional regulatory self-efficacy.
AB - The current study was to examine the relationship among depressive symptoms, post
traumatic stress symptoms, emotion regulatory self-efficacy and suicide risk. A
cross-sectional survey was conducted among 3257 graduate students from a medical
college of China. Lifetime prevalence of suicidal ideation, plan and attempt were
25.7%, 1.6%, 1.1%, respectively, with one-year suicidal ideation showing at 6.3%.
Structural equation modeling was employed to examine the relative contribution of
depressive symptoms, post-traumatic stress symptoms and emotion regulatory self
efficacy on suicide risk. Structural equation model had a highly satisfactory fit
[chi2 = 7.782, df = 4, p = 0.096; RMSEA = 0.021; CFI = 0.992; GFI = 0.997]. Post
traumatic stress symptoms had a direct effect and an indirect effect on suicide
risk via emotion regulatory self-efficacy. Depressive symptoms also had a direct
effect and an indirect effect on suicide risk via emotion regulatory self
efficacy. The depressive and post-traumatic stress symptoms increased the risk of
suicide risk, but the variable of emotion regulatory self-efficacy would be
served as a buffering factor, decreasing the risk of suicide. The interaction
term of depressive symptoms and post-traumatic stress symptoms had a direct
effect on suicide risk. A significant interactive effect of depressive and post
traumatic stress symptoms on suicide risk was found.
PMID- 29655116
TI - The assessment of serum lipid profiles of children with attention deficit
hyperactivity disorder.
AB - Attention-deficit/hyperactivity disorder (ADHD) is one of the most prevalent
psychiatric disorders in children and the pathophysiology remains obscure. Some
studies show that lipid imbalances are associated with ADHD etiology. We studied
the association of serum total cholesterol, high-density lipoprotein (HDL), low
density lipoprotein (LDL), and triglyceride (TG) levels in ADHD. We examined 88
children aged 8-12 years who were diagnosed with ADHD and 88 healthy children.
The exclusion criteria were as follows: obesity, any psychotropic use in the last
3 months, presence of a chronic disease and/or malignancy, history of medically
treated lipid metabolism disease in family members, intelligence quotient (IQ) <
70, and comorbidities, with the exception of oppositional defiant disorder. The
sample was evaluated using a semi-structured clinical assessment interview and
Conners' rating scales. Despite controlling for age, sex, and body mass index
(BMI) variables, the total cholesterol and LDL levels were significantly higher
in the ADHD group than the levels of healthy controls, whereas the TG and HDL
cholesterol levels were similar among groups. Conners' rating scales, reflecting
symptom severity, and total cholesterol, TG, HDL, and LDL levels of the ADHD
group were not correlated. The study results support the difference in serum
lipid and lipoprotein profiles of children with ADHD compared with healthy
controls. This difference is thought to be related with changes in
oxidant/antioxidant balance states in ADHD.
PMID- 29655117
TI - Psychological vulnerability and resilience of Holocaust survivors engaged in
creative art.
AB - Although evidence demonstrates that engagement in art promotes favorable coping
with trauma, this subject is underexplored among Holocaust survivors. Thus, the
present study explored whether Holocaust survivors engaged in art differed from
survivors not engaged in art in various markers of psychological vulnerability
and resilience. The study further included non-Holocaust survivor comparisons,
some engaged in art and some not, in order to assess whether engagement in art
among Holocaust survivors relates to a unique psychological profile beyond art
engagement in general. A sample of 154 community-dwelling older adults (mean age
= 81.67, SD = 5.33, range = 73-97) reported exposure to the Holocaust, current
engagement in art, posttraumatic stress disorder (PTSD) symptoms, general
psychological distress, resilience and subjective perceptions of age and aging.
Holocaust survivors (regardless of whether they engaged in art or not) reported
higher PTSD symptoms relative to comparisons. However, Holocaust survivors who
engaged in art reported higher resilience than all other groups (survivors not
engaged in art and comparisons engaged and not engaged in art). To the best of
our knowledge, these findings are the first quantitative evidence pointing toward
a link between engagement in art and positive coping with the Holocaust. These
findings have important implications for clinicians working with Holocaust
survivors.
PMID- 29655118
TI - Effects of multi-walled carbon nanotube materials on Ruditapes philippinarum
under climate change: The case of salinity shifts.
AB - The toxicity of carbon nanotubes (CNTs) is closely related to their physico
chemical characteristics as well as the physico-chemical parameters of the media
where CNTs are dispersed. In a climate change scenario, changes in seawater
salinity are becoming a topic of concern particularly in estuarine and coastal
areas. Nevertheless, to our knowledge no information is available on how salinity
shifts may alter the sensitivity (in terms of biochemical responses) of bivalves
when exposed to different CNTs. For this reason, a laboratory experiment was
performed exposing the Manila clam Ruditapes philippinarum, one of the most
dominant bivalves of the estuarine and coastal lagoon environments, for 28 days
to unfunctionalized multi-walled carbon nanotube MWCNTs (Nf-MWCNTs) and
carboxylated MWCNTs (f-MWCNTs), maintained at control salinity (28) and low
salinity 21. Concentration-dependent toxicity was demonstrated in individuals
exposed to both MWCNT materials and under both salinities, generating alterations
of energy reserves and metabolism, oxidative status and neurotoxicity compared to
non-contaminated clams. Moreover, our results showed greater toxic impacts
induced in clams exposed to f-MWCNTs compared to Nf-MWCNTs. In the present study
it was also demonstrated how salinity shifts altered the toxicity of both MWCNT
materials as well as the sensitivity of R. philippinarum exposed to these
contaminates in terms of clam metabolism, oxidative status and neurotoxicity.
PMID- 29655119
TI - Medical revalidation as professional regulatory reform: Challenging the power of
enforceable trust in the United Kingdom.
AB - For more than two decades, international healthcare crises and ensuing political
debates have led to increasing professional governance and regulatory policy
reform. Governance and policy reforms, commonly representing a shift from
embodied trust in professionals to state enforceable trust, have challenged
professional power and self-regulatory privileges. However, controversy remains
as to whether such policies do actually shift the balance of power and what the
resulting effects of policy introduction would be. This paper explores the roll
out and operationalisation of revalidation as medical regulatory reform within a
United Kingdom National Health Service hospital from 2012 to 2013, and its impact
upon professional power. Revalidation policy was subject to the existing
governance and management structures of the organisation, resulting in the formal
policy process being shaped at the local level. This paper explores how the
disorganised nature of the organisation hindered rather than facilitated robust
processes of professional governance and regulation, fostering formalistic rather
than genuine professional engagement with the policy process. Formalistic
engagement seemingly assisted the medical profession in retaining self-regulatory
privileges whilst maintaining professional power over the policy process. The
paper concludes by challenging the concept of state enforceable trust and the
theorisation that professional groups are effectively regulated and controlled by
means of national and organisational objectives, such as revalidation.
PMID- 29655120
TI - Increased stiffness of collagen fibrils following cyclic tensile loading.
AB - Alterations in mechanical loading can induce growth and remodeling in soft
connective tissues. Numerous studies have measured changes in the collagen
structure and mechanical properties of cellularized native and engineered tissues
in response to cyclic mechanical loading. However, a recent experimental study
demonstrated that cyclic loading also caused significant stiffening and
strengthening of acellular collagen constructs. In this work, we developed an
anisotropic hyperelastic model of the collagen constructs to investigate whether
the measured changes in the tissue-level properties can be attributed to changes
in the anisotropic collagen structure or mechanical properties of the collagen
fibrils. The model parameters describing the elastic properties, damage
properties, and morphology of the fibril were fit to the stress-stretch response
measured for the constructs subjected to different preconditioning strains and
cycles. The results showed that the changes in the collagen anisotropy measured
in experiments were insufficient to explain the increase in the stiffness and
strength of the collagen constructs with cyclic loading and that the increase in
the strength of the collagen constructs may be attributed mainly to the increase
in the effective stiffness of the fibrils. These findings suggest that mechanical
loading can induce changes in the stiffness and failure properties of the
collagen fibril network through passive chemomechanical processes in addition to
active cellular processes.
PMID- 29655121
TI - Effect of microstructure on the mechanical properties of lithium disilicate glass
ceramics.
AB - The mechanical properties of lithium disilicate glass-ceramics depend on various
factors, a prominent one being their microstructure. The aim of this review
article is to elucidate the effect of processing parameters such as annealing
temperature, holding time, number of heating stages and chemical composition and
additives on the microstructure and physical properties of these glass-ceramics.
PMID- 29655122
TI - Betavoltaic battery performance: Comparison of modeling and experiment.
AB - A verification of the Monte Carlo simulation software for the prediction of short
circuit current value is carried out using the Ni-63 source with the activity of
2.7 mCi/cm2 and converters based on Si p-i-n diodes and SiC and GaN Schottky
diodes. A comparison of experimentally measured and calculated short circuit
current values confirms the validity of the proposed modeling method, with the
difference in the measured and calculated short circuit current values not
exceeding 25% and the error in the predicted output power values being below 30%.
Effects of the protective layer formed on the Ni-63 radioactive film and of the
passivating film on the semiconductor converters on the energy deposited inside
the converters are estimated. The maximum attainable betavoltaic cell parameters
are estimated.
PMID- 29655123
TI - Demonstration of the bactericidal effects of the boron neutron capture reaction.
AB - This pilot study represents a paradigm shift, using BNCT for the treatment of
bacterial overgrowth on surgically implanted medical devices. In this study,
titanium diboride disks were inoculated with S. aureus and irradiated in a
thermal neutron beam. After a delivery of 2.6 * 1012 n/cm2 the surviving fraction
of S. aureus on an irradiated disk was 3.1 * 10-5 when compared with non
irradiated controls. This pilot study demonstrates proof of principle of boron
neutron capture therapy for infection control (BNCIC).
PMID- 29655124
TI - Design of a nitrogen purification system with cryogenic method for neutrino
detection.
AB - In order to detect the neutrino with liquid scintillation detector, high-purity
nitrogen is essential for gas stripping in this detector. Therefore, it is
necessary to design a purification system for the detector to purify nitrogen.
Using the method of low temperature adsorption for the purification system, the
key designs including the flow path, the adsorber and the selection of activated
carbon, are introduced in this study. In these designs, the selection of
activated carbon is the most important because the adsorption characteristic of
the carbon is related to the performance of the purification system. The method
of grand canonical ensemble Monte Carlo is adopted to simulate the adsorption of
radon by the activated carbon with its slit pore model. Using this method, the
working temperature and the key characteristic of the activated carbon are
obtained.
PMID- 29655125
TI - Improved non-destructive method for 90Sr activity determination in aqueous
solutions using Monte Carlo simulation.
AB - The development of new methods and improvements of existing methods for the
specific activity determination of 90Sr and other distinct beta emitters has been
of considerable interest. The reason for this interest is that the notably small
number of methods that are able to meet all the set criteria, such as reliability
of the results, measurement uncertainty and time, and minimum production of
radioactive waste, as well as applicability to various samples with reference to
their nature, geometry and composition. In this paper, two methods for rapid 90Sr
activity determination based on Monte Carlo simulations are used, one for a Si
semiconductor detector for beta spectrometric measurements and the other for the
Geiger-Muller (GM) ionization probe. To improve the reliability of the
measurement results, samples with high and low strontium activity solutions were
prepared in the form of dry residues. The results of the proposed methodology
were verified with a standard method using a liquid scintillation counter, and
notably good agreements are achieved.
PMID- 29655126
TI - Monte Carlo simulation of the responses of gaseous effluent monitors to
radioactive isotopes.
AB - This paper discusses use of Monte Carlo simulations to facilitate testing and
calibration of a gaseous effluent monitor (GEM). MCNP5 was used to simulate
responses of the 131I and 41Ar GEM detecting units exposed to specific
radioactive sources. The agreement between the MCNP5 predictions and experimental
measurements is good enough to validate the MCNP5 model. It has been demonstrated
that the Monte Carlo code is a powerful and useful tool to determine accurate
detector responses and facilitate the calibration process of this type of the
monitors.
PMID- 29655127
TI - Gamma spectrum and coincidence summation simulations with Geant4 in the analysis
of radionuclide using BEGe detector.
AB - A Geant4 application has been developed to simulate the gamma spectrum of a BEGe
detector. The summation effects were encompassed for both random and true
coincidences through a time-based approach. The application well reproduces the
typical spectral features such as full energy peaks, escape peaks, summation
peaks and Compton/backscatter continuum. The simulated gamma response is
consistent with empirical data within 3%, while simulations of the X-ray and
baseline regions are valid within a 10% deviation.
PMID- 29655128
TI - Analysis on the emission and potential application of Cherenkov radiation in
boron neutron capture therapy: A Monte Carlo simulation study.
AB - This paper was aimed to explore the physics of Cherenkov radiation and its
potential application in boron neutron capture therapy (BNCT). The Monte Carlo
toolkit Geant4 was used to simulate the interaction between the epithermal
neutron beam and the phantom containing boron-10. Results showed that Cherenkov
photons can only be generated from secondary charged particles of gamma rays in
BNCT, in which the 2.223 MeV prompt gamma rays are the main contributor. The
number of Cherenkov photons per unit mass generated in the measurement region
decreases linearly with the increase of boron concentration in both water and
tissue phantom. The work presented the fundamental basis for applications of
Cherenkov radiation in BNCT.
PMID- 29655130
TI - The whole lupus: Articulating biosocial interplay in systemic lupus erythematosus
epidemiology and population disparities.
AB - Systemic lupus erythematosus (SLE), commonly known simply as lupus, is an
autoimmune disease in which the body's immune system attacks healthy tissue and
organs. Characteristic of the disease is a disproportionate effect on women and
communities of color, both in terms of prevalence and severity of symptoms. Lupus
is also both genetically driven and subject to external environmental conditions,
many with place based corollaries. Thus, lupus presents a series of complex and
intersecting biosocial questions regarding its origin and treatment, questions
which transdisciplinary approaches are uniquely suited to address. In this paper,
we propose a framework, incorporating critical approaches to the production of
embodied formations of race and gender as well as new understandings of the
impact of environmental conditions and lived experience at the genetic level,
that can direct future research into lupus that is both more inclusive of a range
of influences and more precise in its ability to treat and diagnose the disease.
PMID- 29655129
TI - Surviving the housing crisis: Social violence and the production of evictions
among women who use drugs in Vancouver, Canada.
AB - Single room accommodation (SRA) housing is among the only forms of accessible
housing to marginalized women who use illicit drugs in many urban settings.
However, SRA housing environments may create specific health and drug risks for
women. Little research has examined the gendered mechanisms contributing to
housing vulnerability for women who use drugs and the subsequent ways they aim to
mitigate harm. This study examines the gendered vulnerabilities to, and harms
stemming from, evictions from SRAs in Vancouver, Canada. Qualitative interviews
were conducted with 56 people who use drugs who were recently evicted (past 60
days) from SRAs in Vancouver's Downtown Eastside neighbourhood, 19 of whom
identified as women which informed this analysis. Participants were recruited by
Peer Researcher Assistants for baseline and follow-up interviews three to six
months later. Interview transcripts were analyzed thematically and interpreted by
drawing on concepts of social violence. Findings underscore how gendered violence
and forms of social control operationalized within SRAs normalized violence
against women and restricted their agency. Surveillance mechanisms increased
women's experiences of violence as they sought to evade such interventions. Post
eviction, women faced pronounced vulnerability to harm which reinforced their
social and spatial marginality within a drug scene. Collectively, women's
experiences within SRAs highlight how the hybrid forms of disciplinary mechanisms
used within these housing environments significantly impacted women's experiences
of harm. Greater attention to the impacts of housing and building policies on
women who use drugs is needed to better address the morbidity and mortality of
this population.
PMID- 29655131
TI - Synthesis of N-acetyl-l-cysteine capped Mn:doped CdS quantum dots for
quantitative detection of copper ions.
AB - In this work, a new assembled copper ions sensor based on the Mn metal-enhanced
fluorescence of N-acetyl-l-cysteine protected CdS quantum dots (NAC-Mn:CdS QDs)
was developed. The NAC and Mn:CdS QDs nanoparticles were assembled into NAC
Mn:CdS QDs complexes through the formation of CdS and MnS bonds. As compared to
NAC capped CdS QDs, higher fluorescence quantum yields of NAC-Mn:CdS QDs was
observed, which is attributed to the surface plasmon resonance of Mn metal. In
addition, the fluorescence intensity of as-formed complexes weakened in the
presence of copper ions. The decrease in fluorescence intensity presented a
linear relationship with copper ions concentration in the range from 0.16-3.36MUM
with a detection limit of 0.041MUM . The characterization of as-formed QDs was
analyzed by photoluminescence (PL), ultra violet-visible (UV-vis), X-ray
diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and energy
dispersive spectroscopy (EDS) respectively. Furthermore, the recoveries and
relative standard deviations of Cu2+ spiked in real water samples for the intra
day and inter-day analyses were 88.20-117.90, 95.20-109.90, 0.80-5.80 and 1.20
3.20%, respectively. Such a metal-enhanced QDs fluorescence system may have
promising application in chemical and biological sensors.
PMID- 29655132
TI - Adenomyosis and urinary system symptoms.
AB - OBJECTIVE: This study aims to investigate the presence and incidence of
overactive bladder (OAB) syndrome in patients diagnosed with adenomyosis and to
evaluate the impacts of urinary symptoms on the quality of life of the patients.
MATERIALS AND METHODS: A total of 108 individuals including 50 patients with
adenomyosis and 58 controls who were admitted to the Obstetrics and Gynecology
Department of Bursa, Cekirge State Hospital and Derince Training and Research
Hospital between April 2015 and December 2015 were included. The Urogenital
Distress Inventory (UDI-6) and Incontinence Impact Questionnaire (IIQ-7) were
used to evaluate symptoms. RESULTS: Irritative urinary symptoms such as nocturia
and frequency were seen more frequently in the adenomyosis group (p = 0.001 and p
= 0.035). Overactive bladder symptoms were more common in the adenomyosis group
(p = 0.0001). CONCLUSION: Our study showed that symptoms of urinary tract
symptoms are common in patients with adenomyosis, which adversely affect the
quality of life.
PMID- 29655133
TI - Determinants of staff commitment to hip protectors in long-term care: A cross
sectional survey.
AB - BACKGROUND: If worn, certain models of hip protectors are highly effective at
preventing hip fractures from falls in residents of long-term care, but modest
acceptance and adherence have limited the effectiveness of hip protectors.
Residents of long-term care are more likely to accept the initial offer of hip
protectors and to adhere to recommendations concerning the use of hip protectors
when staff are committed to supporting the application of hip protectors. Yet, we
know very little about the nature of and factors associated with staff commitment
to hip protectors in long-term care. OBJECTIVE: To identify factors associated
with staff commitment to hip protectors in long-term care. DESIGN: A cross
sectional survey. SETTING: Thirteen long-term care homes (total beds = 1816) from
a single regional health district in British Columbia, Canada. PARTICIPANTS: A
convenience sample of 535 paid staff who worked most of their time (>50% of work
hours) at a participating long-term care home, for at least one month, and for at
least 8 h per week. We excluded six (1.1%) respondents who were unaware of hip
protectors. Of the remaining 529 respondents, 90% were female and 55% were health
care assistants. METHODS: Respondents completed the Commitment to Hip Protectors
Index to indicate their commitment to hip protectors. We used Bayesian Model
Averaging logistic regression to model staff commitment as a function of personal
variables, experiences with hip protectors, intraorganizational communication and
influence, and organizational context. RESULTS: Staff commitment was negatively
related to organizational tenure >20 years (posterior probability = 97%; logistic
regression coefficient = -0.28; 95% confidence interval = -0.48, -0.08), and
awareness of a padded hip fracture (100%; -0.57; -0.69, -0.44). Staff commitment
was positively related to the existence of a champion of hip protectors within
the home (100%; 0.24; 0.17, 0.31), perceived quality of intraorganizational
communication (100%; 0.04; 0.02, 0.05), extent of mutual respect between
residents and staff and perceived contribution to quality of life of the
residents they serve (100%; 0.10; 0.05, 0.15), and frequency of transformational
leadership practices by respondents' primary supervisors (100%; 0.01; 0.01,
0.02). CONCLUSIONS: We provide novel insight into the factors governing staff
commitment to hip protectors in long-term care. Targeting of these factors could
improve acceptance and adherence with hip protectors, thereby contributing to
enhanced effectiveness of hip protectors to prevent hip fractures in long-term
care.
PMID- 29655135
TI - Erector spinae plane block provides sufficient surgical anesthesia for ileostomy
closure in a high-risk patient.
PMID- 29655134
TI - Understanding the link between contingency management and smoking cessation: The
roles of sex and self-efficacy.
AB - INTRODUCTION: Little is known about the mechanisms linking contingency management
(CM) treatment with smoking cessation, and recent research suggests that the CM
approach is associated with better smoking cessation outcomes among females than
males. The current study investigated self-efficacy as a potential mechanism
through which CM treatment influences smoking cessation, and explored whether
these relationships differed by sex. METHODS: Participants (N = 139) were
primarily Black (63.3%) and female (57.6%) adults enrolled in a safety-net
hospital smoking cessation program. Participants received usual care (UC), which
included pharmacotherapy and counseling sessions (n = 66) or a CM intervention
(UC + 4 weeks of small, abstinence contingent financial incentives; n = 73). Self
efficacy for quitting was measured on the day after quitting with the Self
Efficacy Scale/Confidence (SESC) questionnaire. Mediation analyses were conducted
to evaluate the indirect effects of treatment group on biochemically-verified
abstinence (4-weeks post-quit) via self-efficacy, and moderated mediation
analyses were conducted to evaluate the moderating role of sex. RESULTS: Self
efficacy was not found to mediate the relations between CM treatment and smoking
cessation in the overall sample. However, analyses indicated a significant
moderating effect of sex on the indirect effect of treatment group on smoking
cessation through self-efficacy (each of the 3 SESC subscales). Specifically,
there was a stronger association between CM and greater self-efficacy among
females than males. CONCLUSION: Findings suggest that CM treatment had a
differing impact on self-efficacy among males and females, which in turn
influenced the likelihood of smoking cessation.
PMID- 29655136
TI - Carbon dioxide/brine wettability of porous sandstone versus solid quartz: An
experimental and theoretical investigation.
AB - HYPOTHESIS: Wettability plays an important role in underground geological storage
of carbon dioxide because the fluid flow and distribution mechanism within porous
media is controlled by this phenomenon. CO2 pressure, temperature, brine
composition, and mineral type have significant effects on wettability. Despite
past research on this subject, the factors that control the wettability variation
for CO2/water/minerals, particularly the effects of pores in the porous substrate
on the contact angle at different pressures, temperatures, and salinities, as
well as the physical processes involved are not fully understood. EXPERIMENTS: We
measured the contact angle of deionised water and brine/CO2/porous sandstone
samples at different pressures, temperatures, and salinities. Then, we compared
the results with those of pure quartz. Finally, we developed a physical model to
explain the observed phenomena. FINDINGS: The measured contact angle of sandstone
was systematically greater than that of pure quartz because of the pores present
in sandstone. Moreover, the effect of pressure and temperature on the contact
angle of sandstone was similar to that of pure quartz. The results showed that
the contact angle increases with increase in temperature and pressure and
decreases with increase in salinity.
PMID- 29655137
TI - Visible light-enhanced electrocatalytic alcohol oxidation based on two
dimensional Pt-BiOBr nanocomposite.
AB - Photoelectrocatalytic oxidation based on noble/semiconductor has been a renewed
interest in the past decades. The lack of high-performance semiconductor support
remains a challenge for the harvesting and conversion of solar energy. Here, we
report the syntheses of two dimensional (2D) BiOBr nanosheets with the
superiorities of suitable band gaps, nontoxic, corrosion resistant and so on.
These features enable them unprecedented performance for acting as the visible
light-driven support towards alcohol oxidation. Firstly, the pure BiOBr nanosheet
has negligible activity towards alcohol oxidation. After the deposition of Pt
nanoparticles (NPs), the as-prepared Pt-BiOBr composites show superior
electrocatalytic activities towards ethanol and methanol oxidation reaction under
visible light irradiation, with the mass activities of 929.8 mA mg-1Pt and 751.7
mA mg-1Pt, 6.0 and 28.4-fold enhancements than those under dark condition,
respectively. The great enhancement in the photoelectrocatalytic performances can
be attributed to the unique 2D nanostructure, synergistic and photocatalytic
effects. This work may pave up a new route for designing the desirable
semiconductor supports for the decoration of the noble metal catalysts, showing
significant promise for the application of fuel cells.
PMID- 29655138
TI - Self-cleaning superhydrophobic nanocomposite surfaces generated by laser pulse
heating.
AB - Micro- and nanostructured surfaces are known to induce anti-wetting and self
cleaning properties. However, traditional formation of these structures is
difficult and requires high-resolution micro- and nanofabrication methods. Here,
we demonstrate a facile method for the formation of superhydrophobic self
cleaning surfaces by laser pulse heating of a carbon nanotube-polymer composite.
Laser treatment exposes a carbon nanotube network which controls surface wetting
properties. Advancing and receding contact angle measurements demonstrate that
these surfaces are superhydrophobic. Additionally, surfaces show anti-wetting and
self-cleaning properties.
PMID- 29655139
TI - The effects of melamine on the formation of carbon xerogel derived from
resorcinol and formaldehyde and its performance for supercapacitor.
AB - Carbon aerogel/xerogel can be easily tuned to have hierarchical pores ranging
from micropores to macropores. Nitrogen doping is considered to enhance the
wettability and conductivity of the carbon electrode, hence improve the
electrochemical performance. To prepare N-doped carbon xerogel and study the
effects on the structure and the electrochemical performance of resorcinol and
formaldehyde derived carbon xerogel, a series of Resorcinol-Melamine-Formaldehyde
derived N-doped carbon xerogel were prepared by a facile sol-gel process and
ambient drying method. With the increasing amount of melamine, the inside
channels become larger, which contributes to faster ion transport and smaller
charge transfer resistance (Rct). The Nitrogen content in carbon xerogel is also
increased, enhancing the capacitance of carbon electrode by pseudocapacity
effect, while damaging the rate performance by introducing more defects and
larger degree of disorder. As a result, the best electrochemical performance is
achieved in the RM-6-4 sample (resorcinol:melamine = 6:4), showing the largest
capacitance of 139 F g-1.
PMID- 29655140
TI - Synthesis of fluorinated graphene oxide by using an easy one-pot
deoxyfluorination reaction.
AB - The fluorination of two types of graphene oxides conducted by an easy and
scalable deoxyfluorination reaction is reported. This reaction was carried out
using diethylaminodifluorosulfinium tetrafluoroborate, a stable compound and an
efficient reagent for replacing oxygenated functional groups of graphene oxide by
fluoride. The graphene oxide produced by the Hummers' method (GOH) showed lower
reactivity than that produced by the Brodie's method (GOB). X-ray photoelectron
spectroscopy indicated that the highest fluorination degree achieved was 4.7 at.%
when GOB was used, and the CF character corresponds to semi-ionic bonds.
Additionally, a partial reduction of GO was concomitant with the
functionalization reaction. The deoxyfluorination reaction changed the
crystalline structure of GO, favoring the reconstruction of Csp2 structure of the
graphene lattice and reducing the number of stacked layers. The fluorination led
to the modification of the electronic band structure of this material, increasing
the band gap from 2.05 eV for GOB to 3.88 eV for fluorinated GOB, while for GOH
the low flurionation led to a slight increase of the band gap, from 3.48 eV to
3.57 eV.
PMID- 29655141
TI - Structural properties and catalytic activity of a novel ternary CuO/gC3N4/Bi2O3
photocatalyst.
AB - In the present study, CuO/gC3N4/Bi2O3 composite is constructed as a ternary
visible light active photocatalyst. Since CuO plays a critical role in enhancing
the photocatalytic activity of the formed composite, its structural properties
are particularly studied using synchrotron X-ray absorption spectroscopy (XAS),
including X-ray absorption near edge structure (XANES) and extended X-ray
absorption fine structure (EXAFS). XANES confirms the presence of Cu species with
+2 oxidation state in the form of CuO. EXAFS furthermore confirms that each Cu
cation is coordinated to four O anions in an approximately square planar
configuration. The length of the Cu-O coordination is estimated to be 1.92 A,
slightly shorter than that of bulk CuO (1.95 A). The CuO/gC3N4/Bi2O3 composite
exhibits highly enhanced photocatalytic activity in the 2,4-dichlorophenol
decomposition under visible light. The enhanced photocatalytic activity is due to
the increased population of electrons and the successful consumption of the
photoproduced electrons by the dissolved oxygen through the one-electron transfer
reaction.
PMID- 29655142
TI - Silver nanoparticles-incorporated Nb2O5 surface passivation layer for efficiency
enhancement in dye-sensitized solar cells.
AB - Guiding and capturing photons at the nanoscale by means of metal nanoparticles
and interfacial engineering for preventing back-electron transfer are well
documented techniques for performance enhancement in excitonic solar cells.
Drifting from the conventional route, we propose a simple one-step process to
integrate both metal nanoparticles and surface passivation layer in the porous
photoanode matrix of a dye-sensitized solar cell. Silver nanoparticles and Nb2O5
surface passivation layer are simultaneously deposited on the surface of a highly
porous nanocrystalline TiO2 photoanode, facilitating an absorption enhancement in
the 465 nm and 570 nm wavelength region and a reduction in back-electron transfer
in the fabricated dye-sensitized solar cells together. The TiO2 photoanodes were
prepared by spray pyrolysis deposition method from a colloidal solution of TiO2
nanoparticles. An impressive 43% enhancement in device performance was
accomplished in photoanodes having an Ag-incorporated Nb2O5 passivation layer as
against a cell without Ag nanoparticles. By introducing this idea, we were able
to record two benefits - the metal nanoparticles function as the absorption
enhancement agent, and the Nb2O5 layer as surface passivation for TiO2
nanoparticles and as an energy barrier layer for preventing back-electron
transfer - in a single step.
PMID- 29655143
TI - Effect of PEGylation on the stability of thermoresponsive nanogels.
AB - In biomedical applications, PEGylation is frequently utilized to enhance the
nanoparticles (NPs) capability for long systemic circulation times in the blood
and it is also crucial to stabilize the NPs and thereby minimize their ability to
agglomerate. In this study, we have synthesized poly(N-isopropylacrylamide)
(PNIPAAM) nanogels with covalently attached PEG chains of different length and
PEG coating densities. It is observed that in the absence of PEG coating the
nanogels aggregate at elevated temperatures. It is found from dynamic light
scattering (DLS) that both increased PEG length and enhanced PEG coating density
have crucial influence on the stability of the nanogels. The results show that
long PEG chains have a stronger impact on the shielding ability of the PEG layer
on the nanogels than a high coating density of short chains. The small angle
neutron scattering (SANS) measurements on PEG-coated nanogels indicate that the
coated layer contract at higher temperatures but still the particles are
stabilized. The bare PNIPAAM nanogels can be electrostatically stabilized by
adding a small amount of an ionic surfactant.
PMID- 29655144
TI - Rational synthesis of graphene-encapsulated uniform MnMoO4 hollow spheres as long
life and high-rate anodes for lithium-ion batteries.
AB - In this manuscript, the graphene-encapsulated MnMoO4 hollow spheres (MnMoO4@G)
synthesized by an effective strategy were reported. Benefiting from the
intriguing hybrid architecture of hollow structure and conductive graphene
network, the MnMoO4@G composite displays superior electrochemical performance
with high specific capacity of 1142 mA h g-1, high reversible cycling stability
of 921 mA h g-1 at a current density of 100 mA g-1 after 70 cycles, and stable
rate performance (around 513 mA h g-1 at a current density of 4.0 A g-1). The
remarkable battery performance can be attributed to the rational design of the
architecture, which not only ensures the fast transport of electrons and lithium
ions within the electrode material, but also effectively relax the stress induced
by the insertion/extraction of lithium ions. This facile synthetic method can
extend to other transition metal oxides with large volume excursions and poor
electric conductivity and promotes the development of transition metal oxides as
high-performance LIB anode material.
PMID- 29655145
TI - Reliable and accurate extraction of Hamaker constants from surface force
measurements.
AB - A simple and accurate closed-form expression for the Hamaker constant that best
represents experimental surface force data is presented. Numerical comparisons
are made with the current standard least squares approach, which falsely assumes
error-free separation measurements, and a nonlinear version assuming independent
measurements of force and separation are subject to error. The comparisons
demonstrate that not only is the proposed formula easily implemented it is also
considerably more accurate. This option is appropriate for any value of Hamaker
constant, high or low, and certainly for any interacting system exhibiting an
inverse square distance dependent van der Waals force.
PMID- 29655146
TI - Synthesis of DOPO-HQ-functionalized graphene oxide as a novel and efficient flame
retardant and its application on polylactic acid: Thermal property, flame
retardancy, and mechanical performance.
AB - The fabrication of biodegradable polymer nanocomposites with improved flame
retardancy has been an urgent task in practical because of the huge benefits of
biodegradable polymers. In this work, 10-(2,5-dihydroxyl phenyl)-9,10-dihydro-9
oxa-10-phosphaphenanthrene-10-oxide (DOPO-HQ)-functionalized graphene oxide (GO)
(FGO-HQ) was used as a novel and highly efficient flame retardant (FR) to improve
the flame retardancy of polylactide (PLA) nanocomposites. Contributed by the bi
phase flame retardant action, including the physical barrier char in solid phase
and the decreased flammable volatiles in gas phase, the resultant PLA/FGO-HQ
nanocomposites presented excellent flame resistance at the loading of 6 wt% FR:
UL-94 reached V-0 rating; peak heat release rate (PHRR) and total heat release
(THR) decreased by 24.0% and 43.0%, respectively; smoke production rate (SPR) and
total smoke release (TSR) decreased by 46% and 83%, respectively. For further
confirming its flame-resistance mechanism, thermogravimetric analysis/infrared
spectrometry (TG-IR) and Fourier transform infrared spectra (FT-IR), scanning
electron microscope (SEM), and Raman spectroscopy were employed. Results
indicated that the incorporation of FGO-HQ can effectively reduce the evaporation
of flammable gaseous product in gas phase through quenching free radicals.
Meanwhile, graphitized carbons are formed in the residual char and PLA/FGO-HQ
sample can achieve a good thermal stability in the combustion with phosphorus
containing compounds and aromatic structure in the solid phase. Furthermore, the
tensile strength of PLA nanocomposites presented good mechanical properties with
the addition of FR as well. These results suggested that the incorporation of FGO
HQ FR not only improve the flame retardancy and thermal stability of
biodegradable polymer nanocomposites but also without sacrificing their
mechanical properties.
PMID- 29655147
TI - Microstructural characteristics of surfactant assembly into a gel-like mesophase
for application as an oil spill dispersant.
AB - HYPOTHESIS: Polyoxyethylene (20) sorbitan monooleate (Tween 80) can be
incorporated into the gel-like phase formed by L-alpha-phosphatidylcholine (PC)
and dioctyl sulfosuccinate sodium salt (DOSS) for potential application as a gel
like dispersant for oil spill treatment. Such gel-like dispersants offer
advantages over existing liquid dispersants for mitigating oil spill impacts.
EXPERIMENTS: Crude oil-in-saline water emulsions stabilized by the surfactant
system were characterized by optical microscopy and turbidity measurements while
interfacial tensions were measured by the spinning drop and pendant drop
techniques. The microstructure of the gel-like surfactant mesophase was
elucidated using small angle neutron scattering (SANS), cryo scanning electron
microscopy (cryo-SEM), and 31P nuclear magnetic resonance (NMR) spectroscopy.
FINDINGS: The gel-like phase consisting of PC, DOSS and Tween 80 is positively
buoyant on water and breaks down on contact with floating crude oil layers to
release the surfactant components. The surfactant mixture effectively lowers the
crude oil-saline water interfacial tension to the 10-2 mN/m range, producing
stable crude oil-in-saline water emulsions with an average droplet size of about
7.81 um. Analysis of SANS, cryo-SEM and NMR spectroscopy data reveals that the
gel-like mesophase has a lamellar microstructure that transition from rolled
lamellar sheets to onion-like, multilamellar structures with increasing Tween 80
content.
PMID- 29655148
TI - Block copolymer-assisted synthesis of monodisperse colloidal patchy
nanoparticles.
AB - Amphiphilic block copolymers are able to assemble into spherical micelles in an
aqueous solution. Spherical micelles are expected to adsorb on the surface of
colloidal nanoparticles (NPs) through hydrogen-bonding interaction. Hence, it
should be possible to guide the area-selective deposition of precursors onto the
exposed surface of colloidal seeds, where no micelles are adsorbed. Using
colloidal silica and polydopamine nanospheres as seeds, block copolymer F127 and
P123 are used as surface modifiers to guide the controlled solution-phase
deposition of precursors on a selectively exposed surface of seed NPs, leading to
the formation of patchy NPs. Effects of the addition amount of tetraethoxysilane
(TEOS), types of block copolymers, and the volume fraction of miscible organic
solvents on the size and morphology of patchy silica NPs are investigated
systematically through electron microscopic imaging. Block copolymer micelles
adsorption model for the formation of colloidal patchy NPs is first proposed. Our
study suggests that the shape and size of patchy silica NPs are determined by the
amount of TEOS and dielectric constant of solution.
PMID- 29655149
TI - Sulfonated graphene oxide as an adsorbent for removal of Pb2+ and methylene blue.
AB - One of the major challenges encountered in some conventional nano-structured
adsorbents such as graphene oxide (GO) and graphene is the structural limits
including serious aggregation and hydrophilic surface in water. And the
sulfanilic acid functionalized graphene oxide (SGO) can powerfully attract
positively charged pollutants. Therefore, the SGO served as an adsorbent to
remove dyes and toxic metal ions from aqueous solution were intensively
investigated. At the same time the reduced sulfonated graphene oxide (rSGO),
reduced graphene oxide (rGO), and graphene oxide (GO) were performed as the
comparative samples. The results showed that the maximum adsorption capacities of
SGO were 2530 mg/g for methylene blue and 415 mg/g for Pb2+, which was much
higher than that of the contrast samples and adsorbents reported in literatures.
The adsorption of SGO was investigated systematically including the saturated
adsorption capacities, isotherm, and kinetic adsorption process. The SGO
displayed high adsorption efficiency and superior adsorption capacity toward
metal ions and dyes, which is mainly attribute to the good dispersibility and the
multiple adsorption sites of SGO. These results are promising not only providing
effective adsorbing heavy metal ions and organic dyes, but also gaining insights
into adsorption mechanism of graphene materials.
PMID- 29655150
TI - Enhanced room-temperature catalytic decomposition of formaldehyde on magnesium
aluminum hydrotalcite/boehmite supported platinum nanoparticles catalyst.
AB - Magnesium-aluminum hydrotalcite (Mg-Al HT)/boehmite (AlOOH) composite supported
Pt catalysts were obtained via one-pot microemulsion synthesis of Mg-Al HT/AlOOH
composite and NaBH4-reduction of Pt precursor processes. The catalytic
performances of the catalysts were evaluated for formaldehyde (HCHO) removal at
room temperature. The performance tests showed that the catalyst obtained by
immobilizing Pt nanoparticles (NPs) on Mg-Al HT/AlOOH support with Al/Mg molar
ratio equivalent to 9:1 (Pt/Al9Mg1) displayed a superior catalytic activity and
stability for HCHO removal. In order to find out the causes of its higher
activity, X-ray diffraction, transmission electron microscopy, N2
adsorption/desorption, X-ray photoelectron spectroscopy, temperature programmed
desorption of CO2 and reduction of H2 were used to analyze the physicochemical
properties of Pt/Al9Mg1 and Pt/AlOOH. The remarkable catalytic performance of
Pt/Al9Mg1 is mainly attributed to the relatively larger amount of surface oxygen
species, and more reactive oxygen species led by the interaction of Mg-Al HT and
AlOOH/Pt, and relatively larger number of weak base sites caused by Mg-Al HT. The
formate species are the main reaction intermediate over Pt/Al9Mg1 during HCHO
oxidation at room temperature, which could be further oxidized into CO2 and H2O
in the presence of O2. This study might shed some light on further improving the
catalytic performance of the catalyst for indoor air purification at room
temperature.
PMID- 29655151
TI - Fabrication of 2D SnS2/g-C3N4 heterojunction with enhanced H2 evolution during
photocatalytic water splitting.
AB - In this work, the 2D SnS2/g-C3N4 heterojunctions were successfully prepared by
heating the homogeneous dispersion of SnS2 nanosheets and g-C3N4 nanosheets using
a microwave muffle. SEM, TEM and HRTEM images indicated that the SnS2 nanosheets
were loaded on the surface of the g-C3N4 nanosheets. The UV-vis spectra show that
the absorption intensity of the as-prepared samples was increased and the
absorption range was also extended from 420 nm to approximately 600 nm. The H2
production rate over 5 wt% SnS2/g-C3N4 can reach 972.6 MUmol.h-1.g-1 under
visible light irradiation (lambda > 420 nm) using TEOA as the sacrifice agent and
Pt as the electron trap, which is 2.9 and 25.6 times higher than those of the
pristine g-C3N4 and SnS2, respectively. According to the obtained PL spectra,
photocurrent and EIS spectra, the enhanced performance for H2 generation over the
heterojunctions is primarily ascribed to the rapid charge transfer arising from
the suitable band gap positions leading to an improved photocatalytic
performance. The recycling experiments indicated that the as-prepared composites
exhibit good stability in H2 production. Additionally, a possible enhanced
mechanism for H2 evolution was deduced based on the results obtained by various
characterization techniques.
PMID- 29655152
TI - The nature of hematite depression with corn starch in the reverse flotation of
iron ore.
AB - The function of corn starch and the significance of the order of addition of corn
starch and mono ether amine in the reverse flotation of iron ore has been
investigated. Understanding hematite depression with starch and the corresponding
hydrophilic state involves consideration of adsorption with amine as well as
flocculation of fine hematite. Captive bubble contact angle and micro-flotation
experiments indicated that amine has an affinity towards both hematite and
quartz, and that the role of starch is to hinder the adsorption of amine at the
hematite surface so that flotation is inhibited. Micro-flotation results
confirmed that quartz does not have affinity towards starch at pH 10.5. In
addition to competitive adsorption, flocculation of fine hematite occurs and
images from high resolution X-ray computed tomography (HRXCT) and cryo-SEM reveal
further detail regarding floc structure. These results provide substantial
evidence that the fine hematite particles are flocculated in the presence of corn
starch, and flocculation is dependent on the particle size of hematite, with
greater flocculation for finer particles. Thus, starch is playing a dual role in
the reverse flotation of iron ore, acting as a depressant by hindering amine
adsorption at the hematite surface in order to maintain the hydrophilic surface
state of hematite, and acting as a flocculant to aggregate fine hematite
particles, which if not flocculated, could diminish the flotation separation
efficiency by being transported to the froth phase during reverse flotation.
PMID- 29655153
TI - New rapid method to detect BCR-ABL fusion genes with multiplex RT-qPCR in one
tube at a time.
AB - Fast identification of BCR-ABL fusion genes is critical for precise diagnosis,
risk stratification and therapy scheme selection in leukemia. More convenient
methods are needed for quickly detection of the BCR-ABL fusion genes. Multiplex
fluorescent reverse transcription quantitative real-time PCR (Multiplex RT-qPCR)
methods are developed for detection of the at least 14 subtypes of BCR-ABL fusion
genes in one tube at a time by using patients' bone marrow samples. The new
Multiplex RT-qPCR method could quickly detect BCR-ABL fusion genes with
sensitivity up to 10-106 copies. It can detect the fusion genes in patients' bone
marrow samples containing any subtypes of the major bcr (M-bcr) e13a2, e14a2,
e13a3 and e14a3, the minor bcr (m-bcr) e1a2 and e1a3, the micro bcr (MU-bcr)
e19a2 and e19a3, and the nano bcr (n-bcr) e6a2 and e6a3. The specificity is
comparable to the FISH methods. The cutoff for clinical diagnosis of BCR-ABL(+)
is also determined by testing in clinical chronic myeloid leukemia samples. This
is a new fast method with high sensitivity and specificity for clinical detection
of BCR-ABL fusion genes. It will benefit the precise diagnosis, targeted therapy
and minimal residual disease (MRD) monitoring in leukemia.
PMID- 29655154
TI - Assessing the correlation of genotypic and phenotypic responses of indica rice
varieties under drought stress.
AB - Drought is one of the severe abiotic stress that affects the productivity of
rice, an important staple crop that is consumed all over the world. The traits
responsible for enhancing or adapting drought resistance in rice plants can be
selected and studied to improve their growth under stress conditions. Experiments
have been conducted on indica rice varieties comprising Sahabhagidhan as drought
tolerant variety and IR64, MTU1010 categorized as drought sensitive varieties.
Various root related biochemical and morphological traits such as root length,
relative water content (RWC), xylem number, xylem area, proline content, and
malondialdehyde content have been investigated for a comparative study of the
plant response to drought stress in different rice varieties. The results of
differential root transcriptome analysis have revealed that there is a notable
difference in gene expression of OsPIP2;5 and OsNIP2;1 in various indica
varieties of rice at different time periods of stress. The present work aims at
assessing the correlation between genotypic and phenotypic traits that can
contribute towards the emerging field of rice phenomics.
PMID- 29655155
TI - Effect of side of the corpus luteum and pregnancy on estrogen and progesterone
receptor expression and localization in the endometrium of mares.
AB - The effect of side of corpus luteum on uterine gene expression and protein
localization of estrogen receptor alpha (ERalpha) and progesterone receptor (PR)
in healthy cyclic and pregnant mares 13 days after ovulation (day 0) was
investigated. Transcervical biopsies were performed to collect endometrium
ipsilateral and contralateral regarding the side of corpus luteum on day 13 post
ovulation in cyclic (n = 6) and pregnant (n = 6) mares. Blood samples were
collected daily from day 0 until the day of biopsy for 17beta-estradiol (E2) and
progesterone (P4) determinations. Receptor expression was determined by
immunohistochemistry and transcript expression by real time RT-PCR. Serum E2 and
P4 concentrations were not affected by reproductive status. The contralateral
horn presented higher percentage of positive cells for ERalpha than the
ipsilateral horn (P < .05), but side did not affect PR. ERalpha showed low
staining and no main effect of pregnancy was found, but pregnant mares had lower
protein expression of PR (19.8 vs. 40.4 +/- 5.3%, P < .01). The contralateral
horn tended to present higher expression of ERalpha mRNA (1.33 vs. 0.97 +/- 0.17,
P < .10) and PR mRNA (1.96 vs. 1.57 +/- 0.52, P < .09). ERalpha mRNA relative
expression was lower in the pregnant group (0.88 vs. 1.44 +/- 0.19, P < .05). The
interaction of reproductive status and side of corpus luteum tended to affect PR
mRNA expression as pregnant mares had a lower PR mRNA content in the ipsilateral
horn than cyclic mares. To our knowledge, this is the first study that describes
the behavior of steroid receptors in the endometrium of mares regarding side of
corpus luteum.
PMID- 29655156
TI - Interactive effect of climate factors, biochar and insecticide chlorpyrifos on
methane consumption and microbial abundance in a tropical Vertisol.
AB - Climate change may increase the pest infestation leading to intensive use of
insecticides. However, the effect of insecticide and climate factors on soil
methane (CH4) consumption is less understood. A laboratory experiment was carried
out to evaluate the effect of temperature (15 degrees C, 35 degrees C, and 45
degrees C), moisture holding capacity (MHC) (60%, 100%), biochar (0%, 1%) and
chlorpyrifos (0 ppm, 10 ppm) on CH4 consumption and microbial abundance in a
tropical Vertisol of central India. Methane consumption rate k (ng CH4 consumed g
1 soil d-1) varied from 0.065 +/- 0.005 to 0.608 +/- 0.018. Lowest k was in 15
degrees C-60% moisture holding capacity (MHC)-no biochar and with 10 ppm
chlorpyrifos. Highest k was in 35 degrees C-100% MHC-1% biochar and without (0
ppm) chlorpyrifos. Cumulative CO2 production (ng CO2 produced g-1 soil d-1)
varied from 446 +/- 15 to 1989 +/- 116. Both CH4 consumption and CO2 production
peaked in the treatment of 35 degrees C-100% MHC-1% biochar. Chlorpyrifos
inhibited CH4 consumption irrespective of treatments. Abundance of 16S rRNA of
eubacteria (* 106 g-1 soil) varied from 2.33 +/- 0.58 to 85.67 +/- 7.00.
Abundance of 16S rRNA genes representing Actinomycetes (* 104 g-1 soil) varied
from 7.67 +/- 1.53 and pmoA gene (Methanotrophs) (* 105 g-1 soil) varied from
1.23 +/- 0.59 to 34.33 +/- 6.51. Chlorpyrifos inhibited abundance of
heterotrophic bacteria and methanotrophs but stimulated actinomycetes. Biochar
stimulated the CH4 consumption, CO2 production and microbial abundance. Study
highlighted that use of chlorpyrifos under climate change factors may inhibit CH4
consumption but the use of biochar may alleviate the negative effect of the
chlorpyrifos.
PMID- 29655157
TI - Chromium resistance characteristics of Cr(VI) resistance genes ChrA and ChrB in
Serratia sp. S2.
AB - OBJECTIVE: To find an efficient chromium (VI) resistance system, with a highly
efficient, economical, safe, and environmentally friendly chromium-removing
strain, ChrA, ChrB, and ChrAB fragments of the chromium (VI) resistance gene in
Serratia sp. S2 were cloned, and their prokaryotic expression vectors were
constructed and transformed into E. coli BL21. The anti-chromium (VI) capacity
and characteristics of engineered bacteria, role of ChrA and ChrB genes in the
anti-chromium (VI) processes, and the mechanism of chromium metabolism, were
explored. METHODS: The PCR technique was used to amplify ChrA, ChrB, and ChrAB
genes from the Serratia sp. S2 genome. ChrA, ChrB, and ChrAB genes were connected
to the prokaryotic expression vector pET-28a and transferred into E. coli BL21
for prokaryotic expression. Cr-absorption and Cr-efflux ability of the engineered
strains were determined. The effects of respiratory inhibitors and oxygenated
anions on Cr-efflux of ChrA and ChrB engineered strains were explored. RESULTS:
ChrA, ChrB, and ChrAB engineered strains were constructed successfully; there was
no significant difference between the control strain and the ChrB engineered
strain for Cr-metabolism (P > 0.05). Cr-absorption and Cr-efflux of ChrA and
ChrAB engineered strains were significantly stronger than the control strain (P <
0.05). Oxyanions (sulfate and molybdate) and inhibitors (valinomycin and CN-)
could significantly inhibit the Cr-efflux capacities of ChrA and ChrAB engineered
strains (P < 0.05), while NADPH could significantly promote such capacities (P <
0.05). CONCLUSION: The Cr-transporter, encoded by ChrA gene, confer the ability
to pump out intracellular Cr on ChrA and ChrAB engineered strains. The ChrB gene
plays a positive regulatory role in ChrA gene regulation. The Cr-metabolism
ability of the ChrAB engineered strain is stronger than the ChrA engineered
strain. ChrA and ChrAB genes in the Cr-resistance system may involve a variety of
mechanisms, such as sulfate ion channel and respiratory chain electron transfer.
PMID- 29655158
TI - Mercury concentrations in blood, brain and muscle tissues of coastal and pelagic
birds from northeastern Canada.
AB - Mercury (Hg) is a toxic element which has increased in marine environments for
more than a century, due largely to anthropogenic activities, and biomagnifies in
food chains to harmful levels in some top predators like waterfowl and seabirds.
We analysed total mercury (THg) concentrations in blood, brain and muscle tissue
from healthy specimens of 13 coastal and pelagic bird species from eastern and
northern Canada to provide a baseline on current concentrations, especially for
brain concentrations which are highly underrepresented in the literature. We also
examined within and among tissues relationships of THg concentrations within
individuals. THg concentrations were generally higher in pelagic species and
scavenging gulls, when compared to coastal waterfowl. Brain and muscle tissue had
similar concentrations of THg in the birds examined, but both of these tissues
had lower concentrations that those found in blood. Our results, and that of a
previous study, suggest that body condition has a large influence on blood THg
concentrations and should be considered when using blood as a sampling medium.
Many of the species we examined had tissue THg above levels known to cause
deleterious, sublethal effects in some species.
PMID- 29655159
TI - The effects of Bisphenol A on the seagrass Cymodocea nodosa: Leaf elongation
impairment and cytoskeleton disturbance.
AB - Bisphenol A (BPA) is an emerging pollutant of environmental concern, classified
as "moderately toxic" and "toxic", causing adverse effects on aquatic biota.
Although information about BPA toxicity on aquatic fauna is available, the data
about BPA effects on aquatic flora remain scarce, missing for marine macrophytes.
The effects of environmentally relevant BPA concentrations (ranging from 0.03 to
3 MUg L-1) on juvenile leaf elongation and the cytoskeleton (microtubules, MTs
and actin filaments, AFs) were studied in the seagrass Cymodocea nodosa for 1-10
days. The suitability of cytoskeleton disturbance and leaf elongation impairment
as "biomarkers" for BPA stress were tested. The highest BPA concentrations (0.3,
0.5, 1 and 3 MUg L-1) affected significantly leaf elongation from the onset of
the experiment, while defects of the cytoskeleton were observed even at lower
concentrations. In particular, MTs were initially disrupted (i.e. "lowest
observed effect concentrations", LOECs) at 0.1 MUg L-1, while AFs were damaged
even at 0.03 MUg L-1. AFs appeared thus to be more sensitive to lower BPA
concentrations, while there was a correlation between leaf elongation impairment
and MT defects. Thus, AF damages, MT disruption and leaf elongation impairment in
C. nodosa, in this particular order, appear to be sensitive "biomarkers" of BPA
stress, at the above environmentally relevant BPA concentrations.
PMID- 29655160
TI - Ecotoxicity of phenol and cresols to aquatic organisms: A review.
AB - With the development of industrial production and continuous demand for
chemicals, a large volume of wastewater containing phenols was discharged into
the aquatic environment. Moreover, chemical leakage further increased the
emission of phenols into aquatic systems. Phenol and its methylated derivative
(cresols) were selected due to their extensive use in industry and ecotoxicity to
freshwater and marine organisms. This review focused on the ecotoxicity of phenol
and m-, o-, and p-cresol on aquatic systems. The mechanism of action of phenols
was also discussed. The aim of this literature review was to summarise the
knowledge of the behaviour, and toxicity on marine and freshwater organisms, of
phenols as well as to try to select a series of sensitive biomarkers suitable for
ecotoxicological assessment and environmental monitoring in aquatic environments.
PMID- 29655161
TI - Functional video-based analysis of 3D cardiac structures generated from human
embryonic stem cells.
AB - Human embryonic stem cells (hESCs) differentiated into cardiomyocytes (CM) often
develop into complex 3D structures that are composed of various cardiac cell
types. Conventional methods to study the electrophysiology of cardiac cells are
patch clamp and microelectrode array (MEAs) analyses. However, these methods are
not suitable to investigate the contractile features of 3D cardiac clusters that
detach from the surface of the culture dishes during differentiation. To overcome
this problem, we developed a video-based motion detection software relying on the
optical flow by Farneback that we call cBRA (cardiac beat rate analyzer). The
beating characteristics of the differentiated cardiac clusters were calculated
based on the local displacement between two subsequent images. Two
differentiation protocols, which profoundly differ in the morphology of cardiac
clusters generated and in the expression of cardiac markers, were used and the
resulting CM were characterized. Despite these differences, beat rates and
beating variabilities could be reliably determined using cBRA. Likewise,
stimulation of beta-adrenoreceptors by isoproterenol could easily be identified
in the hESC-derived CM. Since even subtle changes in the beating features are
detectable, this method is suitable for high throughput cardiotoxicity
screenings.
PMID- 29655162
TI - Knockdown of NLRC5 attenuates renal I/R injury in vitro through the activation of
PI3K/Akt signaling pathway.
AB - NLRC5, as the largest member of nucleotide-binding domain and leucine-rich repeat
(NLR) family, was involved in various physiological processes, such as
inflammation, fibrosis, innate immunity and diabetic nephropathy. However, the
role of NLRC5 in acute kidney injury remains unclear. The aim of this study was
to investigate the role of NLRC5 in human renal proximal tubular epithelial cells
(HK-2) exposed to hypoxia/reoxygenation (H/R). Our results demonstrated that the
expression of NLRC5 was significantly up-regulated in HK-2 cells exposed to H/R.
Knockdown of NLRC5 significantly improved the viability of HK-2 cells exposed to
H/R. In addition, knockdown of NLRC5 efficiently inhibited H/R-induced oxidative
stress and apoptosis in HK-2 cells. Mechanistically, knockdown of NLRC5 markedly
enhanced the activation of PIK3/Akt signaling pathway in H/R-stimulated HK-2
cells. In summary, our findings indicate that knockdown of NLRC5 attenuates renal
I/R injury in vitro through the activation of PI3K/Akt signaling pathway.
PMID- 29655163
TI - Insulin specifically regulates expression of liver and muscle phosphofructokinase
isoforms.
AB - Phosphofructokinase (PFK) is a key regulatory enzyme of glycolysis, being
considered the pacemaker of this pathway. In mammals, this enzyme exists as three
different isoforms, PFKM, PFKL and PFKP, presenting different regulatory and
catalytic properties. The expression of these isoforms is tissue-specific and
vary according to the cell differentiation and signalization. Although it is
known that the expression of the different PFK isoforms directly affects cell
function, the information regarding the regulation of PFK isoforms expression is
scarce. In the present work, we evaluate the role of insulin signalization on the
expression of three PFK isoforms on skeletal muscle, liver, and epididymal white
adipose tissue (eWAT) of mice. For this, Swiss mice were treated with
streptozotocin (STZ) to disrupt pancreatic beta-cells and, thus, insulin
production. Control group were treated with citrate buffer (STZ vehicle). These
groups were then treated with insulin or saline twice a day for ten consecutive
days when animals were euthanized and tissues used for the evaluation of PFK
isoforms expression by quantitative PCR (qPCR). Our results revealed that the
lack of insulin significantly impacted the expression of PFKL, presenting mild
effects on PFKM and no effects on PFKP. The decrease of PFKL and PFKM mRNA levels
observed on the group treated with STZ was reversed by the treatment with
insulin. In conclusion, insulin, the most known regulator of glucose consumption,
specifically regulates the expression of PFKL and PFKM, which impact the
regulation of glycolysis in the cell.
PMID- 29655164
TI - Bornyl acetate suppresses ox-LDL-induced attachment of THP-1 monocytes to
endothelial cells.
AB - Leukocyte recruitment to the surface of the endothelium plays a pivotal role in
the development of cardiovascular diseases. Bornyl acetate is the main volatile
constituent present in numerous conifer oils, which has displayed its anti
oxidant and anti-inflammatory properties in different types of tissues and cells.
However, little information regarding the effects of bornyl acetate on vascular
endothelial inflammation has been reported before. In the current study, we aimed
to investigate the pharmacological roles of bornyl acetate against ox-LDL-induced
leukocyte adhesion to the endothelium. Our findings indicate that bornyl acetate
ameliorated ox-LDL-induced reduction in cell viability of HUVECs. Additionally,
bornyl acetate inhibited the attachment of THP-1 monocytes to HUVECs induced by
treatment with ox-LDL through ameliorating the expression of ICAM-1, VCAM-1, and
E-selectin. Mechanistically, we found that bornyl acetate could suppress
activation of the IkappaBalpha/NF-kappaB signaling pathway. Lastly, our results
indicate that bornyl acetate mitigated expression of the pro-inflammatory
cytokines TNF-alpha and IL-1beta. Our results suggest the therapeutic potential
of bornyl acetate in patients with atherosclerosis.
PMID- 29655165
TI - A review of FGF signaling in palate development.
AB - The fibroblast growth factors (FGFs) play a critical role during palatogenesis by
mediating a variety of cellular responses. Extensive epidemiological and genetic
studies over several decades in humans have revealed members of the FGF family
function as candidate genes for syndromic and nonsyndromic cleft lip and cleft
palate. The findings that FGFs signaling work delicately in the development of
palate have been confirmed in mice carrying targeted mutations. Here we try to
review recent progress toward a detailed understanding of FGF signaling including
FGF7, FGF8, FGF9, FGF10, FGF18 and their receptors FGFR1, FGFR2 in palate
development studies and discuss how they interact with other factors on the basis
of animal studies regarding cleft palate.
PMID- 29655166
TI - Paeoniflorin attenuates depressive behaviors in systemic lupus erythematosus
mice.
AB - The present study was to evaluate the effects of paeoniflorin (PA) on systemic
lupus erythematosus induced depressive behaviors. 10 wild type mice and 20
MRL/lpr mice were applied for the research. The animals were randomly assigned to
wild type, MRL/lpr group and MRL/lpr + PA group. PA restored depressive-like
behaviors, such as sucrose consumption, immobile time in the tail suspension
tests (TST) and forced swimming tests (FST). PA significantly decreased the
levels of interleukin-6 (IL-6), IL-1beta, tumor necrosis factor-alpha (TNF-alpha)
in serum and hippocampus of MRL/lpr mice. Western blot results demonstrated PA
inhibited the levels of HMGB1/TLR4/NF-kappaB pathway. The findings showed that PA
was beneficial for the prevention of systemic lupus erythematosus induced
depressive behaviors, which possibly by suppressing HMGB1/TLR4/NF-kappaB pathway.
PMID- 29655168
TI - Upper body kinematic and muscular variability in response to targeted rotator
cuff fatigue.
AB - The rotator cuff muscles are prominent stabilizers of the shoulder and are
vulnerable to muscle fatigue. Rotator cuff fatigue may result in subacromial
impingement (SAI) through the superior translation of the humeral head. Scapular
changes have been reported inconsistently, but may prevent SAI. The purpose of
this study was to quantify changes in scapular kinematics, as well as muscle
activity during glenohumeral motions following targeted rotator cuff fatigue. Ten
healthy men completed four planar glenohumeral motions (cross-flexion, frontal,
scapular, and sagittal plane elevation) prior to and immediately following a
rotator cuff fatigue protocol on two separate days. Scapular kinematics and
muscle activity of thirteen muscles were recorded. Scapular protraction decreased
significantly with fatigue during scapular plane elevation (p < 0.001; etap2 =
0.74). Although not significant, large effect sizes were found with decreased
scapular protraction during elevation in the frontal (p = 0.012; etap2 = 0.52)
and sagittal planes (p = 0.007; etap2 = 0.58), as well as decreased scapular
medial rotation during cross-flexion (p = 0.008; etap2 = 0.56). Between-subject
variability (standard deviations from 2.3 degrees to 14.5 degrees ) and within
subject day-to-day differences (upwards of 10 degrees deviation in the opposite
direction) were high among all kinematic changes following fatigue. Considerable
day-to-day differences in scapular stabilizer muscle activity in response to
fatigue were present. Due to the degrees of freedom at the upper extremity,
individuals can employ a variety of compensatory strategies to fatigue. The
variable compensatory strategies across the scapular stabilizers resulted in
individual-specific scapular kinematic changes that could act as either
impingement-sparing or impingement-promoting. The high variance in day-to-day
differences within-subjects indicates that kinematic and muscular responses to
fatigue may be adaptive within individuals over time.
PMID- 29655167
TI - IL-8 antagonist, CXCL8(3-72)K11R/G31P coupled with probiotic exhibit variably
enhanced therapeutic potential in ameliorating ulcerative colitis.
AB - Inflammatory bowel disease (IBD) remains a major health challenge due in part to
unsafe and limited treatment options, hence there is the need for alternatives.
CXCL8/interleukin 8 (IL-8) is elevated in inflammation, and binds preferentially
to G protein-couple receptors (GPCRs) CXCR1/2 of the CXC chemokine family to
initiate cascades of downstream inflammatory signals. A mutant CXCL8 protein,
CXCL8(3-72)K11R/G31P (G31P), competitively and selectively binds to CXCR1/2,
making CXCL8 redundant. We explore the therapeutic potential of G31P in dextran
sulfate sodium (DSS) induced ulcerative colitis (UC), and the corresponding
effect if G31P treatment is augmented with Lactobacillus acidophilus (LACT). The
treatment options administered significantly reduced TNF-alpha, IFN-gamma, IL
1beta, IL-6, and IL-8, but maintained elevated levels of IL-10. CD68 and F4/80
expressions were down-regulated and showed restricted infiltration to inflamed
colon, while IL-17F levels were insignificantly different from the DSS treated
mice. Also, we observed up-regulation of IL-17A in G31P + LACT but not G31P
treated mice if compared with Control group. The treatments ameliorated colonic
fibrosis by reducing VEGF, TGF-beta, MMP-2 and MMP-9. In addition, we observed
elevated levels of E-cadherin, and marginal up-regulation of occludin, suggesting
the role of the treatments in regulating tight intestinal junction and adherence
proteins. Mechanism-wise, G31P interferes with AKT and ERK signaling pathways.
Our study suggests that G31P confers protection in IBD, particularly UC, and when
G31P treatment is augmented with Lactobacillus acidophilus, the protection is
variably enhanced.
PMID- 29655169
TI - Functional brain correlates of motor response inhibition in children with
developmental coordination disorder and attention deficit/hyperactivity disorder.
AB - Motor impairment is associated with developmental coordination disorder (DCD),
and to a lesser extent with attention-deficit/hyperactivity disorder (ADHD).
Previous functional imaging studies investigated children with DCD or ADHD only;
however, these two disorders co-occur in up to 50% of cases, suggesting that
similar neural correlates are associated with these disorders. This study
compared functional brain activation in children and adolescents (age range 8-17,
M = 11.73, SD = 2.88) with DCD (n = 9), ADHD (n = 20), co-occurring DCD and ADHD
(n = 18) and typically developing (TD) controls (n = 20). When compared to TD
controls, children with co-occurring DCD/ADHD showed decreased activation during
response inhibition in primary motor and sensory cortices. These findings suggest
that children with co-occurring DCD and ADHD display significant functional
changes in brain activation that could interfere with inhibition of erroneous
motor responses. In contrast to previous studies, significant alterations in
brain activation relative to TD controls, were not found in children with
isolated DCD or ADHD. These findings highlight the importance of considering co
occurring disorders when investigating brain function in children with
neurodevelopmental disorders.
PMID- 29655170
TI - Frequency of hepatitis B surface antigen variants (HBsAg) in hepatitis B virus
genotype B and C infected East- and Southeast Asian patients: Detection by the
Elecsys(r) HBsAg II assay.
AB - BACKGROUND: To avoid false negative results, hepatitis B surface antigen (HBsAg)
assays need to detect samples with mutations in the immunodominant 'a'
determinant region, which vary by ethnographic region. OBJECTIVE: We evaluated
the prevalence and type of HBsAg mutations in a hepatitis B virus (HBV)-infected
East- and Southeast Asian population, and the diagnostic performance of the
Elecsys(r) HBsAg II Qualitative assay. STUDY DESIGN: We analyzed 898 samples from
patients with HBV infection from four sites (China [Beijing and Guangzhou], Korea
and Vietnam). HBsAg mutations were detected and sequenced using highly sensitive
ultra-deep sequencing and compared between the first (amino acids 124-137) and
second (amino acids 139-147) loops of the 'a' determinant region using the
Elecsys(r) HBsAg II Qualitative assay. RESULTS: Overall, 237 distinct amino acid
mutations in the major hydrophilic region were identified; mutations were present
in 660 of 898 HBV-infected patient samples (73.5%). Within the pool of 237
distinct mutations, the majority of the amino acid mutations were found in HBV
genotype C (64.8%). We identified 25 previously unknown distinct mutations,
mostly prevalent in genotype C-infected Korean patients (n = 18) followed by
Chinese (n = 12) patients. All 898 samples were correctly identified by the
Elecsys(r) HBsAg II Qualitative assay. CONCLUSIONS: We observed 237 distinct
(including 25 novel) mutations, demonstrating the complexity of HBsAg variants in
HBV-infected East- and Southeast Asian patients. The Elecsys(r) HBsAg II
Qualitative assay can reliably detect HBV-positive samples and is suitable for
routine diagnostic use in East and Southeast Asia.
PMID- 29655171
TI - Alterations of network synchrony after epileptic seizures: An analysis of post
ictal intracranial recordings in pediatric epilepsy patients.
AB - OBJECTIVE: Post-ictal EEG alterations have been identified in studies of
intracranial recordings, but the clinical significance of post-ictal EEG activity
is undetermined. The purpose of this study was to examine the relationship
between peri-ictal EEG activity, surgical outcome, and extent of seizure
propagation in a sample of pediatric epilepsy patients. METHODS: Intracranial EEG
recordings were obtained from 19 patients (mean age = 11.4 years, range = 3-20
years) with 57 seizures used for analysis (mean = 3.0 seizures per patient). For
each seizure, 3-min segments were extracted from adjacent pre-ictal and post
ictal epochs. To compare physiology of the epileptic network between epochs, we
calculated the relative delta power (Delta) using discrete Fourier transformation
and constructed functional networks based on broadband connectivity (conn). We
investigated differences between the pre-ictal (Deltapre, connpre) and post-ictal
(Deltapost, connpost) segments in focal-network (i.e., confined to seizure onset
zone) versus distributed-network (i.e., diffuse ictal propagation) seizures.
RESULTS: Distributed-network (DN) seizures exhibited increased post-ictal delta
power and global EEG connectivity compared to focal-network (FN) seizures.
Following DN seizures, patients with seizure-free outcomes exhibited a 14.7% mean
increase in delta power and an 8.3% mean increase in global connectivity compared
to pre-ictal baseline, which was dramatically less than values observed among
seizure-persistent patients (29.6% and 47.1%, respectively). SIGNIFICANCE: Post
ictal differences between DN and FN seizures correlate with post-operative
seizure persistence. We hypothesize that post-ictal deactivation of subcortical
nuclei recruited during seizure propagation may account for this result while
lending insights into mechanisms of post-operative seizure recurrence.
PMID- 29655172
TI - Sparse-coding denoising applied to reversible conformational switching of a
porphyrin self-assembled monolayer induced by scanning tunnelling microscopy.
AB - Scanning tunnelling microscopy (STM) was used to induce conformational molecular
switching on a self-assembled monolayer of zinc-octaethylporphyrin on a
graphite/tetradecane interface at room temperature. A reversible conformational
change controlled by applying a tip voltage was observed. Consecutive STM images
acquired at alternating tip voltages showed that at 0.4 V the porphyrin monolayer
presents a molecular arrangement formed by alternate rows with two different
types of structural conformations and when the potential is increased to 0.7 V
the monolayer presents only one type of conformation. In this paper, we
characterize these porphyrin conformational dynamics by analyzing the STM images,
which were improved for better quality and interpretation by means of a denoising
algorithm, adapted to process STM images from state of the art image processing
and analysis methods. STM remains the best technique to 'see' and to manipulate
the matter at atomic scale. A very sharp tip a few angstroms of the surface can
provide images of molecules and atoms with a powerful resolution. However, these
images are strongly affected by noise which is necessary to correct and
eliminate. This paper is about new computational tools specifically developed to
denoise the images acquired with STM. The new algorithms were tested in STM
images, obtained at room temperature, of porphyrin monolayer which presents
reversible conformational change in function of the tip bias voltage. Images with
high resolution, acquired in real time, show that the porphyrins have different
molecular arrangements whether the tip voltage is 0.4 V or 0.7 V.
PMID- 29655173
TI - Subclinical thyroid dysfunction and circulating thyroid hormones are not
associated with bone turnover markers or incident hip fracture in older men.
AB - OBJECTIVE: Overt thyroid dysfunction is a risk factor for osteoporosis and
fractures. Subclinical hyperthyroidism has also been associated with fracture. It
remains unclear whether variation in thyroid hormones within the euthyroid range
modulates bone health, particularly among older men. We assessed whether thyroid
stimulating hormone (TSH) and free thyroxine (FT4) are associated with bone
turnover markers (BTMs) and predict hip fracture risk in community-dwelling older
men without known thyroid disease. DESIGN: Prospective cohort study. PATIENTS:
Four thousand two hundred forty-eight men aged 70-89 years. MEASUREMENTS:
Baseline blood samples were assayed for TSH, FT4, total osteocalcin (TOC),
undercarboxylated osteocalcin (ucOC), N-terminal propeptide of type I collagen
(P1NP) and collagen type I C-terminal cross-linked telopeptide (CTX). Incidence
of hip fracture events was ascertained to 2012. Associations of TSH and FT4 with
BTMs were analysed at baseline using Pearson correlation coefficients, and with
incident hip fracture using Cox proportional hazards regression. RESULTS: After
excluding men with pre-existing thyroid or bone disease, there were 3, 338 men
for analysis. Of these, 3, 117 were euthyroid, 135 had subclinical
hypothyroidism, and 86 had subclinical hyperthyroidism. Men with subclinical
thyroid disease were older, and those with subclinical hyperthyroidism had lower
creatinine than the other groups. After multivariate analysis, there were no
associations found between FT4, TSH or subclinical thyroid dysfunction and BTMs
at baseline. Neither subclinical thyroid dysfunction, TSH nor FT4 were predictive
of incident hip fracture in our study population. CONCLUSIONS: In euthyroid older
men, TSH and FT4 were not associated with BTMs or incident hip fracture. Our
findings differ from those previously described in postmenopausal women.
PMID- 29655174
TI - Guard cells in fern stomata are connected by plasmodesmata, but control cytosolic
Ca2+ levels autonomously.
AB - Recent studies have revealed that some responses of fern stomata to environmental
signals differ from those of their relatives in seed plants. However, it is
unknown whether the biophysical properties of guard cells differ fundamentally
between species of both clades. Intracellular micro-electrodes and the
fluorescent Ca2+ reporter FURA2 were used to study voltage-dependent cation
channels and Ca2+ signals in guard cells of the ferns Polypodium vulgare and
Asplenium scolopendrium. Voltage clamp experiments with fern guard cells revealed
similar properties of voltage-dependent K+ channels as found in seed plants.
However, fluorescent dyes moved within the fern stomata, from one guard cell to
the other, which does not occur in most seed plants. Despite the presence of
plasmodesmata, which interconnect fern guard cells, Ca2+ signals could be
elicited in each of the cells individually. Based on the common properties of
voltage-dependent channels in ferns and seed plants, it is likely that these key
transport proteins are conserved in vascular plants. However, the symplastic
connections between fern guard cells in mature stomata indicate that the
biophysical mechanisms that control stomatal movements differ between ferns and
seed plants.
PMID- 29655175
TI - Efficacy and dermal tolerance of a novel alcohol-based skin antiseptic in horses.
AB - OBJECTIVE: To determine the efficacy and dermal tolerance of a novel alcohol
based skin antiseptic (ABSA) in horses. STUDY DESIGN: Experimental study. ANIMAL
POPULATION: Systemically healthy horses (n = 25) with no history or clinical
signs of skin disease. METHODS: Four clipped sites on the abdomen were randomly
assigned to a skin preparation protocol: saline (negative control; NC),
chlorhexidine gluconate followed by isopropyl alcohol (positive control; PC),
saline followed by the ABSA (ABSA A), or a commercially available horse shampoo
followed by the ABSA (ABSA B). Microbiological swabs were obtained from each site
and cultured on MacConkey and mannitol salt agar plates. Colony-forming units
were counted 18-24 hours later. All sites were scored for signs of skin reaction
before, immediately after, 1 hour after, and 24 hours after skin preparation.
RESULTS: The PC, ABSA A, and ABSA B methods reduced skin microbial burden
compared with the NC method (P < .001), but no difference was detected between
antiseptic products. Preparation time did not differ between ABSA A and ABSA B
methods (P = 0.108); both were faster than the PC method (P < 0.001 for both).
Skin reactions were most abundant 24 hours after skin preparation (30.5%), but
there was no significant association with antiseptic used, and no horses required
veterinary treatment. CONCLUSION: The ABSA preparations tested in this study were
as effective and well tolerated as a chlorhexidine gluconate-based method, but
required less time in healthy horses. CLINICAL SIGNIFICANCE: The ABSA tested here
provides an efficacious, fast-acting, and well-tolerated alternative to achieve
skin antisepsis in healthy horses. These results justify further investigation in
clinical cases.
PMID- 29655176
TI - Growth Hormone Secretagogue (A233) Improves Growth and Changes the Tissue Fatty
Acid Profile in Juvenile Tilapia (Oreochromis niloticus).
AB - Growth hormone (GH) release is a process that is well regulated by several
factors, including GH secretagogues. GH can mediate the regulation of the fatty
acid level and composition. The aim of this study was to determine the effect of
a synthetic GH secretagogue peptide (A233) on the growth and fatty acid
composition in tilapia (Oreochromis niloticus). To address this objective, we
administrated a diet supplemented with A233 to juvenile tilapia for 60 days. The
group fed with a diet supplemented with 600 MUg of A233 per kg of feed increased
in weight (4.81 +/- 0.09 g) and specific growth rate (2.49 +/- 0.03%/day)
compared to the control diet group (3.63 +/- 0.08 g, 2.07 +/- 0.04%/day;
respectively) (p < 0.001). In the muscle, the total lipids for the control diet
group were higher than that in the group fed with 600 MUg of A233 per kg feed;
however, no differences were detected in the liver. In both tissues, the patterns
of fatty acid composition and content were generally similar, with some
exceptions. Tilapia fed with 600 MUg of A233 per kg of feed showed, in liver and
muscle, a significantly higher composition and content of n-3 polyunsaturated
fatty acids (such as 20:5n-3, 22:5n-3, 22:6n-3) and n-3/n-6 PUFA than animals fed
with the control diet. To our knowledge, this is the first report on the the
effects of natural or synthetic GH secretagogues (GHS) on fatty acid composition,
implying an increase in the nutritional quality of the tilapia.
PMID- 29655177
TI - Successful treatment with interleukin-17A antagonists of generalized pustular
psoriasis in patients without IL36RN mutations.
AB - Generalized pustular psoriasis (GPP) is a potentially life-threatening disease
that can be attributed to mutations in IL36RN in a subgroup of patients. In small
trials, interleukin (IL)-17A and IL-17RA antagonists have been shown to be
effective in patients with generalized pustular psoriasis in Japan. We identified
seven patients who received the IL-17A antagonists secukinumab (six cases) or
ixekizumab (one case) in two dermatological centers. All patients showed a good
or excellent clinical response. Anti-IL-17A therapy was well tolerated and
ongoing in all patients after an average therapy duration of 12.9 months.
Analysis of IL36RN mutation status was performed in six patients, one patient
carried a heterozygous mutation, while the other five patients did not show a
mutation in IL36RN. This is the first report of a successful treatment of GPP
patients without IL36RN mutations responding to anti-IL-17A therapy.
PMID- 29655178
TI - Screening of candidate biomaterials for alveolar augmentation using a critical
size rat calvaria defect model.
AB - OBJECTIVE: To screen candidate biomaterials intended for alveolar augmentation
relative to their potential to enhance local bone formation using a routine
critical-size (o8-mm) rat calvaria defect model. METHODS: One hundred and forty
male Sprague Dawley outbred rats, age 11-12 weeks, weight 325-375 g, obtained
from USDA approved breeder, randomised into 14 groups of 10 animals, each
received one of the following treatments: sham-surgery (empty control), Bio-Oss
(bovine HA/reference control), or candidate biomaterials including bovine HA,
synthetic HA/beta-TCP and calcium phosphate constructs, mineralised/demineralised
human bone preparations, a beta-TCP/calcium sulphate and an HA/calcium sulphate
putty. A 4-week healing interval was chosen to discern local bone formation using
incandescent and polarised light microscopy. Statistical analysis used one-way
ANOVA followed by Bonferroni for pairwise comparisons. RESULTS: Candidate
biomaterials all displayed biocompatibility. They exhibited limited, if any,
appreciable bioerosion or biodegradation. No statistically significant
differences in mean linear defect closure were observed among experimental
groups, sham-surgery displaying the highest score (48.1 +/- 24.3%). Sham-surgery
also showed a significantly greater bone area fraction than all other groups
(19.8 +/- 13.9%, p < .001). The HA/calcium sulphate putty showed a significantly
greater residual biomaterial area fraction than all other groups (61.1 +/- 8.5%,
p < .01). CONCLUSION: Within the limitations of this animal model, although
biocompatible, none of the tested biomaterials enhanced local bone formation
beyond the innate regenerative potential of this craniotomy defect.
PMID- 29655179
TI - Explaining European fungal fruiting phenology with climate variability.
AB - Here we assess the impact of geographically dependent (latitude, longitude, and
altitude) changes in bioclimatic (temperature, precipitation, and primary
productivity) variability on fungal fruiting phenology across Europe. Two main
nutritional guilds of fungi, saprotrophic and ectomycorrhizal, were further
separated into spring and autumn fruiters. We used a path analysis to investigate
how biogeographic patterns in fungal fruiting phenology coincided with seasonal
changes in climate and primary production. Across central to northern Europe,
mean fruiting varied by approximately 25 d, primarily with latitude. Altitude
affected fruiting by up to 30 d, with spring delays and autumnal accelerations.
Fruiting was as much explained by the effects of bioclimatic variability as by
their large-scale spatial patterns. Temperature drove fruiting of autumnal
ectomycorrhizal and saprotrophic groups as well as spring saprotrophic groups,
while primary production and precipitation were major drivers for spring-fruiting
ectomycorrhizal fungi. Species-specific phenology predictors were not stable,
instead deviating from the overall mean. There is significant likelihood that
further climatic change, especially in temperature, will impact fungal phenology
patterns at large spatial scales. The ecological implications are diverse,
potentially affecting food webs (asynchrony), nutrient cycling and the timing of
nutrient availability in ecosystems.
PMID- 29655180
TI - An outbreak due to Candida auris with prolonged colonisation and candidaemia in a
tertiary care European hospital.
AB - Multidrug-resistant Candida auris has emerged as a cause of insidious hospital
outbreaks and complicated infections. We present the analysis of an ongoing C.
auris outbreak including the largest published series of C. auris bloodstream
infection. All C. auris-positive patients from April-2016 to January-2017 were
included. Environmental, clinical and microbiological data were recorded.
Definitive isolate identification was performed by ITS-rDNA sequencing, and
typing by amplified fragment length polymorphism fingerprinting. One hundred and
forty patients were colonised by C. auris during the studied period (68% from
surgical intensive care). Although control measures were implemented, we were not
able to control the outbreak. Forty-one invasive bloodstream infections (87.8%
from surgical intensive care) were included. Clinical management included prompt
intravascular catheter removal and antifungal therapy with echinocandins. All
isolates were fluconazole- and voriconazole-resistant, but echinocandin- and
amphotericin B-susceptible. Thirty-day mortality rate was 41.4%, and severe
septic metastasis as spondylodiscitis and endocarditis were observed in 5
patients (12%). C. auris was also recovered from inanimate patient surroundings
and medical equipment. Despite antifungal treatment, high mortality and late
complication rates were recorded. Molecular typing suggested a clonal outbreak
different from those previously published.
PMID- 29655182
TI - Acquiring High-Performance Deep-Blue OLED Emitters through an Unexpected
Blueshift Color-Tuning Effect Induced by Electron-Donating -OMe Substituents.
AB - A series of blue-emissive 7-(diphenylamino)-4-phenoxycoumarin derivatives bearing
-CF3 , -OMe, or -N(Me)2 substituents on the phenoxy subunit were synthesized.
Although both the -CF3 and -N(Me)2 modifications were found to trigger redshifted
fluorescence, the -OMe substitution was demonstrated to exert an unexpected
blueshift color-tuning effect toward the deep-blue region. The reason is that the
moderate electron-donating -OMe group can endow coumarins with unaltered HOMO but
elevated LUMO energy levels. Moreover, the -OMe substitution was found to be
beneficial to the thermal stability of these coumarins. Therefore, the trimethoxy
substituted objective compound can act as a high-performance deep-blue organic
light-emitting diode (OLED) emitter, and OLED based on it emits deep-blue light
with CIE coordinates of (0.148, 0.084), maximum luminance of 7800 cd m-2 , and
maximum external quantum efficiency of 5.1 %. These results not only shed light
on the molecular design strategy for high-performance deep-blue OLED emitters
through color-tuning, but also show the perspective of coumarin derivatives as
deep-blue OLED emitters.
PMID- 29655181
TI - Bone marrow - mesenchymal stem cells impact on the U937 cells in the presence of
staphylococcal enterotoxin B (SEB).
AB - The growing resistance against conventional chemotherapy in acute myeloid
leukemia (AML) is a noticeable clinical concern. Therefore, many researchers are
looking for novel substances to overcome drug resistance in cancer.
Staphylococcal enterotoxin B (SEB) is a superantigen (SAg) and a promising
compound which has lethal effects on malignant cells. In this unprecedented
study, SEB was used against U937 cells in a co-culture system in the presence of
human bone marrow-mesenchymal stem cells (hBM-MSCs). The effects of hBM-MSCs on
the proliferation and survival of U937 cell line with SEB was assessed using MTT
assay and AnnexinV/PI flowcytometry, respectively. Moreover, the expression of IL
6, IL-10, TGF-beta, and inhibitor of nuclear factor kappa-B kinase (IKKb) was
evaluated by real-time PCR technique. The same experiments were also carried out
using hBM-MSCs-conditioned medium (hBM-MSCs-CM). The results showed that SEB
reduced the proliferation and survival of U937 cell line, but hBM-MSCs or hBM
MSCs-CM suppressed the effects of SEB. Furthermore, real-timePCR demonstrated
that SEB could decrease the expression of IL-6, IL-10, and TGF-beta in hBM-MSCs
(P < .05), while the production of IKKb was increased in comparison with the
control group. These findings help us to have a broader understanding ofthe usage
of SEB in the treatment of haematological malignancies, especially if it is
targeted against hBM-MSCs to disrupt their supportive effects on malignant cells.
PMID- 29655183
TI - Social media: Why AASLD and its members must lead the conversation.
PMID- 29655184
TI - Is gender important? Victimisation and perpetration of intimate partner violence
in mainland China.
AB - Establishing the prevalence of intimate partner violence (IPV) has been
recommended by International Conventions and Declarations for some time beginning
with the Convention on the Elimination of All Forms of Discrimination against
Women (CEDAW Articles 12 and 19) in 1979. One important recommendation of such
international protocols is the implementation of national population prevalence
surveys to establishing IPV as a serious social issue globally, which is intended
to provide data for planning effective responses within signatory countries.
However, not all countries have undertaken national prevalence surveys meaning
that there are gaps in our understanding of who are the perpetrators and victims
of IPV in different cultural contexts. This article presents the results of a
scoping review of literature examining gender differences in prevalence rates of
victimisation and perpetration of IPV in mainland China (hereon China). There has
been little written about the prevalence of IPV in China generally, and this
scoping process located only nine peer-reviewed articles written in both English-
and Chinese-language journals focusing on both gender and IPV published between
1997 and 2016. Results of this scoping review demonstrate that while both women
and men perpetrate IPV in China, the prevalence rates of different types of IPV
reflect gender differences in both perpetration and victimisation, suggesting
that IPV is not a unitary phenomenon. The paper concludes by discussing the
implications of the findings including the importance of increasing awareness of
IPV in China more generally and developing gender-specific interventions to
directly address different types of IPV. Directions for future research are also
canvassed.
PMID- 29655185
TI - Substitution-Inert Polynuclear Platinum Complexes as Metalloshielding Agents for
Heparan Sulfate.
AB - Cleavage of heparan sulfate proteoglycans (HSPGs) by the enzyme heparanase
modulates tumour-related events including angiogenesis, cell invasion, and
metastasis. Metalloshielding of heparan sulfate (HS) by positively charged
polynuclear platinum complexes (PPCs) effectively inhibits physiologically
critical HS functions. Studies using bacterial P. heparinus heparinase II showed
that a library of Pt complexes varying in charge and nuclearity and the presence
or absence of a dangling amine inhibits the cleavage activity of the enzyme on
the synthetic pentasaccharide, Fondaparinux (FPX). Charge-dependent affinity of
PPC for FPX was seen in competition assays with methylene blue and ethidium
bromide. The dissociation constant (Kd ) of TriplatinNC for FPX was directly
measured by isothermal titration calorimetry (ITC). The trend in DFT calculated
interaction energies with heparin fragments is consistent with the spectroscopic
studies. Competitive inhibition of TAMRA-R9 internalization in human carcinoma
(HCT116) cells along with studies in HCT116, wildtype CHO and mutant CHO-pgsA745
(lacking HS/CS) cells confirm that HSPG-mediated interactions play an important
role in the cellular accumulation of PPCs.
PMID- 29655186
TI - Lead-lead interaction: Interaction with an abandoned implantable cardiac
defibrillator externalized lead.
PMID- 29655187
TI - Classifying medical histories in US Medicare beneficiaries using fixed vs all
available look-back approaches.
AB - PURPOSE: Evaluate use of fixed and all-available look-backs to identify
eligibility criteria and confounders among Medicare beneficiaries. METHODS: We
identified outpatient visits (2007-2012) with recently documented (<=180 days)
cardiovascular risk and classified patients according to whether the exposure
(statin) was initiated within 14 days. We selected each beneficiary's first
eligible visit (in each treatment group) that met criteria during the respective
look-backs: continuous enrollment (1 or 3 years for fixed look-back; 180 days for
all-available), no cancer history, and no statin claims. We estimated crude and
standardized mortality ratio weighted hazard ratios (HRs) for the effect of
statin initiation on incident 6-month cancer (a known null effect) and 2-year
mortality, separately, adjusting for covariates assessed by using each look-back.
RESULTS: Analyzing short-term cancer, the estimated HR from the all-available
approach (HR = 0.90, 95% CI: 0.83, 0.98) was less biased than the 1-year look
back (HR = 0.79, 95% CI: 0.73, 0.84), which included beneficiaries with prevalent
cancer. The 3-year look-back (HR = 1.05, 95% CI: 0.90, 1.21) was somewhat less
biased than the all-available estimate but less precise due the exclusion of a
large proportion of observations without sufficient continuous enrollment (62.0%
and 59.9% of initiators and non-initiators, respectively). All approaches
produced similar estimates of the effect on all-cause mortality. Alternative look
backs did not differ in their ability to control confounding. CONCLUSIONS: The
all-available look-back performed nearly as well as the 3-year fixed, which
produced the least biased point estimate. If 3-year look-backs are infeasible
(eg, due to power/sample), all-available look-backs may be preferable to short (1
year) fixed look-backs.
PMID- 29655188
TI - Efficacy and safety of human chorionic gonadotropin for treatment of
cryptorchidism: A meta-analysis of randomised controlled trials.
AB - AIM: Although human chorionic gonadotropin (hCG) has long been employed in the
management of cryptorchidism, its safety and efficacy is still controversial.
Hence, in the present study, we conducted a meta-analysis of the treatment of
cryptorchidism using hCG. METHODS: We searched the Medline, Embase, CINAHL,
EBSCO, The Cochrane Library, China National Knowledge Infrastructure and WanFang
databases. Data were extracted by two reviewers using the designed extraction
form. Data up to July 2015 were obtained using the terms 'cryptorchidism',
'chorionic gonadotropin' and 'randomised controlled trials'. All the publications
were downloaded, and the respective authors were contacted for any further
details and clarifications, if deemed necessary. The data analysis included
randomised controlled trials that compared hCG with other hormone treatments
offered to prepubescent males presenting with cryptorchidism. Testicular descent
rate was used as the final positive outcome of the treatments offered. The
software Review Manager (RevMan 5.3, The Cochrane Collaboration, London, UK) was
used to review the management and data analysis. Risk ratios (RRs) with 95%
confidence intervals (CIs) were pooled with a fixed effect model if no
heterogeneity was present. RESULTS: A total of seven trials satisfied the
selection criteria. The overall quality of the studies downloaded from various
databases was low. Data from these seven studies were divided into three
subgroups depending on the design of the trials: Two studies compared hCG with a
placebo, and three studies compared hCG with gonadotropin-releasing hormone
(GnRH) in unilateral cryptorchidism, whereas two other studies compared hCG with
GnRH in bilateral cryptorchidism. Analysis of these trials revealed no
significant differences between the effectiveness of hCG treatment and GnRH
treatment in bilateral (RR 0.05, 95% CI (-0.29-0.40), two trials, n = 104, P =
0.76) as well as unilateral cryptorchidism (RR 0.04, 95% CI (-0.12, 0.21), three
trials, n = 81, P = 0.61). A meta-analysis of these studies showed that hCG
treatment is not superior to placebo (RR 7.74, 95% CI (0.14-425.72), two trials,
n = 31, P = 0.32). CONCLUSION: A meta-analysis of the seven studies led us to
conclude that hCG treatment is no more effective than placebo, and there were no
significant differences in the effectiveness of hCG versus GnRH treatment.
PMID- 29655189
TI - Highly Tunable Heterojunctions from Multimetallic Sulfide Nanoparticles and
Silver Nanowires.
AB - A facile and general strategy is presented to create well-defined heterojunctions
with ultra-small multimetallic sulfide nanoparticles (MMSNPs) uniformly coated on
sliver nanowires. A unique aspect of this method is the atomic-level pre
integration of multimetallic components by exploiting recently developed
supertetrahedral metal sulfide nanoclusters. The use of such nanoclusters also
enables the convenient formation of the ultrathin interfacial Ag2 S layer via
etching. The heterojunctions (denoted as MMSNPs/Ag2 S/Ag-NWs) benefit from
adjustable multimetallic components and display tunable visible-light-driven
photocatalytic performance owing to the synergistic effect of multimetallic
components from MMSNPs and the high carrier mobility of Ag-NWs. The synthetic
strategy opens new routes to designing and fabricating various heterojunctions
with multimetallic components, which could further expand their applications in
catalysis, electronics, and photonics.
PMID- 29655190
TI - Lichen striatus following scarlet fever in a 3-year-old female patient.
PMID- 29655192
TI - Treatment of hallux deformities in adolescents with cerebral palsy.
PMID- 29655191
TI - Turning Off Transcription with Bacterial RNA Polymerase through CuAAC Click
Reactions of DNA Containing 5-Ethynyluracil.
AB - Copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC) click reaction in the
major groove of DNA containing 5-ethynyluracil (UE ) with azides was used for
turning off sequence-specific protein-DNA interactions. The concept was first
demonstrated on switching off cleavage of short modified DNA by restriction
endonuclease BamHI-HF. Finally, DNA template containing UE was used for in vitro
transcription with E. coli RNA polymerase and the transcription was turned off by
CuAAC with 3-azidopropane-1,2-diol or 3-azido-7-hydroxycoumarin.
PMID- 29655193
TI - Collaboration as a process and an outcome: Consumer experiences of collaborating
with nurses in care planning in an acute inpatient mental health unit.
AB - This qualitative study explores inpatient mental health consumer perceptions of
how collaborative care planning with mental health nurses impacts personal
recovery. Semi-structured interviews were conducted with consumers close to
discharge from one unit in Sydney, Australia. The unit had been undertaking a
collaborative care planning project which encouraged nurses to use care plan
documentation to promote person-centred and goal-focussed interactions and the
development of meaningful strategies to aid consumer recovery. The interviews
explored consumer understandings of the collaborative care planning process,
perceptions of the utility of the care plan document and the process of
collaborating with the nurses, and their perception of the impact of
collaboration on their recovery. Findings are presented under four organizing
themes: the process of collaborating, the purpose of collaborating, the nurse as
collaborator and the role of collaboration in wider care and recovery. Consumers
highlighted the importance of the process of developing their care plan with a
nurse as being as helpful for recovery as the goals and strategies themselves.
The findings provide insights into consumers' experiences of care planning in an
acute inpatient unit, the components of care that support recovery and highlight
specific areas for mental health nursing practice improvement in collaboration.
PMID- 29655194
TI - Acute generalized exanthematous pustulosis induced by oxacillin confirmed by
patch testing.
PMID- 29655195
TI - Assessment of brain activity during voluntary anal sphincter contraction:
Comparative study in women with and without fecal incontinence.
AB - BACKGROUND: Voluntary anal sphincter function is driven by an extended network of
brain structures, most of which are still unknown. Disturbances in this function
may cause fecal incontinence. The aim of this study was to characterize the
cerebral areas involved in voluntary contraction of the anorectal sphincter in
healthy women and in a group of patients with fecal incontinence by using a
standardized functional magnetic resonance imaging (fMRI) protocol. METHODS: This
comparative study included 12 healthy women (mean age 53.17 +/- 4.93 years) and
12 women with fecal incontinence (56.25 +/- 6.94 years). An MRI-compatible anal
manometer was used to register voluntary external anal sphincter contraction.
During brain fMRI imaging, participants were cued to perform 10-s series of self
paced anal sphincter contractions at an approximate rate of 1 Hz. Brain
structures linked to anal sphincter contractions were mapped and the findings
were compared between the 2 study groups. KEY RESULTS: There were no differences
in the evoked brain activity between the 2 groups. In healthy women, group fMRI
analysis revealed significant activations in medial primary motor cortices,
supplementary motor area, bilateral putamen, and cerebellum, as well as in the
supramarginal gyrus and visual areas. In patients with fecal incontinence, the
activation pattern involved similar regions without significant differences with
healthy women. CONCLUSIONS & INFERENCES: This brain fMRI-anorectal protocol was
able to map the brain regions linked to voluntary anal sphincter function in
healthy and women with fecal incontinence.
PMID- 29655196
TI - Contribution of Helicobacter pylori infection to the risk of peptic ulcer
bleeding in patients on nonsteroidal anti-inflammatory drugs, antiplatelet
agents, anticoagulants, corticosteroids and selective serotonin reuptake
inhibitors.
AB - BACKGROUND: Nonsteroidal anti-inflammatory drugs, low-dose aspirin, non-aspirin
antiplatelet agents, anticoagulants, selective serotonin reuptake inhibitors and
corticosteroids increase the risk of gastroduodenal bleeding. AIM: To determine
in a retrospective cohort study the contribution of Helicobacter pylori infection
to the risk of peptic ulcer bleeding in patients taking these drugs. METHODS:
Among patients with peptic ulcer disease diagnosed by endoscopy from 01/2004 to
12/2014 (N = 1719, 60% males, age 65.8 +/- 14.5), 56.9% had peptic ulcer bleeding
(cases) and 43.1% uncomplicated peptic ulcer disease (controls). Demographics,
intake of nonsteroidal anti-inflammatory drugs, aspirin, non-aspirin antiplatelet
agents, anticoagulants, selective serotonin reuptake inhibitors, proton pump
inhibitors and corticosteroids were documented. H. pylori status was determined
by histology, rapid urease test or serology. Adjusted odds ratios (OR) were
estimated by logistic regression analysis. RESULTS: Helicobacter pylori infection
increased the risk of peptic ulcer bleeding in nonsteroidal anti-inflammatory
drug and aspirin users (OR = 2.91, 95% CI = 1.71-4.98 and OR = 2.23, 95% CI =
1.52-3.28, respectively), but not in patients on anticoagulants, selective
serotonin reuptake inhibitor or corticosteroid therapy. H. pylori-positive status
substantially increased the risk of peptic ulcer bleeding in patients on non
aspirin antiplatelet agents (OR = 4.37, 95% CI = 1.28-14.99), concomitant
aspirin/nonsteroidal anti-inflammatory drug intake (OR = 5.85, 95% CI = 1.68
20.36) and combined antiplatelet therapy (OR = 8.43, 95% CI = 1.09-65.17). After
further adjustment for proton pump inhibitor intake, H. pylori infection was
still a risk factor for peptic ulcer bleeding in nonsteroidal anti-inflammatory
drug and aspirin users. CONCLUSIONS: Helicobacter pylori infection increases the
risk of peptic ulcer bleeding in peptic ulcer disease patients on nonsteroidal
anti-inflammatory drugs, aspirin and non-aspirin antiplatelet agents. H. pylori
positive patients on combined antiplatelet therapy carry the highest risk for
peptic ulcer bleeding.
PMID- 29655197
TI - High-Temperature Particulate Matter Filtration with Resilient Yttria-Stabilized
ZrO2 Nanofiber Sponge.
AB - Particulate matter (PM) is a major air pollutant in many regions, jeopardizing
ecosystems and public health. Filtration at pollutant source is one of the most
important ways to protect the environment, however, considering the high
temperature exhaust gas emissions, effective removal of PM and related pollutants
from their sources remains a major challenge. In this study, a resilient, heat
resisting, and high-efficiency PM filter based on yttria-stabilized ZrO2 (YSZ)
nanofiber sponge produced with a scalable solution blow spinning process is
reported. The porous 3D sponge composed of YSZ nanofibers is lightweight (density
of 20 mg cm-3 ) and resilient at both room temperature and high temperatures. At
room-temperature conditions, the YSZ nanofiber sponge exhibits 99.4% filtration
efficiency for aerosol particles with size in the range of 20-600 nm, associated
with a low pressure drop of only 57 Pa under an airflow velocity of 4.8 cm s-1 .
At a high temperature of 750 degrees C, the ceramic sponge maintains a high
filtration efficiency of 99.97% for PM0.3-2.5 under a high airflow velocity of 10
cm s-1 . A practical vehicle exhaust filter to capture particles with filtration
efficiency of >98.3% is also assembled. Hence, the YSZ nanofiber sponge has
enormous potential to be applied in industry.
PMID- 29655199
TI - Transition from hospital to outpatient diabetes care.
PMID- 29655198
TI - Combination TS-1 plus EGFR-tyrosine kinase inhibitors (TKIs) for the treatment of
non-small cell lung cancer after progression on first-line or further EGFR-TKIs:
A phase II, single-arm trial.
AB - BACKGROUND: EGFR-tyrosine kinase inhibitors (TKIs) combined with TS-1 might
overcome EGFR-TKI resistance, which has been indicated by several preclinical
studies. We investigated the synergistic efficacy and safety of the combination
therapy of EGFR-TKIs and TS-1 in non-small cell lung cancer (NSCLC) patients with
acquired resistance to previous EGFR-TKI therapy. METHODS: This was a phase II,
single-arm and single-center prospective study. Stage IIIB-IV NSCLC patients with
acquired resistance to prior EGFR-TKI treatment were enrolled. All patients were
administered combination therapy of TS-1 and continuing EGFR-TKIs in this study.
The primary endpoints were progression-free survival (PFS), while overall
survival (OS), disease control rate (DCR), and safety were secondary endpoints.
RESULTS: A total of 42 patients with acquired resistance to EGFR-TKIs were
eligible for this study. The median PFS for all patients was five months (95%
confidence interval [CI] 3.6-5.4). The OS and DCR were 31.9 (95% CI 17.8-46.0)
months and 69.0% (29/42), respectively. No grade 4 toxicity or grade 3
hematologic toxicity was observed in this study. One patient (2%) experienced
grade 3 elevated total serum bilirubin. CONCLUSION: The combination treatment of
TS-1 and EGFR-TKIs was effective and well tolerated by patients who had
experienced prior EGFR-TKI treatment failure. Our results need to be validated by
larger prospective clinical trials.
PMID- 29655200
TI - Infant formula feeding practices associated with rapid weight gain: A systematic
review.
AB - Excess or rapid weight gain during the first 2 years of life is associated with
an increased risk of later childhood and adult overweight and obesity. When
compared with breastfed infants, formula fed infants are more likely to
experience excess or rapid weight gain, and this increased risk in formula fed
infant populations may be due to a number of different mechanisms. These
mechanisms include the nutrient composition of the formula and the way formula is
prepared and provided to infants. This systematic literature review examines the
association between formula feeding practice and excess or rapid weight gain.
This review explores these different mechanisms and provides practical
recommendations for best practice formula feeding to reduce rapid weight gain.
Eighteen studies are included in this review. The findings are complicated by the
challenges in study design and accuracy of measurements. Nevertheless, there are
some potential recommendations for best practice formula feeding that may reduce
excess or rapid weight gain, such as providing formula with lower protein
content, not adding cereals into bottles, not putting a baby to bed with a
bottle, and not overfeeding formula. Although further well designed studies are
required before more firm recommendations can be made.
PMID- 29655201
TI - Improving classical scoring functions using random forest: The non-additivity of
free energy terms' contributions in binding.
AB - Despite recent efforts to improve the scoring performance of scoring functions,
accurately predicting the binding affinity is still a challenging task.
Therefore, different approaches were tried to improve the prediction performance
of four scoring functions (x-score, vina, autodock, and rf-score) by substituting
the linear regression model of classical scoring function by random forest to
examine the performance improvement if an additive functional form is not
imposed, and by combining different scoring functions into hybrid ones. The
datasets were derived from the PDBbind-CN database version 2016. When evaluating
the original scoring functions on the generic dataset, rf-score has outperformed
classical scoring functions, which shows the superiority of descriptor-based
scoring functions. Substituting linear regression as a linear model by random
forest as a nonlinear model had largely improved the scoring performance of
autodock and vina while x-score had only a slight performance increase. All
hybrid scoring functions had only a slight improvement-if any-on both of the
combined scoring functions, which is not worth the slower calculation time.
PMID- 29655202
TI - Development of a body condition score for the mountain chicken frog
(Leptodactylus fallax).
AB - The Critically Endangered mountain chicken frog (Leptodactylus fallax) has
undergone drastic population decline due to habitat loss, hunting, invasive
species, and chytridiomycosis. In response, several partner institutions
initiated a conservation breeding program. It is important to maintain the
captive population in good health. Therefore the program partners have
recommended establishment of protocols for health examination of the species,
including body condition assessment. Visual body condition scoring is a useful
means to assess body condition in zoo animals for which regular bodyweight
measurements are impractical or associated with capture-related stress. In this
study, the authors developed a visual body condition score for the mountain
chicken frog based on an ordinal categorical scale from 1 to 5 (1 = lowest body
condition, 5 = highest body condition) using anatomical features that vary with
total body energy reserves. Veterinary staff, animal managers, keepers,
researchers, and students subsequently used the body condition score to assign
scores to 98 mountain chicken frogs (41 male, 57 female) aged between 8 months
and 12 years housed in five zoos in the UK and Jersey between February and March
2016. Body condition scores showed moderate (rho = 0.54; males) to strong (rho =
0.6; females) correlation with the scaled mass index, an objective measure of
total energy reserves. The majority of pairwise comparisons between scores showed
slight to substantial intra-observer agreement (93.8%) and slight to almost
perfect inter-observer agreement (97.2%). Cases of poor agreement were likely due
to limited observer experience working with the species.
PMID- 29655203
TI - Diagnostic outcomes for genetic testing of 70 genes in 8565 patients with
epilepsy and neurodevelopmental disorders.
AB - OBJECTIVE: We evaluated >8500 consecutive, unselected patients with epilepsy and
neurodevelopmental disorders who underwent multigene panel testing to determine
the average age at molecular diagnosis and diagnostic yield of 70 genes. METHODS:
We reviewed molecular test results for 70 genes known to cause epilepsy and
neurodevelopmental disorders using next generation sequencing (NGS) and exon
level array comparative genomic hybridization (aCGH). A positive result was
defined as the presence of 1 or 2 pathogenic or likely pathogenic (P/LP) variants
in a single gene, depending on the mode of inheritance of the associated
disorder. RESULTS: Overall, 22 genes were found to have a high yield of positive
findings by genetic testing, with SCN1A and KCNQ2 accounting for the greatest
number of positive findings. In contrast, there were no positive findings in 16
genes. Most of the P/LP variants were sequence changes identified by NGS (90.9%),
whereas ~9% were gross deletions or duplications detected by exon-level aCGH. The
mean age of molecular diagnosis for the cohort was 5 years, 8 months (ranging
from 1 week to 47 years). Recurrent P/LP variants were observed in 14 distinct
genes, most commonly in MECP2, KCNQ2, SCN1A, SCN2A, STXBP1, and PRRT2. Parental
testing was performed in >30% of positive cases. All variants identified in
CDKL5, STXBP1, SCN8A, GABRA1, and FOXG1 were de novo, whereas 85.7% of variants
in PRRT2 were inherited. SIGNIFICANCE: Using a combined approach of NGS and exon
level aCGH, testing identified a genetic etiology in 15.4% of patients in this
cohort and revealed the age at molecular diagnosis for patients. Our study
highlights both high- and low-yield genes associated with epilepsy and
neurodevelopmental disorders, indicating which genes may be considered for
molecular diagnostic testing.
PMID- 29655204
TI - Frustrated Helicity: Joining the Diverging Ends of a Stable Aromatic Amide Helix
to Form a Fluxional Macrocycle.
AB - Macrocyclization of a stable two-turn helical aromatic pentamide, that is, an
object with diverging ends that are not prone to cyclization, was made possible
by the transient introduction of disruptors of helicity in the form of acid
labile dimethoxybenzyl tertiary amide substituents. After removal of the helicity
disruptors, NMR, X-ray crystallography, and computational studies show that the
macrocycle possesses a strained structure that tries to gain as high a helical
content as possible despite being cyclic. Two points of disruption of helicity
remain, in particular a cis amide bond. This point of disruption of helicity can
propagate along the cycle in a fluxional manner according to defined trajectories
to produce ten degenerate conformations.
PMID- 29655205
TI - Paediatric palliative care should focus on living as well as dying.
PMID- 29655206
TI - Emotion regulation and well-being in primary classrooms situated in low
socioeconomic communities.
AB - BACKGROUND: Although emotion is central to most models of children's well-being,
few studies have looked at how well-being is related to the ways in which
children regulate their emotions. AIMS: The aim of this study was to examine the
associations among children's emotion regulation strategy choice and their
emotional expression, behaviour, and well-being. The study also investigated
whether contextual factors influenced the emotion regulation strategies children
chose to use. SAMPLE: Participants (N = 33) were selected from four Year 5/6
composite classrooms situated in low-socioeconomic urban communities in New
Zealand. METHOD: Questionnaires were used to measure children's well-being and
teacher-reported emotional and behavioural problems. Emotional expression and
emotion regulation strategies were measured through video-recorded observations
in the classroom. A total of 1,184 instances of emotion regulation strategy use
were coded using a framework based on Gross' process model of emotion regulation.
RESULTS: The findings highlight the complexity of the relations among emotion
regulation, emotion expression, and well-being. Some strategies, such as
Cognitive Reappraisal, were effective at upregulating negative emotion in the
short term, yet not strongly associated with well-being. Others, such as
Situation Modification: Physical, were positively associated with well-being, yet
not with an immediate change in a child's emotional experience. The findings also
suggest children flexibly use different strategies in relation to different
contextual demands. CONCLUSION: These findings may be used to guide future
intervention efforts which target emotion regulation strategy use as well as
those which focus on teachers' support of children during emotionally challenging
situations.
PMID- 29655207
TI - Tobacco and other occupational exposures among hookah bar workers.
PMID- 29655208
TI - A Computational Mechanistic Investigation into Reduction of Gold(III) Complexes
by Amino Acid Glycine: A New Variant for Amine Oxidation.
AB - Density functional theory (DFT) was utilized to explore the reduction of
gold(III) complexes by the amino acid glycine (Gly). Interestingly, when the
nitrogen atom of Gly coordinates to the gold(III) center, its Calpha -hydrogen
atom becomes so acidic that it can be easily deprotonated by a mild base like
water. The deprotonation converts the amino acid into a potent reductant by which
gold(III) is reduced to gold(I) with a moderate activation energy. To our
knowledge, this is the first contribution suggesting that primary amines are
oxidized to imines via direct alpha-carbon deprotonation. This finding may
provide new insights into the mechanistic interpretation of amine oxidations
catalyzed/mediated by a center with high cathodic reduction potential. This work
also provides a rationalization behind why gold(III) complexes with amine-based
polydentate ligands are reluctant to undergo a redox process. Gold(III) reduction
occurs most efficiently if the Calpha proton leaves in the plane of the Calpha ,
N and Au atoms. Chelation prevents this alignment, resulting in the gold(III)
complex being unreactive toward reduction. It has been experimentally found that
gold(III) is capable of oxidizing Gly to glyoxylic acid (GA) as the initial
product. The latter, in the presence of another gold(III) complex, has been
reported to undergo oxidative decarboxylation to afford CO2 and HCOOH. This
process is found to be mediated by formation of a geminal diol intermediate
produced by reaction of water with the aldehyde functional group of the
coordinated GA.
PMID- 29655209
TI - Hypervalent Iodine Reagents by Anodic Oxidation: A Powerful Green Synthesis.
AB - The anodic oxidation of aryl iodides is a powerful method for the synthesis of
hypervalent iodine reagents, which eliminates the necessity to use expensive or
hazardous chemical oxidizing reagents. The hypervalent iodine reagents generated
at the anode are successfully used as either in-cell or ex-cell mediators for
different valuable chemical transformations such as fluorinations and oxidative
cyclizations. More recently, recyclable mediators and catalytic protocols have
been developed.
PMID- 29655210
TI - Interfacial Engineering of Hierarchical Transition Metal Oxide Heterostructures
for Highly Sensitive Sensing of Hydrogen Peroxide.
AB - Hydrogen peroxide (H2 O2 ) is a major messenger molecule in cellular signal
transduction. Direct detection of H2 O2 in complex environments provides the
capability to illuminate its various biological functions. With this in mind, a
novel electrochemical approach is here proposed by integrating a series of CoO
nanostructures on CuO backbone at electrode interfaces. High-resolution
transmission electron microscopy (HRTEM), X-ray diffraction, and X-ray
photoelectron spectroscopy demonstrate successful formation of core-shell CuO-CoO
hetero-nanostructures. Theoretical calculations further confirm energy-favorable
adsorption of H2 O2 on surface sites of CuO-CoO heterostructures. Contributing to
the efficient electron transfer path and enhanced capture of H2 O2 in the unique
leaf-like CuO-CoO hierarchical 3D interface, an optimal biosensor-based CuO-CoO
2.5 h electrode exhibits an ultrahigh sensitivity (6349 uA m m-1 cm-2 ),
excellent selectivity, and a wide detection range for H2 O2 , and is capable of
monitoring endogenous H2 O2 derived from human lung carcinoma cells A549. The
synergistic effects for enhanced H2 O2 adsorption in integrated CuO-CoO
nanostructures and performance of the sensor suggest a potential for exploring
pathological and physiological roles of reactive oxygen species like H2 O2 in
biological systems.
PMID- 29655211
TI - The antimicrobial activity of lavender essential oil (Lavandula angustifolia) and
its influence on the production performance of broiler chickens.
AB - The aim of the study was the evaluation of the antimicrobial activity (in vitro)
of lavender (Lavandula angustifolia) essential oil (LEO) and the effect of its
addition to the drinking water of broiler chickens on their production
performance. Antimicrobial activity was determined by establishing the minimum
inhibitory concentration (MIC) using a series of microdilutions. Bird experiments
were carried out on a commercial farm on 300 Ross 308 broilers. One-day-old
chicks were randomly assigned to three experimental groups of 100 individuals
(five replications of 20 individuals each). In the control group, chickens
received drinking water without added essential oil throughout the rearing
period. In the LEO0.2 and LEO0.4 groups, from 1 to 42 days of bird life, the
LEO0.2 group had 0.2 ml/L of essential lavender oil added to the drinking water,
while LEO0.4 had 0.4 ml/L added. The results of the experiment showed the
antimicrobial activity of LEO and its positive effect on the production results
of broiler chickens. Application of higher concentration of essential oil (0.4
ml/L) significantly affected production results (BW, FCR, WCR-p < .01). No
differences were observed in FI, WI, F:W and mortality (p > .05). In vitro
studies indicate a significant effect of LEO on the inhibition of microbial
growth. These results encourage further studies on a larger scale that will
confirm antimicrobial efficiency and define the mechanisms of action of Lavandula
angustifolia essential oil and its individual components.
PMID- 29655212
TI - Tree differences in primary and secondary growth drive convergent scaling in leaf
area to sapwood area across Europe.
AB - Trees scale leaf (AL ) and xylem (AX ) areas to couple leaf transpiration and
carbon gain with xylem water transport. Some species are known to acclimate in AL
: AX balance in response to climate conditions, but whether trees of different
species acclimate in AL : AX in similar ways over their entire (continental)
distributions is unknown. We analyzed the species and climate effects on the
scaling of AL vs AX in branches of conifers (Pinus sylvestris, Picea abies) and
broadleaved (Betula pendula, Populus tremula) sampled across a continental wide
transect in Europe. Along the branch axis, AL and AX change in equal proportion
(isometric scaling: b ~ 1) as for trees. Branches of similar length converged in
the scaling of AL vs AX with an exponent of b = 0.58 across European climates
irrespective of species. Branches of slow-growing trees from Northern and
Southern regions preferentially allocated into new leaf rather than xylem area,
with older xylem rings contributing to maintaining total xylem conductivity. In
conclusion, trees in contrasting climates adjust their functional balance between
water transport and leaf transpiration by maintaining biomass allocation to
leaves, and adjusting their growth rate and xylem production to maintain xylem
conductance.
PMID- 29655213
TI - Evaluation of the Relationship Between the 18S rRNA/rDNA Ratio and Population
Growth in the Marine Diatom Skeletonema tropicum via the Application of an
Exogenous Nucleic Acid Standard.
AB - Ribosomal RNA (rRNA) has been regarded as a proxy for metabolic activity and
population growth in microbes, but the limitations and assumptions of this
approach should be better defined, particularly in eukaryotic microalgae. In this
study, the 18S rRNA/rDNA ratio of a marine diatom, Skeletonema tropicum, was
examined in batch and semi-continuous cultures subjected to low nitrogen and
phosphorus treatments at a temperature of 20 degrees C. In the semi-continuous
cultures, the measured 18S rRNA/rDNA ratio ranged from 4.0 * 102 to 5.0 * 103 ,
and the logarithmic form of this ratio increased linearly with the population
growth rate under both low nitrogen and low phosphorus conditions. In batch
cultures grown under low nitrogen or low phosphorus conditions, log (rRNA/rDNA)
also increased linearly with growth rate when the latter ranged between -0.4 and
1.5 day-1 . The 18S rRNA/rDNA ratios of Skeletonema sampled from in the southern
East China Sea were substantially lower than measured from laboratory cultures.
Among the field samples, ratios obtained at a coastal station were higher than
those obtained farther offshore. These results imply higher growth rate at the
coastal station, but the influences of other factors, such as cell size and
temperature, cannot be ruled out.
PMID- 29655214
TI - Molecular and serological surveillance of Hepatitis E virus in wild and domestic
carnivores in Brandenburg, Germany.
AB - Hepatitis E virus (HEV) is a zoonotic virus which circulates in pigs and wild
boars as main reservoir species. To reveal the infection rate in carnivores, we
have carried out a monitoring study of raccoons, raccoon dogs, dogs and cats
sampled in Brandenburg, Germany. In summary, 53.8% (43 of 80) of the raccoons,
34.3% (25 of 73) of the raccoon dogs, 56.6% (47 of 83) of dogs and 32.3% (21 of
65) of cats were tested positive for HEV-specific antibodies. No viral RNA could
be detected. This first description of anti-HEV antibodies in raccoons and
raccoon dogs worldwide and in dogs and cats in Germany highlights the natural
host range expansion of HEV.
PMID- 29655215
TI - Alteration of serum thymus and activation-regulated chemokine level during
biologic therapy for psoriasis: Possibility as a marker reflecting favorable
response to anti-interleukin-17A agents.
AB - Biologics show great efficacy in treating psoriasis, a chronic inflammatory skin
disease. The high cost and side-effects of biologics, dose-reduction, elongation
of administration interval and suspension are possible options. However, there
has been no reliable biomarker we can use when we consider these moderations in
therapy. This study was conducted to test the possibility of using serum thymus
and activation-regulated chemokine (TARC) level as an indicator for step down of
biologic therapy. Serum TARC level was measured in 70 psoriatic patients at
Asahikawa Medical University, and a correlation of TARC and severity of skin
lesions was analyzed. Referring to serum TARC level, psoriatic patients can be
divided into two groups. One is a population in which serum TARC level is
positively correlated with severity of skin lesions, and the other is a
population with low psoriatic severity and high TARC level. Serum TARC level was
higher in the group that achieved PASI-clear with biologics than in the group
which did not achieve PASI-clear. Among biologics, the group treated with
secukinumab, an anti-interleukin (IL)-17A agent, showed significantly higher TARC
level compared with the group treated with anti-tumor necrosis factor agents. In
certain populations achieving PASI-clear, serum TARC level may be a potent marker
reflecting better response to IL-17A inhibitors, and in this case step down of
treatment for psoriasis is possible.
PMID- 29655216
TI - Schizotypal traits are associated with sleep spindles and rapid eye movement in
adolescence.
AB - Research suggests an association between schizophrenia and a decrease in sleep
spindle activity, as well as a change in sleep architecture. It is unknown how
the continuum of psychotic symptoms relates to different features in the sleep
electroencephalogram. We set out to examine how sleep architecture and stage 2
spindle activity are associated with schizotypy in a healthy adolescent
population. The participants in our study (n = 176, 61% girls) came from a
community-based cohort. Schizotypal traits were evaluated using the Schizotypal
Personality Scale (STA) in early adolescence (mean age 12.3 years, SD = 0.5) and
the participants underwent ambulatory overnight polysomnography at mean age 16.9
years (SD = 0.1). Sleep was scored in 30-s epochs into stages 1, 2, 3 and rapid
eye movement (REM) sleep. Stage 2 spindles were detected using an automated
algorithm. Spindle analyses from central and frontal derivations included spindle
duration and density for slow (10-13 Hz) and fast (13-16 Hz) ranges. Covariates
included sex and age. Those with the highest STA scores had a higher percentage
of REM (B = 2.07 [95% CI, 0.17, 4.0]; p = .03) than those with the lowest scores.
Those with the highest scores had shorter spindle duration, as derived from the
frontal regions, and a slower oscillation range (B = -0.04 [95% CI, -0.07,
0.01]; p = .023) than those with the lowest scores. We conclude that high levels
of schizotypy characteristics measured in early adolescence may be associated
with distinguished features of sleep architecture, namely with spindle morphology
and a higher proportion of REM sleep.
PMID- 29655217
TI - The binding interaction between cadmium-based, aqueous-phase quantum dots with
Candida rugosa lipase.
AB - As a promising biolabeling biomaterials, quantum dots (QDs) present a great
potential. However, the toxicity of QDs to organisms has attracted wide
attention. In our research, we introduced an in vitro method to study the
molecular mechanisms for the structure and activity alterations of Candida rugosa
lipase (CRL) with the binding of 3-mercaptopropionic acid-capped CdTe QDs.
Multiple spectroscopic methods, isothermal titration calorimetry, and enzyme
activity measurements were used in this paper. QDs statically quenched the
intrinsic fluorescence of CRL with the quenching constant decreases from 2.46 *
1013 to 1.64 * 1013 L mol-1 second-1 (298 to 310 K). It binds to CRL through
hydrophobic force with 1 binding site, unfolding and loosening the skeleton and
changed its secondary structure. Rather than aggregating on the surface, it
enters the pocket of the CRL to interact with Ser-209 (2.43 A) and the residues
surrounding Ser-209, making the catalytic triad more exposed. Furthermore, the
activity of CRL was inhibited by approximately 15%. This work demonstrates that 3
mercaptopropionic acid-capped CdTe QDs may cause negative effects to CRL and
obtains a molecular mechanism on QD-induced toxicity to proteins in vitro.
PMID- 29655218
TI - Stathmin inhibits proliferation and differentiation of dental pulp stem cells via
sonic hedgehog/Gli.
AB - The mineralization of dental pulp stem cells is an important factor in the tissue
engineering of teeth, but the mechanism is not yet obvious. This study aimed to
identify the effect of Stathmin on the proliferation and osteogenic/odontoblastic
differentiation of human dental pulp stem cells (hDPSCs) and to explore whether
the Shh signalling pathway was involved in this regulation. First, Stathmin was
expressed in the cytoplasm and on the cell membranes of hDPSCs by cell
immunofluorescence. Then, by constructing a lentiviral vector, the expression of
Stathmin in hDPSCs was inhibited. Treatment with Stathmin shRNA (shRNA-Stathmin
group) inhibited the ability of hDPSCs to proliferate, as demonstrated by a CCK8
assay and flow cytometry analysis, and suppressed the osteogenic/odontoblastic
differentiation ability, as demonstrated by alizarin red S staining and
osteogenic/odontoblastic differentiation-related gene (ALP, BSP, OCN, DSPP)
activity, compared to that of hDPSCs from the control shRNA group. Molecular
analyses showed that the Shh/GLI1 signalling pathway was inhibited when Stathmin
was silenced, and purmorphamine, the Shh signalling pathway activator, was added
to hDPSCs in the shRNA-Stathmin group, real-time PCR and Western blotting
confirmed that expression of Shh and its downstream signalling molecules PTCH1,
SMO and GLI1 increased significantly. After activating the Shh signalling
pathway, the proliferation of hDPSCs increased markedly, as demonstrated by a
CCK8 assay and flow cytometry analysis; osteogenic/odontoblastic differentiation
related gene (ALP, BSP, OCN, DSPP) expression also increased significantly.
Collectively, these findings firstly revealed that Stathmin-Shh/GLI1 signalling
pathway plays a positive role in hDPSC proliferation and osteogenic/odontoblastic
differentiation.
PMID- 29655219
TI - Hemodynamic Changes May Indicate Vessel Wall Injury After Stent Retrieval
Thrombectomy for Acute Stroke.
AB - BACKGROUND AND PURPOSE: Stent retrievers have revolutionized endovascular
treatment of acute ischemic stroke (AIS). Animal studies showed that mechanical
thrombectomy (MT) may cause endothelial injury and intimal layer edema. Using
transcranial color-coded duplex-sonography (TCCS) we observed postprocedural
hemodynamic changes in the treated vessel. METHODS: We studied AIS patients with
large intracranial artery occlusion in whom MT with stent retrievers was
performed. Only those with complete recanalization (modified TICI-2b or 3) as
assessed by postprocedural digital subtraction angiography (DSA) and in whom
early control TCCS was performed were retained. Patients treated with intra
arterial thrombolysis or stenting were excluded. RESULTS: In 31 patients treated
within a time period of 4 years (29 with middle cerebral artery [MCA] and 2 with
basilar artery [BA] occlusion), postacute stroke brain-DSA confirmed complete
recanalization without residual stenosis or vasospasm. However, in 27 (17 men,
mean age 66.3 years) of them TCCS (mean 3.4 days after MT) showed very segmental
acceleration of blood flow velocities in the affected arteries (MCA maximum peak
systolic velocity [PSVmax] at least >35% as compared to the contralateral side at
the same depth; BA PSVmax >40% as compared to velocities at different depths of
the same vessel). None showed clinical deterioration. TCCS follow-up (mean 20
days) showed normalization in 14 of 16 cases. CONCLUSION: Our TCCS study provides
preliminary evidence of focal acceleration of blood flow velocities after MT.
Without residual stenosis or vasospasm, this may be a sign of endothelial layer
disruption/intimal injury. Further studies are needed to confirm our results.
PMID- 29655220
TI - Graphene-like Molecules with Four Zigzag Edges.
AB - An efficient synthetic method toward graphene-like molecules (GLMs), having four
zigzag edges, is described. They were obtained as stable materials and their
structures were confirmed by X-ray crystallographic analysis. They exhibit
topology- and size-dependent electronic properties and global aromaticity, which
are all different from GLMs having either all-armchair edges, or three zigzag
edges, or two armchair/two zigzag edges. They can be reversibly oxidized and
reduced into stable charged species, which show fragmental aromatic character to
minimize anti-aromaticity. Our studies give some new insights into the electronic
structures and properties of a new type of rarely studied GLMs.
PMID- 29655221
TI - Rice HRZ ubiquitin ligases are crucial for response to excess iron.
AB - Iron is essential for virtually all organisms but is toxic when present in
excess. To acquire the proper amount of iron, plants induce expression of various
genes involved in iron uptake and translocation in response to low iron
availability. Two iron-binding ubiquitin ligases, OsHRZ1 and OsHRZ2, negatively
regulate such iron deficiency responses in rice (Oryza sativa). Transgenic rice
plants with repressed expression of OsHRZ1 and OsHRZ2 (HRZ knockdown lines) are
tolerant to low iron availability and accumulate iron in shoots and seeds under
both iron-sufficient and -deficient conditions without a growth penalty. Although
the expression of OsHRZ1 and OsHRZ2 is transcriptionally upregulated under iron
deficient conditions, the physiological relevance of this induction is not known.
In the present study, we analyzed the response of HRZ knockdown lines to excess
iron. In the presence of severe excess iron, the HRZ knockdown lines grew worse
than non-transformants. The HRZ knockdown lines showed stunted shoot and root
growth and more severe leaf bronzing compared to non-transformants. Moreover,
these lines accumulated more iron in shoots and exhibited severely elevated
expression of various genes involved in iron uptake and translocation as well as
jasmonate signaling compared to non-transformants. These results indicate that
HRZ ubiquitin ligases are crucial for repressing iron deficiency responses and
protecting cells from iron toxicity in the presence of excess iron. These results
support the possibility that HRZs are intracellular Fe sensors and provide clues
for developing plants tolerant of either iron deficiency or excess with higher
iron contents in edible parts.
PMID- 29655222
TI - Fluocinolone acetonide intravitreal implant (Retisert(r) ) in the treatment of
sight threatening macular oedema of juvenile idiopathic arthritis-related
uveitis.
AB - PURPOSE: We describe eight patients with juvenile idiopathic arthritis-related
chronic uveitis, who received a fluocinolone acetonide implant (FAI, Retisert(r),
Bausch&Lomb) in one eye. All patients had poor visual acuity (VA) due to
persistent macular oedema in one or both eyes despite treatment with
antirheumatic medication. METHODS: Median age of the patients was 22.9 years
(range, 14.1-39.7) and duration of uveitis 13.0 years (range, 6.8-28.4) at FAI
implantation. Median preoperative best-corrected visual acuity (BCVA) was 0.1
(range, 0.05-0.4) and Standardization of Uveitis Nomenclature, SUN-grade was SUN
2+ (range, 0.5-4.0). All patients had been treated extensively with systemic
corticosteroids and antirheumatic drugs by the time of FAI implantation. The
median follow-up time was 5.3 years (range, 4.4-6.3). RESULTS: Macular edema
resolved in a median time of 0.2 years (range, 0.04-0.39) after the FAI
implantation. The median BCVA was 0.5-0.63 (range, 0.1-1.0) from 1 to 5 years of
follow-up. Macular edema did not recur in 5 eyes after the implantation. In three
eyes, the macular oedema relapsed at 2.7, 2.9 and 5.5 years of follow-up. All our
patients needed antirheumatic drugs in addition to the FAI to treat their macular
edema. During the follow-up, 7 eyes required further intraocular operations: 4
cataract operations, 4 intraocular pressure -lowering operations and 1 retinal
detachment surgery were performed. CONCLUSION: Fluocinolone acetonide implant is
a valuable option in the treatment of persistent macular edema associated with
JIA-related uveitis refractory to systemic treatments.
PMID- 29655223
TI - Differentiating arterial ischaemic stroke from migraine in the paediatric
emergency department.
AB - AIM: To estimate the strengths of association between clinical features and
migraine or arterial ischaemic stroke (AIS) in children presenting to the
emergency department. METHOD: Eighty-four children with migraine, prospectively
recruited from 2009 to 2010, were compared with 55 children with AIS,
prospectively/retrospectively recruited from 2003 to 2010. Odds ratios were
calculated via logistic regression to measure associations between clinical
features and process-of-care factors, and migraine and AIS. RESULTS: Median age
was 13 years 5 months (interquartile range 12y 11mo-13y 10mo) for migraine and 5
years (interquartile range 3y 7mo-8y) for patients with AIS. All cases of AIS and
30% of migraine cases underwent neuroimaging. Over 40% of children with migraine
had vomiting, numbness, or visual disturbance; other symptoms were uncommon.
Fifty-five per cent had no signs on physician assessment. Weakness or speech
disturbance were common in patients with AIS. Significant clinical features
associated with increased odds of AIS included sudden symptom onset, weakness,
seizures, speech disturbance, and ataxia, and signs of face, arm, or leg
weakness, inability to walk, dysarthria, dysphasia, and altered consciousness
(p<0.05). Significant features associated with decreased odds of AIS included
older age, vomiting, visual, sensory, other symptoms, and absent focal signs on
assessment (p<0.05). INTERPRETATION: Presenting features can discriminate
childhood AIS from migraine. These differences inform decisions about urgency and
type of neuroimaging in children presenting to the emergency department with
brain attack symptoms. WHAT THE PAPER ADDS: Weakness, seizures, ataxia, speech,
or walking difficulties are more frequent in arterial ischaemic stroke (AIS).
Vomiting, visual, or sensory disturbance and absent focal signs are more frequent
in migraine. Identifying features of AIS and migraine guides neuroimaging in
children with brain attack symptoms.
PMID- 29655224
TI - Improved survival after paediatric stroke - but at what price?
PMID- 29655225
TI - Acute encephalopathy after febrile status epilepticus: an underdiagnosed,
misunderstood complication of Dravet syndrome.
PMID- 29655226
TI - Lead-Free Perovskite Nanowire-Employed Piezopolymer for Highly Efficient Flexible
Nanocomposite Energy Harvester.
AB - In the past two decades, mechanical energy harvesting technologies have been
developed in various ways to support or power small-scale electronics.
Nevertheless, the strategy for enhancing current and charge performance of
flexible piezoelectric energy harvesters using a simple and cost-effective
process is still a challenging issue. Herein, a 1D-3D (1-3) fully piezoelectric
nanocomposite is developed using perovskite BaTiO3 (BT) nanowire (NW)-employed
poly(vinylidene fluoride-co-trifluoroethylene) (P(VDF-TrFE)) for a high
performance hybrid nanocomposite generator (hNCG) device. The harvested output of
the flexible hNCG reaches up to ~14 V and ~4 uA, which is higher than the current
levels of even previous piezoceramic film-based flexible energy harvesters.
Finite element analysis method simulations study that the outstanding performance
of hNCG devices attributes to not only the piezoelectric synergy of well
controlled BT NWs and within P(VDF-TrFE) matrix, but also the effective stress
transferability of piezopolymer. As a proof of concept, the flexible hNCG is
directly attached to a hand to scavenge energy using a human motion in various
biomechanical frequencies for self-powered wearable patch device applications.
This research can pave the way for a new approach to high-performance wearable
and biocompatible self-sufficient electronics.
PMID- 29655227
TI - Nanowire-Intensified Metal-Enhanced Fluorescence in Hybrid Polymer-Plasmonic
Electrospun Filaments.
AB - Hybrid polymer-plasmonic nanostructures might combine high enhancement of
localized fields from metal nanoparticles with light confinement and long-range
transport in subwavelength dielectric structures. Here, the complex behavior of
fluorophores coupling to Au nanoparticles within polymer nanowires, which
features localized metal-enhanced fluorescence (MEF) with unique characteristics
compared to conventional structures, is reported. The intensification effect when
the particle is placed in the organic filaments is remarkably higher with respect
to thin films of comparable thickness, thus highlighting a specific, nanowire
related enhancement of MEF effects. A dependence on the confinement volume in the
dielectric nanowire is also indicated, with MEF significantly increasing upon
reduction of the wire diameter. These findings are rationalized by finite element
simulations, predicting a position-dependent enhancement of the quantum yield of
fluorophores embedded in the fibers. Calculation of the ensemble-averaged
fluorescence enhancement unveils the possibility of strongly enhancing the
overall emission intensity for structures with size twice the diameter of the
embedded metal particles. These new, hybrid fluorescent systems with localized
enhanced emission, and the general nanowire-enhanced MEF effects associated to
them, are highly relevant for developing nanoscale light-emitting devices with
high efficiency and intercoupled through nanofiber networks, highly sensitive
optical sensors, and novel laser architectures.
PMID- 29655228
TI - Efficacy and toxicity of ipilimumab used after nivolumab in patients with
melanoma.
PMID- 29655230
TI - ARTHUR J. MOSS MD.
PMID- 29655231
TI - Noninvasive fetal sex determination by analysis of cell-free fetal DNA in
maternal capillary blood obtained by fingertip puncture.
PMID- 29655232
TI - Effects of low-level laser therapy on the healing of surgically closed incisions
and surgically created open wounds in dogs.
AB - OBJECTIVE: To determine the effects of low-level laser therapy (LLLT) on
primarily closed incisions and full thickness open wounds in dogs. STUDY DESIGN:
Prospective, masked, placebo-controlled design. ANIMAL POPULATION: Healthy intact
female dogs (n = 10). METHODS: Dogs underwent bilateral flank ovariectomy
procedures, and open wounds were created bilaterally with a punch biopsy. Each
side of the dog (incision and open wound) was randomly assigned to the treatment
(TX) group or the control (CN) group. The TX group received LLLT once daily for 5
days with a 980-nm laser and a total energy density of 5 J/cm2 . The CN group
received a sham treatment (laser turned off) for an identical amount of time each
day. The wounds were assessed visually; measured; photographed at postoperative
days 3, 7, 11, and 14; and biopsied on postoperative days 7 and 14. A 2-way
repeated measures multivariate analysis of variance was used to analyze
differences between groups. RESULTS: There was no difference between groups for
subjective assessment of healing time and wound measurements (P = .7). There was
no difference in histopathologic assessment except that the CN group had more
necrosis and perivascular lymphocytes and macrophages at day 7 (P = .03). The TX
group had more perivascular lymphocytes and macrophages at day 14 (P = .01).
CONCLUSION: LLLT did not appear to influence the healing of surgically created
incisions and small wounds with the methodology reported here. CLINICAL
SIGNIFICANCE: Results of this study do not support recommending LLLT to stimulate
healing of uncomplicated, small wounds and incisions.
PMID- 29655233
TI - A sensitive LC-MS/MS-based bioanalytical method for quantification of
salviaflaside and rosmarinic acid in rat plasma and its application in a
pharmacokinetic study.
AB - A selective and sensitive liquid chromatography tandem mass spectrometry method
was developed for the simultaneous determination of salviaflaside and rosmarinic
acid in rat plasma. Sample preparation was carried out through liquid-liquid
extraction with ethyl acetate using curculigoside as internal standard (IS). The
analytes were determined by selected reaction monitoring operated in the positive
ESI mode. Chromatographic separation was performed on an Agilent Eclipse Plus C18
column (100 * 4.6 mm, 1.8 MUm) with a mobile phase consisting of methanol-water
formic acid (50:50:0.1, v/v/v) at a flow rate of 0.3 mL/min. The run time was 1.9
min per sample and the injection volume was 5 MUL. The method had an LLOQ of 1.6
ng/mL for salviaflaside and 0.94 ng/mL for rosmarinic acid in plasma. The linear
calibration curves were fitted over the range of 1.6-320 ng/mL for salviaflaside
and 0.94-188 ng/mL for rosmarinic acid in plasma with correlation coefficients
(r2 ) >0.99. Intra- and inter-day precisions (relative standard deviation) were <
13.5%, and accuracies (relative error) were between -8.6% and 14.5% for all
quality control samples. The method was validated and applied to the
pharmacokinetics of salviaflaside and rosmarinic acid in plasma after oral
administration of Prunella vulgaris extract to rats.
PMID- 29655234
TI - Melatonin protects prepuberal testis from deleterious effects of bisphenol A or
diethylhexyl phthalate by preserving H3K9 methylation.
AB - A growing number of couples experience fertility issues with almost half being
due to malefactors. The exposure to toxic environmental contaminants, such as
endocrine disruptors (EDs), has been shown to negatively affect male fertility.
EDs are present in the environment, and exposure to these toxins results in the
failure of spermatogenesis. The deleterious effects of EDs on spermatogenesis
have been well documented, whereas improvement of infertility associated with
spermatogenesis defects remains a great challenge. Herein, we report that in
vitro exposure of prepuberal mouse testes to two well-known endocrine disruptors
(EDs), bisphenol A (BPA) or diethylhexyl phthalate (DEHP), impairs
spermatogenesis with perturbing self-renewal, spermatogonia activity, and
meiosis. Evidence indicates that such effects are likely due, at least in part,
to decreased G9a-dependent H3K9 di-methylation. Of note, we found that melatonin
(MLT) protected the testis from the negative ED impacts with preserving
spermatogonia stem and meiotic cells, along with maintaining normal H3K9 di
methylation in these cells. Taken together, this work documents that BPA and EDHP
adversely affect prepuberal spermatogenesis and perturb crucial epigenetic
activities in male germ cells and highlight the protective ability of MLT.
PMID- 29655235
TI - Efficacy of suplatast tosilate in a case of recurrent eosinophilic annular
erythema.
PMID- 29655236
TI - The ChOMPS, a new tool to measure oromotor and motor skills for eating and
drinking.
PMID- 29655237
TI - Cardiovascular safety signals with dipeptidyl peptidase-4 inhibitors: A
disproportionality analysis among high-risk patients.
AB - PURPOSE: In 2008, the US Food and Drug Administration (FDA) issued Draft Guidance
on investigating cardiovascular risk with oral diabetic drugs, including
dipeptidyl peptidase-4 inhibitors (DPP-4i). In 2014, underpowered, post hoc
analyses of clinical trials suggested an increased risk of heart failure with the
use of these products. As such, we assessed disproportionate reporting of major
adverse cardiac events (MACE) among reports for DPP-4i submitted to the FDA
Adverse Event Reporting System (FAERS) from 2006 to 2015. METHODS: We assessed
the empirical Bayes geometric mean (EBGM) and its lower bound (EB05) of the
relative reporting ratio for MACE among DPP-4i reports in the full FAERS database
and in a subset of reports limited to cardiovascular and diabetic drugs. We then
compared the EB05 in these 2 analyses and calculated the percent positive
agreement for signals of disproportional reporting (SDRs) involving MACE.
RESULTS: Of 180.3 million adverse event reports, 13.4 million were for diabetic
and cardiovascular drugs. In the cardiovascular subset, there was an SDR for
heart failure with linagliptin (EB05 = 2782.47) and saxagliptin (EB05 = 2.40),
myocardial infarction with alogliptin (EB05 = 290.11), and cerebral infarction
with sitagliptin (EB05 = 2.80). Of the 14 MACE, 8 had a percent positive
agreement >=50% for an SDR in both analyses. Overall, the cardiovascular subset
elicited 11 more SDRs for DPP-4i than the full dataset. CONCLUSIONS:
Postmarketing surveillance of DPP-4i through FAERS suggest increased reporting of
MACE, supporting the current FDA warning of heart failure risk. This suggests the
need for additional longitudinal, observational research into the association of
DPP-4i and other MACE.
PMID- 29655238
TI - Real-Space In Situ Bond Energies: Toward A Consistent Energetic Definition of
Bond Strength.
AB - A rigorous definition of intrinsic bond strength based on the partitioning of a
molecule into real-space fragments is presented. Using the domains provided by
the quantum theory of atoms-in-molecules (QTAIM) together with the interacting
quantum atoms (IQA) energetic decomposition, we show how an in situ bond
strength, matching all the requirements of an intrinsic bond energy, can be
defined between each pair of fragments. Total atomization or fragmentation
energies are shown to be equal to the sum of these in situ bond energies (ISBEs)
if the energies of the fragments are measured with respect to their in-the
molecule state. These energies usually lie above the ground state of the isolated
fragments by quantities identified with the standard fragment relaxation or
deformation energies, which are also provided by the protocol. Deformation
energies bridge dissociation energies with ISBEs, and can be dissected by using
well-known tools of real-space theories of chemical bonding. Similarly, ISBEs can
be partitioned into ionic and covalent contributions, and this feature adds to
the chemical appeal of the procedure. All the energetic quantities examined are
observable and amenable, in principle, to experimental determination. Several
systems, exemplifying the role of each energetic term presented herein, are used
to show the power of the approach.
PMID- 29655239
TI - Measuring medical students' attitudes and knowledge about geriatrics and
gerontology in Brazilian medical students: A comparison of instruments.
AB - OBJECTIVE: To compare instruments available for evaluating attitudes and
knowledge about geriatrics and gerontology. METHODS: This cross-sectional study
investigated 83 third-year Brazilian medical students (with exposure to
geriatrics and gerontology) and 75 incoming students (with no exposure to this
content). We used the University of California at Los Angeles Geriatrics
Attitudes Scale, Palmore's Facts on Aging Quiz, modified Maxwell-Sullivan
Attitude Survey, Aging Semantic Differential Scale and an instrument assessing
their cognitive knowledge in geriatrics. RESULTS: The instruments investigated
had moderate-to-high correlations when evaluating similar constructs, had
satisfactory or borderline internal consistency for the most part (Cronbach's
alpha: 0.62-0.94) and were capable of discriminating between students exposed or
not to practical and theoretical content. CONCLUSION: Our findings reinforce
previous studies that these scales are capable of differentiating students who
have or have not had exposure/intervention and, in general, seem to be assessing
different constructs.
PMID- 29655240
TI - A Pseudo-Octahedral Cobalt(II) Complex with Bispyrazolylpyridine Ligands Acting
as a Zero-Field Single-Molecule Magnet with Easy Axis Anisotropy.
AB - The homoleptic mononuclear compound [Co(bpp-COOMe)2 ](ClO4 )2 (1) (bpp
COOMe=methyl 2,6-di(pyrazol-1-yl)pyridine-4-carboxylate) crystallizes in the
monoclinic C2/c space group, and the cobalt(II) ion possesses a pseudo-octahedral
environment given by the two mer-coordinated tridentate ligands. Direct-current
magnetic data, single-crystal torque magnetometry, and EPR measurements disclosed
the easy-axis nature of this cobalt(II) complex, which shows single-molecule
magnet behavior when a static field is applied in alternating-current
susceptibility measurements. Diamagnetic dilution in the zinc(II) analogue
[Zn(bpp-COOMe)2 ](ClO4 )2 (2) afforded the derivative [Zn0.95 Co0.05 (bpp
COOMe)2 ](ClO4 )2 (3), which exhibits slow relaxation of magnetization even in
zero field thanks to the reduction of dipolar interactions. Theoretical
calculations confirmed the overall electronic structure and the magnetic scenario
of the compound as drawn by experimental data, thus confirming the spin-phonon
Raman relaxation mechanism, and a direct quantum tunneling in the ground state as
the most plausible relaxation pathway in zero field.
PMID- 29655241
TI - Transformation of Perovskite BaBiO3 into Layered BaBiO2.5 Crystals Featuring
Unusual Chemical Bonding and Luminescence.
AB - Engineering oxygen coordination environments of cations in oxides has received
intense interest thanks to the opportunities for the discovery of novel oxides
with unusual properties. Herein, the synthesis of stoichiometric layered BaBiO2.5
by a nontopotactic phase transformation of perovskite BaBiO3 is presented. By
analyzing the synchrotron X-ray diffraction data by the maximum-entropy
method/Rietveld technique, it was found that Bi is involved in an unusual
chemical bonding situation with four oxygen atoms featuring one ionic bond and
three covalent bonds, which results in an asymmetric coordination geometry.
Photophysical characterization revealed that this peculiar structure shows near
infrared luminescence differing from that of conventional Bi-containing
compounds. Experimental and theoretical results led to the proposal of an
excitonic nature of the luminescence. This work highlights that synthesizing
materials with uncommon Bi-O bonding and Bi coordination geometry provides a
pathway to the discovery of systems with new functionalities. This could inspire
interest in the exploration of a range of materials containing heavier p-block
elements with prospects for finding systems with unusual properties.
PMID- 29655242
TI - The tomato MAX1 homolog, SlMAX1, is involved in the biosynthesis of tomato
strigolactones from carlactone.
AB - Strigolactones (SLs) are rhizosphere signalling molecules exuded by plants that
induce seed germination of root parasitic weeds and hyphal branching of
arbuscular mycorrhiza. They are also phytohormones regulating plant architecture.
MORE AXILLARY GROWTH 1 (MAX1) and its homologs encode cytochrome P450 (CYP)
enzymes that catalyse the conversion of the strigolactone precursor carlactone to
canonical strigolactones in rice (Oryza sativa), and to an SL-like compound in
Arabidopsis. Here, we characterized the tomato (Solanum lycopersicum) MAX1
homolog, SlMAX1. The targeting induced local lesions in genomes method was used
to obtain Slmax1 mutants that exhibit strongly reduced production of orobanchol,
solanacol and didehydro-orobanchol (DDH) isomers. This results in a severe
strigolactone mutant phenotype in vegetative and reproductive development.
Transient expression of SlMAX1 - together with SlD27, SlCCD7 and SlCCD8 - in
Nicotiana benthamiana showed that SlMAX1 catalyses the formation of carlactonoic
acid from carlactone. Plant feeding assays showed that carlactone, but not 4
deoxy-orobanchol, is the precursor of orobanchol, which in turn is the precursor
of solanacol and two of the three DDH isomers. Inhibitor studies suggest that a 2
oxoglutarate-dependent dioxygenase is involved in orobanchol biosynthesis from
carlactone and that the formation of solanacol and DDH isomers from orobanchol is
catalysed by CYPs.
PMID- 29655244
TI - Clinical features and repair options for nonmelanoma skin cancers of the eyebrow.
PMID- 29655245
TI - Management of fever and neutropenia in children with cancer: A survey of
Australian and New Zealand practice.
AB - AIM: Variation in the management of fever and neutropenia (FN) in children is
well described. The aim of this study was to explore the current management of FN
across Australia and New Zealand and highlight areas for improvement. METHODS: A
practice survey was administered to paediatric health-care providers via four
clinical and research networks. Using three clinical case vignettes, we explored
risk stratification, empiric antibiotics, initial investigations, intravenous
oral switch, ambulatory management and antibiotic duration in children with
cancer and FN. RESULTS: A response was received from 104 participants from 16
different hospitals. FN guideline compliance was rated as moderate or poor by 24%
of respondents, and seven different fever definitions were described. There was
little variation in the selected empiric monotherapy and dual-therapy regimens,
and almost all respondents recommended first-dose antibiotics within 1 h.
However, 27 different empiric antibiotic combinations were selected for beta
lactam allergy. An incorrect risk status was assigned to the low-risk case by 27%
of respondents and to the high-risk case by 41%. Compared to current practice,
significantly more respondents would manage the low-risk case in the ambulatory
setting provided adequate resources were in place (43 vs. 85%, P < 0.0001). There
was variation in the use of empiric glycopeptides as well as use of
aminoglycosides beyond 48 h. CONCLUSION: Although the antibiotics selected for
empiric management of FN are appropriate and consistent, variation and
inaccuracies exist in risk stratification, the selection of monotherapy over dual
therapy, empiric antibiotics chosen for beta-lactam allergy, use of glycopeptides
and duration of aminoglycosides.
PMID- 29655246
TI - Pediatric case of generalized pustular psoriasis developing acute pancreatitis.
PMID- 29655251
TI - Ultrasound-Assisted Assessment of Medial Elbow Stability.
AB - OBJECTIVES: An assessment of medial elbow stability is essential to the patient
with an ulnar collateral ligament injury. Ultrasound imaging can be used to
assess medial elbow stability. This study determined the effect of the elbow
flexion angle on the medial elbow joint space during clinical tests of medial
elbow stability. METHODS: Ultrasound images of the nondominant elbow were
collected during 3 tests of medial elbow stability: valgus stress test, weighted
valgus test, and milking maneuver. The elbow flexion angle increased between the
valgus stress test and milking maneuver. The width of the medial joint space was
measured on ultrasound images collected in unstressed and stressed conditions.
RESULTS: Across test conditions, the medial joint width was greater in the
stressed condition (mean +/- SD, 3.7 +/- 0.1 mm) than in the unstressed condition
(2.9 +/- 0.09 mm). The medial elbow joint space width was less (mean difference,
0.16 +/- 0.01 mm; P = .01) in the milking maneuver position compared to the
valgus stress test positions. CONCLUSIONS: This study provides evidence that
changes in the width of the medial elbow during clinical evaluation of the
unimpaired elbow can be detected by ultrasound. Changing the elbow flexion angle
did not affect the change in width of the medial elbow during valgus loading.
PMID- 29655253
TI - The role of interleukin-4 in rheumatic diseases.
AB - Rheumatism is a group of diseases, most of which are autoimmune diseases, that
violate joints, bones, muscles, blood vessels and related soft tissue. As is well
known, cytokines play a role in the pathogenesis of several rheumatic diseases,
such as rheumatoid arthritis, spondyloarthritides, and systemic lupus
erythematosus. Recently, the role of interleukin-4 (IL-4), which may participate
in the mechanism of rheumatism, have been discovered. It is reported that IL-4
takes part in the regulation of T cell activation, differentiation,
proliferation, and survival of different T cell types. IL-4 also has an
immunomodulatory effect on B cells, mast cells, macrophages, and many cell types.
A review of the literature on functions of IL-4 in rheumatic diseases is
presented.
PMID- 29655254
TI - A Review and Proposed Rationale for the use of Ultrasonography as a Diagnostic
Modality in the Identification of Bone Stress Injuries.
AB - Bone stress injuries are common in military personnel and athletes. The delayed
diagnosis of a bone stress injury can lead to a more severe injury that requires
a longer period of treatment. The early detection of bone stress injuries is a
central part of management. Currently, the reference standard for detecting bone
stress injuries is magnetic resonance imaging. However, the expanding use of
point-of-care ultrasonography (US) may enable the early detection of bone stress
injuries in the clinical setting. In this article, we review the US detection of
bone stress injuries, as well as discuss the rationale for the use of US in the
diagnosis of these injuries.
PMID- 29655255
TI - TP53INP1 inhibits hypoxia-induced vasculogenic mimicry formation via the
ROS/snail signalling axis in breast cancer.
AB - Tumour protein p53-inducible nuclear protein 1 (TP53INP1) is a tumour suppressor
associated with malignant tumour metastasis. Vasculogenic mimicry (VM) is a new
tumour vascular supply pattern that significantly influences tumour metastasis
and contributes to a poor prognosis. However, the molecular mechanism of the
relationship between TP53INP1 and breast cancer VM formation is unknown. Here, we
explored the underlying mechanism by which TP53INP1 regulates VM formation in
vitro and in vivo. High TP53INP1 expression was not only negatively correlated
with a poor prognosis but also had a negative relationship with VE-cadherin, HIF
1alpha and Snail expression. TP53INP1 overexpression inhibited breast cancer
invasion, migration, epithelial-mesenchymal transition (EMT) and VM formation;
conversely, TP53INP1 down-regulation promoted these processes in vitro by
functional experiments and Western blot analysis. We established a hypoxia model
induced by CoCl2 and assessed the effects of TP53INP1 on hypoxia-induced EMT and
VM formation. In addition, we confirmed that a reactive oxygen species (ROS)
mediated signalling pathway participated in TP53INP1-mediated VM formation.
Together, our results show that TP53INP1 inhibits hypoxia-induced EMT and VM
formation via the ROS/GSK-3beta/Snail pathway in breast cancer, which offers new
insights into breast cancer clinical therapy.
PMID- 29655256
TI - In vitro gas and methane production in rumen fluid from dairy cows fed grass
silages differing in plant maturity, compared to in vivo data.
AB - The relationship between in vitro rumen CH4 production of grass silages, using
the gas production technique, and in vivo data obtained with the same cows and
rations in respiration chambers was investigated. Silages were made from grass
harvested in 2013 on May 6th, May 25th, July 1st and July 8th. The grass silages
were used to formulate four different rations which were fed to 24 cows in early
and late lactation, resulting in a slightly different dry matter intake (DMI;
16.5 kg/day vs. 15.4 kg/day). The experimental rations consisted of 70% grass
silage, 10% maize silage, and 20% concentrates on a dry matter basis. Cows were
adapted to the rations for 17 days before rumen fluid was collected via
oesophageal tubing, and in vitro gas and CH4 production were analysed. In vitro
total gas and CH4 production of the (ensiled) grass expressed as ml/g OM
decreased with advancing maturity of the grass. The in vitro CH4 production after
48 hr of incubation expressed in ml/g OM did not correlate with the in vivo CH4
production expressed in g/kg organic matter intake or g/kg DMI (R2 = .00-.18, p
>= .287). The differences in CH4 emission per unit of intake observed in vivo
were rather small between the different rations, which also contributed to the
observed poor relationship. Utilizing stepwise multiple regression improved the
correlation only slightly. In vitro gas and CH4 production varied based on
whether donor cows were previously adapted to the respective ration or not,
suggesting that careful adaption to the experimental diet should be envisaged in
in vitro gas and CH4 production experiments.
PMID- 29655257
TI - Neuroplasticity and network connectivity of the motor cortex following stroke: A
transcranial direct current stimulation study.
AB - Transcranial direct current stimulation (tDCS) is a noninvasive brain stimulation
technique that has potential for clinical utility in neurorehabilitation.
However, recent evidence indicates that the responses to tDCS are highly
variable. This study investigated whether electroencephalographic (EEG) measures
of functional connectivity of the target network were associated with the
response to ipsilesional anodal tDCS in stroke survivors. Ten chronic stroke
patients attended two experimental sessions in a randomized cross-over trial and
received anodal or sham tDCS. Single-pulse transcranial magnetic stimulation was
used to quantify change in corticospinal excitability following tDCS. At the
beginning of each session, functional connectivity was estimated using the
debiased-weighted phase lag index from EEG recordings at rest. Magnetic resonance
imaging identified lesion location and lesion volume. Partial least squares
regression identified models of connectivity which maximally accounted for
variance in anodal tDCS responses. Stronger connectivity of a network with a seed
approximating the stimulated ipsilesional motor cortex, and clusters of
electrodes approximating the ipsilesional parietal cortex and contralesional
frontotemporal cortex in the alpha band (8-13 Hz) was strongly associated with a
greater increase of corticospinal excitability following anodal tDCS. This
association was not observed following sham stimulation. Addition of a structural
measure(s) of injury (lesion volume) provided an improved model fit for
connectivity between the seed electrode and ipsilesional parietal cortex, but not
the contralesional frontotemporal cortex. TDCS has potential to greatly assist
stroke rehabilitation and functional connectivity appears a robust and specific
biomarker of response which may assist clinical translation of this therapy.
PMID- 29655258
TI - Bidirectional examination of the interaction between time and numerosity
corroborates that numerosity influences time estimation but not vice versa.
AB - There has been great interest in the idea that time, number, and space share a
common magnitude system. However, only a handful of studies examined
bidirectional interaction between time and number and the results varied
depending on the specifics of the methods and stimulus properties of each study.
The present study investigated bidirectional interaction between time and number
using estimation tasks. We used duration (Experiment 1) and numerosity
(Experiment 2) estimation tasks to investigate the effect of numerosity-on
duration and duration-on-numerosity estimation. The results from the two
experiments demonstrated that numerosity influences duration processing but not
vice versa; that is, there was unidirectional interaction between numerosity and
time. The duration of stimulus presentation was overestimated for stimuli larger
in (task-irrelevant) numerosity. Possible mechanisms underlying the
unidirectional interaction between time and number are discussed.
PMID- 29655259
TI - Emergent niche structuring leads to increased differences from neutrality in
species abundance distributions.
AB - Species abundance distributions must reflect the dynamic processes involved in
community assembly, but whether and when specific processes lead to
distinguishable signals is not well understood. Biodiversity and species
abundances may be shaped by a variety of influences, but particular attention has
been paid to competition, which can involve neutral dynamics, where competitor
abundances are governed only by demographic stochasticity and immigration, and
dynamics driven by trait differences that enable stable coexistence through the
formation of niches. Key recent studies of the species abundance patterns of
communities with niches employ simple models with pre-imposed niche structure.
These studies suggest that species abundance distributions are insensitive to the
relative contributions of niche and neutral processes, especially when diversity
is much higher than the number of niches. Here we analyze results from a
stochastic population model with competition driven by trait differences. With
this model, niche structure emerges as clumps of species that persist along the
trait axis, and leads to more substantial differences from neutral species
abundance distributions than have been previously shown. We show that
heterogeneity in "between-niche" interaction strength (i.e., in the strength of
competition between species in different niches) plays the dominant role in
shaping the species abundances along the trait axis, acting as a biotic filter
favoring species at the centers of niches. Furthermore, we show that
heterogeneity in "within-niche" interactions (i.e., in the competition between
species in the same niche) counteracts the influence of heterogeneity in "between
niche" interactions on the SAD to some degree. Our results suggest that
competitive interactions that produce niches can also influence the shapes of
SADs.
PMID- 29655260
TI - Joint tagging assisted fluctuation nanoscopy enables fast high-density super
resolution imaging.
AB - In fluctuation-based optical nanoscopy, investigating high-density labeled
subcellular structures with high fidelity has been a significant challenge. In
this study, based on super-resolution radial fluctuation (SRRF) microscopy, the
joint tagging (JT) strategy is employed to enable fast high-density nanoscopic
imaging and tracking. In fixed cell experiment, multiple types of quantum dots
with distinguishable fluorescence spectra are jointly tagged to subcellular
microtubules. In each spectral channel, the decrease in labeling density
guarantees the high-fidelity super-resolution reconstruction using SRRF
microscopy. Subsequently, the combination of all spectral channels achieves high
density super-resolution imaging of subcellular microtubules with a resolution of
~62 nm using JT assisted SRRF technique. In the live-cell experiment, 3-channel
JT is utilized to track the dynamic motions of high-density toxin-induced lipid
clusters for 1 minute, achieving the simultaneous tracking of many individual
toxin-induced lipid clusters spatially distributed significantly below the
optical diffraction limit in living cells.
PMID- 29655261
TI - Emerging psychiatric disorders in Kleine-Levin syndrome.
AB - In Kleine-Levin syndrome (KLS), episodes of hypersomnia and cognitive,
psychiatric and behavioural disturbances alternate with asymptomatic periods in
adolescents. We evaluated whether psychiatric disorders would emerge during
asymptomatic periods in a naturalistic, uncontrolled clinical cohort. Patients
with primary KLS underwent psychiatric interviews at diagnosis and every year for
1-10 years, leading to diagnosis of former and present comorbid psychiatric
disorders. Among the 115 patients (65.2% male and aged 16.1 +/- 4.8 years at KLS
onset), 19 (16.5%) had a history of psychiatric disorder prior to KLS onset,
which persisted afterwards in 10. Twenty-five (21%) patients developed a new,
comorbid psychiatric disorder 1-6 years after KLS onset, during 'asymptomatic'
periods, including mood disorders (n = 14; including major depressive episodes, n
= 8; recurrent depressive episodes, n = 2; bipolar I disorder, n = 1; dysthymic
disorder, n = 1; adjustment disorder with depressive mood, n = 1; and mood
disorder not otherwise specified, n = 1), anxiety disorders (n = 7), eating
disorders (n = 2), psychotic disorders not otherwise specified (n = 2),
schizoaffective disorder (n = 1) and cannabis dependence (n = 1). Six patients
attempted suicide: two before and two after KLS onset, and two during episodes.
Female sex, longer disease course, longer time incapacitated (356 +/- 223 versus
155 +/- 186 days) and more frequent psychiatric symptoms during episodes (but no
family or personal history of psychiatric disorders) were associated with
emerging psychiatric disorders. Contrary to the alleged benignity of KLS and
normality between episodes, one KLS patient in five suffers from emerging
psychiatric disorders. These disorders may depend on personal vulnerability and,
most probably, on psychiatric symptoms during episodes.
PMID- 29655262
TI - Linear immunoglobulin A bullous dermatosis limited to oral mucosa associated with
ulcerative colitis.
PMID- 29655263
TI - Distinguishable Detection of Ultraviolet, Visible, and Infrared Spectrum with
High-Responsivity Perovskite-Based Flexible Photosensors.
AB - Distinguishable detection of the ultraviolet, visible, and infrared spectrum is
promising and significant for the super visual system of artificial
intelligences. However, it is challenging to provide a photosensor with such
broad spectral response ability. In this work, the ultraviolet, visible, and
infrared spectrum is distinguished by developing serial photosensors based on
perovskite/carbon nanotube hybrids. Oraganolead halide perovskites (CH3 NH3 PbX3
) possess remarkable optoelectronic properties and tunable optical band gaps by
changing the halogens, and integration with single-walled carbon nanotubes can
further improve their photoresponsivities. The CH3 NH3 PbCl3 -based photosensor
shows a responsivity up to 105 A W-1 to ultraviolet and no obvious response to
visible light, which is superior to that of most ultraviolet sensors. The CH3 NH3
PbBr3 -based photosensor exhibits a high responsivity to visible light. Serial
devices of the two hybrid photosensors with comparable electric and sensory
performances can distinguish the spectrum of ultraviolet, visible, and infrared
even with varying light intensities. The photosensors also demonstrate excellent
mechanical flexibility and bending stability. By taking full advantages of the
oraganolead halide perovskites, this work provides flexible high-responsivity
photosensors specialized for ultraviolet, and gives a simple strategy for
distinguishable detection of ultraviolet, visible, and infrared spectrum based on
the serial flexible photosensors.
PMID- 29655264
TI - Trigeminal autonomic cephalgia: A rare cause of headache in children.
PMID- 29655266
TI - The kinases male germ cell-associated kinase and cell cycle-related kinase
regulate kinesin-2 motility in Caenorhabditis elegans neuronal cilia.
AB - Kinesin-2 motors power anterograde intraflagellar transport (IFT), a highly
ordered process that assembles and maintains cilia. However, it remains elusive
how kinesin-2 motors are regulated in vivo. Here, we performed forward genetic
screens to isolate suppressors that rescue the ciliary defects of OSM-3-kinesin
(homolog of mammalian homodimeric kinesin-2 KIF17) mutants in Caenorhabditis
elegans. We identified the C. elegans dyf-5 and dyf-18, which encode the homologs
of mammalian male germ cell-associated kinase and cell cycle-related kinase,
respectively. Using time-lapse fluorescence microscopy, we show that DYF-5 and
DYF-18 are IFT cargo molecules and are enriched at the distal segments of sensory
cilia. Mutations of dyf-5 and dyf-18 generate elongated cilia and ectopic
localization of the heterotrimeric kinesin-2 (kinesin-II) at the ciliary distal
segments. Genetic analyses reveal that dyf-5 and dyf-18 are important for
stabilizing the interaction between IFT particles and OSM-3-kinesin. Our data
suggest that DYF-5 and DYF-18 act in the same pathway to promote handover between
kinesin-II and OSM-3 in sensory cilia.
PMID- 29655265
TI - "Ultimately, mom has the call": Viewing clinical trial decision making among
patients with ovarian cancer through the lens of relational autonomy.
AB - OBJECTIVE: This study employs the concept of relational autonomy to understand
how relational encounters with family members (FMs) and care providers may shape
decisions around ovarian cancer patients' clinical trial (CT) participation. The
study also offers unique insights into how FMs view patients' decision making.
METHODS: In-depth interviews were conducted with 33 patients with ovarian cancer
who had been offered a CT and 39 FMs. Data were inductively analysed using a
thematic approach and deductively informed by constructs derived from the theory
of relational autonomy (RA). RESULTS: Patients' relationships, experiences and
social status were significant resources that shaped their decisions. Patients
did not give equal weight to all relationships and created boundaries around whom
to include in decision making. Doctors' recommendations and perceived enthusiasm
were described as influential in CT decisions. Both patients with ovarian cancer
and their FMs maintained that patients have the "final say," indicating an
individualistic autonomy. However, maintaining the "final say" in the decision
making process is constitutive of patients' relationships, emphasizing a
relational approach to autonomy. FMs support patients' autonomy and they do so
particularly when they believe the patient is capable of making the right
choices. CONCLUSIONS: Although ethical principles underlying informed consent for
CT participation emphasize individual autonomy, greater attention to relational
autonomy is warranted for a more comprehensive understanding of CT decision
making.
PMID- 29655267
TI - Antibacterial efficacy and mechanisms of action of low power atmospheric pressure
cold plasma: membrane permeability, biofilm penetration and antimicrobial
sensitization.
AB - AIM: The objective of this study was to determine the efficacy and mechanisms of
inactivation of two clinically relevant ESKAPE bacteria namely Pseudomonas
aeruginosa and methicillin-resistant Staphylococcus aureus by atmospheric
pressure cold plasma. METHODS AND RESULTS: Plasma was generated between two brass
grids by applying a radiofrequency electric field to a flow of helium.
Intracellular generation of reactive species, alterations in cell membrane, and
inactivation of bacteria in planktonic or biofilm growth were studied. Results
were compared with commonly used antimicrobial drugs. Plasma exposure generated
reactive oxygen and nitrogen species in bacteria, disrupted membrane integrity
and reduced bacterial load. The efficacy in bacterial inactivation was comparable
to antibiotics but exhibited a quicker killing rate. The antibacterial effect of
plasma synergistically increased in association with antibiotics and did not
diminish over repeated exposures, suggesting no development in bacterial
resistance. CONCLUSIONS: Through generation of reactive species, cold plasma
altered cell membrane and effectively inactivated clinically important bacteria,
both in suspension and in biofilms. SIGNIFICANCE AND IMPACT OF THE STUDY: As cold
plasma damages different targets in bacterial cells, it emerges as an effective
strategy used alone or in combination with antimicrobial drugs to control
microbial infections and prevent the selection of resistant bacterial strains.
PMID- 29655268
TI - American College of Physicians diabetes guidelines attempt to turn back the
clock, conflating good HbA1c with hypoglycemia.
PMID- 29655269
TI - Tunable Multicolor Phosphorescence of Crystalline Polymeric Complex Salts with
Metallophilic Backbones.
AB - A total of 35 [Au(NHC)2 ][MX2 ] (NHC=N-heterocyclic carbene; M=Au or Cu;
X=halide, cyanide or arylacetylide) complex salts were synthesized by co
precipitation of [Au(NHC)2 ]+ cations and [MX2 ]- anions. These salts contain
crystallographically determined polymeric Au???Au or Au???Cu interactions and are
highly phosphorescent with quantum yields up to unity and emission color tunable
in the entire visible regions. The nature of the emissive excited states is
generally assigned to ligand (anion)-to-ligand (cation) charge-transfer
transitions assisted by d10 ???d10 metallophilicity. The emission properties can
be further tuned by controlled triple-component co-crystallization or by
epitaxial growth. Correct recipes for white light-emitting phosphors with quantum
yields higher than 70 % have been achieved by screening the combinatorial pool.
PMID- 29655270
TI - Correction to: Reassessing the clinical spectrum associated with Hereditary
Leiomyomatosis and Renal Cell Carcinoma syndrome in French FH mutation carriers.
PMID- 29655271
TI - Correction to: PUGS: A novel scale to assess perceptions of uncertainties in
genome sequencing.
PMID- 29655272
TI - Erratum.
PMID- 29655273
TI - A review of techniques for visualising soft tissue microstructure deformation and
quantifying strain Ex Vivo.
AB - Many biological tissues have a complex hierarchical structure allowing them to
function under demanding physiological loading conditions. Structural changes
caused by ageing or disease can lead to loss of mechanical function. Therefore,
it is necessary to characterise tissue structure to understand normal tissue
function and the progression of disease. Ideally intact native tissues should be
imaged in 3D and under physiological loading conditions. The current published in
situ imaging methodologies demonstrate a compromise between imaging limitations
and maintaining the samples native mechanical function. This review gives an
overview of in situ imaging techniques used to visualise microstructural
deformation of soft tissue, including three case studies of different tissues
(tendon, intervertebral disc and artery). Some of the imaging techniques
restricted analysis to observational mechanics or discrete strain measurement
from invasive markers. Full-field local surface strain measurement has been
achieved using digital image correlation. Volumetric strain fields have
successfully been quantified from in situ X-ray microtomography (micro-CT)
studies of bone using digital volume correlation but not in soft tissue due to
low X-ray transmission contrast. With the latest developments in micro-CT showing
in-line phase contrast capability to resolve native soft tissue microstructure,
there is potential for future soft tissue mechanics research where 3D local
strain can be quantified. These methods will provide information on the local 3D
micromechanical environment experienced by cells in healthy, aged and diseased
tissues. It is hoped that future applications of in situ imaging techniques will
impact positively on the design and testing of potential tissue replacements or
regenerative therapies. LAY DESCRIPTION: The soft tissues in our bodies, such as
tendons, intervertebral discs and arteries, have evolved to have complicated
structures which deform and bear load during normal function. Small changes in
these structures can occur with age and disease which then leads to loss of
function. Therefore, it is important to image tissue microstructure in 3D and
under functional conditions. This paper gives an overview of imaging techniques
used to record the deformation of soft tissue microstructures. Commonly there are
compromises between obtaining the best imaging result and retaining the samples
native structure and function. For example, invasive markers and dissecting
samples damages the tissues natural structure, and staining or clearing (making
the tissue more transparent) can distort tissue structure. Structural deformation
has been quantified from 2D imaging techniques (digital image correlation) to
create surface strain maps which help identify local tissue mechanics. When
extended to 3D (digital volume correlation), deformation measurement has been
limited to bone samples using X-ray micro-CT. Recently it has been possible to
image the 3D structure of soft tissue using X-ray micro-CT meaning that there is
potential for internal soft tissue mechanics to be mapped in 3D. Future
application of micro-CT and digital volume correlation will be important for soft
tissue mechanics studies particularly to understand normal function, progression
of disease and in the design of tissue replacements.
PMID- 29655274
TI - Granulocyte colony-stimulating factor-producing melanoma treated with the
combination of dabrafenib and trametinib.
PMID- 29655275
TI - CO2 laser-assisted sclerectomy surgery compared with trabeculectomy in primary
open-angle glaucoma and exfoliative glaucoma. A 1-year follow-up.
AB - PURPOSE: To report on the efficacy and safety of CO2 laser-assisted sclerectomy
surgery (CLASS) compared with trabeculectomy in primary open-angle glaucoma and
exfoliative glaucoma. METHODS: One hundred and thirty-one patients underwent
CLASS (66 patients) or trabeculectomy (65 patients) and were followed up for 12
months. 'Complete success' was defined as intraocular pressure (IOP) between 10
and 18 mmHg and reduced by at least 30% from the baseline without medications,
while 'qualified success' was compliant with the above criteria with or without
the medications. RESULTS: Comparing CLASS with trabeculectomy at 1 year, the mean
IOP reduction rate was 32.6 +/- 10.8% versus 40.6 +/- 15.9% (p < 0.001) and the
average use of medications was 1.4 +/- 1.4 versus 0.7 +/- 1.1 (p < 0.05). At 12
months, the complete success rate was 35% for CLASS versus 60% for trabeculectomy
(p < 0.01), while the qualified success rate was 74% versus 75%, respectively,
with no significant difference in qualified success rate between the groups at
any time-point (p > 0.05). Compared with CLASS, patients after trabeculectomy
developed a higher rate of early complications (9.1% versus 29.2%, p = 0.004),
higher endothelial cell density (ECD) loss (1.4 +/- 1.4% versus 6.5 +/- 4.8%, p <
0.001), higher astigmatism (0.0 +/- 0.1 versus 0.1 +/- 0.2, p < 0.001) and
significant visual acuity deterioration (0.1 +/- 0.1; range 0-2 lines versus 0.4
+/- 0.6; range 0-3 lines, p = 0.016). CONCLUSION: Although CLASS shows a less
potent hypotensive effect, it is similar to trabeculectomy in the qualified
success rate and offers the reduction in medications up to 12 months. With a more
attractive complications profile, CLASS may be an alternative to trabeculectomy,
especially at the earlier glaucoma stage and in patients with a low ECD.
PMID- 29655277
TI - Interprofessional, psycho-social intervention to facilitate resilience and reduce
supportive care needs for patients with cancer: Results of a noncomparative,
randomized phase II trial.
AB - OBJECTIVE: We developed 2 intensity levels of a complex intervention for
interprofessional supportive care in cancer (IPSC-C) to facilitate resilience and
reduce unmet supportive care needs. We aimed to test the feasibility,
acceptability, and preliminary effectiveness of both intensity levels in routine
practice. METHODS: In a randomized, noncomparative phase II trial, newly
diagnosed patients received either low (LI-IPSC-C) or high (HI-IPSC-C) intensity
interventions. Low-intensity-interprofessional supportive care in cancer (LI-IPSC
C) consisted of 3 electronic assessments of resilience, unmet supportive care
needs, mood, and coping effort over 16 weeks with an immediate feedback to
clinicians including tailored intervention recommendations to facilitate
resilience and supportive care. High-intensity-interprofessional supportive care
in cancer (HI-IPSC-C) added 5 structured consultations (face-to-face and
telephone) provided by specialized nurses. Primary outcome was a change >=5 in
resilience score on the Connor-Davidson Resilience Scale (CD-RISC). Secondary
outcomes were unmet supportive care needs, mood, and coping effort. We assessed
feasibility by clinician-provided tailored interventions as recommended and
acceptability through qualitative interviews with clinicians and patients.
RESULTS: In the LI-IPSC-C arm, 11 of 41, in the HI-IPSC-C arm 17 of 43, patients
increased resilience scores by >=5. Relatively more patients decreased unmet
needs in HI-IPSC-C arm. Mood, in both arms, and coping effort, in HI-IPSC-C arm,
improved meaningfully. Feasibility was limited for the LI-IPSC-C arm, mainly due
to lack of time; acceptability was high in both arms. CONCLUSION: Neither LI-IPSC
C nor HI-IPSC-C interventions reached the desired threshold. HI-IPSC-C showed
positive effects on secondary outcomes and was feasible. Resilience as measured
by the CD-RISC may not be the optimal outcome measure for this intervention.
PMID- 29655276
TI - Is sensitization to pig antigens detrimental to subsequent allotransplantation?
AB - An important question in xenotransplantation is whether an allotransplant can
safely be carried out in a patient who has become sensitized to a pig xenograft.
To answer this question, we have searched the literature. We primarily limited
our review to the clinically relevant pig-to-non-human primate (NHP) model and
found five studies that explored this topic. No NHP that had received a pig graft
developed antibodies to alloantigens, and in vitro studies indicated no increased
humoral and/or cellular alloreactivity. We carried out a small in vitro study
ourselves that confirmed this conclusion. There have been three experiments in
which patients undergoing dialysis were exposed to wild-type pig kidneys and
three clinical studies related to bridging a patient in hepatic failure to liver
allotransplantation. Despite the development of anti-pig antibodies, all
subsequent organ (kidney or liver) allografts were successful (except possibly in
one case). In addition, pig fetal islets were transplanted into patients with
kidney allografts; there was no increase in panel-reactive alloantibodies and the
kidney grafts continued to function satisfactorily. In conclusion, the limited
data suggest that, after sensitization to pig antigens, there is no evidence of
antibody-mediated or accelerated cellular rejection of a subsequent allograft.
PMID- 29655278
TI - Residents from non-English-speaking countries of birth in Australian aged care
facilities.
AB - OBJECTIVE: To describe the activity of daily living and behavioural and complex
care needs of residents born in non-English-speaking countries in Australian aged
care facilities. METHODS: De-identified cross-sectional data were provided by the
Australian Institute of Health and Welfare for all residents in government-funded
facilities on 30 June 2015. Analyses included t-tests, chi-squared tests and
logistic regressions. RESULTS: A total of 33 168 (19%) residents were born in one
of 188 non-English-speaking countries. Compared to residents born in English
speaking countries, they were significantly younger, lived in larger facilities,
were more likely to be male and live in an urban area. Their care requirements
were greater for activities of daily living and behavioural and complex care.
Residents from non-English-speaking countries living in ethno-specific facilities
had higher levels of these needs. CONCLUSION: The needs of residents born in non
English-speaking countries should be considered when planning aged care services.
PMID- 29655280
TI - Alteration of layer thickness in the choroid of diabetic patients.
AB - IMPORTANCE: Choroidal thickness changes in diabetic retinopathy improve
understanding the pathophysiology and managements of this disease. BACKGROUND: To
examine the choroidal layer thickness in diabetes mellitus (DM) patients and
normal individuals, and to compare the findings based on medical history of
systemic DM treatments, and stage of diabetic retinopathy (DR). DESIGN: Case
control study. PARTICIPANTS: Two hundred sixty eight eyes of 134 DM patients and
age-matched 72 healthy controls of 92 eyes. METHODS: Central choroidal layer
thickness (total, inner, and outer layers) was measured using enhanced depth
imaging OCT. DM patients were divided into two groups; the DM treated group (88
cases), and the untreated group (46 cases). These two groups were further
classified into four groups; no DR (NDR), mild/moderate non-proliferative DR
(mNPDR), severe NPDR and PDR. MAIN OUTCOME MEASURES: Comparison of subfoveal
choroid layer thickness in control and diabetic patient groups. RESULTS:
Choroidal thickness measurements of diabetic eyes had strong correlation between
masked raters in choroidal layers, proving high reproducibility. The total and
outer choroid thicknesses in mNPDR in the DM untreated group were significantly
thinner than normal controls (each P<0.05). Choroidal outer layer thickness of
the severe NPDR in the DM untreated group was significantly thicker than normal
controls (P<0.05). In the DM treatment group, there were no significant
differences from the control group regarding choroidal layer thicknesses and all
stages of DR. CONCLUSIONS AND RELEVANCE: The choroidal thickness significantly
changed in the DM untreated group, and the main anatomical changes might result
from the outer layer.
PMID- 29655279
TI - A Flexible Caterpillar-Like Gold Nanoparticle Assemblies with Ultrasmall Nanogaps
for Enhanced Dual-Modal Imaging and Photothermal Therapy.
AB - Gold nanoparticle (AuNP) assemblies (GNAs) have attracted attention since
enhanced coupling plasmonic resonance (CPR) emerged in the nanogap between
coupling AuNPs. For one dimensional GNAs (1D-GNAs), most CPR from the nanogaps
could be easily activated by electromagnetic waves and generate drastically
enhanced CPR because the nanogaps between coupling AuNPs are linearly distributed
in the 1D-GNAs. The reported studies focus on the synthesis of 1D-GNAs and
fundamental exploration of CPR. There are still problems which impede further
applications in nanomedicine, such as big size (>500 nm), poor water solubility,
and/or poor stability. In this study, a kind of 1D flexible caterpillar-like GNAs
(CL-GNAs) with ultrasmall nanogaps, good water solubility, and good stability is
developed. The CL-GNAs have a flexible structure that can randomly move to change
their morphology, which is rarely reported. Numerous ultrasmall nanogaps (<1 nm)
are linearly distributed along the structure of CL-GNAs and generate enhanced
CPR. The toxicity assessments in vitro and vivo respectively demonstrate that CL
GNAs have a low cytotoxicity and good biocompatibility. The CL-GNAs can be used
as an efficient photothermal agent for photothermal therapy, a probe for Raman
imaging and photothermal imaging.
PMID- 29655281
TI - Strongly Coupled Carbon Nanosheets/Molybdenum Carbide Nanocluster Hollow
Nanospheres for High-Performance Aprotic Li-O2 Battery.
AB - A highly efficient oxygen electrode is indispensable for achieving high
performance aprotic lithium-O2 batteries. Herein, it is demonstrated that
strongly coupled carbon nanosheets/molybdenum carbide (alpha-MoC1-x ) nanocluster
hierarchical hybrid hollow spheres (denoted as MoC1-x /HSC) can work well as
cathode for boosting the performance of lithium-O2 batteries. The important
feature of MoC1-x /HSC is that the alpha-MoC1-x nanoclusters, uniformly
incorporated into carbon nanosheets, can not only effectively prevent the
nanoclusters from agglomeration, but also help enhance the interaction between
the nanoclusters and the conductive substrate during the charge and discharge
process. As a consequence, the MoC1-x /HSC shows significantly improved
electrocatalytic performance in an aprotic Li-O2 battery with greatly reduced
charge and discharge overpotentials and long cycle stability. The ex situ
scanning electron microscopy, X-ray diffraction, and X-ray photoelectron
spectroscopy studies reveal that the mechanism for the high-performance Li-O2
battery using MoC1-x /HSC as cathode is that the incorporated molybdenum carbide
nanoclusters can make oxygen reduction on their surfaces easy, and finally form
amorphous film-like Li-deficient Li2 O2 with the ability to decompose at a low
potential. To the best of knowledge, the MoC1-x /HSC of this paper is among the
best cathode materials for lithium-O2 batteries reported to date.
PMID- 29655282
TI - Highly Porous Mn3 O4 Micro/Nanocuboids with In Situ Coated Carbon as Advanced
Anode Material for Lithium-Ion Batteries.
AB - The electrochemical performance of most transition metal oxides based on the
conversion mechanism is greatly restricted by inferior cycling stability, rate
capability, high overpotential induced by the serious irreversible reactions, low
electrical conductivity, and poor ion diffusivity. To mitigate these problems,
highly porous Mn3 O4 micro/nanocuboids with in situ formed carbon matrix (denoted
as Mn3 O4 @C micro/nanocuboids) are designed and synthesized via a one-pot
hydrothermal method, in which glucose plays the roles of a reductive agent and a
carbon source simultaneously. The carbon content, particle size, and pore
structure in the composite can be facilely controlled, resulting in continuous
carbon matrix with abundant pores in the cuboids. The as-fabricated Mn3 O4 @C
micro/nanocuboids exhibit large reversible specific capacity (879 mAh g-1 at the
current density of 100 mA g-1 ) as well as outstanding cycling stability (86%
capacity retention after 500 cycles) and rate capability, making it a potential
candidate as anode material for lithium-ion batteries. Moreover, this facile and
effective synthetic strategy can be further explored as a universal approach for
the synthesis of other hierarchical transition metal oxides and carbon hybrids
with subtle structure engineering.
PMID- 29655283
TI - Transcription profiling of peripheral B cells in antibody-positive primary
Sjogren's syndrome reveals upregulated expression of CX3CR1 and a type I and type
II interferon signature.
AB - B cells play a key role in the pathogenesis of primary Sjogren's syndrome (pSS).
The aim of this study was to analyse the transcriptome of CD19+ B cells from
patients with pSS and healthy controls to decipher the B cell-specific
contribution to pSS. RNA from purified CD19+ B cells from 12 anti-SSA antibody
positive untreated female patients with pSS and 20 healthy blood donors was
subjected to whole transcriptome sequencing. A false discovery rate corrected
significance threshold of alpha < 0.05 was applied to define differential gene
expression. As validation, gene expression in B cells from 17 patients with pSS
and 16 healthy controls was analysed using a targeted gene panel. RNA-sequencing
identified 4047 differentially expressed autosomal genes in pSS B cells.
Upregulated expression of type I and type II interferon (IFN)-induced genes was
observed, establishing an IFN signature in pSS B cells. Among the top upregulated
and validated genes were CX3CR1, encoding the fractalkine receptor involved in
regulation of B-cell malignancies, CCL5/RANTES and CCR1. Increased expression of
several members of the TNF superfamily was also identified; TNFSF4/Ox40L,
TNFSF10/TRAIL, TNFSF13B/BAFF, TNFRSF17/BCMA as well as S100A8 and
A9/calprotectin, TLR7, STAT1 and STAT2. Among genes with downregulated expression
in pSS B cells were SOCS1 and SOCS3, CD70 and TNFAIP3/A20. We conclude that B
cells from patients with anti-SSA antibody-positive pSS display immune activation
with upregulated expression of chemokines, chemokine receptors and a prominent
type I and type II IFN signature, while suppressors of cytokine signalling are
downregulated. This adds insight into the autoimmune process and suggests
potential targets for future functional studies.
PMID- 29655284
TI - A pair of nonspecific phospholipases C, NPC2 and NPC6, are involved in
gametophyte development and glycerolipid metabolism in Arabidopsis.
AB - Phospholipases play crucial roles in plant membrane lipid homeostasis.
Nonspecific phospholipase C (NPCs) establish a unique class of phospholipases
found only in plants and certain bacteria. Here, we show that two previously
uncharacterized NPC isoforms, NPC2 and NPC6, are required for male and female
gametophyte development in Arabidopsis. Double mutant plants of npc2-1 npc6-2
could not be retrieved because npc2-1 npc6-2 ovule and pollen development is
affected. Genetic complementation, reciprocal crossing and microscope observation
of npc2-1/- npc6-2/+ and npc2-1/+ npc6-2/- plants suggest that NPC2 and NPC6 are
redundant and are required for normal gametophyte development. Both NPC2 and NPC6
proteins are localized to the plastids. Promoter-GUS assays in transgenic
Arabidopsis revealed that NPC2 and NPC6 are preferentially expressed in floral
organs rather than in leaves. In vitro enzyme assays showed that NPC2 and NPC6
hydrolyze phosphatidylcholine and phosphatidylethanolamine, but not
phosphatidate, being consistent with the reported substrate selectivity of NPCs.
The amounts of phosphatidylcholine, phosphatidylethanolamine and
phosphatidylglycerol were increased in buds but not in flowers of npc2-1/- npc6
2/+ and npc2-1/+ npc6-2/- plants, presumably due to reduced phospholipid
hydrolysis activity in developing flowers. Our results demonstrate that NPC2 and
NPC6 play crucial roles in gametogenesis during flower development.
PMID- 29655285
TI - Potent Inhibitors of Plasmodial Serine Hydroxymethyltransferase (SHMT) Featuring
a Spirocyclic Scaffold.
AB - With the discovery that serine hydroxymethyltransferase (SHMT) is a druggable
target for antimalarials, the aim of this study was to design novel inhibitors of
this key enzyme in the folate biosynthesis cycle. Herein, 19 novel spirocyclic
ligands based on either 2-indolinone or dihydroindene scaffolds and featuring a
pyrazolopyran core are reported. Strong target affinities for Plasmodium
falciparum (Pf) SHMT (14-76 nm) and cellular potencies in the low nanomolar range
(165-334 nm) were measured together with interesting selectivity against human
cytosolic SHMT1 (hSHMT1). Four co-crystal structures with Plasmodium vivax (Pv)
SHMT solved at 2.2-2.4 A resolution revealed the key role of the vinylogous
cyanamide for anchoring ligands within the active site. The spirocyclic motif in
the molecules enforces the pyrazolopyran core to adopt a substantially more
curved conformation than that of previous non-spirocyclic analogues. Finally,
solvation of the spirocyclic lactam ring of the receptor-bound ligands is
discussed.
PMID- 29655287
TI - Effectiveness of interventions on breastfeeding self-efficacy and perceived
insufficient milk supply: A systematic review and meta-analysis.
AB - The aim of this systematic review and meta-analysis was to assess the efficacy on
an intervention on breastfeeding self-efficacy and perceived insufficient milk
supply outcomes. The literature search was conducted among 6 databases (CINAHL,
Medline, PsyncInfo, Scopus, Cochrane, and ProQuest) in between January 2000 to
June 2016. Two reviewers independently assessed the articles for the following
inclusion criteria: experimental or quasi-experimental studies; healthy pregnant
women participants intending to breastfeed or healthy breastfeeding women who
gave birth to a term singleton and healthy baby; intervention administered could
have been educational, support, psycho-social, or breastfeeding self-efficacy
based, offered in prenatal or postnatal or both, in person, over the phone, or
with the support of e-technologies; breastfeeding self-efficacy or perceived
insufficient milk supply as outcomes. Seventeen studies were included in this
review; 12 were randomized controlled trials. Most interventions were self
efficacy based provided on 1-to-1 format. Meta-analysis of RCTs revealed that
interventions significantly improved breastfeeding self-efficacy during the first
4 to 6 weeks (SMD = 0.40, 95% CI 0.11-0.69, p = 0.006). This further impact
exclusive breastfeeding duration. Only 1 study reported data on perceived
insufficient milk supply. Women who have made the choice to breastfeed should be
offered breastfeeding self-efficacy-based interventions during the perinatal
period. Although significant effect of the interventions in improving maternal
breastfeeding self-efficacy was revealed by this review, there is still a paucity
of evidence on the mode, format, and intensity of interventions. Research on the
modalities of breastfeeding self-efficacy should be pursued.
PMID- 29655288
TI - Modified Tal Score: Validated score for prediction of bronchiolitis severity.
AB - OBJECTIVE: To further validate the use of the Modified Tal Score (MTS), a
clinical tool for assessing bronchiolitis severity, by physicians with varying
experience and training levels, and to determine the ability of the MTS to
predict bronchiolitis severity. METHODS: This prospective cohort study included
infants of <12 months of age who were diagnosed with bronchiolitis and assessed
via MTS. We calculated the intra-class correlation coefficient (ICC) among four
groups of raters: group 1, board-certified pediatric pulmonologists; group 2,
board-certified pediatricians; group 3, senior pediatric residents; and group 4,
junior pediatric residents. Clinical outcomes were determined as length of oxygen
support and length of stay (LOS). We assessed MTS's prediction of these outcomes.
Relative risk (RR) for clinical severity was calculated via a Generalized Linear
Model. RESULTS: Twenty-four physicians recorded a total of 600 scores for 50
infants (average age 5 +/- 3 months; 56% male). The ICC values with group 1 as a
reference were 0.92, 0.87, and 0.83, for groups 2, 3, and 4, respectively (P <
0.001). RR for oxygen support required was; 1.33 (CI 1.12-1.57), 1.26 (1.1-1.46),
1.26 (1.06-1.5), and 1.21 (0.93-1.58) for groups 1, 2, 3, and 4, respectively. RR
for LOS was; 1.15 (CI 0.97-1.37), 1.19 (1.03-1.38), 1.18 (1.0-1.39), and 1.18
(0.93-1.51) for groups 1, 2, 3, and 4, respectively. CONCLUSION: The MTS is a
simple and valid scoring system for evaluating infants with acute bronchiolitis,
among different physician groups. The first score upon admission is a fair
predictor of oxygen requirement at 48 h, and LOS at 72 h.
PMID- 29655286
TI - Reduced expression of oestrogen receptor-beta is associated with tumour invasion
and metastasis in oestrogen receptor-alpha-negative human papillary thyroid
carcinoma.
AB - Oestrogens play an important role in the development and progression of papillary
thyroid carcinoma (PTC) through oestrogen receptor (ER)-alpha and -beta, which
may exert different or even opposing actions in PTC. The roles of ERbeta in
ERalpha-negative PTC are still not clear. This study investigated the expression
dynamics of ERbeta1 (wild-type ERbeta) and its clinical significance in female
ERalpha-negative PTC patients. ERbeta1 expression was detected in thyroid tissues
of 136 female patients diagnosed with PTC. The relationships between ERbeta1
expression and clinicopathological/biological factors were also analysed in
female ERalpha-negative PTC patients. The total score for ERbeta1 was
significantly lower in female ERalpha-negative PTC patients with LNM or ETE when
compared to those without LNM or ETE (Z = -2.923, P = 0.003 and Z = -3.441, P =
0.001). Accordingly, the total score for ERbeta1 was significantly higher in
ERalpha-negative PTC patients expressing E-cadherin compared to patients negative
for E-cadherin expression (Z = -2.636, P = 0.008). The total score was lower in
ERalpha-negative PTC patients positive for VEGF expression compared to those
negative for VEGF expression (Z = -1.914, P = 0.056). This preliminary study
indicates that reduced expression of ERbeta1 in female ERalpha-negative PTC
patients is associated with greater progression of the disease. This may provide
insights into the underlying molecular mechanisms of ERbeta1 and could help
design targeted approaches for treating or even preventing this disease.
PMID- 29655289
TI - Dacryocystitis mimicking erysipelas due to a giant dacryolith.
PMID- 29655290
TI - Pharmacokinetic and pharmacodynamic profile of the sodium-glucose co-transporter
2 inhibitor empagliflozin in young people with Type 2 diabetes: a randomized
trial.
AB - AIMS: To assess the pharmacokinetic and pharmacodynamic profile of a single dose
of empagliflozin in young people with Type 2 diabetes to identify the appropriate
doses for further paediatric development. METHODS: We conducted a single-dose,
open-label, randomized, parallel-group study with empagliflozin 5 mg, 10 mg and
25 mg in young people with Type 2 diabetes aged 10-17 years. RESULTS: Of 39
participants screened, 27 were randomized and completed the study; their mean (+/
sd) age was 14.1+/-2.0 years and body weight was 96.7+/-23.5 kg. Compared with
similar studies in adults with Type 2 diabetes, the maximum observed plasma
concentrations were slightly lower with the 10-mg and 25-mg doses, and the area
under the plasma concentration-time curve was slightly lower with the 10-mg but
slightly higher with the 25-mg dose. The adjusted mean increases in urinary
glucose excretion were 53 g/24 h (95% CI 32,74), 73 g/24 h (95% CI 52,94) and 87
g/24 h (95% CI 68,107), and the adjusted mean decreases in fasting plasma glucose
were 0.9 mmol/l (95% CI -1.6,-0.1), 0.9 mmol/l (95% CI -1.7,-0.2) and 1.1 mmol/l
(95% CI -1.8,-0.5) for the 5- 10- and 25-mg doses, respectively. There were no
serious adverse events and one investigator-reported drug-related event
(dehydration). CONCLUSIONS: After a single oral dose of empagliflozin, adults and
young people with Type 2 diabetes had similar exposure-response relationships
after adjusting for significant covariates. These data support testing 10-mg
and/or 25-mg doses of empagliflozin in an upcoming paediatric phase III Type 2
diabetes trial. (ClinicalTrials.gov registration no.: NCT02121483).
PMID- 29655291
TI - Sp1-regulated transcription of RasGRP1 promotes hepatocellular carcinoma (HCC)
proliferation.
AB - BACKGROUND AND AIMS: The role of Ras guanine nucleotide-releasing protein 1
(RasGRP1) in tumourigenesis has been a subject of debate, and its functions and
clinical significance in hepatocellular carcinoma (HCC) remain unknown. Here, we
evaluated the expression of RasGRP1 in HCC and determined how it contributes to
HCC cell proliferation. METHODS: RasGRP1 expression was measured by quantitative
polymerase chain reaction (qPCR) and Western blotting of 24 paired HCC tissues
and para-tumour tissues. RasGRP1 expression was confirmed by immunohistochemical
analysis of a tissue microarray from 1 independent cohort. Overall survival (OS)
and disease-free survival (DFS) were estimated using the Kaplan-Meier method, and
risk factors that contributed to OS or DFS were identified using Cox regression
analysis. The biologic relevance of RasGRP1 was examined by small interfering
RNAs and an exogenous plasmid construct. Chromatin immunoprecipitation assays
were performed to examine the binding of Sp1 to the RasGRP1 promoter. RESULTS:
Increased RasGRP1 expression was associated with tumour size (P = .004), tumour
node-metastasis stage (P = .032), and Barcelona Clinic Liver Cancer stage (P =
.002). RasGRP1 overexpression was an independent prognostic factor in HCC
patients. RasGRP1 downregulation inhibited cell proliferation, whereas RasGRP1
overexpression promoted cell proliferation. Moreover, specificity protein 1 bound
to the RasGRP1 promoter and promoted RasGRP1 transcription. In addition, RasGRP1
overexpression enhanced activation of the c-Raf pathway. CONCLUSIONS: RasGRP1 is
upregulated in HCC and promotes HCC cell proliferation. Thus, RasGRP1 may be a
novel therapeutic target for HCC.
PMID- 29655292
TI - High perinatal mortality rates persist in Kirakira: The sustainable development
goals for health remain out of reach in the provinces of Solomon Islands.
AB - AIM: This study aimed to calculate the perinatal mortality rate in Kirakira
Hospital, a remote provincial hospital in Solomon Islands, over a 3-year period,
from 2014 to 2016. METHODS: A retrospective audit of the labour ward admission
books for the years 2014-2016 was conducted. Patient files of all perinatal
deaths and caesarean sections were accessed and reviewed. Stillbirths and early
neonatal deaths were classified, and results were compared with the national
health statistics of Australia (2014). RESULTS: Between 2014 and 2016, there were
1311 births and 40 perinatal deaths (mortality rate of 31 per 1000). This is
approximately three times the Australian rate of 9.6 deaths per 1000. Of these
deaths, 28 were stillbirths, and 12 were neonatal deaths. Detailed information
was available for 88% (35/40) of the perinatal deaths. Only 15 caesarean sections
(1.1% of deliveries) were performed, compared to a rate of 32.1% of caesarean
sections in Australia (2014). CONCLUSIONS: Kirakira continues to have a very high
perinatal mortality rate that has not changed over the last 6 years. The rate is
double that reported for Solomon Islands in current World Health Organization
data. This discrepancy is likely due to an absence of clinical data outside of
the National Referral Hospital in Honiara. This paper identifies clinical
indicators that could be targeted to help lower the perinatal mortality rate in
this remote and impoverished community.
PMID- 29655293
TI - Classifying paroxysmal movement disorders: more genotypes and phenotypes.
PMID- 29655294
TI - Enantiopure versus Racemic Mixture in Reversible, Two-Step, Single-Crystal-to
Single-Crystal Transformations of Copper(II) Complexes.
AB - The reaction of chiral sodium complexes, 1infinity [Na(S-valmetH)]?H2 O (1-S) and
1infinity [Na(R-valmetH)]?H2 O (1-R), with copper(II) acetate affords chiral one
dimensional coordination polymers with the formulas 1infinity [Cu(S-valmet)(H2
O)]?H2 O (2-S) and 1infinity [Cu(R-valmet)(H2 O)]?H2 O (2-R) (R/S-valmetH2 are
Schiff base proligands resulting from the condensation reactions between o
vanillin and R/S-methionine). The copper ions are connected by the carboxylato
groups belonging to the amino-acid moieties, resulting in infinite chains showing
syn-anti out-of-plane bridging mode. The circular dichroism spectra of 1-S, 1-R,
2-S, and 2-R confirm their enantiomeric nature. Compounds 2-S and 2-R undergo a
two-step single-crystal-to-single-crystal transformation, with the elimination of
the lattice and coordinated water molecules: 1infinity [Cu(S-valmet)(H2 O)]?H2 O
(2-S)->1infinity [Cu(S-valmet)]?H2 O (3-S?H2 O)->1infinity [Cu(S-valmet)] (3-S)
and 1infinity [Cu(R-valmet)(H2 O)]?H2 O (2-R)->1infinity [Cu(R-valmet)]?H2 O (3
R?H2 O)->1infinity [Cu(R-valmet)] (3-R), respectively. During these
transformations, every pair of face-to-face chains present in 2-S (or 2-R) has
been "zipped up" into a chiral double chain through the removal of the aqua
ligands and their replacement by the carboxylato oxygen atoms from the
neighboring chain. Consequently, each carboxylato group now bridges three copper
ions. The conversion of the single chains, 2-S and 2-R, into the double chains, 3
S and 3-R, is accompanied by a change of the strength of the exchange
interactions between the copper ions: weak antiferromagnetic couplings are
observed in compound 2-S (J/kB =-1.23(5) K, H=-2J SigmaSi Si+1 ) and relatively
strong in compound 3-S (J/kB =-76.0(8) K). When the racemic mixture of the
ligands, R,S-valmetH2 , is employed, in the same experimental conditions, a
racemic mixture of mononuclear compounds, [Cu(R,S-valmet)(H2 O)2 ]?H2 O (4-RS),
is obtained. Compound 4-RS also undergoes a SCSC transformation with the
elimination of the lattice and one of the coordinated water molecules, resulting
in a racemic mixture of chiral chains, 1infinity [Cu(R-valmet)(H2 O)]?1infinity
[Cu(S-valmet)(H2 O)] (5-RS). In this compound, the coupling of the copper(II)
ions within the chains is weak and ferromagnetic (J/kB =+0.10(2) K). These
results prove that the chirality of the valmetH2 ligands (optically pure or
racemic mixture) plays a key role in the self-assembly process of the copper(II)
complexes.
PMID- 29655295
TI - Humerus photon starvation streak artefact.
AB - INTRODUCTION: The reduced (attenuated) number of photons passing through the arm
can result in a combination of fine and thick streak artefacts, particularly with
the use of thin CT slices and lower radiation dose parameters. This phenomenon is
known as photon starvation. We noted an abnormal appearance of the humerus when
imaged with certain arm position. This appearance was thought to be artefact due
to photon starvation. METHODS: A human radius bone was imaged in different
positions and the kV and mA were varied in an attempt to reproduce the artefact,
which would support the hypothesis that the artefact may be caused by bone
attenuation. RESULTS: Scanning the bone in a different angle and radiation dose
parameters reproduced the artefactual appearance of the bone. CONCLUSION:
Performing a chest CT scan with arms up can occasionally result in an abnormal
appearance to the humerus due to photon starvation artefact. Familiarity with
this artefact is essential to avoid misinterpretation.
PMID- 29655296
TI - Short-term effects of heat and cold on respiratory drug use. A time-series
epidemiological study in A Coruna, Spain.
AB - The consumption of medication, especially over-the-counter drugs, can reflect
environmental exposure with a lesser degree of severity in terms of morbidity.
The non-linear effects of maximum and minimum apparent temperature on respiratory
drug sales in A Coruna from 2006 to 2010 were examined using a distributed lag
nonlinear model. In particular, low apparent temperatures proved to be associated
with increased sales of respiratory drugs. The strongest consistent risk
estimates were found for minimum apparent temperatures in respiratory drug sales
with an increase of 33.4% (95% CI, 12.5%-58.0%) when the temperature changed from
2.8 degrees C to -1.4 degrees C. These findings may serve to guide the planning
of public health interventions to predict and manage the health effects of
exposure to the thermal environment for lower degrees of morbidity. More
precisely, significant increases in the use of measured over-the-counter
medication could be used to identify and anticipate influenza outbreaks due to a
more sensitive degree of the data source.
PMID- 29655297
TI - Understanding of multigene test results among males undergoing germline testing
for inherited prostate cancer: Implications for genetic counseling.
AB - BACKGROUND: Genetic testing (GT) for prostate cancer (PCA) is rising, with
limited insights regarding genetic counseling (GC) needs of males. Genetic
Evaluation of Men (GEM) is a prospective multigene testing study for inherited
PCA. Men undergoing GC were surveyed on knowledge of cancer risk and genetics
(CRG) and understanding of personal GT results to identify GC needs. METHODS: GEM
participants with or high-risk for PCA were recruited. Pre-test GC was in-person,
with video and handout, or via telehealth. Post-test disclosure was in-person, by
phone, or via telehealth. Clinical and family history data were obtained from
participant surveys and medical records. Participants completed measures of
knowledge of CRG, literacy, and numeracy pre-test and post-test. Understanding of
personal genetic results was assessed post-test. Factors associated with
knowledge of CRG and understanding of personal genetic results were examined
using multivariable linear regression or McNemar's test. RESULTS: Among 109 men
who completed pre- and post-GT surveys, multivariable analysis revealed family
history meeting hereditary cancer syndrome (HCS) criteria was significantly
predictive of higher baseline knowledge (P = 0.040). Of 101 men who responded
definitively regarding understanding of results, 13 incorrectly reported their
result (McNemar's P < 0.001). Factors significantly associated with discordance
between reported and actual results included having a variant of uncertain
significance (VUS) (P < 0.001) and undergoing GC via pre-test video and post-test
phone disclosure (P = 0.015). CONCLUSIONS: While meeting criteria for HCS was
associated with higher knowledge of CRG, understanding of personal GT results was
lacking among a subset of males with VUS. A more exploratory finding was lack of
understanding of results among men who underwent GC utilizing video and phone.
Studies optimizing GC strategies for males undergoing multigene testing for
inherited PCA are warranted.
PMID- 29655298
TI - An In Vitro Model of the Blood-Brain Barrier: Naegleria fowleri Affects the Tight
Junction Proteins and Activates the Microvascular Endothelial Cells.
AB - Naegleria fowleri causes a fatal disease known as primary amoebic
meningoencephalitis. This condition is characterized by an acute inflammation
that originates from the free passage of peripheral blood cells to the central
nervous system through the alteration of the blood-brain barrier. In this work,
we established models of the infection in rats and in a primary culture of
endothelial cells from rat brains with the aim of evaluating the activation and
the alterations of these cells by N. fowleri. We proved that the rat develops the
infection similar to the mouse model. We also found that amoebic cysteine
proteases produced by the trophozoites and the conditioned medium induced
cytopathic effect in the endothelial cells. In addition, N. fowleri can decrease
the transendothelial electrical resistance by triggering the destabilization of
the tight junction proteins claudin-5, occludin, and ZO-1 in a time-dependent
manner. Furthermore, N. fowleri induced the expression of VCAM-1 and ICAM-1 and
the production of IL-8, IL-1beta, TNF-alpha, and IL-6 as well as nitric oxide. We
conclude that N. fowleri damaged the blood-brain barrier model by disrupting the
intercellular junctions and induced the presence of inflammatory mediators by
allowing the access of inflammatory cells to the olfactory bulbs.
PMID- 29655299
TI - Abnormal small bowel motility in patients with hereditary transthyretin
amyloidosis.
AB - BACKGROUND: Gastrointestinal complications are common in hereditary transthyretin
amyloid (ATTRm) amyloidosis. The underlying mechanisms have not been fully
elucidated, and the patients' small bowel function remains largely unexplored.
The aim of the present study was to compare the small bowel motility in ATTRm
amyloidosis patients with that in non-amyloidosis patient controls. METHODS:
ATTRm amyloidosis patients undergoing evaluation for liver transplantation were
consecutively investigated with 24-hour duodenojejunal manometry (n = 19). The
somatostatin analogue octreotide was used to induce fasting motility. Patients
with age at onset of >=50 years were defined as late-onset cases. For each
patient, three age- and sex-matched patient controls (n = 57) were selected from
the total pool of investigated patients. KEY RESULTS: Manometry was judged as
abnormal in 58% of the patients and in 26% of the patient controls (P = .01).
Patients displayed significantly more daytime phase III migrating motor complexes
than patient controls (median 4 vs 2, P < .01), and had a higher frequency of low
amplitude complexes (16% vs 4%; however, this difference did not reach
statistical significance, P = .10). Furthermore, late-onset patients showed a
delay in octreotide response (5.4 vs 3.8 minutes, P < .01), but this was not
observed for early-onset patients or within the control group. CONCLUSIONS AND
INFERENCES: Patients with ATTRm amyloidosis displayed abnormalities in their
small bowel motility more frequently than non-amyloidosis patient controls, and
the manometric pattern was probably best consistent with a combined
neuromyopathic disorder. The delayed octreotide response in late-onset patients
warrants further investigation.
PMID- 29655300
TI - April 2018 at a glance: focus on prognostic variables.
PMID- 29655301
TI - Analysis of Human Nuclear Protein Complexes by Quantitative Mass Spectrometry
Profiling.
AB - Analysis of protein complexes provides insights into how the ensemble of
expressed proteome is organized into functional units. While there have been
advances in techniques for proteome-wide profiling of cytoplasmic protein
complexes, information about human nuclear protein complexes are very limited. To
close this gap, we combined native size exclusion chromatography (SEC) with label
free quantitative MS profiling to characterize hundreds of nuclear protein
complexes isolated from human glioblastoma multiforme T98G cells. We identified
1794 proteins that overlapped between two biological replicates of which 1244
proteins were characterized as existing within stably associated putative
complexes. co-IP experiments confirmed the interaction of PARP1 with Ku70/Ku80
proteins and HDAC1 (histone deacetylase complex 1) and CHD4. HDAC1/2 also co
migrated with various SIN3A and nucleosome remodeling and deacetylase components
in SEC fractionation including SIN3A, SAP30, RBBP4, RBBP7, and NCOR1. Co-elution
of HDAC1/2/3 with both the KDM1A and RCOR1 further confirmed that these proteins
are integral components of human deacetylase complexes. Our approach also
demonstrated the ability to identify potential moonlighting complexes and novel
complexes containing uncharacterized proteins. Overall, the results demonstrated
the utility of SEC fractionation and LC-MS analysis for system-wide profiling of
proteins to predict the existence of distinct forms of nuclear protein complexes.
PMID- 29655302
TI - Optically Matched Semiconductor Quantum Dots Improve Photophosphorylation
Performed by Chloroplasts.
AB - A natural-artificial hybrid system was constructed to enhance
photophosphorylation. The system comprises chloroplasts modified with optically
matched quantum dots (chloroplast-QD) with a large Stokes shift. The QDs possess
a unique optical property and transform ultraviolet light into available and
highly effective red light for use by chloroplasts. This favorable feature
enables photosystem II contained within the hybrid system to split more water and
produce more protons than chloroplasts would otherwise do on their own.
Consequently, a larger proton gradient is generated and photophosphorylation is
improved. At optimal efficiency activity increased by up to 2.3 times compared to
pristine chloroplasts. Importantly, the degree of overlap between emission of the
QDs and absorption of chloroplasts exerts a strong influence on the
photophosphorylation efficiency. The chloroplast-QD hybrid presents an efficient
solar energy conversion route, which involves a rational combination of a natural
system and an artificial light-harvesting nanomaterial.
PMID- 29655303
TI - Reductive Elimination Leading to C-C Bond Formation in Gold(III) Complexes: A
Mechanistic and Computational Study.
AB - The factors affecting the rates of reductive C-C cross-coupling reactions in
gold(III) aryls were studied by using complexes that allow easy access to a
series of electronically modified aryl ligands, as well as to gold methyl and
vinyl complexes, by using the pincer compounds [(C^N^C)AuR] (R=C6 F5 , CH=CMe2 ,
Me and p-C6 H4 X, where X=OMe, F, H, tBu, Cl, CF3 , or NO2 ) as starting
materials (C^N^C=2,6-(4'-tBuC6 H3 )2 pyridine dianion). Protodeauration followed
by addition of one equivalent SMe2 leads to the quantitative generation of the
thioether complexes [(C^N-CH)AuR(SMe2 )]+ . Upon addition of a second SMe2
pyridine is displaced, which triggers the reductive aryl-R elimination. The rates
for these cross-couplings increase in the sequence k(vinyl)>k(aryl)?k(C6 F5
)>k(Me). Vinyl-aryl coupling is particularly fast, 1.15*10-3 L mol-1 s-1 at 221
K, whereas both C6 F5 and Me couplings encountered higher barriers for the C-C
bond forming step. The use of P(p-tol)3 in place of SMe2 greatly accelerates the
C-C couplings. Computational modelling shows that in the C^N-bonded compounds
displacement of N by a donor L is required before the aryl ligands can adopt a
conformation suitable for C-C bond formation, so that elimination takes place
from a four-coordinate intermediate. The C-C bond formation is the rate-limiting
step. In the non-chelating case, reductive C(sp2 )-C(sp2 ) elimination from three
coordinate ions [(Ar1 )(Ar2 )AuL]+ is almost barrier-free, particularly if
L=phosphine.
PMID- 29655304
TI - Sb-Doped SnO2 Nanorods Underlayer Effect to the alpha-Fe2 O3 Nanorods Sheathed
with TiO2 for Enhanced Photoelectrochemical Water Splitting.
AB - Here, a Sb-doped SnO2 (ATO) nanorod underneath an alpha-Fe2 O3 nanorod sheathed
with TiO2 for photoelectrochemical (PEC) water splitting is reported. The
experimental results, corroborated with theoretical analysis, demonstrate that
the ATO nanorod underlayer effect on the alpha-Fe2 O3 nanorod sheathed with TiO2
enhances the PEC water splitting performance. The growth of the well-defined ATO
nanorods is reported as a conductive underlayer to improve alpha-Fe2 O3 PEC water
oxidation performance. The alpha-Fe2 O3 nanorods grown on the ATO nanorods
exhibit improved performance for PEC water oxidation compared to alpha-Fe2 O3
grown on flat fluorine-doped tin oxide glass. Furthermore, a simple and facile
TiCl4 chemical treatment further introduces TiO2 passivation layer formation on
the alpha-Fe2 O3 to reduce surface recombination. As a result, these unique
nanostructures show dramatically improved photocurrent density (139% higher than
that of the pure hematite nanorods).
PMID- 29655306
TI - Clay Nanoparticles Elicit Long-Term Immune Responses by Forming Biodegradable
Depots for Sustained Antigen Stimulation.
AB - Nanomaterials have been widely tested as new generation vaccine adjuvants, but
few evoke efficient immunoreactions. Clay nanoparticles, for example, layered
double hydroxide (LDH) and hectorite (HEC) nanoparticles, have shown their potent
adjuvanticity in generating effective and durable immune responses. However, the
mechanism by which clay nanoadjuvants stimulate the immune system is not well
understood. Here, it is demonstrated that LDH and HEC-antigen complexes form
loose agglomerates in culture medium/serum. They also form nodules with loose
structures in tissue after subcutaneous injection, where they act as a depot for
up to 35 d. More importantly, clay nanoparticles actively and continuously
recruit immune cells into the depot for up to one month, and stimulate stronger
immune responses than FDA-approved adjuvants, Alum and QuilA. Sustained antigen
release is also observed in clay nanoparticle depots, with 50-60% antigen
released after 35 d. In contrast, Alum-antigen complexes show minimal antigen
release from the depot. Importantly, LDH and HEC are more effective than QuilA
and Alum in promoting memory T-cell proliferation. These findings suggest that
both clay nanoadjuvants can serve as active vaccine platforms for sustained and
potent immune responses.
PMID- 29655307
TI - The importance of melanoma inhibitory activity gene family in the tumor
progression of oral cancer.
AB - Oral squamous cell carcinoma has a high potential for locoregional invasion and
nodal metastasis. Consequently, early detection of such malignancies is of
immense importance. The melanoma inhibitory activity (MIA) gene family comprises
MIA, MIA2, transport and Golgi organization protein 1 (TANGO), and otoraplin
(OTOR). These members of the MIA gene family have a highly conserved Src homology
3 (SH3)-like structure. Although the molecules of this family share 34-45% amino
acid homology and 47-59% cDNA sequence homology, those members, excluding OTOR,
play different tumor-associated functions. MIA has a pivotal role in the
progression and metastasis of melanoma; MIA2 and TANGO have been suggested to
possess tumor-suppressive functions; and OTOR is uniquely expressed in cochlea of
the inner ear. Therefore, the definite functions of the MIA gene family in cancer
cells remain unclear. Since the members of the MIA gene family are secreted
proteins, these molecules might be useful tumor markers that can be detected in
the body fluids, including serum and saliva. In this review, we described the
molecular biological functions of the MIA gene family in oral cancer.
PMID- 29655308
TI - Sleep as therapy in neurodevelopmental disorders.
PMID- 29655309
TI - Together We are Stronger: challenges of post-Soviet healthcare.
PMID- 29655310
TI - The Effect of Zn(II) Ions and Reactive Oxygen on the Uptake of Zinc and
Production of Carotenoids by Selected Red Yeasts.
AB - Three strains of red yeast Rhodosporidium kratochvilovae, Rhodotorula glutinis
and Sporidiobolus salmonicolor were studied for their responses to the presence
metal stress, oxidative stress and a combination of these stress factors. For all
yeast strains, the production of beta-carotene increased in stress conditions.
The combination of H2 O2 and Zn2+ significantly activated the pathways for the
production of torularhodin in the strain R. glutinis (from 250 to 470 MUg g-1
DCW) as well as beta-carotene (from 360 to 1100 MUg g-1 DCW) and torulene (from
100 to 360 MUg g-1 DCW) in Sp. salmonicolor. Strains of R. glutinis and Rh.
kratochvilovae bound the majority of Zn(II) ions to the fibrillar part of the
cell walls, whereas the strain Sp. salmonicolor bound them to both extracellular
polymers and the fibrillar part of the cell walls. A decrease in the ability of
yeasts to tolerate higher concentrations of Zn(II) in the presence of free
radicals (hydrogen peroxide) was also found.
PMID- 29655311
TI - Fosphenytoin for control of electrical storm in acute myocardial infarction and
Purkinje fiber-mediated arrhythmias.
AB - BACKGROUND: Purkinje fiber-mediated arrhythmias in the setting of acute
myocardial infarction are poorly responsive to conventional antiarrhythmic
therapy, increases overall mortality and often requires radiofrequency ablation
(RFA) for control. In this study, we report the use of intravenous Fosphenytoin
for the control of arrhythmic storm in patients with acute myocardial infarction.
METHODS AND RESULTS: Six patients with acute myocardial infarction (5 AW/1 LW)
and Purkinje-triggered ventricular arrhythmias refractory to conventional
antiarrhythmics were treated with intravenous Fosphenytoin before considering
RFA. Arrhythmia control was obtained in all patients after the initial bolus
dose. Breakthrough episodes were seen in 5/6 within 24-36 hours of the initial
bolus, necessitating a second bolus. Complete arrhythmia control was obtained in
all patients within 72 hours and 5/6 patients were successfully discharged from
the hospital. One patient succumbed to sepsis in hospital while another patient
succumbed to Sub Dural Hematoma after 3 months. CONCLUSIONS: Intravenous
Fosphenytoin should be considered before RFA for control of Purkinje fiber
mediated refractory arrhythmias in acute myocardial infarction patients.
PMID- 29655312
TI - Porous Nanocomposite Comprising Ultralong Hydroxyapatite Nanowires Decorated with
Zinc-Containing Nanoparticles and Chitosan: Synthesis and Application in Bone
Defect Repair.
AB - Hydroxyapatite nanowires exhibit a great potential in biomedical applications
owing to their high specific surface area, high flexibility, excellent mechanical
properties, and similarity to mineralized collagen fibrils of natural bone. In
this work, zinc-containing nanoparticle-decorated ultralong hydroxyapatite
nanowires (Zn-UHANWs) with a hierarchical nanostructure have been synthesized by
a one-step solvothermal method. The highly flexible Zn-UHANWs exhibit a
hierarchical rough surface and enhanced specific surface area as compared with
ultralong hydroxyapatite nanowires (UHANWs). To evaluate the potential
application of Zn-UHANWs in bone regeneration, the biomimetic Zn-UHANWs/chitosan
(CS) (Zn-UHANWs/CS) composite porous scaffold with 80 wt % Zn-UHANWs was prepared
by incorporating Zn-UHANWs into the chitosan matrix by the freeze-drying process.
The as-prepared Zn-UHANWs/CS composite porous scaffold exhibits enhanced
mechanical properties, highly porous structure, and excellent water retention
capacity. In addition, the Zn-UHANWs/CS porous scaffold has a good
biodegradability with the sustainable release of Zn, Ca, and P elements in
aqueous solution. More importantly, the Zn-UHANWs/CS porous scaffold can promote
the osteogenic differentiation of rat bone marrow derived mesenchymal stem cells
and facilitate in vivo bone regeneration as compared with the pure CS porous
scaffold or UHANWs/CS porous scaffold. Thus, both the Zn-UHANWs and Zn-UHANWs/CS
porous scaffold developed in this work are promising for application in bone
defect repair.
PMID- 29655313
TI - Mitochondrial Genome of Vannella croatica (Amoebozoa, Discosea, Vannellida).
AB - Mitochondrial genome sequence of Vannella croatica (Amoebozoa, Discosea,
Vannellida) was obtained using pulse-field gel electrophoretic isolation of the
circular mitochondrial DNA, followed by the next-generation sequencing. The
mitochondrial DNA of this species has the length of 28,933 bp and contains 12
protein-coding genes, two ribosomal RNAs, and 16 transfer RNAs. Vannella croatica
mitochondrial genome is relatively short compared to other known amoebozoan
mitochondrial genomes but is rather gene-rich and contains significant number of
open reading frames.
PMID- 29655314
TI - Pregnancy outcomes following single and repeat liver transplantation: An
international 2-center cohort.
AB - Due to advances in obstetric and transplant medicine, women with a history of
liver transplantation can have successful pregnancies. However, data on pregnancy
outcomes is still limited, especially for women who have had a repeat liver
transplant following graft rejection. This retrospective study compares pregnancy
outcomes in women with single and repeat liver transplants managed at 2 tertiary
hospitals in Toronto, Canada and Leuven, Belgium. We identified 41 pregnancies in
28 transplanted women, 6 of whom conceived following a second liver transplant
after the first was rejected. Mean maternal age at delivery was 30 +/- 7 years,
and transplant-to-pregnancy interval was 8.5 +/- 5.1 years. All women had normal
liver function upon conception. Immunosuppressants included tacrolimus +/-
azathioprine (n = 26), cyclosporine (n = 4), and prednisone with
immunosuppressants (n = 11). There were no maternal deaths. Maternal
complications included hypertensive disorders of pregnancy (n = 10),
deterioration in renal function (n = 6), gestational diabetes (n = 4), graft
deterioration (n = 2), and anemia requiring blood transfusion (n = 1).
Fetal/neonatal adverse outcomes included 2 miscarriages, 3 stillbirths, 1
neonatal death, 5 small-for-gestational-age infants, and 1 minor congenital
anomaly. Mean gestational age at delivery was 36.7 +/- 4.2 weeks. There were 14
(38.9%) preterm births. Outcomes in women with a second transplant were similar
to those with a single transplant, except for a higher incidence of hypertensive
disorders. In conclusion, with appropriate multidisciplinary care, stable graft
function at pregnancy onset, and adherence to immunosuppressive regimens, women
with single and repeat liver transplants have low rates of graft complications
but remain at increased risk for pregnancy complications. Immunosuppressants and
high-dose glucocorticoids can be safely used for maintenance of graft function
and management of graft deterioration in pregnancy. Liver Transplantation 24 769
778 2018 AASLD.
PMID- 29655316
TI - Anomalous surface behavior of hydrated guanidinium ions due to ion pairing.
AB - Surface affinity of aqueous guanidinium chloride (GdmCl) is compared to that of
aqueous tetrapropylammonium chloride (TPACl) upon addition of sodium chloride
(NaCl) or disodium sulfate (Na2SO4). The experimental results have been acquired
using the surface sensitive technique X-ray photoelectron spectroscopy on a
liquid jet. Molecular dynamics simulations have been used to produce radial
distribution functions and surface density plots. The surface affinities of both
TPA+ and Gdm+ increase upon adding NaCl to the solution. With the addition of
Na2SO4, the surface affinity of TPA+ increases, while that of Gdm+ decreases.
From the results of MD simulations it is seen that Gdm+ and SO42- ions form
pairs. This finding can be used to explain the decreased surface affinity of Gdm+
when co-dissolved with SO42- ions. Since SO42- ions avoid the surface due to the
double charge and strong water interaction, the Gdm+-SO42- ion pair resides
deeper in the solutions' bulk than the Gdm+ ions. Since TPA+ does not form ion
pairs with SO42-, the TPA+ ions are instead enriched at the surface.
PMID- 29655317
TI - The control of electron quantum trajectories on the high-order harmonic
generation of CO and N2 molecules in the presence of a low frequency field.
AB - In the present work, an efficient method is theoretically investigated for
extending high-order harmonics and ultrashort attosecond pulse generation in N2
and CO molecules by using the time-dependent density functional theory approach.
Our results show that by utilizing chirped laser field in the presence of a low
frequency field, not only is the harmonic cutoff extended remarkably but also the
single short quantum trajectory is selected to contribute to the harmonic
spectra. When a low frequency field is added to the two-color chirped laser
field, the long quantum trajectories are suppressed and only the short quantum
trajectories contribute to the higher harmonic emission mechanism. As a result,
the spectral modulation is significantly decreased and an intense ultrashort
pulse can be generated from the supercontinuum region of high harmonics. With
such a scheme, the isolated ultrashort attosecond pulses can be generated in
length, velocity, and acceleration gauges. Furthermore, these results are
explained by using the classical and quantum time-frequency analyses.
PMID- 29655318
TI - Simulations of submonolayer Xe on Pt(111): The case for a chaotic low temperature
phase.
AB - Molecular dynamics simulations are reported for the structural and thermodynamic
properties of submonolayer xenon adsorbed on the (111) surface of platinum for
temperatures up to the (apparently incipient) triple point and beyond. While the
motion of the atoms in the surface plane is treated with a standard two
dimensional molecular dynamics simulation, the model takes into consideration the
thermal excitation of quantum states associated with surface-normal dynamics in
an attempt to describe the apparent smoothing of the corrugation with increasing
temperature. We examine the importance of this thermal smoothing to the relative
stability of several observed and proposed low-temperature structures. Structure
factor calculations are compared to experimental results in an attempt to
determine the low temperature structure of this system. These calculations
provide strong evidence that, at very low temperatures, the domain wall structure
of a xenon monolayer adsorbed on a Pt(111) substrate possesses a chaotic-like
nature, exhibiting long-lived meta-stable states with pinned domain walls, these
walls having narrow widths and irregular shapes. This result is contrary to the
standard wisdom regarding this system, namely, that the very low temperature
phase of this system is a striped incommensurate phase. We present the case for
further experimental investigation of this and similar systems as possible
examples of chaotic low temperature phases in two dimensions.
PMID- 29655315
TI - A 360 degrees view of circular RNAs: From biogenesis to functions.
AB - The first circular RNA (circRNA) was identified more than 40 years ago, but it
was only recently appreciated that circRNAs are common outputs of many eukaryotic
protein-coding genes. Some circRNAs accumulate to higher levels than their
associated linear mRNAs, especially in the nervous system, and have clear
regulatory functions that result in organismal phenotypes. The pre-mRNA splicing
machinery generates circRNAs via backsplicing reactions, which are often
facilitated by intronic repeat sequences that base pair to one another and bring
the intervening splice sites into close proximity. When spliceosomal components
are limiting, circRNAs can become the preferred gene output, and backsplicing
reactions are further controlled by exon skipping events and the combinatorial
action of RNA binding proteins. This allows circRNAs to be expressed in a tissue-
and stage-specific manner. Once generated, circRNAs are highly stable transcripts
that often accumulate in the cytoplasm. The functions of most circRNAs remain
unknown, but some can regulate the activities of microRNAs or be translated to
produce proteins. Circular RNAs can further interface with the immune system as
well as control gene expression events in the nucleus, including alternative
splicing decisions. Circular RNAs thus represent a large class of RNA molecules
that are tightly regulated, and it is becoming increasingly clear that they
likely impact many biological processes. This article is categorized under: RNA
Processing > Splicing Mechanisms RNA Structure and Dynamics > Influence of RNA
Structure in Biological Systems RNA Evolution and Genomics > RNA and
Ribonucleoprotein Evolution RNA Evolution and Genomics > Computational Analyses
of RNA.
PMID- 29655320
TI - Empirical potential for molecular simulation of graphene nanoplatelets.
AB - A new empirical potential for layered graphitic materials is reported.
Interatomic interactions within a single graphene sheet are modeled using a
Stillinger-Weber potential. Interatomic interactions between atoms in different
sheets of graphene in the nanoplatelet are modeled using a Lennard-Jones
interaction potential. The potential is validated by comparing molecular dynamics
simulations of tensile deformation with the reported elastic constants for
graphite. The graphite is found to fracture into graphene nanoplatelets when
subjected to ~15% tensile strain normal to the basal surface of the graphene
stack, with an ultimate stress of 2.0 GPa and toughness of 0.33 GPa. This force
field is useful to model molecular interactions in an important class of
composite systems comprising 2D materials like graphene and multi-layer graphene
nanoplatelets.
PMID- 29655319
TI - Molecular dynamics simulations of site point mutations in the TPR domain of
cyclophilin 40 identify conformational states with distinct dynamic and enzymatic
properties.
AB - Cyclophilin 40 (Cyp40) is a member of the immunophilin family that acts as a
peptidyl-prolyl-isomerase enzyme and binds to the heat shock protein 90 (Hsp90).
Its structure comprises an N-terminal cyclophilin domain and a C-terminal
tetratricopeptide (TPR) domain. Cyp40 is overexpressed in prostate cancer and
certain T-cell lymphomas. The groove for Hsp90 binding on the TPR domain includes
residues Lys227 and Lys308, referred to as the carboxylate clamp, and is
essential for Cyp40-Hsp90 binding. In this study, the effect of two mutations,
K227A and K308A, and their combinative mutant was investigated by performing a
total of 5.76 MUs of all-atom molecular dynamics (MD) simulations in explicit
solvent. All simulations, except the K308A mutant, were found to adopt two
distinct (extended or compact) conformers defined by different cyclophilin-TPR
interdomain distances. The K308A mutant was only observed in the extended form
which is observed in the Cyp40 X-ray structure. The wild-type, K227A, and
combined mutant also showed bimodal distributions. The experimental melting
temperature, Tm, values of the mutants correlate with the degree of compactness
with the K308A extended mutant having a marginally lower melting temperature.
Another novel measure of compactness determined from the MD data, the
"coordination shell volume," also shows a direct correlation with Tm. In
addition, the MD simulations show an allosteric effect with the mutations in the
remote TPR domain having a pronounced effect on the molecular motions of the
enzymatic cyclophilin domain which helps rationalise the experimentally observed
increase in enzyme activity measured for all three mutations.
PMID- 29655321
TI - "Trampoline" ejection of organic molecules from graphene and graphite via keV
cluster ions impacts.
AB - We present the data on ejection of molecules and emission of molecular ions
caused by single impacts of 50 keV C602+ on a molecular layer of deuterated
phenylalanine (D8Phe) deposited on free standing, 2-layer graphene. The
projectile impacts on the graphene side stimulate the abundant ejection of intact
molecules and the emission of molecular ions in the transmission direction. To
gain insight into the mechanism of ejection, Molecular Dynamic simulations were
performed. It was found that the projectile penetrates the thin layer of
graphene, partially depositing the projectile's kinetic energy, and molecules are
ejected from the hot area around the hole that is made by the projectile. The
yield, Y, of negative ions of deprotonated phenylalanine, (D8Phe-H)-, emitted in
the transmission direction is 0.1 ions per projectile impact. To characterize the
ejection and ionization of molecules, we have performed the experiments on
emission of (D8Phe-H)- from the surface of bulk D8Phe (Y = 0.13) and from the
single molecular layer of D8Phe deposited on bulk pyrolytic graphite (Y = 0.15).
We show that, despite the similar yields of molecular ions, the scenario of the
energy deposition and ejection of molecules is different for the case of graphene
due to the confined volume of projectile-analyte interaction. The projectile
impact on the graphene-D8Phe sample stimulates the collective radial movement of
analyte atoms, which compresses the D8Phe layer radially from the hole. At the
same time, this compression bends and stretches the graphene membrane around the
hole thus accumulating potential energy. The accumulated potential energy is
transformed into the kinetic energy of correlated movement upward for membrane
atoms, thus the membrane acts as a trampoline for the molecules. The ejected
molecules are effectively ionized; the ionization probability is ~30* higher
compared to that obtained for the bulk D8Phe target. The proposed mechanism of
ionization involves tunneling of electrons from the vibrationally excited area
around the hole to the molecules. Another proposed mechanism is a direct proton
transfer exchange, which is suitable for a bulk target: ions of molecular
fragments (i.e., CN-) generated in the impact area interact with intact molecules
from the rim of this area. There is a direct proton exchange process for the
system D8Phe molecule + CN-.
PMID- 29655322
TI - Communication: Photoinduced carbon dioxide binding with surface-functionalized
silicon quantum dots.
AB - Nowadays, the search for efficient methods able to reduce the high atmospheric
carbon dioxide concentration has turned into a very dynamic research area.
Several environmental problems have been closely associated with the high
atmospheric level of this greenhouse gas. Here, a novel system based on the use
of surface-functionalized silicon quantum dots (sf-SiQDs) is theoretically
proposed as a versatile device to bind carbon dioxide. Within this approach,
carbon dioxide trapping is modulated by a photoinduced charge redistribution
between the capping molecule and the silicon quantum dots (SiQDs). The chemical
and electronic properties of the proposed SiQDs have been studied with a Density
Functional Theory and Density Functional Tight-Binding (DFTB) approach along with
a time-dependent model based on the DFTB framework. To the best of our knowledge,
this is the first report that proposes and explores the potential application of
a versatile and friendly device based on the use of sf-SiQDs for photochemically
activated carbon dioxide fixation.
PMID- 29655323
TI - Helium-induced electronic transitions in photo-excited Ba+-Hen exciplexes.
AB - The possibility for helium-induced electronic transitions in a photo-excited atom
is investigated using Ba+ excited to the 6p 2P state as a prototypical example. A
diabatization scheme has been designed to obtain the necessary potential energy
surfaces and couplings for complexes of Ba+ with an arbitrary number of helium
atoms. It involves computing new He-Ba+ electronic wave functions and expanding
them in determinants of the non-interacting complex. The 6p 2P <- 6s 2S
photodissociation spectrum of He?Ba+ calculated with this model shows very weak
coupling for a single He atom. However, several electronic relaxation mechanisms
are identified, which could potentially explain the expulsion of barium ions from
helium nanodroplets observed experimentally upon Ba+ photoexcitation. For
instance, an avoided crossing in the ring-shaped He7Ba+ structure is shown to
provide an efficient pathway for fine structure relaxation. Symmetry breaking by
either helium density fluctuations or vibrations can also induce efficient
relaxation in these systems, e.g., bending vibrations in the linear He2Ba+
excimer. The identified relaxation mechanisms can provide insight into helium
induced non-adiabatic transitions observed in other systems.
PMID- 29655324
TI - Instability and thermal conductivity of pressure-densified and elastically
altered orientational glass of Buckminsterfullerene.
AB - We report on the temperature, pressure, and time (T, p, and t)-dependent features
of thermal conductivity, kappa, of partially ordered, non-equilibrium state of
C60-OG, the orientational glass of Buckminsterfullerene (at T below the
orientational freezing temperature Tog) made more unstable (i) by partially
depressurizing its high-p formed state to elastically expand it and (ii) by
further pressurizing that state to elastically contract it. The sub-Tog effects
observed on heating of C60-OG differ from those of glasses because phonon
propagation depends on the ratio of two well-defined orientational states of C60
molecules and the density of the solid. A broad peak-like feature appears at T
near Tog in the kappa-T plots of C60-OG formed at 0.7 GPa, depressurized to 0.2
GPa and heated at 0.2 GPa, which we attribute to partial overlap of the sub-Tog
and Tog features. A sub-Tog local minimum appears in the kappa-T plots at T well
below Tog of C60-OG formed at 0.1 GPa, pressurized to 0.5 GPa and heated at 0.5
GPa and it corresponds to the state of maximum disorder. Although
Buckminsterfullerene is regarded as an orientationally disordered crystal,
variation of its properties with T and p is qualitatively different from other
such crystals. We discuss the findings in terms of the nature of its disorder,
sensitivity of its rotational dynamics to temperature, and the absence of the
Johari-Goldstein relaxation. All seem to affect the phenomenology of its glass
like transition.
PMID- 29655325
TI - An atomic mean-field spin-orbit approach within exact two-component theory for a
non-perturbative treatment of spin-orbit coupling.
AB - An atomic mean-field (AMF) spin-orbit (SO) approach within exact two-component
theory (X2C) is reported, thereby exploiting the exact decoupling scheme of X2C,
the one-electron approximation for the scalar-relativistic contributions, the
mean-field approximation for the treatment of the two-electron SO contribution,
and the local nature of the SO interactions. The Hamiltonian of the proposed
SOX2CAMF scheme comprises the one-electron X2C Hamiltonian, the instantaneous two
electron Coulomb interaction, and an AMF SO term derived from spherically
averaged Dirac-Coulomb Hartree-Fock calculations of atoms; no molecular
relativistic two-electron integrals are required. Benchmark calculations for bond
lengths, harmonic frequencies, dipole moments, and electric-field gradients for a
set of diatomic molecules containing elements across the periodic table show that
the SOX2CAMF scheme offers a balanced treatment for SO and scalar-relativistic
effects and appears to be a promising candidate for applications to heavy-element
containing systems. SOX2CAMF coupled-cluster calculations of molecular properties
for bismuth compounds (BiN, BiP, BiF, BiCl, and BiI) are also presented and
compared with experimental results to further demonstrate the accuracy and
applicability of the SOX2CAMF scheme.
PMID- 29655326
TI - Note: Melting criterion for soft particle systems in two dimensions.
PMID- 29655327
TI - A comparative computational study of coarse-grained and all-atom water models in
shock Hugoniot states.
AB - We performed molecular dynamics simulations to study how well some of the water
models used in simulations describe shocked states. Water in our simulations was
described using three different models. One was an often-used all-atom TIP4P/2005
model, while the other two were coarse-grained models used with the MARTINI force
field: non-polarizable and polarizable MARTINI water. The all-atom model provided
results in good agreement with Hugoniot curves (for data on pressure versus
specific volume or, equivalently, on shock wave velocity versus "piston"
velocity) describing shocked states in the whole range of pressures (up to 11
GPa) under study. If simulations of shocked states of water using coarse-grained
models were performed for short time periods, we observed that data obtained for
shocked states at low pressure were fairly accurate compared to experimental
Hugoniot curves. Polarizable MARTINI water still provided a good description of
Hugoniot curves for pressures up to 11 GPa, while the results for the non
polarizable MARTINI water substantially deviated from the Hugoniot curves. We
also calculated the temperature of the Hugoniot states and observed that for
TIP4P/2005 water, they were consistent with those from theoretical calculations,
while both coarse-grained models predicted much higher temperatures. These high
temperatures for MARTINI water can be explained by the loss of degrees of freedom
due to coarse-graining procedure.
PMID- 29655328
TI - Communication: A coil-stretch transition in planar elongational flow of an
entangled polymeric melt.
AB - Virtual experimentation of atomistic entangled polyethylene melts undergoing
planar elongational flow revealed an amazingly detailed depiction of individual
macromolecular dynamics and the resulting effect on bistable configurational
states. A clear coil-stretch transition was evident, in much the same form as
first envisioned by de Gennes for dilute solutions of high polymers, resulting in
an associated hysteresis in the configurational flow profile over the range of
strain rates predicted by theory. Simulations conducted at steady state revealed
bimodal distribution functions, in which equilibrium configurational states were
simultaneously populated by relatively coiled and stretched molecules which could
transition from one conformational mode to the other over a relatively long time
scale at critical values of strain rates. The implication of such behavior points
to a double-well conformational free energy potential with an activation barrier
between the two configurational minima.
PMID- 29655329
TI - Microsolvation of phthalocyanine molecules in superfluid helium nanodroplets as
revealed by the optical line shape at electronic origin.
AB - We investigate the solvent shift of phthalocyanine (Pc) doped into superfluid
helium droplets and probed by optical spectroscopy at the electronic origin. Our
present work complements extant studies and provides results that in part
contradict previous conclusions. In particular, the solvent shift does not
increase monotonously with droplet radius all the way up to the bulk limit, but
exhibits just the reverse dependence instead. Moreover, a substructure is
resolved, whose characteristics depend on the droplet size. This behavior can
hardly be reconciled with that of a freely rotating Pc-helium complex.
PMID- 29655330
TI - Investigations of the valence-shell excitations of molecular ethane by high
energy electron scattering.
AB - The differential cross sections and generalized oscillator strengths for the low
lying excitations of the valence-shell 1eg orbital electron in ethane have been
measured for the first time at a high incident electron energy of 1500 eV and a
scattering angular range of 1.5 degrees -10 degrees . A weak feature, termed X
here, with a band center of about 7.5 eV has been observed, which was also
announced by the previous experimental and theoretical studies. The dynamic
behaviors of the generalized oscillator strengths for the 3s (8.7 eV), 3s+3p
(9.31 eV, 9.41 eV), and X (~7.5 eV) transitions on the momentum transfer squared
have been obtained. The integral cross sections of these transitions from their
thresholds to 5000 eV have been obtained with the aid of the BE-scaling (B is the
binding energy and E is the excitation energy) method. The optical oscillator
strengths of the above transitions determined by extrapolating their generalized
oscillator strengths to the limit of the squared momentum transfer K2 -> 0 are in
good agreement with the ones from the photoabsorption spectrum [J. W. Au et al.,
Chem. Phys. 173, 209 (1993)], which indicates that the present differential cross
sections, generalized oscillator strengths, and integral cross sections can serve
as benchmark data.
PMID- 29655331
TI - Erratum: "Hyperfine interactions and internal rotation in methanol" [J. Chem.
Phys. 145, 244301 (2016)].
PMID- 29655332
TI - Water dissociating on rigid Ni(100): A quantum dynamics study on a full
dimensional potential energy surface.
AB - We constructed a nine-dimensional (9D) potential energy surface (PES) for the
dissociative chemisorption of H2O on a rigid Ni(100) surface using the neural
network method based on roughly 110 000 energies obtained from extensive density
functional theory (DFT) calculations. The resulting PES is accurate and smooth,
based on the small fitting errors and the good agreement between the fitted PES
and the direct DFT calculations. Time dependent wave packet calculations also
showed that the PES is very well converged with respect to the fitting procedure.
The dissociation probabilities of H2O initially in the ground rovibrational state
from 9D quantum dynamics calculations are quite different from the site-specific
results from the seven-dimensional (7D) calculations, indicating the importance
of full-dimensional quantum dynamics to quantitatively characterize this gas
surface reaction. It is found that the validity of the site-averaging
approximation with exact potential holds well, where the site-averaging
dissociation probability over 15 fixed impact sites obtained from 7D quantum
dynamics calculations can accurately approximate the 9D dissociation probability
for H2O in the ground rovibrational state.
PMID- 29655333
TI - Relationship between x-ray emission and absorption spectroscopy and the local H
bond environment in water.
AB - The connection between specific features in the water X-ray absorption spectrum
and X-ray emission spectrum (XES) and the local H-bond coordination is studied
based on structures obtained from path-integral molecular dynamics simulations
using either the opt-PBE-vdW density functional or the MB-pol force field.
Computing the XES spectrum using all molecules in a snapshot results in only one
peak in the lone-pair (1b1) region, while the experiment shows two peaks
separated by 0.8-0.9 eV. Different H-bond configurations were classified based on
the local structure index (LSI) and a geometrical H-bond cone criterion. We find
that tetrahedrally coordinated molecules characterized by high LSI values and two
strong donated and two strong accepted H-bonds contribute to the low energy 1b1
emission peak and to the post-edge region in absorption. Molecules with the
asymmetric H-bond environment with one strong accepted H-bond and one strong
donated H-bond and low LSI values give rise to the high energy 1b1 peak in the
emission spectrum and mainly contribute to the pre-edge and main-edge in the
absorption spectrum. The 1b1 peak splitting can be increased to 0.62 eV by
imposing constraints on the H-bond length, i.e., for very tetrahedral structures
short H-bonds (less than 2.68 A) and for very asymmetric structures elongated H
bonds (longer than 2.8 A). Such structures are present, but underrepresented, in
the simulations which give more of an average of the two extremes.
PMID- 29655334
TI - Low-lying excited states by constrained DFT.
AB - Exploiting the machinery of Constrained Density Functional Theory (CDFT), we
propose a variational method for calculating low-lying excited states of
molecular systems. We dub this method eXcited CDFT (XCDFT). Excited states are
obtained by self-consistently constraining a user-defined population of
electrons, Nc, in the virtual space of a reference set of occupied orbitals. By
imposing this population to be Nc = 1.0, we computed the first excited state of
15 molecules from a test set. Our results show that XCDFT achieves an accuracy in
the predicted excitation energy only slightly worse than linear-response time
dependent DFT (TDDFT), but without incurring into problems of variational
collapse typical of the more commonly adopted DeltaSCF method. In addition, we
selected a few challenging processes to test the limits of applicability of
XCDFT. We find that in contrast to TDDFT, XCDFT is capable of reproducing energy
surfaces featuring conical intersections (azobenzene and H3) with correct
topology and correct overall energetics also away from the intersection.
Venturing to condensed-phase systems, XCDFT reproduces the TDDFT solvatochromic
shift of benzaldehyde when it is embedded by a cluster of water molecules. Thus,
we find XCDFT to be a competitive method among single-reference methods for
computations of excited states in terms of time to solution, rate of convergence,
and accuracy of the result.
PMID- 29655335
TI - Persistent optical hole-burning spectroscopy of nano-confined dye molecules in
liquid at room temperature: Spectral narrowing due to a glassy state and
extraordinary relaxation in a nano-cage.
AB - Persistent optical hole-burning spectroscopy has been conducted for a dye
molecule within a very small (~1 nm) reverse micelle at room temperature. The
spectra show a spectral narrowing due to site-selective excitation. This
definitely demonstrates that the surroundings of the dye molecule are in a glassy
state regardless of a solution at room temperature. On the other hand, the hole
burning spectra exhibit large shifts from excitation frequencies, and their
positions are almost independent of excitation frequencies. The hole-burning
spectra have been theoretically calculated by taking account of a vibronic
absorption band of the dye molecule under the assumption that the surroundings of
the dye molecule are in a glassy state. The calculated results agree with the
experimental ones that were obtained for the dye molecule in a polymer glass for
comparison, where it has been found that the ratio of hole-burning efficiencies
of vibronic- to electronic-band excitations is quite high. On the other hand, the
theoretical results do not explain the large spectral shift from the excitation
frequency and small spectral narrowing observed in the hole-burning spectra
measured for the dye-containing reverse micelle. It is thought that the spectral
shift and broadening occur within the measurement time owing to the relaxation
process of the surroundings that are hot with the thermal energy deposited by the
dye molecule optically excited. Furthermore, the relaxation should be temporary
because the cooling of the inside of the reverse micelle takes place with the
dissipation of the excess thermal energy to the outer oil solvent, and so the
surroundings of the dye molecule return to the glassy state and do not attain the
thermal equilibrium. These results suggest that a very small reverse micelle
provides a unique reaction field in which the diffusional motion can be
controlled by light in a glassy state.
PMID- 29655336
TI - A molecular dynamics investigation of the surface tension of water nanodroplets
and a new technique for local pressure determination through density correlation.
AB - The surface tension of nanoscale droplets of water was studied with molecular
dynamics simulations using the BLYPSP-4F water potential. The internal pressure
of the droplet was measured using an empirical correlation between the pressure
and density, established through a series of bulk simulations performed at
pressures from 1 to 1000 bars. Such a procedure allows for reliable determination
of internal pressure without the need to calculate the local virial. The surface
tension, estimated with the Young-Laplace relation, shows good agreement with the
Tolman equation with a Tolman length of -0.48 A. The interface of a liquid water
droplet is shown to be around 1.1-1.3 nm thick depending on radii. The fairly
thick interface region puts a lower limit on the size of droplets that still have
a bulk-like interior.
PMID- 29655337
TI - Five- and six-electron harmonium atoms: Highly accurate electronic properties and
their application to benchmarking of approximate 1-matrix functionals.
AB - Electronic properties of several states of the five- and six-electron harmonium
atoms are obtained from large-scale calculations employing explicitly correlated
basis functions. The high accuracy of the computed energies (including their
components), natural spinorbitals, and their occupation numbers makes them
suitable for testing, calibration, and benchmarking of approximate formalisms of
quantum chemistry and solid state physics. In the case of the five-electron
species, the availability of the new data for a wide range of the confinement
strengths omega allows for confirmation and generalization of the previously
reached conclusions concerning the performance of the presently known
approximations for the electron-electron repulsion energy in terms of the 1
matrix that are at heart of the density matrix functional theory (DMFT). On the
other hand, the properties of the three low-lying states of the six-electron
harmonium atom, computed at omega = 500 and omega = 1000, uncover deficiencies of
the 1-matrix functionals not revealed by previous studies. In general, the
previously published assessment of the present implementations of DMFT being of
poor accuracy is found to hold. Extending the present work to harmonically
confined systems with even more electrons is most likely counterproductive as the
steep increase in computational cost required to maintain sufficient accuracy of
the calculated properties is not expected to be matched by the benefits of
additional information gathered from the resulting benchmarks.
PMID- 29655338
TI - Emergence of Landauer transport from quantum dynamics: A model Hamiltonian
approach.
AB - The Landauer expression for computing current-voltage characteristics in
nanoscale devices is efficient but not suited to transient phenomena and a time
dependent current because it is applicable only when the charge carriers
transition into a steady flux after an external perturbation. In this article, we
construct a very general expression for time-dependent current in an electrode
molecule-electrode arrangement. Utilizing a model Hamiltonian (consisting of the
subsystem energy levels and their electronic coupling terms), we propagate the
Schrodinger wave function equation to numerically compute the time-dependent
population in the individual subsystems. The current in each electrode (defined
in terms of the rate of change of the corresponding population) has two
components, one due to the charges originating from the same electrode and the
other due to the charges initially residing at the other electrode. We derive an
analytical expression for the first component and illustrate that it agrees
reasonably with its numerical counterpart at early times. Exploiting the unitary
evolution of a wavefunction, we construct a more general Landauer style formula
and illustrate the emergence of Landauer transport from our simulations without
the assumption of time-independent charge flow. Our generalized Landauer formula
is valid at all times for models beyond the wide-band limit, non-uniform
electrode density of states and for time and energy-dependent electronic coupling
between the subsystems. Subsequently, we investigate the ingredients in our model
that regulate the onset time scale of this steady state. We compare the
performance of our general current expression with the Landauer current for time
dependent electronic coupling. Finally, we comment on the applicability of the
Landauer formula to compute hot-electron current arising upon plasmon
decoherence.
PMID- 29655339
TI - Aqueous solvation of Mg(ii) and Ca(ii): A Born-Oppenheimer molecular dynamics
study of microhydrated gas phase clusters.
AB - The hydration features of [Mg(H2O)n]2+ and [Ca(H2O)n]2+ clusters with n = 3-6, 8,
18, and 27 were studied by means of Born-Oppenheimer molecular dynamics
simulations at the B3LYP/6-31+G** level of theory. For both ions, it is
energetically more favorable to have all water molecules in the first hydration
shell when n <= 6, but stable lower coordination average structures with one
water molecule not directly interacting with the ion were found for Mg2+ at room
temperature, showing signatures of proton transfer events for the smaller cation
but not for the larger one. A more rigid octahedral-type structure for Mg2+ than
for Ca2+ was observed in all simulations, with no exchange of water molecules to
the second hydration shell. Significant thermal effects on the average structure
of clusters were found: while static optimizations lead to compact, spherically
symmetric hydration geometries, the effects introduced by finite-temperature
dynamics yield more prolate configurations. The calculated vibrational spectra
are in agreement with infrared spectroscopy results. Previous studies proposed an
increase in the coordination number (CN) from six to eight water molecules for
[Ca(H2O)n]2+ clusters when n >= 12; however, in agreement with recent
measurements of binding energies, no transition to a larger CN was found when n >
8. Moreover, the excellent agreement found between the calculated extended X-ray
absorption fine structure spectroscopy spectra for the larger cluster and the
experimental data of the aqueous solution supports a CN of six for Ca2+.
PMID- 29655341
TI - High-resolution infrared spectroscopy of O2H+ in a cryogenic ion trap.
AB - The protonated oxygen molecule, O2H+, and its helium complex, He-O2H+, have been
investigated by vibrational action spectroscopy in a cryogenic 22-pole ion trap.
For the He-O2H+ complex, the frequencies of three vibrational bands have been
determined by predissociation spectroscopy. The elusive O2H+ has been
characterized for the first time by high-resolution rovibrational spectroscopy
via its nu1 OH-stretching band. Thirty-eight rovibrational fine structure
transitions with partly resolved hyperfine satellites were measured (56 resolved
lines in total). Spectroscopic parameters were determined by fitting the observed
lines with an effective Hamiltonian for an asymmetric rotor in a triplet
electronic ground state, X3A'', yielding a band origin at 3016.73 cm-1. Based on
these spectroscopic parameters, the rotational spectrum is predicted, but not yet
detected.
PMID- 29655340
TI - Communication: Adaptive boundaries in multiscale simulations.
AB - Combined-resolution simulations are an effective way to study molecular
properties across a range of length and time scales. These simulations can
benefit from adaptive boundaries that allow the high-resolution region to adapt
(change size and/or shape) as the simulation progresses. The number of degrees of
freedom required to accurately represent even a simple molecular process can vary
by several orders of magnitude throughout the course of a simulation, and
adaptive boundaries react to these changes to include an appropriate but not
excessive amount of detail. Here, we derive the Hamiltonian and distribution
function for such a molecular simulation. We also design an algorithm that can
efficiently sample the boundary as a new coordinate of the system. We apply this
framework to a mixed explicit/continuum simulation of a peptide in solvent. We
use this example to discuss the conditions necessary for a successful
implementation of adaptive boundaries that is both efficient and accurate in
reproducing molecular properties.
PMID- 29655342
TI - Unraveling the electronic relaxation dynamics in photoexcited 2,4-difluoroaniline
via femtosecond time-resolved photoelectron imaging.
AB - Time-resolved photoelectron imaging is employed to investigate the relaxation
dynamics of the lowest two excited electronic states S1(pipi*) and
S2(pi3s/pisigma*) in 2,4-difluoroaniline (24DFA). As the S1(pipi*) state is
populated directly following 289 nm excitation, the population undergoes
ultrafast intramolecular vibrational redistribution on a 540 fs time scale,
followed by efficient intersystem crossing from S1(pipi*) to the triplet state
within 379 ps, and the subsequent slower deactivation process of the triplet
state. For excitation to the S2(pi3s/pisigma*) state at 238 nm, the population
probably bifurcates into two decay channels. The dominant channel with 84 fs
involves ultrafast internal conversion to the S1(pipi*) state, from which it
relaxes to the electronic ground state on a 116 ps time scale. The other appears
to involve motion along the S2(pi3s/pisigma*) potential energy surface. Our data
also determine experimentally the electronic energies of S2(pi3s/pisigma*),
S3(pipi*), and several Rydberg states in 24DFA.
PMID- 29655343
TI - Using reweighting and free energy surface interpolation to predict solid-solid
phase diagrams.
AB - Many physical properties of small organic molecules are dependent on the current
crystal packing, or polymorph, of the material, including bioavailability of
pharmaceuticals, optical properties of dyes, and charge transport properties of
semiconductors. Predicting the most stable crystalline form at a given
temperature and pressure requires determining the crystalline form with the
lowest relative Gibbs free energy. Effective computational prediction of the most
stable polymorph could save significant time and effort in the design of novel
molecular crystalline solids or predict their behavior under new conditions. In
this study, we introduce a new approach using multistate reweighting to address
the problem of determining solid-solid phase diagrams and apply this approach to
the phase diagram of solid benzene. For this approach, we perform sampling at a
selection of temperature and pressure states in the region of interest. We use
multistate reweighting methods to determine the reduced free energy differences
between T and P states within a given polymorph and validate this phase diagram
using several measures. The relative stability of the polymorphs at the sampled
states can be successively interpolated from these points to create the phase
diagram by combining these reduced free energy differences with a reference Gibbs
free energy difference between polymorphs. The method also allows for
straightforward estimation of uncertainties in the phase boundary. We also find
that when properly implemented, multistate reweighting for phase diagram
determination scales better with the size of the system than previously
estimated.
PMID- 29655344
TI - Entangled trajectories Hamiltonian dynamics for treating quantum nuclear effects.
AB - A simple and robust methodology, dubbed Entangled Trajectories Hamiltonian
Dynamics (ETHD), is developed to capture quantum nuclear effects such as
tunneling and zero-point energy through the coupling of multiple classical
trajectories. The approach reformulates the classically mapped second-order
Quantized Hamiltonian Dynamics (QHD-2) in terms of coupled classical
trajectories. The method partially enforces the uncertainty principle and
facilitates tunneling. The applicability of the method is demonstrated by
studying the dynamics in symmetric double well and cubic metastable state
potentials. The methodology is validated using exact quantum simulations and is
compared to QHD-2. We illustrate its relationship to the rigorous Bohmian quantum
potential approach, from which ETHD can be derived. Our simulations show a
remarkable agreement of the ETHD calculation with the quantum results, suggesting
that ETHD may be a simple and inexpensive way of including quantum nuclear
effects in molecular dynamics simulations.
PMID- 29655345
TI - The effect of the condensed-phase environment on the vibrational frequency shift
of a hydrogen molecule inside clathrate hydrates.
AB - We report a theoretical study of the frequency shift (redshift) of the stretching
fundamental transition of an H2 molecule confined inside the small dodecahedral
cage of the structure II clathrate hydrate and its dependence on the condensed
phase environment. In order to determine how much the hydrate water molecules
beyond the confining small cage contribute to the vibrational frequency shift,
quantum five-dimensional (5D) calculations of the coupled translation-rotation
eigenstates are performed for H2 in the v=0 and v=1 vibrational states inside
spherical clathrate hydrate domains of increasing radius and a growing number of
water molecules, ranging from 20 for the isolated small cage to over 1900. In
these calculations, both H2 and the water domains are treated as rigid. The 5D
intermolecular potential energy surface (PES) of H2 inside a hydrate domain is
assumed to be pairwise additive. The H2-H2O pair interaction, represented by the
5D (rigid monomer) PES that depends on the vibrational state of H2, v=0 or v=1,
is derived from the high-quality ab initio full-dimensional (9D) PES of the H2
H2O complex [P. Valiron et al., J. Chem. Phys. 129, 134306 (2008)]. The H2
vibrational frequency shift calculated for the largest clathrate domain
considered, which mimics the condensed-phase environment, is about 10% larger in
magnitude than that obtained by taking into account only the small cage. The
calculated splittings of the translational fundamental of H2 change very little
with the domain size, unlike the H2 j = 1 rotational splittings that decrease
significantly as the domain size increases. The changes in both the vibrational
frequency shift and the j = 1 rotational splitting due to the condensed-phase
effects arise predominantly from the H2O molecules in the first three complete
hydration shells around H2.
PMID- 29655346
TI - Spatially resolved proton momentum distributions in KDP from first-principles.
AB - The ferroelectric to paraelectric (PE) phase transition of KH2PO4 (KDP) is
investigated as a stringent test of the first-principles, normal modes framework
proposed for calculating anharmonic quantum nuclear motion. Accurate spatially
resolved momentum distribution functions (MDFs) are directly calculated from the
nuclear wavefunction, overcoming the limitations of path-integral molecular
dynamics methods. They indicate coherent, correlated tunneling of protons across
hydrogen bonds in the PE phase in agreement with neutron Compton scattering data
and reproduces the key features of the experimental MDF. It further highlights
the role of Slater's lateral configurations in the PE phase. The analysis in
terms of normal modes demonstrates the importance of collective, correlated
proton motion and underlines the value of the employed framework in interpreting
experimental data. This also makes the framework very attractive for application
to deuterated KDP to further elucidate the nature of the PE transition and to
systems exhibiting strong quantum nuclear effects in general.
PMID- 29655347
TI - Positron scattering from pyridine.
AB - We present a range of cross section measurements for the low-energy scattering of
positrons from pyridine, for incident positron energies of less than 20 eV, as
well as the independent atom model with the screening corrected additivity rule
including interference effects calculation, of positron scattering from pyridine,
with dipole rotational excitations accounted for using the Born approximation.
Comparisons are made between the experimental measurements and theoretical
calculations. For the positronium formation cross section, we also compare with
results from a recent empirical model. In general, quite good agreement is seen
between the calculations and measurements although some discrepancies remain
which may require further investigation. It is hoped that the present study will
stimulate development of ab initio level theoretical methods to be applied to
this important scattering system.
PMID- 29655348
TI - Mesoscopic electrohydrodynamic simulations of binary colloidal suspensions.
AB - A model is presented for the solution of electrokinetic phenomena of colloidal
suspensions in fluid mixtures. We solve the discrete Boltzmann equation with a
Bhatnagar-Gross-Krook collision operator using the lattice Boltzmann method to
simulate binary fluid flows. Solvent-solvent and solvent-solute interactions are
implemented using a pseudopotential model. The Nernst-Planck equation, describing
the kinetics of dissolved ion species, is solved using a finite difference
discretization based on the link-flux method. The colloids are resolved on the
lattice and coupled to the hydrodynamics and electrokinetics through appropriate
boundary conditions. We present the first full integration of these three
elements. The model is validated by comparing with known analytic solutions of
ionic distributions at fluid interfaces, dielectric droplet deformations, and the
electrophoretic mobility of colloidal suspensions. Its possibilities are explored
by considering various physical systems, such as breakup of charged and neutral
droplets and colloidal dynamics at either planar or spherical fluid interfaces.
PMID- 29655349
TI - Reaction kinetics in open reactors and serial transfers between closed reactors.
AB - Kinetic theory and thermodynamics of reaction networks are extended to the out-of
equilibrium dynamics of continuous-flow stirred tank reactors (CSTR) and serial
transfers. On the basis of their stoichiometry matrix, the conservation laws and
the cycles of the network are determined for both dynamics. It is shown that the
CSTR and serial transfer dynamics are equivalent in the limit where the time
interval between the transfers tends to zero proportionally to the ratio of the
fractions of fresh to transferred solutions. These results are illustrated with a
finite cross-catalytic reaction network and an infinite reaction network
describing mass exchange between polymers. Serial transfer dynamics is typically
used in molecular evolution experiments in the context of research on the origins
of life. The present study is shedding a new light on the role played by serial
transfer parameters in these experiments.
PMID- 29655350
TI - Decrease in electrical resistivity on depletion of islands of mobility during
aging of a bulk metal glass.
AB - The effect of structural relaxation on electrical resistivity, rhoglass, of
strain-free Zr46.75Ti8.25Cu7.5Ni10Be27.5 bulk metallic glass was studied during
isothermal aging at several temperatures, Tas. Since cooling of a liquid metal
increases its resistivity rholiq, one expects rhoglass to increase on aging
toward rholiq at T = Ta. Instead, rhoglass decreased non-exponentially with the
aging time. The activation energy of aging kinetics is 189 kJ mol-1, which is
higher than the activation energy of the Johari-Goldstein (JG) relaxation. After
considering the sample's contraction, phase separation, and crystallization as
possible causes of the decrease in rhoglass, we attribute the decrease to
depletion of islands of atomic mobility, soft spots, or static heterogeneity.
Vibrations of the atoms in these local (loosely packed) regions and in the
region's interfacial area contribute to electron scattering. As these deplete on
aging, the contribution decreases and rhoglass decreases, with a concomitant
decrease in macroscopic volume, enthalpy, and entropy (V, H, and S). Local
regions of faster mobility also decrease on cooling as V, H, and S of a liquid
decrease, but structure fluctuations dominate electron scattering of a liquid
metal and rholiq increases effectively according to the Ziman-Nagel theory for a
homogenously disordered structure. Whether depletion of such local regions
initiates the structural relaxation of a glass, or vice versa, may be resolved by
finding a glass that physically ages but shows no JG relaxation.
PMID- 29655351
TI - Force-detected nanoscale absorption spectroscopy in water at room temperature
using an optical trap.
AB - Measuring absorption spectra of single molecules presents a fundamental challenge
for standard transmission-based instruments because of the inherently low signal
relative to the large background of the excitation source. Here we demonstrate a
new approach for performing absorption spectroscopy in solution using a force
measurement to read out optical excitation at the nanoscale. The photoinduced
force between model chromophores and an optically trapped gold nanoshell has been
measured in water at room temperature. This photoinduced force is characterized
as a function of wavelength to yield the force spectrum, which is shown to be
correlated to the absorption spectrum for four model systems. The instrument
constructed for these measurements combines an optical tweezer with frequency
domain absorption spectroscopy over the 400-800 nm range. These measurements
provide proof-of-principle experiments for force-detected nanoscale
spectroscopies that operate under ambient chemical conditions.
PMID- 29655352
TI - Polymer effects on Karman vortex: Molecular dynamics study.
AB - We investigated the Karman vortex behind a circular cylinder in a polymer
solution by a molecular dynamics simulation. The vortex characteristics are
distinctly different for short and long polymers. The solution with the long
polymer exhibits a reduction in the vortex shedding frequency and broadening of
the lift coefficient spectrum. On the other hand, the characteristics of the
short-polymer solution are almost the same as those of the Newtonian fluid. These
facts are consistent with the experiments. Because the distributions of the
gyration radius and the orientational order of the long-polymer solution are
highly inhomogeneous in the flow field, we conclude that the extensional property
of the polymer plays an important role in changing the flow characteristics.
PMID- 29655353
TI - Vapor phase nucleation of the short-chain n-alkanes (n-pentane, n-hexane and n
heptane): Experiments and Monte Carlo simulations.
AB - We measured the nucleation rates of n-pentane through n-heptane in a supersonic
nozzle at temperatures ranging from ca. 109 K to 168 K. For n-pentane and n
hexane, these are the first nucleation rate measurements that have been made, and
the trends in the current data agree well with those in the earlier work of Ghosh
et al. [J. Chem. Phys. 132, 024307 (2010)] for longer chain alkanes.
Complementary Monte Carlo simulations, using the transferable potentials for
phase equilibria-united atom potentials, suggest that despite the high degree of
supercooling, the critical clusters remain liquid like under experimental
conditions for n-pentane through n-heptane, but adopt more ordered structures for
n-octane and n-nonane. For all three alkanes, the experimental and simulated
nucleation rates are offset by ~3 orders of magnitude when plotted as a function
of ln S/(Tc/T - 1)1.5. Explicitly accounting for the surface tension difference
between the real and model substances, or alternatively using the Hale [Phys.
Rev. A 33, 4156 (1986); Metall. Mater. Trans. A 23, 1863 (1992)] scaling
parameter, Omega, consistent with the model potential, increases the offset to ~6
orders of magnitude.
PMID- 29655354
TI - Dissociation cross section for high energy O2-O2 collisions.
AB - Collision-induced dissociation cross section database for high energy O2-O2
collisions (up to 30 eV) is generated and published using the quasiclassical
trajectory method on the singlet, triplet, and quintet spin ground state O4
potential energy surfaces. At equilibrium conditions, these cross sections
predict reaction rate coefficients that match those obtained experimentally. The
main advantage of the cross section database based on ab initio computations is
in the study of complex flows with high degree of non-equilibrium. Direct
simulation Monte Carlo simulations using the reactive cross section databases are
carried out for high enthalpy hypersonic oxygen flow over a cylinder at rarefied
ambient conditions. A comparative study with the phenomenological total collision
energy chemical model is also undertaken to point out the difference and
advantage of the reported ab initio reaction model.
PMID- 29655355
TI - Kinetic effects on the morphology and stability of the pressure-induced extended
solid of carbon monoxide.
AB - In this work, the dependence of the morphology and stability of the extended
solid of carbon monoxide (CO) is correlated to the rate of transformation from
the molecular CO to extended solid of CO using optical imaging,
photoluminescence, Raman spectroscopy, and X-ray diffraction. The analyses show
the rate and pressure of the transformation to be strongly controlled by
catalytic effects, both chemical and optical. In a larger volume per reaction
area, the transformation was found to require either a longer time at an elevated
pressure or a higher pressure compared to a sample synthesized in a smaller
volume per reaction area, leading to the conclusion that the transformation rate
is slower for a sample in a larger volume per reaction area. A faster rate of
transformation was also noted when the reaction area of a CO sample was catalyzed
with H2SO4. Through variation of the volume per reaction area, pressure or the
addition of catalysts, it was possible to control the rate of the phase
transition and therefore the morphology. In general, the extended solid of CO
synthesized with a faster rate showed a more ordered structure and increased
metastability relative to the material formed with a slower compression rate.
PMID- 29655356
TI - High pressure synthesis and stability of cobalt hydrides.
AB - In situ high-pressure high-temperature X-ray powder diffraction studies of the
cobalt-hydrogen system reveal the direct synthesis of both the binary cobalt
hydride (CoH) and a novel cobalt dihydride (CoH2). We observe the formation of
fcc CoH at pressures of 4 GPa, which persists to pressures of 45 GPa. At this
pressure, we see the emergence with time of a further expanded fcc lattice, which
we identify as CoH2, where the hydrogen atoms occupy the tetrahedral vacancies.
We have explored alternative synthesis routes of CoH2 and can lower the synthesis
pressure to 35 GPa by the application of high temperature. CoH2 is stable to at
least 55 GPa and decomposes into CoH below 10 GPa, releasing molecular hydrogen
before further decomposing completely into its constituent elements below 3 GPa.
As a first-row transition metal, cobalt has a relatively lower mass than other
hydride-forming transition metals, and as a result, CoH2 has a high hydrogen
content of 3.3 wt. % and a volumetric hydrogen density of 214 g/l.
PMID- 29655357
TI - Soft phonon modes driven huge difference on lattice thermal conductivity between
topological semimetal WC and WN.
AB - Topological semimetals are currently attracting increasing interest due to their
potential applications in topological qubits and low-power electronics, which are
closely related to their thermal transport properties. Recently, the triply
degenerate nodal points near the Fermi level of WC are observed by using angle
resolved photoemission spectroscopy. In this work, by solving the Boltzmann
transport equation based on first-principles calculations, we systematically
investigate the phonon transport properties of topological semimetals WC and WN.
The predicted room-temperature lattice thermal conductivities of WC (WN) along
the a and c directions are 1140.64 (7.47) W m-1 K-1 and 1214.69 (5.39) W m-1 K-1.
Considering the similar crystal structure of WC and WN, it is quite interesting
to find that the thermal conductivity of WC is more than two orders of magnitude
higher than that of WN. It is found that, different from WN, the large acoustic
optical (a-o) gap prohibits the acoustic+acoustic -> optical (aao) scattering,
which gives rise to very long phonon lifetimes, leading to ultrahigh lattice
thermal conductivity in WC. For WN, the lack of an a-o gap is due to soft phonon
modes in optical branches, which can provide more scattering channels for aao
scattering, producing very short phonon lifetimes. Further deep insight can be
attained from their different electronic structures. Distinctly different from
that in WC, the density of states of WN at the Fermi level becomes very sharp,
which leads to destabilization of WN, producing soft phonon modes. It is found
that the small shear modulus G and C44 limit the stability of WN, compared with
WC. Our studies provide valuable information for phonon transports in WC and WN,
and motivate further experimental studies to study their lattice thermal
conductivities.
PMID- 29655358
TI - Improving accuracy of electrochemical capacitance and solvation energetics in
first-principles calculations.
AB - Reliable first-principles calculations of electrochemical processes require
accurate prediction of the interfacial capacitance, a challenge for current
computationally efficient continuum solvation methodologies. We develop a model
for the double layer of a metallic electrode that reproduces the features of the
experimental capacitance of Ag(100) in a non-adsorbing, aqueous electrolyte,
including a broad hump in the capacitance near the potential of zero charge and a
dip in the capacitance under conditions of low ionic strength. Using this model,
we identify the necessary characteristics of a solvation model suitable for first
principles electrochemistry of metal surfaces in non-adsorbing, aqueous
electrolytes: dielectric and ionic nonlinearity, and a dielectric-only region at
the interface. The dielectric nonlinearity, caused by the saturation of dipole
rotational response in water, creates the capacitance hump, while ionic
nonlinearity, caused by the compactness of the diffuse layer, generates the
capacitance dip seen at low ionic strength. We show that none of the previously
developed solvation models simultaneously meet all these criteria. We design the
nonlinear electrochemical soft-sphere solvation model which both captures the
capacitance features observed experimentally and serves as a general-purpose
continuum solvation model.
PMID- 29655359
TI - Communication: Biological applications of coupled-cluster frozen-density
embedding.
AB - We report the implementation of the Laplace-transform scaled opposite-spin (LT
SOS) resolution-of-the-identity second-order approximate coupled-cluster singles
and doubles (RICC2) combined with frozen-density embedding for excitation
energies and molecular properties. In the present work, we furthermore employ the
Hartree-Fock density for the interaction energy leading to a simplified
Lagrangian which is linear in the Lagrangian multipliers. This approximation has
the key advantage of a decoupling of the coupled-cluster amplitude and
multipliers, leading also to a significant reduction in computation time. Using
the new simplified Lagrangian in combination with efficient wavefunction models
such as RICC2 or LT-SOS-RICC2 and density-functional theory (DFT) for the
environment molecules (CC2-in-DFT) enables the efficient study of biological
applications such as the rhodopsin and visual cone pigments using ab initio
methods as routine applications.
PMID- 29655360
TI - The dependency of adhesion and friction on electrostatic attraction.
AB - I develop a general mean-field theory for the influence of electrostatic
attraction between two solids on the contact mechanics. I assume elastic solids
with random surface roughness. I consider two cases, namely, with and without an
electrically insulating layer between the conducting solids. The former case is
important for, e.g., the finger-touch screen interaction. I study how the
electrostatic attraction influences the adhesion and friction. For the case of an
insulating layer, I find that when the applied nominal contact pressure is
relatively small, as the applied voltage increases, there is a sharp increase in
the contact area, and hence in the friction, at a critical voltage.
PMID- 29655361
TI - Performance of exchange-correlation functionals in density functional theory
calculations for liquid metal: A benchmark test for sodium.
AB - The performance of exchange-correlation functionals in density-functional theory
(DFT) calculations for liquid metal has not been sufficiently examined. In the
present study, benchmark tests of Perdew-Burke-Ernzerhof (PBE), Armiento-Mattsson
2005 (AM05), PBE re-parameterized for solids, and local density approximation
(LDA) functionals are conducted for liquid sodium. The pair correlation function,
equilibrium atomic volume, bulk modulus, and relative enthalpy are evaluated at
600 K and 1000 K. Compared with the available experimental data, the errors range
from -11.2% to 0.0% for the atomic volume, from -5.2% to 22.0% for the bulk
modulus, and from -3.5% to 2.5% for the relative enthalpy depending on the DFT
functional. The generalized gradient approximation functionals are superior to
the LDA functional, and the PBE and AM05 functionals exhibit the best
performance. In addition, we assess whether the error tendency in liquid
simulations is comparable to that in solid simulations, which would suggest that
the atomic volume and relative enthalpy performances are comparable between solid
and liquid states but that the bulk modulus performance is not. These benchmark
test results indicate that the results of liquid simulations are significantly
dependent on the exchange-correlation functional and that the DFT functional
performance in solid simulations can be used to roughly estimate the performance
in liquid simulations.
PMID- 29655362
TI - Clustering methods for the optimization of atomic cluster structure.
AB - In this paper, we propose a revised global optimization method and apply it to
large scale cluster conformation problems. In the 1990s, the so-called clustering
methods were considered among the most efficient general purpose global
optimization techniques; however, their usage has quickly declined in recent
years, mainly due to the inherent difficulties of clustering approaches in large
dimensional spaces. Inspired from the machine learning literature, we redesigned
clustering methods in order to deal with molecular structures in a reduced
feature space. Our aim is to show that by suitably choosing a good set of
geometrical features coupled with a very efficient descent method, an effective
optimization tool is obtained which is capable of finding, with a very high
success rate, all known putative optima for medium size clusters without any
prior information, both for Lennard-Jones and Morse potentials. The main result
is that, beyond being a reliable approach, the proposed method, based on the idea
of starting a computationally expensive deep local search only when it seems
worth doing so, is capable of saving a huge amount of searches with respect to an
analogous algorithm which does not employ a clustering phase. In this paper, we
are not claiming the superiority of the proposed method compared to specific,
refined, state-of-the-art procedures, but rather indicating a quite
straightforward way to save local searches by means of a clustering scheme
working in a reduced variable space, which might prove useful when included in
many modern methods.
PMID- 29655363
TI - The structure of PbCl2 on the {100} surface of NaCl and its consequences for
crystal growth.
AB - The role that additives play in the growth of sodium chloride is a topic which
has been widely researched but not always fully understood at an atomic level.
Lead chloride (PbCl2) is one such additive which has been reported to have growth
inhibition effects on NaCl {100} and {111}; however, no definitive evidence has
been reported which details the mechanism of this interaction. In this
investigation, we used the technique of surface x-ray diffraction to determine
the interaction between PbCl2 and NaCl {100} and the structure at the surface. We
find that Pb2+ replaces a surface Na+ ion, while a Cl- ion is located on top of
the Pb2+. This leads to a charge mismatch in the bulk crystal, which, as
energetically unfavourable, leads to a growth blocking effect. While this is a
similar mechanism as in the anticaking agent ferrocyanide, the effect of PbCl2 is
much weaker, most likely due to the fact that the Pb2+ ion can more easily
desorb. Moreover, PbCl2 has an even stronger effect on NaCl {111}.
PMID- 29655364
TI - Incidence and characteristics of low-speed vehicle run over events in rural and
remote children aged 0-14 years in Queensland: an 11 year (1999-2009)
retrospective analysis.
AB - INTRODUCTION: The main objective of this study is to describe incidence rates of
low-speed vehicle run-over (LSVRO) events among children aged 0-14 years residing
in Queensland from 1999 to 2009. A second objective was to describe the
associated patterns of injury, with respect to gender, age group, severity,
characteristics (host, vehicle and environment), and trends over time in relation
to geographical remoteness. Final results are hoped to inform prevention
policies. METHODS: In this statewide, retrospective, population-based study, data
were collected on LSVRO events that occurred among children aged 0-14 years in
Queensland from 1999 to 2009 from all relevant data sources across the continuum
of care, and manually linked to obtain the most comprehensive estimate possible
of the magnitude and nature of LSVRO events to date. Crude incidence rates were
calculated separately for males and females, for fatal events, non-fatal events
(hospital admissions and non-admissions, respectively), and for all LSVRO events,
for each area of geographical remoteness (major cities, inner regional, outer
regional, remote/very remote). Relative risks and 95% confidence interval were
calculated, and trends over time were examined. Data on host, injury and event
characteristics were also obtained to investigate whether these characteristics
varied between areas of remoteness. RESULTS: Incidence rates were lowest among
children (0-14 years) living in major cities (13.8/100 000/annum, with the
highest recorded incidence in outer regional areas (incidence rate =42.5/100
000/annum). Incidence rates were higher for children residing outside major
cities for both males and females, for every age group, for each of the 11 years
of the study, and consequences of LSVRO events were worse. Young children aged 0
4 years were identified as those most at risk for these events, regardless of
geographical location. Differences were observed as a function of remoteness
category in relation to injury characteristics (eg injury type), and host
characteristics (eg sociodemographic status), but there were no observed
differences in environmental characteristics (eg time of day, day of week). Heavy
vehicles such as four-wheel drives, utilities, trucks and tractors were more
frequently involved in LSVRO events that occurred outside major cities.
CONCLUSION: The results confirmed that children of all ages and genders residing
outside of major cities in Queensland are more at risk of being involved in an
LSVRO incident, and experience more severe consequences compared to children in
major cities. Future research should address the specific risk factors and focus
on engaging rural communities to assist in the prevention of LSVRO incidents.
PMID- 29655365
TI - Facilitators of community participation in an Aboriginal sexual health promotion
initiative.
AB - INTRODUCTION: Community participation is a collaborative process aimed at
achieving community-identified outcomes. However, approaches to community
participation within Aboriginal health promotion initiatives have been
inconsistent and not well documented. Smart and Deadly was a community-led
initiative to develop sexual health promotion resources with young Aboriginal
people in regional Victoria, Australia. The principles of community-centred
practice, authentic participatory processes and respect for the local cultural
context guided the initiative. The aim of this article is to report factors that
facilitated community participation undertaken in the Smart and Deadly initiative
to inform future projects and provide further evidence in demonstrating the value
of such approaches. METHODS: A summative evaluation of the Smart and Deadly
initiative was undertaken approximately 2 years after the initiative ended. Five
focus groups and 13 interviews were conducted with a purposive sample of 32
participants who were involved with Smart and Deadly in one of the following
ways: project participant, stakeholder or project partner, or project developer
or designer. A deductive content analysis was undertaken and themes were compared
to the YARN model, which was specifically created for planning and evaluating
community participation strategies relating to Aboriginal sexual health
promotion. RESULTS: A number of factors that facilitated community participation
approaches used in Smart and Deadly were identified. The overarching theme was
that trust was the foundation upon which the facilitators of community
participation ensued. These facilitators were cultural safety and cultural
literacy, community control, and legacy and sustainability. Whilst the YARN model
was highly productive in identifying these facilitators of community
participation, the model did not have provision for the element of trust between
workers and community. Given the importance of trust between the project team and
the Aboriginal community in the Smart and Deadly initiative, a suggested revision
to the YARN model is that trust is included as the basis upon which YARN model
factors are predicated. CONCLUSIONS: Adding trust to the YARN model as a basis
upon which YARN model factors are grounded assists future Aboriginal health
promotion projects in ensuring community participation approaches are more likely
to be acceptable to the Aboriginal community.
PMID- 29655366
TI - HIV-1 protease with leucine zipper fused at N-terminus exhibits enhanced linker
amino acid-dependent activity.
AB - BACKGROUND: HIV-1 protease (PR) activation is triggered by Gag-Pol dimerization.
Premature PR activation results in reduced virion yields due to enhanced Gag
cleavage. A p6* transframe peptide located directly upstream of protease is
believed to play a modulating role in PR activation. Previous reports indicate
that the C-terminal p6* tetra-peptide prevents premature PR activation triggered
by a leucine zipper (LZ) dimerization motif inserted in the deleted p6* region.
To clarify the involvement of C-terminal p6* residues in mitigating enhanced LZ
incurred Gag processing, we engineered constructs containing C-terminal p6*
residue substitutions with and without a mutation blocking the p6*/PR cleavage
site, and created other Gag or p6* domain-removing constructs. The capabilities
of these constructs to mediate virus maturation were assessed by Western blotting
and single-cycle infection assays. RESULTS: p6*-PR cleavage blocking did not
significantly reduce the LZ enhancement effect on Gag cleavage when only four
amino acid residues were present between the p6* and PR. This suggests that the
potent LZ dimerization motif may enhance PR activation by facilitating PR dimer
formation, and that PR precursors may trigger sufficient enzymatic activity
without breaking off from the PR N-terminus. Enhanced LZ-induced activation of PR
embedded in Gag-Pol was found to be independent of the Gag assembly domain. In
contrast, the LZ enhancement effect was markedly reduced when six amino acids
were present at the p6*-PR junction, in part due to impaired PR maturation by
substitution mutations. We also observed that a proline substitution at the P3
position eliminated the ability of p6*-deleted Gag-Pol to mediate virus
maturation, thus emphasizing the importance of C-terminal p6* residues to
modulating PR activation. CONCLUSIONS: The ability of HIV-1 C-terminal p6* amino
acid residues to modulate PR activation contributes, at least in part, to their
ability to counteract enhanced Gag cleavage induced by a leucine zipper
substituted for a deleted p6*. Changes in C-terminal p6* residues between LZ and
PR may affect PR-mediated virus maturation, thus providing a possible method for
assessing HIV-1 protease precursor activation in the context of virus assembly.
PMID- 29655367
TI - Biologic plating of unstable distal radial fractures.
AB - BACKGROUND: Volar locking plating through the flexor carpi radialis is a well
established technique for treating unstable distal radial fractures, with few
reported complications. In certain circumstances, including metaphyseal
comminuted fractures, bridge plating through a pronator quadratus (PQ)-sparing
approach may be required to preserve the soft tissue envelope. This study
describes our prospective experience with bridge plating through indirect
reduction. METHODS: Thirty-three wrists (four 23A2, six 23A3, 15 23C1, and eight
23C2) underwent bridge plating through a PQ-sparing approach with indirect
reduction from June 2006 to December 2010. Mean patient age was 56.8 years
(range, 25-83 years), and the mean follow-up period was 47.5 months (range, 36-84
months). Changes in radiologic parameters (volar tilt, radial inclination, radial
length, and ulnar variance) were analyzed, and functional results at final follow
up were evaluated by measuring the Modified Mayo Wrist Score (MMWS) and Modified
Gartland-Werley Score (MGWS). RESULTS: All wrists achieved bone healing without
significant complications after a single operation. At final follow-up, radial
length was restored from an average of 3.7 mm to 11.0 mm, as were radial
inclination, from 16.4 degrees to 22.5 degrees , and volar tilt, from - 9.1
degrees to 5.5 degrees . However, radial length was overcorrected in three
wrists, and two experienced residual dorsal tilt. Excellent and good results on
the MGWS were achieved in 30 wrists (90.9%). The average MMWS outcome was 92.6
(range, 75-100). CONCLUSION: Our experience with bridge plating was similar to
that previously reported in the earlier publications. Compared with the
conventional technique, bridge plating through a PQ-sparing approach may help in
managing metaphyseal comminuted fractures of both cortices with a reduced radio
ulnar index.
PMID- 29655368
TI - Spontaneous posterior rectus sheath hernia: a case report.
AB - BACKGROUND: Hernias of the posterior rectus sheath are very rare abdominal wall
hernias with only a handful of cases reported in the literature to date. As an
uncommon disease, it is important to recognize and report this case in order to
enhance scientific knowledge of this disease. CASE PRESENTATION: This case report
presents a spontaneous posterior rectus sheath herniation in a 79-year-old white
man with previous abdominal surgery for appendicitis. His herniation was
discovered incidentally during an examination for his chief complaints of lower
abdominal pain and diarrhea which were later diagnosed as Salmonella-related
gastroenteritis. A computed tomography scan of his abdomen and pelvis showed
abdominal wall hernia with loops of small bowel extending into his rectus
abdominis muscle. In this case, it was decided to leave the situation alone for
now due to no evidence of bowel obstruction and the low risk of this hernia
getting strangulated, which otherwise would have warranted urgent surgery.
CONCLUSIONS: This report adds to the limited stock of available literature on
this unusual issue and strengthens the evidence base on the best approach to
support informed clinical decision making. The significant clinical implication
of such case reports is increased identification rate of rare clinical conditions
which otherwise often go unnoticed.
PMID- 29655369
TI - TREM2 regulates innate immunity in Alzheimer's disease.
AB - Recent research has shown that the triggering receptor expressed on myeloid cells
2 (TREM2) in microglia is closely related to the pathogenesis of Alzheimer's
disease (AD). The mechanism of this relationship, however, remains unclear. TREM2
is part of the TREM family of receptors, which are expressed primarily in myeloid
cells, including monocytes, dendritic cells, and microglia. The TREM family
members are cell surface glycoproteins with an immunoglobulin-like extracellular
domain, a transmembrane region and a short cytoplasmic tail region. The present
article reviews the following: (1) the structure, function, and variant site
analysis of the Trem2 gene; (2) the metabolism of TREM2 in peripheral blood and
cerebrospinal fluid; and (3) the possible underlying mechanism by which TREM2
regulates innate immunity and participates in AD.
PMID- 29655370
TI - Descriptive study of severe hospitalized cases of laboratory-confirmed influenza
during five epidemic seasons (2010-2015).
AB - OBJECTIVE: The Plan of Information on Acute Respiratory Infections in Catalonia
(PIDIRAC) included the surveillance of severe hospitalized cases of laboratory
confirmed influenza (SHCLCI) in 2009. The objective of this study was to
determine the clinical, epidemiological and virological features of SHCLCI
recorded in 12 sentinel hospitals during five influenza seasons. RESULTS: From a
sample of SHCLCI recorded during the 5 influenza epidemics seasons from 2010-2011
to 2014-2015, Cases were confirmed by PCR and/or viral isolation in cell cultures
from respiratory samples. A total of 1400 SHCLCI were recorded, 33% required ICU
admission and 12% died. The median age of cases was 61 years (range 0-101 years);
70.5% were unvaccinated; 80.4% received antiviral treatment (in 79.6 and 24% of
cases within 48 h after hospital admission and the onset of symptoms,
respectively); influenza virus A [37.9% A (H1N1)pdm09, 29.3% A (H3N2)] was
identified in 87.7% of cases. Surveillance of SHCLCI provides an estimate of the
severity of seasonal influenza epidemics and the identification and
characterization of at-risk groups in order to facilitate preventive measures
such as vaccination and early antiviral treatment.
PMID- 29655371
TI - Platelet-derived growth factor predicts prolonged relapse-free period in multiple
sclerosis.
AB - BACKGROUND: In the early phases of relapsing-remitting multiple sclerosis (RR
MS), a clear correlation between brain lesion load and clinical disability is
often lacking, originating the so-called clinico-radiological paradox. Different
factors may contribute to such discrepancy. In particular, synaptic plasticity
may reduce the clinical expression of brain damage producing enduring enhancement
of synaptic strength largely dependent on neurotrophin-induced protein synthesis.
Cytokines released by the immune cells during acute inflammation can alter
synaptic transmission and plasticity possibly influencing the clinical course of
MS. In addition, immune cells may promote brain repair during the post-acute
phases, by secreting different growth factors involved in neuronal and
oligodendroglial cell survival. Platelet-derived growth factor (PDGF) is a
neurotrophic factor that could be particularly involved in clinical recovery.
Indeed, PDGF promotes long-term potentiation of synaptic activity in vitro and in
MS and could therefore represent a key factor improving the clinical compensation
of new brain lesions. The aim of the present study is to explore whether
cerebrospinal fluid (CSF) PDGF concentrations at the time of diagnosis may
influence the clinical course of RR-MS. METHODS: At the time of diagnosis, we
measured in 100 consecutive early MS patients the CSF concentrations of PDGF, of
the main pro- and anti-inflammatory cytokines, and of reliable markers of
neuronal damage. Clinical and radiological parameters of disease activity were
prospectively collected during follow-up. RESULTS: CSF PDGF levels were
positively correlated with prolonged relapse-free survival. Radiological markers
of disease activity, biochemical markers of neuronal damage, and clinical
parameters of disease progression were instead not influenced by PDGF
concentrations. Higher CSF PDGF levels were associated with an anti-inflammatory
milieu within the central nervous system. CONCLUSIONS: Our results suggest that
PDGF could promote a more prolonged relapse-free period during the course of RR
MS, without influencing inflammation reactivation and inflammation-driven
neuronal damage and likely enhancing adaptive plasticity.
PMID- 29655373
TI - The Risk of Adverse Events Related to Extended-Dwell Peripheral Intravenous
Access.
AB - Midline catheters (MCs) may be useful to avoid repeated venipuncture in patients
requiring prolonged intravenous infusions with limited adverse events (AEs). We
analyzed 2 Italian hospital databases to ascertain the safety of MCs. Among 1,538
adult patients, 154 MC-related AEs (10%; 2.49 AEs per 1,000 MC days) were
reported.Infect Control Hosp Epidemiol 2018;875-877.
PMID- 29655374
TI - Surveying Cystic Fibrosis Care Centers to Assess Adoption of Infection Prevention
and Control Recommendations.
AB - OBJECTIVEIn 2013, the Cystic Fibrosis (CF) Foundation developed an updated
guideline for infection prevention and control (IP&C) practices for CF. We sought
to assess the adoption of specific recommendations by CF care
centers.METHODSDirectors of the 277 CF care centers in the United States were
asked to complete a confidential online survey regarding the adoption of selected
IP&C recommendations. Selected recommendations were those we considered less
likely to be incorporated into a center's written IP&C policies.RESULTSCenter
directors from 198 of 277 CF centers (71%) completed the survey between December
2015 and June 2016; pediatric and larger centers were more likely to do so.
Overall, 70% have adopted >=75% of the selected recommendations. As recommended,
almost all provided education to CF center staff (98%) and patients and families
(97%); fewer developed educational materials in collaboration with local IP&C
teams (59%) and/or patients and families (37%). Among 108 centers with non
English-speaking patients, 65 (60%) provided educational materials in relevant
languages. Most (74%) held group education events; of the 138 centers with in
person meetings, 45% allowed 1 individual with CF to attend, and 51% allowed no
individuals with CF to attend. Most centers (93%) held outdoor events, and 84%
allowed >1 individual with CF to attend. Audits of exam-room cleaning were
performed by 49% of CF centers.CONCLUSIONSCystic fibrosis centers in the United
States have adopted many of the recommendations addressed in this survey.
Nonetheless, these findings suggest opportunities for improvement. More CF
centers should provide education to non-English-speaking patients and families,
and CF centers should perform audits of room cleaning.Infect Control Hosp
Epidemiol. 2018;39:647-651.
PMID- 29655372
TI - Population pharmacokinetics/pharmacodynamics of micafungin against Candida
species in obese, critically ill, and morbidly obese critically ill patients.
AB - BACKGROUND: Dosing in obese critically ill patients is challenging due to
pathophysiological changes derived from obesity and/or critical illness, and it
remains fully unexplored. This study estimated the micafungin probability of
reaching adequate 24-h area under the curve (AUC0-24h)/minimum inhibitory
concentration (MIC) values against Candida spp. for an obese/nonobese, critically
ill/noncritically ill, large population. METHODS: Blood samples for
pharmacokinetic analyses were collected from 10 critically ill nonobese patients,
10 noncritically ill obese patients, and 11 critically ill morbidly obese
patients under empirical/directed micafungin treatment. Patients received once
daily 100-150 mg micafungin at the discretion of the treating physician following
the prescribing information and hospital guidelines. Total micafungin
concentrations were determined by high-performance liquid chromatography (HPLC).
Monte-Carlo simulations were performed and the probability of target attainment
(PTA) was calculated using the AUC0-24/MIC cut-offs 285 (C. parapsilosis), 3000
(all Candida spp.), and 5000 (nonparapsilosis Candida spp.). Intravenous once
daily 100-mg, 150-mg, and 200-mg doses were simulated at different body weights
(45, 80, 115, 150, and 185 kg) and age (30, 50, 70 and 90 years old). PTAs >= 90%
were considered optimal. Fractional target attainment (FTA) was calculated using
published MIC distributions. A dosing regimen was considered successful if the
FTA was >= 90%. RESULTS: Overall, 100 mg of micafungin was once-daily
administered for nonobese and obese patients with body mass index (BMI) <= 45
kg/m2 and 150 mg for morbidly obese patients with BMI > 45 kg/m2 (except two
noncritically ill obese patients with BMI ~ 35 kg/m2 receiving 150 mg, and one
critically ill patient with BMI > 45 kg/m2 receiving 100 mg). Micafungin
concentrations in plasma were best described using a two-compartment model.
Weight and age (but not severity score) were significant covariates and improved
the model. FTAs > 90% were obtained against C. albicans with the 200 mg/24 h dose
for all body weights (up to 185 kg), and with the 150 mg/24 h for body weights <
115 kg, and against C. glabrata with the 200 mg/24 h dose for body weights < 115
kg. CONCLUSION: The lack of adequacy for the 100 mg/24 h dose suggested the need
to increase the dose to 150 mg/24 h for C. albicans infections. Further
pharmacokinetic/pharmacodynamic studies should address optimization of micafungin
dosing for nonalbicans Candida infections.
PMID- 29655375
TI - Association between traumatic stress load, psychopathology, and cognition in the
Philadelphia Neurodevelopmental Cohort.
AB - BACKGROUND: Traumatic stressors during childhood and adolescence are associated
with psychopathology, mostly studied in the context of post-traumatic stress
disorder (PTSD) and depression. We investigated broader associations of traumatic
stress exposure with psychopathology and cognition in a youth community sample.
METHODS: The Philadelphia Neurodevelopmental Cohort (N = 9498) is an
investigation of clinical and neurobehavioral phenotypes in a diverse (56%
Caucasian, 33% African American, 11% other) US youth community population (aged 8
21). Participants were ascertained through children's hospital pediatric (not
psychiatric) healthcare network in 2009-2011. Structured psychiatric evaluation
included screening for lifetime exposure to traumatic stressors, and a
neurocognitive battery was administered. RESULTS: Exposure rate to traumatic
stressful events was high (none, N = 5204; one, N = 2182; two, N = 1092; three or
more, N = 830). Higher stress load was associated with increased psychopathology
across all clinical domains evaluated: mood/anxiety (standardized beta = .378);
psychosis spectrum (beta = .360); externalizing behaviors (beta = .311); and fear
(beta = .256) (controlling for covariates, all p < 0.001). Associations remained
significant controlling for lifetime PTSD and depression. Exposure to high-stress
load was robustly associated with suicidal ideation and cannabis use (odds ratio
compared with non-exposed 5.3 and 3.2, respectively, both p < 0.001). Among
youths who experienced traumatic stress (N = 4104), history of assaultive trauma
was associated with greater psychopathology and, in males, vulnerability to
psychosis and externalizing symptoms. Stress load was negatively associated with
performance on executive functioning, complex reasoning, and social cognition.
CONCLUSIONS: Traumatic stress exposure in community non-psychiatric help-seeking
youth is substantial, and is associated with more severe psychopathology and
neurocognitive deficits across domains, beyond PTSD and depression.
PMID- 29655376
TI - Self-reported parental vocabulary input frequency for young children.
AB - Vocabulary input frequency influences age of acquisition, and is also an
essential control for investigating the influence of other factors. We propose a
new method of frequency estimation, self-report. 918 Danish-speaking parents of
12-36-month-old children estimated their frequency of use of 725 words. Self
report was substantially correlated with both language sample based frequencies
(0.67) and frequencies of a large written corpus of Danish (0.58). Correlations
within vocabulary categories between frequency and age of acquisition, restricted
to words occurring in the language samples, were comparable for the two
estimates. Overall, self-report based frequency estimates appear to have a
promising degree of validity, which reflects their greatest strength,
independence of the situation.
PMID- 29655377
TI - Schistosomicidal, antifibrotic and antioxidant effects of Cucurbita pepo L. seed
oil and praziquantel combined treatment for Schistosoma mansoni infection in a
mouse model.
AB - Despite the seriousness of schistosomiasis, its treatment depends only on
praziquantel (PZQ), which has begun to lose its efficacy against the emergent
Schistosoma mansoni-resistant strains. Therefore, the discovery of a novel
schistosomicidal drug is an urgent priority. This study was designed to evaluate
treatment with Cucurbita pepo L. (pumpkin) seed oil (PSO) alone and combined with
PZQ against S. mansoni in experimentally infected mice. The study involved five
groups: GI was the normal control; GII was the infected control; GIII was treated
with an oral dose of PZQ of 500 mg/kg/day for two successive days, starting in
the sixth week post infection; GIV was treated with an oral dose of PSO of 50
mg/kg/day for four weeks, starting in the fourth week post infection; and GV was
treated with combined PSO-PZQ. Worm burden, tissue egg load and oogram pattern
were estimated, and the ultrastructure alterations were examined.
Histopathological examination of granuloma diameters, collagen deposition (Picro
Sirius red stain), and angiogenesis (immunohistochemical expression of CD34+) was
conducted and serum liver enzymes were measured to assess the liver condition.
Moreover, the oxidative stress was evaluated by determining the amounts of
malondialdehyde and superoxide dismutase in liver homogenates. The results
revealed significant changes in all the assessed parameters with PSO
administration. However, PZQ was significantly more effective as an antiparasitic
agent, whereas PSO was better in terms of fibrosis and oxidative stress. The most
significant results were obtained in group V, which may be attributed to a
synergy between PZQ and PSO, with antiparasitic, antioxidant and antifibrotic
properties.
PMID- 29655378
TI - Mindfulness-Based Cognitive Therapy v. treatment as usual in adults with ADHD: a
multicentre, single-blind, randomised controlled trial - ERRATUM.
PMID- 29655379
TI - Shigella infection in children under 5 years old in western French Guiana.
AB - French Guiana, a tropical country, is characterised by a young and multi-ethnic
population. Difficulties in accessing safe water sources lead to outbreaks of
gastroenteritis. The objectives of this study were (1) to describe the
microbiological profile of shigella strains isolated in western French Guiana,
including antimicrobial susceptibility and the distribution of strains in terms
of species and serotypes and (2) to estimate the incidence of shigellosis in
children under 5 years old. A retrospective observational study was conducted of
213 cases of shigellosis diagnosed in the biology department of the hospital
centre for western French Guiana between 2000 and 2012 in children under 5 years
old. The serogroups (formerly known as species) that predominates in French
Guiana was Shigella flexneri. No resistance was observed to fluoroquinolones or
to third-generation cephalosporins. The average incidence of shigellosis in
children under 5 years old in western French Guiana was estimated at 189.6 cases
per 100 000 inhabitants per year. Shigellosis is a public health problem in
western French Guiana. These infections suggest the difficulties in accessing
safe water sources and the lack of public sanitation. A quadrivalent vaccine
containing Shigella sonnei and three serotypes of S. flexneri (S. flexneri 2a, 3a
and 6) could provide broad coverage against shigella infections.
PMID- 29655380
TI - Cryoprotectant agents and cooling effect on embryos of Macrobrachium amazonicum.
AB - SummaryThere are few reports of cryopreservation and injuries in Macrobrachium
amazonicum embryos. Thus, the aim of this study was to analyze the effects of
cryoprotectants agents and cooling on stage VIII of this species. Fertilized eggs
from ovigerous females were removed from the incubation chamber, then placed in
10 ml Falcon tubes with a cryoprotectant solution and saline-free calcium
solution. Thus, the embryos underwent a cooling curve of 1 degrees C per min
until reaching 5 degrees C, and then were stored for 2 h. The tubes containing
the embryos were washed to remove the cryoprotectant, acclimated for 5 min and
then transferred to 50 ml incubators. At the end of the 24-h period, living
embryos from each tube were counted and tabulated. A pool of embryos was fixed
with 4% formaldehyde and then subjected to histology using 3-mm thick sections
and stained with haematoxylin/eosin. Another pool was used for biometric analysis
in which length, width and volume were analyzed. The cryoprotectants agents used
were: dimethylsulfoxide (DMSO), methyl alcohol, ethylene glycol at 1, 5 and 10%
and sucrose (0.5 M). Variance analysis was performed followed by Tukey's honest
significant difference (HSD) test at 5% significance level. DMSO cryoprotectant
affected embryo survival the least with rates of 71.8, 36.2 and 0% for
concentrations of 1, 5 and 10%, respectively. Ethylene glycol caused 100%
mortality at all the concentrations used. It was not possible to observe the
interference of cooling and cryoprotectants on embryonic structures in this
study.
PMID- 29655381
TI - Do Periarticular Joint Infections Present an Increase in Infection Risk?
PMID- 29655382
TI - Risk factors for influenza-related complications in children during the 2009/10
pandemic: a UK primary care cohort study using linked routinely collected data.
AB - Primary care clinicians have a central role in managing influenza/influenza-like
illness (ILI) during influenza pandemics. This study identifies risk factors for
influenza-related complications in children presenting with influenza/ILI in
primary care. We conducted a cohort study using routinely collected linked data
from the Clinical Practice Research Datalink on children aged 17 years and
younger who presented with influenza/ILI during the 2009/10 pandemic. We
calculated odds ratios (ORs) for potential risk factors in relation to influenza
related complications, complications requiring intervention, pneumonia, all-cause
hospitalisation and hospitalisation due to influenza-related complications within
30 days of presentation. Analyses were adjusted for potential confounders
including age, vaccination and socio-economic deprivation. Asthma was a risk
factor for influenza-related complications (adjusted OR 1.48, 95% confidence
interval (CI) 1.21-1.80, P < 0.001), complications requiring intervention
(adjusted OR 1.44, 95% CI 1.11-1.88; P = 0.007), pneumonia (adjusted OR 1.64, 95%
CI 1.07-2.51, P = 0.024) and hospitalisation due to influenza-related
complications (adjusted OR 2.46, 95% CI 1.09-5.56, P = 0.031). Neurological
conditions were risk factors for all-cause hospitalisation (adjusted OR 4.25, 95%
CI 1.50-12.07, P = 0.007) but not influenza-related complications (adjusted OR
1.46, 95% CI 0.83-2.56, P = 0.189). Community-based early interventions to
prevent influenza-related clinical deterioration should therefore be primarily
targeted at children with asthma and neurological conditions.
PMID- 29655383
TI - Hospitalisations due to bacterial gastroenteritis: A comparison of surveillance
and hospital discharge data.
AB - Studies estimating the human health impact of the foodborne disease often include
estimates of the number of gastroenteritis hospitalisations. The aims of this
study were to examine the degree to which hospital discharge data underreport
hospitalisations due to bacterial gastroenteritis and to estimate the frequency
of stool sample submission among patients presenting with gastroenteritis. Using
linked laboratory and hospital discharge data from a healthcare organisation and
its affiliated hospital, we examined the International Classification of Disease
(ICD-9-CM) diagnosis codes assigned to hospitalised adults with culture-confirmed
Campylobacter, Salmonella, or Escherichia coli O157 infections and determined the
frequency of stool sample submission. Among 138 hospitalised patients with
culture-confirmed infections, 43% of Campylobacter patients, 56% of Salmonella
patients and 35% of E. coli O157 patients had that pathogen-specific code listed
on the discharge record. Among patients without their infection listed as a
diagnosis, 65% were assigned a nonspecific gastroenteritis code. Submitting a
specimen for culture ?3 days before discharge was significantly associated with
having the pathogen-specific diagnosis listed. Of 6181 patients assigned a
nonspecific gastroenteritis code, 69% had submitted a stool sample for bacterial
culture. This study can be used to understand differences and adjust for the
underreporting and underdiagnosed of Campylobacter, Salmonella and E. coli O157
in hospital discharge and surveillance data, respectively.
PMID- 29655384
TI - HIV prevention strategies and risk of infection: a model-based analysis.
AB - Risk populations for HIV infections tend to neglect condom use, making
alternative preventive approaches necessary. Accordingly, we modelled the risk of
sexual HIV transmission for condom use vs. use of rapid diagnostic test (RDT)
systems with subsequent exclusion of potential sexual partners with a correctly
or falsely positive test from unprotected sex with and without the use of HIV pre
exposure prophylaxis (PrEP) in a bio-statistical approach. We combined a
previously described model of transmission risk for HIV-exposed individuals with
a newly suggested model of risk of HIV exposure for sexually active HIV-negative
individuals. The model was adapted for several stages of infection and different
strategies of HIV infection prevention.HIV prevention with RDTs can reduce the
transmission risk by up to 97% compared with having sex without any prevention
and up to 80% compared with condom use. Nevertheless, RDT-based prevention
strategies demonstrate a lack of protection in several stages of infection; in
particular, RNA-based RDT systems may fail under treatment. RDT-based pre
screening of potential sex partners prior to unprotected sexual contacts
substantially reduces HIV transmission risk. Combination of different prevention
strategies is advisable for high-risk groups.
PMID- 29655385
TI - Pertussis epidemiology in Argentina: TRENDS after the introduction of maternal
immunisation.
AB - Data on the impact of the recently recommended maternal pertussis vaccination are
promising, but still insufficient to universalise this approach. We thus compared
the epidemiological data prior to the implementation of this vaccination strategy
in Argentina (2012) with the figures reported after 2012. During that 2010-2016
period, two outbreaks occurred, one in 2011 and another in 2016. In the former,
the incidence was 6.9/100 000 inhabitants and the case-fatality rate 2.6%.
Thereafter, a decline in incidence was detected until 2014. During 2015 and 2016
an increase in the incidence transpired, but this rise was fortunately not
accompanied by one in the case fatality ratio. Indeed, in 2016 the case fatality
ratio was the lowest (0.6%). Moreover, during the 2016 outbreak, the incidence
(3.9/100 000 inhabitants) and the case severity detected in the most vulnerable
population (infants 0-2 months) were both lower than those in 2011. Consistent
with this pattern, in 2016, in the most populated province of Argentina (Buenos
Aires), the case percentage with laboratory-positive results indicating a high
number of symptoms (59.1% of the total cases) diminished compared with that
detected in the 2011 outbreak without maternal immunisation (71.9%). Using the
mathematical model of pertussis transmission we previously designed, we assessed
the effect of vaccination during pregnancy on infant incidence. From comparisons
between the epidemiological data made through calculations, emerged the
possibility that vaccinating women during pregnancy would benefit the infants
beyond age 2 months, specifically in the 2-12-month cohort.
PMID- 29655386
TI - A comparative network analysis of eating disorder psychopathology and co
occurring depression and anxiety symptoms before and after treatment.
AB - BACKGROUND: Network analysis is an emerging approach in the study of
psychopathology, yet few applications have been seen in eating disorders (EDs).
Furthermore, little research exists regarding changes in network strength after
interventions. Therefore the present study examined the network structures of ED
and co-occurring depression and anxiety symptoms before and after treatment for
EDs. METHOD: Participants from residential or partial hospital ED treatment
programs (N = 446) completed assessments upon admission and discharge. Networks
were estimated using regularized Graphical Gaussian Models using 38 items from
the Eating Disorders Examination-Questionnaire, Quick Inventory of Depressive
Symptomatology, and State-Trait Anxiety Inventory. RESULTS: ED symptoms with high
centrality indices included a desire to lose weight, guilt about eating, shape
overvaluation, and wanting an empty stomach, while restlessness, self-esteem,
lack of energy, and feeling overwhelmed bridged ED to depression and anxiety
symptoms. Comparisons between admission and discharge networks indicated the
global network strength did not change significantly, though symptom severity
decreased. Participants with denser networks at admission evidenced less change
in ED symptomatology during treatment. CONCLUSIONS: Findings suggest that
symptoms related to shape and weight concerns and guilt are central ED symptoms,
while physical symptoms, self-esteem, and feeling overwhelmed are links that may
underlie comorbidities in EDs. Results provided some support for the validity of
network approaches, in that admission networks conveyed prognostic information.
However, the lack of correspondence between symptom reduction and change in
network strength indicates that future research is needed to examine network
dynamics in the context of intervention and relapse prevention.
PMID- 29655387
TI - Specimens, slips and systems: Daniel Solander and the classification of nature at
the world's first public museum, 1753-1768.
AB - The British Museum, based in Montague House, Bloomsbury, opened its doors on 15
January 1759, as the world's first state-owned public museum. The Museum's
collection mostly originated from Sir Hans Sloane (1660-1753), whose vast
holdings were purchased by Parliament shortly after his death. The largest
component of this collection was objects of natural history, including a
herbarium made up of 265 bound volumes, many of which were classified according
to the late seventeenth-century system of John Ray (1627-1705). The 1750s saw the
emergence of Linnaean binomial nomenclature, following the publication of Carl
Linnaeus' Species Plantarum (1753) and Systema Naturae (1758). In order to adopt
this new system for their collections, the Trustees of the British Museum chose
to employ the Swedish naturalist and former student of Linnaeus, Daniel Solander
(1733-1782) to reclassify the collection. Solander was ordered to devise a new
system for classifying and cataloguing Sloane's natural history collection, which
would allow both Linnaeans and those who followed earlier systems to access it.
Solander's work was essential for allowing the British Museum to realize its aim
of becoming a public centre of learning, adapting the collection to reflect the
diversity of classificatory practices which were existent by the 1760s. This task
engaged Solander until 1768, when he received an offer from Joseph Banks (1743
1820) to accompany him on HMS Endeavour to the Pacific.
PMID- 29655388
TI - The Prevalence of Healthcare-Associated Infections in Mainland China: A
Systematic Review and Meta-analysis.
AB - OBJECTIVETo assess the prevalence of healthcare-associated infections (HAIs) in
mainland China.DESIGNSystematic review and meta-analysis.SETTINGAdults and
children from secondary and tertiary acute-care hospitals in mainland
China.METHODSWe searched PubMed, the China National Knowledge Infrastructure, and
Wan Fang for multicenter point-prevalence surveys of acute-care hospitals in
mainland China from January 2006 to August 2016. All reports related to HAI,
using a point-prevalence methodology and published either in English or Chinese
were eligible.RESULTSIn total, 3,021 publications were identified; 115 were
eligible for quality assessment and data abstraction. The weighted HAI prevalence
(95% confidence interval [CI]) overall, in general hospitals, children's
hospitals, maternal and child health hospitals, and oncology hospitals were 3.12%
(95% CI, 2.94%-3.29%), 3.02% (95% CI, 2.79%-3.26%), 4.43% (95% CI, 3.39%-5.47%),
1.88% (95% CI, 1.47%-2.29%), and 3.96% (95% CI, 3.12%-4.79%), respectively. In
general hospitals, prevalence was highest in adult intensive care units (26.07%;
95% CI, 23.03%-29.12%), followed by surgery (3.26%; 95% CI, 2.96%-3.57%), and
internal medicine (3.06%; 95% CI, 2.67%-3.46%). Overall, lower respiratory tract
infection was the most frequent HAI (24,185, 47.28%), followed by urinary tract
infection (5,773, 11.29%) and upper respiratory tract infection (5,194, 10.15%).
Gram-negative bacilli were the most frequently isolated pathogens, and
Pseudomonas aeruginosa (3,395, 14.91%), and Escherichia coli (2,918, 12.82%) were
the most common single microorganisms.CONCLUSIONSThis study is the largest
systematic review on the prevalence of HAI in mainland China. These results
provide a benchmark for future PPSs and a reference for infection prevention and
control strategies in mainland China.Infect Control Hosp Epidemiol 2018;39:701
709.
PMID- 29655389
TI - Dendrorchis pampae sp. n. (Digenea: Gorgoderidae) from Cynopoecilus melanotaenia
(Cyprinodontiformes: Cynolebiidae) a killifish from southern Brazil, with an
emended diagnosis of Dendrorchis.
AB - A new species of Dendrorchis is described and compared with others in the genus.
The parasites were found in the swim bladder of the annual killifish Cynopoecilus
melanotaenia. Hosts were collected from a seasonal wetland in southern Brazil.
The main characteristics of D. pampae are: genital pore in the intestinal
bifurcation region elongate and lobed vitellaria uterine loops limited to the
acetabular region and to the rear end of the body; and wide intestinal caeca. An
emended diagnosis of the genus Dendrorchis includes the characteristics of the
new species. This is the first record of an adult digenean in an annual killifish
from South America.
PMID- 29655390
TI - Long-term epidemiological dynamics of dengue in Barbados - one of the English
speaking Caribbean countries.
AB - Using the dengue surveillance program, we prospectively collected data on all the
suspected and confirmed cases of dengue in Barbados from 2006 to 2015. Data were
analysed for demographic, seasonal and temporal dynamics of this disease in this
country. The overall mean annual incidence rate of suspected and confirmed dengue
over the study period was 0.49% (range 0.15%-0.99%) and 0.16% (range 0.05%
0.48%), respectively. There was a significant correlation between the mean
monthly number of confirmed cases, the mean monthly rainfall and the mean monthly
relative humidity percentage. Dengue in this population is predominantly an
infection affecting children and young adults. The median age of the patients
with both, suspected and confirmed dengue was 25 years and the highest proportion
of cases was seen in the age group 0-15 years. The annual incidence rates of both
the suspected and the confirmed cases showed an upward trend during the study
period and this upward trend was more pronounced among children.
PMID- 29655391
TI - Incidence and prevalence of bacteriologically confirmed pulmonary tuberculosis
among adolescents and young adults: a systematic review.
AB - The burden of tuberculosis (TB) among adolescents and young adults in endemic
settings is poorly characterised. This study aimed to review published and
unpublished estimates of the incidence and prevalence of bacteriologically
confirmed TB among young people aged 10-24 years. We searched PubMed and World
Health Organization archives for publications and unpublished data from
population-based epidemiologic studies reporting confirmed pulmonary TB among
young people, conducted from January 2000 onwards. We identified 27 publications
and unpublished data from two national surveys, representing a total of 26
studies in 19 countries. The prevalence of bacteriologically confirmed TB ranged
from 45 to 799 per 100 000 in the Asia-Pacific region and from 160 to 462 per 100
000 in African settings. We did not identify any epidemiologic studies of
confirmed TB among adolescents living with human immunodeficiency virus (HIV).
Many studies were excluded due to absent or inadequately reported age-specific
data. Adolescents and young adults living in many endemic settings appear to be
at substantial risk of developing active TB. There is a pressing need to improve
the routine reporting of age in epidemiologic studies of TB, and to generate high
quality epidemiologic data regarding TB among adolescents living with HIV.
PMID- 29655392
TI - Bacteriophage M13 May Be Used for the Assessment of Viral Transfer during Doffing
of Ebola-Level Personal Protective Equipment.
PMID- 29655393
TI - Seasonal influenza among children diagnosed by their guardians: a small pilot
study in Japan.
AB - : AimWe aimed to elucidate the accuracy and optimal cut-off point of the self
diagnosis of influenza and the associated clinical symptoms of children by their
guardians, compared with those of the rapid influenza diagnostic test (RIDT).
BACKGROUND: Seasonal influenza is a common outpatient problem during the winter
season. A paediatric influenza epidemic has socio-economic impacts like temporary
school closure, school event cancellations, and unscheduled work absences among
parents. Hence, early identification and assessment of influenza to prevent its
spread is important from a societal perspective. METHOD: We performed a cross
sectional observational study in a rural clinic in Japan every winter season from
December 2013 to March 2016. We retrospectively extracted information from the
medical records and pre-examination checklists of 24 patients aged <12 years
(mean age, 5.4 years; men, 54.2%). The data extracted from the medical records
and pre-examination checklist included the baseline characteristics (age, sex and
past medical history of influenza), clinical signs and symptoms, diagnosis by
guardians (%) and RIDT results.FindingsThe optimal cut-off point of the self
diagnosis of influenza by guardians was 80%, with a sensitivity and specificity
of 63.6% (95% confidence interval: 30.8-89.1) and 92.3% (64.0-99.8). At a 50% cut
off point, the sensitivity and specificity were 90.9% (58.7-99.8) and 53.8% (25.1
80.8). The accuracy of feeling severely sick, as estimated by the guardians
showed a sensitivity and specificity of 90.9% (58.7-99.8) and 69.2% (38.6-90.9).
Our study indicates that the diagnosis of seasonal influenza by guardians to
their children would be useful in the establishment of both confirmatory
diagnoses when it has high probability above the optimal cut-off point (80%), and
exclusion diagnosis when it has low probability (50%). Not feeling severely sick,
estimated by the guardians might be a useful indicator for the exclusion of
paediatric influenza.
PMID- 29655394
TI - Weather correlates of Campylobacter prevalence in broilers at slaughter under
tropical conditions in Sri Lanka.
AB - Campylobacter is the primary agent of human bacterial gastroenteritis worldwide.
In contrast to temperate zones, weather effects on Campylobacter prevalence in
broilers under tropical conditions are under-researched. We examined the
association between weather and Campylobacter prevalence in slaughtered broilers
in Sri Lanka, a tropical country with weather variations led by monsoons. Each
month (October 2009-July 2011), 20-30 broiler batches referring to two semi
automated slaughterhouses from five Sri Lankan provinces were tested for
Campylobacter contamination and analysed in relation to temperature, humidity and
rainfall. Overall prevalence was 63.8% (95% CI 59.6-67.9%, n = 542), peaking in
September-November. Each 1 degrees C increase in monthly mean temperature up to
26 degrees C increased Campylobacter-positive batches by 16.4% (95% CI 0.4
35.1%). For each 10 mm increase in monthly total rainfall up to 300 mm,
Campylobacter-positive batches increased significantly by 0.8% (0.1-1.5%) at 1
month lag. For each 1% increase in relative humidity up to 80% at 1- and 2-month
lags, Campylobacter-positive batches increased of respectively 4.2% (1.9-6.7%)
and 4.0% (1.5-6.5), and decreased by 3.6% (2.6-4.6%) and 4.0% (2.6-5.4%) for unit
increases above 80%. These results suggest that even in tropical countries
without marked seasons, there are weather effects possibly reflecting
Campylobacter potential to colonise its preferred host and/or survive in the
environment.
PMID- 29655395
TI - Firearm-related injuries among Canadian children and youth from 2006 to 2013: A
CHIRPP study.
AB - CLINICIAN'S CAPSULE What is known about the topic? Injuries from non-powder
firearms are common and potentially life-altering. The Canadian Pediatric Society
urges stricter controls on non-powder guns. What did this study ask? What are the
Canadian contextual trends in paediatric firearm injuries? What did this study
find? In this study, the rate of paediatric firearm injuries was stable from 2006
to 2013. Eye injuries inflicted by non-powder firearms were most common. Most
firearm injuries occurred through recreation and sport. Why does this study
matter to clinicians? Parents who receive physician counselling about firearm
safety report change in practice. This study highlights settings/individuals that
may be appropriate targets for intervention.
PMID- 29655396
TI - Are lateral ankle injuries in children fractures or sprains?
AB - Clinical questionWhat is the prevalence of Salter-Harris type I distal fibula
fractures in children with lateral ankle injuries?Article chosenBoutis K, Plint
A, Stimec J, et al. Radiograph-negative lateral ankle injuries in children occult
growth plate fracture or sprain? JAMA Pediatr 2016;170(1):e154114.
PMID- 29655397
TI - Team composition and chronic disease management within primary healthcare
practices in eastern Ontario: an application of the Measuring Organizational
Attributes of Primary Health Care Survey.
AB - BACKGROUND: Various organizational-level attributes are being implemented in
primary healthcare to improve healthcare delivery. There is a need to describe
the distribution and nature of these attributes and explore differences across
practices.AimThe aim of this study was to better understand organizational
attributes of primary care teams, focusing specifically on team composition,
nursing roles, and strategies that support chronic disease management. METHODS:
We employed a cross-sectional survey design. Team composition, nursing roles,
availability of health services, and chronic disease management activities were
described using the 'Measuring Organizational Attributes of Primary Health Care
Survey.'FindingsA total of 76% (n=26 out of 34) of practice locations completed
the survey, including family health teams (FHT; n=21) and community health
centers (CHC; n=4). Nurse practitioners (NPs) and registered nurses (RNs) were
the most common non-physician providers, and CHCs had a greater proportion of non
physician providers than FHTs. There was overlap in roles performed by NPs and
RNs, and registered practical nurses engaged in fewer roles compared with NPs and
RNs. A greater proportion of FHTs had systematic chronic disease management
services for hypertension, depression and Alzheimer's disease compared with CHC
practices. The 'Measuring Organizational Attributes of Primary Health Care
Survey' was a useful tool to highlight variability in organizational attributes
across PHC practices. Nurses are prominent within PHC practices, engaging in a
wide range of roles related to chronic disease management, suggesting a need to
better understand their contributions to patient care to optimize their roles.
PMID- 29655398
TI - Adult Empathy: Possible Gender Differences in Gene-Environment Architecture for
Cognitive and Emotional Components in a Large Italian Twin Sample.
AB - Empathy plays a central role in prosocial behavior and human cooperation. Very
few twin researchers have investigated innate and environmental effects in adult
empathy, and twin research on gender differences in these effects is sparse. The
goal of this study was to examine innate and environmental influences on three
components of an empathy scale frequently used with adults - the expression of
cognitive (CE), emotional (EE), and social skills (SS) empathy - and to explore
gender differences in the influences. Study participants were ~1,700 twins (18-65
years) enrolled in the Italian Twin Registry. Empathy was assessed with the
Italian version of the Empathy Quotient (EQ), for which the three-factor
structure (i.e., CE, EE, and SS) was confirmed. Twin correlations in monozygotic
and dizygotic pairs, and males and females were estimated for the total EQ and
subscale scores, and univariate genetic model fitting was carried out. Women's
empathy (i.e., total EQ as well as CE and EE subdimensions) was predominantly
driven by genetic factors and individual experiences, whereas for males, no
genetic contribution or important shared and individual environmental effects
emerged. Although of large magnitude, the gender differences did not reach
statistical significance. Age did not moderate empathy heritability in adulthood.
Only for the SS subscale were genetic and environmental proportions of variance
similar for men and women. This study suggests possible gender-specific innate
and environmental influences on empathy and its cognitive and emotional
components that need to be confirmed in future studies.
PMID- 29655399
TI - System outcomes associated with a pediatric emergency department clinical
decision unit.
AB - CLINICIAN'S CAPSULE What is known about the topic? Clinical decision units (CDUs)
may reduce short-stay hospitalizations (<48 hours), which are associated with
longer lengths of stay, increased staffing needs and higher costs. What did this
study ask? What are the disposition outcomes and emergency department (ED) return
rates following CDU care? Has CDU implementation changed short-stay
hospitalization rates? What did this study find? Most CDU patients were
discharged, and short-stay hospitalization rate significantly decreased by 0.39%
with CDU implementation. Why does this study matter to clinicians? The CDU may
reduce short-stay hospitalizations, and is a safe care option for pediatric
patients requiring prolonged ED care.
PMID- 29655400
TI - Seventy years of the British National Health Service: problem, politics and
policy streams.
AB - The British National Health Service (NHS) celebrates its 70th birthday on 5 July
2018. This paper examines this anniversary through the lens of previous
anniversaries, exploring two strands of political debates and NHS documents. It
draws on the basic 'multiple streams model' of Kingdon that argues that an issue
reaches the agenda when the policy window opens to allow the coupling of three
independent streams - policy, problem and politics. It is found that there
appears to be some discontinuity in the problem stream; some periods of relative
consensus and sharp political differences in the politics stream; and sharp
variations over time in the policy stream. While it is clear that there have been
both continuities and discontinuities in the problem, politics and policy streams
over the past 70 years, they have rarely come together to result in a policy that
has taken it off the agenda.
PMID- 29655401
TI - Classic, Provocative and/or Influential: A Twin Study Sampler/Twin Research
Reviews: Twin Pregnancies With and Without Polycystic Ovary Syndrome; Ectopic
Twin Pregnancy; Sex Differences in Cognition; Maternal Cardiac
Hemodynamics/Newsworthy: President Obama's Portrait Artist; Winkelvoss Twins
Update; Triplet Models; 'Meet Your Twin'.
AB - Selected twin research papers that are classic, provocative, and/or influential
are surveyed. Many papers meet these criteria, so those chosen reflect the
opinion of the author. Reviews of recent research on twin pregnancies with and
without polycystic ovary syndrome, ectopic pregnancy, sex differences in
cognition and maternal cardiac hemodynamics follow. Newsworthy twin-based items,
including President Obama's portrait artist, the financial activities of the
Winkelvoss twins, a set of triplet fashion models and the experience of seeing
oneself in a painting, are summarized.
PMID- 29655402
TI - Determining the relationship between bull sperm kinematic subpopulations and
fluorescence groups using an integrated sperm quality analysis technique.
AB - The aim of the present study was to determine whether there is an association
between the kinematic sperm subpopulations and fluorescent groups in bulls using
a new fluorescent staining method that allows classification of spermatozoa into
groups depending on their acrosomal and membrane integrity, as well as functional
status, without inhibiting sperm motility. Cryopreserved semen samples from 10
Holstein bulls were used in the study. A multiparametric analysis of results
obtained by the ISAS 3Fun kit (Proiser) was performed. The different fluorescent
groups were detected and their motility characteristics evaluated using ISAS
software. Clustering procedures using the kinematic data resulted in the
classification of spermatozoa into three kinematic sperm subpopulations. The
distribution of kinematic sperm subpopulations was different between the
fluorescent sperm groups (P<0.001), although the correlation between them was low
(r=0.113; P<0.01).
PMID- 29655403
TI - Lipid profile of bovine blastocysts exposed to insulin during in vitro oocyte
maturation.
AB - Insulin is a key hormone with important functions in energy metabolism and is
involved in the regulation of reproduction. Hyperinsulinaemia is known to impair
fertility (for example, in obese mothers); therefore, we aimed to investigate the
impact of elevated insulin concentrations during the sensitive period of oocyte
maturation on gene expression and lipid profiles of the bovine Day-8 embryo. Two
different insulin concentrations were used during in vitro oocyte maturation
(INS10=10ugmL-1 and INS0.1=0.1ugmL-1) in order to observe possible dose-dependent
effects or thresholds for hyperinsulinaemia in vitro. By investigating gene
expression patterns by an mRNA microarray in combination with lipid profile
analysis by desorption electrospray ionisation-mass spectrometry (DESI-MS) of
embryos derived from insulin-treated oocytes, we gained further insights
regarding molecular responses of embryos to insulin provocation during the first
days of development. Lipid metabolism appeared to be influenced on multiple
levels according to gene expression results but the profiles collected in
positive-ion mode by DESI-MS (showing mostly ubiquinone, cholesteryl esters and
triacylglycerols) did not differ significantly from controls. There are parallels
in follicular development of ruminants and humans that make this bovine model
relevant for comparative research on early human embryonic development during
hyperinsulinaemia.
PMID- 29655404
TI - WITHDRAWN: Treatment Effect of Combination of Nsaid and Antitussives in Patients
With URTI-Related Cough.
AB - The Publisher regrets that this article is an accidental duplication of an
article that has already been published in Eur Respir J. 46 (2015) PA3852,
http://dx.doi.org/10.1183/13993003.congress-2015.PA3852. The duplicate article
has therefore been withdrawn. The full Elsevier Policy on Article Withdrawal can
be found at https://www.elsevier.com/about/our-business/policies/article
withdrawal.
PMID- 29655405
TI - Special issue on dental research to celebrate KMUD 60th anniversary.
PMID- 29655406
TI - Aggregatibacter (Actinobacillus) actimycetemcomitans leukotoxin and human
periodontitis - A historic review with emphasis on JP2.
AB - Aggregatibacter (Actinobacillus) actimycetemcomitans (Aa) is a gram-negative
bacterium that colonizes the human oral cavity and is causative agent for
localized aggressive (juvenile) periodontitis (AgP). In the middle of 1990s, a
specific JP2 clone of belonging to the cluster of serotype b strains of Aa with
highly leukotoxicity (leukotoxin, LtxA) able to kill human immune cells was
isolated. JP2 clone of Aa was strongly associated with in particularly in rapidly
progressing forms of aggressive periodontitis. The JP2 clone of Aa is transmitted
through close contacts. Therefore, AgP patients need intense monitoring of their
periodontal status as the risk for developing severely progressing periodontitis
lesions are relatively high. Furthermore, timely periodontal treatment, including
periodontal surgery supplemented by the use of antibiotics, is warranted. More
importantly, periodontal attachment loss should be prevented by early detection
of the JP2 clone of Aa by microbial diagnosis testing and/or preventive means.
PMID- 29655407
TI - Periodontal prosthesis in contemporary dentistry.
AB - In the last 5 decades, the developments of osseointegrated titanium implants
(since 1965) have led to the success of contemporary dentistry. Endosseous
implant-supported restorations delivered in accordance with the traditional
Branemark protocol have proven to be highly predictable. Today, implants are
becoming increasingly common in dental care and provide more therapeutic options,
but treatment planning and the sequencing of therapy are critical in implant
assisted and implant-supported cases. Implant prostheses give patients and
dentists more options in treatment planning, but also present challenging
decisions regarding implant surgery. In essence, the emerging thought is that
teeth are expendable, as we now have implants to solve these problems. The fact
that peri-implantitis is no simple problem to treat does not seem to affect many
who hold that thought. In this article, the authors explain how to properly apply
the periodontal prosthesis philosophy, concepts, principles, and techniques in
contemporary dentistry. (This article is an update from the article was published
in 2005) [1].
PMID- 29655408
TI - A novel beta-titanium alloy orthodontic wire.
AB - This literature review investigated a recently developed orthodontic wire
composed of a beta-titanium alloy known as "Gum Metal" and compared its
properties with those of conventional wires. The attractive properties of Gum
Metal include an ultra-low Young's modulus, non-linear elastic behavior, ultra
high strength, high yield strain, high ductility, and superplastic deformability
without work hardening at room temperature. The unique multifunctional
characteristics of this new orthodontic wire make it almost ideal for orthodontic
applications. The results of this literature review indicate the strong potential
use of Gum Metal wire for improving and enhancing the effectiveness of
orthodontic treatment.
PMID- 29655409
TI - Orthodontic tooth movement: The biology and clinical implications.
AB - Orthodontic tooth movement relies on coordinated tissue resorption and formation
in the surrounding bone and periodontal ligament. Tooth loading causes local
hypoxia and fluid flow, initiating an aseptic inflammatory cascade culminating in
osteoclast resorption in areas of compression and osteoblast deposition in areas
of tension. Compression and tension are associated with particular signaling
factors, establishing local gradients to regulate remodeling of the bone and
periodontal ligament for tooth displacement. Key regulators of inflammation and
tissue turnover include secreted factors like RANK ligand and osteoprotegerin,
transcription factors such as RUNX2 and hypoxia-inducible factor, cytokines,
prostaglandins, tissue necrosis factors, and proteases, among others.
Inflammation occurred during tooth movement needs to be well controlled, as
dysregulated inflammation leads to tissue destruction manifested in orthodontic
induced root resorption and periodontal disease. Understanding the biology has
profound clinical implications especially in the area of accelerating orthodontic
tooth movement. Surgical, pharmacological, and physical interventions are being
tested to move teeth faster to reduce treatment times and time-dependent adverse
outcomes. Future developments in acceleratory technology and custom appliances
will allow orthodontic tooth movement to occur more efficiently and safely.
PMID- 29655410
TI - Non-orthodontic intervention and non-nutritive sucking behaviours: A literature
review.
AB - Anterior open bite (AOB) is one of the most complex malocclusions to manage. AOB
is caused by either by skeletal, genetic or environmental factors. Numerous
treatment options are currently utilised to manage AOB. These vary from non
invasive behavioural shaping to orthodontic and surgical interventions. This
paper reviews the available orthodontic and non-orthodontic interventions used in
the management of AOB. The literature review was carried out using the PubMed
search engine from the first of January 2000 to the first of June 2017. Two major
keywords (open bite and anterior open bite) were used in addition to 23 minor
keywords in the review. AOB is one of the most complex malocclusions to treat
with high relapse rates. Long term outcome in treatments of patients with AOB was
substantially low. Relapse rates were not taken into consideration for some of
the literature reviewed. Despite limitations of the literature, it is recommended
that orofacial myofunctional therapy (OMT) and non-orthodontic intervention (NOI)
be used in conjunction as an effective treatment option for Anterior Open Bite.
PMID- 29655411
TI - Functional disorders of the temporomandibular joints: Internal derangement of the
temporomandibular joint.
AB - Temporomandibular joint (TMJ) is one of the most complex joints of the human
body. Due to its unique movement, in terms of combination of rotation and
translator movement, disc of the joint plays an important role to maintain its
normal function. In order to sustain the normal function of the TMJ, disc must be
kept in proper position as well as maintain normal shape in all circumstances.
Once the disc is not any more in its normal position during function of the
joint, disturbance of the joint can be occurred which will lead to subsequent
distortion of the disc. Shape of the disc can be influenced by many factors i.e.:
abnormal function or composition of the disc itself. Etiology of the internal
derangement of the disc remains controversial. Multifactorial theory has been
postulated in most of previous manuscripts. Disc is composed of mainly
extracellular matrix. Abnormal proportion of collagen type I & III may also leads
to joint hypermobility which may be also a predisposing factor of this disorder.
Thus it can be recognized as local manifestation of a systemic disorder.
Different treatment modalities with from conservative treatment to surgical
intervention distinct success rate have been reported. Recently treatment with
extracellular matrix injection becomes more and more popular to strengthen the
joint itself. Since multifactorial in character, the best solution of the
treatment modalities should be aimed to resolve possible etiology from different
aspects. Team work may be indication to reach satisfied results.
PMID- 29655412
TI - Dental deafferentation and brain damage: A review and a hypothesis.
AB - In the last few decades, neurobiological and human brain imaging research have
greatly advanced our understanding of brain mechanisms that support perception
and memory, as well as their function in daily activities. Knowledge of the
neurobiological mechanisms behind the deafferentation of stomatognathic systems
has also expanded greatly in recent decades. In particular, current studies
reveal that the peripheral deafferentations of stomatognathic systems may be
projected globally into the central nervous system (CNS) and become an associated
critical factor in triggering and aggravating neurodegenerative diseases. This
review explores basic neurobiological mechanisms associated with the
deafferentation of stomatognathic systems. Further included is a discussion on
tooth loss and other dental deafferentation (DD) mechanisms, with a focus on
dental and masticatory apparatuses associated with brain functions and which may
underlie the changes observed in the aging brain. A new hypothesis is presented
where DD and changes in the functionality of teeth and the masticatory apparatus
may cause brain damage as a result of altered cerebral circulation and
dysfunctional homeostasis. Furthermore, multiple recurrent reorganizations of the
brain may be a triggering or contributing risk factor in the onset and
progression of neurodegenerative conditions such as Alzheimer's disease (AD). A
growing understanding of the association between DD and brain aging may lead to
solutions in treating and preventing cognitive decline and neurodegenerative
diseases.
PMID- 29655414
TI - Augmented reality (AR) and virtual reality (VR) applied in dentistry.
AB - The OSCE is a reliable evaluation method to estimate the preclinical examination
of dental students. The most ideal assessment for OSCE is used the augmented
reality simulator to evaluate. This literature review investigated a recently
developed in virtual reality (VR) and augmented reality (AR) starting of the
dental history to the progress of the dental skill. As result of the lacking of
technology, it needs to depend on other device increasing the success rate and
decreasing the risk of the surgery. The development of tracking unit changed the
surgical and educational way. Clinical surgery is based on mature education. VR
and AR simultaneously affected the skill of the training lesson and navigation
system. Widely, the VR and AR not only applied in the dental training lesson and
surgery, but also improved all field in our life.
PMID- 29655413
TI - The science and application of IPS e.Max dental ceramic.
AB - The aim of this paper is to report the state of current literature and
recommendations for the lithium disilicate glass-ceramic IPS e.Max. The materials
science, mechanical and optical properties were reviewed. Additionally an
assessment was conducted of current implementation recommendations and clinical
outcomes. This paper provides a brief historical overview, summary of the
findings the findings of current literature, and clinical recommendation for the
use of IPS e.Max CAD in dental applications.
PMID- 29655415
TI - Clinicopathological analysis of 232 radicular cysts of the jawbone in a
population of southern Taiwanese patients.
AB - This retrospective study aimed to evaluate the clinicopathological features of
232 cases of radicular cyst (January 2001-December 2016) submitted for
histopathological examination to Department of Oral Pathology by endodontists in
our institution. Demographic data including age, gender, affected site, involved
tooth, and histopathological features, were reviewed. The study population
comprised 133 females (57.3%) and 99 males (42.7%), with a mean age of 40.5 years
and an age range of 13-78 years. Two-hundred and one cysts occurred in the
maxilla (86.7%) and 31 in the mandible (13.3%). Most cases involved the anterior
teeth of the maxilla (67.2%). The most frequently-involved tooth was the
maxillary lateral incisor (50.5%). In most cases (228 cases; 98.3%), the cyst was
lined with nonkeratinized stratified squamous epithelium, with two cases
containing epithelial lining of the mucoepidermoid epithelium (0.9%) and
respiratory epithelium (0.9%), respectively. One case (0.4%) revealed epithelial
dysplasia of the epithelial lining. Hyaline body was seen in two cases (0.9%),
and Rushton body was noted in seven cases (3.0%). Odontogenic epithelial rest was
noted in one case (0.4%). Cholesterol clefts (54 cases; 23.3%), foamy histiocytes
(72 cases; 31.0%), hemosiderins (57 cases; 24.6%), dystrophic calcifications (94
cases; 40.5%), foreign bodies (44 cases; 19.0%), and bacterial colonies (22
cases; 9.5%) were also observed. Fifty-three cases (22.8%) showed a mixed acute
and chronic inflammatory infiltrate, whereas chronic inflammatory infiltrate only
was noted in 179 cases (77.2%). In summary, the current findings provide a
valuable source for clinicopathological reference concerning radicular cysts of
the jawbone.
PMID- 29655416
TI - Corrigendum to "Energy-weighted dynamical scattering simulations of electron
diffraction modalities in the scanning electron microscope" Ultramicroscopy 187
(2018) 98-106.
PMID- 29655417
TI - Corrigendum to Work Function Characterization of the Directionally Solidified
LaB6--VB2 Eutectic [Ultramicroscopy 183 (2017) 67-71].
PMID- 29655418
TI - WITHDRAWN: NSAID and Antitussives in Patients With Upper Respiratory Tract
Infection-Related Cough: A Good Combination?
AB - The Publisher regrets that this article is an accidental duplication of an
article that has already been published in Eur Respir J. 46 (2015) PA3852,
http://dx.doi.org/10.1183/13993003.congress-2015.PA3852. The duplicate article
has therefore been withdrawn. The full Elsevier Policy on Article Withdrawal can
be found at https://www.elsevier.com/about/our-business/policies/article
withdrawal.
PMID- 29655419
TI - A two dimensional metal-organic framework nanosheets-based fluorescence resonance
energy transfer aptasensor with circular strand-replacement DNA polymerization
target-triggered amplification strategy for homogenous detection of antibiotics.
AB - In the study, a novel two dimensional metal-organic framework (Cu-TCPP
nanosheets) based fluorescence resonance energy transfer (FRET) aptasensing
platform was developed for detecting antibiotics. Cu-TCPP nanosheets were
employed for quenching the background fluorescence and circular strand
replacement DNA polymerization (CSRP) for signal amplification. To fulfill the
purpose, we designed an aptamer hairpin probe (HP) whose stem can be opened while
specifically binding to target. Then the opened HP would bind with the primer.
Under the action of polymerase, extension reaction was induced to generate double
stranded DNA (dsDNA), and then the target was released for the next cycle.
Finally, SYBR Green I (SG) can bind with dsDNA to produce strong fluorescence
response for quantification of target. It's worth mentioning that the
fluorescence of HP/SG complex and free SG could be completely quenched by Cu-TCPP
nanosheets while that of dsDNA/SG complex wouldn't be affected. Thus, the sensor
produced negligible background signals. It can produce 7.5-fold improved S/N
compared to a graphene oxide (GO)-based FRET aptasensor. Chloramphenicol (CAP)
was chosen as the model analyte to demonstrate the feasibility of the sensor
system. The detection range is broad from 0.001 to 10 ng mL-1 with a detection
limit down to 0.3 pg mL-1. The proposed assay was label free and can be used in
homogenous detection which greatly simplifies the complexity of operations. The
strategy opens a new way to develop sensitive, in-situ and simple assay for
antibiotics in foods.
PMID- 29655420
TI - Dual enzyme-free amplification strategy for ultra-sensitive fluorescent detection
of bisphenol A in water.
AB - An ultra-sensitive strategy for bisphenol A (BPA) detection based on dual enzyme
free strategies: hybridization chain reaction (HCR) and enzyme-strand recycling
reaction has been developed. The BPA aptamer can form hairpins structure by the
partly self-complementary sequence. In the presence of BPA, the released BPA
aptamer sequence can trigger the HCR between two hairpins to from a long nicked
double-helix DNA. The tails of hairpins on the duplex DNA were closely enough to
hybridize with molecular beacon (MB) on the gold nanoparticles (AuNPs) to
circularly cleave the loop of MB, leading to a "turn-on" fluorescent signal. This
method exhibited high sensitivity for BPA detection in a linear rang from 0.2 to
1000 pM with 0.05 pM of limit of detection. Moreover, it was successfully used
for BPA detection in real water samples. Importantly, this method was simple
without complex enzymatic procedure and high cost, showing a promising future for
on-site detection of BPA in practical application.
PMID- 29655421
TI - A novel colorimetric aptasensor for ultrasensitive detection of cocaine based on
the formation of three-way junction pockets on the surfaces of gold
nanoparticles.
AB - Herein, a novel colorimetric aptasensor was introduced for detection of cocaine
based on the formation of three-way junction pockets on the surfaces of gold
nanoparticles (AuNPs) and the catalytic activity of the surfaces of AuNPs.
Simplicity and detection of cocaine in a short time (only 35 min) are some of the
unique features of the proposed sensing strategy. In the presence of cocaine,
triple-fragment aptamer (TFA) forms on the surfaces of AuNPs, leading to a
significant decrease of the catalytic activity of AuNPs and the color of samples
remains yellow. In the absence of target, TFA does not form on the surfaces of
AuNPs and 4-Nitrophenol, as a colorimetric agent, has more access to the surfaces
of AuNPs, resulting in the reduction of 4-Nitrophenol and the color of sample
changes from yellow to colorless. The sensing strategy showed good specificity, a
limit of detection (LOD) of 440 pM and a dynamic range over 2-100 nM. The sensing
method was also successfully applied to detect cocaine in spiked human serum
samples with recovery of 94.71-98.63%.
PMID- 29655422
TI - Aptamer-based microcantilever-array biosensor for profenofos detection.
AB - Profenofos, a highly poisonous organophosphorus pesticide, has been widely used
in agricultural production. These pesticide residues have seriously influenced
food security and threatened human health, and new methods with high sensitivity
are greatly needed to detect profenofos. Here, we developed an aptamer-based
microcantilever-array sensor operated in stress mode to detect profenofos, with
advantages of being a label-free, highly sensitive, one-step immobilization
method capable of quantitative and real-time detection. The microcantilevers were
functionalized with a profenofos-specific aptamer (SS2-55), and then the specific
binding of profenofos to aptamer induced a deflection of the microcantilever,
which was monitored using an optical method in a real-time manner. The
microcantilever deflection showed a positive relationship with profenofos
concentration, and the detection limit was low to 1.3 ng mL-1 (3.5 nM) for
profenofos, which was much lower than other aptamer-based detection methods. The
selectivity of the sensor was verified with another organophosphorus pesticide.
Additionally, we successfully detected profenofos dissolved in vegetable-soak
solution. Our results showed that this aptamer-based microcantilever-array sensor
is a convenient and label-free method for detecting profenofos in small amounts
and has great potential for food-security applications.
PMID- 29655423
TI - Aerosol sampler for analysis of fine and ultrafine aerosols.
AB - A new aerosol sampler based on the original version of Aerosol Counterflow Two
Jets Unit (ACTJU) is described. The ACTJU collector, connected with a water-based
Condensation Growth Unit (CGU) placed upstream of the ACTJU, accomplished the
quantitative collection of fine and ultrafine aerosol particles down to a few
nanometers in diameter. Condensation of water vapor in the CGU enlarges nanometer
sized particles to larger sizes in the supermicrometer range and the formed
droplets are then collected into water in the ACTJU collector. The continuous
collection of aerosols with the CGU-ACTJU sampler allows for the time-resolved
measurement of changes in the concentration of particulate constituents. Coupling
of the CGU-ACTJU sampler with on-line detection devices allows in-situ automated
analysis of water-soluble aerosol components with high time resolution of 1 s
(e.g., FIA detection for nitrite or nitrate) or 1 h (e.g., IC detection with
preconcentration step for inorganic anions). Under the optimum conditions (the
air flow rate of 10 L min-1 and water flow rate of 1.5 mL min-1), the limit of
detection (IC including the preconcentration) for particulate fluoride, chloride,
nitrite, nitrate, sulphate and phosphate is 2.53, 6.64, 24.2, 16.8, 0.12 and 5.03
ng m-3, respectively. The apparatus is sufficiently robust for its application at
routine monitoring of aerosol composition in real-time.
PMID- 29655424
TI - Simultaneous elastase-, hyaluronidase- and collagenase-capillary electrophoresis
based assay. Application to evaluate the bioactivity of the red alga Jania
rubens.
AB - There have been many efforts to search for affordable and efficient cosmetic
ingredients from natural sources and to evaluate their bioactivities using eco
responsible tools. Hyaluronidase, elastase and collagenase are responsible for
the degradation of the main components of the extracellular matrix, namely the
hyaluronic acid, elastin and collagen, respectively. The aim of this work was to
develop a single capillary electrophoresis method to monitor simultaneously the
activities of these three enzymes, without reactant immobilization or
radioactivity use. The developed approach was used to evaluate the bioactivity of
the red alga Jania rubens after microwave- or electrochemical-assisted
extraction. For this purpose, the incubation time, the reactant concentrations,
the separation buffer and the detection system were carefully chosen. CE with
double detection system, LIF and HRMS connected in series, was used to ensure the
simultaneous analysis of the substrates and products of the three enzymatic
reactions. The optimized enzymatic conditions allowed the use of the same
protocol to assess the 3 enzyme activities. These conditions consisted of 10 min
pre-incubation of the enzyme (with alga extract) at 37 degrees C; 10 min
incubation with the substrate at 37 degrees C and 10 min stop-time at 90
degrees C. 1.4 nL of each reaction mixture were co-injected into a 85 cm total
length capillary using short-end injection. Ammonium acetate (50 mM, pH 9.0) was
used for electrophoretic separation. All substrates and products were
simultaneously detected in less than 10 min with good peak symmetry and
efficiency, sufficient intra-day and inter-day repeatabilities (RSD < 4.5%; n =
3) and excellent LOQ (<5 nM). The results obtained using this multiple CE-based
enzymatic assay showed the significant effect of Jania rubens ethanolic extracts
on elastase, hyaluronidase and the metalloproteinase MMP-1.
PMID- 29655425
TI - Estimating the number of components and detecting outliers using Angle
Distribution of Loading Subspaces (ADLS) in PCA analysis.
AB - Principal Component Analysis (PCA) is widely used in analytical chemistry, to
reduce the dimensionality of a multivariate data set in a few Principal
Components (PCs) that summarize the predominant patterns in the data. An accurate
estimate of the number of PCs is indispensable to provide meaningful
interpretations and extract useful information. We show how existing estimates
for the number of PCs may fall short for datasets with considerable coherence,
noise or outlier presence. We present here how Angle Distribution of the Loading
Subspaces (ADLS) can be used to estimate the number of PCs based on the
variability of loading subspace across bootstrap resamples. Based on
comprehensive comparisons with other well-known methods applied on simulated
dataset, we show that ADLS (1) may quantify the stability of a PCA model with
several numbers of PCs simultaneously; (2) better estimate the appropriate number
of PCs when compared with the cross-validation and scree plot methods,
specifically for coherent data, and (3) facilitate integrated outlier detection,
which we introduce in this manuscript. We, in addition, demonstrate how the
analysis of different types of real-life spectroscopic datasets may benefit from
these advantages of ADLS.
PMID- 29655426
TI - Highly efficient DNA extraction and purification from olive oil on a washable and
reusable miniaturized device.
AB - Sample preparation from complex matrixes with minute DNA content could highly
benefit from the miniaturization of solid phase extraction (SPE) based devices
due to an increased surface area-to-volume ratio. However, the adaptation of
"bench-top" based protocols for DNA purification to miniaturized devices is not
as straightforward as it might seem, and several issues need to be considered. A
careful evaluation of DNA extraction and purification protocols needs to be
performed, taking into account the complexity of such samples, and in order to
facilitate the integration with the subsequent step, normally DNA amplification.
In this work a washable and reusable miniaturized device for DNA purification
based on microscale solid phase extraction (MUSPE), containing a commercial
disposable silica membrane as the solid phase for DNA capture, was developed. The
DNA purification protocol was firstly optimized by testing a set of different
conditions, including buffer composition in all three steps of analysis and
incubation during the elution step, with the objective of increasing the DNA
yield and facilitating the integration in a miniaturized setting. This protocol
was then tested with olive oil samples, including a pretreatment step also
developed and optimized in this work. DNA analysis of olive oil samples is of
high interest for the detection of fraudulent adulteration with oil from other
seeds and for cultivar identification. The results were compared with the
commercial NucleoSpin(r) Food kit regarding efficiency and purity of the DNA
extract, by estimating the DNA yield and evaluating the absorbance ratios
A260/280 and A260/230. The miniaturized DNA purification device showed better
performance than the commercial kit tested, making this method a very promising
sample preparation approach for olive oil and other samples with minute DNA
content.
PMID- 29655427
TI - Enhanced cleanup efficiency hydroxy functionalized-magnetic graphene oxide and
its comparison with magnetic carboxyl-graphene for PRiME pass-through cleanup of
strychnine and brucine in human plasma samples.
AB - An enhanced cleanup efficiency hydroxy functionalized-magnetic graphene oxide (EH
Mag-GO) fully covered porous nano-titania as coating has been designed and
synthesized. It has been evaluated in PRiME (process, robustness, improvements,
matrix effects, ease of use) pass-through cleanup procedure for human plasma
prior to analysis of strychnine and brucine by liquid chromatography-tandem
quadrupole mass spectrometry (LC-MS/MS). Comparing with the magnetic carboxyl
graphene (Mag-CG), EH-Mag-GO is much more effective for the removal of matrix
effect resulted from blood phospholipids. Under optimal conditions, the results
show higher cleanup efficiency of EH-Mag-GO with recoveries in the range of 89.4%
118%. The limits of quantification (LOQs) for strychnine and brucine are 0.088
MUg/L and 0.092 MUg/L, respectively. Especially, the EH-Mag-GO is also evaluated
for reuse (20 times) without much sacrifice of the cleanup efficiency. Validation
results on linearity, specificity, accuracy and precision, as well as on the
application to analysis of strychnine and brucine in six cases of suspected semen
strychni poisoning demonstrate the applicability to clinical studies.
PMID- 29655428
TI - Simultaneous determination of trace levels of multiclass fungicides in natural
waters by solid - phase microextraction - gas chromatography-tandem mass
spectrometry.
AB - A solvent-free method based on solid-phase microextraction (SPME) followed by gas
chromatography-tandem mass spectrometry (GC-MS/MS) has been developed for the
simultaneous determination of eleven fungicides at trace levels in different
types of waters. Several experimental SPME parameters such as temperature, fibre
coating, and SPME mode, were optimized in order to obtain the highest extraction
efficiency. Under the optimal conditions, 100 degrees C, polyacrilate fibre (PA)
and direct-immersion mode, the method was validated showing good linearity,
repeatability and reproducibility. Recovery studies were carried out in four
different real water matrices and at three concentration levels (20, 200 and 2000
ng L-1), with overall recovery values between 92 and 104% and relative standard
deviations (RSD) about 10%. Limits of detection (LODs) at the low ng L-1 were
obtained. The method demonstrated its suitability for the determination of
fungicides in real water samples using external calibration for quantification
purposes as well as for photodegradation studies at low concentration levels.
PMID- 29655429
TI - Mass spectrometric recommendations for Quan/Qual analysis using liquid
chromatography coupled to quadrupole time-of-flight mass spectrometry.
AB - BACKGROUND: High-throughput simultaneous quantitative and qualitative (Quan/Qual)
analysis is attractive to combine targeted with non-targeted analysis, e.g. in
pharmacometabolomics and drug metabolism studies. This study aimed to investigate
the possibilities and limitations of high-throughput Quan/Qual analysis by ultra
high performance liquid chromatography (UHPLC) coupled with high-resolution mass
spectrometry (HRMS), to develop a widely applicable Quan/Qual UHPLC-HRMS method
and to provide recommendations for Quan/Qual method development. METHODS: A
widely applicable 4.25-min UHPLC method for small-molecules was used to
investigate and optimize mass spectrometric parameters of a Synapt G2S for
Quan/Qual analysis. The method was applied on a rat metabolomics study
investigating the effect of the fasting state and administration of a dosing
vehicle on the rat plasma metabolic profile. RESULTS: Highly important parameters
for high-throughput Quan/Qual analysis were the scan mode and scan rate. A
negative correlation was found between the amount of qualitative information that
a method can provide and its quantitative performance (accuracy, precision,
sensitivity, linear dynamic range). The optimal balance was obtained using the
MSE scan mode with a short scan time of 30 ms. This 4.25-min Quan/Qual analysis
method enabled quantification with accuracy and precision values <= 20% at the
lowest quality control (QC) level and <=15% at higher QC levels for 16 out of 19
tested analytes. It provided both parent m/z values and fragmentation spectra for
compound identification with limited loss of chromatographic resolution and it
revealed biologically relevant metabolites in its application to the metabolomics
study. CONCLUSION: Quan/Qual method development requires balancing between the
amount of qualitative data, the quality of the quantitative data and the analysis
time. Recommendations are provided for MS resolution, scan mode, scan rate,
smoothing and peak integration in Quan/Qual method development and analysis.
PMID- 29655430
TI - Use of dielectric barrier discharge ionization to minimize matrix effects and
expand coverage in pesticide residue analysis by liquid chromatography-mass
spectrometry.
AB - Although electrospray ionization (ESI) remains the gold standard ionization
source for LC-MS, it exhibits two main limitations: the occurrence of matrix
effects and the limited ionization coverage towards nonpolar compounds.
Dielectric barrier discharge ionization (DBDI) has gained attraction in recent
years as a versatile ionization method in different applications and formats.
Here, we report a thorough evaluation of DBDI as ionization interface for LC-MS,
which reveals attractive advantages over ESI and atmospheric pressure chemical
ionization (APCI) provided its singular ionization mechanism versatility. A suite
of 80 pesticides across a wide range of physicochemical properties were selected
and the results were compared with both ESI and APCI sources. Using a helium
plasma operated in homogeneous regime with square-wave AC waveform and relatively
low voltages (2.5 kV), not only DBDI was able to ionize compounds only amenable
so far by GC-MS (eg. organochlorine species), but also offered a competitive
performance in terms of sensitivity when contrasted with the commercial
electrospray ionization source under equivalent conditions. Unlike ESI, DBDI
mechanism occurs in the gas-phase, so the method is less affected by liquid-phase
surface phenomena that yield ion suppression in ESI. Data collected in the
positive ion mode revealed negligible matrix effect values (<10% suppression) for
most of the studied compounds in different complex matrix extracts such as
wastewater, orange or olive oil. This is also consistent with the absence of
adduct formation whereas with ESI source, Na adduct formation is quite common
with these species. In general, both sensitivity and average limits of
quantitation for DBDI were similar to those obtained by ESI and better than APCI.
Results showed that analyte coverage with DBDI is enhanced with respect to ESI
and APCI sources being able to effectively analyze organochlorine compounds.
PMID- 29655431
TI - Optical trapping-Raman spectroscopy (OT-RS) with embedded microscopy imaging for
concurrent characterization and monitoring of physical and chemical properties of
single particles.
AB - The study of physical and chemical properties of a microscopic object, such as a
single particle, is made possible using optical trapping (OT) technology combined
with other measuring techniques. Here we show a universal optical trap combined
with Raman spectroscopy (RS) and microscopy imaging for single-particle studies.
The universal optical trap is constructed using two counter-propagating hollow
beams and is able to stably levitate single particles of a wide range of
properties, such as transparent or absorbing materials, organic (polymers,
bioaerosols, etc.) or inorganic constituents (carbon, silica, glass, etc.), and
spherical or irregularly shaped morphologies. Both physical and chemical
properties and their temporal evolution of the trapped particle can be
characterized simultaneously using the integrated OT-RS and imaging system. We
created three sample cases to demonstrate the analytical merits of the system:
(I) a single particle with no change, (II) partially degraded over the measuring
period, and (III) one part from the fragmentized single particle. The particles'
chemical compositions, crystalline states, etc. are inferred from their Raman
spectra, while their physical properties (sizes, shapes, morphologies, etc.) are
revealed by images. This integrated OT-RS system provides a new approach to
concurrently characterize and monitor physical and chemical properties of single
micrometer-sized objects optically trapped in air.
PMID- 29655432
TI - The discrimination threshold: A selection criterion for analytical methods based
on measurement uncertainty - Application to animal stress studies.
AB - Many biological studies seek to confirm the effect of a treatment on the levels
of chemical markers in biological tissues. This requires choosing the analytical
method best able to detect the difference between basal levels and those found
after treatment. We propose a new approach to calculate a criterion we call the
'discrimination threshold' (DT), and we applied it to an analytical method that
we developed to determine cortisol in cattle plasma to detect stress. DT is
derived from the measurement uncertainty (MU), and combines the variabilities of
both the analytical method and the marker. The uncertainty of the analytical
method comes from the method validation study. The marker variability (here
cortisol) is modeled from a literature review. The graphical representation of DT
allows estimating the applicability of the method. Our analytical method is shown
to perform well when the difference in blood cortisol exceeds 18 ng. mL-1.
PMID- 29655433
TI - Spectrophotometric calibration procedures to enable calibration-free measurements
of seawater calcium carbonate saturation states.
AB - A simple protocol was developed to measure seawater calcium carbonate saturation
states (Omegaspec) spectrophotometrically. Saturation states are typically
derived from the separate measurement of two other carbon system parameters, with
each requiring unique instrumentation and often complex measurement protocols.
Using the new protocol, the only required equipment is a thermostatted laboratory
spectrophotometer. For each seawater sample, spectrophotometric measurements of
pH (visible absorbance) are made in paired optical cells, one with and one
without added nitric acid. Ultraviolet absorbance is measured to determine the
amount of added acid based on the direct proportionality between nitrate
concentration and UV absorbance. Coupled measurements of pH and the alkalinity
change that accompanies the nitric acid addition allow calculation of a seawater
sample's original carbonate ion concentration and saturation state. These paired
absorbance measurements yield Omegaspec (and other carbonate system parameters),
with each sample requiring about 12 min processing time. Initially, an instrument
specific nitrate molar absorptivity coefficient must be determined (due to small
but significant discrepancies in instrumental wavelength calibrations), but
thereafter no further calibration is needed. In this work, the 1sigma precision
of replicate measurements of aragonite saturation state was found to be 0.020,
and the average difference between Omegaspec and Omega calculated conventionally
from measured total alkalinity and pH (Omegacalc) was -0.11% +/- 0.96% (a level
of accuracy comparable to that obtained from spectrophotometric measurements of
carbonate ion concentration). Over the entire range of experimental conditions,
0.97 < Omega < 3.17 (n = 125), all measurements attained the Global Ocean
Acidification Observing Network's "weather level" goal for accuracy and 90%
attained the more stringent "climate level" goal. When Omegaspec was calculated
from averages of duplicate samples (n = 56), the precision improved to 0.014 and
the average difference between Omegaspec and Omegacalc improved to -0.11% +/-
0.73%. Additionally, 97% of the duplicate-based Omegaspec measurements attained
the "climate level" accuracy goal. These results indicate that the simple
measurement protocol developed in this work should be widely applicable for
monitoring fundamental seawater changes associated with ocean acidification.
PMID- 29655434
TI - Improving quality of care in patients with Barrett's esophagus by measuring and
improving neoplasia detection rates.
PMID- 29655435
TI - Endoscopic removal of obstructing food bolus: Can a cap do the trick?
PMID- 29655436
TI - Too loose to lose: an investigation into endoscopic anastomotic reduction to
reestablish weight loss.
PMID- 29655437
TI - Response.
PMID- 29655438
TI - ERCP credentialing approaches in Australia and throughout the world.
PMID- 29655439
TI - Response.
PMID- 29655440
TI - Blood urea nitrogen increase is an expected finding in nonvariceal upper GI
bleeding patients with underlying moderate or severe renal disease.
PMID- 29655441
TI - Thoughts of the safety and efficacy of EUS-guided cyst ablation with the use of
lauromacrogol.
PMID- 29655442
TI - Response.
PMID- 29655443
TI - Endoscopic submucosal dissection for early Barrett's esophagus neoplasia.
PMID- 29655444
TI - Response.
PMID- 29655445
TI - Risk management in port and maritime logistics.
PMID- 29655447
TI - Ocular Myasthenia.
AB - Ocular myasthenia is a form of myasthenia gravis in which weakness is restricted
to the ocular muscles and may produce significant visual disability. Patients
present with fluctuating ptosis, diplopia, or a combination of both. Examination
may show any type of ocular motility deficit ranging from isolated muscle palsy
to complete ophthalmoplegia. Cogan lid twitch, enhanced ptosis, peek sign, and
saccadic fatigue are specific examination findings that support the clinical
diagnosis of myasthenia gravis. Confirmation of the diagnosis is challenging with
autoantibody serology, and repetitive nerve stimulation studies are often
negative.
PMID- 29655446
TI - Practical Anatomy of the Neuromuscular Junction in Health and Disease.
AB - Neuromuscular junctions (NMJs) form between nerve terminals of spinal cord motor
neurons and skeletal muscles, and perisynaptic Schwann cells and kranocytes cap
NMJs. One muscle fiber has one NMJ, which is innervated by one motor nerve
terminal. NMJs are excitatory synapses that use P/Q-type voltage-gated calcium
channels to release the neurotransmitter acetylcholine. Acetylcholine receptors
accumulate at the postsynaptic specialization called the end plate on the muscle
fiber membrane, the sarcolemma. Proteins essential for the organization of end
plates include agrin secreted from nerve terminals, Lrp4 and MuSK receptors for
agrin, and Dok-7 and rapsyn cytosolic proteins in the muscle.
PMID- 29655448
TI - Generalized Myasthenia Gravis: Classification, Clinical Presentation, Natural
History, and Epidemiology.
AB - Myasthenia gravis (MG) is a rare disease, but the most common disorder of the
neuromuscular junction. It is the prototypic autoimmune disease most commonly
caused by antibodies to the acetylcholine receptor (AChR) leading to
characteristic fatigable weakness of the ocular, bulbar, respiratory, axial, and
limb muscles. The majority of patients with MG first present with ocular
symptoms. Most patients with MG will experience at least 1 exacerbation of
symptoms throughout the course of their illness. This article will cover the
epidemiology, clinical presentation, classification, and natural history of MG.
PMID- 29655449
TI - Diagnosis of Myasthenia Gravis.
AB - Myasthenia gravis (MG) diagnosis is primarily clinically based. By the end of the
clinical evaluation, clinicians have a sense as to whether presenting symptoms
and elicited signs are weakly or strongly supportive of MG. Diagnostic tests can
reaffirm the clinicians' impression. Edrophonium testing is rarely used but
helpful in cases of measurable ptosis. Decremental response on slow-frequency
repetitive nerve stimulation has a modest diagnostic yield in ocular MG but is
helpful in generalized MG cases. The most sensitive test is single-fiber
electromyography. In this article, the authors review the diagnostic testing
approach of practicing clinicians for suspected MG cases.
PMID- 29655450
TI - Nature and Action of Antibodies in Myasthenia Gravis.
AB - This article discusses antibodies associated with immune-mediated myasthenia
gravis and the pathologic action of these antibodies at the neuromuscular
junctions of skeletal muscle. To explain how these antibodies act, we consider
the physiology of neuromuscular transmission with emphasis on 4 features: the
structure of the neuromuscular junction; the roles of postsynaptic acetylcholine
receptors and voltage-gated Na+ channels and in converting the chemical signal
from the nerve terminal into a propagated action potential on the muscle fiber
that triggers muscle contraction; the safety factor for neuromuscular
transmission; and how the safety factor is reduced in different forms of
autoimmune myasthenia gravis.
PMID- 29655452
TI - Treatment of Myasthenia Gravis.
AB - With specialized care, patients with myasthenia gravis can have very good
outcomes. The mainstays of treatment are acetylcholinesterase inhibitors, and
immunosuppressive and immunomodulatory therapies. There is good evidence
thymectomy is beneficial in thymomatous and nonthymomatous disease. Nearly all of
the drugs used for MG are considered "off-label." The 2 exceptions are
acetylcholinesterase inhibitors and complement inhibition with eculizumab, which
was recently approved by the US Food and Drug Administration for myasthenia
gravis. This article reviews the evidence base and provides a framework for the
treatment of myasthenia gravis, highlighting recent additions to the literature.
PMID- 29655453
TI - Measuring Clinical Treatment Response in Myasthenia Gravis.
AB - In this article we provide an overview of health-related outcome measurement-to
better understand what different outcomes used in myasthenia actually measure-and
to provide some guidance when choosing measures based on the clinical context and
question. In myasthenia, the most commonly used outcome measures are aimed at
assessing the signs and symptoms. In this review, we provide a summary of the
most commonly used outcome measures. We discuss instruments that gauge disease
overall health impact, such as on disability and quality of life. Finally, we
discuss other relevant outcomes such as steroid-sparing effects and the role of
surrogate markers.
PMID- 29655454
TI - An Update: Myasthenia Gravis and Pregnancy.
AB - Myasthenia gravis presents a risk factor for pregnancy and delivery, and can
affect the newborn. In return, pregnancy can affect the course of myasthenia and
worsen the disease during pregnancy requiring treatment modifications. Treatment
optimization and drug safety should be addressed before conception. Delivery is
complicated by prolonged labor. Newborns can develop neonatal myasthenia gravis,
a treatable and transient disease. Patients should not be discouraged to become
pregnant, but provided with supportive counseling, planning, and monitoring in a
multidisciplinary team involving obstetrician, anesthesiologist, pediatrician,
and neurologist. Pregnancy outcome is favorable in women who receive treatment
and expert care.
PMID- 29655455
TI - Congenital Myasthenic Syndromes.
AB - The congenital myasthenic syndromes (CMS) are a group of rare genetic conditions
characterized by abnormal neuromuscular transmission. Typically, these conditions
have been the result of a dysfunctional protein that is present in the
presynaptic terminal, the synaptic cleft, or the postsynaptic terminal. Many of
these syndromes present within the first few years of life with fluctuating and
fatiguable weakness in a distribution similar to myasthenia gravis, although a
limb-girdle distribution and late onset are also seen in certain specific types
of CMS. Electrodiagnostic testing with repetitive nerve stimulation may be
helpful in some forms of CMS.
PMID- 29655456
TI - Lambert-Eaton Myasthenic Syndrome.
AB - Lambert-Eaton myasthenic syndrome is a paraneoplastic or primary autoimmune
neuromuscular junction disorder characterized by proximal weakness, autonomic
dysfunction and ariflexia. The characteristic symptoms are thought to be caused
by antibodies generated against the P/Q-type voltage-gated calcium channels
present on presynaptic nerve terminals and by diminished release of
acetylcholine. More than half of Lambert-Eaton myasthenic syndrome cases are
associated with small cell lung carcinoma. Diagnosis is confirmed by serologic
testing and electrophysiologic studies. 3,4-diaminopyridine is effective
symptomatic treatment of LEMS.
PMID- 29655451
TI - Muscle-Specific Tyrosine Kinase and Myasthenia Gravis Owing to Other Antibodies.
AB - Around 20% of patients with myasthenia gravis are acetylcholine receptor antibody
negative; muscle-specific tyrosine kinase antibodies (MuSK) were identified as
the cause of myasthenia gravis in 30% to 40% of these cases. Anti MuSK myasthenia
gravis is associated with specific clinical phenotypes. One is a bulbar form with
fewer ocular symptoms. Others show an isolated head drop or symptoms
indistinguishable from acetylcholine receptor-positive myasthenia gravis. These
patients usually respond well to immunosuppressive therapy, but not as well to
cholinesterase inhibitors. Other antibodies associated with myasthenia gravis,
including low-density lipoprotein receptor-related protein 4, are discussed.
PMID- 29655457
TI - Fifty Key Publications on Myasthenia Gravis and Related Disorders.
PMID- 29655458
TI - Standardization of BNP and NT-proBNP Immunoassays in Light of the Diverse and
Complex Nature of Circulating BNP-Related Peptides.
AB - Brain natriuretic peptide (BNP) and the N-terminal fragment of the BNP precursor
(NT-proBNP) are widely used as heart failure (HF) biomarkers. Since the discovery
of BNP in 1988, much effort has been allocated to the precise detection of BNP
and NT-proBNP levels for reliable HF diagnostics. As a result, measurements of
these biomarkers are globally accepted and used in clinical practice for the
diagnosis of acute and chronic HF, risk stratification, and monitoring response
to therapy. Several immunoassays specific for BNP and NT-proBNP are currently
commercially available. Recent comparative studies show that there are marked
differences between different BNP and NT-proBNP assays and platforms, and the
results of measurements are not comparable enough. The lack of equivalence
between the assays complicates the interpretation of the results and renders the
cut-off points for diagnostic decisions to be method dependent. Presently, there
is no agreement on what kind of BNP or NT-proBNP standard should be used for
calibration, and a certified reference material as well as reference measurement
procedures are lacking. The aim of this chapter is to summarize the available
data on the complex nature of BNP-related peptides, specificity for existing BNP
and NT-proBNP immunoassays, and to discuss potential approaches for
standardization of BNP and NT-proBNP measurements.
PMID- 29655459
TI - Adiponectin and Its Isoforms in Pathophysiology.
AB - Adiponectin circulates in blood in multiple isoforms. High molecular weight (HMW)
adiponectin is thought to be most biologically active and promotes glucose
uptake, insulin sensitivity, and fatty acid oxidation. In obesity, adiponectin
isoform formation is disrupted, leading to an inverse association between
metabolic disease and HMW and total adiponectin. Adiponectin isoforms also
function as acute-phase reactants influencing inflammation in acute and chronic
disease. Interestingly, adiponectin and mortality have a U-shaped association.
Unfortunately, data concerning adiponectin and its pathophysiologic function
conflict. This is predominantly due to difficulties in adequate measurement of
adiponectin isoforms and lack of a gold standard. In this review we provide a
general overview of the formation and function of adiponectin and its isoforms
under physiologic conditions. We highlight the ways adiponectin isoform formation
is disrupted in obesity and its ensuing pathologic conditions. Furthermore, we
will elaborate on the role of adiponectin isoforms as inflammatory proteins with
respect to cardiac and kidney disease and discuss the association of adiponectin
with mortality. Finally, we will provide a historical perspective on the
measurement of adiponectin isoforms, current limitations, and future challenges.
PMID- 29655460
TI - Uncertainty in Measurement: Procedures for Determining Uncertainty With
Application to Clinical Laboratory Calculations.
AB - In Part II of this review we consider the very common case of multiple inputs to
a measurement process. We derive, using only elementary steps and the basic
mathematics covered in Part I, the formula for the propagation of uncertainties
from the inputs to the output. The Gaussian density distribution is briefly
explained, since an understanding of this distribution is needed for the
determination of so-called expanded uncertainties at the end of a measurement
process. The propagation formula in general involves correlations among the
inputs, although in many cases these correlations can be considered negligible.
Correlations, however, need to be taken into account in related matters such as
line-fitting and have particular relevance to method comparisons. These topics
are addressed briefly. We next discuss the important question of bias and its
incorporation into the expression of uncertainty. We present, finally, six real
world cases in clinical chemistry where uncertainty in the estimated value of the
measurand is calculated using the propagation formula.
PMID- 29655461
TI - Metabolomics and Lipidomics of Ischemic Stroke.
AB - Ischemic stroke is a sudden loss of brain function due to the reduction of blood
flow. Brain tissues cease to function with subsequent activation of the ischemic
cascade. Metabolomics and lipidomics are modern disciplines that characterize the
metabolites and lipid components of a biological system, respectively. Because
the pathogenesis of ischemic stroke is heterogeneous and multifactorial, it is
crucial to establish comprehensive metabolomic and lipidomic approaches to
elucidate these alterations in this disease. Fortunately, metabolomic and
lipidomic studies have the distinct advantages of identifying tissue/mechanism
specific biomarkers, predicting treatment and clinical outcome, and improving our
understanding of the pathophysiologic basis of disease states. Therefore, recent
applications of these analytical approaches in the early diagnosis of ischemic
stroke were discussed. In addition, the emerging roles of metabolomics and
lipidomics on ischemic stroke were summarized, in order to gain new insights into
the mechanisms underlying ischemic stroke and in the search for novel metabolite
biomarkers and their related pathways.
PMID- 29655462
TI - Obesity, Thrombotic Risk, and Inflammation in Cancer.
AB - : Neoplasms exhibits a high incidence and mortality rates due to their complex
and commonly overlapping clinical, biochemical, and morphologic profiles
influenced by acquired or inherited molecular abnormalities, cell of origin, and
level of differentiation. Obesity appears related to ~20% of cancers including
endometrial, esophageal, colorectal, postmenopausal breast, prostate, and renal.
Several factors other than obesity, i.e., insulin, insulin-like growth factor,
sexual hormones, and adipokines may play a potential role in neoplasia. Cancer
associated hypercoagulable and thrombotic states are influenced by abnormalities
in the vascular wall and susceptibility to invasion, interference in blood flow
and increase in circulating tissue factor and thrombin, activation of cell growth
factors, the presence of a central catheter, chemotherapies, neoplasm type, and
surgery. In cancer, thromboembolic complications are the second most frequent
cause of death with pulmonary thromboembolism in ~50% of cases postmortem.
Thrombosis worsens prognosis as demonstrated with a survival rate as low as 12%
per year vs 36% in nonthrombic patients. Deep vein thrombosis is the most
frequent thromboembolic complication in cancer. It is usually detected at
diagnosis and within the first 3 months of chemotherapy. The underlining
mechanisms of this association should be further studied to identify patients at
higher risk and develop adequate prevention, diagnostic, and treatment measures.
The D-dimer test can be successfully used to assess the fibrinolytic phase of
coagulation and as such is routinely used in suspected cases of deep vein
thrombosis and pulmonary thromboembolism. In addition, significant advances have
been made in understanding the composition and functional capabilities of the gut
microbiota in the inflammatory process, obesity, and its roles in cancer;
however, the intricate balance that exists within the microbiota may not only
affect the host directly, it can also disrupt the entire microbial community.
CONCLUSIONS: Cancer is a prothrombotic and inflammatory state in which the
activation of coagulation is related to tumor growth, angiogenesis, and
metastasis. It is important to identify the relationship between body mass index
with these processes and clarify their importance in cancer prognosis. Future
research should answer the question if manipulation of resident microbial
communities could potentially improve prognosis and treatment outcome.
PMID- 29655464
TI - Preface.
PMID- 29655463
TI - Proteomics for Biomarker Identification and Clinical Application in Kidney
Disease.
AB - Treatment effectiveness for kidney disease is limited by lack of accuracy,
sensitivity, specificity of diagnostic, prognostic, and therapeutic biomarkers.
The gold standard test renal biopsy along with serum creatinine and proteinuria
is often necessary to establish a diagnosis, particularly in glomerular disease.
Proteomics has become a powerful tool for novel biomarker discovery in kidney
disease. Novel proteomics offer earlier and more accurate diagnosis of renal
pathology than possible with traditional biomarkers such as serum creatinine and
urine protein. In addition, proteomic biomarkers could also be useful to choose
the most suitable therapeutic targets. This review focuses on the current status
of proteomic biomarkers from animal models (5/6 nephrectomy, unilateral ureteral
obstruction, and diabetic nephropathy) and human studies (chronic kidney disease,
glomerular diseases, transplantation, dialysis, acute and drug-induced kidney
injury) to assess relevant findings and clinical usefulness. Current issues and
problems related to the discovery, validation, and clinical application of
proteomic biomarkers are discussed. We also describe several proteomic strategies
highlighting technologic advancements, specimen selection, data processing and
analysis. This review might provide help in future proteomic studies to improve
the diagnosis and management of kidney disease.
PMID- 29655465
TI - Translating Pharmacokinetic and Pharmacodynamic Data into Practice.
AB - Pharmacokinetic (PK) and pharmacodynamic (PD) publications provide scientific
evidence for incorporation in evidence-based veterinary medicine, aiding the
clinician in selecting doses and dosing intervals. PK and PD studies have
reported wide variations within exotic species, due to physiologic differences in
absorption, distribution, metabolism, and excretion. PK studies offer species
specific data to help tailor doses and dosing routes to individual patients,
minimize toxicity, and provide a cornerstone for PD studies to determine drug
efficacy. This article reviews the application of PK parameters and the
challenges in determining the PD activity of drugs, with a particular emphasis on
exotic species.
PMID- 29655466
TI - The Educated Guess: Determining Drug Doses in Exotic Animals Using Evidence-Based
Medicine.
AB - Lack of species-specific pharmacokinetic and pharmacodynamic data is a challenge
for pharmaceutical and dose selection. If available, dose extrapolation can be
accomplished via basic equations. If unavailable, several methods have been
described. Linear scaling uses an established milligrams per kilograms dose based
on weight. This does not allow for differences in species drug metabolism,
sometimes resulting in toxicity. Allometric scaling correlates body weight and
metabolic rate but fails for drugs with significant hepatic metabolism and cannot
be extrapolated to avians or reptiles. Evidence-based veterinary medicine for
dose design based on species similarity is discussed, considering physiologic
differences between classes.
PMID- 29655467
TI - Compounding and Extralabel Use of Drugs in Exotic Animal Medicine.
AB - Extralabel drug use is the use of a Food and Drug Administration (FDA)-approved
drug in a manner different from what is stipulated on the approved label.
Compounding is the process of preparing a medication in a manner not indicated on
the label to create a formulation specifically tailored to the needs of an
individual patient. Extralabel drug use and compounding are vital aspects of safe
and effective drug delivery to patients in exotic animal practice. There are few
FDA-approved drugs for exotic animal species, and many approved drugs for other
species are not available in suitable formulations for use in exotic animals.
PMID- 29655468
TI - Overview of Drug Delivery Methods in Exotics, Including Their Anatomic and
Physiologic Considerations.
AB - Drug delivery to exotic animals may be extrapolated from domestic animals, but
some physiologic and anatomic differences complicate treatment administration.
Knowing these differences enables one to choose optimal routes for drug delivery.
This review provides practitioners with a detailed review of the currently
reported methods used for drug delivery of various medications in the most common
exotic animal species. Exotic animal peculiarities that are relevant for drug
administration are discussed in the text and outlined in tables and boxes to help
the reader easily find targeted information.
PMID- 29655469
TI - Low-Stress Medication Techniques in Birds and Small Mammals.
AB - Low-stress medication principles and techniques are key aspects of optimal health
care delivery for birds and small mammals. When paired with the medical details
of patient management, by balancing medication techniques, the probability of
clinical success on the highest ethical and welfare criteria can be greatly
enhanced. This review addresses both the effects and the disadvantages of using
forceful, coercive, and fear-evoking methods as well as the benefits, principles,
and possible applications of low-stress medication in the veterinary setting.
PMID- 29655470
TI - Techniques for Monitoring Drug Efficacy.
AB - The efficacy of drugs can vary greatly between species and individuals.
Establishing efficacious drug doses for a species requires integration of
population pharmacokinetic and pharmacodynamic data into a dose-response curve.
Unfortunately, these data sets are rarely available for exotic species. The use
of alternative monitoring techniques is required to determine drug efficacy and
safety. This article discusses methods to integrate efficacy monitoring into
clinical practice, including the use of diagnostic testing and therapeutic drug
monitoring.
PMID- 29655471
TI - Group Treatment Strategies for Animals in a Zoologic Setting.
AB - Providing care for groups of animals is a major part of practicing zoologic
medicine. Herd health is key to the mindset of a zoo clinician no matter the
species encountered. Group treatment of amphibians and birds is common, but it is
uncommon in reptiles and most mammals. The main reason groups of mammals are
treated is for gastrointestinal parasitism. In addition to the classic examples
of group treatment involving chemotherapeutics, zoo clinicians also practice herd
health by preventing disease from entering the collection. Thorough disease
screening via preshipment examinations, quarantine, and a rigorous necropsy
protocol are vital tools to maintain a healthy collection.
PMID- 29655472
TI - Considerations for Treatment of Large Zoologic Collections: Fish.
AB - Aquatic species live most or all their lives in water; therefore, the health of
the environment is intimately connected to their health and medical care.
Understanding and maintaining appropriate husbandry and nutrition for the housed
aquarium species are essential to sustain health. Most diseases of fish are
secondary opportunistic infections; prevention and early diagnosis are
recommended. Treatments involve environmental and/or nutritional management
first, followed by targeted pharmacologic treatment to control a specific
pathogen. Pharmacokinetic research evaluating the effects and safety of
medications in fish are greatly needed in the peer-reviewed literature.
PMID- 29655473
TI - Therapeutic Contraindications in Exotic Pets.
AB - The selection and dosing of medications for exotic pets are often challenging
because most drugs are used in an extralabel manner without pharmacokinetic and
pharmacodynamic studies. Doses are often extrapolated from common domestic
animals and safety data are often lacking in exotic species. Just as the
bioavailability and therapeutic levels are different for each species, what may
be a safe and commonly used medication in one species can be deadly in another.
Various drugs with documented contraindications in certain exotic pet species are
outlined in this review and the pathophysiology, clinical signs, and treatment
options are described when applicable.
PMID- 29655474
TI - Prudent Use of Antimicrobials in Exotic Animal Medicine.
AB - Reduction of antimicrobial use can result in reduction of resistance in commensal
bacteria. In exotic animals, information on use of antimicrobials and resistance
in commensals and pathogens is scarce. However, use of antimicrobials listed as
critically important antimicrobials for human medicine seems high in exotic
animals. Ideally, the selection of a therapy should be based on an accurate
diagnosis and antimicrobial susceptibility testing. When prescribing
antimicrobials based on empiricism, knowledge of the most common pathogens
causing specific infections and the antimicrobial spectrum of antimicrobial
agents is indispensable. Implementing antimicrobial stewardship promotes the
prudent use of antimicrobials in exotic animals.
PMID- 29655475
TI - Antifungal Therapy in Birds: Old Drugs in a New Jacket.
AB - The use of antifungals in birds is characterized by interspecies and
interindividual variability in the pharmacokinetics, affecting drug safety and
efficacy. Oral antifungal drug absorption is a complex process affected by drug
formulation characteristics, gastrointestinal anatomy, and physiology. New
antifungal drug delivery systems can enhance drug stability, reduce off-target
side effects, prolong residence time in the blood, and improve efficacy. Topical
administration of antifungals through nebulization shows promising results.
However, therapeutic output is highly influenced by drug formulation and type of
nebulizer, indicating these factors should be taken into account when selecting
this medication route.
PMID- 29655476
TI - Avian Vaccination: Current Options and Strategies.
AB - Researchers have generated an array of potential avian antiviral vaccines.
However, vaccine and viral complexity, small profit margins, the cost of
development and manufacturing, and the small population at risk relegate most
avian vaccine use to commercial species. Some vaccines designed for use in
nonavian species are used to prevent or ameliorate disease in exotic and
companion birds. This article highlights newly developed vaccines that may be
used in exotic and pet birds. Information pertinent to vaccine choice and
strategy is provided, including disease lethality, species affected, and previous
knowledge regarding vaccine safety and efficacy. Other avian species of concern
are also included.
PMID- 29655477
TI - Cardiovascular Drugs in Avian, Small Mammal, and Reptile Medicine.
AB - Cardiovascular disease, including congestive heart failure, pericardial disease,
and atherosclerosis, is becoming increasingly better recognized in companion
birds, small mammals, and reptiles. A wide range of medications is available to
treat these conditions, including diuretics, vasodilators, positive and negative
inotropes, antiarrhythmic agents, and pentoxifylline. This review systematically
discusses each of these drug classes and their potential applications in exotic
species. Although treatment approaches remain largely empirical and extrapolated
from small animal and human medicine, the management strategies presented here
have the potential to both maintain quality of life and extend survival time for
the exotic cardiac patient.
PMID- 29655478
TI - Gonadotrophin-Releasing Hormone Agonists and Other Contraceptive Medications in
Exotic Companion Animals.
AB - The use of a gonadotrophin-releasing hormone agonist slow-release implant (GnRH A
SRI) has become increasingly popular as an alternative for surgical contraception
in many species. Although these implants have proven to be very effective in some
species (eg, ferrets, rats, chicken, psittacines, and iguanas), they have been
found less effective in other species (eg, male guinea pigs and rabbits, veiled
chameleons, slider turtles, and leopard geckos). This review provides an overview
of the available literature on the effects of GnRH A-SRIs in companion exotic
animals.
PMID- 29655479
TI - Update on Cancer Treatment in Exotics.
AB - Treatment options for animals with cancer are rapidly expanding, including in
exotic animal medicine. Limited information is available about treatment effects
in exotic pet species beyond individual case reports. Most cancer treatment
protocols in exotic animals are extrapolated from those described in humans,
dogs, and cats. This review provides an update on cancer treatment in exotic
animal species. The Exotic Species Cancer Research Alliance accumulates clinical
cases in a central location with standardized clinical information, with
resources to help clinicians find and enter their cases for the collective good
of exotic clinicians and their patients.
PMID- 29655481
TI - Therapeutics.
PMID- 29655480
TI - Nontraditional Therapies (Traditional Chinese Veterinary Medicine and
Chiropractic) in Exotic Animals.
AB - The nontraditional therapies of Traditional Chinese Veterinary Medicine and
chiropractic care are adjunct treatments that can be used in conjunction with
more conventional therapies to treat a variety of medical conditions.
Nontraditional therapies do not need to be alternatives to Western medicine but,
instead, can be used simultaneously. Exotic animal practitioners should have a
basic understanding of nontraditional therapies for both client education and
patient referral because they can enhance the quality of life, longevity, and
positive outcomes for various cases across multiple taxa.
PMID- 29655482
TI - Photoallergic Contact Dermatitis to Sunscreens Containing Oxybenzone in La Plata,
Argentina.
AB - BACKGROUND: Photoallergic contact dermatitis (PACD) to oxybenzone was reported
for the first time in 1980. Oxybenzone is the most common photoallergen in the
United States and Canada and the fourth most common .in Europe. There are no
studies or data on the prevalence of oxybenzone PACD in Argentina. OBJECTIVE: To
determine the proportion of photosensitive patients with PACD to oxybenzone.
METHODS: We conducted a descriptive cross-sectional study of 35 patients with
photosensitivity reactions confirmed by photopatch testing at the Research Center
of Hospital Publico San Martin in La Plata, Argentina, in 2015 and 2016. RESULTS:
PACD was identified in 6 patients (17.14%). Five of these (14.28%) had at least
one positive reaction to oxybenzone in the photopatch test; 4 had a reaction at
irradiated sites only (5 J/cm2 UVA) and one had a reaction at both irradiated and
nonirradiated sites. CONCLUSIONS: PACD to sunscreens containing oxybenzone is
common and is probably underdiagnosed due to a lack of confirmation by photopatch
tests or other diagnostic tools. Sensitization rates vary according to region and
are influenced by sunscreen ingredients and variations in the use of sunscreen
products, cosmetics, and topical drugs.
PMID- 29655483
TI - Chromatin Fiber Folding Directed by Cooperative Histone Tail Acetylation and
Linker Histone Binding.
AB - In eukaryotic chromatin, islands of histone tail acetylation are found near
transcription start sites and enhancers, whereas linker histones (LHs) are
localized in intergenic regions with wild-type (WT) histone tails. However, the
structural mechanisms by which acetylation, in combination with LH binding,
modulates chromatin compaction and hence transcription regulation are unknown. To
explore the folding propensity by which these features may govern gene
expression, we analyze 20 kb fibers that contain regularly spaced acetylation
islands of two sizes (2 or 5 kb) with various LH levels by mesoscale modeling.
Specifically, we investigate the effect of acetylating each histone tail (H3, H4,
H2A, and H2B) individually, in combination (H3 and H4, or all tails), and adding
LH to WT regions. We find that fibers with acetylated H4 tails lose local
contacts (<1 kb) and fibers with all tails acetylated have decreased long-range
contacts in those regions. Tail interaction plots show that this opening of the
fiber is driven by the loss of tail-tail interactions in favor of tail-parent
core interactions and/or increase in free tails. When adding LH to WT regions,
the fibers undergo hierarchical looping, enriching long-range contacts between WT
and acetylated domains. For reference, adding LH to the entire fiber results in
local condensation and loss of overall long-range contacts. These findings
highlight the cooperation between histone tail acetylation and regulatory
proteins like LH in directing folding and structural heterogeneity of chromatin
fibers. The results advance our understanding of chromatin contact domains, which
represent a pivotal part of the cell cycle, diseased states, and differentiation
states in eukaryotic cells.
PMID- 29655485
TI - [Cost of clotting factors in hospitalization].
AB - OBJECTIVES: Hemophilia is a rare genetic disease, characterized by uncontrolled
bleeding. Injections of clotting factor are the principal are the principal
treatment. This drug is very expensive. The objectives of this study are to
determine the cost of clotting factor for in patients and the factor impacting
this cost. METHODS: A retrospective study was carried on hemophiliac in patients
between 1 January 2014 and 31 December 2015 in Cochin hospital and having
received at least an injection of clotting factor during their hospitalization. A
collection of clinical data and treatments received during the hospitalization
was realized for every patient. RESULTS: Fifty-one patients were included in the
study with a total of 68 hospitalizations. The median cost of clotting factors by
hospitalization was 16,908?. The median part of clotting factors on the total
cost of the hospitalization was 68.2%. The cost of factors by stay was higher for
the severe haemophiliacs (P=0.015) and for the major surgeries (P<0.0001). The
daily median cost of clotting factors was 3124?. This cost was higher at the
haemophiliacs B (P=0.0112), the severe haemophiliacs (P<0.0001) and the
haemophiliacs with inhibitor (P=0.0053). CONCLUSIONS: Clotting factors represent
the most part of the cost of hospitalization of a haemophiliac. Their cost in
hospitalization varies according to many factors. It may evolve with the arrival
of long-acting clotting factors.
PMID- 29655484
TI - Oral pentobarbital suspension for children sedation during MR imaging.
AB - OBJECTIVES: The efficacy and safety of an oral pentobarbital suspension for
sedation during pediatric MR imaging were assessed. METHODS: Data were recorded
from October 2016 to January 2017. The exact dose of oral pentobarbital
suspension was given for each child with an oral syringe. Parameters recorded
included the patient's age and weight, the time required to sedate, the duration
of sedation, the time required to discharge, and quality of MR imaging. The
adverse effects were recorded. RESULTS: Oral pentobarbital suspension was
administered to 81 children aged from 8 months to 8 years at a dose of 5mg/kg of
body weight. The mean time required to sedate was 30+/-21min, a mean time of
sedation of 47+/-23min, and a mean time to discharge of 77+/-32min. Sedation
occurred a satisfied quality of MR imaging in 67% of patients. The failure of
examination was essentially due to bad taste of the drug suspension. The overall
success rate of sedation in patients less than 12 months was 100%. For ages 1 to
3 years, the success rate decreased to 76% and for ages 4 to 8 years, it
decreased to 42%. CONCLUSIONS: Oral pentobarbital suspension used in MR imaging
demonstrated its high rate of successful sedation in infants less than 12 months
with no adverse effects during the study period.
PMID- 29655486
TI - Comprehensive simulation on morphological and mechanical properties of trigger
finger - A cadaveric model.
AB - Trigger finger has long been a common disorder in hand orthopedics. To clarify
the unknown causative factors regarding the disease, numerous experiments were
done on human cadavers, including tendon forces, tendon moment arm, mechanical
properties of the pulley, gliding resistance, etc. However, most of these studies
were conducted on normal fingers. As the etiology of trigger finger is still
controversial on whether it is an outcome of tendon nodule or pulley scarring, in
this study, a trigger finger model was built combining both the nodule created by
silicone gel injection and pulley constriction by external compression.
Indentation and gliding resistance tests were performed on cadaveric specimens to
verify the model. Results showed that after silicone gel injection into the
tendon, a significant increase in thickness was found. In addition, no
significant difference was found in the toe region compressive modulus of the
tendon after injection. Moreover, maximum, drop of gliding resistance and work of
extension were all found to be significantly larger as the severity of triggering
increased. Our results indicated we have developed a feasible cadaver model
simulating trigger finger nodule which could be utilized for further experiments
to elucidate other causative factors and biomechanical features of trigger finger
in the future.
PMID- 29655487
TI - Frequency of Aquaporin-4 Immunoglobulin G in Longitudinally Extensive Transverse
Myelitis With Antiphospholipid Antibodies.
AB - Antiphospholipid (aPL) antibodies have historically been postulated to cause a
poorly understood inflammatory myelitis. Neuromyelitis optica spectrum disorder
(NMOSD) causes an inflammatory longitudinally extensive transverse myelitis
(LETM). In 2004, aquaporin-4 immunoglobulin G (AQP4-IgG) was first reported as a
highly specific (>99%) serum diagnostic biomarker of NMOSD, distinguishing it
from other disorders (eg, multiple sclerosis). We sought to assess the frequency
of AQP4-IgG (and thus NMOSD diagnosis) in LETM with aPL antibodies. We searched
Mayo Clinic records (from January 1, 1996, through December 31, 2014) for
patients with (1) LETM and (2) aPL or beta2-glycoprotein I antibodies and (3) a
serum sample available. AQP4-IgG was evaluated in the 24 included patients and in
20 controls with aPL antibodies but without myelitis. Seropositivity for AQP4-IgG
was confirmed in 11 of 24 patients with LETM (46%), confirming an AQP4-IgG
seropositive NMOSD diagnosis rather than aPL-associated LETM. Six of 11 AQP4-IgG
seropositive patients (54%) were initially diagnosed as having aPL/lupus
associated myelitis. Recurrent LETM was exclusive to AQP4-IgG-seropositive
patients (P=.003). Alternative diagnoses assigned to the remaining 13 AQP4-IgG
seronegative patients included idiopathic transverse myelitis (n=5), seronegative
NMOSD (n=2), spinal cord infarct attributed to aPL antibodies (n=2), spinal cord
sarcoidosis (n=1), varicella-zoster virus myelitis (n=1), postinfectious myelitis
(n=1), and multiple sclerosis (n=1). All 20 controls were seronegative for AQP4
IgG. Clotting disorders occurred in 36% of patients (4 of 11) with LETM with both
aPL antibodies and AQP4-IgG. AQP4-IgG should be tested in all patients with LETM
and aPL antibodies because AQP4-IgG-seropositive NMOSD accounts for almost half
of all cases. Clotting disorders are common in patients with LETM with dual
positivity for AQP4-IgG and aPL antibodies.
PMID- 29655488
TI - Discriminability of numerosity-evoked fMRI activity patterns in human intra
parietal cortex reflects behavioral numerical acuity.
AB - Areas of the primate intraparietal cortex have been identified as an important
substrate of numerical cognition. In human fMRI studies, activity patterns in
these and other areas have allowed researchers to read out the numerosity a
subject is viewing, but the relation of such decodable information with
behavioral numerical proficiency remains unknown. Here, we estimated the
precision of behavioral numerosity discrimination (internal Weber fraction) in
twelve adult subjects based on psychophysical testing in a delayed numerosity
comparison task outside the scanner. FMRI data were then recorded during a
similar task, to obtain the accuracy with which the same sample numerosities
could be read out from evoked brain activity patterns, as a measure of the
precision of the neuronal representation. Sample numerosities were decodable in
both early visual and intra-parietal cortex with approximately equal accuracy on
average. In parietal cortex, smaller numerosities were better discriminated than
larger numerosities of the same ratio, paralleling smaller behavioral Weber
fractions for smaller numerosities. Furthermore, in parietal but not early visual
cortex, fMRI decoding performance was correlated with behavioral number
discrimination acuity across subjects (subjects with a more precise behavioral
Weber fraction measured prior to scanning showed greater discriminability of fMRI
activity patterns in intraparietal cortex, and more specifically, the right LIP
region). These results suggest a crucial role for intra-parietal cortex in
supporting a numerical representation which is explicitly read out for numerical
decisions and behavior.
PMID- 29655489
TI - The Cochleural Alternating Acoustic Beam Therapy (CAABT): A pre-clinical trial.
AB - PURPOSE: We intend to assess the effectiveness of a novel tinnitus treatment
therapy, the Cochleural Alternating Acoustic Beam Therapy (CAABT) using the
psychoacoustic measures, the questionnaires and rs-fMRI. MATERIALS AND METHODS:
In this study, we enrolled 11 older than 18 years old Chinese patients with
normal hearing who had unilateral, chronic (longer than 6 months), sensorineural
tinnitus, of frequencies between 125-8000 Hz, and an average loudness of 31 dB.
The patients underwent the treatment with the CAABT method for 12 weeks and the
outcomes were evaluated with tinnitus questionnaire scores, a set of
psychoacoustic measures, and rs-fMRI testing before treatment and at 3 months.
This was an earlier study of the controlled randomized clinical trial which was
registered with ClinicalTrials.gov, number NCT02774122. RESULTS: Almost all the
patients reported reduced tinnitus annoyance after the three-month treatment. The
THI and VAS scores showed decreased tinnitus severity. The rs-fMRI results
indicated that the right middle frontal gyrus and the right superior temporal
gyrus displayed noticeable decreases of the ReHo values for the subjects between
the before and after treatment, supporting the clinical evidence of significant
tinnitus reduction. CONCLUSION: The therapy seemed effective in patients of
varying severities, and no side effects were observed in this trial. The CAABT
can be an alternative for those who are suitable for sound therapy once a large
scale of and better controlled clinical studies have validated the findings of
this experiment.
PMID- 29655490
TI - Effect of changing postoperative pain management on bleeding rates in
tonsillectomy patients.
AB - PURPOSE: To review rates of post-tonsillectomy hemorrhage (PTH) at a quaternary
medical center, including the impact of narcotic versus nonsteroidal anti
inflammatory drug (NSAID) postoperative pain management. MATERIALS AND METHODS: A
retrospective review was performed of tonsillectomies conducted at a single
institution between 1/1/2013 and 1/1/2017. The rates of PTH and subsequent
intervention were calculated. These were categorized into patients having surgery
pre- and post-July 1, 2015, the former group receiving narcotics and the latter
ibuprofen with acetaminophen. RESULTS: Of 1351 total tonsillectomies, 3.04% had
PTH requiring return to the hospital. 0.74% required no further surgical
intervention, whereas 2.30% required secondary surgical control. The bleed rate
prior to July 2015 was 3.15%, with 1.05% non-surgical bleeds and 2.10% requiring
surgery. Post-July 2015, the bleed rate was 2.92%, with 0.44% non-surgical bleeds
and 2.49% requiring surgery. There were no statistically significant differences
between the two groups with respect to overall, non-surgical, and surgical
hemorrhage rates (p > 0.05). Of the total bleeds, the need for secondary surgery
in the narcotic group was 66.7% and 85% in the NSAID group (p = 0.18). During the
study period, 36 patients with PTH had their initial tonsillectomy performed at
outside institutions; 53% required surgical intervention. CONCLUSIONS: Secondary
hemorrhage remains a significant cause of morbidity in post-tonsillectomy
patients, often requiring surgical intervention. This review found no increased
bleeding risk associated with use of ibuprofen and acetaminophen as opposed to
narcotic pain relief. LEVEL OF EVIDENCE: III.
PMID- 29655491
TI - Recertification is comming. Afraid? Not al all.
PMID- 29655492
TI - Isolated Acute Appendicitis Caused by Aspergillus in a Patient Who Underwent Lung
Transplantation: A Case Report.
AB - Invasive aspergillosis is an important cause of morbidity and mortality in
patients who have undergone lung transplantation. Aspergillus infections usually
involve the respiratory tract, with vascular invasion and subsequent
dissemination. However, acute appendicitis associated with localized
aspergillosis is rare, especially among patients who have undergone prophylaxis
with voriconazole. We present a case of primary Aspergillus appendicitis
diagnosed by histologic examination in a patient who underwent lung
transplantation. A 51-year-old woman with dermatomyositis underwent lung
transplantation for acute interstitial pneumonitis. According to our
institution's protocol, the patient was treated with immunosuppressive therapy
and prophylaxis with voriconazole, ganciclovir, and trimethoprim sulfamethoxazole
during the post-transplantation period. Twenty-eight days after transplantation,
the patient developed mild abdominal pain and paralytic ileus. There was no
apparent infection sign. Abdominal computerized tomography indicated a wall
defect of the appendix with multifocal fluid collection, mesenteric leave
thickening, and pneumoperitoneum. These findings were consistent with perforated
appendicitis, and the patient underwent an appendectomy. The histopathology
examination of the resected appendix showed inflammation and abscess. Periodic
acid-Schiff-positive and Grocott-Gomori methenamine silver-positive fungal hyphae
with acute-angle branching were observed, demonstrating muscular invasion. A
galactomannan antigen test obtained on the same day had negative results. The
trough level of voriconazole was well maintained and was subsequently adjusted
through monitoring of circulating drug concentration. Simultaneously, other
potential sites of disseminated Aspergillus were considered and examined, but no
other site of systemic Aspergillus infection was detected. Voriconazole treatment
was maintained for 3 months, and no aspergillosis relapse or other invasive
fungal infections were observed.
PMID- 29655493
TI - Hepatic inflammatory pseudotumor related with IgG4.
PMID- 29655494
TI - Translumbar and transhepatic hemodialysis catheters: A viable option.
PMID- 29655495
TI - Insecticide-resistant malaria vectors must be tackled.
PMID- 29655497
TI - The AAHKS Clinical Research Award: Intraosseous Regional Prophylaxis Provides
Higher Tissue Concentrations in High BMI Patients in Total Knee Arthroplasty: A
Randomized Trial.
AB - BACKGROUND: Obesity is an established risk factor for periprosthetic joint
infections after total knee arthroplasty (TKA). In obese patients, a larger dose
of prophylactic vancomycin based on actual body weight is required to reach
therapeutic concentrations. It is unclear how tissue concentrations are affected
when intraosseous regional administration (IORA) is used in this population. This
study compared tissue concentrations of low-dose vancomycin via IORA vs actual
body weight-adjusted systemic intravenous (IV) dose in primary TKA. METHODS:
Twenty-two patients with a body mass index (BMI) >35 undergoing TKA were
randomized into 2 groups. The IV group received 15 mg/kg (maximum of 2 g) of
systemic IV vancomycin and the IORA group received 500 mg vancomycin into the
tibia. Subcutaneous fat and bone samples were taken at regular intervals. Tissue
antibiotic concentrations were measured using liquid chromatography coupled with
tandem mass spectrometry. A blood sample was taken 1 to 2 hours after tourniquet
deflation to measure systemic concentration. RESULTS: The mean BMI was 41.1 in
the IORA group and 40.1 in the IV systemic group. The overall mean tissue
concentration in subcutaneous fat was 39.3 MUg/g in the IORA group and 4.4 MUg/g
in the IV systemic group (P < .01). Mean tissue concentrations in bones were 34.4
MUg/g in the IORA group and 6.1 MUg/g in the IV systemic group (P < .01).
CONCLUSION: Low-dose IORA was effective in the high-BMI population group,
providing tissue concentrations of vancomycin 5-9 times higher than systemic
administration. IORA optimizes timing of vancomycin administration and provides
high tissue antibiotic concentrations during TKA in this high-risk patient group.
PMID- 29655498
TI - Dietary Management of Hyperphosphatemia.
PMID- 29655496
TI - Effectiveness of a long-lasting piperonyl butoxide-treated insecticidal net and
indoor residual spray interventions, separately and together, against malaria
transmitted by pyrethroid-resistant mosquitoes: a cluster, randomised controlled,
two-by-two factorial design trial.
AB - BACKGROUND: Progress in malaria control is under threat by wide-scale insecticide
resistance in malaria vectors. Two recent vector control products have been
developed: a long-lasting insecticidal net that incorporates a synergist
piperonyl butoxide (PBO) and a long-lasting indoor residual spraying formulation
of the insecticide pirimiphos-methyl. We evaluated the effectiveness of PBO long
lasting insecticidal nets versus standard long-lasting insecticidal nets as
single interventions and in combination with the indoor residual spraying of
pirimiphos-methyl. METHODS: We did a four-group cluster randomised controlled
trial using a two-by-two factorial design of 48 clusters derived from 40 villages
in Muleba (Kagera, Tanzania). We randomly assigned these clusters using
restricted randomisation to four groups: standard long-lasting insecticidal nets,
PBO long-lasting insecticidal nets, standard long-lasting insecticidal nets plus
indoor residual spraying, or PBO long-lasting insecticidal nets plus indoor
residual spraying. Both standard and PBO nets were distributed in 2015. Indoor
residual spraying was applied only once in 2015. We masked the inhabitants of
each cluster to the type of nets received, as well as field staff who took blood
samples. Neither the investigators nor the participants were masked to indoor
residual spraying. The primary outcome was the prevalence of malaria infection in
children aged 6 months to 14 years assessed by cross-sectional surveys at 4, 9,
16, and 21 months after intervention. The endpoint for assessment of indoor
residual spraying was 9 months and PBO long-lasting insecticidal nets was 21
months. This trial is registered with ClinicalTrials.gov, number NCT02288637.
FINDINGS: 7184 (68.0%) of 10 560 households were selected for post-intervention
survey, and 15 469 (89.0%) of 17 377 eligible children from the four surveys were
included in the intention-to-treat analysis. Of the 878 households visited in the
two indoor residual spraying groups, 827 (94%) had been sprayed. Reported use of
long-lasting insecticidal nets, across all groups, was 15 341 (77.3%) of 19 852
residents after 1 year, decreasing to 12 503 (59.2%) of 21 105 in the second
year. Malaria infection prevalence after 9 months was lower in the two groups
that received PBO long-lasting insecticidal nets than in the two groups that
received standard long-lasting insecticidal nets (531 [29%] of 1852 children vs
767 [42%] of 1809; odds ratio [OR] 0.37, 95% CI 0.21-0.65; p=0.0011). At the same
timepoint, malaria prevalence in the two groups that received indoor residual
spraying was lower than in groups that did not receive indoor residual spraying
(508 [28%] of 1846 children vs 790 [44%] of 1815; OR 0.33, 95% CI 0.19-0.55;
p<0.0001) and there was evidence of an interaction between PBO long-lasting
insecticidal nets and indoor residual spraying (OR 2.43, 95% CI 1.19-4.97;
p=0.0158), indicating redundancy when combined. The PBO long-lasting insecticidal
net effect was sustained after 21 months with a lower malaria prevalence than the
standard long-lasting insecticidal net (865 [45%] of 1930 children vs 1255 [62%]
of 2034; OR 0.40, 0.20-0.81; p=0.0122). INTERPRETATION: The PBO long-lasting
insecticidal net and non-pyrethroid indoor residual spraying interventions showed
improved control of malaria transmission compared with standard long-lasting
insecticidal nets where pyrethroid resistance is prevalent and either
intervention could be deployed to good effect. As a result, WHO has since
recommended to increase coverage of PBO long-lasting insecticidal nets. Combining
indoor residual spraying with pirimiphos-methyl and PBO long-lasting insecticidal
nets provided no additional benefit compared with PBO long-lasting insecticidal
nets alone or standard long-lasting insecticidal nets plus indoor residual
spraying. FUNDING: UK Department for International Development, Medical Research
Council, and Wellcome Trust.
PMID- 29655500
TI - Characteristics of refugee patients admitted to the emergency department.
PMID- 29655501
TI - Acute streptococcal meningitis presenting as bilateral conductive hearing loss.
AB - Bacterial meningitis is a relatively uncommon condition encountered in the
emergency department and the constellation of symptoms varies. Hearing loss has
been well documented in the literature as a complication of the disease process,
but not as the presenting complaint. We describe a case of a 59-year-old female
who presented to the emergency department with sudden onset bilateral hearing
loss who was found to have S. pneumonia meningitis bacterial meningitis. Even
with advances in therapy, bacterial meningitis still carries a significant
mortality rate. Early diagnosis and treatment is critical to achieving good
outcomes.
PMID- 29655499
TI - Genetic Testing in Clinical Settings.
AB - Genetic testing is used for screening, diagnosis, and prognosis of diseases
consistent with a genetic cause and to guide drug therapy to improve drug
efficacy and avoid adverse effects (pharmacogenomics). This In Practice review
aims to inform about DNA-related genetic test availability, interpretation, and
recommended clinical actions based on results using evidence from clinical
guidelines, when available. We discuss challenges that limit the widespread use
of genetic information in the clinical care setting, including a small number of
actionable genetic variants with strong evidence of clinical validity and
utility, and the need for improving the health literacy of health care providers
and the public, including for direct-to-consumer tests. Ethical, legal, and
social issues and incidental findings also need to be addressed. Because our
understanding of genetic factors associated with disease and drug response is
rapidly increasing and new genetic tests are being developed that could be
adopted by clinicians in the short term, we also provide extensive resources for
information and education on genetic testing.
PMID- 29655502
TI - Effect of regional cerebral oximetry to estimate neurologic prognostic outcomes
in patients administered targeted temperature management.
AB - PURPOSE: The aim of our study is to research the role and efficacy of cerebral
oximetry in predicting neurologic prognosis when applied during TTM to patients
experiencing coma after CA. METHODS: This study was performed on surviving adult
comatose patients after CA treated with TTM. The average scores of rSO2 was
measured at 6h intervals for the first 2days and once a day for the following
3days with a NIRS device during TTM. The CPC scale was used to define the
neurologic outcomes of patients. We compared the correlations of rSO2 values
between good (CPC 1-2) and poor (CPC 3-5) neurologic outcomes in CA patients.
RESULTS: There was no statistically significant difference identified between the
prognosis groups in terms of rSO2, CPR durations, hemoglobin values and admission
body temperature (p>0.05). When the variation in rSO2 values over time is
investigated, though there was no significant difference between the good and
poor prognosis groups, it appeared to fall in the first 6h in both prognosis
groups. The median NT-proBNP and lactate values were observed to be higher in the
poor prognosis group. CONCLUSION: There is no significant correlation between
rSO2 values and neurologic outcomes. Multimodal monitoring methods may be useful
and further studies with a larger patient population are necessary in this area.
PMID- 29655503
TI - Headache in a patient with influenza.
AB - Complications from influenza have been documented in the literature, but this
patient case represents a rare and potentially deadly result in an otherwise
healthy adult. Providers in the emergency department should be vigilant when
assessing patients with influenza symptoms and monitoring those that don't always
present with common symptoms and complaints. This patient's cerebral edema and
tonsillar herniation was life-threatening and was caused from the influenza B
virus.
PMID- 29655504
TI - Naloxone access among an urban population of opioid users.
PMID- 29655505
TI - Midterm outcome of transcatheter versus surgical aortic valve replacement in low
to intermediate risk patients: A meta-analysis of randomized controlled trials.
AB - BACKGROUND: Current guidelines recommend transcatheter aortic valve replacement
(TAVR) in patients with severe symptomatic aortic stenosis (AS) who are not
suitable for conventional surgical aortic valve replacement (SAVR). In light of
the recent trend in performing TAVR in patients with lower risk profile, we
assessed the midterm outcome comparing TAVR and SAVR for the treatment of
patients with severe AS at low to intermediate risk. METHODS: PubMed, EBSCO, and
Cochrane CENTRAL were systematically searched for randomized controlled trials
that reported the clinical outcomes of TAVR versus SAVR in patients at low to
intermediate surgical risk with at least 2 years of follow-up. Clinical endpoints
including death, acute kidney injury, myocardial infarction, stroke, permanent
pacemaker implantation, and life-threatening bleeding events were assessed.
RESULTS: From 2000 to 2017, 4 clinical studies comprising 4355 patients were
identified. At 2-year follow-up, TAVR was associated with similar rate of death
from any cause (RR 0.86; 95%CI: 0.67-1.10), cardiovascular death (RR 0.88; 95%CI:
0.73-1.06), and stroke (RR 0.97; 95%CI: 0.81-1.15). TAVR reduced incidence of
bleeding events (RR 0.45; 95%CI: 0.28-0.73) and acute kidney injury (RR 0.48;
95%CI: 0.25-0.93). However, TAVR was associated with higher rate of permanent
pacemaker implantation (RR 3.01; 95%CI: 1.04-8.72). CONCLUSION: In patients at
low to intermediate surgical risk, midterm clinical outcomes of TAVR were similar
to SAVR in survival and stroke rate, superior in reducing life-threatening
bleeding, acute kidney injury, and new-onset atrial fibrillation, but inferior in
increasing permanent pacemaker implantation.
PMID- 29655506
TI - Letter to the Editor: Response to Costa et al.
PMID- 29655508
TI - Methodological standards in single-case experimental design: Raising the bar.
AB - Single-case experimental designs (SCEDs), or small-n experimental research, are
frequently implemented to assess approaches to improving outcomes for people with
disabilities, particularly those with low-incidence disabilities, such as some
developmental disabilities. SCED has become increasingly accepted as a research
design. As this literature base is needed to determine what interventions are
evidence-based practices, the acceptance of SCED has resulted in increased
critiques with regard to methodological quality. Recent trends include
recommendations from a number of expert scholars and institutions. The purpose of
this article is to summarize the recent history of methodological quality
considerations, synthesize the recommendations found in the SCED literature, and
provide recommendations to researchers designing SCEDs with regard to essential
and aspirational standards for methodological quality. Conclusions include
imploring SCED to increase the quality of their experiments, with particular
consideration regarding the applied nature of SCED research to be published in
Research in Developmental Disabilities and beyond.
PMID- 29655507
TI - Response to name and its value for the early detection of developmental
disorders: Insights from autism spectrum disorder, Rett syndrome, and fragile X
syndrome. A perspectives paper.
AB - BACKGROUND: Responding to one's own name (RtN) has been reported as atypical in
children with developmental disorders, yet comparative studies on RtN across
syndromes are rare. AIMS: We aim to (a) overview the literature on RtN in
different developmental disorders during the first 24 months of life, and (b)
report comparative data on RtN across syndromes. METHODS AND PROCEDURES: In Part
1, a literature search, focusing on RtN in children during the first 24 months of
life with developmental disorders, identified 23 relevant studies. In Part 2, RtN
was assessed utilizing retrospective video analysis for infants later diagnosed
with ASD, RTT, or FXS, and typically developing peers. OUTCOMES AND RESULTS:
Given a variety of methodologies and instruments applied to assess RtN, 21/23
studies identified RtN as atypical in infants with a developmental disorder. We
observed four different developmental trajectories of RtN in ASD, RTT, PSV, and
FXS from 9 to 24 months of age. Between-group differences became more distinctive
with age. CONCLUSIONS AND IMPLICATIONS: RtN may be a potential parameter of
interest in a comprehensive early detection model characterising age-specific
neurofunctional biomarkers associated with specific disorders, and contribute to
early identification.
PMID- 29655509
TI - Reduced Right Ventricular Fractional Area Change, Strain, and Strain Rate before
Bidirectional Cavopulmonary Anastomosis is Associated with Medium-Term Mortality
for Children with Hypoplastic Left Heart Syndrome.
AB - BACKGROUND: Ventricular dysfunction is associated with increased morbidity and
mortality in children with hypoplastic left heart syndrome. The aim of this study
was to assess the diagnostic performance of conventional and speckle-tracking
echocardiographic measures of right ventricular (RV) function before
bidirectional cavopulmonary anastomosis palliation in predicting death or need
for heart transplantation (HTx). METHODS: RV fractional area change (RVFAC) and
longitudinal and circumferential strain and strain rate (SR) were measured in 64
prospectively recruited patients with hypoplastic left heart syndrome from
echocardiograms obtained before bidirectional cavopulmonary anastomosis surgery.
The composite end point of death or HTx was examined. Receiver operating
characteristic analysis was performed, and cutoff values optimizing sensitivity
and specificity were derived. RESULTS: At a median follow-up of 5.0 years
(interquartile range, 2.8-6.4 years), 13 patients meeting the composite end point
had lower longitudinal strain and SR, circumferential SR, and RVFAC compared with
survivors (n = 51). The conventional cutoff of RVFAC < 35% was specific for death
or HTx (86%) but had poor sensitivity (46%), with an area under the curve of
0.73. Speckle-tracking echocardiographic variables showed similar areas under the
curve (range, 0.69-0.79), with negative predictive values >90%. Addition of
speckle-tracking echocardiographic variables to RVFAC < 35% showed no added
benefit. However, in a subpopulation of patients with RVFAC >= 35% (n = 44),
those meeting the composite end point (n = 7) had lower longitudinal SR (median,
1.0 1/sec [interquartile range, -0.8 to -1.1 1/sec] vs -1.21/sec [interquartile
range, -1.0 to -1.3 1/sec], P = .03). Interobserver reproducibility was superior
for longitudinal strain and SR (intraclass correlation coefficient > 0.92)
compared with RVFAC (intraclass correlation coefficient = 0.75). CONCLUSIONS:
Children with hypoplastic left heart syndrome with normal RVFAC and ventricular
deformation before bidirectional cavopulmonary anastomosis have a low likelihood
of death or HTx in the medium term. In the presence of reduced RVFAC, speckle
tracking echocardiography does not provide additional prognostic value. However,
in patients with "normal" RVFAC, it may have a role in improving outcome
prediction and warrants further investigation.
PMID- 29655510
TI - Histopathological validation of optical coherence tomography findings of the
coronary arteries.
AB - Optical coherence tomography (OCT), a catheter-based imaging modality for the
visualization of coronary arteries, is widely used during percutaneous coronary
intervention to improve the understanding of the anatomy of coronary artery
stenosis and to elucidate the mechanisms of atherosclerosis. In this review, we
provide a short description of the histopathological validations of OCT for
visualizing atherosclerotic plaques and vascular healing response after drug
eluting stent (DES) implantation. Because OCT measures the intensity of light
returning from within a tissue, tissue having a higher heterogeneity of optical
index of refraction, such as microcalcification deposition and foam cell
accumulation on the luminal surface, may exhibit stronger optical scattering that
appears as a thin-cap fibroatheroma image. Furthermore, even if OCT shows exposed
uncovered stent struts, some of the struts could be re-endothelialized. In our ex
vivo histopathological experience, re-endothelialization at the surface of stent
struts was confirmed by histopathological analysis, although OCT images showed
exposed uncovered struts after DES implantation. Therefore, careful
interpretation is required to assess tissue morphology and stent strut coverage
by OCT.
PMID- 29655511
TI - Long-Term Transcriptional Gene Silencing by RNA Viruses.
AB - Long-term transcriptional gene silencing has been hampered by delivery issues. A
potential solution is the application of RNA viruses that generate small RNAs
without any DNA intermediate. Long-term therapy for various diseases is expected
after a single administration.
PMID- 29655512
TI - Hypothesis on the Synchronistic Evolution of Autotrophy and Heterotrophy.
AB - All life on earth requires a source of energy and organic carbon. There has been
a continuous debate on whether autotrophic or heterotrophic metabolism came
first. A very similar discussion exists concerning the advent of oxygenic
photosynthesis and aerobic respiration. I put forward the synchronistic evolution
hypothesis supposing that all metabolic processes develop in a bidirectional
manner from the very first. Bidirectionality is claimed to be intrinsic to the
evolution of all metabolic processes as (i) all biochemical reactions and enzymes
are per se bidirectional, (ii) substrates need to be regenerated, and (iii) flux
regulation requires flexibility of direction. Autotrophy and heterotrophy are
thus inherent to each other. A scenario for the synchronistic development of
oxygenic photosynthesis and aerobic respiration is described.
PMID- 29655513
TI - Force of Peer Mentorship for Persons With Spinal Cord Injury.
PMID- 29655514
TI - Defining Adverse Intraoperative Events in the Context of "Acute Risk Change"
Following Cardiac Surgery.
PMID- 29655515
TI - The Year in Thoracic Anesthesia: Selected Highlights From 2017.
PMID- 29655516
TI - PLGA/beta-TCP composite scaffold incorporating salvianolic acid B promotes bone
fusion by angiogenesis and osteogenesis in a rat spinal fusion model.
AB - Spinal disorders often require surgical treatment called spinal fusion to restore
a stabilized spine where bone grafts are implanted for the fusion of adjacent
vertebras. In this study, we developed a bioactive composite scaffold
incorporated with salvianolic acid B (SB), an active component extracted from
Danshen. This study aimed to evaluate the effects of SB-incorporated porous
scaffold on spinal fusion models. The composite scaffolds composed of poly
(lactic-co-glycolic acid) and tricalcium phosphate (PLGA/beta-TCP) were
fabricated with low-temperature rapid prototyping technique, which incorporated
SB at low (SB-L), middle (SB-M), high (SB-H) doses, and pure PLGA/beta-TCP as
blank control (Con). The release profile of SB from the scaffolds was determined
by high performance liquid chromatography. Osteoconductive and osteoinductive
properties of the scaffolds were reflected by the osteogenic differentiation
ability of rat primary mesenchymal stem cells. The angiogenesis was determined by
the forming of tube-like structures resembling capillaries using endothelial cell
line (EA hy9.26). A well-established spinal fusion model was used to evaluate the
in vivo bony fusion. Animals were transplanted with scaffolds, or autografts from
iliac crest as positive controls. Micro-computed tomography (CT) analysis, CT
based angiography, manual palpation test, histomorphometry, and histology were
performed after 8 weeks of transplantation. Results revealed that incorporated SB
was steadily released from the scaffolds. The aliquot of released SB promoted
osteogenesis and angiogenesis in vitro in a dose-dependent manner. In animal
study, a dose-dependent effect of SB on new bone formation, mineral apposition
rate, and vessel density within the scaffold were demonstrated. Manual palpation
test showed little numerical improvement in fusion rate when compared with the
blank controls. In summary, our results suggested that SB-incorporated PLGA/beta
TCP composite scaffold could enhance bony fusion through the promotion of
osteogenesis and angiogenesis.
PMID- 29655517
TI - Integrating Pregnancy Prevention Into an HIV Counseling and Testing Program in
Pediatric Primary Care.
AB - PURPOSE: Certified health educator (CHE)-based HIV counseling and testing
typically focus on HIV and sexually transmitted infection (STI) prevention only.
A quality improvement initiative examined integrating assessment of reproductive
life plans, counseling about pregnancy prevention, and contraception referral
into a CHE-based HIV testing program. METHODS: Between February 2014 and January
2017, in one urban pediatric primary care clinic serving patients aged 0-25, CHEs
assessed sexual history, HIV risk, short-term (i.e., the next 6-12 months)
pregnancy desire, and current contraception method and satisfaction among
patients aged 13-25 who had ever had vaginal sex, using a standardized
questionnaire. Data were analyzed using a de-identified administrative dataset
that also tracked referrals to initiate contraception and actual method
initiation. RESULTS: Of 1,211 patients, most (96%) reported no short-term
pregnancy or partner pregnancy desire. Use of less effective or no contraception,
as well as method dissatisfaction, was common. A high proportion of female
patients referred to new methods opted for more effective methods (62%) and
initiated these methods (76%); a high proportion of male patients opted for
receipt of condoms (67%). Patients reporting short-term pregnancy desire reported
higher rates of previous pregnancy and STIs. CONCLUSIONS: Program findings
highlight the potential benefit of integrating assessment for and counseling
about pregnancy prevention in a CHE-based HIV testing program. This can more
effectively address the needs of patients with concomitant risks of STI/HIV and
unintended pregnancy, and link patients who do not desire pregnancy to more
effective methods.
PMID- 29655518
TI - The American Journal of Ophthalmology Will Publish American Ophthalmological
Society Theses.
PMID- 29655519
TI - Much ado about mice: Standard-setting in model organism research.
AB - Recently there has been a practice turn in the philosophy of science that has
called for analyses to be grounded in the actual doings of everyday science. This
paper is in furtherance of this call and it does so by employing participant
observation ethnographic methods as a tool for discovering epistemological
features of scientific practice in a neuroscience lab. The case I present focuses
on a group of neurobiologists researching the genetic underpinnings of cognition
in Down syndrome (DS) and how they have developed a new mouse model which they
argue should be regarded as the "gold standard" for all DS mouse research.
Through use of ethnographic methods, interviews, and analyses of publications, I
uncover how the lab constructed their new mouse model. Additionally, I describe
how model organisms can serve as abstract standards for scientific work that
impact the epistemic value of scientific claims, regulate practice, and constrain
future work.
PMID- 29655520
TI - Comparing ultraviolet light A photo(chemo)therapy with Methotrexate protocol in
childhood localized scleroderma: Evidence from systematic review and meta
analysis approach.
AB - OBJECTIVE: Localized scleroderma is a skin fibrosing disorder that, if untreated,
may result in severe disability. The purpose of this systematic review is to
compare the present evidence concerning the effectiveness of Methotrexate versus
phototherapy, alone or associated with Psoralen, in childhood localized
scleroderma. METHOD: A systematic search between January 1996 and May 2017 was
performed to identify studies investigating the efficacy of Methotrexate (MTX) or
phototherapy (UVA) for treating localized scleroderma with onset <=18 years. Due
to a lack of validated clinical criteria, four clinical response criteria were
used to assess the treatment efficacy as primary outcome. We determined a
combined estimate of the proportion of children responding to MTX and UVA.
RESULTS: A total of 19 studies was included (8 MTX; 11 UVA). In the methotrexate
group, 193 children were included in the analysis; in the phototherapy group, a
total of 48 treated children. For both groups age, disease subtype,
glucocorticoids (GCs) use, and side effects of treatment were also analyzed. The
meta-analysis suggested that UVA and MTX protocols have both a favorable effect
in active lesions of childhood localized scleroderma. However, MTX resulted
significantly superior to UVA, with or without Psoralen. CONCLUSION: Our study
supports the combination of MTX and GCs in patients with a high risk of
complication. Phototherapy with UVA1 could represent a therapeutic option in
patients with limited scleroderma, where lesions do not cross joints and they do
not lead to potential cosmetic changes.
PMID- 29655521
TI - Spontaneous acute subdural hematoma. An unusual presentation of ruptured cerebral
aneurysm.
PMID- 29655522
TI - Antibiotics as Instigators of Microbial Dysbiosis: Implications for Asthma and
Allergy.
AB - The human body and its resident microbiota form a complex ecosystem, shaped by
both inherited and environmental factors. The use of antibiotics represents an
extreme example of environmental pressure and can broadly disrupt the microbial
landscape. The benefits that antibiotics have brought to modern medicine are
unquestionable; however, their overuse comes with consequences, including the
potential for secondary infections by opportunistic pathogens and the spread of
antibiotic resistance. Here, we discuss the implications of microbial dysbiosis
driven by antibiotics, with a focus on potential links with allergy and asthma.
We review epidemiological data on humans, as well as mechanistic studies
performed in animal models, and highlight gaps in current knowledge, which if
addressed, could drive the design of novel therapeutic strategies and improved
clinical care.
PMID- 29655523
TI - The clinical value of HPV E6/E7 and STAT3 mRNA detection in cervical cancer
screening.
AB - OBJECTIVE: To explore the value of human papillomavirus (HPV) E6/E7 and signal
transducer and activator of transcription 3 (STAT3) mRNA detection in the
screening of cervical lesions. METHODS: 192 patients with abnormal ThinPrep
cytology test (TCT) results and/or high-risk HPV infection were screened to
identify possible cervical lesions in cases. Diagnoses were confirmed by
histopathology. Fluorescence in situ hybridization (FISH) was performed to detect
and qualify the mRNAs of HPV E6/E7, STAT3, and Survivin in cervical exfoliated
cells. In addition, the performance of separate and combined mRNA detection
methods were compared with TCT, HR-HPV DNA schemes respectively. RESULTS: 1.
Compared with HPVE6/E7 and STAT3 mRNA methods, Survivin mRNA assay had poor
specificity (Sp), Youden index (YI) and concordance rate. 2. HPV E6/E7, STAT3,
and STAT3 + HR-HPV methods had the best Sp, concordance rate and positive
predictive value (PPV) for cervical lesions screening and atypical squamous cells
of undetermined significance (ASCUS) triage. For screening of high grade squamous
intraepithelial lesions or greater (HSILs+), no difference was observed in the Se
of mRNA detection methods in comparison with that of TCT, HR-HPV and TCT + HR
HPV, whereas the false positive rate (FPR) decreased by 41.48%/55.99%/17.19% and
the colposcopy referral rate reduced by about 20.00%/25.00%/11.17%. For triage of
women with ASCUS, no difference was observed in the Se of mRNA detection methods
as compared to that of HR-HPV (chi2 = 1.05, P > 0.75), while the FPR decreased by
45.83%/37.50%/41.66% and the colposcopy referral rate reduced by
32.42%/22.60%/25.28%, respectively. The Se, YI, and PPV of the combined methods
increased in comparison to each method alone. 3. Compared with the TCT + HR-HPV
method, HPV E6/E7 + STAT3 method had perfect Sp (95.92%) and PPV (95.40%) for
screening HSILs+, the FPR and colposcopy referral rate decreased by 31.06% and
22.48% respectively. CONCLUSIONS: 1. The expression of HPV E6/E7 and STAT3 mRNA
confirmed using FISH assay is expected to be a new method and molecular marker
for cervical lesions screening. Survivin mRNA was excluded due to its poor
performance. 2. HPV E6/E7, STAT3, and STAT3 +HR-HPV assays could be new
approaches for cervical cancer screening and ASCUS triage, and the efficiency of
combined screening program was better than that of a separate one. 3. HPV E6/E7 +
STAT3 regimen is expected to be a diagnostic strategy for cervical lesions.
PMID- 29655524
TI - Poliosis With a Rare Association.
PMID- 29655525
TI - Predictive value of liver damage for severe early complications and survival
after heart transplantation: A retrospective analysis.
AB - BACKGROUND: Hepatic dysfunction is often associated with advanced heart failure.
Its impact on complications following heart transplantation is not well known. We
studied the influence of preoperative hepatic dysfunction on the results of heart
transplantation with a specific priority access for critical patients. METHODS:
Consecutive heart transplantation patients were retrospectively analyzed at
listing to detect predictive factors for early complications and survival
following heart transplantation. RESULTS: Among heart transplant candidates
(n=384), median age was 52 years, dilated and ischemic cardiopathies were present
in 44% and 32%, respectively. Clinical ascites was present in 15.6% and median
MELD score was 13. A temporary circulatory support and a national priority access
were necessary in 14.8% and 35% respectively. Whereas 12% of the global cohort
died on the waiting list, 321 patients were transplanted, 34.2% suffered from
severe early complications, 26.3% needed extracorporeal membrane oxygenation in
postoperative period, 27.7% died before 3 months with a 5-year survival rate of
56%. At listing, clinical ascites, and creatinine were independently associated
with specific early complications i.e. primary graft dysfunction and septic shock
respectively. Bilirubin level was also an independent marker of other early
complications. Finally, need for postoperative circulatory support and
postoperative 90-day mortality were strongly and exclusively associated with
clinical ascites and creatinine at listing. In a subgroup analysis, we predicted
more accurately the postoperative survival at 3 months by combining MELD score
and ascites. CONCLUSION: At listing, hepatic and renal dysfunctions are
independent risk factors that could predict severe early complications and
mortality following heart transplantation in the most severe patients.
PMID- 29655526
TI - Association between appendectomy and risk of primary sclerosing cholangitis: A
systematic review and meta-analysis.
AB - BACKGROUND/OBJECTIVES: Recent epidemiologic studies have suggested that
appendectomy could be a risk factor for primary sclerosing cholangitis (PSC)
although the results were inconsistent. This systematic review and meta-analysis
was conducted to summarize all available evidence. METHODS: A comprehensive
literature review was conducted using MEDLINE and EMBASE database through January
2018 to identify all studies that reported the risk of PSC among individuals who
had appendectomy versus those with no history of appendectomy. Effect estimates
from each study were extracted and combined together using the random-effect,
generic inverse variance method of DerSimonian and Laird. RESULTS: A total of 6
case-control studies with 2432 participants met the eligibility criteria and were
included in the meta-analysis. The risk of PSC in individuals who had
appendectomy was significantly higher than those with no history of appendectomy
with the pooled odds ratio of 1.37 (95% CI: 1.15-1.63). The statistical
heterogeneity was insignificant with an I2 of 0%. CONCLUSIONS: A significantly
increased risk of PSC among individuals who had a history of appendectomy was
found in this study.
PMID- 29655527
TI - The smiling scan technique: Facially driven guided surgery and prosthetics.
AB - PURPOSE: To introduce a proof of concept technique and new integrated workflow to
optimize the functional and esthetic outcome of the implant-supported
restorations by means of a 3-dimensional (3D) facially-driven, digital assisted
treatment plan. METHODS: The Smiling Scan technique permits the creation of a
virtual dental patient (VDP) showing a broad smile under static conditions. The
patient is exposed to a cone beam computed tomography scan (CBCT), displaying a
broad smile for the duration of the examination. Intraoral optical surface
scanning (IOS) of the dental and soft tissue anatomy or extraoral optical surface
scanning (EOS) of the study casts are achieved. The superimposition of the
digital imaging and communications in medicine (DICOM) files with standard
tessellation language (STL) files is performed using the virtual planning
software program permitting the creation of a VDP. CONCLUSIONS: The smiling scan
is an effective, easy to use, and low-cost technique to develop a more
comprehensive and simplified facially driven computer-assisted treatment plan,
allowing a prosthetically driven implant placement and the delivery of an
immediate computer aided design (CAD) computer aided manufacturing (CAM)
temporary fixed dental prostheses (CAD/CAM technology).
PMID- 29655528
TI - A mobile app for patients with Pompe disease and its possible clinical
applications.
AB - In recent years, the potential of smart technology to provide innovative
solutions for disease management has raised high expectations for patients' and
healthcare professionals' community. We developed a mobile app, called AIGkit,
specifically designed for adult patients with Pompe disease, with the aim to help
them manage the burden of illness-related factors, and also to provide clinicians
with continuous tracking of each patient in real-time and ambient conditions of
everyday life. We present the AIGkit as an innovative approach exploiting cutting
edge technology to improve quality of care and research into neuromuscular
disorders.
PMID- 29655529
TI - 233rd ENMC International Workshop:: Clinical Trial Readiness for Calpainopathies,
Naarden, The Netherlands, 15-17 September 2017.
PMID- 29655530
TI - Lifetime endogenous estrogen exposure and disease severity in female patients
with facioscapulohumeral muscular dystrophy.
AB - Facioscapulohumeral muscular dystrophy (FSHD) is characterized by large
variability in disease severity, that is only partly explained by (epi)genetic
factors. Clinical observations and recent in vitro work suggest a protective
effect of estrogens in FSHD. The aims of this study were to assess whether the
lifetime endogenous estrogen exposure contributes to the variability in disease
severity in female patients, and whether female patients experience changes in
disease progression during periods of hormonal changes. We calculated the
lifetime endogenous estrogen exposure by subtracting periods with high
progesterone levels (in which estrogens are counteracted) from the reproductive
life span. Multiple linear regression in 85 patients did not show a contribution
of the lifetime endogenous estrogen exposure to disease severity (B = 0.063, P
value = 0.517, DeltaR2 = 0.003). The majority of women reported an unchanged rate
of disease progression through periods of hormonal changes, like menarche,
pregnancy or menopause. Women that noticed differences reported accelerations as
well as decelerations. These results indicate that differences in estrogen
exposure do not have a clinically relevant modifying effect on disease severity.
However, a clinically relevant protective effect of greater differences in
estrogen levels, or a protective effect caused by a more complex interplay with
other reproductive hormones, cannot be ruled out.
PMID- 29655531
TI - Food insecurity and Mediterranean diet adherence among Greek university students.
AB - AIM: To assess Mediterranean diet (MD) adherence and food insecurity (FI) among
university students in Greece. METHODS AND RESULTS: A non-probability sample of
236 students was recruited from Athens and Thessaloniki during 2016. FI was
assessed with the Household Food Insecurity Access Scale and MD adherence with
the MEDAS questionnaire. Mean MEDAS score of the sample was 6.4 +/- 1.9, with
women demonstrating greater MD adherence compared to men (p = 0.016) and
Dietetics students exhibiting increased score compared to the rest (p <= 0.001).
A low proportion of participants were food-secure (17.8%), 45.3% were severely
food-insecure, 22.0% experienced moderate FI and the remaining 14.8% had low FI.
Participants studying in the city they grew up exhibited lower FI compared to
those studying in other cities (p = 0.009), while, additionally, a trend was
noted for increased FI among students with an unemployed family member (p =
0.05). Students working night shifts had lower MD adherence and increased FI
compared to the rest (p = 0.004 and p = 0.003, respectively). The same pattern
was observed among participants who smoked (p = 0.003 for MD adherence and p =
0.009 for FI, respectively). Multivariate regression analyses did not reveal any
connections between FI categories, waist circumference or BMI, but showed an
inverse relationship between severe FI and MD adherence. CONCLUSIONS: The
majority of the surveyed university students from Greece demonstrate some degree
of FI, with a great proportion being severely food-insecure. Increased FI is
inversely associated with MD adherence.
PMID- 29655532
TI - Evaluation of different therapeutic Carnoy's formulations on hard human tissues:
A Raman microspectroscopy, microhardness, and scanning electron microscopy study.
AB - PURPOSE: To evaluate different therapeutic Carnoy's solution formulations on hard
human tissues. MATERIALS AND METHODS: An in vitro study was performed with human
teeth (n = 36) and bone fragments (n = 18), randomly divided into two
experimental groups (Group I = Carnoy solution with chloroform; Group II = Carnoy
solution without chloroform) and a control group (saline solution). The groups
were subdivided into pre-conditioning, post-conditioning, and post-conditioning
with saline washing. Raman microspectroscopy, Knoop microhardness test, and
scanning electron microscopy with energy dispersive X-ray spectroscopy were used.
RESULTS: There was demineralization of dental structures regarding mineral/matrix
and carbonate/phosphate ratios (GI versus GII, p < 0.05). The presence of
chloroform resulted in a statistically significant reduction of the teeth surface
microhardness (p = 0.036), but not exceeding 0.01 MUm. Both GI and GII showed
significant structural changes by using scanning electron microscopy with energy
dispersive X-ray spectroscopy. CONCLUSION: Carnoy's solution altered the organic
and inorganic matrix of the human calcified specimens analyzed in vitro, and its
effect was more pronounced when chloroform was present.
PMID- 29655533
TI - QSPR modeling of the logKow and logKoc of polymethoxylated, polyhydroxylated
diphenyl ethers and methoxylated-, hydroxylated-polychlorinated diphenyl ethers.
AB - In the present study, the structural parameters of 209 types of polymethoxylated
diphenyl ethers (PMeODEs), 209 types of polyhydroxylated diphenyl ethers
(PHODEs), seven types of methoxylated-polychlorinated diphenyl ethers (MeO-PCDEs)
and seven types of hydroxylated-polychlorinated diphenyl ethers (HO-PCDEs) were
calculated using the Gaussian 09 program at the B3LYP/6-311G** level. Using
structural and positional parameters as descriptors, quantitative structure
property relationships (QSPR) models for the prediction of n-octanol/water
partition coefficient (logKow) and soil sorption coefficient normalized to
organic carbon (logKoc) were established and verified. The position parameters
N2(6), N3(5) and N4 were the main positional factors influencing logKow and
logKoc of PMeODEs and PHODEs. The molecular polarizability alpha was entered into
the QSPR models of the logKow and logKoc of PMeODEs, PHODEs and MeO/HO-PCDEs,
indicating that the molecular volume could influence the two environment-related
properties of DEs significantly. All of the established QSPR models showed good
goodness-of-fit, robustness, and predictive ability. The two models for all of
the tested DEs are slightly inferior compared with the models for only a class of
compounds. In addition, application domain analysis indicated that the models
reliably predicted the logKow and logKoc of the mon- to hexa-DEs.
PMID- 29655534
TI - Comparison of constant, pulsed, incremental and decremental direct current
applications on solid-liquid phase separation in oil sediments.
AB - Phase separation of oil wastes can mitigate the effects on the environment, by
decreasing the volume of hazardous materials and regenerate energy. This study
focused on the advanced electrokinetic method as a treatment technology to treat
oil sediments from oil refineries and separate them into their individual phase
components. The effects of four types of electrical field on the phase separation
of oil sediments from an oil refinery were investigated namely constant direct
current (CDC), pulsed direct current (PDC), incremental direct current (IDC) and
decremental direct current (DDC). The results showed that the extent and quality
of phase separation differed based on the type of electrical current applied, and
indicated that different mechanisms such as electroosmosis, electrophoresis,
electro-demulsification, and electro-sedimentation might have been involved in
the separation process depending on the type of electrical supply. The
application of DDC and IDC was found to cause a significant separation of solids
by electrophoresis with the movement of almost 70% of solids to the anode of the
reactors. The DDC and IDC regimes resulted in the most efficient phase separation
of the oil sediments, and even incurred a highly resolved separation of light
hydrocarbons at the top anode.
PMID- 29655535
TI - Laser induced breakdown in gas mixtures. Experimental and statistical
investigation on n-decane ignition: Pressure, mixture composition and equivalence
ratio effects.
AB - This paper presents a physical and statistical approach to laser-induced
breakdown in n-decane/N2 + O2 mixtures as a function of incident or absorbed
energy. A parametric study, with pressure, fuel purity and equivalence ratio, was
conducted to determine the incident and absorbed energies involved in producing
breakdown, followed or not by ignition. The experiments were performed using a Q
switched Nd-YAG laser (1064 nm) inside a cylindrical 1-l combustion chamber in
the range of 1-100 mJ of incident energy. A stochastic study of breakdown and
ignition probabilities showed that the mixture composition had a significant
effect on ignition with large variation of incident or absorbed energy required
to obtain 50% of breakdown. It was observed that the combustion products absorb
more energy coming from the laser. The effect of pressure on the ignition
probabilities of lean and near stoichiometric mixtures was also investigated. It
was found that a high ignition energy E50% is required for lean mixtures at high
pressures (3 bar). The present study provides new data obtained on an original
experimental setup and the results, close to laboratory-produced laser ignition
phenomena, will enhance the understanding of initial conditions on the breakdown
or ignition probabilities for different mixtures.
PMID- 29655536
TI - Anemia, transfusion, and outcome: Both are bad...does it really matter which is
worse?
PMID- 29655537
TI - Ambulatory extracorporeal membrane oxygenation as a bridge to cardiac transplant:
A step in the right direction?
PMID- 29655538
TI - Occam's razor: What is the best approach for a lobectomy?
PMID- 29655539
TI - Month-long cardiac surgery boot camp: A proposal to jumpstart resident training.
PMID- 29655540
TI - Extra-anatomic suprahepatic to innominate vein conduit in heterotaxy.
PMID- 29655541
TI - Finding the sweet spot: Titrating unfractionated heparin in children after
cardiac surgery to prevent thrombosis and minimize bleeding.
PMID- 29655542
TI - Finally, seeing the forest for the trees.
PMID- 29655543
TI - It's not just an open or shut case.
PMID- 29655544
TI - Innovations in cardiovascular surgical technique: Separating the wheat from the
chaff.
PMID- 29655545
TI - Seeing more clearly despite the ptosis.
PMID- 29655546
TI - Late left ventricular rupture as a complication of NeoChord implantation for
mitral valve repair.
PMID- 29655547
TI - Is venoarterial extracorporeal membrane oxygenation the first-line therapy for
massive pulmonary embolus with end-organ dysfunction?
PMID- 29655548
TI - The good, the bad and the ugly-or how to treat complications of complications.
PMID- 29655549
TI - From hydroelectric power to Fontan assistance: (R)evolution of the turbine.
PMID- 29655550
TI - Proteomic investigating the cooperative lethal effect of EGFR and MDM2 inhibitors
on ovarian carcinoma.
AB - With the concept of precision medicine, combining multiple molecular-targeting
therapies has brought new approaches to current cancer treatments. Malfunction of
the tumor suppressor protein, p53 is a universal hallmark in human cancers. Under
normal conditions, p53 is degraded through an ubiquitin-proteosome pathway
regulated by its negative regulator, MDM2. In contrast, cellular stress such as
DNA damage will activate p53 to carry out DNA repair, cell cycle arrest, and
apoptosis. In this study, we focused on ovarian carcinoma with high EGFR and MDM2
overexpression rate. We assessed the effects of combined inhibition by MDM2 (JNJ
26854165) and EGFR (gefitinib) inhibitors on various ovarian cell lines to
determine the importance of these two molecular targets on cell proliferation. We
then used a proteomic strategy to investigate the relationship between MDM2 and
EGFR inhibition to explore the underlying mechanisms of how their combined
signaling blockades work together to exert cooperative inhibition. Our results
demonstrated that all four cell lines were sensitive to both individual and
combined, MDM2 and EGFR inhibition. The proteomic analysis also showed that
gefitinib/JNJ-treated CAOV3 cells exhibited downregulation of proteins involved
in nucleotide biosynthesis such as nucleoside diphosphate kinase B (NME2). In
conclusion, our study showed that the combined treatment with JNJ and gefitinib
exerted synergistic inhibition on cell proliferation, thereby suggesting the
potential application of combining MDM2 inhibitors with EGFR inhibitors for
enhancing efficacy in ovarian cancer treatment.
PMID- 29655552
TI - Binge eating disorder and morbid obesity are associated with lowered mu-opioid
receptor availability in the brain.
AB - Both morbid obesity and binge eating disorder (BED) have previously been linked
with aberrant brain opioid function. Behaviorally these two conditions are
however different suggesting also differences in neurotransmitter function. Here
we directly compared mu-opioid receptor (MOR) availability between morbidly obese
and BED subjects. Seven BED and nineteen morbidly obese (non-BED) patients, and
thirty matched control subjects underwent positron emission tomography (PET) with
MOR-specific ligand [11C]carfentanil. Both subjects with morbid obesity and BED
had widespread reduction in [11C]carfentanil binding compared to control
subjects. However, there was no significant difference in brain MOR binding
between subjects with morbid obesity and BED. Thus, our results indicate that
there is common brain opioid abnormality in behaviorally different eating
disorders involving obesity.
PMID- 29655551
TI - Medical and entomological malarial interventions, a comparison and synergy of two
control measures using a Ross/Macdonald model variant and openmalaria simulation.
AB - Using an established Ross/Macdonald model variant for mosquito-born parasite
transmission, we extend the formalism to simply incorporate time-dependent
control measures. In particular, two interventions are considered, mass drug
administration (MDA) and indoor residual spraying (IRS), whose individual
intensities during their respective campaigns are set to the same intervention
reduced reproductive number R0. The impacts of these interventions, measured as
each campaign's ability over time to reduce infections in a community, are found
based on the transmission setting, coverage, and their associated durations.
These impacts are compared for both interventions and their joint deployment.
Synchronous campaigns of IRS deployed with MDA have a cooperative, synergistic
effect whose impact exceeds that when the campaigns are deployed in isolation.
Simulations with openmalaria, with its more complex model of transmission, are
separately performed and show a similar impact enhancement with these
interventions. A new, associated analysis yields simple scaling relationships
that estimate the dynamical resurgence time, post-intervention, to infection
proliferation in a community.
PMID- 29655553
TI - Transitional care post TAVI: A pilot initiative focused on bridging gaps and
improving outcomes.
AB - Interventions focused on ensuring safe transitions for patients from hospital to
home can assist in providing continuity of care, preventing readmissions, and
reducing duplication of services. Patients undergoing a Transcatheter Aortic
Valve Implantation (TAVI) procedure are often frail, elderly, and have multiple
co-morbidities. A pilot initiative evaluating transitional care strategies
through telephone follow up was implemented in a tertiary centre with the aim to
identify gaps and intervene, preventing re-admission and improving patient
outcomes. TAVI patients or caregivers were contacted at 3 days and 30 days post
discharge by an Advanced Practice Nurse (APN). Telephone follow up centered on
best practices for transitional care. Outcomes revealed fluid balance monitoring,
medication management, and feelings of anxiety and depression post TAVI were the
most frequent areas requiring intervention. Findings from this initiative
reinforce the need to establish consistent processes that support elderly patient
populations during potentially vulnerable points in the care trajectory.
PMID- 29655554
TI - UK Consensus on Normal Tissue Dose Constraints for Stereotactic Radiotherapy:
Reply to Ghafoor et al.
PMID- 29655555
TI - Effects of treatment of preweaning dairy calves with recombinant bovine
somatotropin on immune responses and somatotropic axis.
AB - Weaning may be associated with negative energy balance and body weight loss when
calves are still immunologically immature, predisposing them to infectious
diseases. The aim of the present experiment was to investigate the effects of
treatment of preweaning dairy calves with recombinant bovine somatotropin (rbST)
on the somatotropic axis, selected immune parameters, and hematology of calves
around weaning. Thirty-six Holstein female calves were randomly assigned to
receive 1.5 to 1.8 mg of rbST (Posilac, Elanco Animal Health, Greenfield, IN) per
kilogram of body weight or to receive injections of saline (saline solution 0.9%,
Valley Vet Supply, Marysville, KS) every 7 d from 21 to 63 d of life. Calves were
fed milk replacer ad libitum from birth to 38 d of age (d -11), when progressive
weaning started, and calves were weaned at 49 d of age (d 0). Calves were weighed
at birth and weekly from 21 to 63 d of age, when wither height also was measured.
Calves were vaccinated with 0.5 mg of ovalbumin on study d -28 and -7. Blood
samples were collected on d -28, -25, -21, -11, 0, 3, 7, and 14.
Polymorphonuclear leukocytes were isolated and challenged ex vivo with
Escherichia coli to determine phagocytosis and oxidative burst capacity.
Additionally, expression of cluster of differentiation (CD)62L and CD18 by
granulocyte, lymphocyte, and CD14+ monocyte were determined. Blood samples were
also used to determine hematological parameters and concentrations of growth
hormone, insulin-like growth factor-1, insulin, glucose, fatty acids, beta
hydroxybutyrate, haptoglobin, and anti-ovalbumin IgG. Calves treated with rbST
had greater concentrations of growth hormone and insulin-like growth factor-1
from d -25 to 14 than control calves, whereas insulin, fatty acid, and beta
hydroxybutyrate concentrations did not differ. On d -11, glucose concentration
was greater for rbST-treated calves. Treatment did not affect polymorphonuclear
lymphocyte phagocytosis and oxidative burst, but intensity of expression of CD62L
and CD18 by granulocytes tended to be increased by rbST treatment. Treatment did
not affect the concentration of anti-ovalbumin IgG in serum. Haptoglobin
concentration was reduced in rbST treated calves on d 3 and we noted a tendency
for hematocrit to be lower in rbST-treated calves. Treatment did not affect body
weight, wither height, and average daily gain, despite the fact that rbST-treated
calves had lower daily milk replacer intake. The relatively minor improvements in
immune responses resulting from rbST treatment of weaning calves may not be
sufficient to reduce the incidence of infectious diseases.
PMID- 29655556
TI - In vitro bioassessment of the immunomodulatory activity of Saccharomyces
cerevisiae components using bovine macrophages and Mycobacterium avium ssp.
paratuberculosis.
AB - The yeast Saccharomyces cerevisiae and its components are used for the prevention
and treatment of enteric disease in different species; therefore, they may also
be useful for preventing Johne's disease, a chronic inflammatory bowel disease of
ruminants caused by Mycobacterium avium ssp. paratuberculosis (MAP). The
objective of this study was to identify potential immunomodulatory S. cerevisiae
components using a bovine macrophage cell line (BOMAC). The BOMAC phagocytic
activity, reactive oxygen species production, and immune-related gene (IL6, IL10,
IL12p40, IL13, IL23), transforming growth factor beta, ARG1, CASP1, and inducible
nitric oxide synthase expression were investigated when BOMAC were cocultured
with cell wall components from 4 different strains (A, B, C, and D) and 2 forms
of dead yeast from strain A. The BOMAC phagocytosis of mCherry-labeled MAP was
concentration-dependently attenuated when BOMAC were cocultured with yeast
components for 6 h. Each yeast derivative also induced a concentration-dependent
increase in BOMAC reactive oxygen species production after a 6-h exposure. In
addition, BOMAC mRNA expression of the immune-related genes was investigated
after 6 and 24 h of exposure to yeast components. All yeast components were found
to regulate the immunomodulatory genes of BOMAC; however, the response varied
among components and over time. The in vitro bioassessment studies reported here
suggest that dead yeast and its cell wall components may be useful for modulating
macrophage function before or during MAP infection.
PMID- 29655557
TI - An evaluation of the effectiveness of a chemical additive based on sodium
benzoate, potassium sorbate, and sodium nitrite on the fermentation and aerobic
stability of corn silage.
AB - We evaluated the effectiveness of an additive comprising sodium benzoate,
potassium sorbate, and sodium nitrite (SSL) as active ingredients for its ability
to improve the aerobic stability of corn silages made in North America. In
experiment 1, treatment with SSL (1.5 and 2.0 L/t) on whole-plant corn (WPC) was
compared with treatment with an additive containing buffered propionic acid and
citric acid (BPA; 2 L/t) on corn harvested at 32 and 38% dry matter and ensiled
for 120 d. Silage treated with BPA was higher in ammonia-N and propionic acid
relative to other treatments. Treatments with all of the additives had
numerically, but not statistically, fewer yeasts compared with untreated silage.
Both application rates of SSL resulted in lower concentrations of ethanol
compared with untreated and BPA silages. Treatment with BPA improved the aerobic
stability of silages compared with untreated silage, but the effect from SSL was
markedly greater. In experiment 2, WPC was untreated or treated with 2 or 3 L of
SSL/t or a microbial inoculant containing Enterococcus faecium M74, Lactobacillus
plantarum CH6072, and Lactobacillus buchneri LN1819 (final total lactic acid
bacteria application rate of 150,000 cfu/g of fresh forage). Silages were air
stressed for 24 h at 28 and 42 d of storage and ensiled for 49 d before opening.
Inoculation had no effect on acid end products, ethanol, number of yeasts, or
aerobic stability compared with other treatments. Treatment with SSL decreased
the amount of ethanol, had no effect on number of yeasts, and improved aerobic
stability in a dose-dependent manner compared with other treatments. In
experiment 3, WPC was untreated or treated with 2 L of SSL/t and ensiled for 5,
15, and 30 d. Treatment with SSL resulted in silage with fewer yeasts and lower
concentrations of ethanol after all times of ensiling compared with untreated
silage. In addition, SSL improved aerobic stability after each period of
ensiling, but the effect was more at 15 and 30 d compared with 5 d of storage.
Treating WPC with SSL can improve the aerobic stability of corn silage made in
North America, and the effect can be observed as soon as 5 d after ensiling.
PMID- 29655558
TI - Effects of feeding milk replacer at 2 rates with pelleted, low-starch or
texturized, high-starch starters on calf performance and digestion.
AB - Milk replacer (MR) feeding programs have traditionally fed at less than ad
libitum amounts to promote calf starter (CS) intake and allow early weaning. More
recently, increased amounts of MR preweaning have been shown to increase
preweaning ADG, although postweaning growth may be reduced. Several studies
suggest that limited postweaning digestion of nutrients in CS may contribute to
postweaning growth impairment. It is not clear whether CS formulation might also
contribute to differences in postweaning nutrient digestion when calves are fed
different MR programs. A 56-d feeding and digestion trial was conducted to
compare growth and digestion in 2- to 3-d-old male Holstein calves (n = 48;
initially 41.9 kg of body weight) fed a moderate (MRM) or high (MRH) MR program
and either a pelleted CS containing 9.9% starch or a texturized CS containing
41.3% starch. Programs were 0.66 kg of dry matter (DM)/d of MR to d 46, then 0.33
kg/d to d 49 (MRM) and 0.85 kg of DM/d to d 5, then 1.07 kg/d to d 42, then 0.53
kg/d to d 49 (MRH). The MR contained 25% crude protein and 18.6% fat and was
reconstituted to 13 (MRM) or 15% (MRH) solids. Calves were also assigned randomly
to receive a pelleted CS (9.9% starch, 36.9% NDF) or a textured CS (41.3% starch,
13.3% NDF) and water for ad libitum intake for 56 d. During d 31 to 35 and 52 to
56, fecal samples were collected from 5 calves per treatment for estimates of
digestibility. Selected nutrients and chromic oxide (d 31-35) or acid-insoluble
ash (d 52-56) were analyzed in feed and feces to estimate digestibility. Data
were analyzed as a completely randomized design. Repeated measures analysis was
performed when data were measured by week. Calves fed MRH gained more body weight
(but not hip width) and were more efficient to weaning compared with calves fed
MRM, although fecal scores and days treated with medications were greater. We
found no effect of CS on animal performance, although calves fed textured CS had
higher fecal scores. Digestibilities of nutrients were affected by treatment and
time of sampling (5 or 8 wk). At 5 wk, digestion of DM, organic matter, crude
protein, and fat were lower and digestion of acid detergent fiber, neutral
detergent fiber, and starch were higher in calves fed MRM and reflected greater
CS intake. Also, digestion of DM, organic matter, acid detergent fiber, starch,
crude protein, and fat were greater in calves fed textured CS at 5 wk. By 8 wk,
when CS was the only source of nutrients, digestion of DM, organic matter, acid
detergent fiber, and neutral detergent fiber were greater in calves fed MRM and
digestion of DM and organic matter were greater, and acid detergent fiber and
neutral detergent fiber digestion were lower in calves fed textured CS.
Formulation of CS as well as amount of MR offered to young calves influenced
animal performance and digestion in this study.
PMID- 29655559
TI - Associations between bone and energy metabolism in cows fed diets differing in
level of dietary cation-anion difference and supplemented with cholecalciferol or
calcidiol.
AB - Bone-derived hormones play an important role in metabolism. This study examined
the hypothesis that interactions between bone and energy metabolism, particularly
those involving osteocalcin, are present in dairy cattle and have feedback
mechanisms over time. Associations between metabolites in blood were examined in
32 Holstein cows blocked by parity and milk yield and randomly allocated to diets
containing either 0.27 mg/kg dry matter (DM) calcidiol or cholecalciferol for an
anticipated intake of 3 mg/d (120,000 IU/d) at 11 kg of DM, and positive (+130
mEq/kg DM) or negative (-130 mEq/kg DM) dietary cation-anion difference (DCAD)
from 252 d of gestation to calving. Blood was sampled every 3 d, from 9 d
prepartum to 30 d postpartum, and plasma concentrations of vitamin D3, 25
hydroxyvitamin D3, adiponectin, C-telopeptide of type 1 collagen (CTX1), glucose,
insulin-like growth factor 1 (IGF1), insulin, undercarboxylated osteocalcin
(uOC), and carboxylated osteocalcin (cOC) were determined. Feeding calcidiol
compared with cholecalciferol increased plasma concentrations of 25
hydroxyvitamin D3 pre- (264.2 +/- 8.0 vs. 61.3 +/- 8.0 ng/mL) and postpartum
(170.8 +/- 6.2 vs. 51.3 +/- 6.2 ng/mL) but decreased concentrations of vitamin D3
pre- (1.2 +/- 0.6 vs. 14.5 +/- 0.6 ng/mL) and postpartum (1.9 +/- 0.4 vs. 3.2 +/-
0.6 ng/mL). Prepartum, cows fed the negative DCAD diet had reduced concentrations
of vitamin D3 and glucose compared with cows fed a positive DCAD. The combination
of negative DCAD and cholecalciferol reduced IGF1 concentrations prepartum. The
DCAD treatment had no effect on postpartum concentrations of metabolites.
Nulliparous cows had increased concentrations of OC, CTX1, IGF1, glucose, and
insulin compared with parous cows. Time series analysis identified associations
between metabolites on the same day and over 3-d lags up to +/-9 d that suggest
feedback between 25-hydroxyvitamin D3 and vitamin D3 in the negative lags,
indicating that 25-hydroxyvitamin D3 may exert feedback on vitamin D3 but not
vice versa. We found evidence of a feedback mechanism between vitamin D3 and
IGF1, with positive effect size (ES) on the same day and 3 d later, and negative
ES 9 d later, that was more evident in cholecalciferol-fed cows. This suggests an
important role of IGF1 in integrating bone metabolism with energy and protein
metabolic pathways. Evidence of feedback was found between uOC and particularly
cOC with IGF1, with positive ES on the same day but negative ES 6 d before and 6
d after. An association between uOC or cOC and IGF1 has not been previously
identified in cattle and suggests that both uOC and cOC may have marked
biological activity. Associations between OC and insulin identified in mice were
not observed herein, although associations between OC and glucose were similar to
those between IGF1 and glucose, supporting associations between glucose, OC, and
IGF1. We provide further statistical evidence of crosstalk between vitamin D
compounds, bone hormones, and energy metabolism in cattle. In particular,
associations between uOC or cOC and IGF1 may provide links between prepartum
diets and observations of prolonged increases in milk production and allow better
control of peripartum metabolism.
PMID- 29655560
TI - Selected reaction monitoring mass spectrometry of mastitis milk reveals pathogen
specific regulation of bovine host response proteins.
AB - Mastitis is a major challenge to bovine health. The detection of sensitive
markers for mastitis in dairy herds is of great demand. Suitable biomarkers
should be measurable in milk and should report pathogen-specific changes at an
early stage to support earlier diagnosis and more efficient treatment. However,
the identification of sensitive biomarkers in milk has remained a challenge, in
part due to their relatively low concentration in milk. In the present study, we
used a selected reaction monitoring (SRM) mass spectrometry approach, which
allowed the absolute quantitation of 13 host response proteins in milk for the
first time. These proteins were measured over a 54-h period upon an in vivo
challenge with cell wall components from either gram-negative (lipopolysaccharide
from Escherichia coli; LPS) or gram-positive bacteria (peptidoglycan from
Staphylococcus aureus; PGN). Whereas our data clearly demonstrate that all
challenged animals have consistent upregulation of innate immune response
proteins after both LPS and PGN challenge, the data also reveal clearly that LPS
challenge unleashes faster and shows a more intense host response compared with
PGN challenge. Biomarker candidates that may distinguish between gram-negative
and gram-positive bacteria include alpha-2 macroglobulin, alpha-1 antitrypsin,
haptoglobin, serum amyloid A3, cluster of differentiation 14, calgranulin B,
cathepsin C, vanin-1, galectin 1, galectin 3, and IL-8. Our approach can support
further studies of large cohorts of animals with natural occurring mastitis, to
validate the relevance of these suggested biomarkers in dairy production.
PMID- 29655561
TI - Interaction between the physical forms of starter and forage source on growth
performance and blood metabolites of Holstein dairy calves.
AB - The objective of this study was to investigate the effects of the physical forms
of starter and forage sources on feed intake, growth performance, rumen pH, and
blood metabolites of dairy calves. Forty male Holstein calves (41.3 +/- 3.5 kg of
body weight) were used (n = 10 calves per treatment) in a 2 * 2 factorial
arrangement of treatments with the factors being physical forms of starter
(coarse mash and texturized) and forage source [alfalfa hay (AH) and wheat straw
(WS)]. Individually housed calves were randomly assigned to 1 of the 4 dietary
treatments, including (1) coarsely mashed (CM; coarse ground grains combined with
a mash supplement) starter feed with AH (CM-AH), (2) coarsely mashed starter feed
with WS (CM-WS), (3) texturized feed starter (TF; includes steam-flaked corn,
steam-rolled barley combined with a pelleted supplement) with AH (TF-AH), and (4)
TF with WS (TF-WS). Both starters had the same ingredients and nutrient
compositions but differed in their physical forms. Calves were weaned on d 56 and
remained in the study until d 70. All calves had free access to drinking water
and the starter feeding at all times. No interaction was detected between the
physical forms of starter feeds and forage source concerning starter intake, dry
matter intake, metabolizable energy (ME) intake, average daily gain (ADG)/ME
intake, ADG, and feed efficiency (FE). The preweaning and overall starter feed
intake, dry matter intake, and ME intake were greater for calves fed TF starter
diets than those fed CM starter diets. The ADG/ME intake was greater for calves
fed TF starter diets than that fed CM starter. The FE was greater for calves fed
TF starter diets compared with those fed CM starter during the preweaning,
postweaning, and overall periods. The WS improved FE during the postweaning
period compared with AH. The physical form of starter, forage source, and their
interaction did not affect plasma glucose, triglycerides, and very low-density
lipoprotein concentrations. Ruminal pH was greater for calves fed TF starter
diets than those fed CM starter on d 30 of life. An interaction was observed
between the physical forms of starter diets and forage source for beta
hydroxybutyrate on d 28. These results showed that when starter diets contained
similar ingredients and nutrient contents, processing calf starters to reduce the
number of fine particles can improve the growth performance in dairy calves.
Furthermore, the provision of WS improved FE and ADG of calves during the
postweaning period.
PMID- 29655562
TI - Quantification of bovine leukemia virus proviral DNA using a low-cost real-time
polymerase chain reaction.
AB - The detection of bovine leukemia virus (BLV) proviral DNA is an important tool to
address whether an animal is infected with BLV. Compared with serological assays,
real-time PCR accounts for greater sensitivity and can serve as a confirmatory
test for the clarification of inconclusive or discordant serological test
results. However, the high cost related to real-time PCR assays has limited their
systematic inclusion in BLV surveillance and eradication programs. The aim of the
present study was to validate a low-cost quantitative real-time PCR.
Interestingly, by using SYBR Green detection dye, we were able to reduce the cost
of a single reaction by a factor of 5 compared with most common assays based on
the use of fluorogenic probes (i.e., TaqMan technology). This approach allowed a
highly sensitive and specific detection and quantification of BLV proviral DNA
from purified peripheral blood leukocytes and a milk matrix. Due to its
simplicity and low cost, our in-house BLV SYBR quantitative real-time PCR might
be used either as a screening or as a confirmatory test in BLV control programs.
PMID- 29655563
TI - Laboratory evaluation of a novel rapid tube test system for differentiation of
mastitis-causing pathogen groups.
AB - Because clinical mastitis, one of the most common diseases in dairy cows, is
routinely treated with antimicrobial substances, it offers a high potential for
future reduction of antimicrobial usage. In fact, intramammary antibiotic
administration is not advisable in cases of clinical mastitis caused by coliform
bacteria, yeasts, or protothecae or in cases with no detectable mastitis
pathogen. To avoid unnecessary treatments with antimicrobials for the benefit of
animal health and public welfare, the rapid identification of the mastitis
causing pathogens becomes necessary. Therefore, 4 different incubation time
schemes for a newly developed tube test system (MastDecide, Quidee GmbH, Homberg,
Germany) were analyzed in terms of sensitivity, specificity, negative and
positive predictive values, and apparent and true prevalence compared with the
conventional microbiological investigation results for 251 clinical mastitis milk
samples from 11 dairy farms located in northern Germany. An aliquot (100 uL) of a
quarter foremilk sample was taken in both cases. The evaluation of the tube test
result after 14 h of incubation at 37 degrees C resulted in sensitivity values of
83.6, 72.2, and 70.7% and specificity values of 94.1, 83.3, and 90.8% for gram
positive cocci, coliform bacteria, and no growth or further pathogens,
respectively. Moreover, for the present pathogen distribution, the overall tube
test sensitivity was highest after 14 h of incubation (sensitivity = 80.9%;
specificity = 70.7%). The described tube test system has the potential to provide
a new option for an evidence-based mastitis therapy, with the aim of reducing the
future usage of antimicrobials in dairy cows and a larger goal of decreasing
antimicrobial resistance. However, a subsequent on-farm test validation should be
performed before implementation in an evidence-based mastitis therapy concept can
be recommended.
PMID- 29655564
TI - Feeding reduced-fat dried distillers grains with solubles to lactating Holstein
dairy cows does not alter milk composition or cause late blowing in cheese.
AB - Feeding dried distillers grains with solubles (DDGS) to lactating dairy cows has
been implicated as a cause of late blowing defects in the production of Swiss
style cheeses. Our objectives were (1) to test the effect of feeding reduced-fat
DDGS (RF-DDGS; ~6% fat) to lactating dairy cows on the composition of milk and on
the suitability of the milk for production of baby Swiss cheese and (2) to
evaluate the effect of diet on cow lactation performance. Lactating Holstein
dairy cows were fed both dietary treatments in a 2 * 2 crossover design. Cows
were housed in a 48-cow freestall pen equipped with individual feeding gates to
record feed intake. The control diet was a corn, corn silage, and alfalfa hay
diet supplemented with mechanically expelled soybean meal. The experimental diet
was the same base ration, but 20% (dry matter basis) RF-DDGS were included in
place of the expelled soybean meal. The RF-DDGS diet was additionally
supplemented with rumen-protected lysine; diets were formulated to be
isoenergetic and isonitrogenous. Cows were allowed ad libitum access to feed and
water, fed twice daily, and milked 3 times daily. For cheese production, milk was
collected and pooled 6 times for each dietary treatment. There was no treatment
effect on milk yield (35.66 and 35.39 kg/d), milk fat production (1.27 and 1.25
kg/d), milk fat percentage (3.65 and 3.61%), milk protein production (1.05 and
1.08 kg/d), lactose percentage (4.62 and 4.64%), milk total solids (12.19 and
12.28%), and somatic cell count (232.57 and 287.22 * 103 cells/mL) for control
and RF-DDGS, respectively. However, dry matter intake was increased by treatment,
which implied a reduction in feed efficiency. Milk protein percentage also
increased (3.01 and 3.11%), whereas milk urea nitrogen decreased (14.18 and 12.99
mg/dL), indicating that protein utilization may be more efficient when cows are
fed RF-DDGS. No differences in cheese were observed by a trained panel except
cheese appearance; control cheese eyes were significantly, but not practically,
larger than the RF-DDGS cheese. These results indicate that RF-DDGS can be
effectively used in the rations of lactating Holstein cows with no deleterious
effects on milk production and composition and metrics of the physiology of the
cow (i.e., blood glucose and nonesterified fatty acids); however, feeding RF-DDGS
increased dry matter intake, which decreased feed efficiency. Finally, feeding RF
DDGS did not negatively influence quality and suitability of milk for production
of baby Swiss cheese.
PMID- 29655565
TI - The tolerogenic role of IFN-gamma.
AB - Due to its extremely pleiotropic nature, the complex effects of IFN-gamma exerted
both on immune and non-immune cell types still remain only partially understood.
The longstanding view of IFN-gamma as being a predominantly inflammatory cytokine
is constantly challenged by increasing demonstrations of its direct or indirect
regulatory roles. Interferon-gamma can exert tolerogenic effects on both innate
and adaptive immune cell types, promoting tolerance of various antigen-presenting
cells, and augmenting function and differentiation of regulatory T cells,
respectively. Its capacity to induce IDO-competence is not limited to immune
cells but extends to other cell types such as mesenchymal stem cells, epithelial
cells, and tumors. The pro-inflammatory role of IFN-gamma in tumor immune
surveillance can backfire by directly inducing inhibitory molecule expression,
such as PDL-1, on tumor cells. With increasing knowledge regarding the role of
different helper T cell subsets in certain autoimmune diseases, the once
contradictory observations of disease attenuation by IFN-gamma can now be
explained by its opposing interplay with other effector cytokines, particularly
IL-17. The paradoxically immunosuppressive role of IFN-gamma is also becoming
evident in the transplantation setting, and graft-versus-host-disease. In the
present review, we will discuss the latest findings that help to elucidate this
dual role of IFN-gamma at a cellular level, and in various pathophysiological
states.
PMID- 29655566
TI - Development of MR quantified pancreatic fat deposition as a cancer risk
biomarker.
AB - BACKGROUND: Excess body adiposity is associated with increased risk of pancreatic
cancer, and in animal models excess intra-pancreatic fat is a driver of
pancreatic carcinogenesis. Within a programme to evaluate pancreatic fat and PC
risk in humans, we assessed whether MR-quantified pancreatic fat fraction (PFF)
was 'fit for purpose' as an imaging biomarker. METHODS: We determined PFF using
MR spectroscopy (MRS) and MR chemical shift imaging (CS-MR), in two groups. In
Group I, we determined accuracy of MR-derived PFF with histological digital fat
quantification in 12 patients undergoing pancreatic resection. In a second study,
we assessed reproducibility in 15 volunteers (Group IIa), and extended to 43
volunteers (Group IIa & IIb) to relate PFF with MR-derived hepatic fat fraction
(HFF), body mass index (BMI), and waist circumference (WC) using linear
regression models. We assessed intra- and inter-observer, and between imaging
modality levels of agreement using Bland-Altman plots. RESULTS: In Group I
patients, we found strong levels of agreement between MRS and CS-MR derived PFF
and digitally quantified fat on histology (rho: 0.781 and 0.672 respectively). In
Group IIa, there was poor reproducibility in initial assessments. We refined our
protocols to account for 3D dimensionality of the pancreas, and found
substantially improved intra-observer agreements. In Group II, HFF and WC were
significantly correlated with PFF (p values < 0.05). INTERPRETATION: Both CS-MR
and MRS (after accounting for pancreatic 3D dimensionality) were 'fit for
purpose' to determine PFF and might add information on cancer prediction
independent from measures of general body adiposity.
PMID- 29655567
TI - Acute coronary syndrome: Relationship between genetic variants and TIMI risk.
AB - Acute Coronary Syndrome (ACS) is a multifactorial disease, including the genetic
factor, caused by coronary artery obstruction by atheroma. Some genetic variants
have been described as risk factors for this disease. Its early diagnosis and
stratification of risk of death by Thrombolysis in Myocardial Infarction (TIMI)
are important. Therefore, we evaluated variants in the IL6R (c950-1722C>T), TNFa
(c.-488G>A), LEPR (c.2673+1118C>T) and IL1b (c.-598T>C) genes in relation to TIMI
risk, cytokine serum levels, and risk factors for ACS. We selected 200 patients
with ACS, 50 without ACS from the Real Hospital Portugues, Recife - PE, and 295
blood donors at the Fundacao de Hematologia e Hemoterapia de Pernambuco (Hemope).
Variants were determined by DNA sequencing or enzymatic cleavage. Cytokine levels
were measured by ELISA. The most frequent risk factors found in the patients were
dyslipidemia and hypertension, this latter associated with high TIMI risk (p =
0.003). Genotype frequencies of IL6R and TNFa differed between patients with ACS
and the blood donors (p = 0.0002 and p = 0.01, respectively), and TNF-alpha
levels differed between genotypes. The TT genotype of the IL6R gene is as a
possible protective factor for ACS because it was significantly more present in
blood donors (32.2%) than in patients with ACS (18.0%), and was more frequent in
low TIMI risk (22.9%) than in the intermediate (20.2%) or high (4.9%). In
patients with ACS, the TT genotype in IL6R was related to a lower concentration
of c-reactive protein (p = 0.03) and troponin (p = 0.02), showing a less
inflammatory reaction and tissue damage. The differences in the frequencies of
variants in genes of medical interest among the groups show the importance of
studies in specific populations groups to establish the relationship between
genes and diseases.
PMID- 29655568
TI - Luteolin attenuates acute lung injury in experimental mouse model of sepsis.
AB - The present investigation was undertaken to assess the result of pretreatment of
luteolin in sepsis-induced acute lung injury in mice and its mechanism of action.
Luteolin was administered intraperitoneally one hour before caecal ligation and
puncture (CLP) surgery in mice. Acute lung injury was assessed by estimation of
different parameters like lung edema, protein content, cytokines level, oxidative
stress, inducible nitric oxide synthase (iNOS), intercellular adhesion molecule
(ICAM)-1 expression and histopathology. Pretreatment of mice with luteolin showed
decrease lung edema and protein content in tissue and bronchoalveolar lavage
fluid (BALF). However, mice pretreated with luteolin showed reduction (p = 0.92)
in blood and lung tissue bacterial counts however it was non significant.
Further, luteolin showed significant reduction in interleukin (IL)-6 and IL-1beta
in lung tissue which are the proinflammatory cytokines. However, plasma IL-1beta
and tissue tumor necrosis factor (TNF)-alpha level decrease (p = 0.24; p = 0.19)
with this pretreatment. Further, ICAM-1 mRNA expression and nuclear factor (NF)
kappa B protein expression were significantly (p < 0.01) decreased in luteolin
pretreated septic mice. The lung iNOS level, iNOS mRNA and protein expressions
were markedly (p = 0.25; p = 0.50; p = 0.06) altered with luteolin pretreatment,
respectively. Also, significant reduction in lipid peroxidation and increase in
the activity of antioxidant enzymes like superoxide dismutase (SOD) and catalase
was noted with luteolin pretreatment. However, luteolin did not alter (p = 0.36)
the non enzymatic antioxidant GSH activity in septic mice. Histopathology of lung
tissue showed reduction in lung injury with the luteolin pretreatment in septic
mice. The study suggests that luteolin showed attenuation in sepsis-induced acute
lung injury in mice through suppression in ICAM-1, NF-kappa B, oxidative stress
and partially iNOS pathways.
PMID- 29655569
TI - Activation of the porcine alveolar macrophages via toll-like receptor 4/NF-kappaB
mediated pathway provides a mechanism of resistin leading to inflammation.
AB - Resistin, a previously discovered cysteine-rich adipokine known to regulate
glucose metabolism, has been emerged as a mediator in inflammation and immunity.
Its level was supposed to be related to the expression of indicators, such as
interleukin-1beta (IL-1beta), IL-6 and tumor necrosis factor-alpha (TNF-alpha) in
inflammation. Toll-like receptor 4 (TLR4) was reported to be a receptor for
resistin in cells, like leukocytes and peripheral blood mononuclear cells (PBMC).
However, the pro-inflammatory role of resistin and its intracellular mechanisms
in alveolar macrophages have not been thoroughly validated. Here we found that
the pro-inflammatory cytokine expression in porcine alveolar macrophages (PAMs)
was positively correlated with resistin. Our results also showed that resistin
induced the expression of TLR4, intracellular molecules myeloid differentiation
primary response protein 88 (MyD88), TRIF-related adaptor molecule (TRAM) and
nuclear factor kappaB (NF-kappaB) in PAMs. In contrast, inhibition of TLR4,
MyD88, TRAM and NF-kappaB abrogated the pro-inflammatory effect of resistin on
PAMs. Additionally, the associations among TLR4, MyD88/TRAM and NF-kappaB were
investigated by introducing TLR4-siRNA, MyD88-siRNA and TRAM-siRNA respectively
into PAMs prior to the treatment with resistin. Taken together, our findings
demonstrated that resistin promoted the production of pro-inflammatory cytokine
in PAMs via TLR4/NF-kappaB-mediated pathway (TLR4/MyD88/TRAM/NF-kappaB).
PMID- 29655570
TI - Interleukin-7 enhances anti-tumor activity of CD8+ T cells in patients with
hepatocellular carcinoma.
AB - Interleukin (IL)-7 stimulation improves virus- and tumor-specific CD8+ T cell
responses. However, the role of IL-7 in modulation of dysfunctional CD8+ T cells
in hepatocellular carcinoma (HCC) was not completely understood. In this study, a
total of 37 HLA-A2 restricted patients with HCC and 16 healthy individuals were
enrolled. IL-7 expression and its receptor alpha chain CD127 level was measured.
The regulatory activity of IL-7 to peripheral and liver-resident CD8+ T cells was
investigated in co-culture systems which were directly or indirectly contacted
with HCC cell line HepG2 in vitro. Serum IL-7 concentration was significantly
reduced in HCC patients, while effective anti-tumor treatment up-regulated IL-7
expression. However, CD127 expression was comparable on peripheral CD8+ T cells
from either HCC patients and healthy individuals, and was also similar on liver
resident CD8+ T cells from either normal tissues and HCC specimens. CD8+ T cells
purified from normal liver tissues also presented stronger cytotoxicity compared
with those from HCC specimens prior to and post IL-7 treatment. Moreover, IL-7
stimulation not only augmented cytotoxicity of peripheral and liver-resident CD8+
T cells, but also promoted IFN-gamma and TNF-alpha production by CD8+ T cells in
direct contact co-culture system. This process was accompanied by down-regulation
of programmed death-1 (PD-1) expression on CD8+ T cells. Our present data
indicated that IL-7 enhanced both cytolytic and noncytolytic activity of CD8+ T
cells to HCC probably via repression of PD-1 under direct tumor cells
presentation. IL-7 might be considered as one of the therapeutic candidates for
HCC treatment.
PMID- 29655571
TI - A Rapid Access Chest Pain Clinic (RACPC): Initial Australian Experience.
AB - BACKGROUND: Chest pain is the second most common presenting symptom to emergency
departments (ED) in Australia, although up to 85% of these patients do not have
an acute coronary syndrome (ACS). Cardiologist-led rapid access chest pain
clinics (RACPC) have been proposed overseas to assist in the management of such
patients, with prompt outpatient assessment if patients are deemed low risk and
discharged from the ED. The use of RACPCs in Australia has been only recently
proposed; we therefore sought to examine one such RACPC in an Australian context.
METHODS AND RESULTS: 1133 consecutive patients were seen at a metropolitan RACPC,
between August 2008 and February 2017. There was a high preponderance of
cardiovascular risk factors. Exercise stress testing (EST) was the default
investigation upon discharge from ED, with a total of 1038 ESTs performed in 1113
patients (93%), with low numbers of other functional tests, and a small, but
increasing number of coronary computed tomography (CT) scans performed over this
period. Eighteen patients subsequently underwent revascularisation (1.6% of the
total cohort), and none of these patients were readmitted at any time with an ACS
between the interval of their index ED presentation to these investigations or
treatments. Five (0.4%) patients represented to ED within 48hours, none due to a
cardiovascular cause. A total of 24 (2.1%) patients represented between 2 and 28
days, with none of these due to an ACS. CONCLUSIONS: Following ED assessment of
acute chest pain as low risk-with direct ED referral for exercising testing
followed by RACPC review-results in very low readmission rates at 48hours and at
28 days. Moreover, these readmissions were almost always not of cardiovascular
aetiology, and occurred despite relatively longer waiting periods for both EST (8
days) and between EST and RACPC review (11 days), than the prespecified 72 to
96hours as defined by the clinic protocol. Further investigation into this model
of care in Australia is suggested.
PMID- 29655572
TI - 3D Modelling and Printing Technology to Produce Patient-Specific 3D Models.
AB - BACKGROUND: A comprehensive knowledge of mitral valve (MV) anatomy is crucial in
the assessment of MV disease. While the use of three-dimensional (3D) modelling
and printing in MV assessment has undergone early clinical evaluation, the
precision and usefulness of this technology requires further investigation. This
study aimed to assess and validate 3D modelling and printing technology to
produce patient-specific 3D MV models. METHODS: A prototype method for MV 3D
modelling and printing was developed from computed tomography (CT) scans of a
plastinated human heart. Mitral valve models were printed using four 3D printing
methods and validated to assess precision. Cardiac CT and 3D echocardiography
imaging data of four MV disease patients was used to produce patient-specific 3D
printed models, and 40 cardiac health professionals (CHPs) were surveyed on the
perceived value and potential uses of 3D models in a clinical setting. RESULTS:
The prototype method demonstrated submillimetre precision for all four 3D
printing methods used, and statistical analysis showed a significant difference
(p<0.05) in precision between these methods. Patient-specific 3D printed models,
particularly using multiple print materials, were considered useful by CHPs for
preoperative planning, as well as other applications such as teaching and
training. CONCLUSIONS: This study suggests that, with further advances in 3D
modelling and printing technology, patient-specific 3D MV models could serve as a
useful clinical tool. The findings also highlight the potential of this
technology to be applied in a variety of medical areas within both clinical and
educational settings.
PMID- 29655573
TI - [The reality on peritoneal dialysis in France: 40years after].
AB - The share of peritoneal dialysis (PD) in the spectrum of chronic dialysis has
decreased in France over the last ten years. Despite various facilities offered
by the health authorities, PD remains confidential in many private units and some
public hospitals. The enthusiasm present at the beginning of this technology,
forty years ago, is declining, despite a real improvement in new developments, a
real increase in patient survival, today at least similar to that on
hemodialysis. Then, the aim of this review is first to give a summary of the
principles and practice of patient and staff education and to describe the role
of the medical contribution in decision-making. The second aim is to review
patient and technique survival data of PD patients henceforth prolonged, and the
new insights into dialysis adequacy. The presence of residual renal function is a
main determinant of patient comfort together with prevention of over-hydratation.
Improvement of the peritoneal catheter is underlined. The prevention and
management of infections is reviewed, and also the regular assessment of
peritoneal function. Free water transport is a predictor of encapsulating
peritoneal sclerosis, which should be assessed regularly. The physiopathology and
the pathogenesis of this devastating complication allow now the prevention, and a
better treatment.
PMID- 29655574
TI - Current treatment for non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease is the most prevalent hepatopathy, estimated at
30% in the general population. In the coming years, it will likely be the most
common indication for liver transplantation and the most frequent cause of
hepatocellular carcinoma. Current treatment for non-alcoholic fatty liver disease
is based on dietary and exercise interventions that have been shown to be
efficacious, even for reverting fibrosis. Unfortunately, compliance with general
measures involving lifestyle modifications is very poor, making pharmacologic
strategies a necessary option. At present, there are no treatments for non
alcoholic fatty liver disease approved by regulatory agencies, and the only ones
with sufficient evidence and recommended by international societies are
treatments with pioglitazone and vitamin E, which are not exempt from adverse
effects. We review herein the current management of non-alcoholic fatty liver
disease, including dietary and physical activity interventions, available
treatments, equivocal therapies, emerging treatments, and treatments presently in
clinical trials.
PMID- 29655576
TI - [Endophthalmitis secondary to keratomycosis of unusual etiology: Scytalidium
hyalinum].
PMID- 29655575
TI - CRMP2-Neurofibromin Interface Drives NF1-related Pain.
AB - An understudied symptom of the genetic disorder Neurofibromatosis type 1 (NF1) is
chronic idiopathic pain. We used targeted editing of Nf1 in rats to provide
direct evidence of a causal relationship between neurofibromin, the protein
product of the Nf1 gene, and pain responses. Our study data identified a protein
interaction network with collapsin response meditator protein 2 (CRMP2) as a node
and neurofibromin, syntaxin 1A, and the N-type voltage-gated calcium (CaV2.2)
channel as interaction edges. Neurofibromin uncouples CRMP2 from syntaxin 1A.
Upon loss/mutation of neurofibromin, as seen in patients with NF1, the
CRMP2/Neurofibromin interaction is uncoupled, which frees CRMP2 to interact with
both syntaxin 1A and CaV2.2, culminating in increased release of the pro
nociceptive neurotransmitter calcitonin gene-related peptide (CGRP). Our work
also identified the CRMP2-derived peptide CNRP1, which uncoupled CRMP2's
interactions with neurofibromin, syntaxin 1A, as well as CaV2.2. Here, we tested
if CRISPR/Cas9-mediated editing of the Nf1 gene, which leads to functional
remodeling of peripheral nociceptors through effects on the tetrodotoxin
sensitive (TTX-S) Na+ voltage-gated sodium channel (NaV1.7) and CaV2.2, could be
affected using CNRP1, a peptide designed to target the CRMP2-neurofibromin
interface. The data presented here shows that disrupting the CRMP2-neurofibromin
interface is sufficient to reverse the dysregulations of voltage-gated ion
channels and neurotransmitter release elicited by Nf1 gene editing. As a
consequence of these effects, the CNRP1 peptide reversed hyperalgesia to thermal
stimulation of the hindpaw observed in Nf1-edited rats. Our findings support
future pharmacological targeting of the CRMP2/neurofibromin interface for NF1
related pain relief.
PMID- 29655577
TI - [Bilateral Peters anomaly without glaucoma].
PMID- 29655578
TI - [Retinoblastoma metastatic to the parotid gland: A case report].
PMID- 29655579
TI - Hungarian tick-borne encephalitis viruses isolated from a 0.5-ha focus are
closely related to Finnish strains.
AB - Four tick-borne encephalitis virus strains were isolated from a small 0.5-ha
focus over a six-year-long period (2011-2016) in Hungary. Two strains with
identical genomes were isolated from Ixodes ricinus and Haemaphysalis concinna
two months apart, which shows that the virus had not evolved separately in these
tick species. Whole-genome sequencing of the virus revealed that the isolates
differed from each other in 4 amino acids and 9 nucleotides. The calculated
substitution rates indicated that the speed of genome evolution differs from
habitat to habitat, and continuously changes even within the same focus. The
amino acid changes affected the capsid, envelope, NS2a and NS5 genes, and one
mutation each occurred in the 5' and 3' NCR as well as the premembrane, NS2a and
NS5 genes. Phylogenetic analyses based on complete coding ORF sequences showed
that the isolates belong to the European subtype of the virus and are closely
related to the Finnish Kumlinge strains, the Bavarian isolate Leila and two
isolates of Russian origin, but more distantly related to viruses from the
neighbouring Central European countries. These isolates obviously have a common
origin and are probably connected by migrating birds. These are the first
published complete Hungarian TBEV sequences.
PMID- 29655580
TI - Canadian Association of Radiologists White Paper on Artificial Intelligence in
Radiology.
AB - Artificial intelligence (AI) is rapidly moving from an experimental phase to an
implementation phase in many fields, including medicine. The combination of
improved availability of large datasets, increasing computing power, and advances
in learning algorithms has created major performance breakthroughs in the
development of AI applications. In the last 5 years, AI techniques known as deep
learning have delivered rapidly improving performance in image recognition,
caption generation, and speech recognition. Radiology, in particular, is a prime
candidate for early adoption of these techniques. It is anticipated that the
implementation of AI in radiology over the next decade will significantly improve
the quality, value, and depth of radiology's contribution to patient care and
population health, and will revolutionize radiologists' workflows. The Canadian
Association of Radiologists (CAR) is the national voice of radiology committed to
promoting the highest standards in patient-centered imaging, lifelong learning,
and research. The CAR has created an AI working group with the mandate to discuss
and deliberate on practice, policy, and patient care issues related to the
introduction and implementation of AI in imaging. This white paper provides
recommendations for the CAR derived from deliberations between members of the AI
working group. This white paper on AI in radiology will inform CAR members and
policymakers on key terminology, educational needs of members, research and
development, partnerships, potential clinical applications, implementation,
structure and governance, role of radiologists, and potential impact of AI on
radiology in Canada.
PMID- 29655581
TI - Paternal Understanding of Menstrual Concerns in Young Women.
AB - STUDY OBJECTIVE: No studies have specifically considered paternal understanding
of menstruation. This study aimed to establish the degree of understanding of
fathers of adolescent girls with menstrual symptoms relative to mothers. DESIGN
AND SETTING: This was a cross-sectional survey-based study. Adolescent patients
attending an outpatient gynecology clinic for dysmenorrhea and/or heavy menstrual
bleeding and their parents were invited to complete surveys. PARTICIPANTS: Sixty
surveys were completed (24 of 40 daughters, 20 of 40 mothers, 16 of 40 fathers).
INTERVENTIONS AND MAIN OUTCOME MEASURES: Surveys aimed to test parents'
understanding of menstrual symptoms and potential medications, as well as
fathers' concerns with their daughters' health. RESULTS: The fathers' knowledge
of menstrual symptoms was poorer than mothers, although most knew heavy menstrual
bleeding (15/16, 94%) and mood swings (14/16, 87%). Many parents answered "don't
know" or did not answer questions about potential consequences of medications,
although parents were clearly concerned about side effects. Most fathers (13/16,
81%) were open to discussing menstrual concerns with daughters; however, only 54%
(13/24) of daughters were open to such discussions. Of fathers, 81% (13/16) were
sympathetic/concerned, 56% (9/16) felt helpless, and 13% (2/16) were frustrated
when daughters were in pain. When asked about effects, 88% (14/16) of fathers
(79% [15/20] of mothers) were worried about their daughter's welfare and 63%
(10/16) (55% [11/20] of mothers) about schooling. CONCLUSION: We present, to our
knowledge, the first insight into fathers' knowledge of their daughters'
menstrual health. Overall, parents have an incomplete picture of menstrual
symptoms. Even in this cohort, which could be expected to be well informed
because of their daughters' attendance at a tertiary hospital, it is clear that
further knowledge would assist them caring for their daughters.
PMID- 29655582
TI - Comparison of 3 image-guided adaptive strategies for bladder locoregional
radiotherapy.
AB - The objective of this study was to compare the dosimetric differences of a
population-based planning target volume (PTV) approach and 3 proposed adaptive
strategies: plan of the day (POD), patient-specific PTV (PS-PTV), and daily
reoptimization (ReOpt). Bladder patients (n = 10) were planned and treated to 46
Gy in 23 fractions with a full bladder in supine position by the standard
strategy using a population-based PTV. For each patient, the adaptive strategy
was executed retrospectively as follows: (1) POD-multiple distributions of
various PTV sizes were generated, and the appropriate distribution based on the
bladder of the day was selected for each fraction; (2) PS-PTV-population-based
PTV was used for the first 5 fractions and a new PTV derived using information
from these fractions was used to deliver the remaining 18 fractions; and (3)
ReOpt-distribution was reoptimized for each fraction based on the bladder of the
day. Daily dose was computed on all cone beam computed tomographies (CBCTs) and
deformed back to the planning computed tomography (CT) for dose summation
afterward. V95_Accu, the volume receiving an accumulated delivered dose of 43.7
Gy (95% prescription dose), was measured for comparison. Mean V95_Accu (cm3)
values were 1410 (standard deviation [SD]: 227), 1212 (SD: 186), 1236 (SD: 199),
and 1101 (SD: 180) for standard, POD, PS-PTV, and ReOpt, respectively. All
adaptive strategies significantly reduced the irradiated volume, with ReOpt
demonstrating the greatest reduction compared with the standard (- 25%), followed
by PS-PTV (- 16%) and POD (- 12%). The difference in the magnitude of reduction
between ReOpt and the other 2 strategies reached statistical significance (p =
0.0006). ReOpt is the best adaptive strategy at reducing the irradiated volume
because of its frequent adaptation based on the daily geometry of the bladder.
The need to adapt only once renders PS-PTV to be the best alternative adaptive
strategy.
PMID- 29655583
TI - The real world.
PMID- 29655584
TI - Nanoemulsion adjuvant-driven redirection of TH2 immunity inhibits allergic
reactions in murine models of peanut allergy.
AB - BACKGROUND: Immunotherapy for food allergies involves progressive increased
exposures to food that result in desensitization to food allergens in some
subjects but not tolerance to the food. Therefore new approaches to suppress
allergic immunity to food are necessary. Previously, we demonstrated that
intranasal immunization with a nanoemulsion (NE) adjuvant induces robust mucosal
antibody and TH17-polarized immunity, as well as systemic TH1-biased cellular
immunity with suppression of pre-existing TH2-biased immunity. OBJECTIVE: We
hypothesized that immunization with food in conjunction with the nanoemulsion
adjuvant could lead to modulation of allergic reactions in food allergy by
altering pre-existing allergic immunity and enhancing mucosal immunity. METHODS:
Mice were sensitized to peanut with aluminum hydroxide or cholera toxin. The
animals were then administered 3 monthly intranasal immunizations with peanut in
the nanoemulsion adjuvant or saline. Mice were then challenged with peanut to
examine allergen reactivity. RESULTS: The NE intranasal immunizations resulted in
marked decreases in TH2 cytokine, IgG1, and IgE levels, whereas TH1 and mucosal
TH17 immune responses were increased. After allergen challenge, these mice showed
significant reductions in allergic hypersensitivity. Additionally, the NE
immunizations significantly increased antigen-specific IL-10 production and
regulatory T-cell counts, and the protection induced by NE was dependent in part
on IL-10. Control animals immunized with intranasal peanut in saline had no
modulation of their allergic response. CONCLUSIONS: NE adjuvant-mediated
induction of mucosal TH17 and systemic TH1-biased immunity can suppress TH2
mediated allergy through multiple mechanisms and protect against anaphylaxis.
These results suggest the potential therapeutic utility of this approach in the
setting of food allergy.
PMID- 29655585
TI - Reply.
PMID- 29655586
TI - Non-motor outcomes of subthalamic stimulation in Parkinson's disease depend on
location of active contacts.
AB - BACKGROUND: Subthalamic nucleus (STN) deep brain stimulation (DBS) improves
quality of life (QoL), motor, and non-motor symptoms (NMS) in Parkinson's disease
(PD). Few studies have investigated the influence of the location of
neurostimulation on NMS. OBJECTIVE: To investigate the impact of active contact
location on NMS in STN-DBS in PD. METHODS: In this prospective, open-label,
multicenter study including 50 PD patients undergoing bilateral STN-DBS, we
collected NMSScale (NMSS), NMSQuestionnaire (NMSQ), Hospital Anxiety and
Depression Scale (anxiety/depression, HADS-A/-D), PDQuestionnaire-8 (PDQ-8),
Scales for Outcomes in PD-motor examination, motor complications, activities of
daily living (ADL), and levodopa equivalent daily dose (LEDD) preoperatively and
at 6 months follow-up. Changes were analyzed with Wilcoxon signed-rank/t-test and
Bonferroni-correction for multiple comparisons. Although the STN was targeted
visually, we employed an atlas-based approach to explore the relationship between
active contact locations and DBS outcomes. Based on fused MRI/CT-images, we
identified Cartesian coordinates of active contacts with patient-specific Mai
atlas standardization. We computed linear mixed-effects models with x-/y-/z
coordinates as independent, hemispheres as within-subject, and test change scores
as dependent variables. RESULTS: NMSS, NMSQ, PDQ-8, motor examination,
complications, and LEDD significantly improved at follow-up. Linear mixed-effect
models showed that NMS and QoL improvement significantly depended on more medial
(HADS-D, NMSS), anterior (HADS-D, NMSQ, PDQ-8), and ventral (HADS-A/-D, NMSS, PDQ
8) neurostimulation. ADL improved more in posterior, LEDD in lateral
neurostimulation locations. No relationship was observed for motor examination
and complications scores. CONCLUSIONS: Our study provides evidence that more
anterior, medial, and ventral STN-DBS is significantly related to more beneficial
non-motor outcomes.
PMID- 29655587
TI - Short-term quality of life after subthalamic stimulation depends on non-motor
symptoms in Parkinson's disease.
AB - BACKGROUND: Subthalamic nucleus (STN) deep brain stimulation (DBS) improves
quality of life (QoL), motor, and non-motor symptoms (NMS) in advanced
Parkinson's disease (PD). However, considerable inter-individual variability has
been observed for QoL outcome. HYPOTHESIS: We hypothesized that demographic and
preoperative NMS characteristics can predict postoperative QoL outcome. METHODS:
In this ongoing, prospective, multicenter study (Cologne, Manchester, London)
including 88 patients, we collected the following scales preoperatively and on
follow-up 6 months postoperatively: PDQuestionnaire-8 (PDQ-8), NMSScale (NMSS),
NMSQuestionnaire (NMSQ), Scales for Outcomes in PD (SCOPA)-motor examination,
complications, and -activities of daily living, levodopa equivalent daily dose.
We dichotomized patients into "QoL responders"/"non-responders" and screened for
factors associated with QoL improvement with (1) Spearman-correlations between
baseline test scores and QoL improvement, (2) step-wise linear regressions with
baseline test scores as independent and QoL improvement as dependent variables,
(3) logistic regressions using aforementioned "responders/non-responders" as
dependent variable. RESULTS: All outcomes improved significantly on follow-up.
However, approximately 44% of patients were categorized as "QoL non-responders".
Spearman-correlations, linear and logistic regression analyses were significant
for NMSS and NMSQ but not for SCOPA-motor examination. Post-hoc, we identified
specific NMS (flat moods, difficulties experiencing pleasure, pain, bladder
voiding) as significant contributors to QoL outcome. CONCLUSIONS: Our results
provide evidence that QoL improvement after STN-DBS depends on preoperative NMS
characteristics. These findings are important in the advising and selection of
individuals for DBS therapy. Future studies investigating motor and non-motor PD
clusters may enable stratifying QoL outcomes and help predict patients'
individual prospects of benefiting from DBS.
PMID- 29655588
TI - Resident and monocyte-derived Langerhans cells are required for imiquimod-induced
psoriasis-like dermatitis model.
AB - BACKGROUND: Langerhans cells (LCs) are dendritic cells that reside in the
epidermis and local inflammation results in an increased differentiation of
monocyte-derived LCs. Only few studies have investigated on the role of LCs in
psoriasis-like dermatitis model, but the results are variable and the exact role
of LCs in psoriasis model remains to be elucidated. OBJECTIVE: To explore the
functional role of resident (rLCs) and monocyte-derived LCs (mLCs) in imiquimod
(IMQ)-induced psoriasis-like inflammation using human Langerin-diphtheria toxin
subunit A (huLang-DTA) mice. METHODS: 5% IMQ cream was topically applied on the
skins. Clinical and histopathological features were evaluated. Psoriasis-related
gene expression was analyzed by quantitative polymerase chain reaction. The
production of psoriasis-related cytokines including IL-17A and IL-22 by T cells
were assessed by flow cytometry from the lesional skins. RESULTS: huLang-DTA mice
showed a common depletion of both rLCs and mLCs in the IMQ-treated skins. huLang
DTA mice had a reduced IMQ-induced psoriasis-like inflammation featuring
erythema, scale, and thickness compared with wild-type mice. Psoriatic lesions
from huLang-DTA mice had a decreased level of Il23a and accordingly demonstrated
an attenuated cytokine production of IL-17A and IL-22 from gammadeltalow T cells.
mLCs revealed a significantly greater level of IL-23 expression compared to rLCs
in response to topical IMQ treatment. CONCLUSION: Although both rLCs and mLCs are
involved in the development of IMQ-induced psoriasis-like dermatitis,
inflammation-induced mLCs present a superior capacity for producing IL-23 in this
murine experimental model of psoriasis.
PMID- 29655589
TI - Emerging applications of eye-tracking technology in dermatology.
AB - Eye-tracking technology has been used within a multitude of disciplines to
provide data linking eye movements to visual processing of various stimuli (i.e.,
x-rays, situational positioning, printed information, and warnings). Despite the
benefits provided by eye-tracking in allowing for the identification and
quantification of visual attention, the discipline of dermatology has yet to see
broad application of the technology. Notwithstanding dermatologists' heavy
reliance upon visual patterns and cues to discriminate between benign and
atypical nevi, literature that applies eye-tracking to the study of dermatology
is sparse; and literature specific to patient-initiated behaviors, such as skin
self-examination (SSE), is largely non-existent. The current article provides a
review of eye-tracking research in various medical fields, culminating in a
discussion of current applications and advantages of eye-tracking for dermatology
research.
PMID- 29655590
TI - Early initiation of extracorporeal photochemotherapy increases response for
chronic graft versus host disease following steroid failure.
AB - OBJECTIVES: Chronic graft versus host disease (GVHD) is one of the major
obstacles to achieve success in allogeneic hematopoietic stem cell
transplantation (allo-HSCT). Extracorporeal photochemotherapy (ECP) has been
demonstrated to be an effective modality for the treatment of GVHD in previous
studies but they vary in terms of initiation and duration. Our aim is to
demonstrate the characteristics of our patients who received ECP for chronic GVHD
to clarify the best treatment scheme. MATERIAL AND METHODS: In this study, we
retrospectively evaluated 34 patients with steroid refractory chronic GVHD (n=34)
who were treated with ECP between 2001 and 2015. The initiation of ECP was
determined according to patient status and the physician's preference. RESULTS:
ECP was initiated early (<=3months) as the preferred second-line treatment after
failure of methylprednisolone treatment in 12 patients (35%), 22 steroid
refractory patients (65%) received ECP later. In all cohorts, 10 (29%) and 14
(41%) of 34 patients achieved complete response (CR) and partial response (PR),
respectively, with an overall response rate (ORR) of 70. Early initiation of ECP
after chronic GVHD diagnosis (<=3months vs more than 3months) was associated with
increased rates of response (92% vs 59%, P=0.046) in which the severity of
diseases were similar. Patients with skin involvement in early treatment group
had statistically better response. Mild side effects were detected in only 6
patients (16%). CONCLUSION: ECP is a safe treatment modality and particularly
effective when initiated soon after steroid failure in chronic GVHD.
PMID- 29655591
TI - Open reduction and internal fixation in a one-stage anterior cruciate ligament
reconstruction surgery for the treatment of tibial plateau fractures: A case
report and literature review.
AB - Treatment of tibial plateau fractures associated with anterior cruciate ligament
(ACL) injuries can be challenging. Maintaining the joint surface and axis are two
main principles for the management of patients with tibial plateau fractures.
Herein, we describe a patient with tibial plateau fracture of Schatzker type VI
associated with complete ACL rupture. Although in a two-stage reconstruction
surgery for treatment of its rupture seem to be accepted by most orthopaedic
surgeons in cases of ACL injuries, we treated our patient with ORIF in a one
stage ACL reconstruction surgery. At the 1-year follow-up, the patient gained
full range of motion and was functioning well. We reviewed the literature on
performing ORIF in a one-stage ACL reconstruction for the treatment of tibial
plateau fractures, which seemed to be a reasonable management approach that
supported its use for the treatment of this patient.
PMID- 29655592
TI - Development of a blunt chest injury care bundle: An integrative review.
AB - BACKGROUND: Blunt chest injuries (BCI) are associated with high rates of
morbidity and mortality. There are many interventions for BCI which may be able
to be combined as a care bundle for improved and more consistent outcomes.
OBJECTIVE: To review and integrate the BCI management interventions to inform the
development of a BCI care bundle. METHODS: A structured search of the literature
was conducted to identify studies evaluating interventions for patients with BCI.
Databases MEDLINE, CINAHL, PubMed and Scopus were searched from 1990-April 2017.
A two-step data extraction process was conducted using pre-defined data fields,
including research quality indicators. Each study was appraised using a quality
assessment tool, scored for level of evidence, then data collated into
categories. Interventions were also assessed using the APEASE criteria then
integrated to develop a BCI care bundle. RESULTS: Eighty-one articles were
included in the final analysis. Interventions that improved BCI outcomes were
grouped into three categories; respiratory intervention, analgesia and surgical
intervention. Respiratory interventions included continuous positive airway
pressure and high flow nasal oxygen. Analgesia interventions included regular
multi-modal analgesia and paravertebral or epidural analgesia. Surgical fixation
was supported for use in moderate to severe rib fractures/BCI. Interventions
supported by evidence and that met APEASE criteria were combined into a BCI care
bundle with four components: respiratory adjuncts, analgesia, complication
prevention, and surgical fixation. CONCLUSIONS: The key components of a BCI care
bundle are respiratory support, analgesia, complication prevention including
chest physiotherapy and surgical fixation.
PMID- 29655593
TI - Investigating the potential of an autodissemination system for managing
populations of vine weevil, Otiorhynchus sulcatus (Coleoptera: Curculionidae)
with entomopathogenic fungi.
AB - Vine weevil, also known as black vine weevil, (Otiorhynchus sulcatus) is an
economically important pest affecting soft fruit and nursery stock in temperate
regions. We used laboratory and polytunnel experiments to investigate a novel
control system based on autodissemination of spores of an entomopathogenic fungus
to populations of adult vine weevils. The fungus was applied as a conidial
powder, used on its own or formulated with talc, to a simple plastic refuge for
vine weevils. The potential for adult weevils to disseminate the fungus was
investigated first in polytunnel experiments using fluorescent powders applied to
the refuge in lieu of fungal conidia. In this system, 88% of adult weevils came
in contact with the powder within 48 h. When the powder was applied to five adult
weevils that were then placed within a population of 35 potential recipients, it
was transmitted on average to 75% of the recipient population within 7 days.
Three isolates of entomopathogenic fungi (Beauveria bassiana isolate codes 433.99
and 1749.11 and Metarhizium brunneum isolate code 275.86), selected from a
laboratory virulence screen. These three isolates were then investigated for
efficacy when applied as conidial powders in artificial refuges placed among
populations of adult weevils held in experimental boxes in the laboratory at 20
degrees C. Under this regime, the fungal isolates caused 70-90% mortality of
adult weevils over 28 days. A final polytunnel experiment tested the efficacy of
conidial powders of M. brunneum 275.86 placed in artificial refuges to increase
vine weevil mortality. Overall weevil mortality was relatively low (26-41%) but
was significantly higher in cages in which the conidial powders were placed in
refuge traps than in cages with control traps. The lower weevil mortality
recorded in the polytunnel experiment compared to the laboratory test was most
likely a consequence of the greater amounts of inoculum required to kill adult
weevils when conditions fluctuate between favourable and unfavourable
temperatures e.g. below 15 degrees C. The potential of an autodissemination
system for entomopathogenic fungi as a means of controlling vine weevil as part
of an integrated pest management programme is discussed.
PMID- 29655594
TI - No effect of prenatal vitamin D deficiency on autism-relevant behaviours in
multiple inbred strains of mice.
AB - Autism Spectrum Disorders (ASD) is a group of neurodevelopmental disorders
commonly characterised by verbal and non-verbal communication deficits, impaired
social interaction and repetitive, stereotypic behaviours. The aetiology of ASD
is most likely a combination of genetic and environmental factors.
Epidemiological evidence suggests that prenatal vitamin D deficiency is
associated with an increased incidence of ASD. The overall aim of this study was
to investigate prenatal vitamin D deficiency on ASD-related behavioural
phenotypes in multiple inbred strains of mice. We included two commonly used
inbred mouse strains (C57BL/6J and BALB/c) as well as inbred BTBR mice, which
show ASD-related behaviours, such as excessive self-grooming, hyperlocomotion,
social interaction deficits and altered communication. We also studied the effect
of prenatal vitamin D deficiency in a fourth strain; an F1 cross of C57BL/6J x
BTBR mice, which have a partial BTBR phenotype. To implement prenatal vitamin D
deficiency, female mice were placed on vitamin D deplete diets for ten weeks,
including mating and gestation, until littering, when all dams were switched to
the control diet. Behavioural symptoms related to ASD were measured, including
isolation-induced ultrasonic vocalisations to measure communication, the three
chambered social interaction task to observe social interaction, the open field
test to examine hyperlocomotion, assessment of grooming and rearing behaviour and
finally the active place avoidance task to observe spatial learning and memory in
response to a mild foot shock. Prenatal vitamin D deficiency had a negative
impact on preference for social novelty in C57BL/6J mice, despite similar
vocalisation phenotypes, and prenatal vitamin D-deficient F1 mice were found to
be hypolocomotive in the open field test yet performed better on the active place
avoidance task. Despite clear differences between strains, there were no other
consistent significant main effects of maternal diet on the behaviour of the
offspring. Vitamin D deficiency has been implicated as a risk factor for ASD and
these data show that there is greater variation between different inbred strains
in ASD-related behaviour, suggesting that prenatal vitamin D deficiency is not
sufficient to recapitulate an ASD phenotype in multiple inbred strains of mice.
PMID- 29655595
TI - Working memory training in congenitally blind individuals results in an
integration of occipital cortex in functional networks.
AB - The functional relevance of crossmodal activation (e.g. auditory activation of
occipital brain regions) in congenitally blind individuals is still not fully
understood. The present study tested whether the occipital cortex of blind
individuals is integrated into a challenged functional network. A working memory
(WM) training over four sessions was implemented. Congenitally blind and matched
sighted participants were adaptively trained with an n-back task employing either
voices (auditory training) or tactile stimuli (tactile training). In addition, a
minimally demanding 1-back task served as an active control condition. Power and
functional connectivity of EEG activity evolving during the maintenance period of
an auditory 2-back task were analyzed, run prior to and after the WM training.
Modality-specific (following auditory training) and modality-independent WM
training effects (following both auditory and tactile training) were assessed.
Improvements in auditory WM were observed in all groups, and blind and sighted
individuals did not differ in training gains. Auditory and tactile training of
sighted participants led, relative to the active control group, to an increase in
fronto-parietal theta-band power, suggesting a training-induced strengthening of
the existing modality-independent WM network. No power effects were observed in
the blind. Rather, after auditory training the blind showed a decrease in theta
band connectivity between central, parietal, and occipital electrodes compared to
the blind tactile training and active control groups. Furthermore, in the blind
auditory training increased beta-band connectivity between fronto-parietal,
central and occipital electrodes. In the congenitally blind, these findings
suggest a stronger integration of occipital areas into the auditory WM network.
PMID- 29655596
TI - Validation of the nursing workload scoring systems "Nursing Activities Score"
(NAS), and "Therapeutic Intervention Scoring System for Critically Ill Children"
(TISS-C) in a Greek Paediatric Intensive Care Unit.
AB - OBJECTIVES: To assess the reliability and validity of the Greek version of
Nursing Activities Score (NAS), and Therapeutic Intervention Scoring System for
Critically Ill Children (TISS-C) in a Greek Paediatric Intensive Care Unit
(PICU). RESEARCH METHODOLOGY: A methodological study was performed in one PICU of
the largest Paediatric Hospital in Athens-Greece. The culturally adapted and
validated Greek NAS version, enriched according to the Norwegian paediatric one
(P-NAS), was used. TISS-C and Norwegian paediatric interventions were translated
to Greek language and backwards. Therapeutic Intervention Scoring System (TISS
28) was used as a gold standard. Two independent observers simultaneously
recorded 30 daily P-NAS and TISS-C records. Totally, 188 daily P-NAS, TISS-C and
TISS-28 reports in a sample of 29 patients have been obtained during five weeks.
Descriptive statistics, reliability and validity measures were applied using SPSS
(ver 22.0) (p <= 0.05). RESULTS: Kappa was 0.963 for P-NAS and 0.9895 for TISS-C
(p < 0.001) and Intraclass Correlation Coefficient for all scale items of TISS-C
was 1.00 (p < 0.001). P-NAS, TISS-28 and TISS-C measurements were significantly
correlated (0.680 <= rho <= 0.743, p < 0.001). The mean score(+/-SD) for TISS-28,
P-NAS and TISS-C was 23.05(+/-5.72), 58.14(+/-13.98) and 20.21(+/-9.66)
respectively. CONCLUSION: These results support the validity of P-NAS and TISS-C
scales to be used in greek PICUs.
PMID- 29655597
TI - Affective spectrum symptoms and self-criticism: A behavioral genetic approach.
PMID- 29655598
TI - Individual differences in the energizing effects of caffeine on effort-based
decision-making tests in rats.
AB - Motivated behavior is characterized by activation and high work output. Nucleus
accumbens (Nacb) modulates behavioral activation and effort-based decision
making. Caffeine is widely consumed because of its energizing properties. This
methylxanthine is a non-selective adenosine A1/A2A receptor antagonist. Adenosine
receptors are highly concentrated in Nacb. Adenosine agonists injected into Nacb,
shift preference towards low effort alternatives. The present studies
characterized effort-related effects of caffeine in a concurrent progressive
ratio (PROG)/free reinforcer choice procedure that requires high levels of work
output, and generates great variability among different animals. Male Sprague
Dawley rats received an acute dose of caffeine (2.5-20.0 mg/kg, IP) and 30 min
later were tested in operant boxes. One group was food-restricted and had to
lever pressed for high carbohydrate pellets, another group was non-food
restricted and lever pressed for a high sucrose solution. Caffeine (2.5 and 5.0
mg/kg) increased lever pressing in food-restricted animals that were already high
responders. However, in non-restricted animals, caffeine (5.0 and 10.0 mg/kg)
increased work output only among low responders. In fact, caffeine (10.0 and 20.0
mg/kg) in non-restricted animals, reduced lever pressing among high responders in
the PROG task, and also in a different group of animals lever pressing in an easy
task (fixed ratio 7 schedule) that uniformly generates high levels of responding.
Caffeine did not modify sucrose preference or consumption under free access
conditions. Thus, when animals do not have a homeostatic need, caffeine can help
those not very intrinsically motivated to work harder for a more palatable
reward. However, caffeine can disrupt performance of animals intrinsically
motivated to work hard for a better reward.
PMID- 29655599
TI - Plasma from some cancer patients inhibits adenoviral Ad5f35 vector transduction
of dendritic cells.
AB - BACKGROUND: Pooled AB serum is often used as a media supplement for cell culture
but it has the potential to transmit infectious diseases. To avoid this risk, we
used autologous plasma as a media supplement for manufacturing dendritic cells
(DCs) for cancer immunotherapy. We noticed inconsistencies in the DCs and
investigated their nature and cause. METHODS: Adenovirus human epidural growth
factor receptor 2 (adHER2/neu) DCs for 21 patients were manufactured from
autologous peripheral blood monocytes that were treated with granulocyte
macrophage colony-stimulating factor (GM-CSF) and interleukin (IL)-4 for 3 days,
transduced with Ad5f35HER2ECTM and then treated with lipopolysaccharide and
interferon (IFN)-gamma for 1 day. The cells were cultured in RPMI-1640
supplemented with either 10% heat inactivated autologous or AB plasma. RESULTS:
Twenty-eight adHER2/neu DCs were manufactured for 21 patients using autologous
plasma and 68 were manufactured for 20 of those patients using AB plasma. The
expression of human epidural growth factor receptor 2 (HER2/neu) was less for DCs
manufactured with autologous plasma (70.3 +/- 33.3% versus 86.1 +/- 22.8%; P
<0.01). Manufacturing adHER2/neu DCs using monocytes from three healthy subjects
and plasma from one patient with low HER2/neu expression (18%) resulted in low
HER2/neu expression by all three DCs (13%, 16% and 23%). Analysis of the levels
of 1322 proteins in eight plasma samples associated with low HER2/neu expression
and in 12 associated with high HER2/neu expression revealed that the levels of 14
predicted HER2/neu transduction efficiency. CONCLUSION: The manufacture of
adHER2/neu DC using autologous plasma as a media supplement resulted in
inconsistent HER2/neu expression. It is likely that variability in the levels of
multiple proteins in autologous plasma contributed to low HER2/neu expression.
PMID- 29655600
TI - Response of epilithic diatom communities to environmental gradients along an
Ecuadorian Andean River.
AB - Epilithic diatom communities are particularly suitable for the evaluation of
freshwater quality. In Ecuador, however, no water quality index includes this
biotic parameter. This work is the first attempt in the country to determine the
composition of epilithic diatom communities associated with different degrees of
eutrophication. This was accomplished by measuring physical, chemical and
microbiological variables at five sampling sites along the Pita River, Ecuador,
from August to December 2016. The results indicate a clear gradient of
eutrophication from sampling sites P1 (good water) in the upper reaches to P5
(bad water) located in the lower reaches. Concerning diatom analyses, the results
indicated a high diversity for tropical areas in terms of species richness,
varying from S=34 in headwaters to S=42 downstream. Moreover, the results
obtained suggest a lack of concordance with the trophic values given to some of
the epilithic diatoms in the literature. There were also species that seem to be
sensitive to downstream nutrient increases that were not considered as
bioindicators in previous studies. We concluded that the trophic values of diatom
species available in the scientific literature are not directly applicable to
their sites in the Pita River. Hence, it is necessary to establish a trophic
diatom index for the Andean region of Ecuador.
PMID- 29655601
TI - Placental disposition of the immunosuppressive drug tacrolimus in renal
transplant recipients and in ex vivo perfused placental tissue.
AB - Currently, tacrolimus is the most potent immunosuppressive agent for renal
transplant recipients and is commonly prescribed during pregnancy. As data on
placental exposure and transfer are limited, we studied tacrolimus placental
handling in samples obtained from renal transplant recipients. We found transfer
to venous umbilical cord blood, but particularly noted a strong placental
accumulation. In patient samples, tissue concentrations in a range of 55-82 ng/g
were found. More detailed ex vivo dual-side perfusions of term placentas from
healthy women revealed a tissue-to-maternal perfusate concentration ratio of 113
+/- 49 (mean +/- SEM), underlining the placental accumulation found in vivo.
During the 3 h ex vivo perfusion interval no placental transfer to the fetal
circulation was observed. In addition, we found a non-homogeneous distribution of
tacrolimus across the perfused cotyledons. In conclusion, we observed extensive
accumulation of tacrolimus in placental tissue. This warrants further studies
into potential effects on placental function and immune cells of the placenta.
PMID- 29655602
TI - Overexpression of CTRP3 protects against sepsis-induced myocardial dysfunction in
mice.
AB - C1q/tumor necrosis factor-related protein-3 (CTRP3) shows striking homologies of
genomic structure to the adiponectin. In this study, we aimed to investigate the
protective role of CTRP3 against sepsis-induced cardiomyopathy. Here, we
overexpressed CTRP3 in myocardium by direct intramyocardial injection and
constructed a model of lipopolysaccharide (LPS)-induced sepsis in mice. Our
results demonstrated that cardiac-specific overexpression of CTRP3 remarkably
attenuated myocardial dysfunction and increased the phosphorylation level of
AMPKalpha during LPS-induced sepsis. The anti-inflammatory effects of CTRP3, as
determined by decreased mRNA levels of TNF-alpha, IL-6 and a lower protein
expression of phosphorylated NF-kappaB p65 and IkappaBalpha, was detected in mice
following LPS treatment. Additionally, CTRP3 suppressed cardiac apoptosis induced
by LPS in mice as indicated by terminal deoxynucleotidyl transferase nick-end
labeling (TUNEL) staining and western blot for Cleaved-caspase3, Bax and Bcl-2.
In conclusion, CTRP3 could protect against sepsis-induced myocardial dysfunction
in mice. The cardioprotective effects of CTRP3 might be mediated by activating
AMPKalpha signaling pathway and blunting inflammatory response and apoptosis.
PMID- 29655603
TI - New technologies to uncover the molecular basis of disorders of sex development.
AB - The elegant developmental biology experiments conducted in the 1940s by French
physiologist Alfred Jost demonstrated that the sexual phenotype of a mammalian
embryo depended whether the embryonic gonad develops into a testis or not. In
humans, anomalies in the processes that regulate development of chromosomal,
gonadal or anatomic sex result in a spectrum of conditions termed
Disorders/Differences of Sex Development (DSD). Each of these conditions is rare,
and understanding of their genetic etiology is still incomplete. Historically,
DSD diagnoses have been difficult to establish due to the lack of standardization
of anatomical and endocrine phenotyping procedures as well as genetic testing.
Yet, a definitive diagnosis is critical for optimal management of the medical and
psychosocial challenges associated with DSD conditions. The advent in the
clinical realm of next-generation sequencing methods, with constantly decreasing
price and turnaround time, has revolutionized the diagnostic process. Here we
review the successes and limitations of the genetic methods currently available
for DSD diagnosis, including Sanger sequencing, karyotyping, exome sequencing and
chromosomal microarrays. While exome sequencing provides higher diagnostic rates,
many patients still remain undiagnosed. Newer approaches, such as whole-genome
sequencing and whole-genome mapping, along with gene expression studies, have the
potential to identify novel DSD-causing genes and significantly increase total
diagnostic yield, hopefully shortening the patient's journey to an accurate
diagnosis and enhancing health-related quality-of-life outcomes for patients and
families.
PMID- 29655604
TI - Coating with Microbial Hydrophobins: A Novel Approach to Develop Smart Drug
Nanoparticles.
AB - Microbial hydrophobin (MH)-based surface coating is emerging as a novel protein
engineering approach for drug nanoparticles to enhance the solubility and
stability of therapeutic agents. These hydrophobins are amphiphilic proteins that
can form self-assembled monolayers on hydrophobic materials and can coat
nanoparticles for efficient drug delivery.
PMID- 29655605
TI - Physiology and pathophysiology of renal erythropoietin-producing cells.
AB - Anemia is a common complication and contributes to increased morbidity and
mortality in chronic kidney disease (CKD) patients. Whereas there has been a
significant improvement of understanding the underlying mechanism of
erythropoiesis, the treatment of renal anemia is still restricted to
erythropoietin (EPO)-stimulating agents. The purpose of this article is to review
the physiology of erythropoiesis, functional role of EPO and underlying molecular
and cellular basis that regulate EPO production. Regulation of EPO production is
at mRNA level. When anemia or hypoxia occurs, transcriptional factor, hypoxia
inducible factor (HIF), binds to EPO 5' hypoxic response element and EPO gene
transcription increases. The renal EPO is mainly produced by pericytes. In CKD,
pericytes transdifferentiate to myofibroblasts, and subsequently the ability of
EPO production decreases, leading to renal anemia. Recent experimental and
clinical studies show the promising efficacy of prolyl hydroxylase inhibitors in
renal anemia through increasing EPO production by stabilizing HIF. Recent
advances on epigenetics create a new field to study EPO gene expression at
chromatin level. We will discuss the role of demethylating agent on restoring EPO
expression, providing a novel approach to the treatment of renal anemia.
PMID- 29655606
TI - The prevalence and bother of lower urinary tract symptoms in men and women aged
40 years or over in Taiwan.
AB - BACKGROUND/PURPOSE: The prevalence of lower urinary tract symptoms (LUTS) in men
and women in the general population in Taiwan is unclear. Community-based data on
the epidemiology and effects of LUTS would therefore help improve understanding
of the condition in this country. METHODS: This study was an internet-based, self
administered survey, conducted in randomly selected adults aged >=40 years.
Participants answered questions on International Continence Society (ICS) symptom
definitions, the International Prostate Symptom Score (IPSS) and the overactive
bladder (OAB) symptom score. RESULTS: The study population comprised 2068 adults
(51.4% women) with a mean age of 55 years. Using criteria from the ICS, LUTS were
present in 60% of men and 57% of women. The prevalence of LUTS increased with age
in both men and women. The most bothersome symptoms were terminal dribble,
incomplete emptying and nocturia in men, and nocturia, perceived frequency and
urgency in women. In the overall population, according to IPSS, 30% had at least
moderate symptoms. OAB prevalence was 16% in both men and women. The likelihood
of consulting a healthcare professional about urinary symptoms was low and not
significantly different between men and women with LUTS (17% and 14%,
respectively). CONCLUSION: More than half of men and women aged >=40 years in
Taiwan are affected by LUTS, and these symptoms are often bothersome. However,
few individuals with LUTS consult healthcare professionals about their symptoms.
Improved diagnosis and treatment of LUTS in Taiwan are needed to reduce the
deleterious effects of the condition. CLINICALTRIALS. GOV IDENTIFIER:
NCT02618421.
PMID- 29655607
TI - Stressful Events as Teaching Signals for the Brain.
AB - Stressful events are better remembered than mundane events. We explain this
advantage by reconceptualizing stress in terms of cumulative prediction errors
(PEs) that promote rapid learning of events. This proposal integrates the effects
of stress on perception and memory, and provides exciting new perspectives for
research on stress and cognition.
PMID- 29655608
TI - Rational design of pyridyl derivatives of vanillin for the treatment of sickle
cell disease.
AB - Hypoxia-induced polymerization of sickle hemoglobin (Hb S) is the principal
phenomenon that underlays the pathophysiology and morbidity associated with
sickle cell disease (SCD). Opportunely, as an allosteric protein, hemoglobin (Hb)
serves as a convenient and potentially critical druggable target. Consequently,
molecules that prevent Hb S polymerization (Hb modifiers), and the associated
erythrocyte sickling have been investigated-and retain significant interest-as a
viable therapeutic strategy for SCD. This group of molecules, including aromatic
aldehydes, form high oxygen affinity Schiff-base adducts with Hb S, which are
resistant to polymerization. Here, we report the design and synthesis of novel
potent antisickling agents (SAJ-009, SAJ-310 and SAJ-270) based on the
pharmacophore of vanillin and INN-312, a previously reported pyridyl derivative
of vanillin. These novel derivatives exhibited superior in vitro binding and
pharmacokinetic properties compared to vanillin, which translated into
significantly enhanced allosteric and antisickling properties. Crystal structure
studies of liganded Hb in the R2 quaternary state in complex with SAJ-310
provided important insights into the allosteric and antisickling properties of
this group of compounds. While these derivatives generally show similar in vitro
biological potency, significant structure-dependent differences in their
biochemical profiles would help predict the most promising candidates for
successful in vivo pre-clinical translational studies and inform further
structural modifications to improve on their pharmacologic properties.
PMID- 29655609
TI - Structure activity relationship studies on rhodanines and derived enethiol
inhibitors of metallo-beta-lactamases.
AB - Metallo-beta-lactamases (MBLs) enable bacterial resistance to almost all classes
of beta-lactam antibiotics. We report studies on enethiol containing MBL
inhibitors, which were prepared by rhodanine hydrolysis. The enethiols inhibit
MBLs from different subclasses. Crystallographic analyses reveal that the
enethiol sulphur displaces the di-Zn(II) ion bridging 'hydrolytic' water. In
some, but not all, cases biophysical analyses provide evidence that
rhodanine/enethiol inhibition involves formation of a ternary MBL enethiol
rhodanine complex. The results demonstrate how low molecular weight active site
Zn(II) chelating compounds can inhibit a range of clinically relevant MBLs and
provide additional evidence for the potential of rhodanines to be hydrolysed to
potent inhibitors of MBL protein fold and, maybe, other metallo-enzymes, perhaps
contributing to the complex biological effects of rhodanines. The results imply
that any medicinal chemistry studies employing rhodanines (and related scaffolds)
as inhibitors should as a matter of course include testing of their hydrolysis
products.
PMID- 29655610
TI - Structure based drug design and in vitro metabolism study: Discovery of N-(4
methylthiophenyl)-N,2-dimethyl-cyclopenta[d]pyrimidine as a potent microtubule
targeting agent.
AB - We report a series of tubulin targeting agents, some of which demonstrate potent
antiproliferative activities. These analogs were designed to optimize the
antiproliferative activity of 1 by varying the heteroatom substituent at the 4'
position, the basicity of the 4-position amino moiety, and conformational
restriction. The potential metabolites of the active compounds were also
synthesized. Some compounds demonstrated single digit nanomolar IC50 values for
antiproliferative effects in MDA-MB-435 melanoma cells. Particularly, the S
methyl analog 3 was more potent than 1 in MDA-MB-435 cells (IC50 = 4.6 nM).
Incubation of 3 with human liver microsomes showed that the primary metabolite of
the S-methyl moiety of 3 was the methyl sulfinyl group, as in analog 5. This
metabolite was equipotent with the lead compound 1 in MDA-MB-435 cells (IC50 =
7.9 nM). Molecular modeling and electrostatic surface area were determined to
explain the activities of the analogs. Most of the potent compounds overcome
multiple mechanisms of drug resistance and compound 3 emerged as the lead
compound for further SAR and preclinical development.
PMID- 29655611
TI - Development of a Ga-68 labeled PET tracer with short linker for prostate-specific
membrane antigen (PSMA) targeting.
AB - Glu-Urea-Lys (GUL) derivatives have been reported as prostate-specific membrane
antigen (PSMA) agent. We developed derivatives of GUL conjugated with NOTA or
DOTA via a thiourea linker and tested their feasibility as PSMA imaging agents
after labeling with 68Ga. NOTA-GUL and DOTA-GUL were synthesized and labeled with
68Ga using generator-eluted 68GaCl3 in 0.1 M HCl in the presence of 1 M NaOAc at
pH 5.5. The stabilities of 68Ga-labeled compounds in human serum were tested at
37.5 degrees C. A competitive binding assay was performed using the PSMA
positive prostate cancer cell line 22Rv1 and [125I]MIP-1072 (PSMA-specific
binding agent) as a tracer. Biodistribution and micro-PET studies were performed
using 22Rv1-xenograft BALB/c nude mice. The radiolabeling efficiency of NOTA-GUL
(>99%) was higher than that of DOTA-GUL (92%). The IC50 of Ga-NOTA-GUL was 18.3
nM. In the biodistribution study, tumor uptake of 68Ga-NOTA-GUL (5.40% ID/g) was
higher than that of 68Ga-DOTA-GUL (4.66% ID/g) at 1 h. Tumor/muscle and
tumor/blood uptake ratios of 68Ga-NOTA-GUL (31.8 and 135, respectively) were
significantly higher than those of 68Ga-DOTA-GUL (16.1 and 31.1, respectively).
The tumor/kidney uptake ratio of 68Ga-NOTA-GUL was 3.4-fold higher than that of
68Ga-DOTA-GUL. 68Ga-NOTA-GUL showed specific uptake to PSMA positive tumor
xenograft and was blocked by co-injection of the cold ligand. In conclusion, we
successfully synthesized 68Ga-NOTA-GUL and 68Ga-DOTA-GUL for prostate cancer
imaging. 68Ga-NOTA-GUL showed better radiochemical and biodistribution results.
68Ga-NOTA-GUL may be a promising PSMA targeting radiopharmaceutical.
PMID- 29655612
TI - Practical application of ligand efficiency metrics in lead optimisation.
AB - The use of composite metrics that normalise biological potency values in relation
to markers of physicochemical properties, such as size or lipophilicity, has
gained a significant amount of traction with many medicinal chemists in recent
years. However, there is no consensus on best practice in the area and their
application has attracted some criticism. Here we present our approach to their
application in lead optimisation projects, provide an objective discussion of the
principles we consider important and illustrate how our use of lipophilic ligand
efficiency enabled the progression of a number of our successful drug discovery
projects. We derive, from this and some recent literature highlights, a set of
heuristic guidelines for lipophilicity based optimisation that we believe are
generally applicable across chemical series and protein targets.
PMID- 29655613
TI - Terpenoids isolated from Chinese liverworts Lepidozia reptans and their anti
inflammatory activity.
AB - Five new terpenoids (1-5) including two dollabellane-type, one ent-kaurane-type
diterpenoids and two sesquiterpenoids were isolated from the Chinese liverwort
Lepidozia reptans (L.) Dumort., together with nine known terpenoids (6-14). Their
structures were determined on the basis of analysis of MS and NMR spectroscopic
data, single-crystal X-ray diffraction and electronic circular dichroism
calculations. The selected compounds 1, 2, 6, 7, 9 and 14 were screened for anti
inflammatory activities by the model of LPS-induced nitric oxide (NO) production
with macrophage cells, and the mechanism of the active compounds 1 and 2 were
further explored.
PMID- 29655614
TI - A brief validated screen to identify boys and girls at risk for early marijuana
use.
AB - To guide recruitment, the ABCD Study requires a method for identifying children
at high risk for early-onset substance use that may be utilized during the
recruitment process. This study was undertaken to inform the development of a
brief screen for identifying youths' risk of early-onset substance use and other
adverse outcomes. To be acceptable by participants in this context, consideration
of potential items was limited to child characteristics previously determined to
be potentially pertinent and parental cigarette smoking. To focus the analyses on
a single target substance use outcome pertinent to the stated goals of the ABCD
Study, early-onset marijuana use was selected. Utilizing data collected prior to
the initiation of the ABCD Study, four longitudinal data sets were used in nine
secondary data analyses to test, replicate and validate a brief screening
assessment for boys and girls to identify those at risk for early-onset marijuana
use by ages 14-15. The combination of child externalizing problems reported by
the parent (4 items: destroys things belonging to his/her family or others;
disobedience at school; lying or cheating; steals outside the home) and parent
smoking (1 item) proved to be the optimal screen. This was largely replicated
across the four data sets. Indicators of predictive efficiency were modest in
magnitude and statistically significant in 8 out of the 9 analyses. The results
informed the screen's optimal threshold for identifying children at risk for
early-onset marijuana use. The addition of child internalizing problems did not
improve these predictions. Further analyses showed the predictive utility of the
screen for several other substance use outcomes at ages 15 to 18, including
alcohol and nicotine use. The results support the use of a short screening
assessment to identify youth at risk for early-onset substance use in the ABCD
Study and other research.
PMID- 29655615
TI - Locked nucleic acid -anti- let-7a induces apoptosis and necrosis in macrophages
infected with Leishmania major.
AB - BACKGROUND: Protozoan parasites of the genus Leishmania are etiologic agents
which are intracellular pathogens of vertebrates and replicate inside infected
macrophages. Leishmania have developed complex strategies to reverse host immune
responses in favor of it. One of the major species causing cutaneous involvements
is Leishmania major. MicroRNAs (miRNA) are non-coding small RNAs encoding 22
nucleotide (nt) long RNAs. miRNAs affect diverse biological processes, including
cell cycle, proliferation, differentiation, growth and development, metabolism,
aging, apoptosis, gene expression and immune regulation. This study aimed at
evaluating apoptosis and necrosis after transfection locked nucleic acid (LNA)
inhibitor of let-7a in the human macrophages miRNAs upon infectionwith L. major.
MATERIALS AND METHODS: Inhibition of let-7a in macrophages was derived originally
from the human monocytes (MDM), using locked nucleic acid (LNA) antagomir. The
total cellular RNA was extracted 24 and 48 h post transfection. The levels o Let
7a expression was measured by qPCR Real Time using specific primer. Annexin
V/Propidium Iodide staining method was performed to detect apoptosis and necrosis
in the MDM cells. Data were analyzed using the Kruskal-Wallis and Mann-Whitney
tests. RESULTS: Let-7a inhibition increased the MDM cells apoptosis and necrosis
using flow cytometry method. CONCLUSIONS: The results suggested that inhibition
of let-7a could be a new approach in treatment of leishmaniasis.
PMID- 29655616
TI - Role of innate immunity in pathophysiology of classical swine fever virus
infection.
AB - Classical swine fever virus (CSFV) infection causes mild to severe diseases among
pigs, depending on the age and immune status of the host and viral strains. CSFV
targets various cells, including macrophages and conventional and plasmacytoid
dendritic cells. Classical swine fever is one of the most devastating diseases of
pigs which leads to high morbidity and mortality, and causes significant economic
loss worldwide. In response to infection with CSFV, host innate immune system
eliminates the virus by recognizing specific viral molecules via distinct
cellular pattern recognition receptors. These receptors trigger downstream
intracellular signaling pathways, which regulate the translocation and activation
of transcription factors that control the production of cytokines and interferons
(IFNs). In turn, these IFNs activate JAK-STAT signaling that governs the
transcription of IFN-stimulated genes (ISGs) that play critical roles in
antiviral immunity. However, CSFV has evolved different strategies to evade
innate immune signaling and can establish persistent infection without being
recognized by immune surveillance. In this review, we discuss the current
understanding of host innate response to CSFV infection. We also summarize how
CSFV evades innate immunity to establish its chronic infection.
PMID- 29655617
TI - Novel treatment of papillomatous conjunctival lesions using pattern scanning
laser photocoagulation: 1-Year results.
AB - PURPOSE: To evaluate the safety and 1-year effect of pattern scanning laser
photocoagulation treatment for pedunculated papillomatous and sessile
conjunctival lesions in a low-resource setting with extremely limited operating
room access. METHODS: Adult patients with clinical diagnosis of conjunctival
papilloma underwent complete ophthalmologic exam including anterior segment
photography. After topical anesthesia and toluidine blue 1% instillation, the
lesion was treated by pattern scanning photocoagulation using a duration time
that varied from 20 to 100 ms and power from 600 to 1800 mW, treating the entire
lesion surface with a 2 mm margin. Patients were examined weekly for a month then
monthly and underwent retreatment as necessary. RESULTS: Six patients and seven
eyes that had clinically significant non-malignant pedunculated or sessile
papillomatous lesions were treated. All lesions responded to treatment, with
complete resolution after an average of 2.3 sessions. Procedures were well
tolerated with only minor mild discomfort persisting up to two days post
treatment. Patients were followed for a mean follow-up time of 13 months with no
recurrences reported. CONCLUSION: Short-term results of the pattern scanning
laser photocoagulation approach, with toluidine blue for papillomatous
conjunctival lesions are favorable with a 100% success rate in this cohort. This
rate is comparable to surgical excision. This novel strategy proved to be a less
resource intensive alternative that not only could demonstrate its usefulness in
settings with chronic operating room shortages, but also in recurrent cases.
Longer follow-ups with a larger sample size and cost-analysis are necessary to
confirm our findings.
PMID- 29655618
TI - Concurrent Surgery and the Role of the Pediatric Attending Surgeon: Comparing
Parents' and Surgeons' Expectations.
AB - BACKGROUND: The common practice of performing concurrent or overlapping
operations has been intensely scrutinized by lay media and academic press to
investigate its safety and cost-effectiveness. However, there is little
information about its use within the pediatric population. Even less is known
about parents' expectations about the surgeon's role on the day of operation and
how they align with those of pediatric surgeons and surgical trainees, despite
the potential for significant discrepancies in expectations to erode trust and
damage the physician-family relationship. STUDY DESIGN: A 5-point Likert-style
survey was designed to characterize expectations about the degree of involvement
by pediatric attending surgeons throughout a surgical case (1 = strongly
disagree, 3 = neutral, 5 = strongly agree). The survey was administered to
parents of pediatric patients undergoing elective operations during a 3-month
interval at a single academic institution. The survey was also administered to
surgeons and surgical residents at the same institution. Multivariate
multiplicity-adjusted t-tests were used to identify significant differences
between responders. RESULTS: One hundred and ten parents and 84 pediatric
surgeons and trainees completed the survey. Parents' responses to the survey
ranged from 4.15 to 4.89, compared with 2.75 to 4.86 from surgeons. The
differences achieved statistical significance (p < 0.05) for 8 of 9 statements.
Statistically significant differences were fewer, but still present, between
attending surgeons and surgical trainees, as well as between surgeons with and
without children. CONCLUSIONS: There is a significant mismatch between parents'
expectations and those of pediatric surgeons about the role of the surgeon on the
day of operation, with parents consistently expecting more direct involvement by
the attending surgeon. These discrepancies can have implications for both
parent/patient satisfaction and medical education.
PMID- 29655619
TI - ?
PMID- 29655620
TI - Identification of men with low-risk biopsy-confirmed prostate cancer as
candidates for active surveillance.
AB - BACKGROUND: A combined clinical cell-cycle risk (CCR) score that incorporates
prognostic molecular and clinical information has been recently developed and
validated to improve prostate cancer mortality (PCM) risk stratification over
clinical features alone. As clinical features are currently used to select men
for active surveillance (AS), we developed and validated a CCR score threshold to
improve the identification of men with low-risk disease who are appropriate for
AS. METHODS: The score threshold was selected based on the 90th percentile of CCR
scores among men who might typically be considered for AS based on NCCN
low/favorable-intermediate risk criteria (CCR = 0.8). The threshold was validated
using 10-year PCM in an unselected, conservatively managed cohort and in the
subset of the same cohort after excluding men with high-risk features. The
clinical effect was evaluated in a contemporary clinical cohort. RESULTS: In the
unselected validation cohort, men with CCR scores below the threshold had a
predicted mean 10-year PCM of 2.7%, and the threshold significantly dichotomized
low- and high-risk disease (P = 1.2 * 10-5). After excluding high-risk men from
the validation cohort, men with CCR scores below the threshold had a predicted
mean 10-year PCM of 2.3%, and the threshold significantly dichotomized low- and
high-risk disease (P = 0.020). There were no prostate cancer-specific deaths in
men with CCR scores below the threshold in either analysis. The proportion of men
in the clinical testing cohort identified as candidates for AS was substantially
higher using the threshold (68.8%) compared to clinicopathologic features alone
(42.6%), while mean 10-year predicted PCM risks remained essentially identical
(1.9% vs. 2.0%, respectively). CONCLUSIONS: The CCR score threshold appropriately
dichotomized patients into low- and high-risk groups for 10-year PCM, and may
enable more appropriate selection of patients for AS.
PMID- 29655621
TI - The economic burden of hypertension, heart failure, myocardial infarction, and
atrial fibrillation in Mexico.
PMID- 29655622
TI - [Pulmonary arterial hypertension associated with human immunodeficiency virus:
thirty years after its description].
AB - The development of pulmonary arterial hypertension associated with human
immunodeficiency virus reduces the probability of survival in the patient
affected compared to those without cardiopulmonary disease. The pathophysiology
is uncertain. There are several lines of research to associate the different
proteins of the virus in the endothelial lesion. From a therapeutic point of view
there are treatment modalities that allow an acceptable life expectancy.
PMID- 29655623
TI - Insulin resistance and metabolic syndrome criteria in lean, normoglycemic college
age subjects.
AB - AIMS: The goal of this study was to determine insulin sensitivity in a fasted
state and during an oral glucose tolerance test (OGTT), in normoglycemic (NGT),
lean (L) (n = 35) and, for comparison, overweight/obese (OW/O) (n = 9) college
aged subjects. MATERIALS AND METHODS: Insulin sensitivity for 44 NGT,
normotensive subjects, age 18-26 yrs., was determined by homeostasis model
assessment (HOMA-IR) and from Matsuda index (ISI Matsuda). RESULTS: Subjects were
normoglycemic fasted (4.59 + 0.35 mmol/L) and at two hours post OGTT (4.52 +
1.35 mmol/L). Besides anthropometric measures, there were significant differences
between OW/O and L for fasting insulin (P < 0.001) and both measures of insulin
sensitivity (P < 0.05). All subjects exhibited a 9-fold range in HOMA-IR (0.88 +
0.51, range 0.3-2.7) and an 8-fold range in ISI Matsuda (11.9 + 4.7, range 3.0
24.2). The latter was inversely correlated with systolic blood pressure (r =
0.35, P = 0.04) even though subjects were normotensive. In lean subjects, 2.3%
were IR by HOMA-IR > 2.1, 5.7% by ISI Matsuda < 5.9, and 22.9% had >one criteria
for metabolic syndrome (MetS); 28.6% had some negative metabolic biomarker.
CONCLUSIONS: Insulin resistance is present in lean, NGT college-age subjects even
without MetS criteria and is discernable with an easily applicable OGTT-derived
index.
PMID- 29655624
TI - Post-immunization leucocytosis and its implications for the management of febrile
infants.
AB - AIMS: Clinical guidelines for management of infants with fever but no evident
focus of infection recommend that those aged 1-3 months with a white cell count
>15 * 109/l have a full septic screen and be admitted for parenteral antibiotics.
However, there is limited information about leucocyte changes following routine
immunization, a common cause of fever. We investigated white cell counts shortly
after routine immunization in Ugandan infants under 3 months of age. METHODS:
White cell counts were measured in 212 healthy infants following routine
immunizations (DTwP-HepB-Hib, oral polio and pneumococcal conjugate 7 vaccines)
received prior to 3 months of age. RESULTS: Mean leucocyte counts increased from
9.03 * 109/l (95% confidence interval 8.59-9.47 * 109/l) pre-immunizations to
16.46 * 109/l (15.4-17.52 * 109/l) at one-day post-immunizations at 6 weeks of
age, and 15.21 * 109/l (14.07-16.36 * 109/l) at one-day post-immunizations at 10
weeks of age. The leucocytosis was primarily a neutrophilia, with neutrophil
percentages one-day post-immunization of 49% at 6 weeks of age and 46% at 10
weeks of age. White cell parameters returned to baseline by two-days post
immunization. No participant received antibiotics when presenting with isolated
fever post-immunization and all remained well at follow-up. CONCLUSIONS: In our
study almost half the children <3 months old presenting with fever but no evident
focus of infection at one-day post-immunization met commonly used criteria for
full septic screen and admission for parenteral antibiotics, despite having no
serious bacterial infection. These findings add to the growing body of literature
that questions the utility of white blood cell measurement in identification of
young infants at risk of serious bacterial infections, particularly in the
context of recent immunizations, and suggest that further exploration of the
effect of different immunization regimes on white cell counts is needed. This
observational work was nested within a clinical trial, registration number
ISRCTN59683017.
PMID- 29655625
TI - An improved conjugate vaccine technology; induction of antibody responses to the
tumor vasculature.
AB - The induction of an antibody response against self-antigens requires a conjugate
vaccine technology, where the self-antigen is conjugated to a foreign protein
sequence, and the co-application of a potent adjuvant. The choice of this foreign
sequence is crucial as a very strong antibody response towards it may compromise
the anti-self immune response. Here, we aimed to optimize the conjugate design
for application of vaccination against the tumor vasculature, using two different
approaches. First, the immunogenicity of the previously employed bacterial
thioredoxin (TRX) was reduced by using a truncated from (TRXtr). Second, the
Escherichia coli proteome was scrutinized to identify alternative proteins, based
on immunogenicity and potency to increase solubility, suitable for use in a
conjugate vaccine. This technology was used for vaccination against a marker of
the tumor vasculature, the well-known extra domain B (EDB) of fibronectin. We
demonstrate that engineering of the foreign sequence of a conjugate vaccine can
significantly improve antibody production. The TRXtr construct outperformed the
one containing full-length TRX, for the production of anti-self antibodies to
EDB. In addition, efficient tumor growth inhibition was observed with the new
TRXtr-EDB vaccine. Microvessel density was decreased and enhanced leukocyte
infiltration was observed, indicative of an active immune response directed
against the tumor vasculature. Summarizing, we have identified a truncated form
of the foreign antigen TRX that can improve conjugate vaccine technology for
induction of anti-self antibody titers. This technology was named Immuno-Boost (I
Boost). Our findings are important for the clinical development of cancer
vaccines directed against self antigens, e.g. the ones selectively found in the
tumor vasculature.
PMID- 29655626
TI - A toddler PCV booster dose following 3 infancy priming doses increases
circulating serotype-specific IGG levels but does not increase protection against
carriage.
AB - BACKGROUND: We compared PCV7 serological response and protection against carriage
in infants receiving 3 doses (2, 4, 6 months; 3+0 schedule) to those receiving a
booster (12 months; 3+1). METHODS: A prospective, randomized controlled study,
conducted between 2005 and 2008, before PCVs were implemented in Israel. Healthy
infants were randomized 1:1:1 to receive 3+1, 3+0 and 0+2 (control group; 12, 18
months doses). Nasopharyngeal/oropharyngeal swabs were obtained at all visits.
Serum serotype-specific IgG concentrations and opsonic activities (OPA) were
measured at 2, 7, 13 and 19 months. This study was registered with Current
Controlled Trials, Ltd. ISRCTN28445844. RESULTS: Overall, 544 infants were
enrolled: 3+1 (n = 178), 3+0 (n = 178) and 0+2 (n = 188). Post-priming (7
months), antibody concentrations were similar in both groups, except for serotype
18C (higher in 3+0). Post-booster (13, 19 months), ELISA and OPA levels were
significantly higher in 3+1 than in 3+0 group. Nasopharyngeal/oropharyngeal
cultures were positive for Streptococcus pneumoniae in 2673 (54.3%) visits.
Acquisition rates (vaccine and non-vaccine serotypes) were similar for 3+1 and
3+0 groups at 7-30 months and for 0+2 group at 19-30 months. CONCLUSIONS: PCV7
booster after 3 priming doses increased substantially IgG concentrations but did
not further reduced vaccine-serotype nasopharyngeal acquisition, suggesting that
protection from pneumococcal carriage does not depend primarily on serum IgG.
PMID- 29655628
TI - Trends in diarrhea hospitalizations among infants at three hospitals in Tanzania
before and after rotavirus vaccine introduction.
AB - BACKGROUND: The Tanzania Ministry of Health introduced monovalent human rotavirus
vaccine in January 2013, to be administered at ages 6 and 10 weeks. Data suggest
there was high vaccine uptake. We used hospital ward registers from 3 hospitals
to examine trends in diarrhea hospitalizations among infants before and after
vaccine introduction. METHODS: Ward registers from Dodoma Regional Referral
Hospital (Central Tanzania), and two hospitals in Mbeya (Southwest area), Mbeya
Zonal Referral Hospital and Mbalizi Hospital, were used to tally admissions for
diarrhea among children by age group, month and year. Rotavirus surveillance had
started at these hospitals in early 2013; the proportion of infants enrolled and
rotavirus-EIA positive were examined by month to determine peak periods of
rotavirus disease post-vaccine introduction. RESULTS: Registers were available
for 2-4 prevaccine years and 2-3 post introduction years. At Dodoma Regional
Referral Hospital, compared with the mean of 2011 and 2012, diarrhea
hospitalizations among infants were 26% lower in 2015 and 58% lower in 2016. The
diarrhea peak shifted later in the year first by 1 and then by 2-3 months from
prevaccine. At the Mbeya hospitals, the number of diarrhea admissions in
prevaccine period varied substantially by year. At Mbeya Referral Hospital,
diarrhea hospitalizations among infants were lower by 25-37% in 2014 and 11-26%
in 2015, while at Mbalizi Hospital, these hospitalizations were 4% lower in 2014
and 14% higher in 2015. Rotavirus testing data demonstrated a lowering of the
prevaccine peak, a shift in timing of the peak months and indicated that other
diarrheal peaks in post-introduction years were not due to rotavirus.
CONCLUSIONS: In this ecological evaluation, total diarrhea hospitalizations among
infants were lower (>=25% lower in >=1 year) following introduction in 2 of 3
hospitals. There are challenges in using ward registers to ascertain possible
impact of rotavirus vaccine introduction on trends in hospitalizations for
treatment of all diarrheal illness.
PMID- 29655627
TI - Lipopolysaccharide-specific memory B cell responses to an attenuated live cholera
vaccine are associated with protection against Vibrio cholerae infection.
AB - BACKGROUND: The single-dose live attenuated vaccine CVD 103-HgR protects against
experimental Vibrio cholerae infection in cholera-naive adults for at least 6
months after vaccination. While vaccine-induced vibriocidal seroconversion is
associated with protection, vibriocidal titers decline rapidly from their peak 1
2 weeks after vaccination. Although vaccine-induced memory B cells (MBCs) might
mediate sustained protection in individuals without detectable circulating
antibodies, it is unknown whether oral cholera vaccination induces a MBC
response. METHODS: In a study that enrolled North American adults, we measured
lipopolysaccharide (LPS)- and cholera toxin (CtxB)-specific MBC responses to
PXVX0200 (derived from the CVD 103-HgR strain) and assessed stool volumes
following experimental Vibrio cholerae infection. We then evaluated the
association between vaccine-induced MBC responses and protection against cholera.
RESULTS: There was a significant increase in % CT-specific IgG, % LPS-specific
IgG, and % LPS-specific IgA MBCs which persisted 180 days after vaccination as
well as a significant association between vaccine-induced increase in % LPS
specific IgA MBCs and lower post-challenge stool volume (r = -0.56, p < 0.001).
DISCUSSION: Oral cholera vaccination induces antigen-specific MBC responses, and
the anamnestic LPS-specific responses may contribute to long-term protection and
provide correlates of the duration of vaccine-induced protection. CLINICAL TRIALS
REGISTRATION: NCT01895855.
PMID- 29655629
TI - Intramuscular vaccination of guinea pigs with the live-attenuated human herpes
simplex vaccine VC2 stimulates a transcriptional profile of vaginal Th17 and
regulatory Tr1 responses.
AB - Herpes simplex virus is a common causative agent of oral and genital diseases.
Novel vaccines and therapeutics are needed to combat herpes infections especially
after the failure of subunit vaccines in human clinical trials. We have shown
that the live-attenuated HSV-1 VC2 vaccine strain is unable to establish latency
in vaccinated animals and produces a robust immune response capable of completely
protecting mice against lethal vaginal HSV-1 or HSV-2 infections. The guinea pig
represents the best small animal model of genital HSV-2 disease. Reported here,
twenty-one female Hartley guinea pigs received intramuscular injection with
either the VC2 vaccine, or equal volume of conditioned tissue culture media.
Animals received 2 booster vaccinations at 21 day intervals following the initial
vaccination. After vaccination, animals were challenged with the highly virulent
HSV-2 (G) strain. Histologically, VC2 vaccinated animals had little to no
apparent inflammation/disease following challenge. Unvaccinated animals developed
moderate to severe erosive and ulcerative vaginitis. Quantitative reverse
transcriptase PCR analysis in VC2 vaccinated and challenged animals identified
transcriptional signatures of Th17 and regulatory Tr1 cells associated with the
inflammatory response primed by VC2 vaccination. Treatment of cultured human
vaginal epithelial cells (VK2 cells) with a combination of IL-17A and IL-22
resulted in the significant induction of beta-defensin 3 expression. Further,
treatment of VK2 cells with IL-17A, IL-22, IL-36 or beta-defensin 3 resulted in
diminished HSV-2 replication. Overall, these results suggest that intramuscular
vaccination with the live-attenuated vaccine VC2 primes a mucosal immune response
predisposing the adaptive expression of transcripts associated with a Th17
response to challenge and these responses contribute to antiviral immunity.
PMID- 29655630
TI - Is varicella vaccination associated with pediatric arterial ischemic stroke? A
population-based cohort study.
AB - BACKGROUND AND PURPOSE: Varicella disease is a risk factor for pediatric Arterial
Ischemic Stroke (AIS). Isolated case reports have emerged suggesting that
varicella vaccination may also pose a risk for AIS. METHODS: This retrospective
population-based cohort study assessed the risk of AIS in children who received a
varicella-containing vaccine, as compared to those who did not. The study cohort
consisted of children born between January 1, 2006 and December 31, 2013, in the
Canadian province of Alberta, where all routine childhood vaccinations are
publicly-funded, and recorded in a central immunization repository. These data
were linked with hospital discharge abstract data to identify children diagnosed
with AIS. A Cox proportional hazard model assessed the risk of AIS in the 12
months following vaccination for children receiving a varicella vaccine between
11 and 23 months of age, as compared to non-vaccinated children. RESULTS: Of the
368,992 children in the cohort, 325,729 were vaccinated with a varicella
containing vaccine between 11 and 23 months of age. The rate of AIS was 7.8 (95%
CI 4.8-10.9) per 100,000 person years at risk in the 12 months following
varicella vaccination, as compared to 6.8 (95% CI 1.3-12.2) for children who did
not receive a varicella vaccine. The adjusted Hazard Ratio for the risk of AIS,
controlling for other AIS risk factors, in vaccinated children as compared to non
vaccinated children was 1.6 (95% CI 0.7-3.7) in the 12 months following
vaccination and 1.7 (95% CI 0.5-4.9) in the 30 days following vaccination.
CONCLUSIONS: Our study found no evidence of an increased risk of AIS following
varicella vaccination. This population-based cohort study provides reassurance to
parents and clinicians regarding the safety of varicella vaccination.
PMID- 29655631
TI - Detection of rotavirus before and after monovalent rotavirus vaccine introduction
and vaccine effectiveness among children in mainland Tanzania.
AB - BACKGROUND: Monovalent rotavirus vaccine (RV1) was introduced in Tanzania in
January 2013 under the Reach Every Child initiative, to be given at ages 6 and 10
weeks. We used the sentinel hospital rotavirus surveillance system to examine the
rotavirus detection rate before and after vaccine introduction and estimate
vaccine effectiveness. METHODS: Before vaccine introduction, rotavirus
surveillance was established at two mainland hospitals; children admitted for
acute diarrhea were eligible for enrollment and stools were tested for rotavirus
antigen. We compared the rotavirus positivity rate in the pre-vaccine period
(Tanga Hospital, 2009 and 2011; Bugando Medical Centre, 2012) to that from post
introduction years, 2014-2015. In 2013, surveillance was established at 9
additional hospitals. We examined rotavirus positivity among infants at these
sites for 2014-2015. We obtained vaccine records and calculated vaccine
effectiveness at 3 sites using case-test-negative control design. RESULTS: At
Tanga Hospital, the rotavirus positivity rate among infants was 41% (102/251) pre
vaccine and 14% (28/197) in post-vaccine years (rate ratio: 0.35 [95% CI 0.22
0.54]). At Bugando, the positivity rate was 58% (83/143) pre-vaccine, and 18%
(49/277) post-introduction (rate ratio 0.30 [95% CI 0.210.44]). Results were
similar among children <5 years. At the new sites, the median site rotavirus
positivity rate among infants was 26% in 2014 (range 19-44%) and 18% in 2015
(range 16-33%). The effectiveness of >=1 RV1 dose against rotavirus
hospitalization among children 5-23 months was 53% (95% CI: -14, 81), and 66%
(95% CI: 9-87) against hospitalization with intravenous rehydration. Following
introduction, peak rotavirus activity occurred later in the year and appeared
more concentrated in time. CONCLUSION: Rotavirus surveillance data from Tanzania
indicate that the rotavirus positivity rate among children hospitalized with
diarrhea that were enrolled was substantially reduced after vaccine introduction.
Low positivity rates among infants were detected at hospitals across the country.
Overall, the data support that rotavirus vaccine has been successfully introduced
and is effective in Tanzanian children.
PMID- 29655633
TI - Vaccine Technology VI: Innovative and integrated approaches in vaccine
development.
PMID- 29655632
TI - Ag85A-specific CD4+ T cell lines derived after boosting BCG-vaccinated cattle
with Ad5-85A possess both mycobacterial growth inhibition and anti-inflammatory
properties.
AB - There is a need to improve the efficacy of the BCG vaccine against human and
bovine tuberculosis. Previous data showed that boosting bacilli Calmette-Guerin
(BCG)-vaccinated cattle with a recombinant attenuated human type 5 adenovirally
vectored subunit vaccine (Ad5-85A) increased BCG protection and was associated
with increased frequency of Ag85A-specific CD4+ T cells post-boosting. Here, the
capacity of Ag85A-specific CD4+ T cell lines - derived before and after viral
boosting - to interact with BCG-infected macrophages was evaluated. No difference
before and after boosting was found in the capacity of these Ag85A-specific CD4+
T cell lines to restrict mycobacterial growth, but the secretion of IL-10 in
vitro post-boost increased significantly. Furthermore, cell lines derived post
boost had no statistically significant difference in the secretion of pro
inflammatory cytokines (IL-1beta, IL-12, IFNgamma or TNFalpha) compared to pre
boost lines. In conclusion, the protection associated with the increased number
of Ag85A-specific CD4+ T cells restricting mycobacterial growth may be associated
with anti-inflammatory properties to limit immune-pathology.
PMID- 29655634
TI - Anti PAD autoimmunity and rheumatoid arthritis.
PMID- 29655635
TI - Percutaneous endobiliary radiofrequency ablation for refractory benign
hepaticojejunostomy and biliary strictures.
AB - PURPOSE: The objective of this study was to determine the safety and efficacy of
percutaneous endobiliary radiofrequency ablation (ERFA) and balloon dilation for
the treatment of hepaticojejunostomy (HJ) strictures resistant to surgery and/or
other interventions. MATERIALS AND METHODS: Eighteen patients who underwent
percutaneous ERFA for HJ stricture were included. There were 10 men and 8 women
with a mean age of 48.3+/-10.8 (SD) years (range: 33-69 years). The 18 patients
had a total of 29 benign HJ strictures secondary to cholecystectomy (14 patients;
78.0%), Whipple procedure (3 patients; 16.6%) or blunt abdominal trauma (1
patient; 5.4%). The different end-points were technical success, clinical
success, recurrence, procedure-related mortality, and morbidity. RESULTS:
Technical and clinical success rates were 100% and 83.3%, respectively. No
mortality and major procedure-related complications were observed. One patient
experienced minor complication (self-limited pleural effusion). Two patients did
not show favorable response to ERFA whereas 10 patients had no stricture
recurrence during a mean follow-up period of 7.3 months+/-1.0 (SD) (range: 4-10
months). CONCLUSION: ERFA is a safe and effective treatment for benign HJ and
biliary strictures. However, more studies involving more patients with a long
term follow-up period should be made to fully determine the long-term results of
ERFA.
PMID- 29655636
TI - Reticulocyte hemoglobin content in a large sample of the general Dutch population
and its relation to conventional iron status parameters.
AB - BACKGROUND: No full consensus exists on which iron status parameters to use for
iron status assessment. In this study, we assessed the usefulness of measurement
of the hemoglobin content of reticulocytes (CHr) in the general population.
METHODS: The following iron status parameters were assessed in 1024 adults: CHr,
reticulocytes, hemoglobin (Hb), ferritin, serum iron, transferrin, transferrin
saturation and mean corpuscular volume (MCV). Mean parameter values and
correlation coefficients for CHr and other parameters were calculated. In
addition, mean CHr levels in subgroups based on low and normal values of other
iron status parameters were compared. RESULTS: Mean CHr values in men were 31.81
(SD = 1.50) pg and in women 31.32 (SD = 1.51) pg. A positive correlation was
observed between CHr and Hb, ferritin, serum iron, transferrin saturation and
MCV; a negative correlation was observed between CHr and transferrin. CHr levels
were lower in subjects with low values of Hb, ferritin, serum iron and MCV
compared to subjects with normal values for these parameters. CONCLUSION: Mean
CHr values in this population were comparable to values reported in small healthy
control groups. Associations with other parameters were in agreement with
associations reported in literature. CHr measurement might have additional value
in iron status assessment.
PMID- 29655637
TI - Analysis of human blood plasma cell-free DNA fragment size distribution using
EvaGreen chemistry based droplet digital PCR assays.
AB - BACKGROUND: Plasma cell-free DNA (cfDNA) fragment size distribution provides
important information required for diagnostic assay development. We have
developed and optimized droplet digital PCR (ddPCR) assays that quantify short
and long DNA fragments. These assays were used to analyze plasma cfDNA fragment
size distribution in human blood. METHODS: Assays were designed to amplify
76,135, 490 and 905 base pair fragments of human beta-actin gene. These assays
were used for fragment size analysis of plasma cell-free, exosome and apoptotic
body DNA obtained from normal and pregnant donors. RESULTS: The relative
percentages for 76, 135, 490 and 905 bp fragments from non-pregnant plasma and
exosome DNA were 100%, 39%, 18%, 5.6% and 100%, 40%, 18%,3.3%, respectively. The
relative percentages for pregnant plasma and exosome DNA were 100%, 34%, 14%,
23%, and 100%, 30%, 12%, 18%, respectively. The relative percentages for non
pregnant plasma pellet (obtained after 2nd centrifugation step) were 100%, 100%,
87% and 83%, respectively. CONCLUSION: Non-pregnant Plasma cell-free and exosome
DNA share a unique fragment distribution pattern which is different from pregnant
donor plasma and exosome DNA fragment distribution indicating the effect of
physiological status on cfDNA fragment size distribution. Fragment distribution
pattern for plasma pellet that includes apoptotic bodies and nuclear DNA was
greatly different from plasma cell-free and exosome DNA.
PMID- 29655638
TI - Attenuation of the Niemann-Pick type C2 disease phenotype by intracisternal
administration of an AAVrh.10 vector expressing Npc2.
AB - Niemann-Pick type C2 (NPC2) disease is a rare, neurodegenerative disorder caused
by mutations in the NPC2 gene, leading to lysosomal accumulation of unesterified
cholesterol and other lipids. It is characterized by hepatosplenomegaly, liver
dysfunction and severe neurological manifestations, resulting in early death.
There is no effective therapy for NPC2 disease. Here, we evaluated the
effectiveness of an adeno-associated virus (AAV), serotype rh.10 gene transfer
vector expressing the mouse Npc2 gene (AAVrh.10-mNpc2-HA, HA tagged to facilitate
analysis) to treat the disease in an Npc2-/- mouse model. A single intracisternal
administration of the AAVrh.10-mNpc2-HA to 6 week old Npc2-/- mice mediated
vector DNA, transgene mRNA and protein expression in brain and other organs.
Compared to untreated Npc2-/- mice, AAV-treated Npc2-/- mice demonstrated
amelioration of disease pathology in the brain, reduced lysosomal storage,
reduced Purkinje cell death, decreased gliosis, and improved performance in
behavioral tasks. Treatment-related reduction in serum disease markers was
detected early and this effect persisted. Liver and spleen pathology were
improved with significant reduction of liver cholesterol and sphingomyelin levels
in treated Npc2-/- mice. Finally, administration of AAVrh.10-mNpc2-HA
significantly extended life-span. Taken together, these data demonstrate the
benefit of a one-time intracisternal administration of AAVrh.10-mNpc2-HA as a
life-long treatment for NPC2 disease.
PMID- 29655639
TI - Glia maturation factor beta is required for reactive gliosis after traumatic
brain injury in zebrafish.
AB - Gliosis is a hallmark of neural pathology that occurs after most forms of central
nervous system (CNS) injuries including traumatic brain injury (TBI).
Identification of genes that control gliosis may provide novel treatment targets
for patients with diverse CNS injuries. Glia maturation factor beta (GMFB) is
crucial in brain development and stress response. In the present study, GMFB was
found to be widely expressed in adult zebrafish telencephalon. A gmfb mutant
zebrafish was created using CRISPR/cas9. In the uninjured zebrafish
telencephalon, glial fibrillary acidic protein (GFAP) fibers in gmfb mutants were
disorganized and shorter than wild type zebrafish. After TBI, transformation of
quiescent type I radial glial cells (RGC) to proliferative type II RGCs was
significantly suppressed in the gmfb mutant. RGC proliferation and hypertrophy
post-TBI was reduced in gmfb mutants, indicating that reactive gliosis was
attenuated. TBI-induced acute inflammation was also found to be alleviated in the
gmfb mutant. Morphological changes also suggest attenuation of microglial
reactive gliosis. In a mouse model of TBI, GMFB expression was increased around
the injury site. These GMFB+ cells were identified as astrocytes and microglia.
Taken together, the data suggests that GMFB is not only required for normal
development of GFAP fibers in the zebrafish telencephalon, but also promotes
reactive gliosis after TBI. Our findings provide novel information to help better
understand the reactive gliosis process following TBI.
PMID- 29655641
TI - Is Newer Necessarily Better? The Evolution of Incisional Glaucoma Surgery Over
the Last 100 Years.
AB - PURPOSE: To examine the progress made in glaucoma incisional surgery and
determine if there has been sufficient progress to meet the needs of glaucoma
patients. DESIGN: Perspective (literature review). METHODS: This is a
retrospective assessment of key milestones in the evolution of glaucoma
incisional surgery and an evaluation of the risks and the benefits of these
procedures. Benefits are defined as effective reduction in intraocular pressure
(IOP) and protecting visual function, while risks are defined as safety and
complications for our patients. Quality of life is also considered. RESULTS:
Although advances have been made in the last 100 years, the trabeculectomy, a
procedure that was described more than 50 years ago, remains the optimal choice
for reducing IOP among those patients who require pressures in the teens, who do
not respond to medications and prior laser therapy. CONCLUSIONS: Appropriate
patient selection, careful attention to surgical technique, and intensive follow
up of patients will optimize the results of a trabeculectomy. Newer procedures
must be carefully studied in well-designed clinical trials to determine
comparative levels of safety and efficacy to this gold standard. Advances in drug
delivery and minimally invasive glaucoma surgery may ultimately produce the best
outcome for glaucoma patients in the future.
PMID- 29655640
TI - How Are Ocular Signs and Symptoms of Dry Eye Associated With Depression in Women
With and Without Sjogren Syndrome?
AB - PURPOSE: To determine whether ocular phenotypic features of keratoconjunctivitis
sicca (KCS) and/or participant-reported symptoms of dry eye disease are
associated with depression in women participants enrolled in the Sjogren's
International Collaborative Clinical Alliance (SICCA). DESIGN: Cross-sectional
study. METHODS: Women enrolled in the SICCA registry from 9 international
research sites. Participants met at least 1 of 5 inclusion criteria for registry
enrollment (including complaints of dry eyes or dry mouth, a previous diagnosis
of Sjogren syndrome (SS), abnormal serology (positive anti-Sjogren syndrome
antigen A and/or B [anti-SSA and/or anti-SSB]), or elevated antinuclear antibody
and rheumatoid factor), bilateral parotid gland enlargement, or multiple dental
caries). At baseline, participants had oral, ocular, and rheumatologic
examination; blood and saliva collection; and a labial salivary gland biopsy
(LSGB). They also completed an interview and questionnaires including assessment
of depression with the Patient Health Questionnaire 9 (PHQ-9). Univariate
logistic regression was used to assess the association between depression and
demographic characteristics, participant-reported health, phenotypic features of
Sjogren syndrome, and participant-reported symptoms. Mixed-effects modeling was
performed to determine if phenotypic features of KCS and/or participant-reported
symptoms of dry eye disease were associated with depression, controlling for
health, age, country or residence, and sex and allowing for nonindependence
within geographic site. RESULTS: Dry eye complaints produced a 1.82-fold (95%
confidence interval [CI] 1.38-2.40) higher odds of having depression compared to
being symptom-free (P < .001). Additionally, complaints of specific ocular
sensations were associated with a higher odds of depression including burning
sensation (odds ratio 2.25, 95% CI 1.87-2.72, P < .001) compared to those without
complaints. In both women with and without SS, the presence of symptoms of dry
eyes and/or dry mouth rather than SS itself resulted in higher odds of
depression. One particular ocular phenotypic feature of SS, a positive ocular
staining score, was inversely correlated with depression. CONCLUSIONS:
Participant-reported eye symptoms, particularly specific ocular sensations such
as burning, were found to be positively associated with individual American
College of Rheumatology/EUropean League Against Rheumatism (ACR/EULAR) SS
criteria items.
PMID- 29655642
TI - Estimating Medicare and Patient Savings From the Use of Bevacizumab for the
Treatment of Exudative Age-related Macular Degeneration.
AB - PURPOSE: The Medicare cost savings from the use of bevacizumab in the United
States for the treatment of exudative age-related macular degeneration (AMD) were
estimated by replacing the use of bevacizumab with ranibizumab and aflibercept.
DESIGN: Retrospective trend study. METHODS: Main outcome measures were spending
by Medicare as tracked by Current Procedural Terminology (CPT) codes for
intravitreal injections (67028) and treatment-specific J-codes (J0178, J2778,
J9035, J3490, and J3590) for inhibitors of vascular endothelial growth factor.
These claims were identified from the Medicare Provider Utilization and Payment
Data from the Centers for Medicare and Medicaid Services among fee-for-service
(FFS) Medicare beneficiaries from 2012 to 2015. The 2008 claims were acquired
from the 100% fee-for-service (FFS) Part B Medicare Claims File. RESULTS: The use
of bevacizumab from 2008 to 2015 resulted in an estimated savings of $17.3
billion, which corresponded to a $13.8 billion savings to Medicare and a $3.5
billion savings to patients. This amount underestimated the actual cost savings
to Medicare providers, since approximately 30% of Medicare-eligible recipients
received care within Medicare Advantage plans and were not included in this
analysis. CONCLUSIONS: The cost savings from the use of bevacizumab from 2008 to
2015 for Medicare fee-for-service patients undergoing treatment for exudative AMD
was estimated at $17.3 billion. Additional savings over the $17.3 billion would
have accrued from the use of bevacizumab if diagnostic categories such as
diabetic macular edema and retinal vein occlusion were included in this study.
PMID- 29655643
TI - Automated Segmentation of Lesions Including Subretinal Hyperreflective Material
in Neovascular Age-related Macular Degeneration.
AB - PURPOSE: To evaluate an automated segmentation algorithm with a convolutional
neural network (CNN) to quantify and detect intraretinal fluid (IRF), subretinal
fluid (SRF), pigment epithelial detachment (PED), and subretinal hyperreflective
material (SHRM) through analyses of spectral-domain optical coherence tomography
(SD-OCT) images from patients with neovascular age-related macular degeneration
(nAMD). DESIGN: Reliability and validity analysis of a diagnostic tool. METHODS:
We constructed a dataset including 930 B-scans from 93 eyes of 93 patients with
nAMD. A CNN-based deep neural network was trained using 11 550 augmented images
derived from 550 B-scans. The performance of the trained network was evaluated
using a validation set including 140 B-scans and a test set of 240 B-scans. The
Dice coefficient, positive predictive value (PPV), sensitivity, relative area
difference (RAD), and intraclass correlation coefficient (ICC) were used to
evaluate segmentation and detection performance. RESULTS: Good agreement was
observed for both segmentation and detection of lesions between the trained
network and clinicians. The Dice coefficients for segmentation of IRF, SRF, SHRM,
and PED were 0.78, 0.82, 0.75, and 0.80, respectively; the PPVs were 0.79, 0.80,
0.75, and 0.80, respectively; and the sensitivities were 0.77, 0.84, 0.73, and
0.81, respectively. The RADs were -4.32%, -10.29%, 4.13%, and 0.34%,
respectively, and the ICCs were 0.98, 0.98, 0.97, and 0.98, respectively. All
lesions were detected with high PPVs (range 0.94-0.99) and sensitivities (range
0.97-0.99). CONCLUSIONS: A CNN-based network provides clinicians with
quantitative data regarding nAMD through automatic segmentation and detection of
pathologic lesions, including IRF, SRF, PED, and SHRM.
PMID- 29655644
TI - Long-term Changes in Anterior Segment Characteristics of Eyes With Different
Primary Angle-Closure Mechanisms.
AB - PURPOSE: To assess long-term changes in the anterior segment (AS) of eyes with
different angle-closure mechanisms. DESIGN: Retrospective cohort study. METHODS:
In total, 133 eyes (from 75 participants) with angle closure were enrolled. All
eyes received laser iridotomy (LI) during the follow-up period. Serial anterior
segment optical coherence tomography (AS-OCT) imaging was performed during a mean
follow-up of 4 years. Participants were categorized into 4 groups according to
angle-closure mechanisms, based on baseline AS-OCT images: pupillary block (PB),
plateau iris configuration (PIC), thick peripheral iris roll (TPIR), and
exaggerated lens vault (ELV). Linear mixed-effect models were applied to evaluate
the longitudinal changes in AS-OCT parameters (anterior chamber depth [ACD], lens
vault [LV], and angle opening distance [AOD]). RESULTS: Forty-six eyes (35%) were
classified as PB, 30 (23%) as PIC, 34 (26%) as TPIR, and 23 (17%) as ELV. The
follow-up period was 41-54 months. At baseline, ACD was shallowest in ELV,
followed by PB, TPIR, and PIC, in order. The PIC group showed significantly wider
AOD than the other groups at baseline. ACD decreased and LV increased over time
in all groups, especially in the PIC group. After LI, the angle widened in the PB
and TPIR groups, but not in the PIC and ELV groups. CONCLUSIONS: AS parameters
changed differently in the 4 groups. The effects of LI on AOD also differed among
groups. Identification of the angle-closure mechanism may help predict
progressive changes in AS parameters in eyes with angle closure.
PMID- 29655646
TI - 5 G wireless telecommunications expansion: Public health and environmental
implications.
AB - The popularity, widespread use and increasing dependency on wireless technologies
has spawned a telecommunications industrial revolution with increasing public
exposure to broader and higher frequencies of the electromagnetic spectrum to
transmit data through a variety of devices and infrastructure. On the horizon, a
new generation of even shorter high frequency 5G wavelengths is being proposed to
power the Internet of Things (IoT). The IoT promises us convenient and easy
lifestyles with a massive 5G interconnected telecommunications network, however,
the expansion of broadband with shorter wavelength radiofrequency radiation
highlights the concern that health and safety issues remain unknown. Controversy
continues with regards to harm from current 2G, 3G and 4G wireless technologies.
5G technologies are far less studied for human or environmental effects. It is
argued that the addition of this added high frequency 5G radiation to an already
complex mix of lower frequencies, will contribute to a negative public health
outcome both from both physical and mental health perspectives. Radiofrequency
radiation (RF) is increasingly being recognized as a new form of environmental
pollution. Like other common toxic exposures, the effects of radiofrequency
electromagnetic radiation (RF EMR) will be problematic if not impossible to sort
out epidemiologically as there no longer remains an unexposed control group. This
is especially important considering these effects are likely magnified by
synergistic toxic exposures and other common health risk behaviors. Effects can
also be non-linear. Because this is the first generation to have cradle-to-grave
lifespan exposure to this level of man-made microwave (RF EMR) radiofrequencies,
it will be years or decades before the true health consequences are known.
Precaution in the roll out of this new technology is strongly indicated. This
article will review relevant electromagnetic frequencies, exposure standards and
current scientific literature on the health implications of 2G, 3G, 4G exposure,
including some of the available literature on 5G frequencies. The question of
what constitutes a public health issue will be raised, as well as the need for a
precautionary approach in advancing new wireless technologies.
PMID- 29655645
TI - Proton Beam Irradiation: A Safe Procedure in Postequatorial Extraocular Extension
From Uveal Melanoma.
AB - PURPOSE: This study was performed to show long-term outcomes concerning
metastasis rates and local recurrence rates after primary proton beam therapy in
uveal melanoma with posterior extraocular extension (EOE) with the main focus on
optic nerve invasion. DESIGN: Retrospective case series. METHODS: All patients
treated with primary proton beam therapy for choroidal or ciliary body melanoma
with posterior EOE between July 1998 and August 2010 were included. EOE was
detected either upon sonography at primary examination or during the surgical
application of tantalum clips onto the sclera. Ultrasound was performed in each
patient before surgery, and if EOE was detected, a magnetic resonance imaging
(MRI) scan was performed to confirm EOE. All patients with tumors exceeding 6 mm
in thickness or abutting the optic disc received a 1.5 Tesla MRI scan after clip
surgery. To assess EOE during follow-up, either ultrasound examinations or-if
initially detected only by MRI-MRI scans were performed during follow-up.
RESULTS: A total of 27 patients underwent primary proton beam therapy. The EOE
was separated into 3 growth types: optic nerve infiltration in 10 patients,
vortex vein infiltration in 9 patients, and transscleral growth postequatorially
in 8 patients. No local recurrences were found during the overall median follow
up of 80 months (11-168 months). Metastasis rates correlated with AJCC stages but
not EOE volume. CONCLUSION: This study shows that posterior EOE can safely be
treated by proton beam therapy, even if the optic nerve is infiltrated. MRI
enables safe detection of optic nerve invasion.
PMID- 29655647
TI - Concerns about the study of septic predictor index as a novel tool in detecting
thermally injured patients susceptible to sepsis.
PMID- 29655648
TI - Osteomyelitis of the First Metatarsal Head Treated With Joint-Preserving Surgery
and a Synthetic Resorbable Bone Graft Substitute: A Case Report.
AB - Managing infections of the first metatarsophalangeal joint can be demanding
because many patients present with late-stage infection and partial or total
amputation of the first ray or the phalanx could be necessary. We describe such a
patient who was successfully treated with a calcium-based resorbable bone
substitute that preserved the first metatarsophalangeal joint. A 38-year-old
female presented to our department with a foot infection. Examination revealed a
methicillin-susceptible Staphylococcus aureus infection of the first
metatarsophalangeal joint. The histopathologic findings confirmed active
osteomyelitis of the first metatarsal head. The metatarsophalangeal joint was
debrided with open synovectomy, the metatarsal head was curetted, and the bone
defect was filled with 2 mL of a synthetic bone graft substitute. Two years
later, she reported no problems with function or pain, the joint had full range
of motion, and she had no local or systemic signs of infection. The most recent
radiographs revealed no damage to the first metatarsophalangeal joint. A
synthetic bone graft substitute can be a good alternative for treating forefoot
infections when the soft tissues are intact and the bone defect is not so large
that partial or full amputation is necessary.
PMID- 29655649
TI - Clinical Outcomes of the Modified Brostrom Technique in the Management of Chronic
Ankle Instability After Early, Intermediate, and Delayed Presentation.
AB - The modified Brostrom technique (MBT) is considered the reference standard for
surgical management of ankle instability, with good short-term outcomes. However,
limited evidence is available regarding outcomes for delayed presentations of
instability. We report our outcomes for patients who underwent ligament repair
using the MBT, from a single-surgeon retrospective study of consecutive patients.
The minimum postoperative follow-up period was 6 months during a 5-year study
period. The patients were retrospectively divided into 3 groups according to the
delay in presentation: group 1, 6 months to 2 years; group 2, 2 to 4 years; and
group 3, >4 years. We collected data on patient demographics, injury pattern, and
intraoperative surgeon findings. The American Orthopaedic Foot and Ankle Society
(AOFAS) ankle-hindfoot scale (AHS) was used to evaluate patient outcomes and
satisfaction with surgery. Twenty-six patients were treated with MBT. The mean
follow-up period was 36.9 (range 6-42) months. Twenty-five (96.2%) patients had
unilateral injuries, and 1 (3.85%) had bilateral repairs. Of the 26 patients, 21
(80.8%) completed the AOFAS-AHS, with a mean score of 87.4 (range 12 to 100). The
mean interval from injury to surgery was 47.9 months. The results were excellent
in 15 (71.4%), good in 3 (14.3%), fair in 1 (4.8%), and poor in 2 (9.5%) using
the AOFAS-AHS. We found no significant difference in the overall AOFAS-AHS score
or postoperative satisfaction among the groups (p > .05). All patients had a
stable ankle joint at their final follow-up visit. In conclusion, patients with
persistent or chronic ankle instability have good clinical outcomes and
satisfaction after the MBT, irrespective of the time from injury to presentation.
PMID- 29655650
TI - Surgical Treatment of Lisfranc Injury With Plantar Plate Approach.
AB - Midfoot injuries are the second most common athletic foot injury documented in
the published data. High-energy Lisfranc dislocations are commonly seen secondary
to traumatic etiologies and disrupt the strong midfoot ligaments supporting the
arch. These injuries require immediate surgical intervention to prevent serious
complications such as compartment syndrome and amputation. The present case
series reports a new Lapidus plate system used in 3 patients who underwent
arthrodesis procedures for Lisfranc joint dislocation. Three patients in their
fourth to fifth decade of life presented with a traumatic injury at the Lisfranc
joint and subsequently underwent open reduction and internal fixation using the
plantar Lapidus Plate System (LPS; Arthrex, Naples, FL). The LPS was placed in a
predetermined safe zone, with measures taken to avoid the insertional points of
the tibialis anterior and peroneus longus tendons. Radiographs were obtained for
<=6 months postoperatively and revealed consolidation across the fusion site,
intact hardware, and satisfactory alignment. On examination, the corrections were
well maintained and free of signs of infection. Clinical evaluation showed no
indication of motion within the tarsometatarsal joint and no tenderness to
palpation surrounding the fusion sites. All 3 patients successfully returned to
their activities of daily living without discomfort or pain. Modern surgical
treatment of Lisfranc injuries most commonly includes open reduction and internal
fixation, accompanied by arthrodesis. The present case series has demonstrated
that the LPS provides relief, stability, and compression of the joint in our
small cohort of patients who experienced a traumatic injury to the Lisfranc
joint.
PMID- 29655651
TI - Single and simultaneous binary mergers in Wright-Fisher genealogies.
AB - The Kingman coalescent is a commonly used model in genetics, which is often
justified with reference to the Wright-Fisher (WF) model. Current proofs of
convergence of WF and other models to the Kingman coalescent assume a constant
sample size. However, sample sizes have become quite large in human genetics.
Therefore, we develop a convergence theory that allows the sample size to
increase with population size. If the haploid population size is N and the sample
size is N1/3-epsilon, epsilon>0, we prove that Wright-Fisher genealogies involve
at most a single binary merger in each generation with probability converging to
1 in the limit of large N. Single binary merger or no merger in each generation
of the genealogy implies that the Kingman partition distribution is obtained
exactly. If the sample size is N1/2-epsilon, Wright-Fisher genealogies may
involve simultaneous binary mergers in a single generation but do not involve
triple mergers in the large N limit. The asymptotic theory is verified using
numerical calculations. Variable population sizes are handled algorithmically. It
is found that even distant bottlenecks can increase the probability of triple
mergers as well as simultaneous binary mergers in WF genealogies.
PMID- 29655652
TI - Protective role of rosmarinic acid on amyloid beta 42-induced echoic memory
decline: Implication of oxidative stress and cholinergic impairment.
AB - In the present study, we examined whether rosmarinic acid (RA) reverses amyloid
beta (Abeta) induced reductions in antioxidant defense, lipid peroxidation,
cholinergic damage as well as the central auditory deficits. For this purpose,
Wistar rats were randomly divided into four groups; Sham(S), Sham + RA (SR),
Abeta42 peptide (Abeta) and Abeta42 peptide + RA (AbetaR) groups. Rat model of
Alzheimer was established by bilateral injection of Abeta42 peptide (2,2 nmol/10
MUl) into the lateral ventricles. RA (50 mg/kg, daily) was administered orally by
gavage for 14 days after intracerebroventricular injection. At the end of the
experimental period, we recorded the auditory event related potentials (AERPs)
and mismatch negativity (MMN) response to assess auditory functions followed by
histological and biochemical analysis. Abeta42 injection led to a significant
increase in the levels of thiobarbituric acid reactive substances (TBARS) and 4
Hydroxy-2-nonenal (4-HNE) but decreased the activity of antioxidant enzymes (SOD,
CAT, GSH-Px) and glutathione levels. Moreover, Abeta42 injection resulted in a
reduction in the acetylcholine content and acetylcholine esterase activity. RA
treatment prevented the observed alterations in the AbetaR group. Furthermore, RA
attenuated the increased Abeta staining and astrocyte activation. We also found
that Abeta42 injection decreased the MMN response and theta power/coherence of
AERPs, suggesting an impairing effect on auditory discrimination and echoic
memory processes. RA treatment reversed the Abeta42 related alterations in AERP
parameters. In conclusion, our study demonstrates that RA prevented Abeta-induced
antioxidant-oxidant imbalance and cholinergic damage, which may contribute to the
improvement of neural network dynamics of auditory processes in this rat model.
PMID- 29655653
TI - Increased risk of cardiovascular disease in women with prior gestational
diabetes: A systematic review and meta-analysis.
AB - This study aims to investigate the effect of gestational diabetes mellitus (GDM)
on the long-term risk of cardiovascular disease (CVD). PubMed and other databases
were searched up to August 31, 2017. Cohort studies evaluating risk of CVD
postpartum in women with and without prior GDM were included. Random-effect model
was used to estimate the pooled relative risk of CVD. Sensitivity and subgroup
analyses were performed to check the consistency of the effect size and to
explore sources of heterogeneity. Multivariable logistic regression was used to
control for high heterogeneity. Seven cohort studies with 3,417,020 pregnant
women including 14,146 incident CVD events were retrieved. In the pooled
analysis, women with previous GDM had a higher risk of CVD than those without
(RR: 1.74, 95%CI: 1.28-2.35, I2 = 95.7%). Four studies reported the event of
coronary artery disease (CAD) and two studies reported stroke. The overall RR for
CAD was 2.09 (95%CI: 1.56-2.80, I2 = 91.2%) and that for stroke was 1.25 (95%CI:
1.07-1.48). In view of the high level of heterogeneity, adjustments were made for
this, with the resulting adjusted OR for CVD and CAD being 1.95 (95%CI: 1.83
2.08) and 1.59 (95%CI: 1.30-1.94). Women with prior GDM have increased risk of
CVD.
PMID- 29655655
TI - Hypothalamic peroxisome proliferator-activated receptor gamma regulates ghrelin
production and food intake.
AB - Peroxisome proliferator-activated receptor-gamma (PPARgamma) regulates fatty acid
storage, glucose metabolism, and food intake. Ghrelin, a gastric hormone,
provides a hunger signal to the central nervous system to stimulate appetite.
However, the effects of PPARgamma on ghrelin production are still unclear. In the
present study, the effects of PPARgamma on ghrelin production were examined in
lean- or high-fat diet-induced obese (DIO) C57BL/6J mice and mHypoE-42 cells, a
hypothalamic cell line. 3rd intracerebroventricular injection of adenoviral
directed overexpression of PPARgamma (Ad-PPARgamma) reduced hypothalamic and
plasma ghrelin, food intake in both lean C57BL/6J mice and diet-induced obese
mice. These changes were associated with a significant increase in mechanistic
target of rapamycin complex 1 (mTORC1) activity. Overexpression of PPARgamma
enhanced mTORC1 signaling and suppressed ghrelin production in cultured mHypoE-42
cells. Our results suggest that hypothalamic PPARgamma plays a vital role in
ghrelin production and food intake in mice.
PMID- 29655654
TI - Factorial structure and familial aggregation of the Hypomania Checklist-32 (HCL
32): Results of the NIMH Family Study of Affective Spectrum Disorders.
AB - BACKGROUND: There is substantial evidence that bipolar disorder (BD) manifests on
a spectrum rather than as a categorical condition. Detection of people with
subthreshold manifestations of BD is therefore important. The Hypomania Checklist
32 (HCL-32) was developed as a tool to identify such people. PURPOSE: The aims of
this paper were to: (1) investigate the factor structure of HCL-32; (2) determine
whether the HCL-32 can discriminate between mood disorder subtypes; and (3)
assess the familial aggregation and cross-aggregation of hypomanic symptoms
assessed on the HCL with BD. PROCEDURES: Ninety-six probands recruited from the
community and 154 of their adult first-degree relatives completed the HCL-32.
Diagnosis was based on semi-structured interviews and family history reports.
Explanatory factor analysis and mixed effects linear regression models were used.
FINDINGS: A four-factor ("Activity/Increased energy,"
"Distractibility/Irritability", "Novelty seeking/Disinhibition, "Substance use")
solution fit the HCL-32, explaining 11.1% of the total variance. The
Distractibility/Irritability score was elevated among those with BP-I and BP-II,
compared to those with depression and no mood disorders. Higher HCL-32 scores
were associated with increased risk of BD-I (OR = 1.22, 95%CI 1.14-1.30). The
"Distractibility/Irritability" score was transmitted within families (beta =
0.15, p = 0.040). However, there was no familial cross-aggregation between mood
disorders and the 4 HCL factors. CONCLUSIONS: Our findings suggest that the HCL
32 discriminates the mood disorder subtypes, is familial and may provide a
dimensional index of propensity to BD. Future studies should explore the
heritability of symptoms, rather than focusing on diagnoses.
PMID- 29655656
TI - How Social, Cultural, and Economic Environments Shape Infant Feeding for Low
Income Women: A Qualitative Study in North Carolina.
AB - BACKGROUND: This study focuses on the cultural, social, and economic factors that
shape infant feeding practices among low-income mothers. OBJECTIVE: The objective
was to understand factors that inhibit or facilitate breastfeeding practices of
low-income mothers, including how they are linked to broader social, cultural,
and economic processes. DESIGN: In-depth qualitative interviews were conducted
with women about their feeding practices and food environments, including their
experiences with breastfeeding and formula feeding. PARTICIPANTS: The sample was
comprised of 98 low-income mothers with at least one child between 2 and 9 years
old at the time of interview. RESULTS: Sixteen mothers (16.7%) breastfed for 6
months, and six (6.3%) were still breastfeeding at 12 months. Only 11 mothers
(11.5%) exclusively breastfed for 6 months. Women reported several factors
influencing infant feeding: interactions with medical providers, work
environments, shared living spaces and family supports, and concerns about supply
and production. CONCLUSIONS: This research highlights the complex interplay of
economic and social barriers that shape how and what low-income women feed their
infants. The study contributes to a better understanding of the social, cultural,
and economic constraints faced by women in poverty. To improve breastfeeding
rates among low-income women, it is important to examine the impacts of poverty
and food insecurity on infant feeding practices.
PMID- 29655657
TI - The Mindful Eating Behavior Scale: Development and Psychometric Properties in a
Sample of Dutch Adults Aged 55 Years and Older.
AB - BACKGROUND: Earlier scales on mindful eating do not measure mindful eating
independent from emotional or external eating, or mindful eating in common
situations. OBJECTIVE: The objective was to develop a new instrument to measure
the attention element of mindful eating, the Mindful Eating Behavior Scale
(MEBS), and to compute the internal structure, reliabilities, and convergent
validity of this scale. DESIGN: A cross-sectional ancillary study within the
Longitudinal Aging Study Amsterdam was conducted between fall 2014 and spring
2015. PARTICIPANTS/SETTING: Participants were 1,227 Dutch adults aged 55 years
and older from the Longitudinal Aging Study Amsterdam. MAIN OUTCOME MEASURE: A
selection of 20 items from existing instruments was used to design an initial
version of the MEBS. STATISTICAL ANALYSES PERFORMED: The internal structure of
the MEBS was evaluated using an exploratory structural equation modeling approach
on half of the sample and confirmatory factor analysis on the whole sample to
develop the final version of the scale. The measurement invariance of the scores
was tested with respect to sex, age, and body mass index. Reliabilities of
subscales were determined with Cronbach's alpha. To test convergent validity, the
scores of the new scale were correlated with theoretically relevant variables.
RESULTS: Two items were deleted because of low item loadings and one item because
of high correlated uniqueness. The final confirmatory factor analysis model with
17 items and four domains (Focused Eating, Hunger and Satiety Cues, Eating with
Awareness, and Eating without Distraction) showed good fit (comparative fit
index=0.97, Tucker-Lewis index=0.96, and root mean square error of
approximation=0.04). Measurement invariance was found for sex, age, and body mass
index. Cronbach's alpha values were medium to high (.70 to .89). Most
correlations were in the expected directions, which indicated good preliminary
convergent validity. CONCLUSIONS: The MEBS was successfully developed consisting
of 17 items and four domains. Because of low interfactor correlations, a total
score combining the four domains should not be computed. The MEBS showed good
internal consistency and preliminary convergent validity in a sample of Dutch
adults aged 55 years and older.
PMID- 29655658
TI - Elucidating the Mechanisms of Action of Saponin-Derived Adjuvants.
AB - Numerous triterpenoid saponins are adjuvants that modify the activities of T
cells and antigen-presenting cells, like dendritic cells (DCs). Saponins can
induce either proinflammatory Th1/Th2 or sole anti-inflammatory Th2 immunities.
Structure-activity relationships (SARs) have shown that imine-forming carbonyl
groups are needed for T cell activation leading to induction of Th1/Th2
immunities. While saponins having different triterpenoid aglycons and
oligosaccharide chains can activate DCs to induce Th1/Th2 immunoresponses,
fucopyranosyl residues from their oligosaccharides by binding to the DC-SIGN
receptor can bias DCs toward a sole Th2 immunity. Here we discuss the mechanisms
of action of these saponins in view of new information, which may serve as a
basis to design improved adjuvants and related drugs.
PMID- 29655659
TI - A V1143F mutation in the neuronal-enriched isoform 2 of the PMCA pump is linked
with ataxia.
AB - The fine regulation of intracellular calcium is fundamental for all eukaryotic
cells. In neurons, Ca2+ oscillations govern the synaptic development, the release
of neurotransmitters and the expression of several genes. Alterations of Ca2+
homeostasis were found to play a pivotal role in neurodegenerative progression.
The maintenance of proper Ca2+ signaling in neurons demands the continuous
activity of Ca2+ pumps and exchangers to guarantee physiological cytosolic
concentration of the cation. The plasma membrane Ca2+ATPases (PMCA pumps) play a
key role in the regulation of Ca2+ handling in selected sub-plasma membrane
microdomains. Among the four basic PMCA pump isoforms existing in mammals,
isoforms 2 and 3 are particularly enriched in the nervous system. In humans,
genetic mutations in the PMCA2 gene in association with cadherin 23 mutations
have been linked to hearing loss phenotypes, while those occurring in the PMCA3
gene were associated with X-linked congenital cerebellar ataxias. Here we
describe a novel missense mutation (V1143F) in the calmodulin binding domain (CaM
BD) of the PMCA2 protein. The mutant pump was present in a patient showing
congenital cerebellar ataxia but no overt signs of deafness, in line with the
absence of mutations in the cadherin 23 gene. Biochemical and molecular dynamics
studies on the mutated PMCA2 have revealed that the V1143F substitution alters
the binding of calmodulin to the CaM-BD leading to impaired Ca2+ ejection.
PMID- 29655660
TI - Use of the MatrixWAVETM system with dentures to establish maxillomandibular
fixation in edentulous patients.
AB - Various methods have been described to establish maxillomandibular fixation in
the treatment of fractures of atrophic, edentulous mandibles. We used the Synthes
MatrixWAVETM system (DePuy Synthes) in combination with dentures in two patients
with fractured, edentulous, atrophic mandibles. Fixation was maintained for
fractures that were not amenable to, or did not require, open reduction and
internal fixation, and the mandibles were both well-healed and had good function
at the end of treatment.
PMID- 29655661
TI - Oral rehabilitation of patients after maxillectomy. A systematic review.
AB - Patients who have maxillectomy can be rehabilitated with reconstructive surgery
or obturator prostheses with or without osseointegratable implants. To identify
studies on possible treatments in this group, we systematically searched the
Scopus, Embase, PubMed/Medline, and Cochrane databases to collect data on
patients' characteristics, radiotherapy, and results related to speech,
swallowing, mastication or diet, chewing, aesthetics, and quality of life. Of the
1376 papers found, six were included, and one other was included after an
additional search of references. A total of 252 patients were included, and of
them, 86 had reconstructive surgery, 91 were treated with obturator prostheses,
39 had reconstructive surgery or obturator prostheses associated with implants,
and 36 had reconstruction plus an obturator prosthesis. Data on radiotherapy were
incomplete. There is a lack of consensus about the indication for rehabilitation,
as the treatment must be based on the individual characteristics of each patient.
PMID- 29655663
TI - Announcements for this section should be submitted in the correct format at least
3 months before the required date of publication. This list is provided as a
service to readers; inclusion does not imply endorsement by the Hepatobiliary &
Pancreatic Diseases International.
PMID- 29655662
TI - Evaluation of low-intensity anti-coagulation with a fully magnetically levitated
centrifugal-flow circulatory pump-the MAGENTUM 1 study.
AB - BACKGROUND: The HeartMate 3 left ventricular assist system is engineered to avoid
pump thrombosis, yet bleeding complications persist. We investigated the safety
of low-intensity anti-coagulation in patients with the HeartMate 3. METHODS: The
Minimal AnticoaGulation EvaluatioNTo aUgment heMocompatibility (MAGENTUM 1) pilot
study is a prospective, single-arm study of low-intensity warfarin anti
coagulation in patients implanted with the HeartMate 3 pump. After standard
warfarin anti-coagulation (international normalized ratio [INR] 2.0 to 3.0) and
aspirin for 6 weeks post-implant, patients were transitioned to a lower INR
target range of 1.5 to 1.9. The primary end-point was a composite of survival
free of pump thrombosis, disabling stroke (modified Rankin score [MRS] >3), or
major bleeding (excluding peri-operative bleeding) with at least 6-month post
implant follow-up. Time in therapeutic range (TTR) was measured to assess anti
coagulation target efficacy using the Rosendaal method. A safety algorithm to
monitor for signs of pump thrombosis was developed and implemented. RESULTS: We
enrolled 15 patients (mean age 57.3 +/- 13.3 years), 13 men with advanced heart
failure (67% with INTERMACS Profiles 2 or 3), irrespective of therapeutic goal of
bridge-to-transplant or destination therapy. The primary end-point was met in 14
of 15 (93 +/- 6%) patients; 1 patient developed recurrent gastrointestinal
bleeding. The TTR during the reduced anti-coagulation phase (6 weeks to 6 months)
was 75.3 +/- 8.6%. No thrombotic events occurred. CONCLUSIONS: This pilot study
suggests low-intensity anti-coagulation targeting an INR between 1.5 and 1.9 is
achievable and safe with the HeartMate 3 cardiac pump in the short-term phase, 6
months post-implant. A large-scale trial is now warranted.
PMID- 29655664
TI - A retrospective investigation of abdominal visceral fat, body mass index (BMI),
and active smoking as risk factors for donor site wound healing complications
after free DIEP flap breast reconstructions.
AB - BACKGROUND: The deep inferior epigastric artery perforator (DIEP) flap is one of
the most common techniques for breast reconstruction. Body mass index (BMI) is
considered as an important predictor of donor site healing complications such as
wound dehiscence. The use of computed tomography (CT) proved to be a precise and
objective method to assess visceral adipose tissue. It remains unclear whether
quantification of visceral fat provides more accurate predictions of abdominal
wound healing complications than BMI. PATIENTS AND METHODS: A total of 97
patients with DIEP flap were retrospectively evaluated. Patients' abdominal
visceral fat (AVF) was quantified on CT angiography (CTA). The patients were
postoperatively assessed for abdominal wound healing complications. We analyzed
for the correlations between AVF, BMI, and dehiscence and established a logistic
regression model to assess the potential high-profile predictors in anatomic and
patient characteristics such as weight, smoking, and diabetes. RESULTS: We
included 97 patients, and of them, 24 patients (24.7%) had some degree of
abdominal dehiscence. No significant differences were observed between the
dehiscence group and the non-dehiscence group, except for smoking (p = 0.002). We
found a significant correlation between AVF and BMI (R = 0.282, p = 0.005), but
neither was significant in predicting donor site dehiscence. Smoking greatly
increased the likelihood of developing wound dehiscence (OR = 11.4, p = < 0.001).
CONCLUSIONS: AVF and BMI were not significant predictors of abdominal wound
healing complications after DIEP flap reconstruction. This study established
active smoking (OR = 11.4, p = < 0.001) as the significant risk factor that
contributed to the development of abdominal wound dehiscence in patients with
DIEP.
PMID- 29655665
TI - Descending genicular artery. Branching patterns and measuring parameters: A
systematic review and meta-analysis of several anatomical studies.
AB - BACKGROUND: The medial femoral condyle (MFC) flap is based on the descending
genicular artery (DGA), which is a vessel with different variations in its course
and branching patterns. Many studies have dealt with the vascular anatomy of the
MFC. However, the results of the investigations differ markedly. METHODS: The
authors performed a systematic literature search in MEDLINE for articles
published until May 2017 on the vascular anatomy of the DGA. After the screening,
23 relevant studies with a similar topic were included into this comprehensive
analysis. RESULTS: The systematic review examined the lengths and diameters of
the individual arteries with regard to the vascularized bone flap of the MFC. The
DGA is present in 94% of cases with an average length of 1.8 cm. In 63% of the
investigated cases, the DGA divides into three terminal branches. The articular
branch has an average length of 7.7 cm, the saphenous branch has a length of 10.7
cm, and the muscular branch has a length of 3.2 cm. CONCLUSION: To ensure a
secured survival of this free flap, a detailed understanding of the convoluted
vascular anatomy above the MFC is necessary. We recommend the Dubois
classification for a systematic classification of the anatomical patterns of the
DGA.We present a summary of all anatomical studies dealing with the vascular
supply to the MFC and the DGA to date.
PMID- 29655666
TI - Environmental considerations in the selection of isolation gowns: A life cycle
assessment of reusable and disposable alternatives.
AB - BACKGROUND: Isolation gowns serve a critical role in infection control by
protecting healthcare workers, visitors, and patients from the transfer of
microorganisms and body fluids. The decision of whether to use a reusable or
disposable garment system is a selection process based on factors including
sustainability, barrier effectiveness, cost, and comfort. Environmental
sustainability is increasingly being used in the decision-making process. Life
cycle assessment is the most comprehensive and widely used tool used to evaluate
environmental performance. METHODS: The environmental impacts of market
representative reusable and disposable isolation gown systems were compared using
standard life cycle assessment procedures. The basis of comparison was 1,000
isolation gown uses in a healthcare setting. The scope included the manufacture,
use, and end-of-life stages of the gown systems. RESULTS: At the healthcare
facility, compared to the disposable gown system, the reusable gown system showed
a 28% reduction in energy consumption, a 30% reduction in greenhouse gas
emissions, a 41% reduction in blue water consumption, and a 93% reduction in
solid waste generation. CONCLUSIONS: Selecting reusable garment systems may
result in significant environmental benefits compared to selecting disposable
garment systems. By selecting reusable isolation gowns, healthcare facilities can
add these quantitative benefits directly to their sustainability scorecards.
PMID- 29655667
TI - Estimating the attributable costs of hospital-acquired infections requires a
distinct categorization of cases based on time of infection.
PMID- 29655668
TI - Nonventilator hospital-acquired pneumonia: Where do we go from here?
PMID- 29655669
TI - Rate of contamination of hospital privacy curtains in a burns/plastic ward: A
longitudinal study.
AB - BACKGROUND: Since hospital patient privacy curtains can harbor bacteria, are high
touch surfaces, and are cleaned infrequently, they may be involved in pathogen
transmission. The aim of this longitudinal prospective study was to understand
curtain contamination to inform curtain hygiene protocols, thereby minimizing the
role of curtains in pathogen transmission. METHODS: Over 21 days, cultures of 10
freshly laundered curtains (8 test curtains surrounding patient beds and 2
controls in an unoccupied staff room) were taken in the Regional Burns/Plastics
Unit. Contact plates were used to sample the curtains near the edge hem where
they are most frequently touched. Microbial contamination and the presence of
methicillin-resistant Staphylococcus aureus (MRSA) were determined. RESULTS: By
day 3, test curtains showed increased microbial contamination (mean colony
forming units [CFU]/cm2 = 1.17) compared to control curtains (mean CFU/cm2 =
0.19). Test curtains became increasingly contaminated over time, with mean
CFU/cm2 for days 17 and 21 of 1.86 and 5.11, respectively. By day 10, 1/8 test
curtains tested positive for MRSA, and 5/8 were positive by day 14. CONCLUSIONS:
Patient privacy curtains became progressively contaminated with bacteria,
including MRSA. Between days 10 and 14 after being hung, curtains showed
increased MRSA positivity. This may represent an opportune time to intervene,
either by cleaning or replacing the curtains.
PMID- 29655670
TI - Wettability of hands during 15-second and 30-second handrub time intervals: A
prospective, randomized crossover study.
AB - OBJECTIVE: At present, the shortest recommended application time of alcoholic
handrubs is an application interval of 30 seconds. However, application times
shorter than 30 seconds are regularly practiced. Therefore, the aim of this study
was to investigate whether a 15-second application time achieves a comparable
wettability of hands to a 30-second handrub application. SETTING: The wettability
of 20 healthy volunteers' hands was compared after 15 seconds or 30 seconds of
application time of an ultraviolet-light-active handrub, both before and after
training in the application technique. Images of the ventral side and dorsal side
of the hands were evaluated by computer software. Both groups' outcomes were
analyzed with regard to the spread of the handrub on hands. RESULTS: There was no
difference between the wetted areas of the hands after 15 seconds or 30 seconds
of handrub application. A significant difference was observed between the wetted
areas of hands in trained volunteers compared with untrained volunteers,
irrespective of application time. CONCLUSION: Based on our results, a 15-second
application time is equal to 30-second application time in terms of wettability
of hands. The improvement of wettability after training underlines the necessity
to instruct new and untrained health care workers in hand antisepsis. Using
fluorescent handrubs may be a feasible method to control and retrain hand hygiene
techniques of long-time employees.
PMID- 29655671
TI - Overreporting healthcare-associated C. difficile: A comparison of NHSN LabID with
clinical surveillance definitions in the era of molecular testing.
AB - BACKGROUND: Clostridium difficile infection (CDI) is the most common healthcare
associated gastrointestinal infection. Hospitals are required to report cases of
healthcare facility-onset CDI (HO-CDI) using the National Healthcare Safety
Network's CDI laboratory-identified (LabID) event definition. The aim of this
study was to determine the extent of potential over-reporting due to the
exclusion of important clinical data within LabID reporting definitions. METHODS:
In 2015, retrospective chart review was performed on 212 HO-CDI cases reported
from a large urban medical center. Cases had positive polymerase chain reaction
test for the C. difficile toxin B gene from an unformed stool specimen collected
>3 days after admission and >8 weeks after most recent LabID event. Cases were
categorized into "clinical surveillance" groups: community-acquired infection,
recurrence/relapse, asymptomatic colonization, colonization with self-limited
symptoms, possible HO-CDI, and probable HO-CDI. RESULTS: Of the infections, 13.6%
were community acquired, 2.8% were recurrent/relapse, 1.9% were asymptomatic
colonization, 18.4% were symptomatic colonization, 38.7% were possible HO-CDI,
and 24.5% were probable HO-CDI. Within 24 hours of testing, 34.1% of patients had
received a stool softener and/or laxative. CONCLUSIONS: Laxative use and failure
to identify community-onset infection may contribute to misclassification of HO
CDI. Only 62% of reported cases met clinical surveillance criteria.
PMID- 29655672
TI - Effect of pulsed xenon ultraviolet room disinfection devices on microbial counts
for methicillin-resistant Staphylococcus aureus and aerobic bacterial colonies.
AB - BACKGROUND: Inadequate environmental disinfection represents a serious risk for
health care-associated infections. Technologic advancements in disinfection
practices, including no-touch devices, offer significant promise to improve
infection control. We evaluated one such device, portable pulsed xenon
ultraviolet (PX-UV) units, on microbial burden during an implementation trial
across 4 Veterans Affairs hospitals. METHODS: Environmental samples were
collected before and after terminal room cleaning: 2 facilities incorporated PX
UV disinfection into their cleaning protocols and 2 practiced manual disinfection
only. Specimens from 5 high-touch surfaces were collected from rooms harboring
methicillin-resistant Staphylococcus aureus (MRSA) or aerobic bacteria colonies
(ABC). Unadjusted pre-post count reductions and negative binomial regression
modeled PX-UV versus manual cleaning alone. RESULTS: Seventy samples were
collected. Overall, PX-UV reduced MRSA and ABC counts by 75.3% and 84.1%,
respectively, versus only 25%-30% at control sites. Adjusting for baseline
counts, manually cleaned rooms had significantly higher residual levels than PX
UV sites. Combined analyses revealed an incident rate ratio of 5.32 (P = .0024),
with bedrails, tray tables, and toilet handrails also showing statistically
superior PX-UV disinfection. CONCLUSIONS: This multicenter study demonstrates
significantly reduced disinfection across several common pathogens in facilities
using PX-UV devices. Clinical impact of laboratory reductions on infection rates
was not assessed, representing a critical future research question. However, such
approaches to routine cleaning suggest a practical strategy when integrated into
daily hospital operations.
PMID- 29655674
TI - Analysis of microRNA expression in the thymus of Myasthenia Gravis patients opens
new research avenues.
AB - In early-onset Myasthenia Gravis (MG) with anti-acetylcholine receptor
antibodies, thymic abnormalities associated with ectopic germinal centers are
frequent. miRNAs by acting as post-transcriptional regulators are involved in
autoimmunity. To investigate the implication of miRNAs in thymic changes
associated with early-onset MG, we performed a miRnome study and data were
analyzed with different approaches. miRNAs of interest were further investigated
by RT-PCR and transfection experiments for functional tests. First, analyzing
specific dysregulated miRNAs, we focused our attention on miR-7-5p and miR-125a
5p, and confirmed by RT-PCR their respective down- and up-regulation in MG
thymuses. miR-7 was the most down-regulated thymic miRNA in MG and we observed an
inverse correlation between its expression and CCL21 mRNA expression. We next
showed that miR-7 down-regulation was due to thymic epithelial cells and by
transfecting these cells with miR-7, we demonstrated that it controlled CCL21
release. As CCL21 is essential for germinal center development, we suggested that
miR-7 could be involved in thymic changes associated with MG. miR-125a was up
regulated in MG thymuses and is of great interest as it is known to regulate
FoxP3 expression, and to modulate the different inflammatory signaling pathways.
Thanks to this thymic miRnome study, we also showed the specific dysregulation of
miRNA clusters. In particular, we observed that miRNAs localized at the extremity
of the X chromosome were down-regulated. This effect seemed linked to their close
localization to the fragile X mental retardation 1 gene (FMR1) and the DNA
methylation status. Altogether, this miRnome analysis demonstrated that specific
thymic miRNAs can be associated with MG and provides novel insights into the
pathogenesis of MG.
PMID- 29655673
TI - Macrophages: The Road Less Traveled, Changing Anticancer Therapy.
AB - Macrophages are present in all vertebrate tissues and have emerged as
multifarious cells with complex roles in development, tissue homeostasis, and
disease. Macrophages are a major constituent of the tumor microenvironment, where
they either promote or inhibit tumorigenesis and metastasis depending on their
state. Successful preclinical strategies to target macrophages for anticancer
therapy are now being evaluated in the clinic and provide proof of concept that
targeting macrophages may enhance current therapies; however, clinical success
has been limited. This review discusses the promise of targeting macrophages for
anticancer therapy, yet highlights how much is unknown regarding their ontogeny,
regulation, and tissue-specific diversity. Further work might identify subsets of
macrophages within different tissues, which could reveal novel therapeutic
opportunities for anticancer therapy.
PMID- 29655675
TI - Eupatilin suppresses the allergic inflammatory response in vitro and in vivo.
AB - INTRODUCTION: Eupatilin, a pharmacologically active ingredient found in Artemisia
asiatica, has been reported to have anti-oxidative, anti-inflammatory, and anti
apoptotic activities. However, molecular mechanisms underlying its anti-allergic
properties are not yet clear. In this study, we investigated the effects of
eupatilin on allergic inflammation in phorbol 12-myristate 13-acetate plus
calcium ionophore A23187 (PMACI)-stimulated human mast cells and a compound 48/80
induced anaphylactic shock model. METHODS: Cytokine assays, histamine assays,
quantitative real-time polymerase chain reaction analysis, western blot analysis
and compound 48/80-induced anaphylactic shock model were used in this study.
RESULTS: Eupatilin significantly suppresses the expression and production of pro
inflammatory cytokines, such as interleukin (IL)-1beta, tumor necrosis factor
(TNF)-alpha, and IL-6 in vitro and in vivo. In addition, eupatilin inhibits
nuclear factor kappa B (NF-kappaB) activation by regulating the phosphorylation
and degradation of IkappaBalpha via the Akt/IKK(alpha/beta) pathway. Eupatilin
treatment also attenuates the phosphorylation of p38, ERK, and JNK MAPKs.
Furthermore, eupatilin blocked anaphylactic shock and decreased the release of
histamine. CONCLUSIONS: Anti-allergic inflammation may involve the expression and
production of regulating pro-inflammatory cytokines via Akt/IKK(alpha/beta) and
MAPK activation of NF-kappaB. On the basis of these data, eupatilin is a
potential candidate for the treatment of allergic diseases.
PMID- 29655676
TI - Protective effect of Juglans regia L. against ultraviolet B radiation induced
inflammatory responses in human epidermal keratinocytes.
AB - BACKGROUND: Juglans regia L. has a history of traditional medicinal use for the
treatment of various maladies and have been documented with significant
antioxidant and antiinflammatory properties. Although all parts of the plant are
medicinally important, but male the flower of the plant has not been yet
investigated against the photo-damage. PURPOSE: The present study, we sought to
determine the photoprotective effect of the male flower of J. regia L. against
ultraviolet-B radiation-induced inflammatory responses in human skin cells.
METHODS: The profile of pharmacological active compounds present in the male
flower of J. regia was analyzed by GC-MS. Then, the antioxidant property of
methanolic extract of J. regia (MEJR) was analyzed by in vitro free radical
scavenging assays. Further, we analyzed the sun protection factor of this extract
by spectrophotometry. Moreover, we investigated the photoprotective effect of
MEJR against UVB induced inflammatory signaling in human epidermal cells. Human
skin epidermal keratinocytes (HaCaT) were pretreated with the MEJR (80 ug/ml), 30
min prior to UVB-irradiation at a dose of 20 mJ/cm2 and were investigated for
lipid peroxidation, enzymatic antioxidants activity, apoptosis and inflammatory
markers expression level. RESULTS: The GC-MS results showed the presence of good
amount of pharmacologically active compounds in the MEJR. We observed that the
MEJR possess significant free radical scavenging activity and it was comparable
with standard antioxidants. Further, the MEJR exhibits 8.8 sun-protection-factor
(SPF) value. Pretreatment with MEJR, 30 min prior to UVB-irradiation, prevented
ROS generation, lipid peroxidation and restored the activity of antioxidant
status in HaCaT cells. Moreover, MEJR pretreatment significantly prevented UVB
activated inflammatory markers like TNF-alpha, IL-1, IL-6, NF-kappaB, COX-2 in
HaCaT. CONCLUSION: The present findings suggest that MEJR exhibit photoprotective
effects and hence it may be useful for the treatment of inflammation related
responses. The pharmacological mechanism of MEJR partly associated with its UV
absorbance, modulation of inflammatory signaling as well as due to its free
radical scavenging capability.
PMID- 29655677
TI - An in vitro and in vivo study of a 4-herb formula on the management of diet
induced metabolic syndrome.
AB - BACKGROUND: Metabolic syndrome is the cluster of risk factors that leads to
increased episodes of cardiovascular disease (CVD). These risk factors include
but are not limited to obesity, non-alcoholic fatty liver (NAFLD), dyslipidemia,
and type 2 diabetes. Since the pathogenesis of metabolic syndrome has multiple
metabolic origins, there is no single treatment for it. Pharmacological
approaches consist of separate drugs which target at individual risk factors
which pose various side effects. Functional foods or nutraceuticals which have
potentially important anti-obesity properties have thus attracted great
attention. Schisandrae Fructus is a Chinese herb traditionally used as a liver
tonic. Silymarin, an extract of the milk thistle (Silybum marianum), is a dietary
supplement that is widely used in western society for the prevention and
treatment of liver problems. Crataegus Fructus (hawthorn) is traditionally used
to promote digestion and dissipate food stagnation. Momordica charantia (bitter
melon) is traditionally used for treatment of diabetes in Ayurvedic Medicine.
HYPOTHESIS/PURPOSE: We aimed to develop a multi-targeted herbal formula to target
on the multiple risk factors of metabolic syndrome using individual herbs. This
proposed herbal formula include sylimarin and Schisandrae Fructus, for NAFLD;
Crataegus Fructus for obesity and hyperlipidemia; and Momordica charantia for
hyperglycemia. STUDY DESIGN AND METHODS: For in vitro study, we carried out
insulin-induced 3T3-L1 adipocytes differentiation and fluorescent tagged
cholesterol-treated Caco-2 cell assay to study for adipogenesis and cholesterol
uptake into Caco-2 cells, respectively. Oleic acid-induced HepG2 cell assay was
used to study for oleic acid-induced fatty liver, and brush border membrane
vesicles (BBMV) assay was used to study for glucose uptake from the gut. For in
vivo study, we performed an 8-week and a 12-week treatment studies, with each
study comprising of 4 groups of C57Bl/6 male mice given: (i) Normal-chow diet;
(ii)-(iv) High-fat diet (contains 21% fat and 0.15% cholesterol). After the
initial 8 weeks of normal chow or high-fat diet feeding to induce obesity,
animals were given: (i) Normal-chow diet; (ii) High-fat diet; (iii) High-fat diet
+ 2% herbal formula; or (iv) High-fat diet + 4% herbal formula as treatment for
another 8 weeks or 12 weeks. RESULTS: Our in vitro results suggested Crataegus
Fructus aqueous extract exerted potent inhibitory effects on 3T3-L1 preadipocytes
differentiation and cholesterol uptake into Caco-2 cells. Schisandrae Fructus
aqueous extract and milk thistle exerted inhibitory effects on oleic acid-induced
fatty liver in HepG2 cells. Momordica charantia extract on the other hand,
exerted significant inhibitory effect on glucose uptake into BBMV. Our in vivo
results showed that our herbal formula exhibited a trend to reduce diet-induced
increase in body weight and fat pad mass (epididymal, perirenal and inguinal
fat); and significantly reduced diet-induced increase in liver weight, liver
lipid, and plasma lipid dose-dependently. Besides, high-fat diet induced a
significant reduction in adiponectin level which was significantly improved by
herbal formula supplementation at 4%. There was however no significant effect of
the herbal formula on diet-induced increase in plasma glucose or insulin levels
at either dose. Herbal formula also significantly reduced diet-induced
inflammation in the liver at both doses. CONCLUSIONS: Taken together, these data
suggested the potential of our novel multi-targeted herbal formula to be used as
a therapeutic agent for diet-induced metabolic syndrome, with special emphasis on
NAFLD.
PMID- 29655678
TI - Anti-inflammatory and antioxidant activities of naringin isolated from Carissa
carandas L.: In vitro and in vivo evidence.
AB - BACKGROUND: Carissa carandas L. is known in folk medicine for its anti
inflammatory and hepatoprotective activities. Meanwhile it is an evergreen shrub
that constitutes a continuous source of leaves throughout the year.
HYPOTHESIS/PURPOSE: The leaves of Carissa carandas L. may be rich in compounds
that can be used as safe anti-inflammatory and antioxidant remedies. The combined
antioxidant and anti-inflammatory activities provoked the study of the
hepatoprotective effects. STUDY DESIGN: To isolate major constituents from the
leaves of Carissa carandas L. and test their anti-inflammatory and antioxidant
activities in-vivo and in-vitro. METHODS: The leaves of Carissa carandas L. were
extracted with 80% MeOH and then defatted with CHCl3 to yield Carissa carandas
defatted extract (CCDE). The extract was chemoprofiled using UPLC-MS/MS to stand
for major constituents, then subjected to different chromatographic separation
steps and naringin (NG) was isolated in a high yield. The anti-inflammatory
activity of NG was investigated in-vivo by carrageenan induced hind rat paw edema
model at two dose levels (50 and 25 mg/kg). The anti-inflammatory activity was
also evaluated in-vitro by measuring its inhibitory effect on LPS induced release
of NO from RAW 264.7 macrophages. The antioxidant activity was evaluated by
superoxide and DPPH radical scavenging ability. The safety of NG was tested
against primary rat hepatocytes. The hepatoprotective effect of CCDE was
evaluated by detecting its effects on serum liver function markers and liver cell
oxidative stress markers. RESULTS: NG exhibited potent inhibition of inflammation
as compared to indomethacin (20 mg/kg). NG inhibited LPS induced release of NO
from macrophages (IC50, 6.4 MUM). NG showed significant antioxidant activity as
it scavenged the superoxide radical (EC90, 10.95 MUM) and DPPH radical (EC50,
11.2 MUM). CCDE inhibited the elevation of the serum liver marker enzymes and
increased GSH and decreased MDA contents in the liver homogenate. Liver
histopathology supported the biochemical findings. CONCLUSION: C. carandas has
potent anti-inflammatory, antioxidant and hepatoprotective activities.
PMID- 29655679
TI - The extract of Polygoni Cuspidati Rhizoma et Radix suppresses the vascular
endothelial growth factor-induced angiogenesis.
AB - BACKGROUND: Polygoni Cuspidati Rhizoma et Radix (PCRR; the root and rhizome of
Polygonum cuspidatum Sieb. et Zucc) is a traditional Chinese medicine for the
treatment of inflammation, hyperlipemia, favus, jaundice and scald.
HYPOTHESIS/PURPOSE: The extract of PCRR inhibits vascular endothelial growth
factor (VEGF)-induced angiogenesis. The hypothesis is supported by analysis of
PCRR extract and investigation of pharmacological role and signaling mechanism of
PCRR extract in regulating angiogenic responses. STUDY DESIGN: The PCRR ethanolic
extract was examined for its inhibitory effects on angiogenesis based on VEGF
treated human umbilical vein endothelial cells and in zebrafish model METHODS:
The effects and signaling mechanism of a standardized ethanolic extract of PCRR
were tested on cell proliferation, migration and tube formation in VEGF-treated
human umbilical vein endothelial cells, and which was further validated in
zebrafish embryo model. RESULTS: The treatment of PCRR extract in cultured
endothelial cells inhibited VEGF-induced cell proliferation, cell migration and
tube formation in a dose-dependent manner and also suppressed the formation of
sub-intestinal vessels in zebrafish embryos. Moreover, the applied PCRR extract
suppressed VEGF-induced phosphorylations of VEGF receptor 2 (VEGFR2) and JNK.
Thus, the site of effect triggered by PCRR was proposed to be mediated by VEGFR2.
To further support this notion, the phosphorylations of Erk, Akt and eNOS,
induced by VEGF, were markedly reduced under the challenge of PCRR extract: the
reductions were subsequently further decreased in the present of inhibitors of
Erk, Akt and eNOS. In parallel, the formation of ROS induced by VEGF in cultured
endothelial cells was markedly reduced in the present of PCRR extract.
CONCLUSION: Collectively, our studies demonstrated the pharmacological role and
signaling mechanism of PCRR in regulation of angiogenic responses, which
supported further evaluation and development of PCRR as a potential therapeutic
agent for the treatment and prevention of diseases related with angiogenesis.
PMID- 29655680
TI - Panduratin A induces protective autophagy in melanoma via the AMPK and mTOR
pathway.
AB - BACKGROUND: Targeting autophagy is emerging as a promising strategy in cancer
therapeutics in recent years. Autophagy can be modulated to drive cancer cell
deaths that are notoriously resistant to apoptotic-inducing drugs. In addition,
autophagy has been implicated as a prosurvival mechanism in mediating cancer
chemoresistance. Our previous study has demonstrated that Panduratin A (PA), a
plant-derived active compound exploits ER-stress-mediated apoptosis as its
cytotoxic mechanism on melanoma. PURPOSE: Our previous proteomics analysis
revealed that treatment with PA resulted in the upregulation of an autophagy
marker, LC3B in melanoma cells. Therefore, the present study sought to
investigate the role of PA-induced autophagy in melanoma cells. METHODS:
Transmission electron microscopy was performed for examination of autophagic
ultra-structures in PA-treated A375 cells. Cytoplasmic LC3B and p62/SQSMT1
punctate structures were detected using immunofluorescene staining. Expression
levels of LC3B II, p62/SQSMT1, ATG 12, Beclin 1, phospho S6 (ser235/236), phospho
AMPK (Thr172) and cleaved PARP were evaluated by western blotting. RESULTS:
Autophagosomes, autolysosomes and punctuates of LC3 proteins could be observed in
PA-treated A375 cells. PA-induced autophagy in A375 melanoma cells was found to
be mediated through the inhibition of mTOR signaling and activation of AMPK
pathway. Furthermore, we showed that PA-induced apoptosis was increased in the
presence of an autophagy inhibitor, signifying the cytoprotective effect of PA
induced autophagy in melanoma cells. CONCLUSION: Taken together, results from the
present study suggest that the inhibition of autophagy by targeting mTOR and AMPK
could potentiate the cytotoxicity effects of PA on melanoma cells.
PMID- 29655681
TI - Anti-inflammatory activity of Khayandirobilide A from Khaya senegalensis via NF
kappaB, AP-1 and p38 MAPK/Nrf2/HO-1 signaling pathways in lipopolysaccharide
stimulated RAW 264.7 and BV-2 cells.
AB - BACKGROUND: Immunocytes-involved inflammation is considered to modulate the
damage in various diseases. Herein, novel therapeutics suppressing over
activation of immunocytes could prove an effective strategy to prevent
inflammation-related diseases. PURPOSE: The objective of this study is to
evaluate the anti-inflammatory activity of Khayandirobilide A (KLA), a new
andirobin-type limonoid with modified furan ring isolated from the Khaya
senegalensis (Desr.) A. Juss., and to explore its potential underlying mechanisms
in LPS-stimulated inflammatory models. METHODS: The structure of KLA was
elucidated on the basis of 1D- and 2D-NMR spectroscopic data as well as HR-ESI
MS. As for its anti-inflammatory effect, the production of pro-inflammatory
mediators and cytokines in LPS-stimulated RAW 264.7 and BV-2 cells were measured
by Griess reagent, ELISA and qRT-PCR. The relevant proteins including nuclear
factor kappaB (NF-kappaB), p-AKT, p-p38 and Nrf2/HO-1 were investigated by
western blot. Nuclear localisations of NF-kappaB, activator protein-1 (AP-1) and
Nrf2 were also examined by western blot and immunofluorescence. RESULTS: KLA
could inhibit the production of LPS-induced NO with IC50 values of 5.04 +/- 0.14
uM and 4.97 +/- 0.5 uM in RAW 264.7 and BV-2 cells, respectively. KLA also
attenuated interleukin-6 (IL-6), inducible nitric oxide synthase (iNOS) and
cyclooxygenase-2 (COX-2) at the protein and mRNA levels. Further mechanistic
studies demonstrated the activation of NF-kappaB and AP-1 were reduced by KLA.
Moreover, KLA elevated expression of heme oxygenase-1(HO-1) via inducing Keap1
autophagic degradation and promoting Nrf2 nuclear translocation. Despite KLA
induced the phosphorylation of mitogen-activated protein kinases (MAPKs) family,
inhibiting the phosphorylation of p38 by its specific inhibitor SB203580
attenuated the degradation of KLA-induced Keap1, and then reduced KLA-induced
Nrf2 nuclear translocation and HO-1 expression. Furthermore, SB203580, Brusatol
(a Nrf2 specific inhibitor) and ZnPP (a HO-1 specific inhibitor) could partly
reverse the suppressive effects of KLA on LPS-induced NO production and mRNA
levels of pro-inflammatory genes. CONCLUSION: These data displayed that KLA
possessed anti-inflammatory activity, which was attributed to inhibit the release
of LPS-stimulated inflammatory mediators via suppressing the activation of NF
kappaB, AP-1, and upregulating the induction of p38 MAPK/Nrf2-mediated HO-1.
PMID- 29655682
TI - Pristimerin, a naturally occurring triterpenoid, attenuates tumorigenesis in
experimental colitis-associated colon cancer.
AB - BACKGROUND: Pristimerin is a quinonemethide triterpenoid with anti-cancer, anti
angiogenic, anti-inflammatory and anti-protozoal activity. However, the
therapeutic role of pristimerin in colitis-associated colorectal carcinogenesis
is unknown. PURPOSE: We sought to examine the therapeutic effects of pristimerin
on colitis-associated colon cancer induced in mice using azoxymethane
(AOM)/dextran sulfate sodium (DSS). The goal was to identify the potential
mechanism of action underlying the pharmacological activity of pristimerin.
METHODS: BALB/c mice were injected with AOM and administered 2% DSS in drinking
water. The mice were fed with a diet supplemented with pristimerin (1 to 5 ppm),
and colonic tissue was collected at 64 days. The inflammatory status of the colon
was assessed by determining the levels of cyclooxygenase-2, inducible nitric
oxide synthase and pro-inflammatory cytokines using Western blotting,
immunohistochemistry and real-time RT-PCR analyses. Markers of proliferation
(proliferating cell nuclear antigen) and apoptosis (TUNEL) were identified in the
colon tissues immunohistochemically. The levels of cell cycle-, apoptosis-, and
signaling-related proteins were detected by Western blot in colon tissues.
RESULTS: Administration of pristimerin significantly reduced the formation of
colonic tumors. Western blot and immunohistological analyses revealed that
dietary pristimerin markedly reduced NF-kappaB-positive cells and levels of
inflammation-related proteins in colon tissue. Pristimerin also reduced cell
proliferation, induced apoptosis, and decreased the phosphorylation of AKT and
FOXO3a in colon tissue. CONCLUSION: Pristimerin administration decreased
inflammation and proliferation induced by AOM/DSS in colon tissue. It also
induced apoptosis and regulated the AKT/FOXO3a signaling pathway. Overall, this
study indicates the potential value of pristimerin in suppressing colon
tumorigenesis.
PMID- 29655683
TI - Bronchodilator effects of Lignosus rhinocerotis extract on rat isolated airways
is linked to the blockage of calcium entry.
AB - BACKGROUND: Lignosus rhinocerotis (Cooke) Ryvarden is a popular medicinal
mushroom used for centuries in Southeast Asia to treat asthma and chronic cough.
The present study aimed to investigate the effect of this mushroom on airways
patency. MATERIALS AND METHODS: The composition of L. rhinocerotis TM02 cultivar
was analyzed. Organ bath experiment was employed to study the bronchodilator
effect of Lignosus rhinocerotis cold water extract (CWE) on rat isolated airways.
Trachea and bronchus were removed from male Sprague-Dawley rats, cut into rings
of 2 mm, pre-contracted with carbachol before adding CWE into the bath in
increasing concentrations. To investigate the influence of incubation time,
tissues were exposed to intervals of 5, 15 and 30 min between CWE concentrations
after pre-contraction with carbachol in subsequent protocol. Next, tissues were
pre-incubated with CWE before the addition of different contractile agents,
carbachol and 5-hydroxytrptamine (5-HT). The bronchodilator effect of CWE was
compared with salmeterol and ipratropium. In order to uncover the mechanism of
action of CWE, the role of beta-adrenoceptor, potassium and calcium channels was
investigated. RESULTS: Composition analysis of TM02 cultivar revealed the
presence of beta-glucans and derivatives of adenosine. The extract fully relaxed
the trachea at 3.75 mg/ml (p < 0.0001) and bronchus at 2.5 mg/ml (p < 0.0001). It
was observed that lower concentrations of CWE were able to fully relax both
trachea and bronchus but at a longer incubation interval between concentrations.
CWE pre-incubation significantly reduced the maximum responses of carbachol
induced contractions (in both trachea, p = 0.0012 and bronchus, p = 0.001), and 5
HT-induced contractions (in trachea, p = 0.0048 and bronchus, p = 0.0014).
Ipratropium has demonstrated a significant relaxation effect in both trachea (p =
0.0004) and bronchus (p = 0.0031), whereas salmeterol has only affected the
bronchus (p = 0.0104). The involvement of beta2-adrenoceptor and potassium
channel in CWE-mediated airway relaxation is ruled out, but the bronchodilator
effect was unequivocally affected by influx of calcium. CONCLUSIONS: The
bronchodilator effect of L. rhinocerotis on airways is mediated by calcium
signalling pathway downstream of Galphaq-coupled protein receptors. The airway
relaxation effect is both concentration- and incubation time-dependent. Our
findings provide unequivocal evidence to support its traditional use to relieve
asthma and cough.
PMID- 29655684
TI - Protective role and mechanism of snakegourd peel against myocardial infarction in
rats.
AB - BACKGROUND: Injection of snakegourd peel (SP), an herb used in traditional
Chinese medicine, is used to treat coronary artery disease and stable angina in
China. However, its therapeutic role and mechanism of action for the treatment of
myocardial infarction (MI) is not fully understood. PURPOSE: The present study
was designed to investigate the effect of SP on MI-induced cardiac injury and
elucidate its underlying molecular mechanisms. METHODS: To create an in vivo
model of MI, we ligated the left coronary artery of Wistar rats. For our in vitro
model of MI, we treated primary neonatal rat ventricular myocytes with hypoxia.
Myocardial infarct size was measured by triphenyltetrazolium chloride (TTC)
staining. Intracellular calcium concentration (Ca2+) was measured by confocal
microscopy, and cardiomyocyte apoptosis was assessed by TUNEL assay. Western blot
was applied to determine protein levels. RESULTS: Three days post-MI, SP
significantly improved MI-induced impairment of cardiac function, as indicated by
increased left ventricular systolic pressure (LVSP), maximum rate of left
ventricular pressure rise and fall (+/- dp/dt max), and decreased left
ventricular end-diastolic pressure (LVEDP). In addition, SP treatment markedly
reduced the infarct size and serum lactate dehydrogenase (LDH) activity;
inhibited cardiomyocyte apoptosis and Caspase-3 activation both in vivo and in
vitro; and decreased intracellular calcium overload, Cav1.2, phosphorylated JNK
(p-JNK), and p38 MAPK (p-p38 MAPK) levels in ischemic myocardium. CONCLUSION: SP
alleviated cardiac ischemic injury and inhibited cardiomyocyte apoptosis by
attenuating intracellular calcium overload, suppressing Caspase-3 activation, and
downregulating protein expression of p-JNK and p-p38MAPK. These results suggest
that SP may serve as a potential novel therapeutic drug for MI.
PMID- 29655685
TI - Polygonum aviculare L. extract reduces fatigue by inhibiting neuroinflammation in
restraint-stressed mice.
AB - BACKGROUND: Chronic fatigue patients experience various neuropsychological
symptoms, including fatigue behaviors, chronic pain, and depression. They also
display immune system dysregulation. Polygonum aviculare L. extract (PAE) is a
traditional herbal medicine used to treat inflammatory diseases by reportedly
decreasing pro-inflammatory cytokine production. HYPOTHESIS/PURPOSE: We
hypothesized that the anti-inflammatory properties of PAE would attenuate fatigue
symptoms in a mouse model of restraint stress. STUDY DESIGN: We evaluated the
effects of PAE on fatigue using three experimental groups: unstressed, vehicle
treated stressed, and PAE-treated stressed mice. This restraint stress paradigm,
comprised of restraint for 3 h daily for 15 days, was used to model chronic
fatigue. METHODS: We compared lethargy-like behavior between our experimental
groups using forced-swim, sucrose preference, and open-field tests once per week
on days 7 and 14 of restraint stress. We also used histology and western blotting
to evaluate pro-inflammatory cytokine expression in the brain and serum, and
microglial activation in the brain. Finally, we used liquid chromatography/mass
spectroscopy (LC/MS) to identify individual components of PAE, and applied cell
culture techniques to test the effects of these components on neuronal cells in
vitro. RESULTS: In restraint-stressed mice, PAE treatment decreased lethargy-like
behavior relative to vehicle-treated animals. PAE treatment also reduced
expression of fatigue-related factors such as corticosterone, serotonin, and
catecholamines (adrenaline and noradrenaline) in the brain and serum, and
decreased expression of CD68, Ibal-1, and the inflammatory cytokines TNF-alpha,
IL-6, and IL-1beta in the brain. Together, these data indicate that PAE reduced
fatigue and is anti-inflammatory. Furthermore, histopathological analyses
indicated that PAE treatment recovered atrophic volumes and hepatic injuries.
Finally, LC/MS analysis of PAE identified four individual chemicals: myricitrin,
isoquercitrin, avicularin, and quercitrin. In neuronal cell cultures, treatment
with these PAE components inhibited TNF-alpha production, confirming that PAE
treatment reduces neuroinflammation. CONCLUSIONS: PAE treatment may reduce
fatigue by suppressing neuroinflammation and the expression of fatigue-related
hormones.
PMID- 29655686
TI - Physakengose G induces apoptosis via EGFR/mTOR signaling and inhibits autophagic
flux in human osteosarcoma cells.
AB - BACKGROUND: Physakengose G (PG) is a new compound first isolated from Physalis
alkekengi var. franchetii, an anticarcinogenic traditional Chinese medicine. PG
has shown promising anti-tumor effects, but its underlying mechanisms remain
unknown. PURPOSE: To investigate the anti-cancer effects of PG on human
osteosarcoma cells and the underlying mechanisms. METHODS: Cell viability was
measured by MTT assay. Apoptosis rates, mitochondrial membrane potential (MMP),
reactive oxygen species (ROS) generation, and acidic vesicular organelles (AVOs)
formation were determined by flow cytometry. Protein levels were analyzed by
immunofluorescence and western blotting. RESULTS: PG inhibited cell proliferation
and induced apoptosis in human osteosarcoma cells. PG treatment blocked EGFR
phosphorylation and suppressed epidermal growth factor (EGF)-induced activation
of downstream signaling molecules, such as AKT and mTOR. PG treatment resulted in
lysosome dysfunction by altering lysosome acidification and LAMP1 levels, which
led to autophagosome accumulation and autophagic flux inhibition. CONCLUSION: PG
inhibits cell proliferation and EGFR/mTOR signaling in human osteosarcoma cells.
Moreover, PG induces apoptosis through the mitochondrial pathway and impedes
autophagic flux via lysosome dysfunction. Our findings indicate that PG has the
potential to play a significant role in the treatment of osteosarcoma.
PMID- 29655687
TI - The inhibitory potential of Broussochalcone A for the human cytochrome P450 2J2
isoform and its anti-cancer effects via FOXO3 activation.
AB - BACKGROUND: Broussonetia papyrifera (L.) Ventenat, a traditional medicinal herb,
has been applied as a folk medicine to treat various diseases. Broussochalcone A
(BCA), a chalcone compound isolated from the cortex of Broussonetia papyrifera
(L.) Ventenat, exhibits several biological activities including potent anti
oxidant, antiplatelet, and cytotoxic effects. PURPOSE: The purpose of this study
is to elucidate the inhibitory effect of BCA against CYP2J2 enzyme which is
predominantly expressed in human tumor tissues and carcinoma cell lines. STUDY
DESIGN: The inhibitory effect of BCA on the activities of CYP2J2-mediated
metabolism were investigated using human liver microsomes (HLMs), and its anti
cancer effect against human hepatoma HepG2 cells was also evaluated. METHODS: Two
representative CYP2J2-specific probe substrates, astemizole and ebastine, were
incubated in HLMs with BCA. After incubation, the samples were analyzed using
liquid chromatography-tandem mass spectrometry. To investigate the binding model
between BCA and CYP2J2, we carried out structure-based docking simulations by
using software and scripts written in-house. RESULTS: BCA inhibited CYP2J2
mediated astemizole O-demethylation and ebastine hydroxylase activities in a
concentration dependent manner with Ki values of 2.3 and 3.7 uM, respectively. It
also showed cytotoxic effects against human hepatoma HepG2 cells in a dose
dependent manner with activation of apoptosis related proteins. CONCLUSION:
Overall, this was the first report of the inhibitory effects of BCA on CYP2J2 in
HLMs. The present data suggest that BCA is a potential candidate for further
evaluation for its CYP2J2 targeting anti-cancer activities.
PMID- 29655688
TI - Novel RAS inhibitor 25-O-methylalisol F attenuates epithelial-to-mesenchymal
transition and tubulo-interstitial fibrosis by selectively inhibiting TGF-beta
mediated Smad3 phosphorylation.
AB - BACKGROUND: Tubulo-interstitial fibrosis (TIF) is the common pathway in the
chronic kidney disease (CKD). Epithelial-to-mesenchymal transition (EMT) is a
major contributor to the TIF by the increased myofibroblasts. Renin-angiotensin
system (RAS) is critical mediator on EMT in progressive CKD. Angiotensin II (ANG)
mediates EMT and causes TIF by stimulating transforming growth factor-beta1 (TGF
beta1). RAS activation could further activate TGF-beta1. Inhibition of the RAS is
one of the most powerful therapies for progressive CKD. 25-O-methylalisol F (MAF)
is a new tetracyclic triterpenoid compound isolated from the Alismatis rhizoma,
which is extensively used for anti-hypertensive, diuretic and anti-hyperlipidemic
effects. METHODS: Inhibitory effect of MAF on EMT is investigated in both TGF
beta1- and ANG-induced tubular epithelial cells (NRK-52E) and fibroblasts (NRK
49F). Western blot analysis, qRT-PCR, siRNA, immunofluorescence staining and co
immunoprecipitation techniques were used to evaluate the inhibition of MAF on EMT
and further revealed the intervention effects on RAS, TGF-beta/Smad and Wnt/beta
catenin pathways. RESULTS: MAF treatment significantly inhibited TGF-beta1 and
ANG-induced expressions of collagen I, fibronectin, alpha-SMA, vimentin and E
cadherin at both mRNA and protein levels in the NRK-52E and NRK-49F cells. The
action mechanism revealed that MAF significantly ameliorated upregulation of
angiotensinogen, renin, ACE and AT1R expressions. Further, MAF attenuated
upregulation of Smad3 phosphorylation and downregulation of Smad7, but did not
affect the phosphorylation of Smad2, PI3K, ERK1/2 and p38 expressions and Smad4
expression in NRK-52E cells. Co-immunoprecipitation analysis indicated that MAF
selectively blocked the combination of Smad3 with TGFbetaRI and Smad3 with SARA
without interfering with the Smad2, TGFbetaRI and SARA interaction. Additionally,
MAF suppressed the expressions of Wnt1 and beta-catenin as well as its downstream
target Snail1, Twist, MMP-7, PAI-1 and FSP1 expressions in NRK-52E cells.
CONCLUSIONS: MAF simultaneously targeted multiple RAS components and it was a
novel RAS inhibitor. MAF inhibited EMT by Smad3-specific signaling in the TGF
beta/Smad-dependent pathway and Wnt/beta-catenin pathway. MAF has an important
effect on crosstalk between the TGF-beta/Smad and Wnt/beta-catenin pathway in EMT
process by activation of RAS.
PMID- 29655689
TI - European regulation model for herbal medicine: The assessment of the EU monograph
and the safety and efficacy evaluation in marketing authorization or registration
in Member States.
AB - BACKGROUND: The European Union (EU) has created a regulatory framework for herbal
medicinal products (HMPs) since the enforcement of Directive 2004/24/EC.
Substantial achievements have been made, with 1719 traditional use marketing
registrations (TURs) and 859 well-established use marketing authorizations (WEU
MAs) for HMPs granted by the end of 2016. Apparently, the European regulation
model has worked out well and in that the essential feature is the use of EU
herbal monographs into those granted WEU-MAs and TURs. PURPOSE: A systematic
analysis of the European regulation model for HMPs and the EU herbal monograph's
part of this model are undertaken to assist understanding of the EU legislation
particularly for interested parties those from outside EU area, and afterwards,
to help in decision-making in the HMPs registration in European market for
pharmaceutical companies, as well as in the establishment of legislation in
countries with strong traditional use of herbal remedies. METHODS: A search of
PubMed, ScienceDirect, the European Medicines Agency website and the Heads of
Medicines Agencies website was conducted (up to December 2017), and the available
information on regulation of HMPs in the EU was collected. RESULTS: The
evaluation of applications by National Competent Authorities (NCAs) at a national
level together with the assessment of EU monographs by the Committee on Herbal
Medicinal Products (HMPC) at the European level constitute the European
regulation framework for HMPs. As the scientific opinion about the safety and
efficacy of HMPs from HMPC, the EU herbal monographs have been given a
constitutional-based meaning to the TURs and WEU-MAs of HMPs and play a
supportive function in the marketing procedure in Member States. CONCLUSION: The
European framework has provided a powerful regulation model for harmonization of
scientific assessment and facilitation of product marketing. For the
pharmaceutical industries particularly those outside the EU, optimal use of the
EU herbal monograph in their marketing procedure in Europe could be of great
benefit. Furthermore, this model is well worth learning from for other countries
and regions outside the EU to help the establishment of legislation in countries
with strong traditional use of herbal remedies and contribute to the safe use of
traditional herbal medicine.
PMID- 29655690
TI - BG-4, a novel bioactive peptide from momordica charantia, inhibits
lipopolysaccharide-induced inflammation in THP-1 human macrophages.
AB - BACKGROUND: Bitter melon (Momordica charantia) is a commonly used food crop for
management of a variety of diseases most notably for control of diabetes, a
disease associated with aberrant inflammation. PURPOSE: To evaluate the anti
inflammatory property of BG-4, a novel bioactive peptide isolated from the seed
of bitter melon. METHODS: Differentiated THP-1 human macrophages were pre-treated
with BG-4 and stimulated with lipopolysaccharide. Pro-inflammatory cytokines IL-6
and TNF-alpha were measured by enzyme-linked immunosorbent assay. The mechanism
of action involving activation of NF-kappaB and phosphorylation of ERK and STAT3
was measured by western blot and immunofluorescence. The production of
intracellular reactive oxygen species was evaluated by fluorescence microscopy
and fluorescence spectrophotometry. RESULTS: BG-4 dose dependently reduce the
production of pro-inflammatory cytokines IL-6 and TNF-alpha. The ability of BG-4
to reduce production of cytokines are associated with reduced phosphorylation of
ERK and STAT3 accompanied by reduced nuclear translocation of p65 NF-kappaB
subunit. The mechanism of action is reduction of LPS-induced production of
intracellular reactive oxygen species. CONCLUSION: Our results demonstrated the
ability of BG-4, a novel peptide from the seed of bitter melon, to exert anti
inflammatory action. This could explain the traditional use of bitter melon
against diseases associated with aberrant and uncontrolled inflammation.
PMID- 29655691
TI - Functionalized liposomes and phytosomes loading Annona muricata L. aqueous
extract: Potential nanoshuttles for brain-delivery of phenolic compounds.
AB - BACKGROUND: Multi-target drugs have gained significant recognition for the
treatment of multifactorial diseases such as depression. Under a screening study
of multi-potent medicinal plants with claimed antidepressant-like activity, the
phenolic-rich Annona muricata aqueous extract (AE) emerged as a moderate
monoamine oxidase A (hMAO-A) inhibitor and a strong hydrogen peroxide (H2O2)
scavenger. PURPOSE: In order to protect this extract from gastrointestinal
biotransformation and to improve its permeability across the blood-brain barrier
(BBB), four phospholipid nanoformulations of liposomes and phytosomes
functionalized with a peptide ligand promoting BBB crossing were produced.
METHODS: AE and nanoformulations were characterized by HPLC-DAD-ESI-MSn, HPLC
DAD, spectrophotometric, fluorescence and dynamic light scattering methods.
Cytotoxicity and permeability studies were carried out using an in vitro
transwell model of the BBB, composed of immortalized human microvascular
endothelial cells (hCMEC/D3), and in vitro hMAO-A inhibition and H2O2 scavenging
activities were performed with all samples. RESULTS: The encapsulation/binding of
AE was more efficient with phytosomes, while liposomes were more stable,
displaying a slower extract release over time. In general, phytosomes were less
toxic than liposomes in hCMEC/D3 cells and, when present, cholesterol improved
the permeability across the cell monolayer of all tested nanoformulations. All
nanoformulations conserved the antioxidant potential of AE, while
phosphatidylcholine interfered with MAO-A inhibition assay. CONCLUSIONS: Overall,
phytosome formulations registered the best performance in terms of binding
efficiency, enzyme inhibition and scavenging activity, thus representing a
promising multipotent phenolic-rich nanoshuttle for future in vivo depression
treatment.
PMID- 29655692
TI - Effects of Xin-Ji-Er-Kang on heart failure induced by myocardial infarction: Role
of inflammation, oxidative stress and endothelial dysfunction.
AB - BACKGROUND: Xin-Ji-Er-Kang (XJEK) is a Chinese herbal formula, which has been
reported to exert effective protection on cardiovascular diseases like
hypertension and myocarditis. PURPOSE: To elucidate the protective effects of
XJEK on heart failure (HF) induced by myocardial infarction (MI) through the
amelioration of inflammation, oxidative stress (OS) and endothelial
dysfunction(ED). MATERIALS AND METHODS: Fifty-seven male KM mice were randomized
into the following six groups (n = 9-10 for each): control group, model group,
MI+XJEK low dose group(XJEKL) group, MI+XJEK middle dose group(XJEKM), MI+XJEK
high dose group(XJEKH), and MI+fosinopril group (positive control group). After
treatment for four weeks, electrocardiography (ECG) and haemodynamics were
recorded. Serum and tissues were collected for further analysis. Endothelium
dependent relaxation induced by acetylcholine was assessed in isolated thoracic
aorta ring experiment. Hematoxylin and eosin (HE) and Van Gieson (VG) staining
were used to detect the pathological changes of heart and thoracic aorta.
Colorimetric analysis was employed to determine serum nitric oxide level (NO),
malondialdehyde (MDA) concentration and superoxide dismutase (SOD) activity.
ELISA was used to detect serum B-type natriuretic peptide (BNP) and serum
inflammatory cytokines, as well as endothelial NO synthetase (eNOS),
angiotensinII (Ang II) and endothelin-1(ET-1) concentration in both serum and
cardiac tissues. Immunohistochemistry and Western blotting (WB) were employed to
detect eNOS and inflammatory cytokine expressions in cardiac tissues. RESULTS:
XJEK administration markedly ameliorated cardiac dysfunction and abnormal ECG
manifested by decreased weight/body weight (HW/BW) ratio, BNP and remedied
hypertrophy of cardiomyocytes and deposition of collagen, which might be in part
attributed to the increased SOD and decreased MDA in serum. Furthermore, XJEK
administration improved ED with boosted eNOS activities in serum and cardiac
tissues, as well as up-regulated NO levels in serum, down-regulated Ang II and ET
1 content in serum and cardiac tissues. Lastly, protein expression of pro
inflammation cytokines significantly decreased, and anti-inflammatory cytokine
was significantly enhanced in serum and cardiac tissues compared to model group.
CONCLUSION: XJEK may exert beneficial effects on HF induced by MI in mice, and
the underlying mechanism may be attributable to the amelioration of ED, anti-OS
and anti-inflammation effects.
PMID- 29655693
TI - Molecular modeling and in vitro approaches towards cholinesterase inhibitory
effect of some natural xanthohumol, naringenin, and acyl phloroglucinol
derivatives.
AB - BACKGROUND: Many natural products, particularly phenolic compounds, have been
reported to have a strong inhibition against acetylcholinesterase (AChE) and
butyrylcholinesterase (BChE), the key enzymes in the pathology of Alzheimer's
disease (AD). HYPOTHESIS: Therefore, we hypothesized that some xanthahumol,
naringenin, and acyl phloroglucinol derivatives (1-14) isolated from Humulus
lupulus L. (hops) may have an inhibitory potential against AChE and BChE.
METHODS: Inhibitory potential of compounds 1-14 were tested against AChE and BChE
using ELISA microtiter assay. Different molecular docking simulations, including
IFD and GOLD protocols, were implemented to verify the interactions between the
ligands and the active site amino acids and also their binding energies inside
the catalytic crevices of AChE and BChE. ADME/Tox analysis were used to determine
pharmacological activities of the compounds. RESULTS: Among them, 3-hydroxy
xanthohumol (IC50 = 51.25 +/- 0.88 uM) and xanthohumol (IC50 = 71.34 +/- 2.09
uM), displayed a moderate AChE inhibition in comparison to that of the reference
(galanthamine, IC50 = 2.52 +/- 0.15 uM). In addition to 3-hydroxy-xanthohumol
(IC50 = 63.07 +/- 3.76 uM) and xanthohumol (IC50 = 32.67 +/- 2.82 uM), 8
prenylnaringenin (IC50 = 86.58 +/- 3.74 uM) also showed micromolar-range
inhibition against BChE (galanthamine, IC50 = 46.58 +/- 0.91 uM). Rest of the
compounds were found to be either inactive or having inhibition below 50%.
Prediction of pharmacokinetic studies suggested that all the ligands revealed
acceptable drug-like profiles. Docking simulations demonstrate not only the
prediction of ligand binding energies of the compounds inside the catalytic
domains of the targets, but also highlight the critical amino acids contributing
to stabilizations of the ligands. CONCLUSION: Our findings revealed that
xanthohumol in particular could be considered as lead molecule to explore new
cholinesterase inhibitors for AD.
PMID- 29655694
TI - Tatanan A from the Acorus calamus L. root inhibited dengue virus proliferation
and infections.
AB - BACKGROUND: Acorus calamus l. (Acoraceae) is a well-known traditional Chinese
medicinal plant, whose root are historically mainly used to treat
neurodegenerative diseases, and for cholera treatment. This datum strongly
indicates the antimicrobial activity of A. calamus. PURPOSE: Our goal is to find
the active constituents of A. calamus to treat dengue virus (DENV) infections,
and to study the effects and mechanisms of these active substances. METHODS: The
root of A. calamus was extracted by ethanol. Mosquito larva C6/36 cells were used
for DENV2 replication and transfection host. Mouse kidney fibroblast cells (BHK
21) were used as a host cell to study the infection ability of the virus. DENV2
induced cytopathic effect (CPE) and plaque assay were used to evaluate the
inhibitory effect of A. calamus extracts on DENV2 infectivity inhibition. The
levels of E and NS1 protein expression were measured by real-time PCR and western
blot assays. RESULTS: 12 compounds were isolated from ethanol extract of A.
calamus root, tatanan A showed the best anti-DENV ability among these 12
compounds, which significantly alleviated DENV2-induced CPE and cytotoxicity
effects, with an EC50 of 3.9 uM. In addition, RNA replication assay further
confirmed the antivirus ability of tatanan A. Time-addition assay showed that
tatanan A affected the early stage of viral RNA replication, which in turn
inhibited mRNA and protein levels of DENV2. CONCLUSIONS: These results
demonstrated the anti-DENV2 effect of tatanan A, in inhibiting DENV2 RNA
replication and infections. In summary, tatanan A was found to be a novel natural
DENV inhibitor and a potential candidate for the treatment of DENV infectious
disease.
PMID- 29655695
TI - Alisma orientale extract exerts the reversing cholestasis effect by activation of
farnesoid X receptor.
AB - BACKGROUND: Cholestasis is a clinical syndrome of liver damage that is caused by
accumulation of bile acids in the liver and systemic circulation. Farnesoid X
receptor (FXR) can regulate synthesis, metabolism, and excretion of bile acids.
The rhizomes of Alisma orientale is a well-known traditional Chinese medicine to
treat edema, obesity, gonorrhea, leukorrhea, diarrhea, hyperlipidemia, and
diabetes in China. HYPOTHESIS/PURPOSE: We hypothesized Alisma orientale extract
(AOE) to exert hepatoprotective effect against alpha-naphthylisothiocyanate
(ANIT) induced cholestasis in rat. We aimed to investigate the mechanism of AOE.
STUDY DESIGN: Male Sprague Dawley rats with intrahepatic cholestasis induced by
ANIT were treated with AOE (150, 300, or 600 mg/kg). Rats receiving vehicle (0.5%
CMC-Na) served as control. METHODS: 48 h after ANIT administration, rats were
sacrificed. Blood was collected to obtain serum and livers were removed for
histopathology and protein preparation. Biochemical indicators in serum were
determined using commercial kits and triterpenoids were determined by liquid
chromatography tandem Qtrap mass spectrometry. Proteomics was analyzed by liquid
chromatography tandem ion-trap mass spectrometry. The differently expressed
proteins were analyzed via the network database and verified by western blotting.
The interaction between triterpenoids and FXR were evaluated by luciferase assay
and molecular docking. RESULTS: AOE treatment significantly decreased the serum
AST, ALT, TBIL, and intrahepatic TBA and improved the liver pathologic change
induced by ANIT. Proteomics analysis indicated that AOE regulated proteins
related to bile acid homeostasis via activating farnesoid X receptor (FXR)
signaling pathway. Luciferase assay and molecular docking results indicated that
triterpenoids could activate FXR, which resulting in ameliorative accumulation of
bile acids in the liver by increase of metabolism and transportation for bile
acids, and decrease of synthesis for bile acids. CONCLUSION: AOE protected
against rat liver injury and cholestasis induced by ANIT by activation of
farnesoid X receptor, suggesting that A. orientale could be regarded as a
potential hepatoprotective drug.
PMID- 29655696
TI - Syringin prevents bone loss in ovariectomized mice via TRAF6 mediated inhibition
of NF-kappaB and stimulation of PI3K/AKT.
AB - BACKGROUND: Syringin, also called eleutheroside B, is a main bioactive phenolic
glycoside in Acanthopanax senticosus (Rupr. et Maxim.) Harms. Based on the
"kidney dominates bone" theory of TCM, A. senticosus can strengthen bone and
Syringin may be one of the responsibilities. PURPOSE: The objectives of this
study were to estimate the osteoporotic activity of Syringin and reveal the
possible molecular mechanisms in vivo. METHODS: Sixty female ICR mice were
randomly assigned into sham operated group (SHAM, treated with vehicle) and five
ovariectomized subgroups (n = 10 each), treated with vehicle as OVX group,
estradiol valerate (EV, 1 mg/kg/day) as positive group, and Syringin (10, 20 and
40 mg/kg/day) as low, moderate and high dosage groups. The therapeutic effect of
Syringin against osteoporosis was systematically analyzed by determining the bone
mineral density (BMD), bone biomechanical properties, bone microarchitecture and
serum biochemical parameters, and the molecular mechanism was also evaluated.
RESULTS: After three months of orally administrated intervention, Syringin (10,
20 and 40 mg/kg/day) significantly improved the BMD, bone maximum load and
trabecular bone microarchitecture in ovariectomized mice, evidenced by the
increased bone mineral content, tissue mineral content, tissue mineral density,
trabecular thickness and trabecular number, as well as the decreased trabecular
separation in OVX mice. Meanwhile, the activities of tartrate-resistant acid
phosphatase, deoxypyridinoline and cathepsin K in OVX mice were also inhibited by
Syringin, while the increased body weight and decreased uterus weight seemed not
influenced by Syringin administration. Concerning the underlying molecular
mechanisms, Syringin significantly downregulated the expression of tumor-necrosis
factor receptor-associated factor 6 (TRAF6), nuclear factor kappa B (NF-kappaB)
and receptor activator of nuclear factor kappa B ligand (RANKL) proteins levels,
upregulated the expression of osteoprotegerin (OPG), phosphoinositide 3-kinase
(PI3K) and protein kinase B (AKT) levels, suggesting that Syringin prevented bone
lost by TRAF6-mediated inhibition of NF-kappaB and stimulation of PI3K/AKT, and
subsequently increasing the OPG/RANKL ratio and inhibiting the
osteoclastogenesis, finally promoting bone formation. CONCLUSIONS: All of the
data implied Syringin possessed the potent anti-osteoporosis activity on
ovariectomized mice, and the underlying molecular mechanism may be related to the
NF-kappaB and PI3K/AKT signaling pathways.
PMID- 29655697
TI - Leaves of Acer palmatum thumb. Rescues N-ethyl-N-nitrosourea (ENU)-Induced
retinal degeneration in mice.
AB - BACKGROUND: In the East Asia, the genus Acer (Aceraceae) is a herbal medicine
that is used to treat various diseases, including hemostasis, hepatic disorders,
traumatic bleeding and poor eyesight. However, the effects of Acer palmatum
thumb. on retinal degeneration are unknown. AIM: In this study, we investigated
whether Acer palmatum thumb.ethanol extract (KIOM-2015E) can protect eyes from
retinal degeneration. Our research investigated whether KIOM-2015E could have a
protective effect in the retinal degenerating mouse model induced by N-ethyl-N
nitrosourea (ENU). MATERIALS AND METHODS: Retinal degeneration was induced by a
single intraperitoneal injection of ENU in ICR mice. KIOM-2015E (100, 200 mg/kg)
was orally administered once per day. The eyeballs were embedded and lysed after
drug administration to examine the histological changed and protein expression
levels. RESULTS: The ENU-induced retinal degeneration model exhibited increased
photoreceptor cell death and a loss of the outer nuclear layer. Additionally, the
expression of PKCalpha and OPN1SW was reduced, and that of GFAP and Nestin was
increased in ENU-treated retinal tissues. CONCLUSION: KIOM-2015E treatment
ameliorated the ENU-induced retinal degeneration. KIOM-2015E prevents ENU-induced
retinal degeneration by modulating protein expression and the thickness of the
outer nuclear layer in the retina.
PMID- 29655698
TI - Down-regulation of lncRNA, GAS5 decreases chemotherapeutic effect of dendrosomal
curcumin (DNC) in breast cancer cells.
AB - BACKGROUND: Despite positive results obtained from anticancer activities of
curcumin, there are some obstacles that limit its use as an anticancer agent.
HYPOTHESIS/PURPOSE: Different methods such as employing the dendrosomal curcumin
(DNC) were examined to overcome such problems. There is increasing evidence
representing long non-coding RNAs play important roles in biological processes.
In this study, we focused on the roles of GAS5 in the anti-cancer effects of DNC
on breast cancer. METHODS: We used several methods including MTT assay, apoptosis
assay, cell cycle analysis, transwell migration assay and RT-PCR. RESULTS: We
observed a significant increase in the expression of Tusc7, and GAS5 genes with
DNC treatment of MCF7, MDA-MB231, and SKBR3 cells. Also, the combination of GAS5
down-regulation and DNC treatment showed lower percentages of apoptotic cells and
a higher level of penetration through the membrane compared with DNC treatment
alone. Furthermore, DNC induced a significant increase in the number of cells in
sub G1/G1 phase and a decrease in the G2/M phase of the cell cycle. But, after
GAS5 down-regulation alone opposite results was observed compared to DNC.
CONCLUSION: We observed that GAS5 down-regulation can suppress many aspects of
DNC anti-cancer effects in breast cancer cells, it seems that co-treatment with
DNC and GAS5 over-expression may provide a clinically useful tool for drug
resistance breast cancer cells.
PMID- 29655699
TI - Scutellarin protects against vascular endothelial dysfunction and prevents
atherosclerosis via antioxidation.
AB - BACKGROUND: Scutellarin is the major constituent responsible for the clinical
benefits of Erigeron breviscapus (Vant.) Hand.-Mazz which finds a long history of
ethnopharmacological use in Traditional Chinese Medicine. Scutellarin as a pure
compound is now under investigation for its protections against various tissue
injuries. PURPOSE: This study aims to examine the effects of scutellarin on
oxidative stress-induced vascular endothelial dysfunction and endothelial cell
damage, and then to evaluate the therapeutic efficacy of scutellarin in
preventing atherosclerosis in rats. METHODS: Radical scavenging ability of
scutellarin was determined in vitro. Impact of scutellarin on endothelium
dependent relaxation (EDR) of rabbit thoracic aortic rings upon 1, 1-diphenyl-2
picrylhydrazyl (DPPH) challenge was measured. Influences of scutellarin pre
treatment on the levels of reactive oxygen species (ROS), activities of
antioxidant enzymes superoxide dismutase (SOD), glutathione peroxidase and
catalase, and the expression of SOD1 and NADPH oxidase 4 (Nox4) in human
umbilical vein endothelial cells (HUVECs) injured by H2O2 were examined. Anti
atherosclerotic effect of scutellarin was evaluated in rats fed with high fat
diet (HFD). RESULTS: Scutellarin showed potent antioxidant activity in vitro.
Pretreatment of scutellarin retained the EDR of rabbit thoracic aortic rings
damaged by DPPH. In H2O2 injured-HUVECs the deleterious alterations in ROS levels
and antioxidant enzymes activity were reversed by scutellarin and the mRNA and
protein expression of SOD1 and Nox4 were restored also. Oral administration of
scutellarin dose-dependently ameliorated hyperlipidemia in HFD-fed rats and
alleviated oxidative stress in rat serum, mimicking the effects of reference drug
atorvastatin. CONCLUSION: Scutellarin protects against oxidative stress-induced
vascular endothelial dysfunction and endothelial cell damage in vitro and
prevents atherosclerosis in vivo through antioxidation. The results rationalize
further investigation into the clinical use of scutellarin in cardiovascular
diseases.
PMID- 29655700
TI - Effects on oral fat load of a nutraceutical combination of fermented red rice,
sterol esters and stanols, curcumin, and olive polyphenols: A randomized, placebo
controlled trial.
AB - BACKGROUND: In literature, there are several studies about the effects of
nutraceutical combinations at fasting, but data in post-prandial phase are
lacking. PURPOSE: We planned a study to evaluate the efficacy and safety of a
nutraceutical agent containing fermented red rice, phytosterols and olive
polyphenols compared to placebo in a sample of Caucasian patients with low
cardiovascular risk, both at fasting and after an oral fat load. STUDY DESIGN:
Eighty patients were randomized to receive, as addition to diet and physical
activity, a nutraceutical combination containing fermented red rice, sterol
esters and stanols, curcumin, and olive polyphenols or placebo (control group),
once a day. METHODS: We evaluated at baseline, and after 3 months: body mass
index, fasting plasma glucose, lipid profile, soluble intercellular adhesion
molecule-1, soluble vascular cell adhesion molecule-1, and soluble endothelial
leukocyte adhesion molecule-1. We evaluated these parameters both at fasting, and
after an oral fat load. RESULTS: Nutraceutical combination gave a reduction of
total cholesterol, triglycerides, and low-density lipoprotein cholesterol, both
compared to baseline (p < 0.05 for all), and to placebo (p < 0.05 for all). We
recorded a reduction of soluble intercellular adhesion molecule-1, soluble
vascular cell adhesion molecule-1, and sE-selectin in the group treated with
nutraceutical combination, both compared to baseline (p < 0.05 for all), and to
placebo (p < 0.05 for all). Parameters recorded during oral fat load improved
compared to the oral fat load performed at baseline with the nutraceutical
combination. CONCLUSIONS: The nutraceutical combination of fermented red rice,
sterol esters and stanols, curcumin, and olive polyphenols seems to be effective
in improving lipid profile and markers of endothelial damage in dyslipidemic
patients in primary prevention at low risk for developing cardiovascular disease.
The true novelty of this study, however, is the improvement of endothelial damage
after an oral fat load compared to placebo.
PMID- 29655701
TI - Apoptosis and pro-death autophagy induced by a spirostanol saponin isolated from
Rohdea chinensis (Baker) N. Tanaka (synonym Tupistra chinensis Baker) on HL-60
cells.
AB - BACKGROUND: Our previous study has revealed that the spirostanol saponins
isolated from the rhizomes of Rohdea chinensis (Baker) N. Tanaka (synonym
Tupistra chinensis Baker) (Convallariaceae) (a reputed folk medicine) exhibited
potent antiproliferative activity. However, the underlying mechanism of purified
saponins remains unclear. More studies are necessary to assess the apoptosis and
autophagy activities of the saponins from R. chinensis and clarify their
antiproliferative mechanisms. PURPOSE: The present study certificated the
potential antiproliferative activity and mechanism of 5beta-spirost-25(27)-en
1beta,3beta-diol-1-O-alpha-L-rhamnopyranosyl-(1->2)- beta-D-xylopyranosyl-3-O
alpha-L-rhamnopyranoside (SPD), a spirostanol saponin from R. chinensis, against
human acute promyelocytic leukemia cells (HL-60). METHODS: The antiproliferative
activity of SPD in vitro was evaluated by MTT assay compared with cis
dichlorodiammineplatinum (II). The autophagic activity was assessed using MDC
staining and western blot, cell apoptosis inspection was detected by Annexin V
FITC/PI double staining and the mitochondrial membrane potential was detected by
JC-1 fluorescence dye combined with flow cytometry. The potential mechanisms for
protein levels of apoptosis and autophagy were evaluated by western blot.
RESULTS: Treatment of HL-60 cells with SPD resulted in growth inhibition (IC50
value of 2.0 +/- 0.2 uM, after 48 h treatment) and induction of apoptosis and
autophagy. Results from Annexin V-FITC/PI double-staining assay and mitochondrial
membrane potential detection showed that apoptosis was happened after SPD
treatment. The regulation of caspase-3, Bax, Bcl-2, PARP following SPD treatment
contributed to the induction of mitochondria-dependent apoptosis. Meanwhile, SPD
induced autophagy related with Akt/mTOR/p70S6K signaling and activated of AMPK
signaling pathway. Furthermore, blocking autophagy with bafilomycin A1 reduced
the cytotoxicity of SPD in HL-60 cells. CONCLUSION: The antiproliferative,
apoptosis and pro-death autophagy activities of SPD suggested that spirostanol
saponins from R. chinensis would be a potential cytotoxic candidate against acute
promyelocytic leukemia.
PMID- 29655702
TI - 4-(2-(4-chlorophenyl)-1-((4-chlorophenyl)amino)ethyl)benzene-1, 3-diol is a
potential agent for gout therapy as a dual inhibitor of XOD and NLRP3.
AB - BACKGOUND: Gout is an inflammatory arthritis characterized by abrupt self
limiting attacks of inflammation caused by precipitation of monosodium urate
crystals (MSU) in the joint. Both anti-hyperuricemia and anti-inflammation could
be gout therapeutic strategies, whereas ideal drugs for gout treatment are
deficient. PURPOSE: 4-(2-(4-chlorophenyl)-1-((4-chlorophenyl)amino)ethyl)benzene
1, 3-diol (CBED) was obtained from a cluster of deoxybenzoins derivatives
synthesized by our research group with potent anti-hyperuricemic and anti
inflammatory activities, which was expected to be a dual inhibitor of xanthine
oxidase (XOD) and NOD-like receptor protein 3 (NLRP3). This study aimed to
investigate effects of CBED on XOD and NLRP3 in vitro, as well as the possible
mechanisms by which CBED improved gout in vivo. METHODS: After molecular docking
detection, inhibitory effects of CBED on XOD and NLRP3 were evaluated in vitro.
Subsequently, hyperuricemia and acute gouty arthritis animal models were
established by potassium oxonate or MSU, respectively. After CBED treatment,
serum uric acid levels, synovial interleukin (IL)-1beta concentrations, hepatic
XOD activities, as well as synovial morphological changes were examined. More
importantly, synovial expressions of NLRP3 inflammasome components including
NLRP3, apoptosis-associated speck-like protein (ASC) and caspase-1 in rats were
analyzed by immunofluorescence and western blot. RESULTS: In vitro, CBED
obviously inhibited XOD activity with an IC50 value of 3.87 uM, moreover, it
effectively inhibited MSU-induced NLRP3 inflammasome activation and IL-1beta over
production in THP-1 cells. In addition, CBED dose-dependently decreased serum
uric acid levels suppressed hepatic XOD activities in oxonate-induced
hyperuricemic mice. On the other hand, CBED significantly improved MSU-induced
ankle swelling and histopathological damage with elevated IL-1beta. In addition,
NLRP3 inflammasome activation could be blocked by CBED treatment in rats with
acute gouty arthritis. Notbly, CBED exhibited no effects on all these indicators
in normal animals, predicting its safety. CONCLUSIONS: CBED might serve as a dual
XOD and NLRP3 inhibitor for treatment of gout.
PMID- 29655703
TI - The effects of wild bitter gourd fruit extracts on ICAM-1 expression in pulmonary
epithelial cells of C57BL/6J mice and microRNA-221/222 knockout mice: Involvement
of the miR-221/-222/PI3K/AKT/NF-kappaB pathway.
AB - BACKGROUND: The extracts from wild bitter gourd fruit (WBGE) were reported to
possess numerous pharmacological activities. However, the anti-inflammatory
effects of WBGE on human lung epithelial cells and the underlying mechanisms have
not been determined. PURPOSE: To evaluate the molecular basis of the effects of
WBGE on intercellular adhesion molecule-1 (ICAM-1) expression in alveolar
epithelial (A549) cells, C57BL/6 wild-type (WT) mice and microRNA (miR)-221/-222
knockout (KO) mice with or without tumor necrosis factor (TNF-alpha; 3 ng/ml)
treatment. STUDY DESIGN/METHODS: WT mice and miR-221/-222 KO mice were fed a
control diet and divided into four groups (C: control mice; T: treated with TNF
alpha alone; WBGE/T: pretreated with WBGE and then stimulated with TNF-alpha;
WBGE: treated with WBGE alone). The effects of WBGE on ICAM-1 expression and the
related signals in A549 cells and mice with or without TNF-alpha treatment were
examined by Western blot and immunofluorescent staining. RESULTS: WBGE
significantly decreased the TNF-alpha-induced ICAM-1 expression in A549 cells
through the inhibition of phosphoinositide 3-kinase (PI3K)/ protein kinase B
(AKT)/ nuclear factor- kappa B (NF-kappaB)/ inhibitor of NF-kappaB (IkappaB)
phosphorylation and decreased leukocyte adhesion. In addition, WBGE reduced
endogenous ICAM-1 expression and upregulated miR-221/-222 expression. The
overexpression of miR-222 decreased PI3K/AKT/NF-kappaB/IkappaB and ICAM-1
expression, which resulted in reducing monocyte adhesion. Moreover, WBGE reduced
ICAM-1 expression in lung tissues of WT mice with or without TNF-alpha treatment
and upregulated miR-221/222. WBGE did not affect the miR-221/-222 level and had
little effect on ICAM-1 expression in miR-221/-222 KO mice. CONCLUSIONS: These
results suggest that WBGE reduced ICAM-1 expression both under in vitro and in
vivo conditions. The protective effects were mediated partly through the miR-221/
222/PI3K/AKT/NF-kappaB pathway.
PMID- 29655705
TI - Spatially Correlated Gene Expression in Bacterial Groups: The Role of Lineage
History, Spatial Gradients, and Cell-Cell Interactions.
AB - Gene expression levels in clonal bacterial groups have been found to be spatially
correlated. These correlations can partly be explained by the shared lineage
history of nearby cells, although they could also arise from local cell-cell
interactions. Here, we present a quantitative framework that allows us to
disentangle the contributions of lineage history, long-range spatial gradients,
and local cell-cell interactions to spatial correlations in gene expression. We
study pathways involved in toxin production, SOS stress response, and metabolism
in Escherichia coli microcolonies and find for all pathways that shared lineage
history is the main cause of spatial correlations in gene expression levels.
However, long-range spatial gradients and local cell-cell interactions also
contributed to spatial correlations in SOS response, amino acid biosynthesis, and
overall metabolic activity. Together, our data show that the phenotype of a cell
is influenced by its lineage history and population context, raising the question
of whether bacteria can arrange their activities in space to perform functions
they cannot achieve alone.
PMID- 29655706
TI - Rare Presentation of Intraductal Papillary Neoplasm of the Bile Duct in a Patient
With Ulcerative Colitis.
PMID- 29655704
TI - A Library of Phosphoproteomic and Chromatin Signatures for Characterizing
Cellular Responses to Drug Perturbations.
AB - Although the value of proteomics has been demonstrated, cost and scale are
typically prohibitive, and gene expression profiling remains dominant for
characterizing cellular responses to perturbations. However, high-throughput
sentinel assays provide an opportunity for proteomics to contribute at a
meaningful scale. We present a systematic library resource (90 drugs * 6 cell
lines) of proteomic signatures that measure changes in the reduced-representation
phosphoproteome (P100) and changes in epigenetic marks on histones (GCP). A
majority of these drugs elicited reproducible signatures, but notable cell line-
and assay-specific differences were observed. Using the "connectivity" framework,
we compared signatures across cell types and integrated data across assays,
including a transcriptional assay (L1000). Consistent connectivity among cell
types revealed cellular responses that transcended lineage, and consistent
connectivity among assays revealed unexpected associations between drugs. We
further leveraged the resource against public data to formulate hypotheses for
treatment of multiple myeloma and acute lymphocytic leukemia. This resource is
publicly available at https://clue.io/proteomics.
PMID- 29655707
TI - Temporomandibular condylar morphology in diverse maxillary-mandibular skeletal
patterns: A 3-dimensional cone-beam computed tomography study.
AB - BACKGROUND: The authors evaluated the morphology and symmetry of the
temporomandibular joint in participants with normodivergent and hyperdivergent
skeletal class I, II, and III patterns. METHODS: A total of 80 participants were
divided into 4 groups on the basis of their sagittal and vertical skeletal
patterns. Cone-beam computed tomographic images were used to evaluate the condyle
fossa relationship and the morphology and symmetry of the mandibular condyle. One
way analysis of variance and Tukey post hoc tests were used to compare the mean
values among the different groups. RESULTS: Participants with class II
hyperdivergent patterns had the smallest anteroposterior (mean [standard
deviation {SD}], 4.4 [1.6] millimeters) and mediolateral (10.5 [3.0] mm) condylar
process widths among all 4 groups. The mean (SD) axial condylar angle was flatter
in patients with class III hyperdivergent patterns (19.8 degrees [5.1 degrees ])
compared with the other groups. The mean (SD) anteroposterior differences of the
condylar processes (2.9 [1.4] mm) in patients with class III hyperdivergent
patterns were the greatest in all 4 groups. CONCLUSION: Participants in the group
with class II hyperdivergent patterns have a smaller and narrower condyle
compared with the other groups measured. Asymmetry was found among all groups,
with participants with skeletal class III patterns having the most asymmetry. The
most common condylar morphology in all groups examined was convex. PRACTICAL
IMPLICATIONS: These results support the concept that morphology and symmetry of
the temporomandibular joint varies in different skeletal patterns, presumably as
an adaptive response to functional demands.
PMID- 29655708
TI - Salivary flow rate after conventional and intensity-modulated radiation therapy.
AB - BACKGROUND: Conventional 3-dimensional conformal radiation therapy (3DCRT) for
head and neck cancer (HNC) can cause hyposalivation, leading to caries and tooth
extraction-related osteoradionecrosis. Intensity-modulated radiation therapy
(IMRT) delivers more focused radiation than does 3DCRT. To reduce hyposalivation,
the Quantitative Analysis of Normal Tissue Effects in the Clinic (QUANTEC)
guidelines limit salivary gland radiation dose. In this study, the authors
compared the salivary flow rate in patients receiving 3DCRT and those receiving
IMRT and evaluated the effect of their treatment satisfying the QUANTEC
guidelines on hyposalivation. METHODS: The authors evaluated findings in 96
patients with HNC who received radiation therapy (RT); 20 received unilateral
3DCRT, 17 received bilateral 3DCRT, and 59 received IMRT. The authors measured
stimulated whole saliva before radiation and 3 and 12 months after radiation. The
authors defined hyposalivation as stimulated whole saliva less than 3.5 grams per
5 minutes. RESULTS: At 12 months, 50% and 54% of patients receiving unilateral
3DCRT and IMRT, respectively, exhibited nonstatistically significant
hyposalivation compared with 71% of patients receiving bilateral 3DCRT (P = .2).
A lower proportion of patients receiving IMRT (27%) and unilateral 3DCRT (5%) had
decreased salivary flow (< 25% of baseline) than did those receiving bilateral
3DCRT (59%; P < .004); fewer patients whose treatment satisfied the QUANTEC
guidelines exhibited hyposalivation than patients whose treatment did not
fullfill QUANTEC guidelines (39% versus 71%; P < .002). CONCLUSIONS: Twelve
months after RT for HNC, treatment satisfying the QUANTEC guidelines resulted in
decreased hyposalivation. Unilateral 3DCRT and IMRT may result in less
hyposalivation than does bilateral 3DCRT. PRACTICAL IMPLICATIONS: Patients with
HNC treated with modern RT techniques have a lower risk of developing
hyposalivation, particularly if the QUANTEC guidelines are met, which also may
result in decreased dental caries, tooth extractions, and postextraction
osteoradionecrosis. Management of HNC requires a multidisciplinary team,
including dentists and radiation oncologists.
PMID- 29655709
TI - Phenolic contents and antioxidant capacities of wild and cultivated white lupin
(Lupinus albus L.) seeds.
AB - The aim of this study was to compare the antioxidant capacities and phenolic
compound profiles of wild and cultivated Lupinus albus L. seeds. The total
phenolic content (TPC), radical scavenging activity, ferric-reducing antioxidant
power (FRAP) and antioxidant activity in an beta-carotene-linoleic acid emulsion
were determined. Liquid chromatography-mass spectrometry was used to identify
phenolics. The TPC of lupin seeds ranged from 4.36 to 7.24 mg gallic acid
equivalent/g dry matter (d.m.). The dominant phenolics of all genotypes were two
p-coumaric acid derivatives (0.74-1.61 and 0.66-1.63 mg/g d.m.) and apigenin-6,8
di-C-glucoside (1.13-1.31 mg/g d.m.). The results of antioxidant assays of wild
lupin extracts were similar to or lower than those of the cultivated variety.
FRAP and ABTS+ scavenging activity were correlated with the contents of the more
polar p-coumaric acid derivative and apigenin-6,8-di-C-glucoside. Generally,
significant differences between cultivated and wild L. albus seeds were not found
in antioxidant capacities and phenolic compound contents.
PMID- 29655710
TI - Pectin-honey coating as novel dehydrating bioactive agent for cut fruit:
Enhancement of the functional properties of coated dried fruits.
AB - In this paper, a novel and sustainable process for the fruit dehydration was
described. Specifically, edible coatings based on pectin and honey were prepared
and used as dehydrating and antimicrobial agents of cut fruit samples, in this
way promoting the fruit preservation from irreversible deteriorative processes.
Pectin-honey coating was tested on apple, cantaloupe melon, mango and pineapple.
The analysis were performed also on uncoated dehydrated fruits (control). The
coated fruit evidenced enhanced dehydration percentage, enriched polyphenol and
vitamin C contents, improved antioxidant activity and volatile molecules profile.
Moreover, the antimicrobial activity against Pseudomonas and Escherichia coli was
assessed. Finally, morphological analysis performed on fruit fractured surface,
highlighted the formation of a non-sticky and homogeneous thin layer. These
outcomes suggested that the novel fruit dehydration process, performed by using
pectin-honey coating, was able to both preserve the safety and quality of
dehydrated fruits, and enhance their authenticity and naturalness.
PMID- 29655711
TI - Characterizations of the endogenous starch hydrolase inhibitors in acorns of
Quercus fabri Hance.
AB - The acorns of Quercus fabri Hance tree, or the mandarin duck fruits have been
consumed by locals in the form of noodle, yet there is little scientific study.
We found that they have much lower digestibility compared with that of rice
flour. Solvent extraction using hexane, dichloromethane, and acetone-ethanol
water (AEW) mixture yielded three fractions but the starch hydrolase inhibitors
were only found in the AEW fraction. Using assay-guided fractionation of the
extracts, we were able to further separate the active compounds by using Sephadex
LH-20 column and characterize the inhibitor chemical identities by LC-MS(n). The
main active compounds are ellagitannins including pedunculagin, punicalagin,
castalagin, and eucalbanin. Our results indicate that the acorns from Quercus
fabri Hance have potential for preparation of low glycemic index foods due to the
endogenous starch hydrolase inhibitors.
PMID- 29655712
TI - Enzyme assisted fermentation of potato pulp: An effective way to reduce water
holding capacity and improve drying efficiency.
AB - The effects of an enzyme-assisted fermentation technique on the water holding
capacity (WHC) and drying characteristics of potato pulp were investigated.
Potato pulp was fermented with Lactobacillus plantarum to increase the content of
organic acids, reduce the pH of the pulp and assist in enhancing the enzymatic
hydrolysis. Removal of pectin and cellulose reduced the WHC of potato pulp from
16.84 to 6.83 and 9.64 g/g, respectively. Drying characteristics were determined
using the air-drying process, which indicated that pectinase-assisted
fermentation could remove pectin from potato pulp and shorten the air-drying time
from 240 to 140 min, while cellulase had an opposite effect on the drying rate.
This result was also confirmed by low field nuclear magnetic resonance (LF-NMR),
which showed more mobile water and less bonded water in pectin-removed potato
pulp samples.
PMID- 29655713
TI - Enzymatic mitigation of 5-O-chlorogenic acid for an improved digestibility of
coffee.
AB - A p-coumaroyl esterase from Rhizoctonia solani was used to decrease 5-O
chlorogenic acid (5-CQA) content in coffee powder. HPLC-UV showed a decline of up
to 98% of 5-CQA after the enzyme treatment. Effects on aroma were determined by
means of aroma extract dilution analysis. Flavour dilution factors of treated and
control extract differed in four volatile compounds only. Effect on aroma and
taste was evaluated by sensory tests. No significant differences were perceived,
and no off-flavour nor off-taste was noted. As chlorogenic acids are suspected to
cause stomach irritating effects in sensitive people, the enzyme treatment offers
a technically feasible approach to improve the quality of coffee beverages by
reducing 5-CQA concentration without significantly affecting the aroma and taste
profile.
PMID- 29655714
TI - The influence of food matrices on aptamer selection by SELEX (systematic
evolution of ligands by exponential enrichment) targeting the norovirus P-Domain.
AB - This study investigates the enrichment of aptamers targeting the norovirus
protruding domain in the presence of foods often associated with norovirus
outbreaks. The goal is to explore if and how the presence of food alters in vitro
selection of aptamers and target binding of the enriched oligonucleotides. Our
study demonstrates that the introduction of food to SELEX (systematic evolution
of ligands by exponential enrichment) is either detrimental to enrichment of
oligonucleotides with target-specific binding, or facilitates enrichment of non
target-specific oligonucleotides. Moreover, a relationship between target binding
of enriched oligonucleotides in presence of food and their selection condition
was not observed. Our findings also suggest that a pathogen specific aptamer with
application in food does not need to be selected in presence of the particular
food, but may require properties beyond high affinity and selectivity to be
applied for pathogen extraction and detection in undiluted food matrices.
PMID- 29655715
TI - Extraction kinetic modelling of total polyphenols and total anthocyanins from
saffron floral bio-residues: Comparison of extraction methods.
AB - Analysis of the extraction kinetic modelling for natural compounds is essential
for industrial application. The second order rate model was applied to estimate
the extraction kinetics of conventional solid-liquid extraction (CSLE),
ultrasound-assisted extraction (UAE) and microwave-assisted extraction (MAE) of
total polyphenols (TPC) from saffron floral bio-residues at different solid-to
liquid ratios (RS/L)(1:10, 1:20, 1:30, 1:50 g ml-1), ethanol 59% as solvent and
66 degrees C temperature. The optimum solid-to-liquid ratios for TPC kinetics
were 1:20 for CLSE, 1:30 for UAE and 1:50 for MAE. The kinetics of total
anthocyanins (TA) and antioxidant activity (AA) were investigated for the optimum
RS/L for each method. The results showed a good prediction of the model for
extraction kinetics in all experiments (R2 > 0.99; NRMS 0.65-3.35%). The kinetic
parameters were calculated and discussed. UAE, compared with the other methods,
had the greater efficiency for TPC, TA and AA.
PMID- 29655716
TI - Colonic fermentation of polyphenols from Chilean currants (Ribes spp.) and its
effect on antioxidant capacity and metabolic syndrome-associated enzymes.
AB - The Chilean wild currants Ribes magellanicum and R. punctatum are a good source
of polyphenols. Polyphenolic-enriched extracts (PEEs) from both species were
submitted to in vitro colonic fermentation to assess the changes in phenolic
composition, antioxidant capacity and inhibition of metabolic syndrome-associated
enzymes. The phenolic profiles of the fermented samples showed significant
changes after 24 h incubation. Nine metabolites, derived from the microbial
fermentation, were tentatively identified, including dihydrocaffeic acid,
dihydrocaffeoyl-, dihydroferuloylquinic acid, 1-(3,4-dihydroxyphenyl)-3-(2,4,6
trihydroxyphenyl)propan-2-ol (3,4-diHPP-2-ol), among others. The content of
anthocyanins and hydroxycinnamic acids was most affected by simulated colonic
conditions, with a loss of 71-92% and 90-100% after 24 h incubation,
respectively. The highest antioxidant capacity values (ORAC) were reached after 8
h incubation. The inhibitory activity against the enzyme alpha-glucosidase was
maintained after the fermentation process. Our results show that simulated
colonic fermentation exerts significant changes on the polyphenolic composition
of these berries, modifying their health-promoting properties.
PMID- 29655717
TI - Encapsulation of curcumin using supercritical antisolvent (SAS) technology to
improve its stability and solubility in water.
AB - This study aims to develop a formulation of a turmeric-based dye extract using
supercritical antisolvent (SAS) technology and different encapsulating polymers
to improve the aqueous stability and solubility of curcumin. The dye formulation
obtained by SAS consists of a mixture of Eudragit(r) L100 and Pluronic(r) 127
using tween 20 as a surfactant The characterization and quantification of
curcumin extracts and the encapsulation product were conducted using high
performance liquid chromatography (HPLC). The properties of the dye were
determined using SEM, DSC, X-ray diffraction, particle size, zeta potential, and
oxygen radical absorbance capacity (ORAC) to measure antioxidant capacity. The
dye formulation contained a curcumin content of 4.45 ug/mL. The average diameter
of the amorphous particles was 5667.4 nm, and the zeta potential was 11.21 mV.
The largest aqueous stability and solubility was observed at pH 4. From color
comparison with a solution of tartrazine 200 ug/mL solution of dye formulation
based on curcuminoids is equivalent to an approximated 30 ug/mL tartrazine
solution.
PMID- 29655718
TI - Quantitative analyses of the bitterness and astringency of catechins from green
tea.
AB - Bitterness and astringency are two important quality attributes of green tea
infusion, and catechins are the main contributor to the bitterness and
astringency. The aim of this work was to quantitatively analyse the bitterness
and astringency of green tea infusion according to the concentrations of
catechins. The concentration-taste curves of catechins showed a pattern that fit
the cubic functions, and their R2 values were higher than 0.956. The bitterness
of green tea was highly correlated with the concentrations of (-)
epigallocatechin gallate and (-)-epicatechin gallate (ECG) (R2 = 0.7769, p <
0.01), and the astringency (R2 = 0.7878, p < 0.01) was highly correlated with the
concentrations of ECG and flavonol glycosides (myricetin 3-O-galactoside and
quercetin-3-O-rutinoside). Taste interactions between different catechins and
between catechins and other substances were determined. These results may enhance
the understanding of tea chemistry for improving the taste of products from green
tea.
PMID- 29655719
TI - Tea polyphenols enhance binding of porcine pancreatic alpha-amylase with starch
granules but reduce catalytic activity.
AB - The effects of tea polyphenols on binding of porcine pancreatic alpha-amylase
(PPA) with normal maize starch granules were studied through solution depletion
assays, fluorescence spectroscopy and initial rate kinetics. Only polyphenols
which have inhibitory activity against PPA increased the binding of PPA with
starch. The results are consistent with a binding equilibrium between
polyphenols, starch and PPA. The dissociation constant (Kd) for PPA binding was
decreased by tea polyphenols, with the effects greater for theaflavins than
catechins and for galloylated than non-galloylated polyphenols. Tea polyphenols
were also shown to increase the binding rate of PPA to starch. In addition, there
were positive linear correlations between 1/Kd and reciprocal of competitive
inhibition constant (1/Kic) and between 1/Kd and fluorescence quenching constant
(KFQ). Despite the greater amount of PPA on the granules, starch hydrolysis is
reduced because the polyphenol inhibition of PPA persists after binding to
starch.
PMID- 29655720
TI - Pretreatment with formic acid enhances the production of small peptides from
highly cross-linked collagen of spent hens.
AB - Small collagen peptides are associated with various benefits, such as bone and
skin health. However, preparation of small collagen peptides from terrestrial
vertebrate origins remains a challenge. Here, we show that pretreatment with
formic acid enhanced the production of small collagen peptides from spent hen
skin. After pretreatment with formic acid, the percentage of small peptides below
2 kDa increased to 48.92% and 43.34% from 33.79% and 36.32% for heat-soluble
collagen (HSC) and pepsin-soluble collagen (PSC), respectively. Pretreatment with
formic acid degraded telopeptides and released the cross-links (pyrrole and
pyridinoline), which made hen collagen more susceptible to papain hydrolysis. LC
MS/MS results revealed that none of the peptides identified from HSC-FA (formic
acid)-Papain and PSC-FA-Papain were derived from cross-linked telopeptides. These
results demonstrated that formic acid assisted the hydrolysis of highly cross
linked collagen of spent hens, and it might also be used to produce small
collagen peptides from other aged, vertebrate collagens.
PMID- 29655721
TI - Gamma irradiation-assisted degradation of rosmarinic acid and evaluation of
structures and anti-adipogenic properties.
AB - Radiation is a promising technique for improving the safety and shelf-life of
processed foods. In the present investigation, the degradation mechanism and
bioactivity improvement of rosmarinic acid (RA) were studied in response to
various gamma irradiation doses (10, 20, and 50 kGy). RA exposed to gamma
irradiation at 50 kGy was completely degraded and showed an increased inhibitory
effect against 3 T3-L1 preadipocyte compare to the parent compound. Structures of
the newly generated compounds 2-4 from irradiated RA at 50 kGy were elucidated
based on spectroscopic methods, including 1H nuclear magnetic resonance (NMR) and
mass spectrometry (MS). Interestingly, compounds 2 and 5 exhibited significantly
enhanced anti-adipogenic properties in 3 T3-L1 cells compared to the original
compound. These results provide evidence that structural changes in RA induced by
gamma irradiation might enhance biological efficacy.
PMID- 29655722
TI - A new strategy for statistical analysis-based fingerprint establishment:
Application to quality assessment of Semen sojae praeparatum.
AB - Semen sojae praeparatum with homology of medicine and food is a famous
traditional Chinese medicine. A simple and effective quality fingerprint
analysis, coupled with chemometrics methods, was developed for quality assessment
of Semen sojae praeparatum. First, similarity analysis (SA) and hierarchical
clusting analysis (HCA) were applied to select the qualitative markers, which
obviously influence the quality of Semen sojae praeparatum. 21 chemicals were
selected and characterized by high resolution ion trap/time-of-flight mass
spectrometry (LC-IT-TOF-MS). Subsequently, principal components analysis (PCA)
and orthogonal partial least squares discriminant analysis (OPLS-DA) were
conducted to select the quantitative markers of Semen sojae praeparatum samples
from different origins. Moreover, 11 compounds with statistical significance were
determined quantitatively, which provided an accurate and informative data for
quality evaluation. This study proposes a new strategy for "statistic analysis
based fingerprint establishment", which would be a valuable reference for further
study.
PMID- 29655723
TI - Thermal behavior of inosine 5'-monophosphate in acidic form and as alkali and
alkaline earth salts.
AB - Inosine 5'-monophosphate in acidic form and its lithium, potassium, magnesium,
calcium, strontium and barium were prepared from the sodium salt, characterized
by elemental analysis and Fourier transform infrared spectroscopy and submitted
to thermogravimetry (TG), differential thermal analysis (DTA), differential
scanning calorimetry (DSC) and thermogravimetry coupled to infrared spectroscopy
(TG-FTIR) of the volatile products evolved during heating. All the salts were
hydrated containing from 4 to 7.5 H2O. After dehydration these salts decomposed
releasing the nitrogenous base followed by the ribose group, and producing
pyrophosphates as final residue. Evolved Gas Analysis (EGA) reveled the release
of water, isocyanic acid and hydrocyanic acid during decomposition of the organic
moiety. It was observed only water loss up to 200 degrees C. At temperatures
above 200 degrees C, the nucleotides were unstable and decomposed, implying that
foods containing those additives should be processed below this temperature.
Finally, a general mechanism for the decomposition of the inosinates was
proposed.
PMID- 29655724
TI - Solid phase extraction with high polarity Carb/PSA as composite fillers prior to
UPLC-MS/MS to determine six bisphenols and alkylphenols in trace level hotpot
seasoning.
AB - The present study reports an ultra high-performance liquid chromatography tandem
mass spectrometry method for the simultaneous determination of six bisphenols
(bisphenol A, bisphenol B and bisphenol F) and alkylphenols (4-nonylphenol, 4-n
nonylphenol and octylphenol) in hotpot seasoning. Samples were dispersed in n
hexane after addition of internal standards bisphenol A-d4 and 4-n-nonylphenol
d4. Sample solutions were then centrifuged, and the supernatants purified using
solid phase extraction with high polarity Carb/PSA composite fillers. Six target
analytes were separated on a Waters ACQUITY BEH C18 column by gradient elution
with methanol and 0.05% ammonium hydroxide in water as the mobile phase, and
determined under multiple reactions monitoring mode. The limits of detection and
quantitation, matrix effect, recovery and precision of the method were
investigated. Results were linear in the concentration range 0.1-250 ug/L for all
compounds of interest, with R2 > 0.9950. Limits of detection were in the range
0.1-0.4 MUg/kg, and limits of quantitation were between 0.5 MUg/kg and 1.0
MUg/kg. The mean recoveries for negative samples at three spiked concentrations
were in the range 87.9%-102.4%, and the intra-day precision and inter-day
precision were in the ranges 2.1-8.2% and 4.8-11.2%, respectively. This method is
accurate and sensitive, and had good clean-up characteristics, which might apply
to screening and quantitation of target bisphenols and alkylphenols in hotpot
seasoning.
PMID- 29655725
TI - N,S co-doped carbon dots based fluorescent "on-off-on" sensor for determination
of ascorbic acid in common fruits.
AB - A switchable sensor based on N and S co-doped carbon dots (N,S-CDs) was developed
to determine ascorbic acid (AA) content in common fruits via fluorescence
quenching of N,S-CDs by Fe3+ (off) and recovery by AA (on). TEM showed that the
particle size was 2.35-7.95 nm with an average diameter of 5.12 nm. XPS and FTIR
confirmed the presence of -OH, -COOH and -NH2 on N,S-CDs surface. UV-vis and FTIR
revealed that the coordination of -COOH and -NH2 on N,S-CDs surface with Fe3+
accounted for FL quenching, and the release of -NH2 from coordination complexes
due to the reduction of Fe3+ by AA led to partly FL recovery. The sensor allowed
detecting AA in the linear range of 10-200 MUmol/L with a LOD of 4.69 MUmol/L. AA
contents in common fruits detected by the sensor were in good agreement with the
reference method (P > 0.05), indicating a practical fluorescent sensor for AA
detection in common fruits.
PMID- 29655726
TI - Validated multiclass targeted determination of antibiotics in fish with high
performance liquid chromatography-benchtop quadrupole orbitrap hybrid mass
spectrometry.
AB - High performance liquid chromatography, coupled with a benchtop Q-Exactive
Orbitrap high-resolution mass spectrometer, was successfully applied for the
determination of 24 target antibiotics (selected beta-lactams, tetracyclines,
fluoroquinolones, sulfonamids, phenicols, macrolides, cephalosporins,
lincosamides, diaminopyrimidine) in fish matrices. The Q-Exactive parameters were
carefully studied to accomplish the best compromise between a suitable scan speed
and selectivity, considering the restrictions associated with generic sample
preparation methodology. Retention time, an exact mass with tolerance of 2 ppm
and data-dependent MS2 spectra were the main identifiers. The method was
validated through specificity, linearity, recovery, intra- and inter-day
repeatability, decision limit (CCalpha) and detection capability (CCbeta),
according to 2002/657/EC. The values of CCalpha and CCbeta ranged from 29.2 to
36.8 and 32.5 to 48.9, respectively, while overall recovery ranged from 91.1 to
105.6%. Fifty fish samples were analysed, showing the sporadic incidence of
enrofloxacin, chlortetracycline, oxytetracycline, amoxicillin and trimethoprim,
albeit below the maximum residual levels.
PMID- 29655727
TI - Glycosaminoglycanomic profiling of human milk in different stages of lactation by
liquid chromatography-tandem mass spectrometry.
AB - Glycans in human milk serve several important biological functions that promote
infant health. As kind of important glycans, glycosaminoglycans (GAGs) are a
complex family of polyanionic carbohydrate, participating in a variety of
critical physiological and pathological processes. In this study, the content and
the detailed composition of human milk GAGs from Chinese mothers in different
stages of lactation, based on a liquid chromatography-tandem mass spectrometry
approach was investigated. The results showed that the GAG fraction in the human
milk samples was very complex as it was composed of heparan sulfate, chondroitin
sulfate, and hyaluronic acid. With lactation extending, the total amount of GAGs
in human milk decreased. This study provided an important guide for the demands
of GAGs during different stages of lactation. The results were also beneficial
for studies on the composition and functional properties of infant formula.
PMID- 29655728
TI - Influence of non-ionic emulsifier type on the stability of cinnamaldehyde
nanoemulsions: A comparison of polysorbate 80 and hydrophobically modified
inulin.
AB - Cinnamaldehyde nanoemulsions were formulated to enable its application in an
aqueous environment. The pure cinnamaldehyde nanoemulsions, stabilized by
polysorbate 80 (at concentrations >0.5%), had both a higher stability and smaller
droplet size, whereas the emulsions containing hydrophobically modified inulin
(HMI) formed a colloidal dispersion with larger particle size. Incorporation of
sunflower oil (SO) allowed postponement of Ostwald ripening for a sufficiently
long period of time (at least 60 days). Cryo-SEM and droplet size analyses of the
nanoemulsions emulsified by HMI revealed no significant changes during storage.
Under these conditions, HMI as an emulsifier exhibited a powerful resistance to
high salt contents (up to 2 M) and high thermal processing temperatures (90
degrees C). The surfactant type and SO content had no marked influence on the
antimicrobial activity of the nanoemulsions. This study provides precious
information for a commercial formulation of nanoemulsions with durable physical
stability under severe stress conditions.
PMID- 29655729
TI - In vitro effects of rebaudioside A, stevioside and steviol on porcine cytochrome
p450 expression and activity.
AB - The physiological effects of the Stevia-derived compounds, rebaudioside A,
stevioside and steviol have been the focus of several studies due to their use as
sweeteners in food. Despite that, little is known about their potential food-drug
interactions. In the present study, IPEC-J2 cells and primary hepatocytes were
used to investigate the effect of rebaudioside A, stevioside and steviol on
cytochrome p450 (CYP) mRNA expression. Moreover, hepatic microsomes were used to
investigate direct interactions between the compounds and specific CYP activity.
In IPEC-J2 no changes in mRNA expression of CYP1A1 or CYP3A29 were observed with
the Stevia-derived compounds. In primary hepatocytes all three tested compounds
induced a significant increase in CYP3A29 expression. The tested compounds had no
direct effect on specific CYP activity. In conclusion, rebaudioside A, stevioside
and steviol induce only minor or no changes to the CYP expression and activity,
and are not likely to cause food-drug interactions.
PMID- 29655730
TI - NMR- and GC/MS-based metabolomic characterization of sunki, an unsalted fermented
pickle of turnip leaves.
AB - This study revealed the compositional characteristics of sunki, a traditional,
unsalted, lactic acid-fermented pickle produced using turnip leaf in Kiso
district, Japan. Comprehensive compositional analysis by two metabolomic
approaches based on NMR and solid-phase microextraction-GC/MS methods was used to
determine its chemical composition by annotating 54 water-soluble and 62 volatile
compounds. Principal component analysis showed that samples had different
compositions, depending on the agricultural processing factory and production
year. This variation potentially resulted from the differences in the lactic acid
bacterial community produced during the spontaneous fermentation of sunki and in
the initial nutritional composition of the turnip leaf. Partial least squares
regression revealed that the acetic acid level showed a strong positive
correlation with pH (R = 0.810), in contrast to the negative correlations of
lactic acid and ethanol levels (R = -0.533 and -0.547). This indicated the
crucial impact of acetic acid-related metabolism on acidification during sunki
fermentation.
PMID- 29655731
TI - Rapid detection and quantification of 2,4-dichlorophenoxyacetic acid in milk
using molecularly imprinted polymers-surface-enhanced Raman spectroscopy.
AB - We report the development of a molecularly imprinted polymers-surface-enhanced
Raman spectroscopy (MIPs-SERS) method for rapid detection and quantification of a
herbicide residue 2,4-dichlorophenoxyacetic acid (2,4-D) in milk. MIPs were
synthesized via bulk polymerization and utilized as solid phase extraction
sorbent to selectively extract and enrich 2,4-D from milk. Silver nanoparticles
were synthesized to facilitate the collection of SERS spectra of the extracts.
Based on the characteristic band intensity of 2,4-D (391 cm-1), the limit of
detection was 0.006 ppm and the limit of quantification was 0.008 ppm. A simple
logarithmic working range (0.01-1 ppm) was established, satisfying the
sensitivity requirement referring to the maximum residue level of 2,4-D in milk
in both Europe and North America. The overall test of 2,4-D for each milk sample
required only 20 min including sample preparation. This MIPs-SERS method has
potential for practical applications in detecting 2,4-D in agri-foods.
PMID- 29655732
TI - Characterization and comparison of key aroma compounds in raw and dry porcini
mushroom (Boletus edulis) by aroma extract dilution analysis, quantitation and
aroma recombination experiments.
AB - A study was carried out to determine and compare the key aroma compounds in raw
and dry porcini mushroom (Boletus edulis). The volatile fractions were prepared
by solvent-assisted flavor evaporation (SAFE), and aroma extract dilution
analysis (AEDA) combined with gas chromatography-mass spectrometry (GC-MS) was
employed to identify the odorants. Selected aroma compounds were quantitated and
odor activity values (OAVs) were calculated revealing OAVs >= 1 for 12 compounds
in raw porcini, among which 1-octen-3-one showed the highest OAV. In addition to
compounds with eight carbon atoms, 3-methylbutanal, (E,E)-2,4-decadienal and
(E,E)-2,4-nonadienal were also responsible for the unique aroma profile. In dry
mushroom OAVs >= 1 were obtained for 20 odorants. Among them, 3
(methylthio)propanal, 1-octen-3-one and pyrazines were determined as predominant
odorants. Overall, drying increased complexity of volatile compounds, thus
significantly changing the aroma profile of porcini, providing more desirable
roasted and seasoning-like flavor and less grass-like and earthy notes.
PMID- 29655733
TI - Particulate nanocomposite from oyster (Crassostrea rivularis) hydrolysates via
zinc chelation improves zinc solubility and peptide activity.
AB - An oyster protein hydrolysates-zinc complex (OPH-Zn) was prepared and
investigated to improve zinc bioaccessibility. Zinc ions chelating with oyster
protein hydrolysates (OPH) cause intramolecular and intermolecular folding and
aggregation, homogeneously forming the OPH-Zn complex as nanoclusters with a Z
average at 89.28 nm (PDI: 0.16 +/- 0.02). The primary sites of zinc-binding in
OPH were carboxyl groups, carbonyl groups, and amino groups, and they were
related to the high number of charged amino acid residues. Furthermore, formation
of the OPH-Zn complex could significantly enhance zinc solubility both under
specific pH conditions as well as during simulated gastrointestinal digestion,
compared to the commonly used ZnSO4. Additionally, after digestion, either
preserved or enhanced antioxidant activity of OPH was found when chelated with
zinc. These results indicated that the OPH-Zn complex could be a potential
functional ingredient with improved antioxidant bioactivity and zinc
bioaccessibility.
PMID- 29655734
TI - Toward water-solvation of rice proteins via backbone hybridization by casein.
AB - Water insolubility is one of the major bottlenecks restricting the commercial
availability of such food proteins as rice proteins (RPs), zein, etc. Here, we
report that the structural hybridization of RPs and casein in a mass ratio of
1:0.01 can boost the solubility of RPs to over 90%. A structural analysis
demonstrated that the backbones of the RPs and casein were integrated in basic
solution (pH 12.0) and folded together into higher structures with subsequent
neutralization. The hybrid backbones obtained improved the molecules' resistance
to structural changes during neutralization, and formed protein bodies with
appreciable exposed polar groups, which may have been buried in the absence of
casein. Morphological observations confirmed the formation of well-defined
nanoscale particles with no visible aggregation. Both proteins also retained
their intact primary peptide chains, thus significantly protecting the original
nature of the plant and animal derivatives.
PMID- 29655735
TI - Profiling, quantification and classification of cocoa beans based on chemometric
analysis of carbohydrates using hydrophilic interaction liquid chromatography
coupled to mass spectrometry.
AB - Fifty-six cocoa bean samples from different origins and status of fermentation
were analyzed by a validated hydrophilic interaction liquid chromatography
electrospray ionization-time of flight-mass spectrometry (HILIC-ESI-TOF-MS)
method. The profile of the low molecular weight carbohydrate (LMWC) was analyzed
by high resolution and tandem mass spectrometry, which allowed the identification
of mono-, di-, tri- and tetrasaccharides, sugar alcohols and iminosugars. This
study provides, for the first time in a large set of samples, a comprehensive
absolute quantitative data set for the carbohydrates identified in cocoa beans
(fructose, glucose, mannitol, myo-inositol, sucrose, melibiose, raffinose and
stachyose). Differences in the content of carbohydrates were observed between
unfermented (range of 0.9-4.9 g/g DM) and fermented (range 0.1-0.5 g/g DM) cocoa
beans. The use of multivariate statistical tools allowed the identification of
biomarkers suitable for cocoa bean classification according to the status of
fermentation, procedure of fermentation employed and number of days of
fermentation.
PMID- 29655736
TI - The molecularly imprinted polymer supported by anodic alumina oxide nanotubes
membrane for efficient recognition of chloropropanols in vegetable oils.
AB - A new route to synthesize a covalent interaction-based molecularly imprinted
polymer (MIP) material for 3-chloro-1,2-propanediol (3-MCPD) inside the nanopores
of anodic alumina oxide (AAO) is presented. A series of adsorption experiments
showed MIP had good extraction capacity and selectivity for 3-MCPD. In order to
evaluate the usability of the MIP nanotubes membrane, a method combining AAO@MIP
membrane extraction with gas chromatography - mass spectrometry (GC-MS) detection
was developed for determination of chloropropanols. The limits of detection for
the proposed method were 0.072 and 0.13 ug.L-1, respectively, for 3-MCPD and 1,3
DCP. The average recoveries of 3-MCPD and 1,3-DCP spiked oil samples at three
concentrations (0.01, 0.05 and 0.1 mg.kg-1) were in the range of 75.6-101.0% with
a RSD of 3.3-8.4%, indicating the method would be suitable for determination of
chloropropanols in vegetable oils.
PMID- 29655737
TI - Interactions of green coffee bean phenolics with wheat bread matrix in a model of
simulated in vitro digestion.
AB - Interactions of phenolics from green coffee bean flour (GCS) with the matrix of
wheat bread have been studied employing direct (electrophoretic and
chromatographic techniques) and indirect tests (nutrient digestibility).
According to the chromatograms of digests, the antiradical activity of enriched
bread was exhibited by free phenolics. An increase the area of chromatograms and
some additional peaks observed for enriched bread may confirm some interactions
of proteins with phenolics. The electrophoretic profile of these extracts showed
that the band corresponding to a protein with molecular mass of 38 kDA had much
higher intensity in enriched bread. Electrophoretic analysis of pellets remaining
after digestion revealed GCS dose-dependent differences in bands corresponding to
proteins with molecular masses of 52 kDa and 23 kDa. The relative digestibility
of both starch and proteins was slightly decreased by addition of GCS; however,
these changes did not exceed 10%, which justifies the use of this functional
material.
PMID- 29655738
TI - Excitation wavelength selection for quantitative analysis of carotenoids in
tomatoes using Raman spectroscopy.
AB - The difference in Raman spectra for different excitation wavelengths (532 nm, 785
nm, and 1064 nm) was investigated to identify an appropriate wavelength for the
quantitative analysis of carotenoids in tomatoes. For the 532 nm-excited Raman
spectra, the intensity of the peak assigned to the carotenoid has no correlation
with carotenoid concentration, and the peak shift reflects carotenoid composition
changing from lycopene to beta-carotene and lutein. Thus, 532 nm-excited Raman
spectra are useful for the qualitative analysis of carotenoids. For the 785 nm-
and 1064 nm-excited Raman spectra, the peak intensity of the carotenoid showed
good correlation with carotenoid concentration; thus, regression models for
carotenoid concentration were developed using these Raman spectra and partial
least squares regression. A regression model designed using the 785 nm-excited
Raman spectra showed a better result than the 532 nm- and 1064 nm-excited Raman
spectra. Therefore, it can be concluded that 785 nm is the most suitable
excitation wavelength for the quantitative analysis of carotenoid concentration
in tomatoes.
PMID- 29655739
TI - Contribution to the study of rutin stability in the achenes of Tartary buckwheat
(Fagopyrum tataricum).
AB - Tartary buckwheat achenes are rich in rutin. However, its utilisation as a food
is low due to the bitter taste of the products because of the transformation of
rutin to quercetin. The aim of this study was to determine the stability of rutin
and selected flavonoids, which are influenced by the different sample
preparations exposing the achenes to higher temperatures (60 degrees C, 100
degrees C, and 140 degrees C), and also to determine the specificity of the
rutin degrading enzymes in Tartary buckwheat. The achenes were directly extracted
with methanol pre-extracted with hexane, or lyophilised before extraction. The
rutin and quercetin contents were determined by HPLC. The rutin-degrading enzymes
in Tartary buckwheat achenes were not inactivated after a heat treatment up to
140 degrees C acting on them for three hours; neither to lyophilisation nor pre
extraction with hexane. The rutin-degrading enzymes probably caused the
deglycosylation of kaempferol-3-O-rutinoside, kaempferol-3-O-beta-d-glucoside and
piceid. Rutin-degrading enzymes were inactivated with 100% methanol.
PMID- 29655740
TI - Formation and characterization of zein-propylene glycol alginate-surfactant
ternary complexes: Effect of surfactant type.
AB - In this study, zein, propylene glycol alginate (PGA) and surfactant ternary
complexes were fabricated by antisolvent co-precipitation method. Two types of
surfactants (rhamnolipid and lecithin) were applied to generate zein-PGA
rhamnolipid (Z-P-R) and zein-PGA-lecithin (Z-P-L) ternary complexes,
respectively. Results showed that the surfactant types significantly affected the
properties of ternary complexes. The formation of ternary complexes was mainly
due to the non-covalent interactions such as hydrogen bonding, electrostatic
interaction and hydrophobic interactions among zein, PGA and surfactants.
Moreover, the thermal stability of ternary complexes was enhanced with increasing
the levels of both surfactants. Notably, ternary complex dispersions exhibited
better stability against pH from 2 to 8. Furthermore, a compact network structure
was observed in Z-P-R ternary complex, while Z-P-L ternary complex remained the
spherical structure. These findings would provide new insights into the
development of novel delivery system and expand the options, when zein-based
complexes were utilized under different environment conditions.
PMID- 29655741
TI - Pb2+ and Hg2+ removal from polluted milk by di-acrylated Pluronic P123 hydrogels.
AB - Milk is often polluted by heavy metal ions due to the growing environment
pollution, but few methods have been developed to remove the heavy metal ions.
Here a non-toxic sorbent, namely di-acrylated Pluronic P123 (P123-DA) hydrogel,
was fabricated for removal of Hg2+ and Pb2+ from milk without impairing their
nutritive contents. This hydrogel possessed high mechanical stress and maximum
adsorption capacity of 35.2 and 53.9 mg/g for Pb2+ and Hg2+. The removal ratio of
Pb2+ and Hg2+ by P123-DA hydrogel respectively reached 85.3% and 81.9% for the
polluted whole milk while was individually 86.3% and 83.8% for the skim milk.
Interestingly, the treatment by P123-DA hydrogel didn't significantly reduce the
main nutritive contents in milk. Such hydrogel will be a recyclable, safe and
effective tool for reuse of milk that polluted by heavy metal ions.
PMID- 29655742
TI - Lactulose determination in UHT milk by CZE-UV with indirect detection.
AB - Lactulose is not found naturally in milk, but it has been detected in small
quantities in heated dairy products. It can therefore be used as an indicator of
the severity of heat treatment for dairy products. This study proposes an
alternative method for lactulose quantification in milk using capillary zone
electrophoresis with ultraviolet detection (CZE-UV). The investigation used
several Brazilian UHT milk samples, and various sample pretreatment methods and
injection conditions were considered. Optimal separation results were achieved
using Carrez clarification solutions. Low detection and quantification limits
were obtained, and good precision and high recovery rates indicated the
applicability of the method for analysis of milk. Due to matrix effects, single
point standard addition was used for lactulose quantification. High lactulose
concentrations (>1000 mg L-1) suggested that recirculation may have occurred
during milk processing. The findings demonstrate that the CZE-UV method is a
viable alternative for quality process control.
PMID- 29655743
TI - Hyperspectral imaging for non-destructive prediction of fermentation index,
polyphenol content and antioxidant activity in single cocoa beans.
AB - The aim of the current work was to use hyperspectral imaging (HSI) in the
spectral range 1000-2500 nm to quantitatively predict fermentation index (FI),
total polyphenols (TP) and antioxidant activity (AA) of individual dry fermented
cocoa beans scanned on a single seed basis, in a non-destructive manner.
Seventeen cocoa bean batches were obtained and 10 cocoa beans were used from each
batch. PLS regression models were built on 170 samples. The developed HSI
predictive models were able to quantify three quality-related parameters with
sufficient performance for screening purposes, with external validation R2 of
0.50 (RMSEP = 0.27, RPD = 1.40), 0.70 (RMSEP = 34.1 mg ferulic acid g-1, RPD =
1.77) and 0.74 (60.0 mmol Trolog kg-1, RPD = 1.91) for FI, TP and AA,
respectively. The calibrations were subsequently applied at a single bean and
pixel level, so that the distribution was visualised within and between single
seeds (chemical images). HSI is thus suggested as a promising approach to
estimate cocoa bean composition rapidly and non-destructively, thus offering a
valid tool for food inspection and quality control.
PMID- 29655744
TI - Immunomodulatory acidic polysaccharides from Zizyphus jujuba cv. Huizao: Insights
into their chemical characteristics and modes of action.
AB - Chinese jujube is commonly used in folklore medicine. This study aimed to examine
the in vivo immunomodulatory activity of two acidic polysaccharides, HP1 and HP2,
extracted and purified from Zizyphus jujuba cv. Huizao (which remains extensively
unexplored). HP1 and HP2 had the same monosaccharide species and manganese
contents, but differed in their molar rhamnose, arabinose, mannose, glucose,
galactose and uronic acid contents (7.32 and 35.9%, as galacturonic acid), Mw
(68.7 and 111 kDa, respectively), and contents of K, Cr, Cu, Zn, Pb and Ca. Both
HP1 and HP2 could significantly (P < 0.05) increase spleen and thymus indices,
promote serum hemolysin formation, enhance the phagocytic activity of macrophages
and inhibit footpad edema of mice, with HP2 likely being a more consistent and
potent immunomodulator. This study clearly demonstrates the potential of Z.
jujuba cv. Huizao polysaccharides as immunomodulators and their associated
chemical characteristics and working mechanisms.
PMID- 29655745
TI - Study of the biochemical formation pathway of aroma compound 1-phenylethanol in
tea (Camellia sinensis (L.) O. Kuntze) flowers and other plants.
AB - After tea leaves, tea (Camellia sinensis) flowers are becoming a second tea plant
resource because they contain not only functional metabolites similar to those
found in tea leaves, but also predominant amounts of functional metabolites that
only occur in tea leaves in small amounts. 1-Phenylethanol (1PE) is a predominant
aroma compound found in tea flowers. A 1PE synthase in tea flowers was isolated,
functionally characterized, and shown to have the highest catalytic efficiency
for the conversion of acetophenone (AP). To determine why 1PE accumulates more in
tea flowers than other plants, we compared their 1PE contents and used a stable
isotope labeling method to elucidate the 1PE biosynthetic route. Supplementation
with [2H8]l-phenylalanine and [2H5]AP suggested that most plants containing the
enzyme/gene catalyzed the conversion of AP to 1PE. Furthermore, the availability
of AP derived from l-phenylalanine was responsible for the difference in 1PE
accumulation between tea flowers and other plants.
PMID- 29655746
TI - Identification of pyruvate kinase as a novel allergen in whiteleg shrimp
(Litopenaeus vannamei) by specific-IgE present in patients with shrimp allergy.
AB - Food allergy is one of the most important health issues worldwide. In Taiwan,
current literature suggests shrimps and crabs are the most common causes of food
allergy, and are frequently associated with acute allergic reactions such as
urticaria, atopic dermatitis, and asthma. However, knowledge regarding the shrimp
allergens remains limited. Thus, there is an urgent need to establish
comprehensive information for elucidating underlying triggers for food allergy.
In this study, whiteleg shrimp (Litopenaeus vannamei) was used to evaluate the
IgE-binding properties of various shrimp proteins to 7 allergic patients' sera by
western blot. A 63 kDa protein was found in raw and cooked shrimp bound to
specific-IgEs in 7 and 4 patients' sera, respectively. This protein was further
identified as pyruvate kinase based on the proteomic mass spectrometry. This
study identifies an important shrimp allergen unique to Taiwan and further
testing and prevention measures might be implemented in the allergen analysis.
PMID- 29655747
TI - Comparative performances of lactoferrin-loaded liposomes under in vitro adult and
infant digestion models.
AB - There remain gaps in our understanding of the fate of liposomes in the infant
gastrointestinal tract, especially regarding essential proteins such as
lactoferrin. Models in vitro that mirrored digestion in the stomach and intestine
of infants and adults were used to explore the behaviour of lactoferrin-loaded
liposomes. The liposomes behaved differently in these environments, with less
hydrolysis of encapsulated lactoferrin under infant model conditions. Compared to
the adult model (1000 +/- 66 MUM mL-1), fewer free fatty acids were released (500
+/- 43 MUM mL-1) from liposomal bilayers and there was less alteration in
functional groups of phospholipids membranes, based on pH and FTIR after infant
model digestion. Particle tracking analysis and TEM supported the reduced damage
of particle structure under infant model conditions. This work provides
information on the stability of functional protein-loaded liposomes during
digestion, and shows the potential of liposomes to be nutrient carriers in infant
foods.
PMID- 29655748
TI - 87Sr/86Sr isotopes in grapes of different cultivars: A geochemical tool for
geographic traceability of agriculture products.
AB - 87Sr/86Sr was determined on fresh red and white grapes, soils and rocks from
three selected vineyards to verify the isotopic relationships between the fruit
of the vine and geologic substrata of vineyards. 87Sr/86Sr were determined on
sampled grapes of four different harvest years and different grape varieties, on
bioavailable fraction of soils, on whole soils, and on bedrocks from the geo
pedological substratum of the vineyards. The vineyards chosen for the
experimental works belong to an organic farming winery and thus cultivation
procedures were strictly controlled. Grapes were sampled during the harvests of
four different but consecutive years with 87Sr/86Sr that does not change
reflecting the values of the soil bioavailable fraction. No variations among
grapes from different vine cultivars were observed. A strict isotope relationship
with soil bio-available fraction was observed. These findings demonstrate the
reliability of 87Sr/86Sr, even at a very small scale, for food products
geographic origin assessment.
PMID- 29655749
TI - Development of a statistical model to detect quality and storage conditions of
Atlantic salmon.
AB - The ever-increasing demand for fish as a food, has led to the development of new
handling and packaging technologies resulting in premium quality fish products.
In order to avoid frauds reaching the market, fish quality assurance methods need
to be developed. In this study, two statistical models of biochemical processes
that occur in Atlantic salmon during two weeks of storage at 0 and 4 degrees C
were developed. These models were further used to detect salmon quality and its
storage conditions. The biochemical processes were monitored using Nuclear
Magnetic Resonance (NMR) spectroscopy and principal component analysis (PCA). The
Soft Independent Modeling of Class Analogy (SIMCA) approach was applied to
develop and evaluate the models. The fraud detection potential of the models was
tested using samples of various quality and storage parameters. It was shown that
the developed models are able to discriminate quality, time and temperature of
stored Atlantic salmon.
PMID- 29655750
TI - Structure-activity relationships between sterols and their thermal stability in
oil matrix.
AB - Structure-activity relationships between 20 sterols and their thermal stabilities
were studied in a model oil system. All sterol degradations were found to be
consistent with a first-order kinetic model with determination of coefficient
(R2) higher than 0.9444. The number of double bonds in the sterol structure was
negatively correlated with the thermal stability of sterol, whereas the length of
the branch chain was positively correlated with the thermal stability of sterol.
A quantitative structure-activity relationship (QSAR) model to predict thermal
stability of sterol was developed by using partial least squares regression
(PLSR) combined with genetic algorithm (GA). A regression model was built with R2
of 0.806. Almost all sterol degradation constants can be predicted accurately
with R2 of cross-validation equals to 0.680. Four important variables were
selected in optimal QSAR model and the selected variables were observed to be
related with information indices, RDF descriptors, and 3D-MoRSE descriptors.
PMID- 29655751
TI - Isorenieratene interaction with human serum albumin: Multi-spectroscopic analyses
and docking simulation.
AB - Isorenierantene was reported to be an aromatic carotenoid and has become a food
ingredient, mainly from smear cheese, which possesses special antioxidant ability
and significant photoprotective effect. In this paper, the interaction of
isorenieratene with human serum albumin (HSA) was explored and compared with that
of nonaromatic carotenoids using multi-spectroscopic methods and docking
simulations. The results suggested isorenieratene could bind to HSA through a
static quenching mechanism. The binding processes were spontaneous and
exothermic, and the binding between isorenieratene and HSA was mainly driven by
hydrophobic forces and electrostatic attraction. Synchronous fluorescence
spectroscopy, Fourier transform infrared (FT-IR) spectroscopy, and surface
enhanced Raman scattering (SERS) experiments showed isorenieratene may change the
secondary structure of HSA and the micro-environment of its amino acids.
Additionally, isorenieratene showed higher stability during the binding process
than did nonaromatic carotenoids. Docking simulation indicated isorenieratene may
bind to HSA at site II.
PMID- 29655752
TI - Systematic evaluation of phenolic compounds and protective capacity of a new
mulberry cultivar J33 against palmitic acid-induced lipotoxicity using a
simulated digestion method.
AB - This research aimed to investigate the protective effects of a new mulberry
cultivar J33 with simulated gastrointestinal digestion against palmitic acid (PA)
induced lipotoxicity. LC-MS analysis revealed that the contents of four flavonoid
glycosides (quercetin rhamnosylhexoside hexoside, quercetin rhamnosylhexoside,
quercetin hexoside, kaempferol rhamnosylhexoside) increased after digestion.
Besides, mulberry digest (MBD) at 0.5-2 mg/mL significantly reduced PA-induced
lipotoxicity in human hepatocytes, while mulberry extract without digestion (MBE)
showed no protection. Further investigations demonstrated that the protection of
MBD was attributed to two aspects. On the one hand, MBD could attenuate PA
induced oxidative stress by suppressing ROS accumulation, regulating
intracellular glutathione and ameliorating mitochondrial dysfunction. On the
other hand, MBD could promote PA incorporation into inert triglycerides (TG) to
deal with the acute lipid overload, reducing the lipotoxicity caused by PA.
Overall, our research might provide a new perspective of mulberry cultivar J33 in
ameliorating non-alcoholic fatty liver disease (NAFLD).
PMID- 29655753
TI - Detection of Fe(III)EDTA by using photoluminescent carbon dot with the aid of F-
ion.
AB - Iron(III) ethylenediaminetetraacetate (Fe(III)EDTA) is widely used in iron
fortification for reducing iron deficiency, and its determination is urgently
needed. The present work developed a fluorescent method to straightforwardly
determine Fe(III)EDTA by using photoluminescent carbon dots (C-dots) with the aid
of F- ions as the masking agent of free Fe3+ ions. In the presence of F- ions,
only Fe(III)EDTA selectively quenched the photoluminescence of C-dots, and both
Fe3+ and Fe2+ as well as other carboxylic acids have no effect on the emission of
C-dots. The sensing mechanism was attributed to the ligand-tailored electron
transfer process from C-dots to Fe3+. Under optimum conditions, this method
showed a linear calibration plot over the Fe(III)EDTA range of 1.0-200 MUmol L-1
and a detection limit of 0.4 MUmol L-1. The proposed method was successfully
applied to determine Fe(III)EDTA in real samples with acceptable recoveries of
spikes (95%-110%) and repeatability (RSD, 4.2%-9.5%).
PMID- 29655754
TI - Green and fast determination of the alcoholic content of wines using thermal
infrared enthalpimetry.
AB - An innovative use of thermal infrared enthalpimetry (TIE) is proposed for the
determination of alcoholic content of red and white wines. Notwithstanding the
presence of ethanol in beverages, absolute ethanol was added directly to wines,
and the temperature rise caused by the heat of dilution was monitored using an
infrared camera. Analytical signals were obtained in only 10 s for four samples
simultaneously, and a calibration curve was constructed with hydroalcoholic
reference solutions. A linear calibration curve was obtained from 3.0 to 18.0%
(v/v) ethanol (R2 = 0.9987). The results showed agreement ranging from 98.2 to
104.0% with 942.06 and 969.12 methods of AOAC. Organic compounds (e.g., sugar)
did not interfere in the determinations. The proposed method provided fast
results, with a throughput of 480 samples per hour and negligible energy
consumption (0.001 kWh). In addition, the consumption of reagents was reduced
when compared with conventional method fulfilling green analytical chemistry
requirements.
PMID- 29655755
TI - Concentration of natural aroma compounds from fruit juice hydrolates by
pervaporation in laboratory and semi-technical scale. Part 1. Base study.
AB - The first article of a two-article series presents pervaporation (PV) of volatile
aroma compounds from fruit juice hydrolates (plum, apple, blackcurrant and
cherry). The purpose of this research was to evaluate the effectiveness of fruit
juice hydrolates separation on a laboratory scale (inert gas flow pervaporation
system) and semi-technical (vacuum pervaporation system) by means of
pervaporation. To create precise matrices for hydrolates before and after
pervaporation for each of the separated systems, solid phase microextraction
(SPME) technique and the gas chromatography-mass spectrometry (GC-MS) was
applied. Sensory analysis confirmed improvement of aroma note of concentrated
permeates as compared to feed hydrolates solutions. The results indicated that
pervaporation may be applied in condensing aromatic water or fruit juice
hydrolate, which may significantly enhance product quality and lengthen shelf
life.
PMID- 29655756
TI - Effects of ocean warming and acidification, combined with nutrient enrichment, on
chemical composition and functional properties of Ulva rigida.
AB - Ulva is increasingly viewed as a food source in the world. Here, Ulva rigida was
cultured at two levels of temperature (14, 18 degrees C), pH (7.95, 7.55,
corresponding to low and high pCO2), and nitrate conditions (6 MUmol L-1, 150
MUmol L-1), to investigate the effects of ocean warming, acidification, and
eutrophication on food quality of Ulva species. High temperature increased the
content of each amino acid. High nitrate increased the content of all amino acids
except aspartic acid and cysteine. High temperature, pCO2, and nitrate also
increased the content of most fatty acids. The combination of high temperature,
pCO2, and nitrate increased the swelling capacity, water holding capacity, and
oil holding capacity by 15.60%, 7.88%, and 16.32% respectively, compared to the
control. It seems that the future ocean environment would enhance the production
of amino acid and fatty acid as well as the functional properties of Ulva
species.
PMID- 29655757
TI - Fucoxanthin bioavailability from fucoxanthin-fortified milk: In vivo and in vitro
study.
AB - Our previous study reported the improved stability of fucoxanthin (FX) fortified
in whole milk (WM) and skimmed milk (SM). In this study, in vivo and in vitro FX
bioavailability were investigated using FX-fortified milk (FX-SM and FX-WM) and
microalga Phaeodactylum tricornutum biomass (Pt-powder). Organ tissue
accumulation of FX and its metabolites (FXOH: fucoxanthinol, AXA:
amarouciaxanthin A) after repeated oral administration was in the following
order: FX-SM > FX-WM > Pt-powder. In vivo pharmacokinetic study with a single
oral administration also demonstrated that the absorption of FXOH and AXA was the
highest for FX-SM. To reinforce the in vivo results, in vitro-simulated digestion
and Caco-2 cell uptake assays were performed, which revealed that FX-SM showed
the highest FX bioaccessibility (release from food matrices) and cellular uptake
efficiency of FX and FXOH. In conclusion, skimmed milk was validated as an
excellent food matrix for FX application in terms of stability and
bioavailability.
PMID- 29655758
TI - Characterisation of the antioxidant peptide AEEEYPDL and its quantification in
Spanish dry-cured ham.
AB - Bioactive peptides derived from food matrices are currently a subject of
intensive research, due to the multiple health benefits they can exert in the
human body. However, the small size and low abundance of these peptides in
complex matrices as well as the effect of food processing and gastrointestinal
digestion on their structure, sequence, and functionality, are important
challenges. Spanish dry-cured ham has been reported as a good and natural source
of bioactive peptides mainly showing antihypertensive and antioxidant activities.
In this work, the antioxidant peptide AEEEYPDL, identified from 9 months of
curing dry-cured ham, showed good resistance to different heat treatments and
salt contents. The bioactivity of the peptide significantly decreased after
simulated gastrointestinal digestion. An optimised multiple reaction monitoring
methodology was able to quantify the peptide AEEEYPDL at a concentration of 0.148
fg per g of dry-cured ham, despite the existing challenges in the quantification
of bioactive peptides from complex matrices.
PMID- 29655759
TI - Determination of 21 antibiotics in sea cucumber using accelerated solvent
extraction with in-cell clean-up coupled to ultra-performance liquid
chromatography-tandem mass spectrometry.
AB - An accelerated solvent extraction (ASE) with in-cell clean-up method coupled to
ultra-performance liquid chromatography-tandem mass spectrometry (UPLC-MS/MS) was
developed to determine 21 antibiotics in sea cucumber. The analytes include 10
sulfonamides, 4 fluoroquinolones, 3 amphenicols, 2 beta-lactams, 1 lincosamide
and trimethoprim. Optimal parameters of ASE method were obtained at 80 degrees
C, 1 static cycle of 5 min with methanol/acetonitrile (1/1, v/v) using 2 g of C18
as adsorbent. Recoveries at 50.1-129.2% were achieved with RSD under 20%. Method
detection limits ranged from 0.03 to 2.9 MUg kg-1. Compared to the reported
ultrasound-assisted extraction method, the proposed method offered comparable
extraction efficiency for sulfonamides from sea cucumber, but higher for other
categories of antibiotics. This validated method was then successfully applied to
sea cucumber samples and 9 antibiotics were detected with the highest
concentration up to 57.7 MUg kg-1 for norfloxacin.
PMID- 29655760
TI - Psidium cattleianum fruits: A review on its composition and bioactivity.
AB - Psidium cattleianum Sabine, commonly known as araca, is a Brazilian native fruit,
which is very juicy, with sweet to sub acid pulp and a spicy touch. The fruit can
be eaten fresh or processed into juice, jellies and ice creams. Aracas are source
of vitamin C, minerals, fatty acids, polysaccharides, volatile compounds,
carotenoids and phenolic compounds, which can provide nutrients and phytochemical
agents with different biological functions. Different pharmacological studies
demonstrate that P. cattleianum exerts antioxidant, antidiabetic,
anticarcinogenic, antimicrobial, anti-inflammatory and antiaging effects. Thus,
this article aims to review the chemical composition and biological effects
reported for araca fruit in the last years.
PMID- 29655761
TI - The relationship between culinary skills and eating behaviors: Challenges and
opportunities for parents and families.
AB - Unhealthy dietary intake among American children and adults is of great concern
to public health practitioners, nutritional scientists, and child development
experts. Cooking skills are related to healthier dietary intake among Americans
of all ages, but remain a substantial barrier for many parents who want to serve
healthy meals for their families at home. Culinary education interventions are
effective solutions for many parents who do not know how to cook, but issues with
participation bias mean that these programs are not effective solutions for all
individuals. The food industry should develop solutions to help those parents for
whom learning cooking skills is not an option - specifically through the
development of healthier pre-assembled or prepared foods that do not require
cooking skills to make. In the future, the research community should also strive
to collect comprehensive population-based data on the state of cooking skills in
the United States.
PMID- 29655762
TI - Amateur endurance triathletes' performance is improved independently of volume or
intensity based training.
AB - The aim of the present research was to compare the effects in swimming and
running performance, horizontal jump test, autonomic modulation, and body
composition of four training weeks with emphasis on volume versus intensity in
moderate trained triathletes. Thirty-two amateur triathletes (20 males and 12
females) were randomly divided in three different groups that performed 6
training session per week: Intensity (INT): training focused on performs
intensity training Volume (VOL): training focused on performs volume training;
and Control (CON): physical active group with no periodized training. Body
composition, heart rate variability, horizontal jump test, swimming and 2000 m
running test were tested before and after the training period. There were no
significant differences between INT and VOL in running test. Furthermore, both
INT and VOL training groups improved 50 m (p: 0.046 and 0.042 respectively) and
400 m (p: 0.044 and 0.041 respectively) swimming performance. Moreover, there
were no significant differences among groups in any moment in HRV variables. No
significant difference was observed for horizontal jump test and body composition
between the INT and VOL group at any time. According to the results of the
present study, four weeks of training with either high intensity or volume
results to similar adaptations in endurance, horizontal jump test and body
composition parameters in amateur triathletes.
PMID- 29655764
TI - Anomalous Pulmonary Venous Drainage and Pulmonary Vein Stenosis.
PMID- 29655763
TI - Sleeping oxygen saturation, rapid eye movement sleep, and the adaptation of
postprandial metabolic function in insulin sensitive and resistant individuals
without diabetes.
AB - AIMS: Sleeping oxygen saturation (SaO2) and sleep stage duration have been linked
with prediabetic alterations but the pathogenic pathways are not well understood.
This study of insulin sensitive and resistant adults examined the effect on
postprandial metabolic regulation of repeated mixed-meal challenges of different
carbohydrate loading. The aim was to examine whether the relationship between
lower sleeping oxygen saturation (SaO2) and poorer fasting and postprandial
metabolic function may be linked with reduced slow wave sleep (SWS) and rapid eye
movement (REM) duration, independent of age, sex and total adiposity. METHODS:
The 24 men and women, aged 25-54 years, had no diabetes or other diagnosed
conditions, were evaluated with polysomnography to derive indices of SaO2 and
sleep architecture. In addition, an OGTT and two 14-h serial mixed-meal tests
were administered over 3 successive in-patient days. The carbohydrate content of
the mixed-meals was manipulated to compare a standard-load day with a double-load
day (300 vs. 600 kcal/meal). Quantitative modeling was applied to derive beta
cell glucose sensitivity (beta-GS), early insulin secretion rate sensitivity
(ESRS), and total postprandial insulinemia (AUCINS). RESULTS: Analyses showed
that, for the 14-h tests, the SaO2 relationship with metabolic outcomes was
associated significantly with percent time spent in REM but not SWS, independent
of age, sex and total adiposity. Specifically, indirect pathways indicated that
lower SaO2 was related to shorter REM duration, and shorter REM was respectively
associated with higher beta-GS, ESRS, and AUCINS for the 300- and 600-load days
(300 kcal/meal: beta = -8.68, p < .03, beta = -8.54, p < .002, and beta = -10.06,
p < .008; 600 kcal/meal: beta = -11.45, p < .003, beta = -11.44, p < .001, and
beta = -11.00, p < .03). CONCLUSION: Sleeping oxygen desaturation and diminished
REM duration are associated with a metabolic pattern that reflects a compensatory
adaptation of postprandial insulin metabolism accompanying preclinical diabetic
risk.
PMID- 29655765
TI - Health Professionals, Organizations and the Health System: Making What Is
Socially Advisable Individually Attractive.
PMID- 29655766
TI - Mechanical Chest Compressions and Traumatic Complications in Out-of-hospital
Cardiac Arrest. Is There a Price to Pay?
PMID- 29655767
TI - Correction in Article by Vaglimi et al. "2017 ESC Focused Update on Dual
Antiplatelet Therapy in Coronary Artery Disease Developed in Collaboration with
EACTS", Rev Esp Cardiol. 2018;71:42.e1-e58.
PMID- 29655768
TI - Cell-free DNA and Microvascular Damage in ST-segment Elevation Myocardial
Infarction Treated With Primary Percutaneous Coronary Intervention.
AB - INTRODUCTION AND OBJECTIVES: Cell-free DNA (cfDNA) in ST-segment elevation
myocardial infarction might originate from hyperactivated leukocytes at the
coronary lesion. Our aim was to investigate the relationship between cfDNA and
coronary reperfusion. METHODS: We studied 116 patients treated with primary
angioplasty using thrombus aspiration. Coronary (during aspiration) and
peripheral (at the end of the procedure) blood samples were drawn for cfDNA, as
well as high-sensitivity troponin T and myeloperoxidase quantification. The
primary endpoint was no ST-segment resolution (STR) (>= 70%) and the secondary
endpoint was lack of final Thrombolysis In Myocardial Infarction flow 3 (TIMI 3).
RESULTS: ST-segment resolution was achieved in 51 (44%) patients and TIMI 3 flow
in 97 (84%). Patients without STR and TIMI 3 flow had a smaller peripheral
coronary cfDNA gradient (P = .02 and P = .04 respectively). A small cfDNA
gradient (< 1.82 ng/mL) was associated with a higher rate of no STR (65% vs 30%;
P = .001) and lack of TIMI 3 flow (21% vs 3%; P = .05). After multivariable
adjustment, the small cfDNA gradient was predictive of no STR (OR, 4.50; 95%CI,
1.60-12.62; P = .004), while there was a nonsignificant trend for final TIMI 3
flow (P = .14). Cell-free DNA levels did not correlate with troponin T or
myeloperoxidase. CONCLUSIONS: A small peripheral-coronary cfDNA gradient, as an
expression of high coronary cfDNA burden, is associated with no STR in acute
myocardial infarction. Intracoronary cfDNA might reflect neutrophil activation.
Whether this phenomenon contributes to thrombus aspiration failure requires
further study.
PMID- 29655769
TI - Near infrared optical biosensor based on peptide functionalized single-walled
carbon nanotubes hybrids for 2,4,6-trinitrotoluene (TNT) explosive detection.
AB - A near infrared (NIR) optical biosensor based on peptide functionalized single
walled carbon nanotubes (SWCNTs) hybrids for 2,4,6-trinitrotoluene (TNT)
explosive detection was developed. The TNT binding peptide was directly anchored
on the sidewall of the SWCNTs using the pi-pi interaction between the aromatic
amino acids and SWCNTs, forming the peptide-SWCNTs hybrids for near infrared
absorption spectra measurement. The evidence of the morphology of peptide-SWCNTs
hybrids was obtained using atomic force microscopy (AFM). The results
demonstrated that peptide-SWCNTs hybrids based NIR optical biosensor exhibited
sensitive and highly selective for TNT explosive determination, addressing a
promising optical biosensor for security application.
PMID- 29655770
TI - A high-throughput screening assay for pyruvate carboxylase.
AB - Pyruvate carboxylase (PC) catalyzes the conversion of pyruvate to oxaloacetate
(OAA), an important metabolic reaction in a wide range of organisms. Small
molecules directed against PC would enable detailed studies on the metabolic role
of this enzyme and would have the potential to be developed into pharmacological
agents. Currently, specific and potent small molecule regulators of PC are
unavailable. To assist in efforts to find, develop, and characterize small
molecule effectors of PC, a novel fixed-time assay has been developed based on
the reaction of OAA with the diazonium salt, Fast Violet B (FVB), which produces
a colored adduct with an absorbance maximum at 530 nm. This fixed time assay is
reproducible, sensitive and responsive to known effectors of Rhizobium etli PC,
Staphylococcus aureus PC, and Listeria monocytogenes PC, and is highly amenable
to high-throughput screening. The assay was validated using a plate uniformity
assessment test and a pilot screen of a library of 1280 compounds. The results
indicate that the assay is suitable for screening small molecule libraries to
find novel small molecule effectors of PC.
PMID- 29655771
TI - Iodinated Contrast Media Allergy in Patients Hospitalized for Investigation of
Chest Pain.
AB - BACKGROUND: Iodinated contrast media (ICM) allergy may entail severe adverse
events in patients who undergo percutaneous coronary intervention (PCI).
Premedication protocols and low-osmolality contrast media have been thought to
improve the outcomes of these individuals. OBJECTIVE: The objective of this study
was to assess the prevalence and severity of allergic reactions during PCI in
patients admitted for investigation of chest pain. METHODS: This is a
retrospective analysis of 13,652 patients who were hospitalized with chest pain
during the years 2010-2016, at the Department of Internal Medicine, Meir Medical
Center. Patient records were screened for diagnosis of prior ICM allergy. Primary
outcomes were: (1) records of previous allergy to ICM, (2) administration of
antiallergic premedication, and (3) allergic reactions to the ICM during the
procedure. RESULTS: Nine hundred thirty-one individuals without prior ICM allergy
were referred for PCI, of whom 2 had minor allergic reactions. Previously
diagnosed ICM allergy was recorded for 216 subjects (mean age 65.5 +/- 10 years,
42% males). Of these, 32 were referred to in-hospital PCI. Premedication was
administered in 10 cases only with no documented rationale for not treating the
other 22. Only one of the pretreated patients experienced a reaction attributed
to allergy, showing no statistical advantage for premedication. No mortality was
documented in the 30 days after PCI among the patients with known ICM allergy.
CONCLUSIONS: PCI did not induce substantial allergic reactions to ICM in patients
with a previously diagnosed allergy. This study did not demonstrate an advantage
for premedication.
PMID- 29655772
TI - Predicting Chronic Spontaneous Urticaria Symptom Return After Omalizumab
Treatment Discontinuation: Exploratory Analysis.
AB - BACKGROUND: Omalizumab is highly effective in controlling chronic spontaneous
urticaria (CSU) symptoms; however, patients can experience symptom return on
treatment discontinuation. Pivotal clinical trials have identified 2 categories
of patients who experience symptom return: rapid and slow. OBJECTIVE: The
objective of this study was to identify potential predictors of the speed of
symptom return after stopping omalizumab treatment. METHODS: Phase III randomized
controlled trial (RCT) data from ASTERIA I (n = 319; 6 * 4 weekly injections of
omalizumab 75, 150, 300 mg or placebo; NCT01287117) and ASTERIA II (n = 323; 3 *
4 weekly injections of omalizumab 75, 150, 300 mg, or placebo; NCT01292473) were
pooled to identify predictors of symptom return after stopping omalizumab
treatment (16-week follow-up). The least absolute shrinkage and selection
operator regularization regression model was used to select predictive variables,
and relapse probability was represented using heatmap visualizations. Model
accuracy was tested using data from the GLACIAL phase III RCT (n = 336; 6 * 4
weekly injections of omalizumab 300 mg or placebo; NCT0126493). RESULTS: Of 746
variables assessed, 2 were selected by the model as predictors of symptom return:
baseline urticaria activity score over 7 days (UAS7) and early area above the
curve (AAC; determined by plotting the UAS7 scores across time points). Results
suggest that high baseline UAS7 and low UAS7 AAC (slow decrease of symptoms)
indicate a higher probability of rapid symptom return than low baseline UAS7 and
high UAS7 AAC. CONCLUSIONS: These results suggest that the probability of rapid
symptom return in patients with CSU who discontinue treatment with omalizumab can
be estimated based on baseline UAS7 and early treatment response.
PMID- 29655773
TI - Successful rapid oral clindamycin desensitization in a pediatric patient.
PMID- 29655774
TI - Twenty Years' Experience with Anaphylaxis-Like Reactions to Local Anesthetics:
Genuine Allergy is Rare.
AB - BACKGROUND: Anaphylaxis-like reactions occur within minutes after the application
of local anesthetics (LA), most commonly during dental interventions. Impressive
symptoms including respiratory distress or loss of consciousness frequently give
rise to a suspicion of allergy and may prompt patients and treating physicians to
refuse future LA injections. OBJECTIVE: Nonallergic mechanisms are responsible
for the majority of LA-induced immediate-type reactions. In view of the
preponderance of nonallergic reactions, the question arises whether genuine LA
allergy may be missed during routine testing procedures. METHODS: We
retrospectively evaluated clinical data and test results from patients referred
to our allergy clinic within the past 20 years for diagnostic workup of LA
induced immediate-type reactions. RESULTS: Of 402 evaluated patients, 29 had an
episode of acute urticaria within 30 minutes after LA injections, and the
remaining 373 had a history of mainly subjective cutaneous, respiratory,
cardiovascular, and neurological complaints. Of the patients reporting urticaria
with or without angioedema, 14 were diagnosed with a spontaneous episode of
urticaria, 13 had allergic or nonallergic reactions to other agents, and 2 had
IgE-mediated LA allergy. LA allergy was definitely excluded by 771 subcutaneous
provocation tests with skin test negative LA, thereby demonstrating the high
predictive value of negative intradermal testing. CONCLUSIONS: Skin testing and
provocative LA challenge are useful to exclude LA allergy, and this testing
procedure seems to be appropriate to identify the extremely rare cases with IgE
mediated LA allergy.
PMID- 29655775
TI - Relationship between water intake and skeletal muscle mass in elderly Koreans: A
nationwide population-based study.
AB - OBJECTIVES: The purposes of this study were to evaluate the correlation between
sarcopenia and water intake and investigate lack of daily water intake in the
presence of sarcopenia in an elderly population. METHODS: Data from 3656
participants (1582 men and 2074 women) were analyzed using the Korea National
Health and Nutrition Examination Survey. Sarcopenia was defined in accordance
with the criteria of the Asia Working Group for Sarcopenia. Water intake was
assessed using the dietary water adequacy ratio and was calculated by dividing
the daily water intake from fluid by the recommended daily amount of 1000 mL in
men and 900 mL in women. RESULTS: Water intake from food (g/d and cup/d) and
dietary water adequacy ratio (mL) were significantly lower in the sarcopenia
group (757.8 g, 890.1 g, and 0.74 mL in men; 511.9 g, 757.8 g, and 0.70 mL in
women, respectively) than in the non-sarcopenia group (878.4 g, 1015.1 g, and
0.81 mL in men; 581.3 g, 790.5 g, 0.74 mL in women, respectively). In elderly
men, the odds ratio of sarcopenia in the lowest quartile increased to 1.47
(range, 1.13-1.91) in Model 2 compared with that in the highest quartile. In
elderly women, the odds ratio of sarcopenia in the lowest quartile increased to
1.50 (range, 1.08-2.08) in Model 2 compared with that in the highest quartile.
CONCLUSIONS: The prevalence of sarcopenia in the elderly population was related
to inadequate dietary water intake after adjusting for covariates. Adequate water
intake in the elderly should be recommended to prevent dehydration-related
complications, including sarcopenia.
PMID- 29655776
TI - Association between dietary inflammatory index and Hodgkin's lymphoma in an
Italian case-control study.
AB - OBJECTIVES: The components of a diet can modulate inflammation and may have an
effect on the development of Hodgkin's lymphoma (HL). Little is known about the
inflammatory potential of diet in relation to HL. METHODS: Data from an Italian
multicenter case-control study that was conducted between 1992 and 2008 were used
to estimate the relation between a dietary inflammatory index (DII(r)) and the
risk of HL. The data included 179 cases with incident, histologically confirmed
HL and 186 control cases who were hospitalized for acute non-neoplastic diseases.
The DII was computed on the basis of a validated, 78-item, food-frequency
questionnaire. Logistic regression models were used to estimate odds ratios that
were adjusted for age, sex, total energy intake, center, body mass index, years
of education, tobacco use, and alcohol consumption. RESULTS: No significant
association was observed between an increasing DII and the risk of HL when used
either as a continuous or categorical variable. The multivariate odds ratio for
the highest versus the lowest DII tertile was 1.20 (95% confidence interval: 0.71
2.04). Similarly, no positive association was observed when analyses were carried
out by different strata of selected covariates. CONCLUSIONS: These results do not
support the hypothesis that the inflammatory potential of a diet plays a major
role in the development of HL.
PMID- 29655777
TI - Body composition evaluated by body mass index and bioelectrical impedance vector
analysis in women with rheumatoid arthritis.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a complex inflammatory disease that
modifies body composition. Although body mass index (BMI) is one of the clinical
nutrition tools widely used to assess indirectly nutritional status, it is not
able to identify these body alterations. Bioelectrical Vector Analysis (BIVA) is
an alternative method to assess hydration and body cell mass of patients with
wasting conditions. OBJECTIVE: To investigate the differences in nutrition status
according to BMI groups (normal, overweight and obesity) and BIVA classification
(cachectic and non-cachectic) in women with RA. METHODS: Women with confirmed
diagnosis of RA were included from January 2015 to June 2016. Whole-body
bioelectrical impedance was measured using a tetrapolar and mono-frequency
equipment. Patients were classified according to BMI as: low body weight (n = 6,
2.7%), normal (n = 59, 26.3%), overweight (n = 88, 39.3%) and obese (n = 71,
31.7%), and each group was divided into BIVA groups (cachectic 51.8% and non
cachectic 48.2%). RESULTS: A total of 224 RA patients were included, with mean
age 52.7 years and median disease duration of 12 years. Significant differences
were found in weight, arm circumference, waist, hip, resistance/height,
reactance/height and erythrocyte sedimentation rate among all BMI groups.
However, serum albumin levels were significantly different between cachectic and
non-cachectic patients independently of BMI. In all BMI categories, cachectic
groups had lower reactance and phase angle than non-cachectic subjects.
CONCLUSION: RA patients with normal or even high BMI have a significantly lower
muscle component. Evaluation of body composition with BIVA in RA patients could
be an option for cachexia detection.
PMID- 29655778
TI - Self-reported weight and predictors of missing responses in youth.
AB - OBJECTIVE: The aims of the present manuscript are to analyse self-reported data
on weight, including the missing data, from the 2014 Scottish Health Behaviour in
School-Aged Children (HBSC) Study, and to investigate whether behavioural factors
related with overweight and obesity, namely dietary habits, physical activity and
sedentary behaviour, are associated with weight non-response. RESEARCH METHODS &
PROCEDURES: 10839 11-, 13- and 15-year-olds participated in the cross-national
2014 Scottish HBSC Study. Weight missing data was evaluated using Little's
Missing Completely at Random (MCAR) test. Afterwards, a fitted multivariate
logistic regression model was used to determine all possible multivariate
associations between weight response and each of the behavioural factors related
with obesity. RESULTS: 58.9% of self-reported weight was missing, not at random
(MCAR p < 0.001). Weight was self-reported less frequently by girls (19.2%) than
by boys (21.9%). Participants who reported low physical activity (OR 1.2, p <
0.001), low vegetable consumption (OR 1.24, p < 0.001) and high computer gaming
on weekdays (OR 1.18, p = 0.003) were more likely to not report their weight.
CONCLUSIONS: There are groups of young people in Scotland who are less likely to
report their weight. Their weight status may be of the greatest concern because
of their poorer health profile, based on key behaviours associated with their non
response. Furthermore, knowing the value of a healthy weight and reinforcing
healthy lifestyle messages may help raise youth awareness of how diet, physical
activity and sedentary behaviours can influence weight.
PMID- 29655779
TI - Postprandial glucose and insulin response to a high-fiber muffin top containing
resistant starch type 4 in healthy adults: a double-blind, randomized, controlled
trial.
AB - OBJECTIVES: VERSAFIBETM 2470 resistant starch (RS) is an RS type 4 that is
derived from high-amylose maize starch,70% total dietary fiber (TDF; AOAC method
2009.01). This was a randomized, double-blind, crossover study to evaluate the
postprandial blood glucose and insulin responses of healthy adults (n = 28) after
the consumption of a muffin top made with VERSAFIBETM 2470 RS (11.6 g TDF fiber
muffin top) or a control muffin top (0.9 g TDF). METHODS: The muffin tops were
matched for weight, total carbohydrate, sugars, protein, and fat. During each
treatment period, subjects consumed a standard evening meal, fasted for 12 h, and
arrived at the study clinic the following morning. Serum glucose, serum insulin,
and capillary glucose were measured at 0, 15, 30, 45, 60, 90, and 120 min after
muffin top consumption. The subjects completed a 7-d washout period between
treatments. RESULTS: The consumption of the fiber muffin top resulted in a
significant 33% reduction in postprandial serum glucose incremental area under
the curve from 0 to 120 min and an 8% decrease in maximum glucose concentration
versus the control muffin (P = 0.037 and P = 0.007, respectively). The fiber
muffin top reduced postprandial serum insulin incremental area under the curve
from 0 to 120 min by 38% compared with the control muffin top (P <0.001), which
aligns with the blood glucose data. CONCLUSIONS: This study demonstrated that the
inclusion of a practical dose of dietary fiber (11.6 g TDF) from VERSAFIBETM 2470
RS in a bakery product significantly reduced postprandial glucose and insulin
responses in healthy adults.
PMID- 29655780
TI - Dietary flavonoid kaempferol inhibits glucocorticoid-induced bone loss by
promoting osteoblast survival.
AB - OBJECTIVE: Kaempferol, a dietary flavonoid found in fruits and vegetables, has
been reported to reverse osteopenic condition in ovariectomized rats. Because
kaempferol is endowed with osteogenic activity, the aim of this study was to
determine whether it has a beneficial effect on glucocorticoid (GC)-induced bone
loss. METHODS: Adult female rats were divided into four groups as control
(vehicle; distilled water), methylprednisolone (MP; 5 mg*kg*d, subcutaneously),
MP + kaempferol (5 mg*kg*d, oral), and MP + human parathyroid 1-34 (30 ug/kg, 5
times/wk, subcutaneously) and treated for 4 wk. To study the antagonizing effect
of kaempferol on GC-induced inhibition of fracture healing, drill-hole injury was
performed on control and GC-treated rats. An oral dose of kaempferol was given
for 14 d to observe the effect on callus formation at the site of injury. After
treatment, bones were collected for further analysis. RESULTS: GC was associated
with a decreased bone mineral density and impaired bone microarchitecture
parameters. Consumption of kaempferol induced bone-sparing effects in GC-induced
osteopenic condition. Additionally, improved callus formation at site of drill
injury in femur diaphysis was observed with kaempferol consumption in animals on
GC. Consistent with the in vivo data, kaempferol elicited a higher expression of
osteogenic markers in vitro and antagonized the apoptotic effect of dexamethasone
on calvarial osteoblasts. CONCLUSION: These results suggested that kaempferol
reduced GC-induced bone loss and enhanced bone regeneration at fractured site,
thus emphasizing the positive role of flavonoids on bone health.
PMID- 29655781
TI - The hyperopic effect of narrow-band long-wavelength light in tree shrews
increases non-linearly with duration.
AB - During postnatal refractive development, an emmetropization mechanism uses
refractive error to modulate the growth rate of the eye. Hyperopia (image focused
behind the retina) produces what has been described as "GO" signaling that
increases growth. Myopia (image focused in front of the retina) produces "STOP"
signaling that slows growth. The interaction between GO and STOP conditions is
non-linear; brief daily exposure to STOP counteracts long periods of GO. In young
tree shrews, long-wavelength (red) light, presented 14 h per day, also appears to
produce STOP signals. We asked if red light also shows temporal non-linearity;
does brief exposure slow the normal decrease in hyperopia in infant animals? At
11 days after eye opening (DVE), infant tree shrews (n = 5/group) began 13 days
of daily treatment (red LEDs, 624 +/- 10 or 636 +/- 10 nm half peak intensity
bandwidth) at durations of 0 h (normal animals, n = 7) or 1, 2, 4, or 7 h.
Following each daily red period, colony lighting resumed. A 14 h red group had no
colony lights. Refractive state was measured daily; ocular component dimensions
at the end of the 13-day red-light period. Even 1 h of red light exposure
produced some hyperopia. The average hyperopic shift from normal rose
exponentially with duration (time constant 2.5 h). Vitreous chamber depth
decreased non-linearly with duration (time constant, 3.3 h). After red treatment
was discontinued, refractions in colony lighting recovered toward normal; the
initial rate was linearly related to the amount of hyperopia. The red light may
produce STOP signaling similar to myopic refractive error.
PMID- 29655782
TI - Lithocholic acid, a bacterial metabolite reduces breast cancer cell proliferation
and aggressiveness.
AB - Our study aimed at finding a mechanistic relationship between the gut microbiome
and breast cancer. Breast cancer cells are not in direct contact with these
microbes, but disease could be influenced by bacterial metabolites including
secondary bile acids that are exclusively synthesized by the microbiome and known
to enter the human circulation. In murine and bench experiments, a secondary bile
acid, lithocholic acid (LCA) in concentrations corresponding to its tissue
reference concentrations (< 1 MUM), reduced cancer cell proliferation (by 10-20%)
and VEGF production (by 37%), aggressiveness and metastatic potential of primary
tumors through inducing mesenchymal-to-epithelial transition, increased antitumor
immune response, OXPHOS and the TCA cycle. Part of these effects was due to
activation of TGR5 by LCA. Early stage breast cancer patients, versus control
women, had reduced serum LCA levels, reduced chenodeoxycholic acid to LCA ratio,
and reduced abundance of the baiH (7alpha/beta-hydroxysteroid dehydroxylase, the
key enzyme in LCA generation) gene in fecal DNA, all suggesting reduced microbial
generation of LCA in early breast cancer.
PMID- 29655784
TI - Aristolochic acid I interferes with the expression of BLCAP tumor suppressor gene
in human cells.
AB - Aristolochic acid I (AAI) is a phytocompound that is linked to the progressive
renal disease and development of human urothelial carcinoma. The bladder cancer
associated protein (BLCAP) gene exhibits a tumor suppressor function in various
tumors, including bladder carcinoma. This study evaluated the effect of AAI on
BLCAP expression and its associated mechanism in human cells. Administering AAI
to human embryonic kidney cells (HEK293), human proximal tubule epithelial cells
(HK-2) and urinary bladder cancer cells (HT-1376) significantly reduced the
expression of BLCAP mRNA and protein. AAI also effectively suppressed the
luciferase activities driven by BLCAP promoters of various lengths in HEK293
cells. AAI significantly reduced both activator protein 1 (AP-1) and nuclear
factor-kappaB (NF-kappaB) activities in reporter assays, but further point
mutations revealed that Ap-1 and NF-kappaB binding sites on the BLCAP promoter
were not AAI-responsive elements. Application of the DNA methyltransferase
inhibitor, 5-aza-2'-deoxycytidine (5-aza-dC), reversed the decline of BLCAP
expression that had been induced by AAI. However, AAI exposure did not alter
hypermethylation of the BLCAP promoter, determined by methyl-specific polymerase
chain reaction (PCR) and bisulfate sequencing. Knocking down BLCAP in HEK293 cell
line enhanced the potential for cellular migration, invasion, and proliferation,
along with the induction of a capacity for anchorage-independent growth. In
conclusion, AAI down-regulated the expression of BLCAP gene and the deficiency in
BLCAP expression contributed to the malignant transformation of human cells,
implying that BLCAP may have a role in mediating AAI-associated carcinogenesis.
PMID- 29655783
TI - Cytotoxicity of 34 FDA approved small-molecule kinase inhibitors in primary rat
and human hepatocytes.
AB - Of the 34 FDA approved oral small-molecule kinase inhibitors (KI), 23 (68%) have
warnings for hepatotoxicity in product labeling. To better understand the
mechanisms of KI hepatotoxicity and whether such effects can be predicted, we
examined 34 KIs for cytotoxicity in primary rat and human hepatocytes. The
hepatocytes were treated with KIs at ten concentrations normalized to maximal
therapeutic blood levels (Cmax). At 5 and 24 h post treatment, lactate
dehydrogenase or alanine aminotransferase leakage, caspase 3/7 activities and
cellular adenosine triphosphate levels were measured. At 1 to 100-fold Cmax,
while 5 KIs were neither toxic to human nor rat hepatocytes, 3 KIs showed similar
cytotoxicity in both species and 26 KIs showed species-biased cytotoxicity, with
16 KIs being more toxic to human hepatocytes and 10 KIs being more toxic to rat
hepatocytes. At concentrations of 1-, 2.5-, 5-, 10-, 100-fold Cmax, the number of
cytotoxic KIs in human hepatocytes was 4, 8, 11, 14 and 27, respectively, and the
corresponding number in rat hepatocytes was 1, 4, 9, 12 and 27, respectively.
When hepatocyte cytotoxicity at 100-fold Cmax was used to predict KI clinical
hepatotoxicity reflected in product labeling, the accuracy was 0.65 with human
hepatocytes and 0.59 with rat cells. When the criterion of daily dose >=100 mg or
Cmax >=1.1 MUM was used to predict KI hepatotoxicity, the accuracy was 0.56 or
0.47, respectively. These results suggest both indirect and direct drug-induced
hepatocyte toxicity may contribute to the mechanisms of KI-induced hepatotoxicity
seen clinically and use of primary hepatocytes is a useful in vitro model to help
predict such toxicity.
PMID- 29655785
TI - Characterization of 28S rRNA sequences of cestoda parasite Electrotaenia
malapteruri Fritsch, 1886 from the Electric catfish Malapterurus electricus
(Siluriformes: Malapteruridae).
AB - Proteocephalids are cestoda parasites that mostly infect freshwater fish. The
present study was carried out to investigate the presence of proteocephalids
infecting the electric catfish Malapterurus electricus from Lake Manzala, Kafr El
Sheikh Governorate, Egypt. Morphological characterization revealed the present
parasite is a cestoda belonging to the genus Electrotaenia. Morphologically, the
recovered worms were characterized by an elongated body measuring 100-127 (120 +/
2) mm long and 0.92-2.11 (2.76 +/- 0.1) mm wide. The anterior part of the worm
was obvious terminated at a spherical scolex measured 1.12-1.91 (1.72 +/- 0.01)
mm long and 1.12-1.65 (1.42 +/- 0.01) mm wide with a rostellum-like apical organ
equipped by 5-6 irregular rows of minute hooklets, as well as four uniloculate
suckers with a diameter of 0.13-0.15 (0.14 +/- 0.01) mm and covered with
microtriches. A long unsegmented neck was observed followed by acraspedote and
anapolytic strobila consisted of 85-120 proglottids divided into 50-58 immature,
12-19 mature, and up to 49 gravid proglottids. Molecular characterization based
on 28S rRNA sequences was done to confirm the taxonomy of this parasite based on
its morphology. It was observed that there was a close identity up to 72.0% with
other protocephalid species obtained for comparison from the GenBank. Also, the
data obtained revealed that there was high blast scores and low divergence
between the present parasite and previously described Electrotaenia malapteruri
(acc. no. JX477434). Phylogenetic analysis showed that the parasite sequence in
conjunction with existing data investigates the placement of this protocephalid
species within Proteocephalidea. It was shown that the present species is deeply
embedded in the genus Electrotaenia with close relationships to other
Electrotaenia malapteruri as a putative sister taxon.
PMID- 29655786
TI - Quality Improvement Initiative to Prevent Admission Hypothermia in Very-Low-Birth
Weight Newborns.
AB - OBJECTIVE: To decrease rates of admission hypothermia (<36 degrees C) in very
low-birth-weight (VLBW) newborns (<1,500 g). DESIGN: Quality improvement
initiative. SETTING/LOCAL PROBLEM: Urban, Level IV NICU with 32 patient beds. The
number of VLBW newborns admitted with temperatures less than 36 degrees C was
greater than in comparable NICUs in the Vermont Oxford Network. PARTICIPANTS:
Neonates born in 2016 who weighed less than 1,500 g at birth.
INTERVENTION/MEASUREMENTS: Based on the literature and the needs of our unit, our
team decided to focus efforts on equipment (chemical mattresses and polyurethane
lined hats for newborns who weighed <1,000 g and polyurethane-lined hats for
newborns who weighed <1,500 g), staff education/awareness, and temperature
documentation and workflow. Axillary temperature measurements for all neonates
who weighed less than 1,500 g were tracked on admission. RESULTS: The processes
involved in this quality improvement initiative were successfully implemented,
and use of new equipment began January 1, 2016. In 2016, only 9.6% (n = 7) of
VLBW newborns were admitted with temperatures less than 36 degrees C, compared
with 20.2% (n = 19) in 2015 and 32.4% (n = 24) in 2014 (p = .003). Overall, the
mean admission temperature for neonates who weighed less than 1,500 g rose from
36.2 degrees C in 2014 to 36.6 degrees C in 2016 (p = .001). CONCLUSION: We
reduced the number of VLBW neonates admitted with temperatures less than 36
degrees C and increased overall admission temperatures for neonates who weighed
less than 1,500 g with the addition of polyurethane-lined hats and chemical
mattresses.
PMID- 29655787
TI - Secretory expression and scale-up production of recombinant human thyroid
peroxidase via baculovirus/insect cell system in a wave-type bioreactor.
AB - The human thyroid peroxidase (hTPO) is an essential enzyme for thyroid hormone
biosynthesis and is expressed in thyroid cells. It is an autoantigen against
which antibodies are found in the sera of patients with a number of autoimmune
thyroid disorders. Overexpression of hTPO has been achieved using the baculovirus
expression vector system (BEVS). However, it is produced largely in an aggregated
form in the cell lysate fraction, which increases the complexity of protein
extraction. In this study, to achieve improved secretory expression of hTPO via
BEVS, a truncated recombinant hTPO protein (hTPOt) was engineered by replacing
its original signal peptide (SP) in the N-terminal with five heterologous SPs.
Our data showed that the SP from the peptidyl-glycine alpha-amidating
monooxygenase (PAM), referred to as SPPAM, significantly promoted the secretion
of SPPAM-fused hTPOt (p-hTPOt) in High Five cells. Subsequently, we established
an optimized scale-up production procedure for p-hTPOt in a 5-L wave-type
bioreactor. The secretory p-hTPOt was purified by immobilized metal-chelating
affinity chromatography and ion-exchange chromatography, achieving a protein
purity of >95%. Finally, the purified p-hTPOt showed high sensitivity and
specificity in reactions with positive or negative human serum samples via the
double-antigen sandwich method, suggesting potential applications in hTPO-based
research and product development.
PMID- 29655788
TI - Antibody engineering to improve manufacturability.
AB - Expression variation among antibodies produced by stably transfected Chinese
Hamster Ovary (CHO) cells is well established. While developing CHO-K1 cell
lines, we encountered a human monoclonal antibody, mAb B-c, with severe
manufacturability issues, including very poor expression and high levels of heavy
chain (HC) dimer and high molecular weight aggregates. Using transient expression
in CHO-K1 cells, we identified light chain (LC) as the source of the
manufacturability issues for this antibody. While other antibodies achieved
optimal expression at 1:1 or 2:1 LC to HC ratios, mAb B-c required up to a 6:1
LC:HC for maximal expression, which was still significantly lower than that for
other tested antibodies. To overcome the manufacturability issues, LC shuffling
was performed with the original HC to select antibodies with unique LCs which
retained acceptable binding affinities. Transient CHO-K1 expression evaluation of
the new LCs co-expressed with the original HC identified antibodies with high
expression at a 1:1 or 2:1 LC:HC; the expression levels of these new antibodies
were comparable to those of other well-expressed antibodies. Expression of these
new antibodies in stably transfected CHO-K1 cells confirmed these results. In
addition, antibodies containing the new LCs had very low levels of high molecular
weight aggregates and HC dimer. These results demonstrate that certain antibody
manufacturability issues can be attributed to LC and that engineering antibodies
by pairing HCs with alternate LCs can improve antibody expression and product
quality while maintaining or improving affinity.
PMID- 29655789
TI - Interleukin-enhanced binding factor 2 interacts with NLRP3 to inhibit the NLRP3
inflammasome activation.
AB - The activation of the NLRP3 inflammasome is a key process of host immune response
that establishes the first line of defense against pathogen infections and
cellular stresses, whereas excessive inflammasome activation may damage the
hosts, and thus it must be precisely controlled. However, the mechanism
underlying the repression of the NLRP3 inflammasome activation remains largely
unknown. In this study, by establishing and using a reconstructed NLRP3
inflammasome activation system, we reveal that the NLRP3 inflammasome activation,
pro-caspase-1 cleavage, and pro-interleukin-1beta (pro-IL-1beta) activation are
repressed by the interleukin-enhanced binding factor 2 (ILF2). Further studies
demonstrate that ILF2 represses the activation of NLRP3 inflammasome through
interacting with the NACHT-associated domain (NAD) of NLRP3 and co-localized with
NLRP3 in the cytoplasm of HEK293T cells. Finally, by generating a THP-1 cell line
stably expressing ILF2 protein using the lentivirus infection system, we
demonstrate that ILF2 represses ATP-induced activation of endogenous NLRP3
inflammasome in macrophages. Therefore, this study identifies a new role of ILF2
in the regulation of the NLRP3 inflammasome, and reveals a unique mechanism
underlying the repression of the NLRP3 inflammasome activation.
PMID- 29655790
TI - HDAC11 deletion reduces fructose-induced cardiac dyslipidemia, apoptosis and
inflammation by attenuating oxidative stress injury.
AB - Diabetes mellitus (DM) is a risk factor for abnormal heart development, but the
molecular mechanism remains obscure. Histone deacetylase 11 (HDAC11), the most
recently identified histone deacetylase, is the sole member of class IV HDACs.
However, its role in diabetic cardiac injury is still poorly understood. In the
present study, we attempted to explore the effects of HDAC11 on fructose (Fru)
induced cardiac injury using the wild type (HDAC11+/+) and knockout (HDAC11-/-)
mice. The results indicated that HDAC11 was significantly expressed in human and
mouse diabetic heart failure (DHF) hearts. HDAC11-/- reduced the body weight,
inguinal fat-pad mass, and elevated blood pressure in Fru-fed mice. Compared to
HDAC11+/+/Fru group, cardiac function was significantly improved in HDAC11-/-/Fru
mice. HDAC11-/-/Fru mice exhibited reduced cardiac triacylglycerol (TG), total
cholesterol (TC) and free fatty acid (FFA) levels, along with decreased mRNA
levels of lipid synthesis-, lipid storage- and lipid oxidation-associated genes.
In addition, HDAC11-/- attenuated apoptosis, oxidative stress and inflammation in
the heart of Fru-fed mice, as evidenced by the reduced cleavage of Caspase-3,
nicotinamide adenine dinucleotide phosphate (NADPH), and xanthine oxidase (XOD)
activity, enhanced superoxide dismutase (SOD) activity, as well as the decreased
interleukin 1beta (IL-1beta) and tumor necrosis factor-alpha (TNF-alpha) levels,
which was accompanied with down-regulated p-NF-kappaB. The results above were
verified in Fru-treated primary cardiomyocytes isolated from HDAC11+/+ or HDAC11
/- mice. Intriguingly, suppressing the expressions of anti-oxidants using zinc
protoporphyrin (ZnPP) or siNrf-2 siRNA markedly abolished the results that HDAC11
suppression-induced reduction of apoptosis, reactive oxygen species (ROS)
production, inflammation, as well as the improvement of dyslipidemia in Fru
incubated primary cardiomyocytes. Thus, ROS production was responsible for HDAC11
modulated diabetic heart injury. These findings suggested that suppressing HDAC11
has therapeutic potential for treating diabetes mellitus-associated cardiac
injury.
PMID- 29655791
TI - Overexpression of a repressor MdMYB15L negatively regulates anthocyanin and cold
tolerance in red-fleshed callus.
AB - The cold-induced metabolic pathway and anthocyanin biosynthesis play important
roles in plant growth. In this study, we identified a bHLH binding motif in the
MdMYB15L protein using protein sequence analyses. Yeast two-hybrid and pull-down
assays showed that MdMYB15L could interact with MdbHLH33. Overexpressing MdMYB15L
in red-fleshed callus inhibited the expression of MdCBF2 and resulted in reduced
cold tolerance but did not affect anthocyanin levels. Chip-PCR and EMSA analysis
showed that MdMYB15L could bind the type II cis-acting element found in the
MdCBF2 promoter. Overexpressing MdMYB15L in red-fleshed callus overexpressing
MdbHLH33 also reduced cold tolerance and reduced MdbHLH33-induced anthocyanin
biosynthesis. Knocking out the bHLH binding sequence of MdMYB15L (LBSMdMYB15L)
prevented LBSMdMYB15L from interacting with MdbHLH33. Overexpressing LBSMdMYB15L
in red-fleshed callus overexpressing MdbHLH33 also reduced cold tolerance and
reduced MdbHLH33-induced anthocyanin biosynthesis. Together, these results
suggested that an apple repressor MdMYB15L might play a key role in the cold
signaling and anthocyanin metabolic pathways.
PMID- 29655792
TI - Long non-coding RNA UCA1/miR-182/PFKFB2 axis modulates glioblastoma-associated
stromal cells-mediated glycolysis and invasion of glioma cells.
AB - Glioblastoma (GB) is the most common and deadliest malignant primary brain tumor
with a high recurrence. In this study, lncRNA UCA1/miR-182 axis has been regarded
as a nodal driver of glioma invasion mediated by GB-associated stromal cells
(GASCs) and GASC-secreted chemokine CXCL14. In clinical specimens, CXCL14
upregulation in GASCs also correlated with poor prognosis. Notably, CXCL14-high
GASCs mediated lncRNA UCA1 upregulation and miR-182 downregulation in glioma
cells. Moreover, miR-182 directly bound to the fructose-2,6-biphosphatase PFKFB2;
UCA1/miR-182 axis thereby modulated GASC-induced glycolysis in glioma cells.
Overall, UCA1/miR-182/PFKFB2 axis modulates chemokine CXCL14 secretion,
glycolysis and invasion of glioma cells in GASCs.
PMID- 29655793
TI - Inhibition of NLRP9b attenuates acute lung injury through suppressing
inflammation, apoptosis and oxidative stress in murine and cell models.
AB - Acute lung injury (ALI), known a severe disease along with high morbidity and
mortality, is lacking of specific therapies. Inflammation, apoptosis and
oxidative stress are critical pathologies that contribute to ALI. Recently, there
is study indicated that NLRP9b, a NOD-like receptor (NLR) member, is critical in
modulation of inflammatory response. However, the effects of NLRP9b on sepsis
associated ALI, and the underlying molecular mechanism have not been understood.
In the present study, the wild type (WT) and NLRP9b-knockout (NLRP9b-/-) mice
with C57B/L6 background were subjected to a cecal ligation and puncture (CLP) for
ALI murine model establishment. The findings indicated that NLRP9b-/- improved
the survival rate of CLP-induced ALI mice, and inhibited pulmonary
histopathological alterations, inflammation, and apoptosis. NLRP9b-/- reduced the
activation of inhibitor of kappaBalpha/nuclear factor kappa B (IkappaBalpha/NF
kappaB), apoptosis-associated speck-like protein containing a Caspase-recruitment
domain (ASC)/Casapse-1 and Caspase-3/poly (ADP-ribose) polymerase (PARP)
signaling pathways in CLP-challenged mice with ALI. In vitro, mouse epithelial
cells (MLE-12) were incubated with lipopolysaccharide (LPS) or recombinant NLRP9b
caused a significant increased of pro-inflammatory cytokines or chemokine, and
reactive oxygen species (ROS) generation; however, these changes were markedly
alleviated by NLRP9-knockdown using its specific siRNA sequence. Pre-treatment of
MLE-12 cells with ROS scavenger of N-acetylcysteine (NAC) remarkably decreased
lipopolysaccharide (LPS)- and rMuNLRP9-induced production of ROS, and the
secretion of inflammatory cytokines or chemokine, as well as the activity of
IkappaBalpha/NF-kappaB, ASC/Casapse-1 and Caspase-3/PARP signaling pathways.
Together, the findings here suggested that NLRP9b played an essential role in
lung inflammation, apoptosis and oxidative stress of sepsis-induced ALI animal
model or in LPS-induced MLE-12 cells, providing that NLRP9b inhibition might be a
potential therapeutic option for ALI.
PMID- 29655794
TI - [Diagnosis in blood hypertension: When the techniques do not are accessible in
primary care and are also produced inequities].
PMID- 29655795
TI - Enhanced oral absorption of sorafenib via the layer-by-layer deposition of a pH
sensitive polymer and glycol chitosan on the liposome.
AB - This study aimed to design the effective formulation of sorafenib (SF) to enhance
the oral drug absorption. Three liposomal formulations of SF were prepared
including uncoated liposome (SF-Lip), glycol chitosan-coated liposome (GC-SF
Lip), and Eudragit S100-glycol-chitosan coated liposome (SGC-SF-Lip). All
formulations showed a narrow size distribution with a high encapsulation
efficiency. Both GC-SF-Lip and SGC-SF-Lip exhibited good stability at acidic and
neutral pHs without any significant drug leakage, while SF-Lip appeared to be
unstable at pH 1.2. In the case of double coated SGC-SF-Lip, its size changed
significantly at pH 7.4, due to the dissolution of Eudragit S100 coating layer
into the surrounding medium. Compared to SF solution, all liposomal formulations
demonstrated a higher cellular uptake in Caco-2 cells. In particular, SGC-SF-Lip
displayed a lower cellular uptake than GC-SF-Lip at pH 6.5, but it achieved a
similar cellular uptake to GC-SF-Lip at pH 7.4. Consistently, SGC-SF-Lip was less
cytotoxic than GC-SF-Lip at pH 6.5, whereas it showed a comparable cytotoxicity
to GC-SF-Lip at pH 7.4, implying the removal of the Eudragit S100 coating layer
at pH 7.4. After an oral administration to rats, SGC-SF-Lip significantly
improved the systemic exposure of SF, where its Cmax and AUC were approximately
fourfold higher than the untreated drug. Collectively, SGC-SF-Lip appeared to be
promising to enhance the oral absorption of SF.
PMID- 29655796
TI - Broadband Acoustic Resonance Dissolution Spectroscopy (BARDS): A rapid test for
enteric coating thickness and integrity of controlled release pellet
formulations.
AB - There are no rapid dissolution based tests for determining coating thickness,
integrity and drug concentration in controlled release pellets either during
production or post-production. The manufacture of pellets requires several
coating steps depending on the formulation. The sub-coating and enteric coating
steps typically take up to six hours each followed by additional drying steps.
Post production regulatory dissolution testing also takes up to six hours to
determine if the batch can be released for commercial sale. The thickness of the
enteric coating is a key factor that determines the release rate of the drug in
the gastro-intestinal tract. Also, the amount of drug per unit mass decreases
with increasing thickness of the enteric coating. In this study, the coating
process is tracked from start to finish on an hourly basis by taking samples of
pellets during production and testing those using BARDS (Broadband Acoustic
Resonance Dissolution Spectroscopy). BARDS offers a rapid approach to
characterising enteric coatings with measurements based on reproducible changes
in the compressibility of a solvent due to the evolution of air during
dissolution. This is monitored acoustically via associated changes in the
frequency of induced acoustic resonances. A steady state acoustic lag time is
associated with the disintegration of the enteric coatings in basic solution.
This lag time is pH dependent and is indicative of the rate at which the coating
layer dissolves. BARDS represents a possible future surrogate test for
conventional USP dissolution testing as its data correlates directly with the
thickness of the enteric coating, its integrity and also with the drug loading as
validated by HPLC.
PMID- 29655797
TI - Pulmonary administration of a dry powder formulation of the antifibrotic drug
tilorone reduces silica-induced lung fibrosis in mice.
AB - The aim of this work was to study the antifibrotic effect of pulmonary
administration of tilorone to lung fibrosis. L-leucine coated tilorone particles
were prepared and their aerosolization properties were analyzed using two dry
powder inhalers (Easyhaler and Twister). In addition, the biological activity and
cell monolayer permeation was tested. The antifibrotic effect of tilorone
delivered by oropharyngeal aspiration was studied in vivo using a silica-induced
model of pulmonary fibrosis in mice in a preventive setting. When delivered from
the Easyhaler in an inhalation simulator, the emitted dose and fine particle
fraction were independent from the pressure applied and showed dose
repeatability. However, with Twister the aerosolization was pressure-dependent
indicating poor compatibility between the device and the formulation. The
formulation showed more consistent permeation through a differentiated Calu-3
cell monolayer compared to pristine tilorone. Tilorone decreased the histological
fibrosis score in vivo in systemic and local administration, but only systemic
administration decreased the mRNA expression of type I collagen. The difference
was hypothesized to result from 40-fold higher drug concentration in tissue
samples in the systemic administration group. These results show that tilorone
can be formulated as inhalable dry powder and has potential as an oral and
inhalable antifibrotic drug.
PMID- 29655798
TI - A potential antibacterial wound dressing of cefadroxil chitosan nanoparticles in
situ gel: Fabrication, in vitro optimization and in vivo evaluation.
AB - Wound healing following skin injury is a natural phenomenon that usually lacks
quality, rapidity, and aesthetics. Thus, the purpose of this study was to
fabricate a new easily applied in situ gel of cefadroxil (CDX) loaded chitosan
nanoparticles (CDX-CSNPs) that could promote wound healing, capable of inhibiting
the possible accompanying bacterial infection. The nanoparticles were prepared by
double emulsion technique and the influence of formulation parameters on drug
entrapment efficiency (EE%), particle size (PS), polydispersity index (PDI) and
zeta potential (ZP) were investigated using a full factorial design. The results
show that the optimized CDX-CSNP1 composed of low molecular weight chitosan
(0.2%w/v) was spherical with EE%, PS, PDI and ZP of 84.25 +/- 0.02, 408.30 +/-
53.17 nm, 0.458 +/- 0.048 and 22.80 +/- 0.57 mV, respectively. DSC and XRD
studies confirmed the amorphous nature of the drug. After ensuring the safety and
non toxicity of CDX-CSNP1 in situ gel through cytotoxic study, the antibacterial
activity was evaluated using a rat skin infection model against Staphylococcus
aureus. Compared to the rats treated with free CDX, the CDX-CSNP1 treated group
revealed a remarkable accelerated wound healing process and bacterial clearance
which was further confirmed by the histopathological examination of skin
biopsies.
PMID- 29655799
TI - Stage-specific expression of the proline-alanine transporter in the human
pathogen Leishmania.
AB - Leishmania are obligatory intracellular parasites that cycle between the sand fly
midgut (extracellular promastigotes) and mammalian macrophage phagolysosomes
(intracellular amastigotes). They have developed mechanisms of adaptation to the
distinct environments of host and vector that favor utilization of both proline
and alanine. LdAAP24 is the L. donovani proline-alanine transporter. It is a
member of Leishmania system A that translocates neutral amino acids. Since system
A is promastigote-specific, we aimed to assess whether LdAAP24 is also expressed
exclusively in promastigotes. Herein, we established that upon exposing L.
donovani promastigotes to amastigote differentiation signal (pH 5.5 and 37
degrees C), parasites rapidly and completely degrade LdAAP24 protein in both
axenic and in spleen-derived amastigotes. In contrast, LdAAP24 mRNA remained
unchanged throughout differentiation. Addition of either MG132 or Bafilomycin A1
partially inhibited LdAAP24 protein degradation, indicating a role for both
lysosome- and proteasome-mediated degradation. This work provides the first
evidence for post-translational regulation of stage-specific expression of
LdAAP24.
PMID- 29655800
TI - Effects of task complexity and age-differences on task-related functional
connectivity of attentional networks.
AB - Studies investigating the strength and membership of regions within multiple
functional networks primarily focus on either resting state or single cognitive
tasks. The goals of the current study were to investigate whether task-related
functional connectivity changes with task complexity, and whether this
connectivity-complexity relationship is age-sensitive. We assessed seed-to-voxel
functional connectivity for the default mode network (DMN) and two attentional
networks [cingulo-opercular (CO), fronto-parietal (FP)] in three cognitive
control tasks of increasing complexity (Single task, Dual task, and Memory
Updating), across younger and older adults (N = 52; NYoung = 23; NOld = 29). The
three tasks systematically varied in cognitive control demands due to differing
maintenance, switching, and updating requirements. Functional connectivity for
all networks, resulting from task > rest contrasts, increased with greater task
complexity, irrespective of age and gray matter volume. Moreover, between-network
connectivity for DMN, CO, and FP regions was greatest for working memory
updating, the most complex task. Regarding age-related differences in accuracy,
none were observed for Single or Dual tasks, but older adults had poorer accuracy
in Memory Updating. More anterior frontal clusters of functional connectivity
were observed for older, compared to younger, adults; these were limited to seeds
of the two attentional networks. Importantly, increased connectivity in these
additional frontal regions in older adults were non-compensatory, because they
were associated with detrimental task performance, especially Memory Updating.
For the Memory Updating > Rest, the younger > older contrast resulted in greater
DMN seed connectivity to regions in the other two attentional networks,
implicating increased reliance on between-network connectivity for the DMN seeds
during complex cognitive tasks. Our results also implicate functional
connectivity between attentional networks and the cerebellum during cognitive
control. Reliability of multiple seeds in the seed-to-voxel connectivity is also
discussed.
PMID- 29655801
TI - Analysis of intragenic USH2A copy number variation unveils broad spectrum of
unique and recurrent variants.
AB - Given that all forms of Usher syndrome (USH) present with hearing loss in advance
of retinal disease, the syndromic nature of the disorder is rarely appreciated
when critical management decisions are being made. As a result, molecular
diagnostics are crucial in guiding the management of USH patients. While 11 genes
have been associated with USH, the USH2A gene is one of the largest contributors.
Approximately 20% of suspected USH probands that undergo USH2A sequencing at our
laboratory receive an inconclusive result due to the identification of a
monoallelic disease-causing variant in USH2A. Many studies suggest that
intragenic deletions and duplications represent an important USH2A variant type
that can be missed by sequencing assays if supplemental algorithms or testing
methods are not applied. To gain a comprehensive view of the contribution of
USH2A CNVs to USH, we conducted prospective and retrospective screening in 700
hearing loss probands. Fourteen individuals with 11 unique USH2A CNVs are
reported, including one pathogenic multi-exon duplication. Additionally, we
mapped deletion breakpoints and performed a meta-analysis of USH2A CNVs to
evaluate recurrence and underlying mechanisms. This analysis revealed breakpoint
grouping within three introns, raising the possibility of CNV-susceptible regions
within the gene. Overall, our data highlight the diversity of pathogenic CNVs in
this gene, demonstrating that the comprehensive, high-resolution USH2A CNV
analysis methods employed here are essential components of clinical genetic
testing for USH.
PMID- 29655802
TI - MARS variant associated with both recessive interstitial lung and liver disease
and dominant Charcot-Marie-Tooth disease.
AB - Aminoacyl-tRNA synthetases (ARSs) are ubiquitously expressed enzymes responsible
for charging tRNA with cognate amino acids during protein translation. Non
canonical functions are increasingly recognized, and include transcription and
translation control and extracellular signaling. Monoallelic mutations in genes
encoding several ARSs have been identified in axonal Charcot-Marie-Tooth (CMT2)
disease, whereas biallelic mutations in ARS loci have been associated with multi
tissue syndromes, variably involving the central nervous system, lung, and liver.
We report a male infant of non-consanguineous origin, presenting with successive
onset of transfusion-dependent anemia, hypothyroidism, cholestasis, interstitial
lung disease, and developmental delay. Whole-exome sequencing (WES) revealed
compound heterozygosity for two variants (p.Tyr307Cys and p.Arg618Cys) in MARS,
encoding methionyl-tRNA synthetase. Biallelic MARS mutations are associated with
interstitial lung and liver disease (ILLD). Interestingly, the p.Arg618Cys
variant, inherited from an unaffected father, was previously reported in a family
with autosomal dominant late-onset CMT2. Yeast complementation assays confirmed
pathogenicity of p.Arg618Cys, yet suggested retained function of p.Tyr307Cys. Our
findings underscore the phenotypic variability associated with ARS mutations, and
suggest genetic or environmental modifying factors in the onset of monoallelic
MARS-associated CMT2.
PMID- 29655804
TI - Characterization of WDR20: A new regulator of the ERAD machinery.
AB - ERAD is an important process of protein quality control that eliminates misfolded
or unassembled proteins from ER. Before undergoing proteasome degradation, the
misfolded proteins are dislocated from ER membrane into cytosol, which requires
the AAA ATPase p97/VCP and its cofactor, the NPL4-UFD1 dimer. Here, we performed
a CRISPR-based screen and identify many candidates for ERAD regulation. We
further confirmed four proteins, FBOX2, TRIM6, UFL1 and WDR20, are novel
regulators for ERAD. Then the molecular mechanism for WDR20 in ERAD is further
characterized. Depletion of WDR20 inhibits the degradation of TCRalpha, a typical
ERAD substrate, while WDR20 overexpression reduces TCRalpha protein level. WDR20
associates with TCRalpha and central regulators of the ERAD system, p97, GP78 and
HRD1. A portion of WDR20 localizes to the ER-containing microsomal membrane.
WDR20 expression increases TCRalpha ubiquitination, and HRD1 E3 ligase is
essential for the process. WDR20 seems to serve as an adaptor protein to mediate
the interaction between p97 and TCRalpha. Our study provides novel candidates and
reveals an unexpected role of WDR20 in ERAD regulation.
PMID- 29655805
TI - Metabolic syndrome positively correlates with the risks of atherosclerosis and
diabetes in a Chinese population.
AB - BACKGROUND: Published studies seldom tested the weight of different waist
circumference (WC) cut-off values for the diagnosis of metabolic syndrome (MetS)
in predicting clinical outcomes, including cardiovascular disease and diabetes.
METHODS: This is a Chinese population-based cross-sectional study screening
subjects from a Health Examination Program since 1999 to 2015. The MetS
identification and scores were determined either according to the Adult Treatment
Panel III/American Heart Association/National Heart, Lung, and Blood Institute
(ATP III/AHA/NHLBI)- or Asian-WC cut-off points. The developments of a higher
brachial-ankle pulse wave velocity (baPWV), defined as >=1400 cm/s, and diabetic
level hyperglycemia, defined as a high fasting glucose level >=6.99 mmol/L or
postprandial glucose level ?11.10 mmol/L, were surveyed by comparing the areas
under receiver operating characteristic curves (AUC-ROC) for both MetS scores.
RESULTS: According to the ATP III/AHA/NHLBI- vs Asian-MetS criteria, 6633 vs 9133
(24.8% vs 34.2%, p < 0.001) subjects were diagnosed as the MetS among 26,735
study subjects with a mean age of 55 +/- 12 years. The stepwise increases in
baPWV and prevalence of diabetic-level hyperglycemia were associated with both
MetS scores after adjusting for age and sex. Both MetS scores yielded similar
results for correlation with a higher baPWV (AUC-ROC = 0.685 for ATP III/AHA/HLBI
vs 0.680 for Asian-MetS, p = 0.271) and diabetic-level hyperglycemia (AUC-ROC =
0.791 for ATP III/AHA/HLBI- vs 0.784 for Asian-MetS, p = 0.546). CONCLUSIONS: In
a stepwise manner, both ATP III/AHA/NHLBI- or Asian-MetS scores were strong risk
factors for arterial stiffness and diabetes. Through a novel and holistic
approach, the performance of the ATP III/AHA/NHLBI-MetS score for the risks of
arterial stiffness and diabetes was comparable to the Asian-MetS score among a
Chinese population.
PMID- 29655803
TI - Role of MSC-derived galectin 3 in the AML microenvironment.
AB - In acute myeloid leukemia (AML), high Galectin 3 (LGALS3) expression is
associated with poor prognosis. The role of LGALS3 derived from mesenchymal
stromal cells (MSC) in the AML microenvironment is unclear; however, we have
recently found high LGALS3 expression in MSC derived from AML patients is
associated with relapse. In this study, we used reverse phase protein analysis
(RPPA) to correlate LGALS3 expression in AML MSC with 119 other proteins
including variants of these proteins such as phosphorylated forms or cleaved
forms to identify biologically relevant pathways. RPPA revealed that LGALS3
protein was positively correlated with expression of thirteen proteins including
MYC, phosphorylated beta-Catenin (p-CTNNB1), and AKT2 and negatively correlated
with expression of six proteins including integrin beta 3 (ITGB3). String
analysis revealed that proteins positively correlated with LGALS3 showed strong
interconnectivity. Consistent with the RPPA results, LGALS3 suppression by shRNA
in MSC resulted in decreased MYC and AKT expression while ITGB3 was induced. In
co-culture, the ability of AML cell to adhere to MSC LGALS3 shRNA transductants
was reduced compared to AML cell adhesion to MSC control shRNA transductants.
Finally, use of novel specific LGALS3 inhibitor CBP.001 in co-culture of AML
cells with MSC reduced viable leukemia cell populations with induced apoptosis
and augmented the chemotherapeutic effect of AraC. In summary, the current study
demonstrates that MSC-derived LGALS3 may be critical for important biological
pathways for MSC homeostasis and for regulating AML cell localization and
survival in the leukemia microenvironmental niche.
PMID- 29655806
TI - Is there a role for intervention radiology for the treatment of lower limb deep
vein thrombosis in the era of direct oral anticoagulants? A comprehensive review.
AB - Despite recent advances in the treatment of Deep Vein Thrombosis (DVT) provided
by Direct Oral Anticoagulants (DOAC), a substantial proportion of lower limb DVT
patients will develop some degree of post-thrombotic syndrome (PTS) within 2
years. Systemic thrombolysis, although effective in reducing the risk of PTS and
leg ulceration, is associated with a high risk of major bleeding, making it
unsuitable for the vast majority of patients. A local approach, aimed at
delivering the fibrinolytic drug directly into, or near to, the thrombus surface,
is attractive because of the possibility of lowering of the administered drug
dose, thus reducing the bleeding risks. However, even after the recent
publication of the ATTRACT trial, only weak evidence is available about the
efficacy and safety of Catheter Directed Thrombolysis (CDT), either alone
(pharmacological technique) or in combination with additional endovascular
approaches (pharmacomechanical technique, PMT) including percutaneous mechanical
thrombectomy, angioplasty with or without stenting and ultrasound-assisted CDT.
The present review is aimed at providing the physicians with a comprehensive
evaluation of the current evidence about this relevant topic, in order to build a
reliable conceptual framework for a more appropriate use of this resource.
PMID- 29655807
TI - Temporal trends of time in therapeutic range and incidence of cardiovascular
events in patients with non-valvular atrial fibrillation.
AB - BACKGROUND: Optimal time in therapeutic range (TTR) of vitamin K antagonists
(VKAs) is crucial for cardiovascular events (CVEs) prevention in non-valvular
atrial fibrillation (NVAF). The relationship between temporal changes of TTR and
the incidence of CVEs has been poorly investigated. We investigated 1) temporal
trends of TTR in a long-term follow-up of NVAF patients; 2) the incidence of CVEs
according to changes of TTR. METHODS: Prospective observational study including
1341 NVAF outpatients (mean age 73.5 years, 42.5% male) starting VKAs. Patients
were divided into 4 groups: Group 0: Optimal TTR, consistently >=70% (n = 241);
Group 1: Temporally worsening TTR, from above to below 70% (n = 263); Group 2:
Temporally improving TTR, from below to above 70% (n = 270); Group 3: Suboptimal
TTR, consistently <70% (n = 567). RESULTS: In a mean follow-up of 37.7 months
(4214.2 patient-years), 108 CVEs occurred (2.6%/year). Survival analysis showed a
graded increased risk of CVEs in relation to temporal changes in TTR, with the
worst outcomes in Groups 1 and 3 (log-rank test p = 0.013). Multivariable Cox
proportional hazards regression analysis showed that Group 1 vs. 0 (HR: 2.096;
95%CI 1.061-4.139, p = 0.033), Group 3 vs. 0 (HR: 2.292; 95%CI 1.205-4.361, p =
0.011), CHA2DS2VASc score (HR:1.316; 95%CI 1.153-1.501, p < 0.001) and PPIs
(HR:0.453; 95%CI 0.285-0.721, p = 0.001) were independently associated with CVEs.
CONCLUSION: A decrease of TTR <70% over time is observed in almost 20% of NVAF
patients. Patients with worsening TTR temporally (ie. from initially above 70% to
below 70%) have similar risk of CVEs of patients with consistently suboptimal
anticoagulation.
PMID- 29655808
TI - Impact of syncope and pre-syncope on short-term mortality in patients with acute
pulmonary embolism.
AB - BACKGROUND: Syncope and pre-syncope are well-known symptoms of acute pulmonary
embolism (PE). However, data about their impact on short-term mortality are
scant. We assess the short-term mortality (30-day) for all-causes in PE patients
admitted with syncope or with pre-syncope, according their hemodynamic status at
admission. METHODS: Patients from the prospective Italian Pulmonary Embolism
Registry (IPER) were included in the study. At admission, subjects were
stratified according to 2008 ESC guidelines (as high- and non-high-risk
patients). RESULTS: Among the 1716 patients with confirmed acute PE, syncope or
pre-syncope was the initial manifestation of the disease in 458 (26.6%) patients.
Short-term mortality (30-day) for all causes were significantly higher in
patients with syncope/presyncope (42.5% vs 6.2%, p < 0.0001) while PE patients
with presyncope demonstrated a worst short-term outcome, in terms of mortality
for all-causes, when compared to those subjects with syncope at admission (47.2%
vs 37.4%, p = 0.03). A statistically significant difference in survival between
pre-syncope and syncope was observed only in hemodynamically unstable patients
[log rank p = 0.036]. Cox regression analysis confirmed that pre-syncope resulted
an independent predictor of 30-day mortality in hemodynamically unstable patients
at admission (HR 2.13, 95% CI 1.08-4.22, p = 0.029), independently from right
ventricular dysfunction (RVD) (HR 6.23, 95% CI 3.05-12.71, p < 0.0001), age (HR
1.03, 95% CI 1.00-1.06, p = 0.023) and thrombolysis (HR 2.27, 95% CI 1.11-4.66, p
= 0.025). CONCLUSIONS: PE patients with syncope/presyncope had a higher 30-day
mortality for all-causes as well as patients with presyncope had a worst short
term outcome when compared to PE patients with syncope. Moreover, hemodynamically
unstable patients with presyncope had a worst prognosis independently from the
presence of RVD, age, positive cTn and thrombolytic treatment.
PMID- 29655809
TI - Acute Limb Ischemia in an 8-Year-Old Patient: A Case Report.
AB - We report the case of an 8-year-old patient with a history of nephrotic syndrome,
who presented to the emergency department with right foot pain. The patient's
mother described intermittent pain that woke her son from sleep and was
accompanied by the foot turning purple and becoming cold to touch. Physical
examination revealed capillary refill of over 10 seconds in the right and less
than 2 seconds in the left foot. Ankle-brachial indices (ABIs) were 0.0 on the
right and 0.96 on the left. The patient was admitted and started on therapeutic
intravenous heparin. After consultation with his parents, right lower extremity
angiography and thrombolysis was performed over 2 days. He subsequently underwent
fasciotomy and amputation of the tip of all 5 toes. Eighteen months later, there
is no leg length discrepancy, he is walking with foot inserts and has normal ABIs
bilaterally.
PMID- 29655810
TI - A Review of Interventions to Increase Vena Cava Filter Retrieval Rates.
AB - BACKGROUND: Inferior vena cava filters (IVCFs) are indicated for therapeutic and
prophylactic treatment of venous thromboembolism in patients when anticoagulation
has failed or is contraindicated. Retrievable IVCFs are not always retrieved
despite clinical recommendations. The purpose of this review is to compare
results in the literature regarding interventions and to improve IVCF retrieval
rates. METHODS: Articles were identified via the search terms "vena cava filters"
and "inferior vena cava filters" in conjunction with "retrieval." Searches were
repeated in MEDLINE/PubMed, Google Scholar, and Cochrane database. Exclusion
criteria included duplicates, misidentified subject matter, study period before
2008, and lack of control group. Two independent reviewers screened key elements
in the identified manuscripts, including the targeted intervention population,
study design, IVCF retrieval rates, and other outcomes. A third reviewer
corroborated results and consolidated findings. RESULTS: Seventeen articles were
identified for review. Of these, 12 were physician-targeted interventions, and 8
were patient-targeted interventions (3 studies included both). IVCF retrieval
rates varied substantially for each study, but all reviewed studies reported
improvement in retrieval rate following intervention. Only 5 studies reported
decreased IVCF indwell times in intervention groups. Reported complication rates
from IVCF retrievals were low, ranging from 0 to 2%. CONCLUSIONS: IVCF retrieval
rates were improved by all interventions in the reviewed studies. Findings
suggest that IVCF retrieval rates can be best improved by tracking patients
typically lost to follow-up. Literature suggests that successful tracking
requires an individual or team of individuals who have been assigned dedicated
clinical responsibility for coordinating care following IVCF placement.
PMID- 29655811
TI - Retrograde Endovenous Laser Therapy Facilitates Great Saphenous Vein Closure in
the Setting of Severe Vasospasm.
AB - Antegrade access for endovenous laser therapy may be technically challenging due
to patient body habitus, vasospasm, dehydration, and poor visibility of
vasculature. This report describes a retrograde approach from the contralateral
femoral vein to facilitate laser ablation of the great saphenous vein in the
setting of vasospasm. Such a technique may provide a viable alternative in
technically difficult situations.
PMID- 29655813
TI - Synovial Chondromatosis in Patient Presenting with Chronic Venous Stasis Ulcers.
AB - Synovial chondromatosis (SC) is a rare, benign synovial growth most frequently
involving the knee or hip joint. Common presenting symptoms include pain
throughout the affected joint, reduced range of motion, and a palpable mass. We
present an unusual case of SC presenting with symptoms of chronic venous stasis
ulcer. A 49-year-old patient presented with swelling, hyperpigmentation, and
ulcerations of his right lower extremity. Work-up including duplex and computed
tomography scan revealed a calcified mass in the hip joint, highly suspicious for
SC. A joint surgical approach from a vascular and orthopedic surgeon successfully
removed the growths and decompressed the surrounding vessels. The mass effect of
the SC on overlying veins resulted in obstruction of venous return due and
subsequent venous stasis ulcerations and symptoms of venous hypertension. We
present this case due to the unique vascular sequelae related to the SC to
explore this as a new diagnosis to consider in patients who present with venous
stasis ulceration and radiographic findings consistent with SC.
PMID- 29655812
TI - Routine Use of Ultrasound Guidance in Femoral Arterial Access for Peripheral
Vascular Intervention Decreases Groin Hematoma Rates in High-Volume Surgeons.
AB - BACKGROUND: There is a documented learning curve when adopting ultrasound
guidance (UG) to aid vascular access. In the Vascular Study Group of New England
(VSGNE), routine UG during percutaneous femoral artery access was shown to
protect against the complication of groin hematoma. We sought to confirm this
finding in the Vascular Quality Initiative (VQI), a data set with a larger sample
size and broader geographic distribution, and to evaluate the effects of
ultrasound usage and interventionalist volume on hematoma rates following
peripheral vascular interventions (PVIs). METHODS: The VQI PVI database (2010
2014) was queried to identify the complication of groin hematoma after 43,947
PVIs performed via femoral artery access. Both procedure- and interventionalist
level analyses were performed. Multivariable logistic regression was used to
assess factors associated with hematoma. Multivariable Poisson regression
analyses were used to compare hematoma rates between routine (>=80% of PVIs) and
selective (<80% of PVIs) users of UG in the adjusted overall sample and in
subgroups based on interventionalist volume (low: <10 PVIs/year; medium: 10-50
PVIs/year; high: >50 PVIs/year). RESULTS: The overall groin hematoma rate was
3.2%, and lower hematoma rates correlated with increasing annual
interventionalist volume (low vs. medium vs. high volume: 3.7% vs. 3.4% vs. 2.9%;
P = 0.011). UG was associated with increased risk of hematoma (odds ratio [OR]
1.29, 95% confidence interval [CI] 1.13-1.47, P < 0.001), but this risk was
isolated to patients treated by selective (OR 1.33, 95% CI 1.17-1.53, P < 0.001)
rather than routine users of UG (OR 0.85, 95% CI 0.55-1.33, P = 0.484). In the
overall interventionalist-level analysis, routine UG was not found to be
protective against hematoma (rate ratio [RR] 0.97, 95% CI 0.85-1.11, P = 0.677),
in contrast to what was previously reported from the VSGNE. However, subgroup
analysis revealed that routine UG was further protective against hematoma among
high-volume interventionalists (RR 0.73, 95% CI 0.54-0.97, P = 0.030).
CONCLUSIONS: UG in percutaneous femoral artery access may decrease the
complication rate of groin hematoma, especially as an interventionalist's volume
increases and as selective use transforms into routine adoption. With repetition
and practice, interventionalists likely overcome the learning curve associated
with adoption of an unfamiliar technology and potentially improve patient
outcomes.
PMID- 29655814
TI - Safety and Efficacy of Arterial Closure Devices in an Office-Based Angiosuite.
AB - INTRODUCTION: We aimed to compare the safety and efficacy of 5 arterial closure
devices in an outpatient endovascular surgery center. METHODS: We retrospectively
reviewed all cases using femoral arterial access performed between January 2012
and December 2013. Five different arterial closure devices (AngioSeal, Perclose,
StarClose, ExoSeal, and Mynx) were used by 7 endovascular surgeons. All femoral
arteries were accessed with 6F sheaths under ultrasound guidance. All patients
received systemic anticoagulation with sodium heparin (70 IU/kg). Sheath-shot
angiograms of all arterial punctures were taken before deploying closure devices.
Device failure was defined as any partial or complete failure requiring
additional closure assistance. Minor complication was defined as any event that
occurred because of incomplete hemostasis but did not result in hospitalization,
including hematoma, hypotension, bleeding, arterial dissection, or extended
recovery. Major complication was defined as any event that occurred because of
incomplete hemostasis requiring inpatient management. Any device failure was
identified per device and per surgeon. Device safety, efficacy, and relationships
between other variables were analyzed using a binomial logistic regression.
Results with P values < 0.05 were considered to be statistically significant.
RESULTS: During the study period, there were a total of 3142 endovascular
procedures, including 1976 arterial cases (62.9%). Out of 1898 femoral artery
punctures, closure devices were used in 1810 (95.4%), which forms the basis of
this report. Device failure occurred in 151 cases (8.34%), and minor
complications occurred in 53 cases (2.93%). There were 11 hospitalizations
(0.61%). AngioSeal had both the lowest device failure rate (3.5%) and minor
complication rate (1.3%). Our data showed a significant difference between the
respective arterial closure devices for device failure rate (P = 0.007) and minor
complication rate (P = 0.049), but not for major complication rate (P = 0.199).
No significant difference was observed between surgeons for device failure (P =
0.798), minor complication (P = 0.218), or major complication rate (P = 0.899).
CONCLUSIONS: With the lowest device failure and minor complication rate,
AngioSeal is a consistently well-performing arterial closure device in the office
surgical suite setting.
PMID- 29655815
TI - Evidence for retinoic acid involvement in the regulation of vitellogenesis in the
fresh water edible crab, Oziotelphusa senex senex.
AB - The possible involvement of 13-cis retinoic acid (CRA) in the regulation of
ovarian development in Oziotelphusa senex senex was investigated. Injection of
CRA, into avitellogenic crabs significantly increased ovarian index, oocyte
diameter and ovarian vitellogenin levels. Injection of CRA also resulted in a
significant increase in the secretory rates of mandibular organs and Y-organs and
circulatory levels of the methyl farnesoate and ecdysteroids. Further,
administration of CRA into avitellogenic crabs produced higher amounts of
Retinoid X Receptor, Ecdysteroid Receptor, E75 and vitellogenin mRNAs in the
hepatopancreas. Mandibular organ and Y-organ explants isolated from avitellogenic
crabs secreted more of methyl farnesoate and ecdysteroids respectively when
incubated with CRA. Taken together, these observations led us to hypothesize that
CRA stimulates ecdysteroidogenesis and methyl farnesoate synthesis, up-regulates
EcR, RXR and E75 expression in hepatopancreas, which then induces vitellogenin
gene expression. Vitellogenin is subsequently taken up from hemolymph by ovaries
ensuing in ovarian maturation.
PMID- 29655817
TI - The influence of insurance status on treatment and outcomes in oral cavity
cancer: an analysis on 46,373 patients.
AB - The purpose of this study was to determine the influence of insurance status on
treatment and outcomes in oral cavity cancer. Patients were identified in the
National Cancer Database (NCDB). Data were collected and analyzed using chi2
tests, Kaplan-Meier methods, and multivariable Cox regression models. Those
uninsured or on Medicaid were more likely to be younger (P<0.001), minority race
(P<0.001), have a lower median household income (P<0.001), lower educational
attainment (P<0.001), not undergo primary resection (P<0.001), present with
higher T (P<0.001),N (P<0.001), and M (P<0.001) stage of disease, and have a
higher tumor grade (P<0.001). On univariate analysis, those with private
insurance had significantly better overall survival than those uninsured (hazard
ratio (HR) 1.481), under Medicaid (HR 2.006), or on Medicare (HR 1.921). On
multivariable Cox regression analysis, insurance status remained an independent
prognosticator even after accounting for multiple demographic, socioeconomic,
treatment, and clinicopathological factors. These data suggest that insurance
status is associated with treatment and outcomes in patients with oral cavity
cancer. Being uninsured or on Medicaid was found to be associated with a higher
risk of a poorer prognosis when compared to private insurance, and the data
suggest the need to expand comprehensive medical coverage and optimize access to
adequate medical care in vulnerable patient populations.
PMID- 29655816
TI - Sex-specific transcription and DNA methylation profiles of reproductive and
epigenetic associated genes in the gonads and livers of breeding zebrafish.
AB - Reproduction is an essential process for life and is regulated by complex hormone
networks and environmental factors. To date, little is known about the
contribution of epigenetic mechanisms to the regulation of reproduction,
particularly in lower vertebrates. We used the zebrafish (Danio rerio) model to
investigate the sex-specific transcription and DNA methylation profiles for genes
involved in the regulation of reproduction and in epigenetic signalling in the
livers and gonads. We found evidence for associations between DNA promotor
methylation and transcription for esr1 (gonads and female livers), amh (gonads)
and dnmt1 (livers). In the liver, esr1 was shown to be significantly over
expressed in females compared to males, and its promoter was significantly hypo
methylated in females compared to males. In the gonads, genes involved in
epigenetic processes including dnmt1, dnmt3 and hdac1 were over-expressed in the
ovary compared to the testis. In addition, dnmt1 and dnmt3 transcription in the
testis was found to be strongly correlated with global DNA methylation. These
data provide evidence of the sex-specific epigenetic regulation and transcription
of genes involved in reproduction and epigenetic signalling in a commonly used
vertebrate model.
PMID- 29655818
TI - Risk factors and clinical outcomes of sinus membrane perforation during lateral
window sinus lifting: analysis of 120 patients.
AB - The aim of this study was to identify the risk factors associated with sinus
membrane perforation and the effect of sinus membrane perforation and other risk
factors on graft success and postoperative sinusitis. Sinus membrane perforation,
graft failure, and postoperative sinusitis were tested for an association with
age, sex, operator experience, side of the operation, residual bone height,
presence of septa, presence of a mucous retention cyst, and smoking (chi2 test).
Logistic regression analysis was used to model the odds ratio (OR) with
corresponding risk factors. One hundred and twenty patients were included in this
study. A total of 22 (18.3%) perforations occurred. A residual bone height of 3
6mm (OR 6.808, P=0.002) and presence of septa (OR 4.023, P=0.025) were identified
as significant risk factors. Twenty-eight (23.3%) sinus grafts were classified as
failed. Membrane perforation (OR 16.819, P<0.005) and residual bone height of 3
6mm (OR 5.363, P=0.01) were identified as significant risk factors for graft
failure. None of the risk factors investigated in this study was significantly
associated with postoperative sinusitis. These results suggest that the presence
of septa and a residual bone height of 3-6mm are associated with an increased
risk of sinus membrane perforation, and that sinus membrane perforation has a
negative effect on graft success.
PMID- 29655819
TI - In vitro study of a modified sagittal split osteotomy fixation technique of the
mandible: a mechanical test.
AB - This study was performed to evaluate the compressive mechanical strength of rigid
internal fixation (RIF) using 1.5-mm L-shaped plates fixed with monocortical
screws in sagittal split osteotomy (SSO). Thirty synthetic hemimandibles, which
had all undergone a 5-mm advancement, were divided into three groups: three 12-mm
bicortical titanium screws were placed in an inverted L pattern in group A; one
straight 2.0-mm system spaced titanium plate fixed with four 5-mm monocortical
screws was used in group B; two 1.5-mm system L-shaped titanium plates, each
fixed with four 5-mm monocortical screws, were used in group C. The models were
subjected to compressive and progressive mechanical tests with forces applied in
the area between the second premolar and first molar to verify resistance in
Newtons (N). A displacement speed of 1mm/min was applied, with a maximum 10mm
displacement of the distal segment or until disruption of the fixation. The
deformity and/or eventual rupture of the plates were evaluated, and consequently
their technical stability was determined. The results showed that the modified
fixation technique tested in this study on synthetic mandibles resulted in
adequate stability and superior mechanical behaviour compared to simulated
osteosynthesis with the use of a straight 2.0-mm titanium plate.
PMID- 29655821
TI - Opening Opportunities With Open Data.
PMID- 29655820
TI - Reprint of: Amorfrutin-type phytocannabinoids from Helichrysum umbraculigerum.
PMID- 29655822
TI - Clinical Spectrum and Management of Heart Failure in Hypertrophic Cardiomyopathy.
AB - Heart failure (HF), characterized by excessive exertional dyspnea, is a common
complication within the broad clinical spectrum of hypertrophic cardiomyopathy
(HCM). HF has become an increasingly prominent management issue with the
reduction in sudden deaths due to use of implantable defibrillators in this
disease. Exertional dyspnea ranges in severity from mild to severe (New York
Heart Association functional classes II to IV) and not uncommonly becomes
refractory to medical management, leading to progressive disability, but largely
in the absence of pulmonary congestion and volume overload requiring
hospitalization. HCM-related HF is most commonly due to dynamic mechanical
impedance to left ventricular outflow produced by mitral valve systolic anterior
motion, leading to high intracavity pressures. Surgical septal myectomy with low
operative mortality (<1%) produces HF reversal and symptom relief in 90% to 95%
of patients, while also conveying a survival benefit. Exercise echocardiography
has assumed an important role in the evaluation of patients with HCM, i.e., by
identifying candidates for septal reduction therapy with refractory HF when
outflow gradients are present only with physiological exercise, distinguishing
highly symptomatic nonobstructive patients as heart transplant candidates, and
predicting future development of progressive HF. Notably, mortality directly
attributable to HF has become exceedingly uncommon in HCM (<0.5%/year) in
contrast with HF in non-HCM diseases (by 20-fold). In conclusion, HF in HCM is
associated with diverse and complex pathophysiology, but a substantially more
favorable prognosis than conventional non-HCM HF, and highly amenable to
effective treatment options in the vast majority of patients.
PMID- 29655823
TI - Heart Failure Costs, Minority Populations, and Outcomes: Targeting Health Status,
Not Utilization, to Bend the Cost-Effectiveness Curve.
PMID- 29655824
TI - Traveling the Interstices of Data Sharing.
PMID- 29655825
TI - Hypertrophic Cardiomyopathy: Clinical Update.
AB - Hypertrophic cardiomyopathy (HCM) is the most common heritable cardiomyopathy,
manifesting as left ventricular hypertrophy in the absence of a secondary cause.
The genetic underpinnings of HCM arise largely from mutations of sarcomeric
proteins; however, the specific underlying mutation often remains undetermined.
Patient presentation is phenotypically diverse, ranging from asymptomatic to
heart failure or sudden cardiac death. Left ventricular hypertrophy and abnormal
ventricular configuration result in dynamic left ventricular outflow obstruction
in most patients. The goal of therapeutic interventions is largely to reduce
dynamic obstruction, with treatment modalities spanning lifestyle modifications,
pharmacotherapies, and septal reduction therapies. A small subset of patients
with HCM will experience sudden cardiac death, and risk stratification remains a
clinical challenge. This paper presents a clinical update for diagnosis, family
screening, clinical imaging, risk stratification, and management of symptoms in
patients with HCM.
PMID- 29655826
TI - Exploiting the Natriuretic Peptide Pathway to Preserve Glomerular Filtration in
Heart Failure.
PMID- 29655827
TI - 30-Day Episode Payments and Heart Failure Outcomes Among Medicare Beneficiaries.
AB - OBJECTIVES: The purpose of this study was to examine the association of 30-day
payments for an episode of heart failure (HF) care at the hospital level with
patient outcomes. BACKGROUND: There is increased focus among policymakers on
improving value for HF care, given its rising prevalence and associated financial
burden in the United States; however, little is known about the relationship
between payments and mortality for a 30-day episode of HF care. METHODS: Using
Medicare claims data for all fee-for-service beneficiaries hospitalized for HF
between July 1, 2011, and June 30, 2014, we examined the association between 30
day Medicare payments at the hospital level (beginning with a hospital admission
for HF and across multiple settings following discharge) and patient 30-day
mortality using mixed-effect logistic regression models. RESULTS: We included
1,343,792 patients hospitalized for HF across 2,948 hospitals. Mean hospital
level 30-day Medicare payments per beneficiary were $15,423 +/- $1,523. Overall
observed mortality in the cohort was 11.3%. Higher hospital-level 30-day payments
were associated with lower patient mortality after adjustment for patient
characteristics (odds ratio per $1,000 increase in payments: 0.961; 95%
confidence interval [CI]: 0.954 to 0.967). This relationship was slightly
attenuated after accounting for hospital characteristics and HF volume, but
remained significant (odds ratio per $1,000 increase: 0.968; 95% CI: 0.962 to
0.975). Additional adjustment for potential mediating factors, including cardiac
service capability and post-acute service use, did not significantly affect the
relationship. CONCLUSIONS: Higher hospital-level 30-day episode payments were
associated with lower patient mortality following a hospitalization for HF. This
has implications for policies that incentivize reduction in payments without
considering value. Further investigation is needed to understand the mechanisms
that underlie this relationship.
PMID- 29655828
TI - Venoarterial Extracorporeal Membrane Oxygenation in Cardiogenic Shock.
AB - Venoarterial extracorporeal membrane oxygenation has emerged as a viable
treatment for patients in cardiogenic shock with biventricular failure and
pulmonary dysfunction. Advances in pump and oxygenator technology, cannulation
strategies, patient selection and management, and durable mechanical circulatory
support have contributed to expanded utilization of this technology. However,
challenges remain that require investigation to improve outcomes.
PMID- 29655829
TI - Renal Effects and Associated Outcomes During Angiotensin-Neprilysin Inhibition in
Heart Failure.
AB - OBJECTIVES: The purpose of this study was to evaluate the renal effects of
sacubitril/valsartan in patients with heart failure and reduced ejection
fraction. BACKGROUND: Renal function is frequently impaired in patients with
heart failure with reduced ejection fraction and may deteriorate further after
blockade of the renin-angiotensin system. METHODS: In the PARADIGM-HF
(Prospective Comparison of ARNI with ACE inhibition to Determine Impact on Global
Mortality and Morbidity in Heart Failure) trial, 8,399 patients with heart
failure with reduced ejection fraction were randomized to treatment with
sacubitril/valsartan or enalapril. The estimated glomerular filtration rate
(eGFR) was available for all patients, and the urinary albumin/creatinine ratio
(UACR) was available in 1872 patients, at screening, randomization, and at fixed
time intervals during follow-up. We evaluated the effect of study treatment on
change in eGFR and UACR, and on renal and cardiovascular outcomes, according to
eGFR and UACR. RESULTS: At screening, the eGFR was 70 +/- 20 ml/min/1.73 m2 and
2,745 patients (33%) had chronic kidney disease; the median UACR was 1.0 mg/mmol
(interquartile range [IQR]: 0.4 to 3.2 mg/mmol) and 24% had an increased UACR.
The decrease in eGFR during follow-up was less with sacubitril/valsartan compared
with enalapril (-1.61 ml/min/1.73 m2/year; [95% confidence interval: -1.77 to
1.44 ml/min/1.73 m2/year] vs. -2.04 ml/min/1.73 m2/year [95% CI: -2.21 to -1.88
ml/min/1.73 m2/year ]; p < 0.001) despite a greater increase in UACR with
sacubitril/valsartan than with enalapril (1.20 mg/mmol [95% CI: 1.04 to 1.36
mg/mmol] vs. 0.90 mg/mmol [95% CI: 0.77 to 1.03 mg/mmol]; p < 0.001). The effect
of sacubitril/valsartan on cardiovascular death or heart failure hospitalization
was not modified by eGFR, UACR (p interaction = 0.70 and 0.34, respectively), or
by change in UACR (p interaction = 0.38). CONCLUSIONS: Compared with enalapril,
sacubitril/valsartan led to a slower rate of decrease in the eGFR and improved
cardiovascular outcomes, even in patients with chronic kidney disease, despite
causing a modest increase in UACR.
PMID- 29655830
TI - Medicare Expenditures by Race/Ethnicity After Hospitalization for Heart Failure
With Preserved Ejection Fraction.
AB - OBJECTIVES: The purpose of this study was to analyze cumulative Medicare
expenditures at index admission and after discharge by race or ethnicity.
BACKGROUND: Heart failure with preserved ejection fraction (HFpEF) is a growing
proportion of heart failure (HF) admissions. Research on health care expenditures
for patients with HFpEF is limited. METHODS: Records of patients discharged from
the Get With The Guidelines-Heart Failure registry between 2006 and 2014 were
linked to Medicare data. The primary outcome was unadjusted payments for acute
care services. Comparisons between race/ethnic groups were made using generalized
linear mixed models. Cost ratios were reported by race/ethnicity, and adjustments
were made sequentially for patient characteristics, hospital factors, and
regional socioeconomic status. RESULTS: Median Medicare costs for index
hospitalizations were $7,241 for the entire cohort, $7,049 for whites, $8,269 for
blacks, $8,808 for Hispanics, $8,477 for Asians, and $8,963 for other races.
Median costs at 30 days for readmitted patients were $9,803 and $17,456 for the
entire cohort at 1-year. No significant differences were seen in index admission
cost ratios by race/ethnicity. At 30 days among readmitted patients, costs were
9% higher (95% confidence interval [CI]: 1% to 17%; p = 0.020) for blacks in the
fully adjusted model than whites. At 1 year, costs were 14% higher (95% CI: 9% to
18%; p < 0.001) for blacks, 7% higher (95% CI: 0% to 14%; p = 0.041) for
Hispanics, and 24% higher (95% CI: 8% to 42%; p = 0.003) for patients of other
races. No significant differences between white and Asian expenditures were
noted. CONCLUSIONS: Minority patients with HFpEF have greater acute care service
costs. Further research of improving care delivery is needed to reduce acute care
use for vulnerable populations.
PMID- 29655831
TI - Corrigendum to: "The NLRP3 inflammasome and the emerging role of colchicine to
inhibit atherosclerosis-associated inflammation" [Atherosclerosis. 2018
Feb;269:262-271].
PMID- 29655832
TI - Serum magnesium, phosphorus, and calcium levels and subclinical calcific aortic
valve disease: A population-based study.
AB - BACKGROUND AND AIMS: Calcific aortic valve disease (CAVD) is the most common
valve disease. Although micronutrients are known to contribute to cardiovascular
disease, the relationship with CAVD remains poorly evaluated. We examined the
association of serum levels of magnesium, phosphorus, and calcium with
prevalence, incidence, and progression of aortic valve calcification (AVC).
METHODS: We conducted a prospective study in a population-based sample of
Japanese men aged 40-79 years without known cardiovascular disease and chronic
kidney disease at baseline, and quantified AVC from serial computed tomographic
images with the Agatston method. RESULTS: Of 938 participants at baseline (mean
age, 63.7 +/- 9.9 years), AVC prevalence was observed in 173 (18.4%). Of 596
participants without baseline AVC at follow-up (median duration, 5.1 years), AVC
incidence was observed in 138 (23.2%). After adjustment for demographics,
behaviors and cardiovascular risk factors, relative risks (95% confidence
intervals) in the highest versus lowest categories of serum magnesium,
phosphorus, and calcium were 0.62 (0.44-0.86), 1.45 (1.02-2.04), and 1.43 (0.95
2.15), respectively, for AVC prevalence and 0.62 (0.42-0.92), 1.93 (1.28-2.91),
and 1.09 (0.77-1.55), respectively, for AVC incidence. Their linear trends of
serum magnesium and phosphorus were also all statistically significant. Of 131
participants with baseline AVC, there was no association of any serum
micronutrients with AVC progression. CONCLUSIONS: Serum magnesium was inversely
associated, while serum phosphorus was positively associated with AVC prevalence
and incidence, suggesting that these serum micronutrients may be potential
candidates for risk prediction or prevention of CAVD, and warranting further
studies.
PMID- 29655833
TI - Development of Evidence-Based Surveillance Intervals After Radiofrequency
Ablation of Barrett's Esophagus.
AB - BACKGROUND & AIMS: Barrett's esophagus (BE) recurs in 25% or more of patients
treated successfully with radiofrequency ablation (RFA), so surveillance
endoscopy is recommended after complete eradication of intestinal metaplasia
(CEIM). The frequency of surveillance is informed only by expert opinion. We
aimed to model the incidence of neoplastic recurrence, validate the model in an
independent cohort, and propose evidence-based surveillance intervals. METHODS:
We collected data from the United States Radiofrequency Ablation Registry (US
RFA, 2004-2013) and the United Kingdom National Halo Registry (UK NHR, 2007-2015)
to build and validate models to predict the incidence of neoplasia recurrence
after initially successful RFA. We developed 3 categories of risk and modeled
intervals to yield 0.1% risk of recurrence with invasive adenocarcinoma. We fit
Cox proportional hazards models assessing discrimination by C statistic and 95%
confidence limits. RESULTS: The incidence of neoplastic recurrence was associated
with most severe histologic grade before CEIM, age, endoscopic mucosal resection,
sex, and baseline BE segment length. In multivariate analysis, a model based
solely on most severe pre-CEIM histology predicted neoplastic recurrence with a C
statistic of 0.892 (95% confidence limit, 0.863-0.921) in the US RFA registry.
This model also performed well when we used data from the UK NHR. Our model
divided patients into 3 risk groups based on baseline histologic grade: non
dysplastic BE; indefinite for dysplasia, low-grade dysplasia, and high-grade
dysplasia; or intramucosal adenocarcinoma. For patients with low-grade dysplasia,
we propose surveillance endoscopy at 1 and 3 years after CEIM; for patients with
high-grade dysplasia or intramucosal adenocarcinoma, we propose surveillance
endoscopy at 0.25, 0.5, and 1 year after CEIM, then annually. CONCLUSION: In
analyses of data from the US RFA and UK NHR for BE, a much-attenuated schedule of
surveillance endoscopy would provide protection from invasive adenocarcinoma.
Adherence to the recommended surveillance intervals could decrease the number of
endoscopies performed yet identify unresectable cancers at rates less than 1/1000
endoscopies.
PMID- 29655835
TI - Risk of Serious and Opportunistic Infections Associated With Treatment of
Inflammatory Bowel Diseases.
AB - BACKGROUND & AIMS: The risk of infection associated with tumor necrosis factor
antagonists (anti-TNF) and thiopurines (combination therapy) is uncertain. We
assessed the risk of serious and opportunistic infections in patients with
inflammatory bowel disease (IBD) treated with thiopurine monotherapy, anti-TNF
monotherapy, or combination therapy in a large cohort of patients in France.
METHODS: We performed a nationwide population-based study of patients (18 years
or older) with a diagnosis of IBD in the French national health insurance
database; we collected data from January 1, 2009 until December 31, 2014. The
risks of serious and opportunistic infections associated with exposure to
combination therapy, anti-TNF, and thiopurine monotherapies were compared using
marginal structural Cox proportional hazard models adjusted for baseline and time
varying sociodemographic characteristics, medications, and comorbidities.
RESULTS: Among the 190,694 patients with IBD included in our analysis, 8561
serious infections and 674 opportunistic infections occurred. Compared with anti
TNF monotherapy, combination therapy was associated with increased risks of
serious infection (hazard ratio [HR], 1.23; 95% confidence interval [CI], 1.05
1.45) and opportunistic infection (HR, 1.96; 95% CI, 1.32-2.91). Compared with
thiopurine monotherapy, anti-TNF monotherapy was associated with increased risks
of serious infection (HR, 1.71; 95% CI, 1.56-1.88), mycobacterial infection (HR,
1.98; 95% CI, 1.15-3.40), and bacterial infection (HR, 2.38; 95% CI, 1.23-4.58,
respectively). Conversely, anti-TNF monotherapy was associated with decreased
risk of opportunistic viral infection compared with thiopurine monotherapy (HR,
0.57; 95% CI, 0.38-0.87). CONCLUSIONS: In a nationwide cohort study of patients
with IBD in France, we found heterogeneity in risks of serious and opportunistic
infections in patients treated with immune-suppressive regimens. These should be
carefully considered and weighed against potential benefits for IBD treatment in
patient management.
PMID- 29655834
TI - Metformin Use Is Associated With Longer Progression-Free Survival of Patients
With Diabetes and Pancreatic Neuroendocrine Tumors Receiving Everolimus and/or
Somatostatin Analogues.
AB - BACKGROUND & AIMS: Metformin seems to have anticancer effects. However, it is not
clear whether use of glycemia and metformin affect outcomes of patients with
advanced pancreatic neuroendocrine tumors (pNETs). We investigated the
association between glycemia and progression-free survival (PFS) of patients with
pNETs treated with everolimus and/or somatostatin analogues, as well as the
association between metformin use and PFS time. METHODS: We performed a
retrospective analysis of 445 patients with advanced pNET treated at 24 medical
centers in Italy from 1999 through 2015. Data on levels of glycemia were
collected at time of diagnosis of pNET, before treatment initiation, and during
treatment with everolimus (with or without somatostatin analogues), octreotide,
or lanreotide. Diabetes was defined as prior or current use of glycemia control
medication and/or fasting plasma glucose level >= 126 mg/dL, hemoglobin A1c >=
6.5% (48 mmol/L), or a random sample of plasma glucose >= 200 mg/dL (11.1
mmol/L), with reported classic symptoms of hyperglycemia or hyperglycemic crisis.
Patients were assigned to groups based on diagnosis of diabetes before or during
antitumor therapy. PFS was compared between patients with vs without diabetes.
Among patients with diabetes, the association between metformin use and PFS was
assessed. We performed sensitivity and landmark analyses to exclude patients who
developed diabetes while receiving cancer treatment and to exclude a potential
immortal time bias related to metformin intake. RESULTS: PFS was significantly
longer in patients with diabetes (median, 32.0 months) than without diabetes
(median, 15.1 months) (hazard ratio for patients with vs without diabetes, 0.63;
95% confidence interval, 0.50-0.80; P = .0002). PFS of patients treated with
metformin was significantly longer (median PFS, 44.2 months) than for patients
without diabetes (hazard ratio for survival of patients with diabetes receiving
metformin vs without diabetes, 0.45; 95% confidence interval, 0.32-0.62; P <
.00001) and longer than for patients with diabetes receiving other treatments
(median PFS, 20.8 months; hazard ratio, 0.49; 95% confidence interval, 0.34-0.69;
P < .0001). In multivariable analysis, adjusted for other factors associated with
outcomes, metformin was associated with longer PFS but level of glycemia was not.
Metformin was associated with increased PFS of patients receiving somatostatin
analogues and in those receiving everolimus, with or without somatostatin
analogues. Sensitivity and landmark analyses produced similar results.
CONCLUSIONS: In a retrospective study of patients with pNETs, we found a
significant association between metformin use and longer PFS.
PMID- 29655838
TI - Exploring the effect of mesopore size reduction on the column performance of
silica-based open tubular capillary columns.
AB - We report on a modification in the hydrothermal treatment process of monolithic
silica layers used in porous-layered open tubular (PLOT) columns. Lowering the
temperature from the customary 95 degrees C to 80 degrees C, the size of the
mesopores reduced by approximately about 35% from 12-13.5 nm to 7.5-9 nm, while
the specific pore volume essentially remains unaltered. This led to an increase
of the specific surface area (SA) of about 40%, quasi-independent of the porous
layer thickness. The increased surface area provided a corresponding increase in
retention, somewhat more (48%) than expected based on the increase in SA for the
thin layer columns, and somewhat less than expected (34%) for the thick layer
columns. The recipes were applied in 5 MUm i.d.-capillaries with a length of 60
cm. Efficiencies under retained conditions amounted up to N = 137,000 for the
PLOT column with a layer thickness (df) of 300 nm and to N = 109,000 for the PLOT
column with df = 550 nm. Working under conditions of similar retention, the
narrow pore/high SA columns produced with the new 80 degrees C recipe generated
the same number of theoretical plates as the wide pore size/low SA columns
produced with the 95 degrees C recipe. This shows the 80 degrees C-hydrothermal
treatment process allows for an increase in the phase ratio of the PLOT columns
without affecting their intrinsic mass transfer properties and separation
kinetics. This is further corroborated by the fact that the plate height curves
generated with the new and former recipe can both be well-fitted with the Golay
Aris equation without having to change the intra-layer diffusion coefficient.
PMID- 29655837
TI - Outcomes of Early Liver Transplantation for Patients With Severe Alcoholic
Hepatitis.
AB - BACKGROUND & AIMS: The American Consortium of Early Liver Transplantation for
Alcoholic Hepatitis comprises 12 centers from 8 United Network for Organ Sharing
regions studying early liver transplantation (LT) (without mandated period of
sobriety) for patients with severe alcoholic hepatitis (AH). We analyzed the
outcomes of these patients. METHODS: We performed a retrospective study of
consecutive patients with a diagnosis of severe AH and no prior diagnosis of
liver disease or episodes of AH, who underwent LT before 6 months of abstinence
from 2006 through 2017 at 12 centers. We collected data on baseline
characteristics, psychosocial profiles, level of alcohol consumption before LT,
disease course and treatment, and outcomes of LT. The interval of alcohol
abstinence was defined as the time between last drink and the date of LT. The
primary outcomes were survival and alcohol use after LT, defined as slip or
sustained. RESULTS: Among 147 patients with AH who received liver transplants,
the median duration of abstinence before LT was 55 days; 54% received
corticosteroids for AH and the patients had a median Lille score of 0.82 and a
median Sodium Model for End-Stage Liver Disease score of 39. Cumulative patient
survival percentages after LT were 94% at 1 year (95% confidence interval [CI],
89%-97%) and 84% at 3 years (95% CI, 75%-90%). Following hospital discharge after
LT, 72% were abstinent, 18% had slips, and 11% had sustained alcohol use. The
cumulative incidence of any alcohol use was 25% at 1 year (95% CI, 18%-34%) and
34% at 3 years (95% CI, 25%-44%) after LT. The cumulative incidence of sustained
alcohol use was 10% at 1 year (95% CI, 6%-18%) and 17% at 3 years (95% CI, 10%
27%) after LT. In multivariable analysis, only younger age was associated with
alcohol following LT (P = .01). Sustained alcohol use after LT was associated
with increased risk of death (hazard ratio, 4.59; P = .01). CONCLUSIONS: In a
retrospective analysis of 147 patients who underwent early LT (before 6 months of
abstinence) for severe AH, we found that most patients survive for 1 year (94%)
and 3 years (84%), similar to patients receiving liver transplants for other
indications. Sustained alcohol use after LT was infrequent but associated with
increased mortality. Our findings support the selective use of LT as a treatment
for severe AH. Prospective studies are needed to optimize selection criteria,
management of patients after LT, and long-term outcomes.
PMID- 29655839
TI - Free silanols and ionic liquids as their suppressors in liquid chromatography.
AB - In this review, we will firstly discuss the types and the general properties of
silica, focusing on the silica support used in chromatography and capillary
electrophoresis. Additionally, the characterization of functional groups
(silanols and siloxanes) will be considered in terms of activity of the
stationary phases. We will then discuss physical chemistry of the stationary
phases applied in liquid chromatography and capillary electrophoresis. The use of
ionic liquids as a silanols' suppressors will be presented in the next parts of
the study, along with the examples of specific applications. The review is
completed with conclusions and an outlook for the future developments in the area
of analytical applications of ionic liquids.
PMID- 29655836
TI - Incidence of Hepatocellular Carcinoma in Patients With HCV-Associated Cirrhosis
Treated With Direct-Acting Antiviral Agents.
AB - BACKGROUND & AIMS: Studies have produced conflicting results of the incidence of
hepatocellular carcinoma (HCC) in patients with hepatitis C virus-associated
cirrhosis treated with direct-acting antivirals (DAAs). Data from clinics are
needed to accurately assess the occurrence rate of HCC in patients with cirrhosis
in the real world. METHODS: We collected data from a large prospective study of
2,249 consecutive patients (mean age = 65.4 years, 56.9% male) with hepatitis C
virus-associated cirrhosis (90.5% with Child-Pugh class A and 9.5% with Child
Pugh class B) treated with DAAs from March 2015 through July 2016 at 22 academic
and community liver centers in Sicily, Italy. HCC occurrence was evaluated by
Kaplan-Meier curves. Cox regression analysis was used to identify variables
associated with HCC development. RESULTS: A sustained virologic response (SVR)
was achieved by 2,140 patients (total = 95.2%; 95.9% with Child Pugh class A and
88.3% with Child Pugh class B; P < .001). Seventy-eight patients (3.5%) developed
HCC during a mean follow-up of 14 months (range = 6-24 months). At 1 year after
exposure to DAAs, HCC developed in 2.1% of patients with Child-Pugh class A with
an SVR and 6.6% of patients with no SVR and in 7.8% of patients with Child-Pugh
class B with an SVR and 12.4% of patients with no SVR (P < .001 by log-rank
test). Albumin level below 3.5 g/dL (hazard ratio = 1.77, 95% confidence interval
= 1.12-2.82, P = .015), platelet count below 120 * 109/L (hazard ratio = 3.89,
95% confidence interval = 2.11-7.15, P < .001), and absence of an SVR (hazard
ratio = 3.40, 95% confidence interval = 1.89-6.12, P < .001) were independently
associated increased risk for HCC. The mean interval from exposure to DAAs to an
HCC diagnosis was 9.8 months (range = 2-22 months) and did not differ
significantly between patients with (n = 64, 9.2 months) and without (n = 14,
12.0 months) an SVR (P = .11). A larger proportion of patients with an SVR had a
single HCC lesion (78% vs 50% without an SVR; P = .009) or an HCC lesion smaller
than 3 cm (58% vs 28% without an SVR; P = .07). CONCLUSIONS: In an analysis of
data from a large prospective study of patients with hepatitis C virus-associated
compensated or decompensated cirrhosis, we found that the SVR to DAA treatment
decreased the incidence of HCC over a mean follow-up of 14 months.
PMID- 29655840
TI - Capillary electrophoresis for aluminum ion speciation: Optimized separation
conditions for complex polycation mixtures.
AB - Aluminum chlorohydrates (ACH) are used in numerous applications and commercial
products on a global scale including water treatment, catalysis or
antiperspirants. They are complex mixtures of water soluble aluminum polycations
of different degrees of polymerization, that are difficult to separate and
quantify due to their susceptibility to depolymerize in solution when placed out
of equilibrium, which is inherent to any separation process. We recently achieved
the first capillary electrophoresis separation and characterization of ACH
oligomers using 4-morpholineethanesulfonic acid (MES) as background electrolyte
counter-ion. MES stabilizes the separated ACH oligomers during the
electrophoretic process leading to highly repeatable and fast separations. In
this work, the separation of ACH oligomers was further studied and perfected by
varying the ionic strength, MES concentration and pH of the background
electrolyte. Complex electrophoretic behavior is reported for the separation of
Al13, Al30 and Na+ ions according to these experimental parameters. The
transformation of the electropherograms in effective mobility scale and the use
of the slope-plot approach are used to better understand the observed changes in
selectivity/resolution. Optimal conditions (700 mM MES at 25 mM ionic strength
containing 0.1 mM didodecyldimethylammonium bromide for dynamic capillary
coating, pH 4.8) obtained for the separation of ACH oligomers are used for the
baseline separation of samples difficult to analyze with other methods, including
different molecular, aggregated and colloidal forms of aluminum from the Al13,
Al30 and Na+ mixture, validating the rationale of the approach.
PMID- 29655841
TI - Lysosomal acid lipase deficiency allograft recurrence and liver failure- clinical
outcomes of 18 liver transplantation patients.
AB - Lysosomal acid lipase deficiency (LAL-D) results in progressive microvesicular
hepatosteatosis, fibrosis, cirrhosis, dyslipidemia, and vascular disease.
Interventions available prior to enzyme replacement therapy development,
including lipid lowering medications, splenectomy, hematopoietic stem cell and
liver transplantation were unsuccessful at preventing multi-systemic disease
progression, and were associated with significant morbidity and mortality. We
report two sisters, diagnosed in infancy, who succumbed to LAL-D with accelerated
disease progression following splenectomy and liver transplantation. The index
patient died one year after hematopoietic stem cell transplant and liver
transplantation. Her younger sister survived five years post liver
transplantation, complicated by intermittent, acute rejection. Typical LAL-D
hepatopathology, including progressive, microvesicular steatosis, foamy
macrophage aggregates, vacuolated Kupffer cells, advanced fibrosis and
micronodular cirrhosis recurred in the liver allograft. She died before a second
liver transplant could occur for decompensated liver failure. Neither patient
received sebelipase alfa enzyme replacement therapy, human, recombinant,
lysosomal acid lipase enzyme, FDA approved in 2015. Here are reviewed 18 LAL-D
post-liver transplantation cases described in the literature. Multi-systemic LAL
D progression occurred in 11 patients (61%) and death in six (33%). These reports
demonstrate that liver transplantation may be necessary for LAL-D-associated
liver failure, but is not sufficient to prevent disease progression, or liver
disease recurrence, since the pathophysiology is predominantly mediated by
deficient enzyme activity in bone marrow-derived monocyte-macrophages. Enzyme
replacement therapy addresses systemic disease and hepatopathology, potentially
improving liver-transplantation outcomes. This is the first systematic review of
liver transplantation for LAL-D, and the first account of liver allograft LAL-D
associated hepatopathology recurrence.
PMID- 29655842
TI - Infant Clinical Pain Assessment: Core Behavioral Cues.
AB - : Diverse behavioral cues have been proposed to be useful cues in infant pain
assessment, but there is a paucity of evidence on the basis of formal
psychometric evaluation to establish their validity for this purpose. We aimed to
examine 2 widely used coding systems, the Neonatal Facial Coding System (NFCS)
and the Modified Behavior Pain Scale (MBPS), by examining their factor structures
with confirmatory factor analysis using a large archival data set. The results
indicated that an item-reduced NFCS scale with 3 items produced a 1-factor pain
model that maintained the good psychometric properties of the 7-item scale. In
addition, it was found that MBPS also has challenging internal consistency, with
items that are weakly correlated as well as highly redundant. One item of the
MBPS may be able to capture the construct of pain equally well or potentially
improve its psychometric properties. Redefinition of the MBPS with cry as a sole
indicator was suggested. This analysis provides 2 new iterations of the NFCS and
MBPS that improve construct validity and internal consistency. These shorter
versions also improve the feasibility of both measures and increase their
potential for clinical use because less time is required for their
administration. PERSPECTIVE: This article presents new iterations of the NFCS and
MBPS scales. These revised measures improve the internal consistency of the
measures, feasibility of use of the tools in research settings, and the
efficiency of the coding process. The revised tools could also improve the
feasibility of coding within clinical settings.
PMID- 29655843
TI - Trait- and Frequency-Dependent Dysfunctional Habituation to Trigeminal
Nociceptive Stimulation in Trigeminal Autonomic Cephalalgias.
AB - : We investigated whether the stimulation frequency (SF), the pain phases, and
different diagnoses of trigeminal autonomic cephalalgias (TACs) may influence the
habituation to pain. We studied the habituation of the nociceptive blink reflex
R2 responses at different SFs (.05, .1, .2, .3, .5, and 1 Hz), in 28 episodic
cluster headache (ECH) patients, 16 during and 12 outside the bout; they were
compared with 16 episodic paroxysmal hemicrania (EPH) during the bout and 21
healthy subjects. We delivered 26 electrical stimuli and subdivided stimuli 2 to
26 in 5 blocks of 5 responses for each SF. Habituation values for each SF were
expressed as the percentages of the mean area value of second through fifth
blocks with respect to the first one. A significant lower mean percentage
decrease of the R2 area across all blocks was found at .2 to 1 Hz SF during ECH,
outside of the ECH, and EPH compared with healthy subjects. We showed a common
frequency-dependent deficit of habituation of trigeminal nociceptive responses at
higher SFs in ECH and EPH patients, independently from the disease phase. This
abnormal temporal pattern of pain processing may suggest a trait-dependent
dysfunction of some underlying pain-related subcortical structures, rather than a
state-dependent functional abnormality due to the recurrence of the headache
attacks during the active period. PERSPECTIVE: TACs showed a frequency-related
defective habituation of nociceptive trigeminal responses at the higher SFs,
irrespectively of the diagnosis and/or the disease phase. We showed that the
clinical similarities in the different subtypes of TACs are in parallel with a
trait-dependent dysfunction in pain processing.
PMID- 29655844
TI - On-line diagnosis of inter-turn short circuit fault for DC brushed motor.
AB - Extensive research effort has been made in fault diagnosis of motors and related
components such as winding and ball bearing. In this paper, a new concept of
inter-turn short circuit fault for DC brushed motors is proposed to include the
short circuit ratio and short circuit resistance. A first-principle model is
derived for motors with inter-turn short circuit fault. A statistical model based
on Hidden Markov Model is developed for fault diagnosis purpose. This new method
not only allows detection of motor winding short circuit fault, it can also
provide estimation of the fault severity, as indicated by estimation of the short
circuit ratio and the short circuit resistance. The estimated fault severity can
be used for making appropriate decisions in response to the fault condition. The
feasibility of the proposed methodology is studied for inter-turn short circuit
of DC brushed motors using simulation in MATLAB/Simulink environment. In
addition, it is shown that the proposed methodology is reliable with the presence
of small random noise in the system parameters and measurement.
PMID- 29655845
TI - Cardiac telocytes. From basic science to cardiac diseases. I. Atrial
fibrillation.
AB - INTRODUCTION: Atrial fibrillation (AF) is nowadays considered to be one of the
most important causes of heart failure, stroke, cognitive decline, vascular
dementia, sudden death and overall cardiovascular morbidity. Recently were
published a few articles suggesting a possible involvement of telocytes in the
development of atrial fibrillation. The purpose of this article is to analyze the
results obtained in the field systematically, and to see if there is enough data
to support a possible involvement of telocytes in arrhythmogenesis. MATERIALS AND
METHODS: To this end, we performed a systematic review of the relevant scientific
literature, indexed in PubMed, Web of Science, and Scopus. RESULTS AND
DISCUSSIONS: Our systematic review of the published data identified five articles
containing original data, based on which the association between telocytes and
atrial fibrillation was inferred in later studies. We analyzed the usefulness of
the information contained in the original articles to support this association,
showing a lack of definite proofs correlating telocytes with atrial fibrillation.
CONCLUSIONS: Even if a few articles implied a potential association between AF
and telocytes, the current data is not enough to support it. Moreover, even an
association between the morphology, characteristics, or density of the telocytes
in the atrium/pulmonary veins and AF is potentially speculative, and more studies
should be performed before implying it with a reasonable degree of certainty.
PMID- 29655846
TI - Telocyte-like cells containing Weibel-Palade bodies in rat lamina fusca.
AB - Telocytes (TCs) are cells with long, thin and moniliform processes called
telopodes. These cells have been found in numerous tissues, including the eye
choroid and sclera. Lamina fusca (LF), an anatomical structure located at the
sclera-choroid junction, has outer fibroblastic lamellae containing cells with
long telopodes. The purpose of this study was to evaluate, via transmission
electron microscopy, the LF for the presence of endothelial-specific
ultrastructural features, such as Weibel-Palade bodies (WPBs), in the residing
TCs. We found that the outer fibroblastic layer of LF lacked pigmented cells but
contained numerous cells with telopodes. These cells had incomplete or absent
basal laminae, were united by focal adhesions and close contacts, and displayed
scarce caveolae and shedding vesicles. Within the stromal cells of LF, numerous
WPBs in various stages of maturation and vesicular structures, as secretory pods
that ensure the exocytosis of WPBs content, were observed. The WPBs content of
the cells with telopodes in the LF could indicate either their involvement in
vasculogenesis and/or lymphangiogenesis or that they are the P-selectin- and CD63
containing pools that play roles in scleral or choroidal inflammation.
PMID- 29655847
TI - Maternal air pollution exposure and preterm birth in Wuxi, China: Effect
modification by maternal age.
AB - BACKGROUND: Numerous studies have investigated prenatal air pollution and shown
that air pollutants have adverse effect on birth outcomes. However, which
trimester was the most sensitive and whether the effect was related to maternal
age is still ambiguous. OBJECTIVES: This study aims to explore the association
between maternal air pollution exposure during pregnancy and preterm birth, and
if this relationship is modified by maternal age. METHODS: In this retrospective
cohort study, we examine the causal relationship of prenatal exposure to air
pollutants including particulate matters, which are less than 10 um (PM10), and
ozone (O3), which is one of the gaseous pollutants, on preterm birth by
gestational age. A total of 6693 pregnant women were recruited from Wuxi Maternal
and Child Health Care Hospital. The participants were dichotomized into child
bearing age group (< 35 years old) and advanced age group (> = 35 years old) in
order to analyze the effect modification by maternal age. Logistic and linear
regression models were performed to assess the risk for preterm birth
(gestational age < 37 weeks) caused by prenatal air pollution exposure. RESULTS:
With adjustment for covariates, the highest level of PM10 exposure significantly
increased the risk of preterm birth by 1.42-fold (95% CI: 1.10, 1.85) compared
those with the lowest level in the second trimester. Trimester-specific PM10
exposure was positively associated with gestational age, whereas O3 exposure was
associated with gestational age in the early pregnancy. When stratified by
maternal age, PM10 exposure was significantly associated with an increased risk
of preterm birth only in the advanced age group during pregnancy (OR:2.15, 95%
CI: 1.13, 4.07). The results suggested that PM10 exposure associated with preterm
birth was modified by advanced maternal age (OR interaction = 2.00, 95% CI: 1.02,
3.91, Pinteraction = 0.032). CONCLUSION: Prenatal air pollution exposure would
increase risk of preterm birth and reduced gestational age. Thus, more attention
should be paid to the effects of ambient air pollution exposure on preterm birth
especially in pregnant women with advanced maternal age.
PMID- 29655848
TI - Impacts of exogenous pollutant bisphenol A on characteristics of soybeans.
AB - Bisphenol A (BPA) is an endocrine disruptor that is ubiquitous in the
environment. Previous studies have focused on the effects of BPA on plants to
assess the ecological risk of BPA in the environment. To evaluate the effects of
BPA on plant biological characters more systematically, we investigated the
biological characters of above-ground and under-ground organs of soybean plants
exposed to BPA. Meanwhile, the mechanisms for the observed changes were also
analyzed from the view of hormone levels and photosynthesis. The results showed
that after exposure to 0.8 mg L-1 BPA for three days, indole-3-acetic acid (IAA)
and gibberellic acid levels in roots increased significantly, and the IAA level
increased in leaves, so the character indices of roots and leaves both increased.
The IAA and ethylene levels in stems increased, but the character indices of
stems did not increased. With higher BPA concentrations, especially exposure to
17.2 mg L-1 BPA, the levels of IAA, gibberellic acid, and zeatin decreased
(except for the increased zeatin in leaves), and abscisic acid and ethylene
levels increased; thus, all of the character indices significantly decreased. By
comparing the changes in various biological characters, we found that leaf area,
root surface area, and root length changed most significantly. In addition,
changes in photosynthetic parameters provided initial causes for plant growth
changes, and impacted biological characters. The changes of character indices
were stronger when the BPA exposure time was prolonged, and after the removal of
BPA, the character indices showed some recovery. Therefore, BPA exposure can
regulate the changes in plant characters by influencing hormone levels and
photosynthesis, and root surface area, root length, and leaf area were the most
sensitive to BPA.
PMID- 29655849
TI - Effect of cadmium on morphometric traits, antioxidant enzyme activity and
phytochelatin synthase gene expression (SoPCS) of Saccharum officinarum var. cp48
103 in vitro.
AB - Cadmium is an important environmental pollutant which genetically,
physiologically and biochemically affects the cell. Phytochelatins (PC) are
involved in one of the phytoremediation mechanisms, in which they are able to
bind heavy metals, such as cadmium. The objective of this study was to evaluate
morphometric, antioxidant enzyme activity, and SoPCS gene expression in sugarcane
growing under cadmium stress. After propagation, samples were cultured in
triplicate for 14 days in modified MS medium containing CdCl2 (100, 250, 500
umol). The morphometric traits, pigments, quantity and antioxidant enzyme
activity were studied in treated plantlets. SoPCS gene expression was analyzed by
qRT-PCR. Growth traits decreased following cadmium treatment. The amount of Chla,
Chlb and ChlT decreased in treated samples, whereas carotenoids increased
significantly. A rapid increase in antioxidant enzyme activity was detected.
Enhanced SoPCS gene expression was observed in treated roots, whereas gene
expression pattern in leaves was irregular. In conclusion, cadmium decreases the
photosynthetic mechanism and growth rate in sugarcane. Antioxidative enzymes and
SoPCS gene expression were significantly unregulated in sugarcane roots compared
to the leaves. Cadmium concentration in shoots and roots of sugarcane
significantly increased. The management of cadmium bioaccumulation in non-edible
tissues of sugarcane such as leaves and roots that are sometimes burned after
harvest can be applied for environmental protection.
PMID- 29655850
TI - Biomonitoring role of some cellular markers during heat stress-induced changes in
highly representative fresh water mollusc, Bellamya bengalensis: Implication in
climate change and biological adaptation.
AB - Owing to increasing concern of global climate-change, temperature rise is of
great interest which can be primarily evaluated from the seasonal variations in
some organisms. Aquatic environment can be extremely stressful to its inhabitants
because most of them are poikilothermous. In the present study, attempt was made
to evaluate the biological effects of oxidative-stress and adaptive/antioxidant
capacities during temperature variations (36-40 degrees C for 24hrs to 72hrs) in
Bellamya bengalensis both in environmental and laboratory conditions by testing
some biomarkers like HSP70, catalase (CAT), superoxide dismutase (SOD), reduced
glutathione (GSH) and glutathione reductase (GR). The biomarker potency of the
molecules and the anti-oxidative metabolic-network was postulated and
extrapolated to find its resemblance to the climate-change associated organismal
variations. In a natural and eco-restored environment in the Eastern part of
India, 10-20 fold increases in CAT, SOD and HSP70 protein expressions (Western
blot results) were noticed in Bellamya paralleling to their increased enzymatic
activities (gel zymogram studies) due to the seasonal (summer versus winter)
temperature variation. It is evident from the consecutive three years' study that
this variation resulted in the unfavorable physico-chemical changes of water
quality parameters like dissolved oxygen, biochemical oxygen demand, alkalinity
and consequently decreased the animal density in summer. And that was revived due
to their higher reproduction-rate in post rainy/winter season when temperature
normalizes resulting in a restoration of favorable environment. In laboratory
condition, the reduced GR and increased GPx indicated the oxidative damage as
evident by higher tissue MDA level following to higher mortality. Changes in SOD
and CAT activities suggest activation of physiological mechanism to scavenge the
ROS produced during heat stress. However, when mortality increased at different
time points (36 degrees C - 72 h and 38 degrees C - 72 h), these enzyme
activities also decreased as they failed to save the tissues from ROS. The
results suggest that temperature variation does alter the active oxygen
metabolism by modulating antioxidant enzyme activities, which can be used as
biomarker to detect sub-lethal effects of climate change-associated pollution.
The parity in environmental and laboratory experimental results may justify this
laboratory experiment as model heat-stress experiment and indicate temperature as
a universal stressor which alone or in combination with other water parameters
initiates a consistent adapting behavior. The Bellamya bengalensis being the
highest faunal representative in its habitat may serve as a good bioindicator
species.
PMID- 29655851
TI - Parvovirus B19 infection: An unusual aetiology of anaemia.
PMID- 29655852
TI - Aidi injection plus platinum-based chemotherapy for stage IIIB/IV non-small cell
lung cancer: A meta-analysis of 42 RCTs following the PRISMA guidelines.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Aidi injection is one of the most commonly used
Chinese patent medicines for advanced non-small cell lung cancer (NSCLC). It is
made from an extraction of Mylabris Phalerata, Radix Astragalus, Radix Ginseng,
and Acanthopanax Senticosus. AIM OF THE STUDY: The objective of this study is to
evaluate the efficacy and safety of Aidi injection in combination with platinum
based chemotherapy for stage IIIB/IV NSCLC. MATERIALS AND METHODS: A systematic
review and meta-analysis were performed following the PRISMA (the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses) guidelines. Trials were
combined using Review Manager 5.3 and Comprehensive Meta-Analysis(CMA) 2.0.
Dichotomous data were expressed as risk ratio (RR) and continuous outcomes as
weighted mean difference (WMD), with their 95% confidence intervals (CI)
respectively. All randomized controlled trials (RCTs) comparing Aidi injection
plus platinum-based chemotherapy versus platinum-based chemotherapy, with
efficacy and safety outcomes were selected. Disease Control Rate (DCR) was the
primary outcome, Objective Response Rate (ORR), survival rate, quality of life
(QOL), and toxic effects were the secondary outcomes. RESULTS: 42 RCTs recruiting
4081 patients with stage IIIB/IV NSCLC were included, with overall low-moderate
methodological quality. Compared with platinum-based chemotherapy alone, Aidi
injection plus platinum-based chemotherapy can increase relative benefit of DCR
(RR = 1.13, 95% CI 1.09-1.16, P < 0.00001), ORR (RR = 1.26, 95% CI 1.18-1.36, P <
0.00001), improve 1-, 2-, 3-year survival rates (RR = 1.14, 95% CI 1.02-1.28, P =
0.03; RR = 1.31, 95% CI 1.05-1.64, P = 0.02; and RR = 1.88, 95% CI 1.32-2.67, P =
0.0005, respectively), QOL (RR = 1.80, 95% CI 1.61-2.01, P < 0.00001), and reduce
severe (grade 3 and 4) toxicities by 36% (RR = 0.64, 95% CI 0.58-0.70, P <
0.00001). CONCLUSIONS: From the available evidence, compared with platinum-based
chemotherapy alone, Aidi injection plus platinum-based chemotherapy improves the
clinical efficacy and alleviates the toxicity of chemotherapy in patients with
stage IIIB/IV NSCLC. However, considering the intrinsic limitations of the
included RCTs, well-designed, rigorously performed, high-quality trials are still
required to further assess and confirm the results.
PMID- 29655853
TI - Total saponins of Albiziae Cortex show anti-hepatoma carcinoma effects by
inducing S phase arrest and mitochondrial apoptosis pathway activation.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Albiziae Cortex (AC) is a widely used traditional
medicine in China. It is possess various properties to treat insomnia, traumatic
injuries, diuresis, sthenia, and confusion. Total saponins of Albiziae Cortex
(TSAC) are the most abundant bioactive components of AC, which were reported to
show significant anti-tumor effects in vivo and in vitro. But the underlying
mechanism of TSAC remained to be revealed. AIM OF STUDY: In this study, we
investigated the anti-hepatoma carcinoma effects and the potential mechanism of
TSAC in vivo and in vitro. MATERIALS AND METHODS: We first purified TSAC from
crude extracts and characterized the major bioactive compounds by high
performance liquid chromatography (HPLC). Effects of TSAC on viability of various
hepatoma carcinoma cell lines were measured by MTT. Inhibition on cell
proliferation was analysed using colony formation assay. Cell cycle distribution
was revealed by flow cytometry. The apoptotic cells were observed by Hoechst
33258 staining and acridine orange (AO)/ethidium bromide (EB) double staining.
Microstructures of apoptotic cells were examined by Transmission electron
microscopy (TEM). The mitochondrial membrane potential were determined by JC-1
staining. Western blot was used to investigate the effects of TSAC on apoptosis
related proteins, B-cell lymphoma-2 (Bcl-2) and Bcl-2-associated X protein (Bax),
and S-phase related protein cyclin A, cyclin E and cyclin-dependent kinases 2
(CDK2). Effects on tumor growth was assessed by H22-bearing ICR mice. RESULTS:
TSAC significantly decreased the hepatoma carcinoma cell viability and inhibited
HepG2 cell colony formation in a concentration-dependent manner. We also found
that TSAC inhibited HepG2 cell growth via induction of S phase arrest. Further
study showed that TSAC significantly down-regulated the expressions of cyclin A,
cyclin E and CDK2 in HepG2 cells. Meanwhile, TSAC could effectively induce
mitochondria-dependent caspase apoptosis pathway activation. Furthermore, TSAC
increased the expression of pro-apoptotic protein Bax and decreased the
expression of anti-apoptotic protein Bcl-2. In vivo assay showed that the anti
tumor effects of TSAC were significantly augmented without increasing toxicity in
H22-bearing ICR mice. CONCLUSION: TSAC could inhibit cell proliferation through
inducing S phase arrest and activate cell apoptosis via mitochondria-dependent
apoptosis pathway. Therefore, TSAC could be a promising agent in clinical trials
for anti-hepatoma carcinoma treatment.
PMID- 29655854
TI - The expression and distribution of a leptin receptor in the central nervous
system, digestive organs, and gonads of the giant freshwater prawn, Macrobrachium
rosenbergii.
AB - In the present study, the presence and distribution of leptin receptor (LEP-R) in
central nervous system, digestive organs, gonads of giant freshwater prawn,
Macrobrachium rosenbergii, were investigated with Western blot and
immunohistochemistry. By Western blot a LEP-R with a molecular weight (MW) of 100
kDa was detected in the brain, thoracic ganglia, abdominal ganglia,
hepatopancreas, all parts of the gastrointestinal tract, ovaries, and testes. In
hepatopancreas and foregut, another intense positive band was detected at
molecular weight of 30 kDa, which could be an isotype of LEP-R. By
immunohistochemistry, LEP-R-ir was detected in the neurons, and neuropils in the
brain, thoracic ganglia, and abdominal ganglia. In the gastrointestinal tract,
there was intense LEP-R-ir in the apical part of the epithelial cells of the
foregut, midgut, and hindgut. In addition, LEP-R-ir was found in the
Restzellen(R)cells and Fibrillenzellen(F) cells in the hepatopancreas. In the
ovary, LEP-R-ir was detected in early stage of oocytes and mature oocytes.
Intense LEP-R-ir was observed in spermatogonia and spermatocytes of the small and
orange claw male prawns. In addition, LEP-R was seen in the high epithelium of
spermatic ducts from all male morphotypes. In summary, the detection of the LEP-R
ir suggests the existence of a LEP-R in several organs of M. rosenbergii. Through
binding with leptin peptide, LEP-R may be an important signaling molecule that
has critical functions in modulating and controlling food intake, energy
expenditure, and reproduction in this prawn.
PMID- 29655855
TI - Corrigendum to "Targeting the gut-liver axis in liver disease" [J Hepatol 67
(2017) 1084-1103].
PMID- 29655856
TI - Acute and subchronic toxicities in dogs and genotoxicity of honokiol
microemulsion.
AB - This article aims to conduct toxicity test research on honokiol microemulsion(HM)
to provide reference frame for the safe dose design as well as the toxic and
adverse reaction monitoring in clinic. High performance liquid chromatography
(HPLC) method was adopted to determine the concentration, stability and
uniformity of HM and the results indicated that the test sample was conformed to
the toxicity test requirements. In the acute toxicity test, six intravenous drip
dosages, namely, 100.0, 66.7, 44.4, 19.8, 8.8, and 3.9 mg/kg were set, with one
beagle dog in each dosage, respectively. In addition, the results also
demonstrated that the approximate lethal dose range of HM was 66.7-100.0 mg/kg.
In the subchronic toxicity test, beagle dogs were intravenously dripped with HM
at doses of 1.25, 0.25 and 0.05 mg/kg for 30 days. During the test period, signs
of gross toxicity, behavioral changes, body weight, rectal temperature, food
consumption, ophthalmoscopy, electrocardiography, urinalysis, blood biochemistry,
coagulation, hematology, organ weights and histopathology were examined. Under
the present study conditions, the no-observed-adverse-effect level for HM was
estimated to be 0.25 mg/kg. According to the results of bacterial reverse
mutation, chromosomal aberration and micronucleus assays, HM exhibited no notable
genotoxicity both in vivo and in vitro.
PMID- 29655857
TI - The "sequence of prevention" for musculoskeletal injuries among adult
recreational footballers: A systematic review of the scientific literature.
AB - OBJECTIVE: To gather epidemiological information related to all steps of Van
Mechelen's "sequence of prevention" for musculoskeletal injuries among adult
recreational football players. METHODS: A systematic review of the scientific
literature was conducted in Medline via Pubmed. Therefore, two highly sensitive
search strategies based on three groups of keywords (and related search terms)
were used. RESULTS: In total, 33 relevant original studies were included in our
systematic review. The results of our systematic review showed that the incidence
of musculoskeletal injuries among recreational adult football players ranged from
9.6 to 15.8 injuries per 1000 exposure hours. These injuries are especially
located in the ankle, knee, groin and hamstring, being associated with previous
injury and match exposure. The FIFA11 + injury prevention programme and the
Nordic Hamstring Exercise (NHE) were found to be effective for the reduction or
prevention of musculoskeletal injuries among adult recreational football players.
CONCLUSIONS: Our systematic review showed that musculoskeletal injuries are
common among recreational adult football players, while effective preventive
programmes are available. Further studies should focus on the identification and
understanding of the key factors responsible for the optimal adoption,
implementation and maintenance of these measures.
PMID- 29655858
TI - Illuminating the Evolution of Iridescence.
AB - Iridescence, a change in hue with viewing or illumination geometry, is a common
feature of colour patterns in nature, though its significance remains elusive.
Recent studies of floral iridescence reveal its functional versatility in
enhancing the detection and discrimination of resources by insect viewers, as
well as augmenting higher-level processes of memory and perception. Coupled with
a known evolutionary lability, these results suggest intriguing possibilities for
how this optical curiosity may act as a key to diversification.
PMID- 29655859
TI - Rescuing cognitive and emotional regulatory skills to aid smoking prevention in
at-risk youth: A randomized trial.
AB - : Adolescence is a vulnerable period for smoking initiation, with disadvantaged
teens particularly at risk. In addition, emotional and cognitive dysregulation is
associated with an increased risk of smoking and makes it particularly
challenging to benefit from standard substance use prevention interventions. The
goal of the current study is to investigate the extent to which interventions
designed to improve cognitive (working memory) and emotional (distress tolerance)
regulatory processes enhance the effectiveness of a standard smoking prevention
informational intervention. We will study adolescents (12-16 years of age)
predominantly from racial/ethnic-minority and low-income households. Proximal
smoking-risk outcome measures are used to allow testing of prevention models
outside a full longitudinal study. We hope to generate new insights and
approaches to smoking prevention among adolescents from lower socio-economic
status (SES) by documenting the influence of working memory training and distress
tolerance (mindfulness) interventions on cognitive/affective targets that place
individuals at risk for smoking initiation and maintenance. CLINICAL TRIALS
REGISTRATION: NCT03148652.
PMID- 29655860
TI - Editorial comment regarding: Prospective multicenter study on robot-assisted
laparoscopic extravesical ureteral reimplantation (RALUR-EV): Outcomes and
complications.
PMID- 29655862
TI - Influence of Percutaneous Endoscopic Gastrostomy on Gastroesophageal Reflux
Disease in Children.
AB - OBJECTIVE: To determine if gastroesophageal reflux disease (GERD) is present at
long-term follow-up after percutaneous endoscopic gastrostomy (PEG), and to
identify factors associated with the occurrence or aggravation of GERD after PEG
placement. STUDY DESIGN: This prospective, observational study was conducted in
our single tertiary center over a 13-year period (gastrostomy performed from 1990
to 2003 and follow-up to 2015). Every child who underwent PEG in our center (N =
368) from 1990 to 2003 was eligible. GERD was defined by clinical manifestations
requiring antisecretory or prokinetic treatment, occurrence of a GERD-related
complication, or the need for antireflux surgery. Outcomes among patients without
antireflux surgery were also assessed. Multivariate analysis was used to identify
factors aggravating GERD after PEG placement. RESULTS: A total 326 patients (89%;
56% with a neurologic impairment) were studied with a median follow-up after 3.5
years (range, 2.0-13.5 years). After PEG placement, GERD appeared in 11% of
patients and was aggravated in 25% of patients with preexisting GERD. Factors
associated with GERD worsening after PEG placement were neurologic impairment and
preexisting GERD. Only 53 patients (16%) required antireflux surgery, among whom
22 required surgery in the year after PEG. Neurologic impairment was the only
factor significantly associated with the need for antireflux surgery.
CONCLUSIONS: GERD predominantly remains clinically controlled after PEG
placement. Routine antireflux surgery at the time of PEG placement is not
justified.
PMID- 29655861
TI - Age-related changes in urinary flow following dorsal inlay graft urethroplasty
for hypospadias in early childhood: Potential improvement over 11 years of age.
AB - INTRODUCTION: One of the goals of hypospadias repair is to create a neourethra
with normal urinary stream and normal growth. Several studies have reported that
dorsal inlay graft urethroplasty (DIG) has wide indications for various clinical
phenotypes of hypospadias, with good short-term outcomes and few complications.
However, there have been no reports that evaluated both short-term and long-term
functional outcomes using uroflowmetry in patients with DIG. OBJECTIVE: The aim
was to investigate whether uroflowmetry parameters change with time following DIG
for hypospadias in early childhood. MATERIALS AND METHODS: Uroflowmetry
parameters after DIG for hypospadias in childhood were retrospectively evaluated
and compared between two defined ages at follow-up: 4-6 years and 11-13 years.
Maximum urinary flow (Qmax) under the 25th percentile on the Miskolc nomogram was
defined as obstruction. To evaluate the shapes of uroflow quantitatively, the
flow index (FI) was used. The FI cut-offs for the flow shapes were defined as
tower >1.253, plateaus <0.659; bells were between these two values. RESULTS:
Thirty-four patients met the inclusion criteria. The median operative age was 1.8
years (range 1.0-4.9 years). The median follow-up time was 10.3 years (range 7.2
12.3 years). The mean +/- SD Qmax at the two follow-up times increased with time,
from 9.2 +/- 3.7 to 18.8 +/- 7.8. The mean +/- SD FI changed from 0.53 +/- 0.19
to 0.85 +/- 0.31. Both Qmax and the FI were significantly improved (p < 0.001, p
< 0.001, respectively). There were significantly fewer patients with obstruction
evaluated by the Miskolc nomogram at 11-13 years of age (n = 11, 32.4%) than at 4
6 years (n = 31, 91.2%) (p < 0.001). Plateau shapes assessed by the FI were seen
in 24 (70.6%) patients at 4-6 years and 10 (29.4%) patients at 11-13 years
(Table). The number of patients with plateau shapes was significantly decreased
(p = 0.001). DISCUSSION: Only one report noted long-term outcomes and spontaneous
uroflowmetry resolution after tubularized incised plate urethroplasty. The
current report is the first to present the short-term and long-term uroflowmetry
outcomes after DIG. In addition, the FI was used for the first time to evaluate
uroflowmetry after hypospadias repair. It was found that the uroflowmetry
parameters improved spontaneously over 11 years of age following DIG for
hypospadias in early childhood. CONCLUSION: Uroflowmetry parameters improved
spontaneously over 11 years of age following DIG for hypospadias in early
childhood.
PMID- 29655863
TI - Applicability of the Curacao Criteria for the Diagnosis of Hereditary Hemorrhagic
Telangiectasia in the Pediatric Population.
AB - OBJECTIVE: To evaluate the accuracy of the clinical Curacao criteria in the
diagnosis of hereditary hemorrhagic telangiectasia (HHT) in children and
adolescents. STUDY DESIGN: This was a retrospective, multicenter chart review of
673 patients evaluated between 2002 and 2016; 290 were eligible for the study.
Genetic testing for a pathogenic mutation was considered the gold standard
against which the clinical Curacao criteria were compared. Patients were divided
into 4 age categories: 0-5, 6-10, 11-15, and 16-21-years. Sensitivity and
specificity were calculated for each age group, and for the overall population.
RESULTS: Overall the Curacao criteria had a sensitivity of 68% (95% CI 60%-76%)
and a specificity of 98% (95% CI 91%-100%). Sensitivity was lowest in the 0- to 5
year group, and increased with advancing age. The Curacao criteria had the
highest sensitivity in the 16- to 21-year-olds. Specificity was 100% in all age
groups except for the 11- to 15-year-olds. CONCLUSIONS: This study evaluated the
use of the Curacao criteria for the diagnosis of HHT in the pediatric population
with a family history of HHT. In those between the age of 0 and 21 years who meet
1 criterion (unlikely HHT) or 2 criteria (possible HHT), genetic testing is
preferred for diagnosis. The Curacao criteria appear to reliably diagnose HHT in
children and adolescents who meet 3 or 4 criteria (definite HHT).
PMID- 29655864
TI - Comparison of the Severity of Respiratory Disease in Children Testing Positive
for Enterovirus D68 and Human Rhinovirus.
AB - OBJECTIVE: To compare the characteristics and severity of respiratory disease in
children testing positive for enterovirus D68 (EV-D68) and for human rhinovirus
(RhV). STUDY DESIGN: A retrospective single center study of children presenting
with acute respiratory symptoms and positive polymerase chain reaction for RhV/EV
from September 1, 2014 through October 31, 2014 was performed. Specimens were
subsequently tested specifically for EV-D68 and specimens identified as RhV were
subtyped when possible into RhV-A, RhV-B, and RhV-C species. Clinical
manifestations in patients with EV-D68 were compared with those with non-EV-D68,
RhV, and RhV-C. RESULTS: Of the 173 patients included in the analysis, 72 tested
positive for EV-D68, 61 for RhV, and 30 for RhV-C. There were significantly fewer
infants in the EV-D68 group. Patients with EV-D68 were more likely than those
without EV-D68, and specifically with RhV-C, to have fever and wheezing. Patients
with EV-D68 received more magnesium sulfate for respiratory distress not
responding adequately to repeated doses of inhaled albuterol. Hospitalized
patients with EV-D68 received more bronchodilator therapy than patients with RhV.
Patients with EV-D68 were more likely to be admitted to the intensive care unit
and were older than patients without EV-D68. There was no difference in length of
overall hospitalization or time in the pediatric intensive care unit.
CONCLUSIONS: Children with EV-D68 appeared to have more severe respiratory
disease on admission than children with RhV as evidenced by higher rates of
fever, wheezing, bronchodilator use and pediatric intensive care unit admission.
Despite the initial difference in severity, no significant difference in length
of stay was found suggesting that patients with EV-D68 recovered as quickly as
other groups.
PMID- 29655865
TI - Non-Nutritive Sweeteners and Metabolic Health Outcomes in Children: A Systematic
Review and Meta-Analysis.
AB - OBJECTIVE: To systematically assess and quantitatively synthesize the literature
regarding the association of consumption of non-nutritive sweeteners (NNS) during
childhood with negative metabolic health outcomes. STUDY DESIGN: Following the
PRISMA guidelines, published literature was systematically reviewed. Eligible
studies (N = 13) were identified through the screening of over 2500 publications.
Random-effects meta-analyses were conducted on the association of NNS consumption
with body mass index (BMI) increase. Sensitivity and subgroup analyses by sex
were also undertaken. RESULTS: Consumption of NNS during childhood and
adolescence was associated with an increase in BMI (OR 1.15, 95% CI 1.06-1.25);
the OR was similar in sensitivity analyses. The associations were positive but
marginally significant in subanalyses by sex. The qualitative assessment of
existing literature showed nonsignificant associations with other components of
metabolic disease, such as waist circumference, fat mass accumulation, and type 2
diabetes. CONCLUSIONS: Systematic assessment of observational studies showed no
association of NNS intake during childhood with fat mass accumulation and waist
circumference and a small, but statistically significant association with BMI
increase. Inherent methodological weaknesses of to-date published investigations,
including mainly underpowered size to explore the hypothesis, call for more
research.
PMID- 29655866
TI - Activation of GSK3beta/beta-TrCP axis via PHLPP1 exacerbates Nrf2 degradation
leading to impairment in cell survival pathway during diabetic nephropathy.
AB - NF-E2 p45-related factor 2 (Nrf2), is a major redox sensitive transcription
factor that plays an essential role in regulating glucose metabolism.
Inactivation of Nrf2 has been associated with diabetic complications however,
mechanisms warranting Nrf2 suppression are incompletely understood. We
hypothesized that PHLPP1 activates GSK3beta to induce beta-TrCP mediated Nrf2
phosphorylation and degradation. In vivo study was carried out in STZ-NA induced
type 2 diabetic male Wistar rats. GSK3beta mediated Nrf2 ubiquitination was
confirmed by administration of GSK3beta inhibitor (LiCl; 60 mg/kg bwt.) which
rapidly enhanced Nrf2 protein levels in STZ-NA treated diabetic rats. In
addition, high glucose (30 mM; 48 h) treated renal proximal tubular cells NRK52E
showed decreased Nrf2 nuclear localization, enhanced oxidative stress and
caspase3 activation. While specific inhibition with GSK3beta inhibitor SB216763
in vitro restored cellular homeostasis, glucose uptake and decreased apoptotic
cell death. Immunoblotting and immunocytochemistry data demonstrated that
aberrant renal glucose fluxes are associated with p53 mediated modulation in
glucose transporter levels where expression of p53 is indirectly targeted through
Nrf2 responsive MDM2 protein. Gene knockdown of PHLPP1 in NRK52E cells enhanced
Nrf2-responsive antioxidant enzymes HO-1 and NQO-1 which suggested that PHLPP1 up
regulation during hyperglycemia lowers Nrf2 stability via GSK3beta activation.
More significantly, GSK3beta inhibition enhanced Nrf2-ARE binding compared to
diabetic rats, providing further confirmation for GSK3beta/beta-TrCP pathway in
suppressing Nrf2 activation during diabetic renal injury. Taken together, our
results indicate that PHLPP1 up-surged Nrf2 nuclear instability by promoting
Nrf2/beta-TrCP association and its inhibition may be critical in the management
of diabetic nephropathy.
PMID- 29655867
TI - Short-term sleep deprivation with exposure to nocturnal light alters
mitochondrial bioenergetics in Drosophila.
AB - Many studies have shown the effects of sleep deprivation in several aspects of
health and disease. However, little is known about how mitochondrial
bioenergetics function is affected under this condition. To clarify this, we
developed a simple model of short-term sleep deprivation, in which fruit-flies
were submitted to a nocturnal light condition and then mitochondrial parameters
were assessed by high resolution respirometry (HRR). Exposure of flies to
constant light was able to alter sleep patterns, causing locomotor deficits,
increasing ROS production and lipid peroxidation, affecting mitochondrial
activity, antioxidant defense enzymes and caspase activity. HRR analysis showed
that sleep deprivation affected mitochondrial bioenergetics capacity, decreasing
respiration at oxidative phosphorylation (OXPHOS) and electron transport system
(ETS). In addition, the expression of genes involved in the response to oxidative
stress and apoptosis were increased. Thus, our results suggest a connection
between sleep deprivation and oxidative stress, pointing to mitochondria as a
possible target of this relationship.
PMID- 29655868
TI - A model of DNA unwinding dynamics by the RecBCD complex and its regulation by Chi
recognition.
AB - The Escherichia coli RecBCD enzyme is a heterotrimeric helicase-nuclease complex
responsible for processing of double-stranded DNA breaks for repair by homologous
recombination. It is a highly processive, duplex unwinding and degrading motor,
with its activities being regulated by the octameric recombination hotspot, Chi,
which is read as a single-stranded DNA sequence. Here, a model is presented for
DNA unwinding by the RecBCD complex and its regulation by Chi recognition. With
the model we study analytically the dynamics of DNA unwinding of both wild-type
RecBCD and mutant RecBCDK177Q with the motor function of RecD being inactivated
by mutagenesis, giving quantitative explanations of the available single-molecule
experimental data. The peculiar features of RecBCD such as large variations of
DNA unwinding speed of individual enzymes, sensitivity of unwinding speed of a
RecBCD molecule on the change of environment, two translocase or helicase
activities of RecBC and RecD, etc., are explained. Furthermore, predicted results
are presented.
PMID- 29655869
TI - A general structured model of a hermaphrodite population.
AB - Both empirical and theoretical studies, have dealt with the question how to best
optimize reproductive fitness for hermaphrodites, using models such as game
theory or complicated energetic costs and benefits of mating displays. However,
hermaphrodites exhibit a broad spectrum of sexual behaviors like simultaneous,
sequential or lifetime gonochorist that cannot be explained using a unique
formalism. A possible explanation of this diversity relies on the way these
species maximize their fitness: Does the individual hermaphrodite split its time
between strategies maximizing its instantaneous reproductive fitness or its
evolutionary fitness? Here, we compare these two points of view and extend a game
theoretical formalism to a sex allocation model that underlies all sexual
behaviors as a result of a dynamic game whose payoff depends on the costs and
benefits of sexual reproduction. Using this formalism, we prove that a
simultaneous hermaphrodites strategy is stable even for high values of sex
changing costs. Moreover, we prove that the stability of a sequential
hermaphrodite is linked to the average energy allocated to the pure female
strategy.
PMID- 29655870
TI - Increased constitutive activation of NF-kappaB p65 (RelA) in peripheral blood
cells of patients with progressive multiple sclerosis.
AB - The NF-kappaB signalling pathway plays an important role in controlling cellular
immune responses, inflammation and apoptosis. In multiple sclerosis (MS), there
is evidence of dysregulation of NF-kappaB signalling in patients with a relapsing
remitting disease course, but thus far there is little information on whether it
is also dysregulated in patients with progressive disease. We hypothesised that
patients with progressive MS would have more activation of NF-kappaB than
relapsing-remitting MS patients. Using several different methods, we showed that
there was more nuclear translocation of p65 in cells from progressive MS
patients, particularly in T cells and monocytes. In addition, the amount of p65
translocated to the nucleus in cells of patients with progressive MS was not
increased upon non-specific activation of the cells with the mitogen Con A. These
results suggest that NF-kappaB dysregulation occurs in patients with progressive
MS patients, as well as those with relapsing-remitting MS.
PMID- 29655871
TI - Cloning, partial sequencing and expression analysis of the neural form of P450
aromatase (cyp19a1b) in the South America catfish Rhamdia quelen.
AB - Brain aromatase is a key enzyme exclusively expressed in fish radial glial cells
that convert androgens into estrogens, thus controlling neuroendocrine functions
and neurogenesis. As an important step in characterizing the neuroendocrine
systems of Rhamdia quelen (jundia), a partial cDNA sequence (1045 bp) of brain
aromatase (cyp19a1b) was cloned and sequenced. At the nucleotide level the cDNA
sequence was found to be 88% identical to cyp19a1b of two species of catfish,
Ictalurus punctatus and Silurus meridionalis. The predicted amino acid sequence
was between 80 and 91% similar to other teleosts. Real-time RT-qPCR analysis
revealed that cyp19a1b was detected in pituitary, hypothalamus, telencephalon,
head and posterior kidneys, liver and gonads (testis and ovary) of both males and
females. The effects of E2 on cyp19a1b expression are sexually dimorphic in R.
quelen. The injection of 17beta-estradiol (E2) decreased head kidney mRNA levels
of cyp19a1b in males and increased cyp19a1b mRNA levels in the pituitary and head
kidney of females. This study demonstrated that the R. quelen cyp19a1b gene is
expressed in brain, pituitary and peripheral tissues in both males and females.
PMID- 29655872
TI - [Contribution of the scrotal flap for the coverage of ischial and perineal
pressure ulcers].
AB - INTRODUCTION: The ischiatric pressure sore is a common pathology in rehabilitated
spinal cord injured people, despite careful prevention. Medical treatment by
discharge and directed healing is not always sufficient and surgery using local
musculocutaneous flaps is often essential. Unfortunately, recidivism is frequent
and the availability of local flaps is limited. The scrotal flap is an excellent
complement to classic flaps, gluteal flaps or hamstrings. It can be used alone or
in addition to another musculocutaneous flap, in first or second intention.
MATERIAL AND METHODS: The scrotal flap is a musculocutaneous flap, using the
Dartos, the platys muscle of the scrotum. It is richly vascularized, extensible
and resistant. Its great plasticity makes it adaptable to any form of loss of
substance, with an arc of rotation that can reach the anal margin. It can also be
desepidermized and buried to fill a deep defect. Ten cases of scrotal flaps and
their different indications are reviewed: some are used in first intention,
others in addition to musculocutaneous flaps. RESULTS: The removal of a scrotal
flap is fast and extremely easy. The simple closure of the donor site allows the
sampling of half of the scrotum due to the great local laxity. The scrotal flaps
achieved quickly healed, as well as the donor sites. Only one recurrence was
observed after an inappropriate treatment of underlying osteitis. No
complications have occurred. CONCLUSION: The scrotal musculocutaneous flap,
reliable, resistant, quick and easy to remove is an excellent means of coverage
of the perineal region. It can be used for the treatment of any loss of perineal
substance in humans, but remains particularly useful for the treatment of ischial
or perineal pressure sores.
PMID- 29655874
TI - Differentiating clearly positive from indeterminate results: A review of
irreproducible HIV-1 PCR positive samples from South Africa's Early Infant
Diagnosis Program, 2010-2015.
AB - We describe the extent of and variables associated with irreproducible HIV-1 PCR
positive results within South Africa's Early Infant Diagnosis (EID) program from
2010 to 2015 and propose criteria for differentiating indeterminate from clearly
positive results using the COBAS(r) AmpliPrep/COBAS(r) TaqMan HIV-1 Qualitative
Test version 2.0 (CAP/CTM Qual v2.0). Fourteen percent of specimens with an
instrument-positive result that were repeat-tested yielded a negative result for
which cycle threshold (Ct) proved to be the only predictive variable. A Ct <33.0
was found to be the most accurate threshold value for differentiating clearly
positive from irreproducible cases, correctly predicting 96.8% of results. Among
70 patients with an irreproducible positive result linked to a follow up HIV-1
PCR test, 67 (95.7%) were negative and 3 (4.3%) were instrument-positive.
Criteria differentiating clearly positive from indeterminate results need to be
retained within EID services and infants with indeterminate results closely
monitored and final HIV status determined.
PMID- 29655873
TI - Media portrayal of prenatal and postpartum marijuana use in an era of scientific
uncertainty.
AB - BACKGROUND: Objectives were to characterize how scientific information about
prenatal and postpartum marijuana use was presented in online media content, and
to assess how media portrayed risks and benefits of such marijuana use. METHODS:
We analyzed online media items (n = 316) from March 2015 to January 2017. A
codebook was developed to measure media content in 4 domains: scientific studies,
information about health and well-being, mode of ingestion, and portrayal of
risks and benefits. Content analysis was performed by two authors, with high
inter-rater reliability (mean K = 0.82). Descriptive statistics were used to
characterize content, and regression analyses were used to test for predictors of
media portrayal of the risk-benefit ratio of prenatal and postpartum marijuana
use. RESULTS: 51% of the media items mentioned health risks of prenatal and
postpartum marijuana use. Nearly one-third (28%) mentioned marijuana use for
treatment of nausea and vomiting in pregnancy. Most media items mentioned a
specific research study. More than half of media (59%) portrayed prenatal or
postpartum marijuana risks > benefits, 10% portrayed benefits> risks, and the
remainder were neutral. While mention of a scientific study was not predictive of
the portrayal of the risk-benefit ratio of marijuana use in pregnancy or
postpartum, discussion of health risks and health benefits predicted portrayals
of the risk-benefit ratio. CONCLUSIONS: Online media content about prenatal and
postpartum marijuana use presented health risks consistent with evidence, and
discussed a health benefit of marijuana use for nausea and vomiting in pregnancy.
Portrayal of risks and benefits was somewhat equivocal, consistent with current
scientific debate.
PMID- 29655875
TI - Acetobacter oryzifermentans sp. nov., isolated from Korean traditional vinegar
and reclassification of the type strains of Acetobacter pasteurianus subsp.
ascendens (Henneberg 1898) and Acetobacter pasteurianus subsp. paradoxus (Frateur
1950) as Acetobacter ascendens sp. nov., comb. nov.
AB - Twelve Acetobacter pasteurianus-related strains with publicly available genomes
in GenBank shared high 16S rRNA gene sequence similarity (>99.59%), but average
nucleotide identity (ANI) and in silico DNA-DNA hybridization (DDH) values and
multilocus sequence- and genome-based relatedness analyses suggested that they
were divided into four different phylogenetic lineages. Relatedness analyses
based on multilocus sequences, 1,194 core genes and whole-cell MALDI-TOF profiles
supported that strains LMG 1590T and LMG 1591 (previously classified as the type
strains of A. pasteurianus subsp. ascendens and paradoxus, respectively) and
strain SLV-7T do not belong to A. pasteurianus. Strain SLV-7T, isolated from
Korean traditional vinegar, shared low ANI (<91.0%) and in silico DDH (44.2%)
values with all other Acetobacter type strains analyzed in this study, indicating
that strain SLV-7T represents a new Acetobacter species. The phenotypic and
chemotaxonomic analyses confirmed these results and therefore a new species named
Acetobacter oryzifermentans sp. nov. is proposed with SLV-7T (=KACC 19301T=JCM
31096T) as the type strain. Strains LMG 1590T and LMG 1591 shared high ANI
(99.4%) and in silico DDH (96.0%) values between them, but shared low ANI
(<92.3%) and in silico DDH (<49.0%) values with other type strains analyzed in
this study, indicating that strains LMG 1590T and LMG 1591 should be reclassified
into a new single species that should be named Acetobacter ascendens sp. nov.,
comb. nov., with LMD 51.1T (=LMG 1590T=NCCB 51001T) as its type strain.
PMID- 29655876
TI - Tolerance of uncertainty: A systematic review of health and healthcare-related
outcomes.
AB - BACKGROUND: Uncertainty tolerance (UT) is thought to be a characteristic of
individuals that influences various outcomes related to health, healthcare, and
healthcare education. We undertook a systematic literature review to evaluate the
state of the evidence on UT and its relationship to these outcomes. METHODS: We
conducted electronic and bibliographic searches to identify relevant studies
examining associations between UT and health, healthcare, or healthcare education
outcomes. We used standardized tools to assess methodological quality and
analyzed the major findings of existing studies, which we organized and
classified by theme. RESULTS: Searches yielded 542 potentially relevant articles,
of which 67 met inclusion criteria. Existing studies were heterogeneous in focus,
setting, and measurement approach, were largely cross-sectional in design, and
overall methodological quality was low. UT was associated with various trainee
centered, provider-centered, and patient-centered outcomes which were cognitive,
emotional, and behavioral in nature. UT was most consistently associated with
emotional well-being. CONCLUSIONS: Uncertainty tolerance is associated with
several important trainee-, provider-, and patient-centered outcomes in
healthcare and healthcare education. However, low methodological quality, study
design limitations, and heterogeneity in the measurement of UT limit strong
inferences about its effects, and addressing these problems is a critical need
for future research.
PMID- 29655878
TI - Fluid retention, aldosterone excess, and treatment of resistant hypertension.
PMID- 29655879
TI - ST-Segment Elevation in Out-of-Hospital Cardiac Arrest Survivors Not Always
Related to Obstructive Coronary Artery Disease.
PMID- 29655877
TI - Endocrine and haemodynamic changes in resistant hypertension, and blood pressure
responses to spironolactone or amiloride: the PATHWAY-2 mechanisms substudies.
AB - BACKGROUND: In the PATHWAY-2 study of resistant hypertension, spironolactone
reduced blood pressure substantially more than conventional antihypertensive
drugs. We did three substudies to assess the mechanisms underlying this
superiority and the pathogenesis of resistant hypertension. METHODS: PATHWAY-2
was a randomised, double-blind crossover trial done at 14 UK primary and
secondary care sites in 314 patients with resistant hypertension. Patients were
given 12 weeks of once daily treatment with each of placebo, spironolactone 25-50
mg, bisoprolol 5-10 mg, and doxazosin 4-8 mg and the change in home systolic
blood pressure was assessed as the primary outcome. In our three substudies, we
assessed plasma aldosterone, renin, and aldosterone-to-renin ratio (ARR) as
predictors of home systolic blood pressure, and estimated prevalence of primary
aldosteronism (substudy 1); assessed the effects of each drug in terms of
thoracic fluid index, cardiac index, stroke index, and systemic vascular
resistance at seven sites with haemodynamic monitoring facilities (substudy 2);
and assessed the effect of amiloride 10-20 mg once daily on clinic systolic blood
pressure during an optional 6-12 week open-label runout phase (substudy 3). The
PATHWAY-2 trial is registered with EudraCT, number 2008-007149-30, and
ClinicalTrials.gov, number NCT02369081. FINDINGS: Of the 314 patients in PATHWAY
2, 269 participated in one or more of the three substudies: 126 in substudy 1,
226 in substudy 2, and 146 in substudy 3. Home systolic blood pressure reduction
by spironolactone was predicted by ARR (r2=0.13, p<0.0001) and plasma renin
(r2=0.11, p=0.00024). 42 patients had low renin concentrations (predefined as the
lowest tertile of plasma renin), of which 31 had a plasma aldosterone
concentration greater than the mean value for all 126 patients (250 pmol/L).
Thus, 31 (25% [95% CI 17-33]) of 126 patients were deemed to have inappropriately
high aldosterone concentrations. Thoracic fluid content was reduced by 6.8% from
baseline (95% CI 4.0 to 8.8; p<0.0001) with spironolactone, but not other
treatments. Amiloride (10 mg once daily) reduced clinic systolic blood pressure
by 20.4 mm Hg (95% CI 18.3-22.5), compared with a reduction of 18.3 mm Hg (16.2
20.5) with spironolactone (25 mg once daily). No serious adverse events were
recorded, and adverse symptoms were not systematically recorded after the end of
the double-blind treatment. Mean plasma potassium concentrations increased from
4.02 mmol/L (95% CI 3.95-4.08) on placebo to 4.50 (4.44-4.57) on amiloride
(p<0.0001). INTERPRETATION: Our results suggest that resistant hypertension is
commonly a salt-retaining state, most likely due to inappropriate aldosterone
secretion. Mineralocorticoid receptor blockade by spironolactone overcomes the
salt retention and resistance of hypertension to treatment. Amiloride seems to be
as effective an antihypertensive as spironolactone, offering a substitute
treatment for resistant hypertension. FUNDING: British Heart Foundation and UK
National Institute for Health Research.
PMID- 29655880
TI - Efficacy and Safety of the HeartMate Percutaneous Heart Pump During High-Risk
Percutaneous Coronary Intervention (from the SHIELD I Trial).
AB - This study aimed to evaluate the use of the HeartMate percutaneous heart pump, a
catheter-based axial flow pump designed to provide partial left ventricular
support, in patients who underwent high-risk percutaneous coronary intervention
(PCI). Patients who are hemodynamically unstable, or at risk of being
hemodynamically unstable, while undergoing PCI may require mechanical circulatory
support. Fifty high-risk patients were enrolled in a prospective, nonrandomized,
multicenter, open-label trial. Primary end points were freedom from hemodynamic
compromise during PCI and a composite measure of major adverse events. Patients
were followed for 30 days. No patient met the primary performance end point. Six
safety end points in 5 patients occurred, including 1 access site complication
requiring intervention, 1 cerebrovascular accident, 2 major bleeding
complications, and 2 cases of new or worsening aortic insufficiency. No cardiac
deaths, myocardial infarctions, or surgical interventions occurred. In
conclusion, initial results of the HeartMate percutaneous heart pump for
mechanical circulatory support during high-risk PCI are encouraging. Hemodynamic
stability was achieved in all patients with a low incidence of adverse events.
PMID- 29655881
TI - Racial Differences in Quality of Care and Outcomes After Acute Coronary Syndrome.
AB - Guideline adherence and variation in acute coronary syndrome (ACS) outcomes by
race in the modern era of drug-eluting stents (DES) are not well understood.
Previous studies also fail to capture rapidly growing minority populations, such
as Asians. A retrospective analysis of 689,238 hospitalizations for ACS across
all insurance types from 2008 to 2011 from the Healthcare Cost and Utilization
Project database was performed to determine whether quality of ACS care and
mortality differ by race (white, black, Asian, Hispanic, or Native American),
with adjustment for patient clinical and demographic characteristics and
clustering by hospital. We found that black patients had the lowest in-hospital
mortality rates (5% vs 6% to 7% for other races, p <0.0001, odds ratio [OR] 1.02,
95% confidence interval [CI] 0.97 to 1.07), despite low rates of timely
angiography in ST-elevation myocardial infarction and non-ST-elevation myocardial
infarction, and lower use of DES (30% vs 38% to 40% for other races, p <0.0001).
In contrast, Asian patients had the highest in-hospital mortality rates (7% vs 5%
to 7% for other races, p <0.0001, odds ratio 1.13, 95% CI 1.08 to 1.20, relative
to white patients), despite higher rates of timely angiography in ST-elevation
myocardial infarction and non-ST-elevation myocardial infarction, and the highest
use of DES (74% vs 63% to 68% for other races, p <0.0001). Asian patients had the
worst in-hospital mortality outcomes after ACS, despite high use of early
invasive treatments. Black patients had better in-hospital outcomes despite
receiving less guideline-driven care.
PMID- 29655882
TI - Incidence and Mortality of Adults With Pulmonary Hypertension and Congenital
Heart Disease.
AB - Reports on pulmonary hypertension (PH) in the aging congenital heart disease
(CHD) population are few and focused on arterial PH and patients with systemic-to
pulmonary shunts. Our objective was to estimate incidence and mortality of adult
onset PH in the CHD population. Using Danish nationwide registries, we identified
all patients diagnosed with CHD from 1963 to 1974 and 1977 to 2012. Patients were
matched 1:10 by birth year and gender with general population subjects. Between
1977 and 2013 adults >18 years of age were followed up until PH diagnosis, death,
or emigration, whichever came first, using data from the Danish National Registry
of Patients. We computed cumulative incidences of PH. Using Cox regression, we
compared the mortality rate between CHD subjects with and without PH matched by
gender and birth year. We identified 14,860 patients with CHD. At 70 years of
age, their overall cumulative incidence of PH was 7.2% (8.3% in those with
systemic-to-pulmonary shunts and 5.3% in those without) compared with 0.4% in the
general population. The 1-, 5-, and 10-year mortality for adults with CHD and PH
was 24%, 44%, and 52%, respectively. This represented a 4-fold (95% confidence
interval 3.3 to 5.6) increase in mortality compared with adults with CHD without
PH after adjusting for gender, birth year, CHD severity, and presence of
extracardiac defects. In conclusion the incidence of PH was substantially
increased in adults with CHD relative to the general population. Of note, the
increased incidence was not limited to those with a history of systemic-to
pulmonary shunts. PH was associated with increased mortality.
PMID- 29655883
TI - Evaluating Surgical Coaching: A Mixed Methods Approach Reveals More Than Surveys
Alone.
AB - OBJECTIVE: Traditionally, surgical educators have relied upon participant survey
data for the evaluation of educational interventions. However, the ability of
such subjective data to completely evaluate an intervention is limited. Our
objective was to compare resident and attending surgeons' self-assessments of
coaching sessions from surveys with independent observations from analysis of
intraoperative and postoperative coaching transcripts. DESIGN: Senior residents
were video-recorded operating. Each was then coached by the operative attending
in a 1:1 video review session. Teaching points made in the operating room (OR)
and in post-OR coaching sessions were coded by independent observers using
dialogue analysis then compared using t-tests. Participants were surveyed
regarding the degree of teaching dedicated to specific topics and perceived
changes in teaching level, resident comfort, educational assessments, and
feedback provision between the OR and the post-OR coaching sessions. SETTING: A
single, large, urban, tertiary-care academic institution. PARTICIPANTS: Ten PGY4
to 5 general surgery residents and 10 attending surgeons. RESULTS: Although the
reported experiences of teaching and coaching sessions by residents and faculty
were similar (Pearson correlation coefficient = 0.88), these differed
significantly from independent observations. Observers found that residents
initiated a greater proportion of teaching points and had more educational needs
assessments during coaching, compared to the OR. However, neither residents nor
attendings reported a change between the 2 environments with regard to needs
assessments nor comfort with asking questions or making suggestions. The only
metric on which residents, attendings, and observers agreed was the provision of
feedback. CONCLUSIONS: Participants' perspectives, although considered highly
reliable by traditional metrics, rarely aligned with analysis of the associated
transcripts from independent observers. Independent observation showed a distinct
benefit of coaching in terms of frequency and type of learning points. These
findings highlight the importance of seeking different perspectives, data
sources, and methodologies when evaluating clinical education interventions.
Surgical education can benefit from increased use of dialogue analyses performed
by independent observers, which may represent a viewpoint distinct from that
obtained by survey methodology.
PMID- 29655885
TI - Short-term retrogradation behaviour of corn starch is inhibited by the addition
of porcine plasma protein hydrolysates.
AB - The potential inhibition of porcine plasma protein hydrolysates (PPPH) on the
short-term retrogradation of corn starch (CS) was investigated. Compared with
native CS, PPPH significantly enhanced breakdown and decreased the total setback
in a rapid visco analyser (RVA) measurement (P < 0.05). Dynamic time sweep
analysis of pre-prepared gelatinized samples showed a decrease in the storage
modulus (G') and increase in the loss tangent (tan delta) in the presence of
PPPH. Differential scanning calorimetry results showed that PPPH significantly
reduced the gelatinization enthalpies (DeltaHg) and retrogradation enthalpies
(DeltaHr) of CS (P < 0.05). Fourier transform infrared spectroscopy analysis
indicated that the addition of PPPH decreased the amount of hydrogen bonds within
starch. Low field nuclear magnetic resonance results showed that the addition of
PPPH has an important role in increasing the mobility of water molecules and
blocking the short-term retrogradation of CS. X-ray diffraction results showed
that the peaks at 2theta close to 17-18 degrees were progressively decreased to
smaller angles with increasing PPPH concentrations. This phenomenon indicated
that PPPH could interact with amylose and cause lattice distortion, which reduced
the starch retrogradation. The results demonstrate that the addition of PPPH
plays a crucial role in interfering with short-term retrogradation of CS.
PMID- 29655884
TI - Lignin-based hydrogels with "super-swelling" capacities for dye removal.
AB - Lignin is a complex natural polymer and it is one of the main constituent of the
lignocellulosic biomass. Moreover, it is a bio-renewable material and it is
available in large amounts as by-product from the forest industry. Lignin-based
hydrogels with high swelling capabilities were prepared by crosslinking poly
(methyl vinyl ether co-maleic acid) and different technical lignins in ammonium
and sodium hydroxide solutions. The produced hydrogels showed a wide range of
water absorption capacities varying from 13 to 130 g of water per 1 g of sample.
It was observed that the higher the water uptake the poorer mechanical
performance, as evaluated in terms of storage and loss modulus (G' and G",
respectively) of the materials. Methylene blue (MB) was used as a model dye to
evaluate the adsorption and release capabilities of the lignin hydrogels. Results
suggested that these hydrogels showed a high MB removal efficiency, which ranged
from 12 to 96%. On the contrary, the percentages of MB released depended on the
negative surface charge of the hydrogels, showing values which ranged from 0.06
to 0.35%. Thus, these materials have potential to be used as adsorbents for the
removal of organic dyes from waste water.
PMID- 29655886
TI - Lactobacillus hordei dextrans induce Saccharomyces cerevisiae aggregation and
network formation on hydrophilic surfaces.
AB - Water kefir granules are supposed to mainly consist of dextrans produced by
Lactobacillus (L.) hilgardii. Still, other microorganisms such as L. hordei, L.
nagelii, Leuconostoc (Lc.) citreum and Saccharomyces (S.) cerevisiae are commonly
isolated from water kefir granules, while their contribution to the granule
formation remains unknown. We studied putative functions of these microbes in
granule formation, upon development of a simplified model system containing
hydrophilic object slides, which mimics the hydrophilic surface of a growing
kefir granule. We found that all tested lactic acid bacteria produced glucans,
while solely those isolated from the four different L. hordei strains induced
yeast aggregation on the hydrophilic slides. Therefore, structural differences
between these glucans were investigated with respect to their size distributions
and their linkage types. Beyond the finding that all glucans were identified as
dextrans, those of the four L. hordei strains were highly similar among each
other regarding portions of linkage types and size distributions. Thus, our study
suggests the specific size and structural organization of the dextran produced by
L. hordei as the main cause for inducing S. cerevisiae aggregation and network
formation on hydrophilic surfaces and thus as crucial initiation of the stepwise
water kefir granule growth.
PMID- 29655887
TI - Engineering riboswitch in L. major: From prediction to conceptualization.
AB - Post-transcriptional gene regulation is a vital process to regulate expression of
the key genes in the eukaryotic cell. Such processes are essential for pathogens
which reside inside the host cell. One such pathogen is Leishmania major, which
causes cutaneous leishmaniasis. The parasite lives inside the macrophages of
mammalian host (mostly human). Inside the macrophage, Leishmania genes show
complex host-pathogen interaction regulating a plethora of gene expression. Till
date, most of the studies have shown this kind of regulation with respect to the
host macrophages. Here, based on an extensive in silico analysis, we have
hypothesized a novel Theophylline binding riboswitch mediated post
transcriptional regulation of a gene i.e. RNA Polymerase III subunit1
(Lmjf_09_1060), an essential gene for the parasite's survival both in its
promastigote as well as in its amastigote form. Later, we have conceptualized the
working of the identified putative Theophylline binding riboswitch cassette in in
vitro using E. coli based reporter assay, wherein, a reporter gene (eGFP) is used
instead of RNA Polymerase III subunit1 gene and apparently have shown the
downregulation of the reporter gene (eGFP) expression under the influence of in
silico identified Theophylline binding riboswitch.
PMID- 29655888
TI - Preparation and characterization of new low cost adsorbent beads based on
activated bentonite encapsulated with calcium alginate for removal of 2,4
dichlorophenol from aqueous medium.
AB - This study explored the potential of composites organo-bentonite/alginate beads
as adsorbents for the removal of 2,4-dichlorophenol (2,4DCP) from aqueous
solution. Bentonite was firstly modified with cationic surfactants
octadecyltrimethylammonium, hexadecyl trimethylammonium and
phenyltrimethylammonium, then encapsulated with calcium alginate to form
adsorbent composite beads. X-ray diffraction was used to study the change in the
structural properties of the samples. The intercalated cationic surfactants were
characterized by Fourier transform infrared spectroscopy (FTIR). The adsorption
was studied using various operating parameters such as contact time, temperature,
pH and initial 2,4DCP concentration. The results showed that the amount of 2,4DCP
increased with increasing initial concentration, contact time and temperature
indicating that the adsorption process of 2,4DCP onto composites is endothermic.
Adsorption of 2,4DCP followed pseudo-second-order kinetics. The Langmuir isotherm
model fitted well the isotherm data, indicating a monolayer homogeneous
adsorption. The prepared adsorbents exhibited relatively high adsorption capacity
of 142 to 391 mg/g founded by this model.
PMID- 29655889
TI - Composition characterization, antioxidant capacities and anti-proliferative
effects of the polysaccharides isolated from Trametes lactinea (Berk.) Pat.
AB - This study was designed to investigate the chemical characterization and
bioactivity of the Trametes lactinea (Berk.) Pat polysaccharides (TLP). The crude
TLP was fractionated into two fractions, namely TLP-1 and TLP-2 with Cellulose
DEAE-52 and Sephadex G-150. HPLC and FT-IR analysis showed that TLP-1 and TLP-2
were heteropolysaccharides mainly composed of glucose with the average molecular
weights of 443.19kDa and 388.83kDa, respectively. TLP-1 from water elution
possessed of higher reducing power and scavenging activities against 1,1-diphenyl
2-picrylhydrazyl (DPPH) radical, superoxide radical and hydroxyl radical than TLP
2 eluted by 0.1M of NaCl. In comparison with TLP-2, TLP-1 showed stronger growth
inhibition against human hepatoblastoma HepG-2 cells and caused higher LDH
leakage. However, TLP-1 showed lower growth inhibition against normal hepatocyte
L-02 cells and lower LDH leakage than TLP-2. Flow cytometric analysis showed that
TLP-1 had a stimulatory effect on apoptosis of HepG-2 cells. These findings
suggested that the polysaccharides, especially TLP-1 could contribute to the
potential anticancer effects of T. lactinea (Berk.) Pat, which might be valuable
as a natural antioxidant source applied in both healthy medicine and food
industry for health benefits.
PMID- 29655890
TI - Comprehensive Imaging and Surgical Review of Urinary Diversions: What the
Radiologist Needs to Know.
AB - Urinary diversions are surgical procedures that reconstruct the lower urinary
tract following cystectomy. The 2 common surgical approaches are based on the
continence status of the urinary tract. Incontinent diversions have continuous
urine drainage through a cutaneous stoma, whereas continent diversions offer the
patient the ability to self-void either via stoma catheterization or with the
patient's own urethra. Given the large number of diversion procedures available,
postsurgical anatomy may be complex. Multiple imaging modalities can be used to
assess the postprocedural anatomy, potential complications, and for on-going
oncologic monitoring. The purpose of this review is to describe the common
surgical techniques and associated complications.
PMID- 29655891
TI - The 9 Habits of Highly Effective Radiologists.
AB - Stephen R. Covey's landmark work in the field of effectiveness and professional
development delineated first 7, then ultimately eight, habits of highly effective
people with applicability to all professions.1 This article describes the eight
habits in specific relation to the radiologist, and proposes a ninth habit to
help one bring a positive and centered approach during the journey to
effectiveness and beyond.
PMID- 29655892
TI - A case report and review of the literature indicate that HMGA2 should be added as
a disease gene for Silver-Russell syndrome.
AB - Patients with Silver-Russell syndrome (SRS), a syndromic growth retardation
syndrome, usually harbor an epimutation at chromosome 11p15 or a maternal
uniparental disomy of chromosome 7. However, to date the genetic cause remains
unknown in around 40% of SRS cases, suggesting genetic heterogeneity and
involvement of other genes. We present a 4-year-old female patient with the
clinical diagnosis of SRS and negative results in common genetic SRS diagnostics.
Whole exome sequencing identified a de novo heterozygous 7.3 kb deletion on
chromosome 12q14.3 including exon 1 and 2 of HMGA2. HMGA2 encodes an
architectural transcription factor and has already been linked to body size
variations in various genome-wide association studies and mouse models. Reviewing
the literature, we found additional four patients with a phenotype of SRS
harboring point mutations or structural variants involving HMGA2. We conclude
that genetic testing of HMGA2 should be considered in routine diagnostics in
patients with the suspicion of SRS.
PMID- 29655893
TI - Comparative sequence and structural analysis of Indian orf viruses based on major
envelope immuno-dominant protein (F1L), an homologue of pox viral p35/H3 protein.
AB - Orf virus (ORFV), a member of the genus Parapoxvirus in the family Poxviridae, is
the cause of orf, a highly contagious zoonotic viral disease that affects mainly
sheep and goats. In the present study, the sequence and phylogenetic analysis of
Indian ORFV isolates (n = 15) from natural outbreaks in sheep and goats belonging
to different geographical regions were analysed on the basis of F1L gene along
with homology modelling of F1L protein. Multiple sequence alignments revealed
highly conserved C-terminus and heterogeneity of N-terminus region of F1L among
all orf viruses studied. Further, a comparative sequence alignment indicated
conservation of various motifs such as glycosaminoglycan (GAG), Asp/Glu-any
residue-Asp (D/ExD) and a Cx3C chemokine like motif among all poxviruses and
unique motifs (proline rich region [PRR] and Lys-Gly-Asp [KGD]), in
parapoxviruses including ORFV isolates irrespective of geography and host
species. Phylogenetically, two major clusters were noticed which included Indian
orf isolates along with foreign isolates. Structurally, ORFV F1L resembled the
topology as exhibited by its homologue vaccinia virus H3 protein with mixed
beta/alpha folds and ligand binding specificity in docking models. We noted that
despite differences in host cell specificity and pathogencity, poxvirus proteins
especially ORFV F1L protein and its homologues presumed to share similarities as
they are highly conserved irrespective of species and countries of origin.
Further, the study also indicated the possibilities of differentiation of ORFV
strains based on N-terminal heterogeneity despite highly conserved C-terminal
region with conserved motifs.
PMID- 29655894
TI - HHIPL-1 (rs2895811) gene polymorphism is associated with cardiovascular risk
factors and cardiometabolic parameters in Mexicans patients with myocardial
infarction.
AB - Several studies have reported the role of hedgehog interacting protein-like 1
(HHIPL-1) in different pathologies, including cardiovascular disease. The aim of
the present study was to analyze the association of HHIPL-1 (rs2895811)
polymorphism with myocardial infarction (MI), cardiometabolic parameters, and
traditional cardiovascular risk factors in the Mexican population. The
polymorphism was genotyped using a TaqMan assay in 1023 patients with MI and 1105
controls. A similar distribution of the polymorphism was observed between studied
groups. However, in patients group, the C allele was associated with a decreased
risk of developing hypertriglyceridemia (OR = 0.757, Padditive = 0.030, OR =
0.685, Pdominant = 0.020, OR = 0.691, Pcodominant1 = 0.030), metabolic syndrome
(OR = 0.746, Padditive = 0.030, OR = 0.647, Pdominant = 0.005, OR = 0.670,
Pheterozygote = 0.015, OR = 0.637, Pcodominant1 = 0.005), and insulin resistance
(OR = 0.681, Pdominant = 0.045). The results suggest that HHIPL-1 rs2895811
polymorphism is associated with cardiometabolic parameters in Mexican patients
with MI.
PMID- 29655895
TI - Exploring the loblolly pine (Pinus taeda L.) genome by BAC sequencing and Cot
analysis.
AB - Loblolly pine (LP; Pinus taeda L.) is an economically and ecologically important
tree in the southeastern U.S. To advance understanding of the loblolly pine (LP;
Pinus taeda L.) genome, we sequenced and analyzed 100 BAC clones and performed a
Cot analysis. The Cot analysis indicates that the genome is composed of 57, 24,
and 10% highly-repetitive, moderately-repetitive, and single/low-copy sequences,
respectively (the remaining 9% of the genome is a combination of fold back and
damaged DNA). Although single/low-copy DNA only accounts for 10% of the LP
genome, the amount of single/low-copy DNA in LP is still 14 times the size of the
Arabidopsis genome. Since gene numbers in LP are similar to those in Arabidopsis,
much of the single/low-copy DNA of LP would appear to be composed of DNA that is
both gene- and repeat-poor. Macroarrays prepared from a LP bacterial artificial
chromosome (BAC) library were hybridized with probes designed from cell wall
synthesis/wood development cDNAs, and 50 of the "targeted" clones were selected
for further analysis. An additional 25 clones were selected because they
contained few repeats, while 25 more clones were selected at random. The 100 BAC
clones were Sanger sequenced and assembled. Of the targeted BACs, 80% contained
all or part of the cDNA used to target them. One targeted BAC was found to
contain fungal DNA and was eliminated from further analysis. Combinations of
similarity-based and ab initio gene prediction approaches were utilized to
identify and characterize potential coding regions in the 99 BACs containing LP
DNA. From this analysis, we identified 154 gene models (GMs) representing both
putative protein-coding genes and likely pseudogenes. Ten of the GMs (all of
which were specifically targeted) had enough support to be classified as intact
genes. Interestingly, the 154 GMs had statistically indistinguishable (alpha =
0.05) distributions in the targeted and random BAC clones (15.18 and 12.61 GM/Mb,
respectively), whereas the low-repeat BACs contained significantly fewer GMs
(7.08 GM/Mb). However, when GM length was considered, the targeted BACs had a
significantly greater percentage of their length in GMs (3.26%) when compared to
random (1.63%) and low-repeat (0.62%) BACs. The results of our study provide
insight into LP evolution and inform ongoing efforts to produce a reference
genome sequence for LP, while characterization of genes involved in cell wall
production highlights carbon metabolism pathways that can be leveraged for
increasing wood production.
PMID- 29655896
TI - Differential expression profile of long non-coding RNA in the stenosis tissue of
arteriovenous fistula.
AB - OBJECTIVE: To analyze and preliminarily validate the lncRNA expression profiles
in the stenosis tissue of arteriovenous fistula (AVF). METHODS: A total of 54
uremic patients administered in the department of nephrology in the First
Affiliated Hospital of Nanchang University between February 2017 and March 2017
were included in the study and subsequently categorized as experimental group,
which included 12 patients with confirmed diagnosis of AVF, and control group
which included 42 patients with no vascular stenosis. The experimental group
inclusion criteria include: AVF used >3 months; The blood flow of AVF <200
mL/min; the degree of the stenosis was >50%, excluded obvious thrombosis; The
ultrasonographic data of AVF stenosis is complete. The controls were randomly
selected from uremic patients who were primary AVF operation, excluded obvious
vascular stenosis and vascular diseases. Among them, 4 sample in the experimental
group and 4 controls were used in LncRNA sequencing. RNA in vascular tissue was
extracted by Trizol and IncRNA sequencing was used to establish the expression
profiles of lncRNA in the stenosis tissue of AVF.9 difference expression lncRNA
were collected for validating in AVF stenosis cases by using quantitative real
time polymerase chain reaction (qRT-PCR). Moreover, Cluster analysis, gene
functional analysis and pathway analysis were used to explore the function of
difference expression lncRNA. RESULTS: Among the 27,692 lncRNA transcripts
examined, 247 lncRNAs were found to be significantly differentially expressed (P
< 0.05, fold change >=2) in the experimental group and control group, with 141
being up-regulated and 106 down-regulated. The expression levels of 9 lncRNAs
validated by subsequent qRT-PCR were shown to be highly consistent with the
sequencing data. CONCLUSION: Our study revealed lncRNAs expression profiles in
the stenosis tissue of AVF by LncRNA sequencing. These lncRNAs and its related
signaling pathways may play a key role in the occurrence and progression of AVF
stenosis.
PMID- 29655897
TI - Adsorption removal of natural organic matters in waters using biochar.
AB - This work concerns the adsorption of aromatic organic matter from river water
using various doses of a simulated biochar. The water yielded five UV254 peaks
associated with organic matters in size exclusion chromatography (SEC),
corresponding to molecular weights (MW) of 10,000, 6500, 4800, 3000, and 1500 Da.
Biochar removes all of these peaks with an overall adsorption of 6.4 mg-DOC/g-C,
and preferentially removes organic matter with high MW. Physisorption control in
a pseudo-second-order type model fits the adsorption kinetics. Biochar can
therefore be used as an efficient adsorbent of organic matter in water.
PMID- 29655898
TI - Efficient biocatalytic synthesis of nicotinic acid by recombinant nitrilase via
high density culture.
AB - The constitutively expression system for P. putida nitrilase was firstly
constructed to improve the nicotinic acid production and reduce the production
costs. High density culture strategy was employed to enhance the biomass and
nitrilase production of recombinant strain. The total nitrilase activity reached
up to 654 U.mL-1 without the induction. 541 g.L-1 nicotinic acid was accumulated
via fed batch mode of substrate feeding through 290 min of conversion.
PMID- 29655899
TI - Value-added biotransformation of cellulosic sugars by engineered Saccharomyces
cerevisiae.
AB - The substantial research efforts into lignocellulosic biofuels have generated an
abundance of valuable knowledge and technologies for metabolic engineering. In
particular, these investments have led to a vast growth in proficiency of
engineering the yeast Saccharomyces cerevisiae for consuming lignocellulosic
sugars, enabling the simultaneous assimilation of multiple carbon sources, and
producing a large variety of value-added products by introduction of heterologous
metabolic pathways. While microbial conversion of cellulosic sugars into large
volume low-value biofuels is not currently economically feasible, there may still
be opportunities to produce other value-added chemicals as regulation of
cellulosic sugar metabolism is quite different from glucose metabolism. This
review summarizes these recent advances with an emphasis on employing engineered
yeast for the bioconversion of lignocellulosic sugars into a variety of non
ethanol value-added products.
PMID- 29655900
TI - The anterolateral ligament is a distinct ligamentous structure: A histological
explanation.
AB - BACKGROUND: The aim was to determine whether the anterolateral ligament (ALL) had
a histological structure that defined it as a real ligament. METHODS:
Histological examination of 30 ALL samples taken from fresh-frozen knees were
performed. The ALL femoral insertion and its relationship with the lateral
collateral ligament (LCL) were studied and the tibial insertion and its
relationship with articular cartilage of the tibial joint surface were analyzed.
For the ligamentous part, its histological structure and its differences with the
articular capsule were studied. RESULTS: This connective tissue is composed of a
dense fibrous core constituted by a network of oriented collagenous fibers. The
periphery of this dense connective center is made up of loose fibrocollagenous
tissue with vascular structures and focal deposits of adipose tissue. This part
was in contact but different to the joint capsule. With a perpendicular
orientation of the collagen fibers relative to the bone, a fibrocartilaginous
zone with an unmineralized hyalinized aspect, a mineralization front, its bone
insertions presented a typical ligamentous insertion. With a cleavage plane
between ALL and LCL femoral insertion, the ALL appeared to have a femoral
insertion distinct from the LCL. ALL tibial insertion was less characteristic
with less organized connective tissue and was at a distance from the articular
cartilage. CONCLUSION: From its bony insertion to its tissue composition and
organization, the ALL has all the histological characteristics of a ligamentous
structure. Our study confirms that ALL can be considered a real and distinct
ligament.
PMID- 29655901
TI - In vivo heterotopic culturing of prefabricated tendon grafts with mechanical
stimulation in a sheep model.
AB - BACKGROUND: The goal of this study is to investigate the biomechanical and
histological properties of in vivo heterotopically prefabricated cruciate
ligament replacement grafts with and without mechanical stimulation. The clinical
goal is to heterotopically prefabricate a bone-tendon-bone graft for anterior
cruciate ligament reconstruction, which allows rapid ingrowth and early full
weight bearing. METHODS: In a sheep model, eight quadriceps tendon grafts were
harvested and introduced into culture chambers at their proximal and distal ends.
In group S, four tendon-chamber constructs were mechanically stimulated by direct
attachment to the quadriceps tendon and patella. In group NS, the same constructs
were cultured without proximal attachment. All sheep were sacrificed six weeks
postoperatively and the constructs were examined biomechanically and
histologically. The healthy contralateral ACL and quadriceps tendon were used as
controls. RESULTS: Macroscopically, no obvious ossification could be observed at
the ends of the tendon-chamber constructs six weeks postoperatively.
Histologically, the tendon tissue from the mechanically stimulated constructs
revealed higher counts of cells and capillaries. However, there was less regular
cell distribution and collagen fiber orientation compared to the control group.
In addition, osteoblasts and osteogenesis were observed in the prefabricated
constructs both with and without mechanical stimulation. Biomechanically, there
were no significant differences in stiffness, elongation and ultimate failure
load between the groups. CONCLUSION: In vivo heterotopic culture of prefabricated
tendon grafts may have the potential to stimulate osteoblasts and induce
osteogenesis. Future studies with longer follow-up and modifications of the
surgical technique and culture conditions are desirable.
PMID- 29655902
TI - Association between increase in vertical ground reaction force loading rate and
pain level in women with patellofemoral pain after a patellofemoral joint loading
protocol.
AB - BACKGROUND: The etiology of patellofemoral pain (PFP) is thought to be the result
of increased patellofemoral joint (PFJ) load and aberrant lower extremity
mechanics, including altered vertical ground reaction forces (VGRF). However, few
studies have investigated the association between an increase in pain and VGRF
loading rates in the context of PFP. Thus, this study aimed to investigate the
immediate effects of PFJ loading on pain and VGRF loading rate, and to see if
there is a link between modification of both pain and VGRF loading rate during
stair negotiation. METHODS: Thirty-four women with PFP underwent VGRF analysis
during stair negotiation under two conditions: with (condition 2) and without
(condition 1) being previously submitted to a PFJ loading protocol in order to or
not to exacerbate their knee pain, respectively. RESULTS: The VGRF loading rates
were significantly higher in condition 2 (Mean +/- standard deviation (SD)=4.0+/
0.6N/s) compared to condition 1 (Mean+/-SD=3.6+/-0.5N/s) during stair ascent and
during stair descent (Mean+/-SD: condition 1=6.3+/-1.1N/s; condition 2=7.0+/
1.4N/s). In addition, VGRF loading rates were higher during stair descent
compared to stair ascent in both conditions. There were significant correlations
between the increase in pain and VGRF loading rate during both tasks. CONCLUSION:
There seemed to be an important relation between the increase in pain and VGRF
loading rates in women with PFP. Based on these findings, interventions aimed at
reducing VGRF loading rates are important in the context of PFP.
PMID- 29655903
TI - Valid assessment of women's satisfaction with breast cancer screening programs.
PMID- 29655904
TI - 3D printed, controlled release, tritherapeutic tablet matrix for advanced anti
HIV-1 drug delivery.
AB - PURPOSE: A 3D-Bioplotter(r) was employed to 3D print (3DP) a humic acid
polyquaternium 10 (HA-PQ10) controlled release fixed dose combination (FDC)
tablet comprising of the anti-HIV-1 drugs, efavirenz (EFV), tenofovir disoproxil
fumarate (TDF) and emtricitabine (FTC). METHODS: Chemical interactions, surface
morphology and mechanical strength of the FDC were ascertained. In vitro drug
release studies were conducted in biorelevant media followed by in vivo study in
the large white pigs, in comparison with a market formulation, Atripla(r). In
vitro-in vivo correlation of results was undertaken. RESULTS: EFV, TDF and FTC
were successfully entrapped in the 24-layered rectangular prism-shaped 3DP FDC
with a loading of ~12.5 mg/6.3 mg/4 mg of EFV/TDF/FTC respectively per printed
layer. Hydrogen bonding between the EFV/TDF/FTC and HA-PQ10 was detected which
was indicative of possible drug solubility enhancement. The overall surface of
the tablet exhibited a fibrilla structure and the 90 degrees inner pattern was
determined to be optimal for 3DP of the FDC. In vitro and in vivo drug release
profiles from the 3DP FDC demonstrated that intestinal-targeted and controlled
drug release was achieved. CONCLUSION: A 3DP FDC was successfully manufactured
with the aid of a 3D-Bioplotter in a single step process. The versatile HA-PQ10
entrapped all drugs and achieved an enhanced relative bioavailability of EFV,
TDF, and FTC compared to the market formulation for potentially enhanced HIV
treatment.
PMID- 29655905
TI - Functional relevance of synonymous alleles reflected in allele rareness in the
population.
AB - We provide theoretical evidence supporting the non-neutrality of synonymous
alleles by investigating the rareness of synonymous alleles in the population. We
find a significantly greater number of synonymous rare alleles than conventional
neutral alleles derived from noncoding regions. A permutation experiment shows
that the rareness of synonymous alleles is not a byproduct of random statistical
noise. We then compare the frequencies of synonymous rare alleles and common
alleles in various functional contexts in which synonymous alleles are known to
be involved. Subsequently, we perform logistic regression analysis to elucidate
the effect size of each independent factor contributing to the rareness of
synonymous alleles. Additionally, we show that changes in optimality caused by
synonymous mutations resulting in rare SNPs in the population tend to be biased
toward optimality loss. We think that our study will contribute to the
development of novel strategies for identifying functional synonymous mutations.
PMID- 29655906
TI - Substance Use and Sex Index (SUSI): First stage development of an assessment tool
to measure behaviour change in sexualised drug use for substance use treatment
studies.
AB - BACKGROUND: Existing tools for measuring blood-borne virus (BBV) and sexually
transmitted infection (STI) transmission risk behaviours in substance use
interventions have limited capacity to assess risk behaviours across varied
social, cultural and epidemiological contexts; have not evolved alongside HIV
treatment and prevention innovations; or accounted for sexual contexts of drug
use including among a range of lesbian, gay, bisexual, transgender, intersex and
queer (LGBTIQ) sub-communities. The Substance Use and Sex Index (SUSI) is a new
brief, simple tool being developed to assess change in HIV and STI risk
behaviours for substance use treatment studies. METHODS: A 26-item questionnaire
was piloted online among community volunteers (n = 199). Concurrent and
predictive validity were assessed against risk-taking (RT-18) and STI testing
items (Gay Community Periodic Surveys). RESULTS: The developed scale comprised
nine items measuring: condomless penile (anal or vaginal) sex, unprotected oral
sex, shared toy use, bloodplay, chemsex (consumption of drugs for the
facilitation of sex), trading sex for drugs, being 'too out of it' to protect
self, injecting risk and group sex. Factor-analytic approaches demonstrated that
items met good fit criteria for a single scale. Significant, moderate magnitude,
positive relationships were identified between total SUSI score and both RT-18
risk-taking and recent STI testing. Qualitative feedback underscored the
importance of culturally-embedded question formulation. CONCLUSION: The results
support the conceptual basis for the instrument, highlighting the need for
further scale content refinement to validate the tool and examine sensitivity to
change. SUSI is a step towards improving outcome measurement of HIV/BBV/STI
transmission risk behaviours in substance use treatment studies with greater
inclusiveness of experiences across different population groups.
PMID- 29655907
TI - Improving the Visibility of Intravenous (IV) Site in Pediatric Patients to Reduce
IV Site Related Complications - An Evidence-based Utilization Project.
AB - PURPOSE: This project aims to improve the visibility of the IV site using more
adhesive transparent dressings to attain 90% compliance based on the frequent and
proper inspection of the IV site and decrease the incidence of IV complications.
DESIGN AND METHOD: The project was conducted in a 43-bedded general pediatric
ward from March 2016 to February 2017. To address the poor visibility of the IV
site due to bandaging caused by poor adhesive strength of the IV securement
dressing, a more adhesive securement dressing was introduced. The study team
conducted pre-implementation, immediate post-implementation, and sustainment
audits, cost-analysis, and comparison of the number of reported occurrences of
phlebitis and extravasation between 2015 and 2017. RESULTS: The post
implementation audit showed 100% visibility of the IV site, from 73% pre
implementation, and 87% compliance on frequent IV site inspection, from 70% pre
implementation. Both criteria attained 100% compliance during the sustainment
audit. Incidences of extravasation and phlebitis were reduced from eight to
seven, an improvement of 13%. These seven cases were also generally less severe
compared to those from the previous year. CONCLUSION: This project has greatly
improved the visibility of the IV site as well as nurses' compliance in checking
the IV site. This is because nurses can monitor the IV site without having to
remove any additional bandage over the site and causing unnecessary distress to
fretful pediatric patients. IMPLICATIONS: The use of an adhesive transparent
dressing can lead to prevention and earlier detection of phlebitis and
extravasation. Additionally, the new IV securement dressing brought about
manpower cost savings enabling staff time directed to other patient care
activities.
PMID- 29655908
TI - Virtual special issue: Endocrine.
PMID- 29655909
TI - Carbon catabolite repression gene creA regulates morphology, aflatoxin
biosynthesis and virulence in Aspergillus flavus.
AB - Carbon catabolite repression (CCR) is a very important mechanism employed in the
utilization of carbon as an energy source, required for the regulation of growth,
development and secondary metabolite production in fungi. Despite the wide study
of this mechanism in fungi, little is known about the major CCR gene creA in A.
flavus. Hence, we report identification of A. flavus carbon catabolite repression
gene creA, which is responsible for the repression of secondary carbon sources.
Gene deletion and over-expression was employed to explicate the role of creA in
the morphology, pathogenicity, and secondary metabolite production in A. flavus.
We investigated these factors using three carbon sources including glucose,
sucrose and maltose. Gene deletion mutant (DeltacreA) had a significant growth
defect on complete medium and minimal medium containing maltose. Conidia
production in DeltacreA was significantly impaired irrespective of the carbon
source available, while sclerotia production was significantly increased,
compared to wild type (WT) and over-expression strain (OE::creA). Importantly,
DeltacreA produced insignificant amount of aflatoxin in complete medium, and its
ability to colonize hosts was also impaired. Concisely, we showed that creA
played an important role in the morphology, pathogenicity and secondary
metabolite production of A. flavus.
PMID- 29655910
TI - Cardiac voltage-gated sodium channel expression and electrophysiological
characterization of the sodium current in the zebrafish (Danio rerio) ventricle.
AB - Na+ channel alpha-subunit composition of the zebrafish heart and
electrophysiological properties of Na+ current (INa) of zebrafish ventricular
myocytes were examined. Eight Na+ channel alpha-subunits were expressed in both
atrium and ventricle of the zebrafish heart. Nav1.5Lb, an orthologue to the human
Nav1.5, was clearly the predominant isoform in both chambers representing 65.2 +/
4.1% and 83.1 +/- 2.1% of all Na+ channel transcripts in atrium and ventricle,
respectively. Nav1.4b, an orthologue to human Nav1.4, formed 34.1 +/- 4.1 and
16.2 +/- 2.0% of the Na+ channel transcripts in atrium and ventricle,
respectively. The density of INa and the rate of action potential upstroke in
zebrafish ventricular myocytes at 28 degrees C were similar to those of human
ventricles at the comparable temperature. Na+ channel isoforms and the main
electrophysiological characteristics of the INa are largely similar in zebrafish
and human hearts indicating evolutionary conservation of Na+ channel composition
and function. The zebrafish INa differs from the human cardiac INa in terms of
higher tetrodotoxin sensitivity (IC50-value = 5.3 +/- 0.1 nM) and slower
inactivation kinetics. The zebrafish INa was inhibited with tricaine (MS-222)
with an IC50-value of 1.2 +/- 0.18 mM (336 mg l-1), suggesting some care in the
use of MS-222 as an anesthetic.
PMID- 29655911
TI - Antinociceptive activity of Riparin II from Aniba riparia: Further elucidation of
the possible mechanisms.
AB - Riparin II (RipII) has an anti-inflammatory activity potentially due its ability
to decrease TNF-alpha and IL-1beta production and its histamine antagonism. The
objective of this study was to evaluate the role of RipII in the pain process and
the possible antinociceptive mechanisms involved, using classic models of
nociception. Male Swiss mice were used in the assays. Determinate the acute
toxicity according to the OECD 425 test guideline. The models used were the
acetic acid-, formalin-, hot plate and glutamate-induced nociception. For
evaluation of antinociceptive effect, the involvement of TRPV1, TRPA1, TRPM8,
ASICS, Bradykinin, PKC and PKA were performed using the paw licking using
agonists. The acute toxicity study did not detect any clinical signs or changes
in behavior or mortality. RipII, administered orally (25 and 50 mg/kg) caused a
reduction of nociception induced by acetic acid, formalin (on the second phase)
and glutamate. In the investigation of antinociceptive mechanism, we used
capsaicin (2.2 MUg/paw), cinnamaldehyde (10 nmol/paw), menthol (1.2 MUmol/paw),
ASICS (2% acetic acid, pH 1.98) and bradykinin (10 MUg/paw). The results showed
that TRPV1, TRPA1, TRPM8, ASICS and bradykinin play a role in the antinociceptive
effect of RipII. The results also showed that PKA is involved too. These data
demonstrate that RipII has a low or not toxicity and produced an important
antinociceptive effect through mechanisms that probably involve an interaction,
at least in part, TRPV1, TRPA1, TRPM8, ASICS, bradykinin and PKA participate in
the RipII's antinociceptive effect.
PMID- 29655912
TI - Argemone oil, an edible oil adulterant, induces systemic immunosuppression in
Balb/c mice in an oral 28 days repeated dose toxicity study.
AB - Consumption of edible oils contaminated with Argemone oil (AO) leads to a
clinical condition called "Epidemic dropsy". Earlier studies have reported that
metabolism and oxidative stress primarily contributes to AO toxicity, however,
the involvement of immune system has not been assessed so far. Therefore, the
present study was undertaken to systematically assess the effect of AO exposure
on the function of immune system in Balb/c mice. The repeated exposure of AO for
28 days caused prominent regression of spleen and thymus; severe inflammatory
changes in spleen depicted by the loss of distinct follicles, increased
megakaryocyte infiltration, and enhanced expression levels of inflammatory
markers (iNOS & COX-2). At the functional level, AO exposure significantly
abrogated the mixed lymphocyte reaction and mitogen-stimulated
lymphoproliferative activity of T and B cells, which is reflective of profound
lymphocyte dysfunction upon antigen exposure. In concordance with the loss in
functional activity of lymphocytes in AO exposed animals, it was found the AO
altered the relative percentage of CD3+, CD4+, and CD28 + T cells. Further, there
was a marked decrease in the relative distribution of cells with prominent MHC I
and CD1d expression in AO exposed splenocytes. Moreover, reduced levels of immune
stimulatory cytokines (TNF-alpha, IFN-gamma, IL-2, IL-4, and IL-6), and increased
levels of immunosuppressive cytokine IL-10 were detected in the serum of AO
treated mice. Along with T and B cells, AO exposure also affected the phenotype
and activation status of macrophages suggesting the inclination towards
"alternative activation of macrophages". Altogether, these functional changes in
the immune cells are contributing factors in AO induced immunosuppression.
PMID- 29655913
TI - Germacrone reverses adriamycin resistance in human chronic myelogenous leukemia
K562/ADM cells by suppressing MDR1 gene/P-glycoprotein expression.
AB - Multidrug resistance (MDR) usually causes chemotherapy failure of chronic
myelogenous leukemia (CML). Germacrone is a terpenoid compound and has been
reported to reverse MDR in breast cancer cells. However, the effect of germacrone
on MDR in CML cells was unknown. The aim of the present study was to evaluate the
effect of germacrone on MDR in adriamycin resistance of CML cells. Treatment with
a combination of germacrone and adriamycin synergistically inhibited the
viability and increased LDH release in K562/ADM cells. Adriamycin induced the
apoptosis and caspase-3 activity of K562/ADM cells, and the germacrone treatment
significantly enhanced the induction. Adriamycin treatment inhibited the
expression of Bcl-2 and induced the expression of Bax, and germacrone enhanced
the effect of adriamycin. Germacrone decreased adriamycin-induced expression of
MDR1 mRNA and P-gp protein. Overexpression of P-glycoprotein (P-gp) reversed the
effect of germacrone on adriamycin resistance in K562/ADM cells. In conclusion,
germacrone reversed adriamycin resistance in human chronic myelogenous leukemia
K562/ADM cells by suppressing MDR1 gene/P-gp expression. The results indicated
that germacrone might be a new MDR reversal agent for CML chemotherapy.
PMID- 29655914
TI - Importance of cynomolgus monkeys in development of monoclonal antibody drugs.
AB - Animal species used in the preclinical studies for development of monoclonal
antibody (mAb) drugs are surveyed in this review. Relevant animal species for
preclinical studies of mAb candidates are those express desired epitope of mAb
candidates. Cynomolgus monkeys cross-react with mAb drugs much higher than other
animal species commonly used in preclinical studies such as absorption,
distribution, metabolism and excretion (ADME), efficacy, and toxicity studies,
for development of new drugs. Moreover, plasma exposure of the mAb drugs in
humans is predicted well from the exposure in the monkeys, and the placental
transfer of immunoglobulin G (IgG, all the mAb drugs contain IgG) from mother to
fetus is similar between humans and the monkeys from a viewpoint of time course
and plasma level of IgG transferred. These observed findings indicate that the
monkeys are the most suitable animal species used in the ADME and toxicity
studies for development of new mAb drugs.
PMID- 29655915
TI - Real-time monitoring of pH-dependent intracellular trafficking of ovarian cancer
G protein-coupled receptor 1 in living leukocytes.
AB - G-protein coupled receptors (GPCRs) are involved in many diseases and important
biological phenomena; elucidating the mechanisms underlying regulation of their
signal transduction potentially provides both novel targets for drug discovery
and insight into living systems. A proton-sensing GPCR, ovarian cancer G protein
coupled receptor 1 (OGR1), has been reported to be related to acidosis and
diseases that cause tissue acidification, but the mechanism of proton-induced
activation of OGR1-mediated signal transduction in acidic conditions remains
unclear. Here, pH-dependent intracellular trafficking of OGR1 was visualized in
living leukocytes by a real-time fluorescence microscopic method based on sortase
A-mediated pulse labeling of OGR1. OGR1 labeled on the cell surface with a small
fluorescent dye was clearly observed to remain in the plasma membrane during
incubation in mildly acidic medium (pH 6.6) and to be internalized to the
intracellular compartments on changing the medium to slightly basic pH (7.7).
Quantitative single-cell image analysis showed that most of the internalized
OGR1s were then recycled to the plasma membrane for signal transduction if the
extracellular pH was returned to the mildly acidic state. However, in a minor
population of cells (40%), the internalized OGR1s were retained in endosomes or
transported to lysosomes and degraded, leading to low efficiency of their
recycling to the plasma membrane. Thus, the present live-cell monitoring strongly
suggests that the signal transduction activity of OGR1 is regulated by pH
dependent internalization and recycling to the plasma membrane.
PMID- 29655916
TI - A method for optical imaging and monitoring of the excretion of fluorescent
nanocomposites from the body using artificial neural networks.
AB - In this study, a new approach to the implementation of optical imaging of
fluorescent nanoparticles in a biological medium using artificial neural networks
is proposed. The studies were carried out using new synthesized nanocomposites -
nanometer graphene oxides, covered by the poly(ethylene imine)-poly(ethylene
glycol) copolymer and by the folic acid. We present an example of a successful
solution of the problem of monitoring the removal of nanocomposites based on nGO
and their components with urine using fluorescent spectroscopy and artificial
neural networks. However, the proposed method is applicable for optical imaging
of any fluorescent nanoparticles used as theranostic agents in biological tissue.
PMID- 29655917
TI - Ciprofloxacin resistance in nontypable Haemophilus influenzae clinical isolates.
PMID- 29655918
TI - Embracing Community Ecology in Plant Microbiome Research.
AB - Community assembly is mediated by selection, dispersal, drift, and speciation.
Environmental selection is mostly used to date to explain patterns in plant
microbiome assembly, whereas the influence of the other processes remains largely
elusive. Recent studies highlight that adopting community ecology concepts
provides a mechanistic framework for plant microbiome research.
PMID- 29655919
TI - Endocannabinoid system in systemic lupus erythematosus: First evidence for a
deranged 2-arachidonoylglycerol metabolism.
AB - The endocannabinoid (eCB) system plays a key role in many physiological and
pathological conditions and its dysregulation has been described in several
rheumatological and autoimmune diseases. Yet, its possible alteration in systemic
lupus erythematosus (SLE) has never been investigated. Here, we aimed filling
this gap in plasma and peripheral blood mononuclear cells (PBMCs) of patients
with SLE and age- and sex- matched healthy subjects (HS). Liquid chromatography
mass spectrometry quantitation of eCB levels highlighted that plasma levels of 2
arachidonoylglycerol (2-AG) were significantly increased in SLE patients compared
to HS (p = 0.0059), and among SLE patients, highest 2-AG levels were associated
with a lower disease activity. No differences were found in N
arachidonoylethanolamine (AEA) and its congeners N-palmitoylethanolamine (PEA)
and N-oleoylethanolamine (OEA) concentrations between the two groups. Moreover,
gene expression analysis of metabolic enzymes and receptor targets of eCBs and
investigation of functional activity and protein expression of selected
components of eCB system disclosed a deranged 2-AG metabolism in patients with
SLE. Indeed, expression and functional activity of 2-AG biosynthetic enzyme DAGL
were selectively enhanced in PBMCs of SLE patients compared to HS. In conclusion,
our results demonstrate, for the first time, an alteration of eCB system in SLE
patients. They represents the first step toward the understanding of the role of
eCB system in SLE that likely suggest DAGL and 2-AG as potential biomarkers of
SLE in easily accessible blood samples. Our data provides proof-of-concept to the
development of cannabis-based medicine as immune-modulating agents.
PMID- 29655920
TI - Suppressing Ku70/Ku80 expression elevates homology-directed repair efficiency in
primary fibroblasts.
AB - The main DNA repair pathways, nonhomologous end joining (NHEJ) and homology
directed repair (HDR), are complementary to each other; hence, interruptions of
the NHEJ pathway can favor HDR. Improving HDR efficiency in animal primary
fibroblasts can facilitate the generation of gene knock-in animals with
agricultural and biomedical values by somatic cell nuclear transfer. In this
study, we used siRNA to suppress the expression of Ku70 and Ku80, which are the
key factors in NHEJ pathway, to investigate the effect of Ku silencing on the HDR
efficiency in pig fetal fibroblasts. Down-regulation of Ku70 and Ku80 resulted in
the promotion of the frequencies of multiple HDR pathways, including homologous
recombination, single strand annealing, and single-stranded oligonucleotide
mediated DNA repair. We further evaluated the effects of Ku70 and Ku80 silencing
on promoting HR-mediated knock-in efficiency in two porcine endogenous genes and
found a significant increase in the amount of knock-in cells in Ku-silenced
fibroblasts compared with control. The RNA interference strategy will benefit the
generation of cell lines and organisms with precise genetic modifications.
PMID- 29655921
TI - EFOMP policy statement 16: The role and competences of medical physicists and
medical physics experts under 2013/59/EURATOM.
AB - On 5 December 2013 the European Council promulgated Directive 2013/59/EURATOM.
This Directive is important for Medical Physicists and Medical Physics Experts as
it puts the profession on solid foundations and describes it more
comprehensively. Much commentary regarding the role and competences has been
developed in the context of the European Commission project "European Guidelines
on the Medical Physics Expert" published as Radiation Protection Report RP174.
The guidelines elaborate on the role and responsibilities under 2013/59/EURATOM
in terms of a mission statement and competence profile in the specialty areas of
Medical Physics relating to medical radiological services, namely Diagnostic and
Interventional Radiology, Radiation Oncology and Nuclear Medicine. The present
policy statement summarises the provisions of Directive 2013/59/EURATOM regarding
the role and competences, reiterates the results of the European Guidelines on
the Medical Physics Expert document relating to role and competences of the
profession and provides additional commentary regarding further issues arising
following the publication of the RP174 guidelines.
PMID- 29655922
TI - Hydrometallurgical recovery of metals: Ce, La, Co, Fe, Mn, Ni and Zn from the
stream of used Ni-MH cells.
AB - The utilization of the stream of waste secondary nickel-metal hydride (Ni-MH) and
lithium-ion (Li-ion) cells, representing annually about 33% of all consumer
batteries and accumulators placed on the Polish market, will soon become a big
challenge for both legislators and plants dealing with the recycling of this type
of hazardous waste. It is due to the fact that no company in Poland operating on
the market has a complete technology for the processing of a full stream of waste
chemical energy sources produced in this country. Until now, the most commonly
used techniques of processing this type of waste were pyrometallurgical process.
In this paper, the quantitative and qualitative characteristics of the stream of
waste batteries and accumulators collected at separate collection points are
presented. The results of metal recovery: caesium, lanthanum, cobalt, iron,
manganese, nickel and zinc from the stream of waste Ni-MH cells, type R6 (AA),
using hydrometallurgical methods are also offered. The paper demonstrates that
one-stage leaching at an initial temperature of 25.0 degrees C, with 3 M H2SO4
and at the solid to liquid ratio of s/l = 1/10, within 75 min, at a mixing speed
of 500 rpm and in a strongly acidic environment should be adopted as optimal
parameters for acid leaching of the paramagnetic fraction created after
mechanical machining of Ni-MH battery, for which the leaching rates of individual
metals were as follows: Ce - 97.7%, La - 88.7%, Co - 79.4%, Fe - 68.5%, Mn -
91.9%, Ni - 66.2% and Zn - 100%.
PMID- 29655923
TI - Effects of the addition of municipal solid waste incineration fly ash on the
behavior of polychlorinated dibenzo-p-dioxins and furans in the iron ore
sintering process.
AB - Raw materials were co-sintered with municipal solid waste incineration (MSWI) fly
ash through iron ore sintering to promote the safe treatment and utilization of
MSWI fly ash. To assess the feasibility of this co-sintering method, in this
study, the effects of the addition of MSWI fly ash on the formation and emission
of polychlorinated dibenzo-p-dioxins and furans (PCDD/Fs) were estimated via iron
ore sintering pot experiments. During co-sintering, most of the PCDD/Fs in the
added MSWI fly ash were decomposed and transformed into PCDD/Fs associated with
iron sintering, and the concentrations of lower- and mid-chlorinated congeners
increased. As there was a sufficient chlorine source and the sintering bed
permeability was decreased by the addition of MSWI fly ash, the PCDD/F
concentration in the exhaust gas increased. The mass emission of PCDD/Fs
decreased; however, the emission of toxic PCDD/Fs increased beyond the total
emissions from the independent MSW incineration and iron ore sintering processes
due to the transformation of PCDD/F congeners. The co-sintering may be an
important solution after technological improvements in the flue gas cleaning
system and PCDD/F formation inhibition procedures.
PMID- 29655924
TI - Quantifying household waste of fresh fruit and vegetables in the EU.
AB - According to national studies conducted in EU countries, fresh fruit and
vegetables contribute to almost 50% of the food waste generated by households.
This study presents an estimation of this waste flow, differentiating between
unavoidable and avoidable waste. The calculation of these two flows serves
different purposes. The first (21.1 kg per person per year) provides a measure of
the amount of household waste intrinsically linked to the consumption of fresh
fruit and vegetables, and which would still be generated even in a zero-avoidable
waste future scenario. The second (14.2 kg per person per year) is a quantity
that could be reduced/minimised by applying targeted prevention strategies. The
unavoidable waste was assessed at product level, by considering the inedible
fraction and the purchased amounts of the fifty-one most consumed fruits and
vegetables in Europe. The avoidable waste was estimated at commodity group level,
based on the results of national studies conducted in six EU member states.
Significant differences in the amounts of avoidable and unavoidable waste
generated were found across countries, due to different levels of wasteful
behaviours (linked to cultural and economic factors) and different consumption
patterns (influencing the amount of unavoidable waste generated). The results of
this study have implications for policies both on the prevention and the
management of household food waste.
PMID- 29655925
TI - Translation of Public Health Theory into Nursing Practice: Optimization of a
Nurse-Driven HIV Testing Program in the Emergency Department.
PMID- 29655926
TI - The Benefits of Introducing the Use of Nitrous Oxide in the Pediatric Emergency
Department for Painful Procedures.
PMID- 29655927
TI - Interruptions Experienced by Emergency Nurses: Implications for Subjective and
Objective Measures of Workload.
AB - INTRODUCTION: This study aimed to describe interruptions experienced by emergency
nurses and establish convergence validity of 1 objective workload measure by
linking interruption characteristics to objective and subjective measures of
workload. METHODS: Interruptions were captured in real time across 8- or 12-hour
shifts using a previously validated Workflow Interruptions Tool (WIT). Data
collected on each interruption included type, priority, and location where the
interruption occurred. At mid- and end-shift, the Surgery Task Load Index (SURG
TLX) and the Rapid Cognitive Assessment Tool (RCAT) were administered to
participating nurses to measure workload subjectively and objectively. RESULTS:
Thirty-eight emergency nurse shifts were observed. A total of 3,229 interruptions
were recorded across 372.5 clinical hours and 38 shifts (means [M] = 85.0
interruptions per shift, standard deviation [SD] = 34.9; M = 8.7 interruptions
per hour, SD = 3.36). The median duration per interruption was 13.0 seconds. A
moderate positive association was identified between the number of interruptions
experienced during a shift and the increased overall SURG-TLX workload reported
at end-shift, r(36) = 0.323, P = 0.048. Also, a moderate positive association was
identified between increased reaction times during the RCAT task and increased
mental demand experienced at end of shift, r(36) = 0.460, P < 0.001. DISCUSSION:
This study observed interruptions throughout the entirety of a nursing shift and
found that the majority of interruptions caused by the environment were low
priority. Targeting interventions to reduce low-priority and environmental
interruptions may aid in alleviating the impact of interruptions on clinical
staff and patient care. Furthermore, results demonstrate that the frequency of
interruptions was perceived to increase the nursing staff workload overall.
PMID- 29655929
TI - Aging impairs mitochondrial respiratory capacity in classical monocytes.
AB - Aging is a critical healthcare concern, with age-related inflammation disposing
individuals to a variety of diseases. Monocytes are affected by the aging
process, with increased inflammation and impaired cellular functions such as
phagocytosis. Mechanisms by which aging alters monocyte function are unknown, but
recent research suggests that the balance of metabolic processes determine immune
cell phenotype and function. Given the known association between aging and
mitochondrial dysfunction in other tissues, we hypothesized that aging would
impair mitochondrial function in monocytes. To test this, we isolated classical
monocytes from young and older adults and tested mitochondrial function by a
Seahorse assay. Aging reduced mitochondrial respiratory capacity and spare
capacity in monocytes. Mitochondrial dysfunction is a potential mechanism by
which aging alters monocyte phenotype and may impair inflammatory functions,
especially in low-glucose environments where oxidative metabolism is necessary to
meet energy demands.
PMID- 29655928
TI - Effects of 3-months sitting callisthenic balance and resistance exercise on
aerobic capacity, aortic stiffness and body composition in healthy older
participants. Randomized Controlled Trial.
AB - BACKGROUND: Arterial stiffness (AS) is a reduction in the ability of large
arteries to readily accommodate the increase in blood ejected from the heart
during systole related with aging. Physical exercise is associated with AS
reduction. However, it remains controversial as to which modality and intensity
(resistance vs aerobic, high vs low) would be the most effective. The aim of
these studies is to examine the effects of 3-months sitting callisthenic balance
(SCB) and resistance exercise (RET) on aerobic capacity, aortic stiffness and
body composition in older participants. MATERIAL AND METHODS: Aortic pulse wave
velocity (PWVao), return time (RT), diastolic reflection area (DRA) and blood
pressure (BP) level changes were measured with Arteriograph. Aerobic capacity was
examined with 6-min walk test (6-MWT) and spiroergometry (VO2max). Body
composition was analyzed by Bioelectric Impedance Analysis using Tanita. RESULTS:
Significant improvements of BP, PWVao, RT and DRA were observed in the SCB group
(p = 0.018, p = 0.017 and p = 0.012, respectively). % of fat mass improved in RET
and SCB group (p = 0.003, p = 0.012, respectively). Visceral fat significantly
improved in SCB group (p = 0.03). CONCLUSIONS: Despite no significant changes in
indicators of aerobic capacity (VO2max and 6MWT result) in both groups,
significant improvement in all measures of AS, except SBPao were observed in the
SCB group, while no AS improvement in the RET group was noted. There were some
differences in pattern of body compositions improvement between two groups.
PMID- 29655930
TI - Circadian signaling in Homarus americanus: Region-specific de novo assembled
transcriptomes show that both the brain and eyestalk ganglia possess the
molecular components of a putative clock system.
AB - Essentially all organisms exhibit recurring patterns of physiology/behavior that
oscillate with a period of ~24-h and are synchronized to the solar day.
Crustaceans are no exception, with robust circadian rhythms having been
documented in many members of this arthropod subphylum. However, little is known
about the molecular underpinnings of their circadian rhythmicity. Moreover, the
location of the crustacean central clock has not been firmly established,
although both the brain and eyestalk ganglia have been hypothesized as loci. The
American lobster, Homarus americanus, is known to exhibit multiple circadian
rhythms, and immunodetection data suggest that its central clock is located
within the eyestalk ganglia rather than in the brain. Here, brain- and eyestalk
ganglia-specific transcriptomes were generated and used to assess the
presence/absence of transcripts encoding the commonly recognized protein
components of arthropod circadian signaling systems in these two regions of the
lobster central nervous system. Transcripts encoding putative homologs of the
core clock proteins clock, cryptochrome 2, cycle, period and timeless were found
in both the brain and eyestalk ganglia assemblies, as were transcripts encoding
similar complements of putative clock-associated, clock input pathway and clock
output pathway proteins. The presence and identity of transcripts encoding core
clock proteins in both regions were confirmed using PCR. These findings suggest
that both the brain and eyestalk ganglia possess all of the molecular components
needed for the establishment of a circadian signaling system. Whether the brain
and eyestalk clocks are independent of one another or represent a single
timekeeping system remains to be determined. Interestingly, while most of the
proteins deduced from the identified transcripts are shared by both the brain and
eyestalk ganglia, assembly-specific isoforms were also identified, e.g., several
period variants, suggesting the possibility of region-specific variation in clock
function, especially if the brain and eyestalk clocks represent independent
oscillators.
PMID- 29655931
TI - Successful anesthetic management of limb artery thrombectomy by posterior QL
block in conjunction with FNB.
PMID- 29655932
TI - Outcome Measurement in the Treatment of Spasmodic Dysphonia: A Systematic Review
of the Literature.
AB - PURPOSE: The aim of this review was to systematically identify all available
studies reporting outcomes measures to assess treatment outcomes for people with
spasmodic dysphonia (SD). METHODS: Full-text journal articles were identified
through searches of PubMed, Embase, CINAHL, and Cochrane databases and hand
searching of journals. RESULTS: A total of 4,714 articles were retrieved from
searching databases; 1,165 were duplicates. Titles and abstracts of 3,549 were
screened, with 171 being selected for full-text review. During full-text review,
101 articles were deemed suitable for inclusion. An additional 24 articles were
identified as suitable for inclusion through a hand search of reference lists.
Data were extracted from 125 studies. A total of 220 outcome measures were
identified. Considered in reference to the World Health Organization
International Classification of Functioning, Disability and Health (ICF), the
majority of outcomes were measured at a Body Function level (n = 212, 96%).
Outcomes that explored communication and participation in everyday life and
attitudes toward communication (ie, activity and participation domains) were
infrequent (n = 8; 4%). Quality of life, a construct not measured within the ICF,
was also captured by four outcome measures. No instruments evaluating
communication partners' perspectives or burden/disability were identified.
CONCLUSIONS: The outcome measures used in SD treatment studies are many and
varied. The outcome measures identified predominately measure constructs within
the Body Functions component of the ICF. In order to facilitate data synthesis
across trials, the development of a core outcome set is recommended.
PMID- 29655933
TI - [Document management systems to support quality management systems at university
hospitals - an interview-based study].
AB - In order to save and control the processes and quality of medical services, a
suitable steering system of all relevant documents is essential from the point of
view of clinical quality management. Systems supporting an automated steering
system of documents are called document management systems (DMS), and they also
enter the healthcare sector. The use of DMS in the German healthcare sector has
hardly been investigated so far. To close this knowledge gap, interviews were
carried out with German university hospitals over a six-month period and
subjected to a qualitative content analysis according to Mayring. In total, 25
university hospitals agreed to participate in this study, 19 of which have been
working with a digital DMS for about six years on average. There was a great
variety among the IT systems used. Document management and usability of the DMS
as well as its integration into existing IT structures were key decision-making
criteria for the selection of a digital DMS. In general, the long-term usability
of the DMS is supported by regular evaluation of one's own requirements for the
system, administration and training programs. In addition, DMS have a positive
effect on patient safety and the quality of medical care.
PMID- 29655934
TI - Age difference between heterosexual partners in Britain: Implications for the
spread of Chlamydia trachomatis.
AB - Heterosexual partners often differ in age. Integrating realistic patterns of
sexual mixing by age into dynamic transmission models has been challenging. The
effects of these patterns on the transmission of sexually transmitted infections
(STI) including Chlamydia trachomatis (chlamydia), the most common bacterial STI
are not well understood. We describe age mixing between new heterosexual partners
using age- and sex-specific data about sexual behavior reported by people aged 16
63 years in the 2000 and 2010 British National Surveys of Sexual Attitudes and
Lifestyles. We incorporate mixing patterns into a compartmental transmission
model fitted to age- and sex-specific, chlamydia positivity from the same
surveys, to investigate C. trachomatis transmission. We show that distributions
of ages of new sex partners reported by women and by men in Britain are not
consistent with each other. After balancing these distributions, new heterosexual
partnerships tend to involve men who are older than women (median age difference
2, IQR -1, 5 years). We identified the most likely age combinations of
heterosexual partners where incident C. trachomatis infections are generated. The
model results show that in >50% of chlamydia transmitting partnerships, at least
one partner is >=25 years old. This study illustrates how sexual behavior data
can be used to reconstruct detailed sexual mixing patterns by age, and how these
patterns can be integrated into dynamic transmission models. The proposed
framework can be extended to study the effects of age-dependent transmission on
incidence in any STI.
PMID- 29655935
TI - Establishing the diagnosis of chronic colonization with Pseudomonas aeruginosa of
cystic fibrosis patients: Comparison of the European consensus criteria with
genotyping of P. aeruginosa isolates.
AB - : After antibiotic eradication treatment for a first ever Pseudomonas aeruginosa
isolation, the European consensus criteria (ECC) are widely used to assess
colonization status with P. aeruginosa in CF-patients. We evaluated to what
extent genotyping (GT) of subsequent P. aeruginosa isolates could predict/assess
chronic colonization (CC), in comparison with the ECC. METHODS: Over a 14-year
period, sputa were cultured from 80 CF-patients (age range: 2-51 years), from a
first ever isolation of P. aeruginosa onwards. Patients with a positive culture
for P. aeruginosa received antibiotic eradication treatment. For the 40 patients
for whom three or more P. aeruginosa isolates were available, these isolates were
genotyped. RESULTS: According to the ECC, 27 out of the 40 patients (67.5%)
became CC during the study period (ECC-positive patients). Genotyping confirmed
persistence of the same genotype for 25 of these ECC-positive patients.
Genotyping indicated persistence of the same genotype for at least two subsequent
isolates for 5 out of 13 ECC-negative patients. Culture-positivity
characteristics of the 27 ECC-positive patients corresponded well to those of the
30 GT-positive patients, with an overall higher number of positive cultures as
well as a shorter interval in between first and second isolate compared to ECC
negative and GT-negative patients. Genotyping indicated persistence of the same
genotype on average 9.3 months earlier than CC according to the ECC (P < 0.01).
CONCLUSIONS: Genotyping of P. aeruginosa isolates confirmed CC for 25 out of 27
ECC-positive patients (92.6% specificity) and predicted CC 9.3 months earlier
than the ECC.
PMID- 29655937
TI - The left inferior frontal gyrus: A neural crossroads between abstract and
concrete knowledge.
AB - Evidence from both neuropsychology and neuroimaging suggests that different types
of information are necessary for representing and processing concrete and
abstract word meanings. Both abstract and concrete concepts, however, conjointly
rely on perceptual, verbal and contextual knowledge, with abstract concepts
characterized by low values of imageability (IMG) (low sensory-motor grounding)
and low context availability (CA) (more difficult to contextualize). Imaging
studies supporting differences between abstract and concrete concepts show a
greater recruitment of the left inferior frontal gyrus (LIFG) for abstract
concepts, which has been attributed either to the representation of abstract
specific semantic knowledge or to the request for more executive control than in
the case of concrete concepts. We conducted an fMRI study on 27 participants,
using a lexical decision task involving both abstract and concrete words, whose
IMG and CA values were explicitly modelled in separate parametric analyses. The
LIFG was significantly more activated for abstract than for concrete words, and a
conjunction analysis showed a common activation for words with low IMG or low CA
only in the LIFG, in the same area reported for abstract words. A regional
template map of brain activations was then traced for words with low IMG or low
CA, and BOLD regional time-series were extracted and correlated with the specific
LIFG neural activity elicited for abstract words. The regions associated to low
IMG, which were functionally correlated with LIFG, were mainly in the left
hemisphere, while those associated with low CA were in the right hemisphere.
Finally, in order to reveal which LIFG-related network increased its connectivity
with decreases of IMG or CA, we conducted generalized psychophysiological
interaction analyses. The connectivity strength values extracted from each region
connected with the LIFG were correlated with specific LIFG neural activity for
abstract words, and a regression analysis was conducted to highlight which areas
recruited by low IMG or low CA predicted the greater activation of the IFG for
abstract concepts. Only the left middle temporal gyrus/angular gyrus, known to be
involved in semantic processing, was a significant predictor of LIFG activity
differentiating abstract from concrete words. The results show that the abstract
conceptual processing requires the interplay of multiple brain regions, necessary
for both the intrinsic and extrinsic properties of abstract knowledge. The LIFG
can be thus identified as the neural crossroads between different types of
information equally necessary for representing processing and differentiating
abstract concepts from concrete ones.
PMID- 29655936
TI - Dynamic causal modelling on infant fNIRS data: A validation study on a
simultaneously recorded fNIRS-fMRI dataset.
AB - Tracking the connectivity of the developing brain from infancy through childhood
is an area of increasing research interest, and fNIRS provides an ideal method
for studying the infant brain as it is compact, safe and robust to motion.
However, data analysis methods for fNIRS are still underdeveloped compared to
those available for fMRI. Dynamic causal modelling (DCM) is an advanced
connectivity technique developed for fMRI data, that aims to estimate the
coupling between brain regions and how this might be modulated by changes in
experimental conditions. DCM has recently been applied to adult fNIRS, but not to
infants. The present paper provides a proof-of-principle for the application of
this method to infant fNIRS data and a demonstration of the robustness of this
method using a simultaneously recorded fMRI-fNIRS single case study, thereby
allowing the use of this technique in future infant studies. fMRI and fNIRS were
simultaneously recorded from a 6-month-old sleeping infant, who was presented
with auditory stimuli in a block design. Both fMRI and fNIRS data were
preprocessed using SPM, and analysed using a general linear model approach. The
main challenges that adapting DCM for fNIRS infant data posed included: (i) the
import of the structural image of the participant for spatial pre-processing,
(ii) the spatial registration of the optodes on the structural image of the
infant, (iii) calculation of an accurate 3-layer segmentation of the structural
image, (iv) creation of a high-density mesh as well as (v) the estimation of the
NIRS optical sensitivity functions. To assess our results, we compared the values
obtained for variational Free Energy (F), Bayesian Model Selection (BMS) and
Bayesian Model Average (BMA) with the same set of possible models applied to both
the fMRI and fNIRS datasets. We found high correspondence in F, BMS, and BMA
between fMRI and fNIRS data, therefore showing for the first time high
reliability of DCM applied to infant fNIRS data. This work opens new avenues for
future research on effective connectivity in infancy by contributing a data
analysis pipeline and guidance for applying DCM to infant fNIRS data.
PMID- 29655939
TI - Improving the signal detection accuracy of functional Magnetic Resonance Imaging.
AB - A major drawback of functional Magnetic Resonance Imaging (fMRI) concerns the
lack of detection accuracy of the measured signal. Although this limitation stems
in part from the neuro-vascular nature of the fMRI signal, it also reflects
particular methodological decisions in the fMRI data analysis pathway. Here we
show that the signal detection accuracy of fMRI is affected by the specific way
in which whole-brain volumes are created from individually acquired brain slices,
and by the method of statistically extracting signals from the sampled data. To
address these limitations, we propose a new framework for fMRI data analysis. The
new framework creates whole-brain volumes from individual brain slices that are
all acquired at the same point in time relative to a presented stimulus. These
whole-brain volumes contain minimal temporal distortions, and are available at a
high temporal resolution. In addition, statistical signal extraction occurred on
the basis of a non-standard time point-by-time point approach. We evaluated the
detection accuracy of the extracted signal in the standard and new framework with
simulated and real-world fMRI data. The new slice-based data-analytic framework
yields greatly improved signal detection accuracy of fMRI signals.
PMID- 29655940
TI - Audio-visual speech processing in age-related hearing loss: Stronger integration
and increased frontal lobe recruitment.
AB - Hearing loss is associated with difficulties in understanding speech, especially
under adverse listening conditions. In these situations, seeing the speaker
improves speech intelligibility in hearing-impaired participants. On the neuronal
level, previous research has shown cross-modal plastic reorganization in the
auditory cortex following hearing loss leading to altered processing of auditory,
visual and audio-visual information. However, how reduced auditory input effects
audio-visual speech perception in hearing-impaired subjects is largely unknown.
We here investigated the impact of mild to moderate age-related hearing loss on
processing audio-visual speech using functional magnetic resonance imaging.
Normal-hearing and hearing-impaired participants performed two audio-visual
speech integration tasks: a sentence detection task inside the scanner and the
McGurk illusion outside the scanner. Both tasks consisted of congruent and
incongruent audio-visual conditions, as well as auditory-only and visual-only
conditions. We found a significantly stronger McGurk illusion in the hearing
impaired participants, which indicates stronger audio-visual integration.
Neurally, hearing loss was associated with an increased recruitment of frontal
brain areas when processing incongruent audio-visual, auditory and also visual
speech stimuli, which may reflect the increased effort to perform the task.
Hearing loss modulated both the audio-visual integration strength measured with
the McGurk illusion and brain activation in frontal areas in the sentence task,
showing stronger integration and higher brain activation with increasing hearing
loss. Incongruent compared to congruent audio-visual speech revealed an opposite
brain activation pattern in left ventral postcentral gyrus in both groups, with
higher activation in hearing-impaired participants in the incongruent condition.
Our results indicate that already mild to moderate hearing loss impacts audio
visual speech processing accompanied by changes in brain activation particularly
involving frontal areas. These changes are modulated by the extent of hearing
loss.
PMID- 29655941
TI - Abuse and disrespect in childbirth assistance in Italy: A community-based survey.
PMID- 29655938
TI - Delineation of early brain development from fetuses to infants with diffusion MRI
and beyond.
AB - Dynamic macrostructural and microstructural changes take place from the mid-fetal
stage to 2 years after birth. Delineating structural changes of the brain during
early development provides new insights into the complicated processes of both
typical development and the pathological mechanisms underlying various
psychiatric and neurological disorders including autism, attention deficit
hyperactivity disorder and schizophrenia. Decades of histological studies have
identified strong spatial and functional maturation gradients in human brain gray
and white matter. The recent improvements in magnetic resonance imaging (MRI)
techniques, especially diffusion MRI (dMRI), relaxometry imaging, and
magnetization transfer imaging (MTI) have provided unprecedented opportunities to
non-invasively quantify and map the early developmental changes at whole brain
and regional levels. Here, we review the recent advances in understanding early
brain structural development during the second half of gestation and the first
two postnatal years using modern MR techniques. Specifically, we review studies
that delineate the emergence and microstructural maturation of white matter
tracts, as well as dynamic mapping of inhomogeneous cortical microstructural
organization unique to fetuses and infants. These imaging studies converge into
maturational curves of MRI measurements that are distinctive across different
white matter tracts and cortical regions. Furthermore, contemporary models
offering biophysical interpretations of the dMRI-derived measurements are
illustrated to infer the underlying microstructural changes. Collectively, this
review summarizes findings that contribute to charting spatiotemporally
heterogeneous gray and white matter structural development, offering MRI-based
biomarkers of typical brain development and setting the stage for understanding
aberrant brain development in neurodevelopmental disorders.
PMID- 29655942
TI - PINK1 p.K520RfsX3 mutation identified in a Chinese family with early-onset
Parkinson's disease.
AB - Parkinson's disease (PD) features selective loss of dopaminergic neurons of the
substantia nigra pars compacta accompanied by the accumulation and aggregation of
alpha-synuclein in Lewy bodies. PTEN induced putative kinase 1 gene (PINK1)
mutations are the second most common genetic cause of autosomal recessive early
onset Parkinson's disease (EOPD). A single nucleotide deletion in PINK1 exon 8
(c.1557delG) was identified in a consanguineous Chinese family with EOPD. The
homozygous deletion was co-segregated with disease in the family and resulted in
a frameshift after codon 520 with a premature termination at codon 522
(p.K520RfsX3). These findings have significant implications on genetic counseling
for the family and may be helpful in considering potential pathogenesis-targeted
and disease-modifying strategies which should further improve patient quality of
life.
PMID- 29655943
TI - Effects of a histamine H4 receptor antagonist on cisplatin-induced anorexia in
mice.
AB - Cancer chemotherapy often induces gastrointestinal symptoms such as anorexia,
nausea, and vomiting. Antiemetic agents are effective in inhibiting nausea and
vomiting, but patients still experience anorexia. We previously reported that
chemotherapeutic agent-induced anorexia is associated with an increase of
inflammatory cytokines. Other studies also reported that antagonism of the
histamine H4 receptor is anti-inflammatory. In this study, we investigated the
involvement of the H4 receptor in the development of chemotherapy-induced
anorexia in mice. Cisplatin-induced anorexia occurred within 24 h of its
administration and continued for 3 days. The early phase (day 1), but not the
delayed phase (days 2 and 3), of anorexia was inhibited by the daily injection of
a 5-HT3 receptor antagonist (granisetron). However, a corticosteroid
(dexamethasone) or selective H4 receptor antagonist (JNJ7777120) abolished the
delayed phases of anorexia. Cisplatin significantly increased TNF-alpha mRNA
expression in the hypothalamus and spleen, and the period of expression increase
paralleled the onset period of anorexia. In addition, pretreatment with
JNJ7777120 completely inhibited the increased expression. These results suggest
that TNF-alpha mRNA expression via H4 receptors may contribute to the development
of cisplatin-induced anorexia, and that H4 receptor antagonists are potentially
useful treatments.
PMID- 29655944
TI - Regular aerobic exercise correlates with reduced anxiety and incresed levels of
irisin in brain and white adipose tissue.
AB - We have recently shown that regular voluntary aerobic exercised rats have low
levels of anxiety. Irisin is an exercise-induced myokine that is produced by many
tissues; and the role it plays in anxiolytic behavior is unknown. In this study
we aimed to investigate the correlation between anxiety like behavior and irisin
levels following regular voluntary aerobic exercise in male mice. We've have
shown that anxiety levels decreased in exercised mice, while irisin levels
increased in the brain, brown adipose tissue, white adipose tissue, kidney, and
pancreas tissues. No significant difference of irisin levels in the liver, muscle
and serum were detected in the exercise group, when compared to controls. In
addition, there was a strong positive correlation between brain irisin levels and
activity in middle area of open field test and in the open arms of elevated plus
maze test; both which are indicators of low anxiety levels. Our results suggest
that decrease in anxiolytic behavior due to regular voluntary exercise may be
associated with locally produced brain irisin. White adipose tissue irisin levels
also correlated very strongly with low anxiety. However, no serum irisin increase
was detected, ruling out the possibility of increased peripheral irisin levels
affecting the brain via the bloodstream. Further research is necessary to explain
the mechanisms of which peripheral and central irisin effects anxiety and the
brain region affected.
PMID- 29655945
TI - Long term Westernized diet leads to region-specific changes in brain signaling
mechanisms.
AB - Western diets, high in fat and energy, are associated with cognitive deficits in
humans and animal models, but the underlying mechanisms are not fully elucidated.
This includes whether diet-induced dyslipidemia per se negatively impacts brain
signaling. Here we investigate the effects of dyslipidemia induced by two high
fat diets with or without high sucrose on hippocampal and frontal cortical
oxidative stress, brain-derived neurotrophic factor (BDNF) and down-stream
markers of synaptic plasticity, as well as alterations in monoaminergic
neurotransmitter levels. A high fat diet was associated with decreased
antioxidant status (vitamin C), increased serotonin in the frontal cortex, and
increased ratio of phosphorylated Ca2+/calmodulin-dependent protein kinase II in
the hippocampus, while a high fat and sucrose diet decreased levels of vitamin C
in the frontal cortex and BDNF in the hippocampus. Markers of dyslipidemia
correlated significantly with cerebral vitamin C levels, monoaminergic
neurotransmitters and metabolites in the frontal cortex, but not in the
hippocampus. Thus, a high fat diet caused regional alterations in antioxidant
levels, neurochemistry and molecular markers in the non-obese dyslipidemic guinea
pig.
PMID- 29655946
TI - Big data handling mechanisms in the healthcare applications: A comprehensive and
systematic literature review.
AB - Healthcare provides many services such as diagnosing, treatment, prevention of
diseases, illnesses, injuries, and other physical and mental disorders. Large
scale distributed data processing applications in healthcare as a basic concept
operates on large amounts of data. Therefore, big data application functions are
the main part of healthcare operations, but there was not any comprehensive and
systematic survey about studying and evaluating the important techniques in this
field. Therefore, this paper aims at providing the comprehensive, detailed, and
systematic study of the state-of-the-art mechanisms in the big data related to
healthcare applications in five categories, including machine learning, cloud
based, heuristic-based, agent-based, and hybrid mechanisms. Also, this paper
displayed a systematic literature review (SLR) of the big data applications in
the healthcare literature up to the end of 2016. Initially, 205 papers were
identified, but a paper selection process reduced the number of papers to 29
important studies.
PMID- 29655947
TI - Co-occurrence of medical conditions: Exposing patterns through probabilistic
topic modeling of snomed codes.
AB - Patients associated with multiple co-occurring health conditions often face
aggravated complications and less favorable outcomes. Co-occurring conditions are
especially prevalent among individuals suffering from kidney disease, an
increasingly widespread condition affecting 13% of the general population in the
US. This study aims to identify and characterize patterns of co-occurring medical
conditions in patients employing a probabilistic framework. Specifically, we
apply topic modeling in a non-traditional way to find associations across SNOMED
CT codes assigned and recorded in the EHRs of >13,000 patients diagnosed with
kidney disease. Unlike most prior work on topic modeling, we apply the method to
codes rather than to natural language. Moreover, we quantitatively evaluate the
topics, assessing their tightness and distinctiveness, and also assess the
medical validity of our results. Our experiments show that each topic is
succinctly characterized by a few highly probable and unique disease codes,
indicating that the topics are tight. Furthermore, inter-topic distance between
each pair of topics is typically high, illustrating distinctiveness. Last, most
coded conditions grouped together within a topic, are indeed reported to co-occur
in the medical literature. Notably, our results uncover a few indirect
associations among conditions that have hitherto not been reported as correlated
in the medical literature.
PMID- 29655948
TI - Outcome of catheter ablation for ventricular tachycardia in patients with
ischemic cardiomyopathy: A systematic review and meta-analysis of randomized
clinical trials.
AB - BACKGROUND: Current ventricular tachycardia (VT) management in patients with
ischemic cardiomyopathy (ICM) includes optimal medical therapy, ICDs device
therapy, and antiarrhythmic medications. Data about outcomes of catheter ablation
(CA) in these patients is scarce. We aimed to perform a meta-analysis of RCTs to
compare outcomes of CA vs conventional management of VT in ICM patients who had
ICD. METHODS: A systematic review and meta-analysis of published RCTs between
January 1970 and December 2016 were performed. Random effects DerSimonian-Laird
risk ratios (RR) were calculated. Sensitivity analyses using fixed-effects
summary odds ratios (OR) were performed using Peto model. Outcomes of interest
were: all-cause mortality (ACM), cardiovascular death (CVD), CV disease-related
hospitalization, VT storms, and ICD shocks. RESULTS: 4 RCTs were identified (521
patients (261 had CA), mean age: 66.4 +/- 1.7 years, 91.5% male, mean follow-up:
19 months). No difference observed between VT ablation and conventional
management regarding ACM (RR 0.94, 95% CI, 0.66-1.32, p = 0.70) or CVD (RR 0.82,
95% CI, 0.52-1.29, p = 0.39). VT ablation was associated with less CV disease
related hospitalization (RR 0.72, 95% CI, 0.54-0.96, p = 0.02), VT storms (RR
0.71, 95% CI, 0.52-0.97, p = 0.03), and trend towards reducing ICD shocks (RR
0.59, 95% CI, 0.34-1.05, p = 0.07). In sensitivity analysis using fixed-effects
OR, CA was associated with significant reduction in ICD shocks. CONCLUSION: In
patients with ICM, VT ablation reduced CV disease-related hospitalization, VT
storms, and ICD shocks when compared to conventional management with no mortality
benefit over a relatively short mean follow-up period.
PMID- 29655949
TI - Incidence and predictors of 6 months mortality after an acute heart failure event
in rural Uganda: The Mbarara Heart Failure Registry (MAHFER).
AB - OBJECTIVE: We sought to estimate the incidence and predictors of all-cause
mortality 6 months after heart failure hospitalization in Uganda. METHODS:
Mbarara Heart Failure Registry is a cohort of patients hospitalized with a
clinical diagnosis of heart failure at Mbarara Regional Referral Hospital,
Uganda. We measured serum electrolytes, cardiac markers, and echocardiograms. All
participants were followed until death or end of 6 months. We used Fine and Gray
models to estimate the incidence and predictors all-cause mortality. RESULTS: A
total of 215 participants were enrolled, 141 (66%) were women, and mean age 53
(standard deviation 22) years. Nineteen (9%) had diabetes, 40 (19%) had HIV, and
119 (55%) had hypertension. The overall incidence of all-cause mortality was 3.58
(95% CI 2.92, 4.38) per 1000 person-days. Men had higher incidence of death
compared to women (4.02 vs 3.37 per 1000 person-days). The incidence of all-cause
mortality during hospitalization was almost twice that of in the community (27.5
vs 14.77 per 1000 person-days). In adjusted analysis, increasing age, NYHA class
IV, decreasing renal function, smoking, each unit increase in serum levels of
Potassium, BNP, and Creatine kinase-MB predicted increased incidence of 6 months
all-cause death whereas taking beta-blockers and having an index admission on a
weekend compared to a week day predicted survival. CONCLUSIONS AND
INTERPRETATION: There is a high incidence of all-cause mortality occurring in
hospital among patients hospitalized with heart failure in rural Uganda. Heart
failure directed therapies should be instituted to curb heart failure-related
mortality.
PMID- 29655950
TI - Strengths and weaknesses of echocardiography for the diagnosis of pulmonary
hypertension.
AB - Doppler echocardiography is extensively used in clinical practice for the
screening and detection of pulmonary hypertension (PH). It allows for accurate
estimates of pulmonary artery pressures, but with moderate precision, which
explains why it is more appropriate for population studies than for definitive
diagnosis of PH in individual patients. Moreover, echocardiography allows one to
distinguish different patterns of right ventricular remodelling in various forms
of PH and enables clinically satisfactory differentiation between pre- and post
capillary PH. This article will review the methods for evaluating PH by
echocardiography, while also providing an insight into specific strengths and
weaknesses.
PMID- 29655951
TI - ACEF score adapted to ST-elevation myocardial infarction patients: The ACEF-STEMI
score.
AB - BACKGROUND: The age, creatinine and ejection fraction (ACEF) score has originally
been developed for risk stratification of patients undergoing elective cardiac
surgery. In patients with stable coronary artery disease treated by percutaneous
coronary intervention (PCI), the prognostic accuracy of ACEF could be further
improved by modifying the original scoring system (called "modified ACEF" or
"ACEF-MDRD"). We aimed to specifically adapt the ACEF score for risk assessment
of ST-elevation myocardial infarction (STEMI) patients. METHODS: In this
observational study, 390 STEMI patients undergoing primary PCI were included.
Clinical endpoint was the occurrence of major adverse cardiovascular events
(MACE) comprising all-cause mortality, non-fatal re-infarction, stroke and new
congestive heart failure. RESULTS: Original ACEF (area under the curve (AUC):0.63
[95%CI:0.53-0.73]; p = 0.01) and ACEF-MDRD score (AUC:0.62 [95%CI:0.53-0.72]; p =
0.01) significantly but weakly predicted MACE (n = 41, 11%). The addition of
creatinine > 2 mg/dl (as suggested in original ACEF, p = 0.32) or eGFR steps as
proposed in ACEF-MDRD (p = 0.17) to age/EF ratio were not associated with net
reclassification improvements (NRI), but DeltaeGRF (>10 ml/min/1.73 m2 decrease
within three days after PCI) led to an NRI of 0.29 (95%CI:0.14-0.45; p < 0.001).
Replacement of cross-sectional renal assessment by DeltaeGRF and addition of 3
clinical parameters (diabetes, anterior infarct location and C-reactive protein),
forming the new ACEF-STEMI score, led to a significant improvement in MACE
prediction (AUC:0.75 [95%CI:0.66-0.84]) as compared to original ACEF or ACEF-MDRD
(both p = 0.03). CONCLUSIONS: In STEMI patients undergoing primary PCI, the novel
ACEF-STEMI score provided strong prognostic value and superior discriminative
ability as compared to the previously described original ACEF or ACEF-MDRD
scores.
PMID- 29655952
TI - Population-level incidence and outcomes of myocardial infarction with non
obstructive coronary arteries (MINOCA): Insights from the Alberta contemporary
acute coronary syndrome patients invasive treatment strategies (COAPT) study.
AB - BACKGROUND: Myocardial infarction with non-obstructive coronary arteries (MINOCA)
is a known clinical conundrum with limited investigation. Using a large
population-based cohort, we examined the incidence, demographic profile, use of
evidence-based medicines (EBM) and clinical outcomes of MINOCA patients. METHODS:
Patients hospitalized with a primary diagnosis of MI who underwent coronary
angiography between 01/04/2002 and 31/03/2014 in Alberta, Canada, were included
in the study. Comparisons were made between patients with MINOCA versus
obstructive coronary disease (OCD). The primary composite endpoint was 1-year all
cause death or re-MI. RESULTS: Of 35,928 patients hospitalized with MI, 2092
(5.8%) had MINOCA. In-hospital mortality rate was 0.8% among MINOCA, and 2.7%
among patients with OCD (p < 0.0001). At 6 months, cardiovascular EBM rates were
significantly lower among MINOCA patients compared to OCD patients. One-year
death/re-MI rate was 5.3% in MINOCA and 8.9% in patients with OCD (adjusted
hazard ratio (AHR) 0.75, 95% confidence interval (CI) 0.62-0.92, p < 0.0001).
Five-year mortality rates were 10.9% in MINOCA and 16.0% in patients with OCD.
Upon further stratification, 770 (36.8%) of MINOCA patients had no angiographic
evidence of CAD (i.e. normal angiograms). EBM rates were even lower among these
patients. One-year death/re-MI rate among these patients was 3.9% as compared to
6.1% among MINOCA patients with stenosis <50% (AHR 0.68, 95% CI 0.44-1.07, p =
0.028). CONCLUSIONS: The population-level incidence of MINOCA is approximately
5%. Despite their apparently benign anatomic findings, efforts must be made to
improve secondary prevention strategies to reduce the burden of long-term adverse
outcomes in this population.
PMID- 29655953
TI - Risk factors predisposing to acute coronary syndromes in young women <=45 years
of age.
AB - BACKGROUND: Acute coronary syndromes (ACS) are rare in young women. The purpose
of this study was to characterize risk factors (RF) predisposing to ACS in young
women and evaluate possible age-related differences. METHODS AND RESULTS: We
studied 1941 young women with ACS aged <=45 years (<=45ACS) from the PL-ACS
registry and compared them with two control groups: 4275 women aged 63-64 years
with ACS (63-64ACS) from the PL-ACS registry and 1170 young healthy women aged
<=45 years (<=45H) without confirmed coronary artery disease (CAD), from two
national, representative, cross-sectional population health surveys, NATPOL 2011
and WOBASZ. The prevalence of major RF in these three groups was as follows,
respectively: (<=45 ACS vs. 63-64ACS vs. <=45H, for all P < 0.0001): hypertension
49.8% vs. 78.1% vs. 16.8%; hypercholesterolemia 36.1% vs. 44.3% vs. 12.9%;
obesity 22.3% vs. 28.1% vs. 15.6%; diabetes 10.6% vs. 29.9% vs. 1.8% and smoking
48.7% vs. 22.2% vs. 39%. Healthy women had the lowest number of major RF (1.7 +/-
1.2 vs. 2.0 +/- 1.1 vs. 1.1 +/- 1.0). No RF was found in 16.7% vs. 8.2% vs. 34.4%
women, respectively. Independent predictors of ACS in the <=45ACS group included
diabetes [odds ratio (OR) 6.66, 95% confidence interval (CI) 3.47-12.74]*,
hypertension (OR 4.30, 95% CI 3.42-5.38)*, hypercholesterolemia (OR 3.45; 95% CI
2.60-4.29)*, and smoking (OR 1.63, 95% CI 1.34-1.98)*, *(P < 0.0001 for all).
CONCLUSIONS: The prevalence of risk factors for acute coronary syndromes in young
women with ACS is different to those in healthy women and to those in older
women. The prevalence of smoking was higher. The strongest predictor of ACS in
women <=45 years of age was diabetes, with a 6-fold increase in risk. There is
still need to improve the cardio-vascular primary prevention and health promotion
in the population of young women.
PMID- 29655954
TI - Corrigendum to "A systematic review of clozapine-induced myocarditis" [Int. J.
Cardiol. 259(2018) 122-129].
PMID- 29655955
TI - Employment and paid work among participants in a randomized controlled trial
comparing diacetylmorphine and hydromorphone.
AB - BACKGROUND: Employment is one of the less studied but a significant outcome of
medication-assisted treatment. Thus, we aimed to explore employment outcomes of
medication-assisted treatment with hydromorphone (HDM) or diacetylmorphine (DAM).
The secondary aim was to estimate characteristics of this population as well as
treatment-related factors associated with these outcomes. METHODS: This was a
secondary analysis of a randomized, double blind controlled trial. A total of 102
and 100 participants were randomized to receive injectable DAM or HDM for 6
months respectively. In stage 2, 144 participants were randomized again to
receive either oral or injectable forms of the medication they received for
another 6 months. Participants were interviewed at 5 timepoints: before and 3, 6,
9 and 12 months after treatment assignment. Generalized estimating equations
(GEE) with a logit link was fitted to determine factors related to paid work in
the past 30 days. RESULTS: Mean age of participants was 44.3 (SD = 9.6) and 59
(29.2%) participants were men. At each timepoint, 6-8 (3.6%-4.1%) participants
reported employment in the past 30 days and 40 to 52 (19.7%-26.7%) reported
minimum 1 day of paid work. University or college education [OR = 2.12: 95% CI =
(1.25, 3.62), P = 0.01] was significantly associated with paid work after
adjustment for age, gender, treatment arms, timepoints, days receiving study
treatment, physical health, psychological health and crack cocaine use in the
past 30 days. CONCLUSION: The rate of employment was lower among participants of
this study compared to similar studies on heroin-assisted treatment. Higher
education was associated with increased odds of paid work. A large gap exists
between employment rate and the proportion of participants who reported paid
work. Supported employment and occupational therapy could optimize the employment
outcomes of this population.
PMID- 29655956
TI - Streptococcus agalactiae that caused meningitis in healthy adults in 1998 are
ST283, the same type that caused a food-borne outbreak of invasive sepsis in
2015: an observational molecular epidemiology study.
PMID- 29655957
TI - Ectopic Cushing syndrome: Report of 9 cases.
AB - INTRODUCTION: Ectopic Cushing's syndrome (ECS) is a rare condition caused by ACTH
secretion by extrapituitary tumors. Its low frequency makes it difficult to
acquire experience in its management. The aim of this study was to describe
patients with ECS seen at the endocrinology department of a tertiary hospital
over 15 years. METHODS: This was a retrospective study of the clinical,
biochemical and radiographic data, treatment, and course of patients with ECS
seen from 2000 to 2015. RESULTS: Nine patients (6 of them female) with a mean age
of 47 years were included in the study. The clinical syndrome developed in less
than 3 months in all cases but one, and most patients also had edema,
hyperpigmentation and/or hypokalemia. Mean urinary free cortisol and ACTH levels
were 2,840MUg/24h and 204pg/mL respectively. The ectopic origin was confirmed by
a combination of dynamic non-invasive tests and radiographic studies in most
cases. The tumor responsible could be identified in 8 cases, and 7 patients had
metastatic dissemination. Primary treatment was surgery in one patient, surgery
combined with systemic therapy in 3, and chemotherapy in the other 3 patients.
Bilateral adrenalectomy was required in 4 patients to control hypercortisolism.
After a mean follow-up of 40 months, 3 patients died, 5 were still alive, and one
had been lost to follow-up. CONCLUSIONS: Our study confirms that ECS covers a
wide spectrum of tumors of different aggressiveness and nature. The ectopic
origin of Cushing's syndrome can usually, be suspected and confirmed in most
cases without the need for invasive tests. Control of both hypercortisolism and
the tumor requires multiple treatment modalities, and multidisciplinary
management is recommended.
PMID- 29655958
TI - A second-derivate fitting algorithm for the quantification of free hemoglobin in
human plasma.
AB - BACKGROUND: Assessment of hemolysis in vivo is becoming increasingly relevant in
critical care. Current methods (Harboe, 1959) for quantifying the free hemoglobin
(fHb) content produce unsatisfactory results in case of hyperbilirubinemia, a
frequent condition in patients at risk for intravascular hemolysis. METHODS: A
novel evaluation method based on second-derivative fitting to quantify fHb
content was developed. The method uses spectrophotometric data from 350 to 650 nm
recorded with standard instruments as input. To evaluate the power of the new
method, plasma of patients and non-icteric plasma of healthy volunteers were
spiked with fHb concentrations up to 2000 mg/L and compared to methods described
in the literature by Harboe, Noe and Fairbanks. All measurements were done in
compliance with the bioanalytical method validation protocol from the European
Medicines Agency. RESULTS: Both the second-derivative fitting algorithm as well
as the methods of Harboe, Noe and Fairbanks quantified fHb accurately in non
icteric samples, with inaccuracy and imprecision below 10%. For icteric specimen,
false high results were obtained with the established formulas for fHb
concentrations below 700 mg/L. In contrast, no interference was found with the
second-derivate fitting method for bilirubin concentrations up to 465 MUmol/L.
The lower limits of quantifications for the second-derivative fitting algorithm
were specified in agreement with the EMA guideline with 25 mg/L fHb for both non
icteric and icteric specimens. CONCLUSIONS: A user-friendly, computer-based
algorithm is reported that allows the accurate quantification of fHb
concentrations in the presence of high bilirubin concentrations. The new method
allows for uniform sample preparation with only a single dilution step and can be
readily implemented in any laboratory on standard spectrophotometers using the
provided supplementary Microsoft Excel macro.
PMID- 29655959
TI - The efficient workflow to decrease the manual microscopic examination of urine
sediment using on-screen review of images.
AB - BACKGROUND: The manual microscopic examination (MME) of urine sediment is labor
intensive, time-consuming, and imprecise. Therefore, automated urinalysis systems
based on flow cytometry or digital imaging techniques could replace MME. The
purpose of this study was to evaluate the rate of MME using two automated urine
sediment analyzers, alone and in combination. METHODS: This study was conducted
using the freshly collected urine specimens of 1055 in-patients and 1119 out
patients. All samples were analyzed using UF-1000i (Sysmex Corporation) and Cobas
6500 instrument (Roche Diagnostics International). The rate of MME was evaluated
using two analyzers, both individually and in combination. RESULTS: Using the UF
1000i alone, 34.2% and 16.8%, respectively, of in- and out-patient samples were
analyzed by MME, compared to 15.6% and 3.7%, respectively, using the Cobas 6500.
In combined assay using the UF-1000i followed by the Cobas 6500, 27.9% and 11.3%
in-patient samples required on-screen review and MME, respectively. And the
respective rates were 10.3% and 2.7% of out-patient. Samples using the Cobas 6500
followed by the UF-1000i, 42.3% and 11.3% in-patient needed on-screen review and
MME, respectively. And the respective rates were 18.9% and 2.7% of out-patient
samples. CONCLUSIONS: Use of the Cobas 6500 compared to the UF-1000i resulted in
decreases in the rate of MME from 34.2% to 15.6% for in-patient samples, and from
16.8% to 3.7% for out-patient samples. Use of the Cobas 6500 reduced the rate of
MME, and compared to use of only the Cobas 6500, the combined use resulted in a
reduction in the rate of on-screen review.
PMID- 29655960
TI - Systemic redox biomarkers and their relationship to prognostic risk markers in
autosomal dominant polycystic kidney disease and IgA nephropathy.
AB - BACKGROUND: Oxidative stress is evident from an early stage in chronic kidney
disease (CKD). Therefore, we investigated redox biomarkers in polycystic kidney
disease (ADPKD) and IgA nephropathy (IGAN). METHODS: This is a case-control study
with three groups: ADPKD (n = 54), IGAN (n = 58) and healthy controls (n = 86).
The major plasma aminothiols with their redox species were examined: homocysteine
(Hcy), cysteinglycine (CG), cysteine (Cys) and glutathione (GSH). The redox ratio
was the ratio of reduced free and oxidized aminothiols in plasma. We investigated
malonedialdehyde (MDA) and advanced oxidation protein products (AOPP), and ten
single nucleotide polymorphisms of antioxidant enzymes. RESULTS: Patients had
elevated oxidized free Hcy and Cys with associated low redox ratios - most
pronounced in IGAN. Patients with IGAN had elevated AOPP and possibly MDA.
Oxidized free Hcy and Cys with redox ratios were correlated to AOPP, MDA and
proteinuria. Furthermore, there was an independent relationship to parathyroid
hormone (PTH). IGAN had an elevated frequency of Val16Ala SNP rs4880, which
influence the function of mitochondrial superoxide dismutase 2 (p = 0.03).
CONCLUSIONS: Patients with ADPKD and IGAN have evidence of oxidative stress from
stage 1 to 4 - most pronounced in IGAN. In patients, aminothiol redox biomarkers
were correlated to AOPP, proteinuria and PTH, which are known prognostic markers
in CKD. It may be possible that oxidative stress influences PTH dysregulation in
CKD. The association between IGAN and the redox related variant allele rs4880(C)
might indicate a new susceptibility locus for IGAN, but this needs verification.
PMID- 29655961
TI - Chimeric antigen receptor T cell therapy for non-Hodgkin lymphoma.
AB - Non-Hodgkin Lymphoma (NHL) is the most common hematologic malignancy. More than
20,000 people in United States, more than 37,000 people in Europe and more than
199,000 people worldwide die of NHL every year. Recent advances in
immunotherapeutic approaches for cancer have resulted in development of new
classes of very effective immunotherapeutic approaches including chimeric antigen
receptor T (CAR-T) cell therapy that are designed to bypass cancer immune
evasion. Here, we review recent advances in CAR-T cell therapy for NHL. US food
and drug administration (FDA) recently approved axicabtagene ciloleucel
(Yescarta) a CD19 CAR T cell therapy for treatment of relapsed refractory diffuse
large B cell lymphoma (DLBCL), high grade lymphoma, and primary mediastinal B
cell lymphoma (PMBCL). Approval of Yescarta and rapid development of other CAR T
cell therapies at various stages of development are opening up the door for a new
wave of CAR T cell therapies that will dramatically change the way we treat NHL
and hopefully other malignancies in the near future.
PMID- 29655962
TI - Novel targets and technologies for CAR-T cells in multiple myeloma and acute
myeloid leukemia.
PMID- 29655963
TI - Abortion training in US obstetrics and gynecology residency programs.
AB - BACKGROUND: Nearly 15 years ago, 51% of US obstetrics and gynecology residency
training program directors reported that abortion training was routine, 39%
reported training was optional, and 10% did not have training. The status of
abortion training now is unknown. OBJECTIVE: We sought to determine the current
status of abortion training in obstetrics and gynecology residency programs.
STUDY DESIGN: Through surveying program directors of US obstetrics and gynecology
residency training programs, we conducted a cross-sectional study on the
availability and characteristics of abortion training. Training was defined as
routine if included in residents' schedules with individuals permitted to opt
out, optional as not in the residents' schedules but available for individuals to
arrange, and not available. Findings were compared between types of programs
using bivariate analyses. RESULTS: In all, 190 residency program directors (79%)
responded. A total of 64% reported routine training with dedicated time, 31%
optional, and 5% not available. Routine, scheduled training was correlated with
higher median numbers of uterine evacuation procedures. While the majority
believed their graduates to be competent in first-trimester aspiration (71%),
medication abortion (66%), and induction termination (67%), only 22% thought
graduates were competent in dilation and evacuation. Abortion procedures varied
by clinical indication, with some programs limiting cases to pregnancy
complication, fetal anomaly, or demise. CONCLUSION: Abortion training in
obstetrics and gynecology residency training programs has increased since 2004,
yet many programs graduate residents without sufficient training to provide
abortions for any indication, as well as dilation and evacuation. Professional
training standards and support for family planning training have coincided with
improved training, but there are still barriers to understand and overcome.
PMID- 29655964
TI - Infant outcome after complete uterine rupture.
AB - BACKGROUND: Complete uterine rupture is a rare peripartum complication often
associated with a catastrophic outcome for both mother and child. However, little
has been written based on large data sets about maternal and infant outcome after
complete ruptures. This is partly due to the rarity of the event and the serious
maternal and infant outcome; it is also partly due to the use of international
diagnostic codes that do not differentiate between the less catastrophic partial
rupture and more catastrophic complete uterine rupture. As uterine rupture is
expected to increase due to increased cesarean delivery rates worldwide, it is
important to know more completely about the outcome following complete uterine
rupture. OBJECTIVE: We sought to explore risk factors associated with poor infant
outcome in cases of complete uterine rupture. STUDY DESIGN: This population-based
study used data from the Medical Birth Registry of Norway, the Patient
Administration System, and medical records. We included births with complete
uterine rupture after start of labor in all maternity units in Norway during the
period 1967 through 2008 (n = 244 births), identified among 2,455,797 births.
Uterine ruptures were identified and further studied through a review of medical
records. We estimated the associations between infant outcomes and demographic
and labor risk factors using logistic regression analyses. Odds ratios with 95%
confidence intervals for each risk factor were determined after adjustment for
demographic factors and period of birth. The main outcome measure was infant
outcome: healthy infant, intrapartum/infant deaths, hypoxic ischemic
encephalopathy, and admission to the neonatal intensive care unit. RESULTS: We
identified 109 (44.7%) healthy infants, 56 (23.0%) infants needing neonatal
intensive care unit admission, 64 (26.2%) intrapartum/infant deaths, and 15
(6.1%) infants with hypoxic ischemic encephalopathy. The highest number of
intrapartum/infant deaths occurred in 1967 through 1977 (51.6%) and the fewest in
2000 through 2008 (15.0%). Unscarred uterine ruptures did not significantly
increase intrapartum/infant deaths compared to scarred uterine ruptures.
Placental separation and/or fetal extrusion had the highest odds ratio for
intrapartum/infant deaths (odds ratio, 17.9; 95% confidence interval, 7.5-42.4).
Time-to-delivery interval <20 minutes resulted in fewest intrapartum/infant
deaths (9.9%), although there were 2 deaths at 10-minute interval. Time to
delivery >30 minutes vs <20 minutes increased risk of death (odds ratio, 16.7;
95% confidence interval, 6.4-43.5). CONCLUSION: Intrapartum/infant death after
complete uterine rupture decreased significantly over the decades. Time to
delivery >30 minutes and placental separation and/or fetal extrusion had the
highest association with intrapartum/infant deaths after complete uterine
rupture. Time to delivery <20 minutes limited the incidence of intrapartum/infant
deaths.
PMID- 29655965
TI - Cesarean delivery in the United States 2005 through 2014: a population-based
analysis using the Robson 10-Group Classification System.
AB - BACKGROUND: Cesarean delivery has increased steadily in the United States over
recent decades with significant downstream health consequences. The World Health
Organization has endorsed the Robson 10-Group Classification System as a global
standard to facilitate analysis and comparison of cesarean delivery rates.
OBJECTIVE: Our objective was to apply the Robson 10-Group Classification System
to a nationwide cohort in the United States over a 10-year period. STUDY DESIGN:
This population-based analysis applied the Robson 10-Group Classification System
to all births in the United States from 2005 through 2014, recorded in the 2003
revised birth certificate format. Over the study 10-year period, 27,044,217
deliveries met inclusion criteria. Five parameters (parity including previous
cesarean, gestational age, labor onset, fetal presentation, and plurality),
identifiable on presentation for delivery, were used to classify all women
included into 1 of 10 groups. RESULTS: The overall cesarean rate was 31.6%. Group
3 births (singleton, term, cephalic multiparas in spontaneous labor) were most
common, while group-5 births (those with a previous cesarean) accounted for the
most cesarean deliveries increasing from 27% of all cesareans in 2005 through
2006 to >34% in 2013 through 2014. Breech pregnancies (groups 6 and 7) had
cesarean rates >90%. Primiparous and multiparous women who had a prelabor
cesarean (groups 2b and 4b) accounted for over one quarter of all cesarean
deliveries. CONCLUSION: Women with a previous cesarean delivery represent an
increasing proportion of cesarean deliveries. Use of the Robson criteria allows
standardized comparisons of data and identifies clinical scenarios driving
changes in cesarean rates. Hospitals and health organizations can use the Robson
10-Group Classification System to evaluate quality and processes associated with
cesarean delivery.
PMID- 29655966
TI - Secular trends in the epidemiology of Clostridium difficile infection (CDI) at a
tertiary care hospital in Barcelona, 2006-2015: A prospective observational
study.
AB - OBJECTIVE: Describe secular trends in the epidemiology and outcome of Clostridium
difficile infection (CDI) at a tertiary hospital. METHODS: All consecutive
primary CDI episodes in adults (January 2006-December 2015) were included. CDI
was diagnosed on the presence of diarrhoea and a positive stool test for C.
difficile toxin A and/or B. To define trends, a time-series analysis was
performed using yearly data on demographics, clinical characteristics,
management, antimicrobial treatment, and outcome of CDI. Patients were followed
up for three months after the diagnosis. RESULTS: There were 724 CDI episodes.
Over the period from 2006 to 2015, the incidence rose from 0.18 episodes/1000
admissions to 0.26 episodes (relative rate [RR] 1.43; 95%CI, 1.02-2.00; P =
0.035). Median Charlson comorbidity index increased from 2 (IQR 1-3) to 4 (IQR 2
4) (RR 1.65; 95%CI, 1.12-2.41; P = 0.005). Overall, 80.4% of patients received
proton pump inhibitors (PPIs) prior to CDI, and the percentage of PPI
discontinuations rose from 2.3% to 20.4% (RR 8.80; 95%CI 1.20-64.36; P = 0.006).
Management of non-Clostridium antibiotics also changed: antibiotic withdrawals or
switches increased from 4.2% to 29.2% (RR 7.00; 95%CI 1.68-29.15, P = 0.001).
Regarding CDI treatment, the percentage of patients treated with metronidazole
decreased (88.9% vs 52.6%) (RR 0.59 (0.48-0.73), P < 0.001), whereas the
percentage receiving vancomycin increased (1.9% vs 32.6%) (RR 17.62 (2.47
125.49), P < 0.001). The percentages of cures, deaths, and first recurrences did
not significantly change over the 10-year period. CONCLUSIONS: Changes in CDI
management were associated with a stable prognosis (percentage of cures and first
recurrences), even though affected patients had a greater number of comorbidities
over time.
PMID- 29655967
TI - Visual search for verbal material in patients with obsessive-compulsive disorder.
AB - This study aimed at investigating attentional mechanisms in obsessive-compulsive
disorder (OCD) by analysing how visual search processes are modulated by normal
and obsession-related distracting information in OCD patients and whether these
modulations differ from those observed in healthy people. OCD patients were asked
to search for a target word within distractor words that could be
orthographically similar to the target, semantically related to the target,
semantically related to the most typical obsessions/compulsions observed in OCD
patients, or unrelated to the target. Patients' performance and eye movements
were compared with those of individually matched healthy controls. In controls,
the distractors that were visually similar to the target mostly captured
attention. Conversely, patients' attention was captured equally by all kinds of
distractor words, whatever their similarity with the target, except obsession
related distractors that attracted patients' attention less than the other
distractors. OCD had a major impact on the mostly subliminal mechanisms that
guide attention within the search display, but had much less impact on the
distractor rejection processes that take place when a distractor is fixated.
Hence, visual search in OCD is characterized by abnormal subliminal, but not
supraliminal, processing of obsession-related information and by an impaired
ability to inhibit task-irrelevant inputs.
PMID- 29655968
TI - Mediation of the bidirectional relations between obesity and depression among
women.
AB - Past research established that obesity increases risk for development of
depression, and depression increases risk for development of obesity. The current
study tested physical impairment (difficulty with instrumental activities of
daily living), social dysfunction (low social support and high social strain),
and emotional eating (using food to cope with stress) as mediators of the
bidirectional, longitudinal relations between depression and obesity. A national
sample of mid-life adults in the United States (N = 7108) was assessed at three
time points over 18 years. Depression predicted increases in obesity, and obesity
predicted increases in depression, for women but not for men. Among women, path
analyses revealed that physical impairment, social dysfunction, and emotional
eating mediated development of obesity from depression, and that physical
impairment and emotional eating mediated development of depression from obesity.
These results suggest that prevention or treatment of obesity-linked depression
and depression-linked obesity in women may need to address multiple connections
between these disorders.
PMID- 29655969
TI - Affiliate stigma and depression in caregivers of children with Autism Spectrum
Disorders in China: Effects of self-esteem, shame and family functioning.
AB - The present study aimed to investigate affiliate stigma and depression in
caregivers of children with Autism Spectrum Disorders (ASD) in China and to
examine the predictive effects of self-esteem, shame proneness and family
functioning. Two hundred and sixty-three primary caregivers of children with
autism in Mainland China participated in the survey. The results suggested that
affiliate stigma in caregivers of children with autism was prevalent and severe;
their depressive symptoms were significantly more severe than the national norm
of the similar age group. Low self-esteem, high shame proneness and poor family
adaptability were associated with experience of affiliate stigma and heightened
depressive symptoms. Affiliate stigma partially mediated the links between self
esteem/ shame proneness/family adaptability and depression levels. This study was
the first one to measure affiliate stigma on caregivers of children with ASD in
mainland China using a quantitative method. The results highlight the necessity
and importance of de-stigmatization for the caregivers of children with autism
and suggest that interventions to improve self-esteem, reduce experience of shame
and to enhance family functioning might be effective.
PMID- 29655970
TI - Suicide after hospital contact for psychiatric assessment in Hong Kong: A long
term cohort study.
AB - There are few long-term studies on suicide in psychiatric settings in China. The
objective of this study was to evaluate the long term suicide risk and its
associated factors after the initial psychiatric assessment. Demographic and
clinical data of adult subjects receiving psychiatric assessment between 1996 and
2000 in a district hospital in Hong Kong were retrieved from the hospital
computer system. Data were matched with completed suicides before June 30 2015 as
recorded by the Coroner's Office. From a total of 4078 subjects identified, there
were 152 (3.7%) recorded suicides; one-fifth of suicides occurred within one
year, and half within 5 years. Cox regression analysis revealed that the risk of
suicide after the initial psychiatric assessment was positively associated with
deliberate self-harm (Hazard ratio = 2.1; 95%CI = 1.5-3.0; p < 0.001), and
negatively associated with 'no psychiatric disorder' (Hazard ratio = 0.4; 95%CI =
0.2-0.6; p = 0.001). The overall suicide risk for those diagnosed to have a
psychiatric disorder was 4.4%; 4.5% for men and 4.3% for women. Deliberate self
harm and having a psychiatric disorder at the time of assessment are significant
risk factors of suicide. Appropriate treatment of psychiatric disorders and
comprehensive management of deliberate self-harm are important for suicide
prevention.
PMID- 29655971
TI - Predictive validity and gender differences in a biopsychosocial model of violence
risk assessment in acute psychiatry.
AB - Current violence risk assessment methods seem to have reached an upper limit of
accuracy. More comprehensive biopsychosocial models may improve on existing
methods. Research on gender differences concerning risk factors of violence is
scarce and inconclusive. In this prospective study from an acute psychiatric
ward, all patients admitted from March 2012 to March 2013 were included.
Predictive validity and potential gender differences in a biopsychosocial model
of violence risk assessment consisting of a psychosocial checklist (Violence risk
screening-10, V-RISK-10), a patient's self-report risk scale (SRS), total
cholesterol (TC) and high-density lipoprotein cholesterol (HDL) were examined in
an inpatient (N = 348) and a 3-months follow-up (N = 101) sample. Overall
increases in explained variances and predictive values were small and non
significant compared to V-RISK-10 alone. In the inpatient sample, HDL contributed
significantly to the model for men but not for women. In the follow-up sample,
SRS contributed significantly for the whole sample. Results indicated that the
biopsychosocial model we tested partially improved accuracy of violence risk
assessments in acute psychiatry and that gender differences may exist.
PMID- 29655972
TI - Effectiveness and safety of anti-TNF therapy for inflammatory bowel disease in
liver transplant recipients for primary sclerosing cholangitis: A nationwide case
series.
AB - BACKGROUND: There is a lack of consensus regarding the treatment of inflammatory
bowel disease (IBD) after liver transplantation (LT) forprimary sclerosing
cholangitis (PSC). AIM: To investigate the safety and effectiveness of anti-TNF
therapy in patients with IBD after a LT for PSC. METHODS: We reviewed the medical
files of all of the IBD patients who underwent a LT for PSC and who were treated
with anti-TNF therapy at 23 French liver transplantation centers between 1989 and
2012. RESULTS: Eighteen patients (12 with ulcerative colitis and 6 who had
Crohn's disease) were recruited at 9 LT centers. All of these patients received
infliximab or adalimumab following their LT, and the median duration of their
anti-TNF treatment was 10.4 months. The most frequent concomitant
immunosuppressive treatment comprised a combination of tacrolimus and
corticosteroids. Following anti-TNF therapy induction, a clinical response was
seen in 16/18 patients (89%) and clinical remission in 10 (56%). At the end of
the anti-TNF treatment or at the last follow-up examination (the median follow-up
was 20.9 months), a clinical response was achieved in 12 patients (67%) and
clinical remission in 7 (39%). A significant endoscopic improvement was observed
in 9 out of 14 patients and a complete mucosal healing in 3 out of 14 patients
(21%). Six patients experienced a severe infection. These were due to
cholangitis, cytomegalovirus (CMV) infection, Clostridium difficile,
cryptosporidiosis, or Enterococcus faecalis. Three patients developed colorectal
cancer after LT, and two patients died during the follow-up period. CONCLUSIONS:
Anti-TNF therapy proved to be effective for treating IBD after LT for PSC.
However, as 17% of the patients developed colorectal cancer during the follow-up,
colonoscopic annual surveillance is recommended after LT, as specified in the
current guidelines.
PMID- 29655973
TI - Robust workflow and instrumentation for cryo-focused ion beam milling of samples
for electron cryotomography.
AB - Electron cryotomography is able to visualize macromolecular complexes in their
cellular context, in a frozen-hydrated state, and in three dimensions. The
method, however, is limited to relatively thin samples. Cryo-focused ion beam
(FIB) milling is emerging as a powerful technique for sample thinning prior to
cryotomography imaging. Previous cryo-FIB milling reports utilized custom-built
non-standard equipment. Here we present a workflow and the required commercially
available instrumentation to either implement the method de novo, or as an
upgrade of pre-existing dual beam milling instruments. We introduce two
alternative protocols and the respective sample holders for milling. The "bare
grid holder" allows for milling on plain grids, having the advantage of enabling
relatively shallow milling angles for wedge geometries. The "Autogrid holder" is
designed for milling grids clamped into a mechanical support ring (Autogrid),
resulting in increased stability for lamella geometries. We applied the workflow
to prepare samples and record high-quality tomograms of diverse model organisms,
including infected and uninfected HeLa cells, amoebae, yeast, multicellular
cyanobacteria, Pseudomonas aeruginosa and Escherichia coli cells. The workflow
will contribute to the dissemination of electron cryotomography of cryo-FIB
milled samples in the biological sciences.
PMID- 29655974
TI - tACS-mediated modulation of the auditory steady-state response as seen with MEG.
AB - BACKGROUND: Previous studies have shown that transcranial electrical stimulation
can be successfully applied during simultaneous MEG measurements. In particular,
using beamforming they have established that changes of stimulus induced as well
as evoked activity can be inspected during transcranial alternating current
stimulation (tACS). OBJECTIVE/HYPOTHESIS: We studied tACS-mediated changes of the
auditory steady-state response (ASSR), hypothesizing that-due to the putatively
inhibitory role of alpha oscillations-these evoked responses would be diminished.
METHODS: We compared ASSRs in conditions with and without 12-Hz and 6.5-Hz
sinusoidal 1.5 mA tACS, applied bilaterally over temporal areas. Source-level
activity was estimated using a linearly constrained minimum variance beamformer
and compared across tACS conditions using paired t-tests following a condition
internal normalization procedure. CONCLUSIONS: By separating the electrical and
auditory stimulation to non-overlapping parts of the frequency spectrum, we were
able to compare auditory-evoked steady-state activity across tACS conditions. We
observed a significant decrease in normalized ASSR power in the 12-Hz tACS
condition, illustrating that tACS could induce immediate changes in auditory
evoked activity. This study sets a methodology to further interrogate the causal
roles of oscillatory dynamics in auditory cortices, as well as suggests
perspectives for employing tACS in clinical contexts.
PMID- 29655975
TI - Vestibular and balance function is often impaired in children with profound
unilateral sensorineural hearing loss.
AB - RATIONALE: Children with unilateral deafness could have concurrent vestibular
dysfunction which would be associated with balance deficits and potentially
impair overall development. The prevalence of vestibular and balance deficits
remains to be defined in these children. METHODS: Twenty children with unilateral
deafness underwent comprehensive vestibular and balance evaluation. RESULTS:
Retrospective review revealed that more than half of the cohort demonstrated some
abnormality of the vestibular end organs (otoliths and horizontal canal), with
the prevalence of end organ specific dysfunction ranging from 17 to 48% depending
on organ tested and method used. In most children, impairment occurred only on
the deaf side. Children with unilateral deafness also displayed significantly
poorer balance function than their normal hearing peers. CONCLUSIONS: The
prevalence of vestibular dysfunction in children with unilateral deafness is high
and similar to that of children with bilateral deafness. Vestibular and balance
evaluation should be routine and the functional impact of combined vestibulo
cochlear sensory deficits considered.
PMID- 29655976
TI - DCD consensus and futility in liver transplantation.
PMID- 29655977
TI - Dexmedetomidine or fentanyl? Cardiovascular stability and analgesia during
propofol-ketamine total intravenous anaesthesia in experimental pigs.
AB - OBJECTIVE: To compare cardiovascular function and response to nociception during
total intravenous anaesthesia in pigs with propofol, ketamine and either
dexmedetomidine or fentanyl administered as a continuous infusion. STUDY DESIGN:
Blinded, randomized, balanced, crossover study ANIMALS: Eight immunocastrated
male, mixed breed pigs with a mean +/- standard deviation body weight of 26.4 +/-
1.9 kg for dexmedetomidine and 27.5 +/- 3.8 kg for fentanyl treatment. METHODS:
The animals were anaesthetized twice with either propofol-ketamine
dexmedetomidine (DEX) or fentanyl (FENT). DEX was infused at 2, 4 and 8 MUg kg-1
hour-1 and FENT at 25, 50 and 100 MUg kg-1 hour-1. Each infusion rate was
administered for 80 minutes prior to commencing the next. Heart rate (HR), 3-lead
electrocardiogram, systolic, mean and diastolic arterial blood pressure (SAP,
MAP, DAP) in addition to cardiac output measured by transpulmonary thermodilution
was used to monitor cardiovascular function. Mechanical and electrical
stimulation (nociceptive withdrawal reflex, NWR) was used to elicit nociceptive
responses. Similar anaesthetic depth was determined based on the NWR response.
Cardiovascular parameters were compared statistically at this time. Additionally,
response to nociceptive stimulation and cardiovascular response over time were
compared. RESULTS: DEX-treated pigs had significantly higher HR, SAP, DAP, MAP,
systemic vascular resistance, haemoglobin concentration, content of oxygen in
arterial and venous blood and oxygen delivery index than FENT-treated pigs at
similar anaesthetic depth, whereas stroke volume index was significantly higher
in FENT. Motoric response to mechanical nociceptive stimulation was abolished
prior to any decrease in NWR response in FENT, whereas the two responses
decreased more in unison in DEX. The cardiovascular response to nociception was
less pronounced in DEX than in FENT. CONCLUSIONS AND CLINICAL RELEVANCE: Propofol
combined with ketamine and either fentanyl or dexmedetomidine provides stable
cardiovascular conditions in normovolaemic, healthy pigs. Based on cardiovascular
response and depression of NWR, dexmedetomidine apparently provides superior
analgesia to fentanyl.
PMID- 29655978
TI - Sitagliptin prevents isoproterenol-induced myocardial infarction in rats by
modulating nitric oxide synthase enzymes.
AB - Ischemic heart disease is a common cause of mortality worldwide. Sitagliptin is a
new anti-diabetic drug acting as dipeptidyl peptidase-4 (DPP-4) inhibitor. The
study investigated the ability of sitagliptin to prevent pathological changes of
isoproterenol- (ISO-) induced myocardial injury in rats. The role of nitric oxide
(NO) was also reported. Rats were assorted into six groups (n = 7) and treated
for 12 days. Group 1: normal control, received normal saline. Group 2,
sitagliptin control, received sitagliptin (10 mg/kg, orally). Group 3, ISO group,
received isoproterenol (ISO) (100 mg/kg, i.p.). Group 4, sitagliptin + ISO, co
treated with sitagliptin plus ISO. Group 5, L-NNA + ISO, co-treated with L-NG
nitro arginine (L-NNA) (25 mg/kg, orally) plus ISO. Group 6, sitagliptin + L-NNA
+ ISO, co-treated with sitagliptin plus ISO plus L-NNA. Blood glucose, serum
creatine kinase-MB (CK-MB, and cardiac tissue parameters of oxidative stress
parameters and NO, along with histopathological examination, and
immunohistochemical study of inducible NO synthase (iNOS) expression were done.
The results showed that sitagliptin caused a significant reduction in CK-MB, and
attenuated histopathological damage-induced by ISO. Its effect was associated
with a significant decrease in oxidative stress parameters, NO contents as well
as by a significant decrease in the expression of iNOS in cardiac tissue. The
protective effect of sitagliptin was abrogated by coadministartion of L-NNA, a
selective inhibitor of both endothelial NOS (eNOS) and neuronal NOS (nNOS). In
conclusion, sitagliptin ameliorates ISO-induced myocardial injury via antioxidant
effects and modulation of NOS enzymes.
PMID- 29655979
TI - Discovery of chiral dihydropyridopyrimidinones as potent, selective and orally
bioavailable inhibitors of AKT.
AB - During the course of our research efforts to develop potent and selective AKT
inhibitors, we discovered enatiomerically pure substituted
dihydropyridopyrimidinones (DHP) as potent inhibitors of protein kinase B/AKT
with excellent selectivity against ROCK2. A key challenge in this program was the
poor physicochemical properties of the initial lead compound 5. Integration of
structure-based drug design and physical properties-based design resulted in
replacement of a highly hydrophobic poly fluorinated aryl ring by a simple
trifluoromethyl that led to identification of compound 6 with much improved
physicochemical properties. Subsequent SAR studies led to the synthesis of new
pyran analog 7 with improved cell potency. Further optimization of
pharmacokintetics properties by increasing permeability with appropriate
fluorinated alkyl led to compound 8 as a potent, selective AKT inhibitors that
blocks the phosphorylation of GSK3beta in vivo and had robust, dose and
concentration dependent efficacy in the U87MG tumor xenograft model.
PMID- 29655981
TI - Modular synthesis of new C-aryl-nucleosides and their anti-CML activity.
AB - The C-aryl-ribosyles are of utmost interest for the development of antiviral and
anticancer agents. Even if several synthetic pathways have been disclosed for the
preparation of these nucleosides, a direct, few steps and modular approaches are
still lacking. In line with our previous efforts, we report herein a one step -
eco-friendly beta-ribosylation of aryles and heteroaryles through a direct
Friedel-Craft ribosylation mediated by bismuth triflate, Bi(OTf)3. The resulting
carbohydrates have been functionalized by cross-coupling reactions, leading to a
series of new C-aryl-nucleosides (32 compounds). Among them, we observed that 5d
exerts promising anti-proliferative effects against two human Chronic Myeloid
Leukemia (CML) cell lines, both sensitive (K562-S) or resistant (K562-R) to
imatinib, the "gold standard of care" used in this pathology. Moreover, we
demonstrated that 5d kills CML cells by a non-conventional mechanism of cell
death.
PMID- 29655980
TI - Evaluation of novel TGR5 agonist in combination with Sitagliptin for possible
treatment of type 2 diabetes.
AB - TGR5 is a member of G protein-coupled receptor (GPCR) superfamily, a promising
molecular target for metabolic diseases. Activation of TGR5 promotes secretion of
glucagon-like peptide-1 (GLP-1), which activates insulin secretion. A series of 2
thio-imidazole derivatives have been identified as novel, potent and orally
efficacious TGR5 agonists. Compound 4d, a novel TGR5 agonist, in combination with
Sitagliptin, a DPP-4 inhibitor, has demonstrated an adequate GLP-1 secretion and
glucose lowering effect in animal models, suggesting a potential clinical option
in treatment of type-2 diabetes.
PMID- 29655982
TI - Polyprenylated polycyclic acylphloroglucinol: Angiogenesis inhibitor from
Garcinia multiflora.
AB - A new polyprenylated polycyclic acylphloroglucinol, garcimultiflorone K (1), has
been isolated from the stems of Garcinia multiflora, together with two known
compounds, garcimultiflorone A (2) and garcimultiflorone B (3). The structure of
new compound 1 was determined through spectroscopic methods including 1D and 2D
NMR and MS analyses. The anti-angiogenic and anti-cancer effects of compounds 1-3
were evaluated in human endothelial progenitor cells (EPCs) and cancer cells. Of
these, garcimultiflorone K (1) displayed the most potent anti-angiogenic property
by suppressing cell growth and tube formation of EPCs. Compound 1 also exhibited
growth-inhibitory activities against human hepatocellular carcinoma cell line SK
Hep-1 and hormone refractory prostate cancer cell line PC-3 with GI50 values of
4.3 +/- 1.6 and 6.6 +/- 0.4 MUM, respectively.
PMID- 29655983
TI - Catalytic asymmetric synthesis of alpha-methyl-p-boronophenylalanine.
AB - p-Boronophenylalanine (l-BPA) is applied in clinical settings as a boron carrier
for boron neutron capture therapy (BNCT) to cure malignant melanomas. Structural
modification or derivatization of l-BPA, however, to improve its uptake
efficiency into tumor cells has scarcely been investigated. We successfully
synthesized (S)-2-amino-3-(4-boronophenyl)-2-methylpropanoic acid in
enantioenriched form as a novel candidate molecule for BNCT. Key steps to enhance
the efficiency of this synthesis were enantioselective alkylation of N-protected
alanine tert-butyl ester with a Maruoka catalyst and Miyaura borylation reaction
to install the boron functionality.
PMID- 29655984
TI - Motor affordance at home for infants living in poverty: A feasibility study.
AB - PURPOSE: To determine the feasibility of completing a randomized clinical trial
of motor affordance program at home for infants living in poverty. METHODS:
Fourteen infants living in poverty were randomized assigned to groups:
intervention at 1st month (G-1), and at 2nd month (G-2). They were assessed into
3 visits: Visit 1 (baseline), Visit 2 (after 4 weeks), and Visit 3 (after 8
weeks). The motor affordance program was provided at home by parents/caregivers.
To determine feasibility of the program, descriptive data was used. Effect sizes
and non-parametric analysis were performed for motor and affordances at home.
RESULTS: The motor affordance program was considered feasible and showed
improvement on affordances at home and motor performance only for G-2.
CONCLUSION: Amplifying motor affordance at home may be considered appropriate for
future clinical trials. It may be an efficient/low-cost early intervention
strategy for infants at environmental risk.
PMID- 29655985
TI - PEX13 Enters the RING, Lives Fast, Dies Young.
PMID- 29655986
TI - Targeting Intrinsically Disordered Transcription Factors: Changing the Paradigm.
AB - Increased understanding of intrinsically disordered proteins (IDPs) and protein
regions has revolutionized our view of the relationship between protein structure
and function. Data now support that IDPs can be functional in the absence of a
single, fixed, three-dimensional structure. Due to their dynamic morphology, IDPs
have the ability to display a range of kinetics and affinity depending on what
the system requires, as well as the potential for large-scale association.
Although several studies have shed light on the functional properties of IDPs,
the class of intrinsically disordered transcription factors (TFs) is still poorly
characterized biophysically due to their combination of ordered and disordered
sequences. In addition, TF modulation by small molecules has long been considered
a difficult or even impossible task, limiting functional probe development.
However, with evolving technology, it is becoming possible to characterize TF
structure-function relationships in unprecedented detail and explore avenues not
available or not considered in the past. Here we provide an introduction to the
biophysical properties of intrinsically disordered TFs and we discuss recent
computational and experimental efforts toward understanding the role of
intrinsically disordered TFs in biology and disease. We describe a series of
successful TF targeting strategies that have overcome the perception of the
"undruggability" of TFs, providing new leads on drug development methodologies.
Lastly, we discuss future challenges and opportunities to enhance our
understanding of the structure-function relationship of intrinsically disordered
TFs.
PMID- 29655987
TI - Overcoming safety challenges in CO therapy - Extracorporeal CO delivery under
precise feedback control of systemic carboxyhemoglobin levels.
AB - Carbon monoxide (CO) has demonstrated therapeutic potential in multiple
inflammatory conditions including intensive care applications such as organ
transplantation or sepsis. Approaches to translate these findings into future
therapies, however, have been challenged by multiple hurdles including handling
and toxicity issues associated with systemic CO delivery. Here, we describe a
membrane-controlled Extracorporeal Carbon Monoxide Release System (ECCORS) for
easy implementation into Extracorporeal Membrane Oxygenation (ECMO) setups, which
are being used to treat cardiac and respiratory diseases in various intensive
care applications. Functionalities of the ECCORS were investigated in a pig model
of veno-arterial ECMO. By precisely controlling CO generation and delivery as a
function of systemic carboxyhemoglobin levels, the system allows for an immediate
onset of therapeutic CO-levels while preventing CO-toxicity. Systemic
carboxyhemoglobin levels were profiled in real-time by monitoring exhaled CO
levels as well as by pulse oximetry, enabling self-contained and automatic
feedback control of CO generation within ECCORS. Machine learning based
mathematical modeling was performed to increase the predictive power of this
approach, laying foundation for high precision systemic CO delivery concepts of
tomorrow.
PMID- 29655988
TI - Tissue engineering: Still facing a long way ahead.
AB - Tissue engineering (TE) has provided promising perspectives to overcome the
limited efficiency of the conventional treatment options or organ
transplantation. Considerable advances in stem cell therapies and biomaterials
science and development of delivery systems capable to mimic the production of
growth factors may offer treatment breakthroughs in a variety of diseases.
Meanwhile, proper vascularization, creation of the complex tissues, tissue
quality, functional integration between the graft and host tissues, and the
potential risks of chromosomal instability, mutations, tumorigenesis, or
unexpected events have remained challenging. Furthermore, the promising results
of the in vitro or in vivo studies may not be applicable to the clinical
settings. These issues remind us to avoid the simplistic views or exaggerated
optimism regarding the novel technologies including TE. This review highlights
the advances in TE including its promising potential for clinical applications
and the potential solutions for common pitfalls with a special look at the role
of the computational modeling that may facilitate translation of the basic
research findings into the clinical applications.
PMID- 29655989
TI - In vivo migration of mesenchymal stem cells to burn injury sites and their
therapeutic effects in a living mouse model.
AB - Mesenchymal stem cell (MSC)-based therapy has emerged as a promising therapeutic
strategy for tissue regeneration and repair. In this study, we non-invasively
monitored the tracking of MSCs toward burn injury sites using MSCs expressing
firefly luciferase (Fluc) gene in living mice, and evaluated the effects of the
MSCs at the injury site. Murine MSCs co-expressing Fluc and green fluorescent
protein (GFP) were established using a retroviral system (referred to as
MSC/Fluc). To evaluate the ability of MSC migration toward burn injury sites,
cutaneous burn injury was induced in the dorsal skin of mice. MSC/Fluc was
intravenously administrated into the mice model and bioluminescence imaging (BLI)
was performed to monitor MSC tracking at designated time points. BLI signals of
MSC/Fluc appeared in burn injury lesions at 4 days after the cell injection and
then gradually decreased. Immunoblotting analysis was conducted to determine the
expression of neovascularization-related genes such as TGF-beta1 and VEGF in
burnt skin. The levels of TGF-beta1 and VEGF were higher in the MSC/Fluc-treated
group than in the burn injury group. Our observations suggested that MSCs might
assist burn wound healing and that MSCs expressing Fluc could be a useful tool
for optimizing MSC-based therapeutic strategies for burn wound healing.
PMID- 29655991
TI - The effect of linkers on the self-assembling and anti-tumor efficacy of disulfide
linked doxorubicin drug-drug conjugate nanoparticles.
AB - Drug-drug conjugate nanoparticles (DDC NPs) is a potential method for overcoming
poor solubility and nonspecific action in cancer therapy, which is based on its
high drug loading efficiency and passive tumor-target properties. Our laboratory
has prepared DOX-SS-DOX NPs based on disulfide-linked doxorubicin (DOX) drug-drug
conjugate, which showed well physical stability and similar anti-tumor efficacy
as liposomes. However, how structures of DDCs influence the self-assembling and
anti-tumor efficacy is still seldom clarified and needs further investigation.
Here, we discussed the role of linker types, length and linkage site in the NPs
self-assembling and anti-tumor efficacy. A series of DOX prodrugs were prepared
and all the prodrugs could self-assemble into NPs except DOX-SS-DOX (2),
indicating the linker length played an important role during self-assembling
process. The linkage sites and types of linker exhibited great influence on in
vitro cytotoxicity and in vivo anti-tumor efficacy, particularly, modification on
C-14 hydroxyl was more efficient for DOX release than on amino group. Besides,
disulfide-bond was not cleaved and DOX-SH release did not occur in the metabolism
process. The function of disulfide-bond was to enhance the release of DOX in the
hydrolysis process. These findings is meaningful for effective prodrug NPs design
for therapeutics.
PMID- 29655990
TI - Inorganic kernel - Supported asymmetric hybrid vesicles for targeting delivery of
STAT3-decoy oligonucleotides to overcome anti-HER2 therapeutic resistance of
BT474R.
AB - As a recombinant humanized monoclonal antibody that targets the extracellular
region of HER2 tyrosine kinase receptor, trastuzumab (TRAZ) has demonstrated
comparable clinical efficacy and improved survival in patients with HER2-positive
breast cancer. Nevertheless, the therapeutic potential of TRAZ is often limited
due to its frequent resistance to anti-HER2 therapy. Therefore, we investigate
the reversal effect of STAT3-specific decoy oligonucleotides (STAT3-decoy ODNs)
on TRAZ resistance, which contain the consensus sequence within the targeted gene
promoter of STAT3. Considering the shortcomings of poor cellular permeability and
rapid degradation in vivo limit the further clinical applications of ODNs, we
report here an asymmetric hybrid lipid/polymer vesicles with calcium phosphate as
the solid kernel (CaP@HA). Through hyaluronan-mediated CD44 targeting, the
constructed vesicles can specifically carry STAT3-decoy ODNs into TRAZ-resistant
breast cancer cells and then regulate TRAZ-induced apoptosis. In comparison with
the native ones, ODNs packaged with CaP@HA showed significantly increased serum
stability, cellular transfection, synergistic cytotoxicity and apoptosis in
vitro. The improved TRAZ sensitization is attributed to the blockade of STAT3
signaling as well as the expression of downstream target genes associated with
TRAZ resistance. With the synergistic action of STAT3-decoy ODNs loaded CaP@HA,
TRAZ inhibited the growth of its resistant breast cancer xenograft dramatically
and induced significant tumor cell apoptosis in vivo. These results suggested
that CaP@HA mediated targeted delivery of STAT3-decoy ODNs might be a promising
new strategy to overcome anti-HER2 resistance in breast cancer therapy.
PMID- 29655992
TI - Recurrent spontaneous cervical epidural hematoma in a parturient 11 hours after
vaginal delivery without labor epidural analgesia.
PMID- 29655993
TI - Ultrasound assessment of gastric contents at the end of pregnancy.
PMID- 29655994
TI - Peripartum anaesthetic management of a patient with Brugada syndrome and
myoadenylate deaminase deficiency.
AB - Brugada syndrome is a rare electrophysiological cardiac disease which can result
in serious arrhythmias and sudden cardiac death. Peripartum management is centred
around avoiding arrhythmogenic drugs, including high doses of sodium channel
blocking drugs such as bupivacaine. Myoadenylate deaminase deficiency, also known
as adenosine monophosphate deaminase deficiency, is the commonest cause of
myopathy in Caucasians. There is evidence that myoadenylate deaminase deficiency
can predispose patients to developing malignant hyperthermia when exposed to
specific anaesthetic agents. We present a case of a pregnant patient with both
Brugada syndrome and myoadenylate deaminase deficiency, in which analgesic and
general anaesthetic options for each condition presented potentially conflicting
dilemmas for the delivery of intrapartum care.
PMID- 29655995
TI - Microbial Effects on Immunity in HIV: Virus, Gender or Sexual Preference Induced?
PMID- 29655997
TI - Retraction notice.
PMID- 29655996
TI - A Genomic-clinicopathologic Nomogram for the Preoperative Prediction of Lymph
Node Metastasis in Bladder Cancer.
AB - Preoperative lymph node (LN) status is important for the treatment of bladder
cancer (BCa). Here, we report a genomic-clinicopathologic nomogram for
preoperatively predicting LN metastasis in BCa. In the discovery stage, 325 BCa
patients from TCGA were involved and LN-status-related mRNAs were selected. In
the training stage, multivariate logistic regression analysis was used to
developed a genomic-clinicopathologic nomogram for preoperative LN metastasis
prediction in the training set (SYSMH set, n=178). In the validation stage, we
validated the nomogram using two independent sample sets (SYSUCC set, n=142; RJH
set, n=104) with respect to its discrimination, calibration and clinical
usefulness. As results, we identified five LN-status-related mRNAs, including
ADRA1D, COL10A1, DKK2, HIST2H3D and MMP11. Then, a genomic classifier was
developed to classify patients into high- and low-risk groups in the training
set. Furthermore, a nomogram incorporating the five-mRNA-based classifier, image
based LN status, transurethral resection (TUR) T stage, and TUR lymphovascular
invasion (LVI) was constructed in the training set, which performed well in the
training and validation sets. Decision curve analysis demonstrated the clinical
value of our nomogram. Thus, our genomic-clinicopathologic nomogram shows
favorable discriminatory ability and may aid in clinical decision-making,
especially for cN-patients.
PMID- 29655998
TI - Four possible cases of osteomalacia: The value of a multidisciplinary diagnostic
approach.
AB - Rickets and residual rickets are often encountered in Dutch archeological
skeletal samples. However, no archeological Dutch paleopathological case of adult
osteomalacia has been described in literature to date. This paper describes the
first four archeological Dutch paleopathological cases of osteomalacia and
assesses the value of the various modalities (macroscopic assessment, radiology
and histology) that may be used for diagnosis. The skeletal remains investigated
originate from the Meerenberg psychiatric hospital cemetery in Bloemendaal, the
Netherlands, and date from 1891 - 1936. The remains of 69 adult individuals were
inspected for macroscopic lesions which may be associated with osteomalacia. In
cases suspect for osteomalacia, complimentary radiological and histological
investigations (BSE-SEM and light microscopy) were performed. Macroscopically,
four individuals presented with lesions (highly) suggestive of osteomalacia.
Histological examination (both BSE-SEM and light microscopy) provided valuable
information to come to an eventual diagnosis of osteomalacia in all four cases.
Light microscopy proved to be an feasible alternative for BSE-SEM. The added
value of radiological analyses was limited. The individuals identified were most
likely patients in the psychiatric hospital, and the reason for their
institutionalization and/or the regime in the institution may have played a role
in the development of the osteomalacia observed.
PMID- 29655999
TI - Ovarian hyperstimulation syndrome leading to ventriculoperitoneal shunt
malfunction: Case report.
AB - Ventriculoperitoneal shunt (VPS) insertion is a common neurosurgical procedure
for hydrocephalus. Unfortunately, VPS malfunction is not uncommon, with an
estimated cumulative rate of 32% at 5 years. As flow through the shunt is
influenced by the pressure gradient between the ventricles and the peritoneal
cavity, malfunction may be caused by elevated intra-abdominal pressure. We
present a rare patient with ascites following ovarian hyperstimulation syndrome
(OHSS) leading to shunt malfunction. OHSS is a potentially life-threatening
complication of controlled ovarian stimulation caused by the administration of
exogenous gonadotropins. In this patient clinical and radiological resolution of
shunt dysfunction were achieved following peritoneocentesis. To our knowledge
this is the first described case of OHSS leading to shunt malfunction,
emphasizing the importance of awareness, early recognition and proper management
of abdominal etiologies of VPS malfunction.
PMID- 29656000
TI - Primary central nervous system lymphoma at the cerebellopontine angle mimicking a
trigeminal schwannoma: A unique case report and literature review.
AB - Primary central nervous system lymphoma (PCNSL) is an uncommon extranodal
manifestation of non-Hodgkin's lymphoma with those presenting at the
cerebellopontine angle (CPA) being rare presentations with limited reported cases
in the literature. We report a 60-year old female presenting with PCNSL of the
left CPA radiologically mimicking a trigeminal schwannoma with corresponding
clinical signs. Imaging showed a left CPA lesion that was biopsied and confirmed
as diffuse large B-cell lymphoma. Given its rarity, PCNSL should be considered in
the differential diagnosis for all CPA tumours.
PMID- 29656001
TI - "Flaneur neurologique in paris" - A guide to pinpointing the houses of famous
neurologists in the late XIX century.
AB - INTRODUCTION: During the last quarter of the XIX century, Paris, France,
particularly the Salpetriere Hospital was the most important centre of reference
of Clinical Neurology in the world. The group based on the Salpetriere Hospital,
led by Professor Charcot, who was arguably the most celebrated neurologist in
Europe. OBJECTIVE: In this historical review, we present and locate the addresses
of the houses of these famous Parisian neurologists from the late XIX century.
DISCUSSION: At that time, Charcot and the triumvirate of his most famous pupils,
Pierre Marie, Joseph Babinski and Gilles de la Tourette, lived in different
streets of Paris, predominantly in a small cluster in the districts known as 7eme
and 8emearrondissements (7th and 8th neighbourhoods). Professor Charcot lived in
different streets and arrondissements of Paris, including the Rue du Faubourg
Poissonniere, Paris IX; Cite de Trevise, Paris IX; Avenue du Coq, Paris IX;
l'Hotel de Chimay, Quai Malaquais Paris; and finally his most famous address at
the Boulevard Saint-Germain, 217 (previously l'Hotel de Varangeville), in the
Faubourg Saint-Germain, Paris VII. (1884). CONCLUSION: The best urban
organization in Paris provided an interaction between Charcot and other
privileged minds of his day. We were remembering and visiting, as a "Flaneur
Neurologique in Paris", the addresses of the houses of these famous and
outstanding Parisian neurologists from the late XIX century.
PMID- 29656002
TI - Dangers of outpatient nimodipine use after spontaneous subarachnoid hemorrhage in
accordance with the Comprehensive Stroke Center guidelines.
AB - Despite the adverse effects and unclear benefit of the complete 21-day course of
nimodipine therapy, The Joint Commission mandates adherence to nimodipine
treatment for 21 days after hemorrhage or after hospital discharge if discharged
within 21 days for Comprehensive Stroke Center (CSC) certification. We hereby
present a 67 year-old male patient with Hunt-Hess grade 2 and Fisher grade 3 non
aneurysmal spontaneous subarachnoid hemorrhage who was discharged with oral
nimodipine as stipulated by the CSC guidelines, and subsequently developed
symptomatic hypotension. This report underscores the danger of outpatient
nimodipine use and questions the optimal length of nimodipine therapy, especially
in patients with low risk of vasospasm. Future studies, including randomized
controlled trials and cost-effectiveness studies, are necessary to clarify the
benefit of 21-day nimodipine therapy compared to a shortened duration of
nimodipine.
PMID- 29656003
TI - Reply to the comments on effect of resveratrol on lipid profile: An updated
systematic review and meta-analysis on randomized clinical trials.
PMID- 29656004
TI - Comments on: "Effect of resveratrol on lipid profile: An updated systematic
review and meta-analysis on randomized clinical trials".
PMID- 29656005
TI - The uricosuric effects of dihydropyridine calcium channel blockers in vivo using
urate under-excretion animal models.
AB - The purpose of this study was to create novel urate under-excretion animal models
using pyrazinamide and to evaluate whether dihydropyridine calcium channel
blockers (CCBs) have uricosuric effects in vivo. Adult male ICR mice were treated
with pyrazinamide, vehicle (dimethyl sulfoxide: DMSO), or tap water. Thirty
minutes later, pyrazinamide-treated mice were given benzbromarone, losartan,
nilvadipine, nitrendipine, nifedipine or azelnidipine. Six hours after the second
administration, urine (by urinary bladder puncture) and plasma were collected to
measure uric acid and creatinine levels, and fractional excretion of uric acid
(FEUA) and creatinine clearance (Ccr) were calculated and evaluated. There was no
significant difference in the levels of plasma uric acid, plasma creatinine, Ccr,
urinary N-acetyl-beta-d-glucosaminidase (NAG) and urinary NAG-creatinine ratio
between water, DMSO, and pyrazinamide-treated mice. But the FEUA of pyrazinamide
treated mice was significantly lower than water mice. The FEUA was significantly
higher in mice taking the dihydropyridine CCBs (nilvadipine, nitrendipine,
nifedipine, and high-dose azelnidipine) than in pyrazinamide-treated mice. There
was no significant difference in Ccr. Thus, a novel animal model created with PZA
administration was useful as a urate under-excretion animal model that was
probably URAT1-mediated, and the uricosuric effects of dihydropyridine CCBs were
confirmed in vivo.
PMID- 29656006
TI - Par-4-dependent p53 up-regulation plays a critical role in thymoquinone-induced
cellular senescence in human malignant glioma cells.
AB - Thymoquinone (TQ), the predominant bioactive constituent present in black cumin
(Nigella sativa), exerts tumor suppressive activity against a wide variety of
cancer cells. Cellular senescence, characterized by stable and long term loss of
proliferative capacity, acts as a potent tumor suppressive mechanism. Here, we
provide evidence for the first time that TQ suppresses growth of glioma cells by
potentially inducing the expression of prostate apoptosis response-4 (Par-4)
tumor suppressor protein. In turn, TQ-induced Par-4 expression triggers cellular
senescence, as evidenced by increasing cellular size, beta-galactosidase
staining, G1 phase arrest, and increased expression of senescence markers such as
p53, p21, Rb, and decreased expression of lamin B1, cyclin E and cyclin depended
kinase-2 (CDK-2). Further, overexpression of Par-4 significantly increases the
expression of p53 and its downstream target p21, and increases beta-galactosidase
positive cells, while siRNA/shRNA mediated-knockdown of Par-4 reverses the TQ
induced effects. Altogether, we describe a novel mechanism of cross talk between
Par-4 and p53, that plays a critical role in TQ-induced senescence in human
malignant glioma cells.
PMID- 29656007
TI - miR-195 potentiates the efficacy of microtubule-targeting agents in non-small
cell lung cancer.
AB - Microtubule-targeting agents (MTAs) are widely used for the treatment of non
small cell lung cancer (NSCLC). The response rate is only ~25%, mainly
attributable to drug resistance. To identify determinants of resistance in NSCLC,
we performed a high-throughput screen using a library of miRNA mimics. Here we
report that miR-195 synergizes with MTAs to inhibit the growth of NSCLC cells in
vitro, that increased expression of miR-195 sensitizes NSCLC cells to MTAs and
that repression of miR-195 confers resistance to MTAs. We show that NSCLC tumors
over-expressing miR-195 are more sensitive to MTA treatment and that induced
expression of miR-195 in NSCLC tumors potentiates the anti-tumor effect of MTAs.
Additionally, we demonstrate that miR-195 targets checkpoint kinase 1 (CHEK1) to
regulate the response of NSCLC cells to MTAs, that over-expression of CHEK1
contributes to resistance to MTAs and that knock-down of CHEK1 synergizes with
MTAs to repress cell growth. Our results highlight the importance of miR-195 in
regulating the response of NSCLC cells to MTAs and underline the potential
application of miR-195 as a biomarker for response to MTAs, and as a therapeutic
adjuvant to MTA treatment.
PMID- 29656008
TI - Identification of miRNAs linked with the drought response of tef [Eragrostis tef
(Zucc.) Trotter].
AB - Tef [Eragrostis tef (Zucc.) Trotter], a staple food crop in the Horn of Africa
and particularly in Ethiopia, has several beneficial agronomical and nutritional
properties, including waterlogging and drought tolerance. In this study, we
performed microRNA profiling of tef using the Illumina HiSeq 2500 platform,
analyzing both shoots and roots of two tef genotypes, one drought-tolerant
(Tsedey) and one drought-susceptible (Alba). We obtained more than 10 million
filtered reads for each of the 24 sequenced small cDNA libraries. Reads mapping
to known miRNAs were more abundant in the root than shoot tissues. Thirteen and
35 miRNAs were significantly modulated in response to drought, in Alba and Tsedey
roots, respectively. One miRNA was upregulated under drought conditions in both
genotypes. In shoots, nine miRNAs were modulated in common between the two
genotypes and all showed similar trends of expression. One-hundred and forty
seven new miRNA mature sequences were identified in silico, 22 of these were
detected in all relevant samples and seven were differentially regulated when
comparing drought with normal watering. Putative targets of the miRNA regulated
under drought in root and shoot tissues were predicted. Among the targets were
transcription factors such as CCAAT-HAP2, MADS and NAC. Verification with qRT-PCR
revealed that five of six potential targets showed a pattern of expression that
was consistent with the correspondent miRNA amount measured by RNA-Seq. In
general, candidate miRNAs involved in the post-transcriptional regulation of the
tef response to drought could be included in next-generation breeding programs.
PMID- 29656009
TI - Omics and multi-omics approaches to study the biosynthesis of secondary
metabolites in microorganisms.
AB - Natural products produced by microorganisms represent the main source of
bioactive molecules. The development of high-throughput (omics) techniques have
importantly contributed to the renaissance of new antibiotic discovery increasing
our understanding of complex mechanisms controlling the expression of
biosynthetic gene clusters (BGCs) encoding secondary metabolites. In this context
this review highlights recent progress in the use and integration of 'omics'
approaches with focuses on genomics, transcriptomics, proteomics metabolomics
meta-omics and combined omics as powerful strategy to discover new antibiotics.
PMID- 29656010
TI - Age-related defects in short-term plasticity are reversed by acetyl-L-carnitine
at the mouse calyx of Held.
AB - Hearing acuity and sound localization are affected by aging and may contribute to
cognitive dementias. Although loss of sensorineural conduction is well documented
to occur with age, little is known regarding short-term synaptic plasticity in
central auditory nuclei. Age-related changes in synaptic transmission properties
were evaluated at the mouse calyx of Held, a sign-inverting relay synapse in the
circuit for sound localization, in juvenile adults (1 month old) and late middle
aged (18-21 months old) mice. Synaptic timing and short-term plasticity were
severely disrupted in older mice. Surprisingly, acetyl-l-carnitine (ALCAR), an
anti-inflammatory agent that facilitates mitochondrial function, fully reversed
synaptic transmission delays and defects in short-term plasticity in aged mice to
reflect transmission similar to that seen in juvenile adults. These findings
support ALCAR supplementation as an adjuvant to improve short-term plasticity and
potentially central nervous system performance in animals compromised by age
and/or neurodegenerative disease.
PMID- 29656011
TI - APOE genotype modifies the association between central arterial stiffening and
cognition in older adults.
AB - Arterial stiffening is associated with cognitive impairment and prodromal
Alzheimer's disease. This study tested the interaction between arterial
stiffening and an Alzheimer's disease genetic risk factor (apolipoprotein E
[APOE] genotype) on cognition among older adults. Vanderbilt Memory & Aging
Project participants with normal cognition (n = 162, 72 +/- 7 years, 29% APOE
epsilon4 carrier) and mild cognitive impairment (n = 121, 73 +/- 8 years, 42%
APOE-epsilon4 carrier) completed neuropsychological assessment and cardiac MRI to
assess aortic stiffening using pulse wave velocity (PWV, m/s). Linear regression
models stratified by cognitive diagnosis related aortic PWV * APOE-epsilon4
status to neuropsychological performances, adjusting for demographic and vascular
risk factors. PWV * APOE-epsilon4 related to poorer performance on measures of
lexical retrieval (beta = -0.29, p = 0.01), executive function (beta = -0.44, p =
0.02), and episodic memory (beta = -3.07, p = 0.02). Among participants with
higher aortic PWV, APOE-epsilon4 modified the association between central
arterial stiffening and cognition, such that carriers had worse performances than
noncarriers. Findings add to a growing body of evidence for APOE-vascular
interactions on cognition in older adults and warrant further research into less
heart-healthy cohorts where the association between PWV and cognition among older
adults might be stronger.
PMID- 29656014
TI - And then I saw her race: Race-based expectations affect infants' word processing.
AB - How do our expectations about speakers shape speech perception? Adults' speech
perception is influenced by social properties of the speaker (e.g., race). When
in development do these influences begin? In the current study, 16-month-olds
heard familiar words produced in their native accent (e.g., "dog") and in an
unfamiliar accent involving a vowel shift (e.g., "dag"), in the context of an
image of either a same-race speaker or an other-race speaker. Infants'
interpretation of the words depended on the speaker's race. For the same-race
speaker, infants only recognized words produced in the familiar accent; for the
other-race speaker, infants recognized both versions of the words. Two additional
experiments showed that infants only recognized an other-race speaker's atypical
pronunciations when they differed systematically from the native accent. These
results provide the first evidence that expectations driven by unspoken
properties of speakers, such as race, influence infants' speech processing.
PMID- 29656012
TI - Muscle strength and size are associated with motor unit connectivity in aged
mice.
AB - In older adults, the loss of muscle strength (dynapenia) and the loss of muscle
mass (sarcopenia) are important contributors to the loss of physical function. We
sought to investigate dynapenia, sarcopenia, and the loss of motor unit function
in aging mice. C57BL/6J mice were analyzed with cross-sectional (males: 3 vs. 27
months; males and females: 8 vs. 12 vs. 20 months) and longitudinal studies
(males: 10-25 months) using in vivo electrophysiological measures of motor unit
connectivity (triceps surae compound muscle action potential and motor unit
number estimation), in vivo measures of plantar flexion torque, magnetic
resonance imaging of hind limb muscle volume, and grip strength. Compound muscle
action potential amplitude, motor unit number estimation, and plantar flexion
torque were decreased at 20 months. In contrast, grip strength was reduced at 24
months. Motor unit number estimates correlated with muscle torque and hind limb
muscle volume. Our results demonstrate that the loss of motor unit connectivity
is an early finding in aging male and female mice and that muscle size and
contractility are both associated with motor unit number.
PMID- 29656013
TI - Escitalopram alleviates stress-induced Alzheimer's disease-like tau pathologies
and cognitive deficits by reducing hypothalamic-pituitary-adrenal axis reactivity
and insulin/GSK-3beta signal pathway activity.
AB - Chronic stress, a causal factor for depression, can also cause cognitive
impairments and tau pathology. However, whether and how the selective serotonin
reuptake inhibitor antidepressant escitalopram ameliorates these effects are
still unclear. In the present study, rats were subjected to chronic mild
unpredictable stress for 8 weeks. Following the initial 4 weeks, the stressed
animals were separated into susceptible (depressive) and unsusceptible
(resistant) groups based on behavioral tests. Then, escitalopram (10 mg/kg i.p.)
was administered for 28 days. Pathophysiological changes were assessed by
performing behavioral and biochemical analyses. The results showed that both
depressive and resistant rats displayed spatial memory deficits and an
accumulation of tau in the hippocampus. Increased levels of corticosterone and
insulin and a decreased level of glucocorticoid receptor were found in both
depressive and resistant rats. We also found that activity-dependent
phosphorylated insulin receptor substrate and glycogen synthase kinase-3beta
(Ser9 site) were significantly decreased in both depressive and resistant rats.
However, other important kinases, such as cyclin-dependent kinase 5 and mitogen
activated protein kinase kinase-1/2, did not change in our study. Furthermore, we
found that the mRNA expression of tau was increased in depressive and resistant
rats. No significant change in LC3B expression was found. Interestingly, almost
all the pathological changes in depressive and resistant rats previously
mentioned could be reversed by escitalopram. Our results suggested that
escitalopram ameliorates cognitive impairments and selectively attenuates
phosphorylated tau accumulation in stressed rats through the regulation of
hypothalamic-pituitary-adrenal axis activity and the insulin receptor
substrate/glycogen synthase kinase-3beta signaling pathway.
PMID- 29656015
TI - Confidence judgments during ratio comparisons reveal a Bayesian bias.
AB - Rational numbers are essential in mathematics and decision-making but humans
often and erroneously rely on the magnitude of the numerator or denominator to
determine the relative size of a quotient. The source of this flawed whole number
strategy is poorly understood. Here we test the Bayesian hypothesis that the
human bias toward large values in the numerator or denominator of a ratio
estimate is the result of higher confidence in large samples. Larger values are
considered a better (more certain) instance of that ratio than the same ratio
composed of smaller values. We collected confidence measures explicitly
(Experiment 1) and implicitly (Experiment 2) during subjects' comparisons of non
symbolic proportions (images with arrays of orange and blue dots). We manipulated
the discernibility of the fractions to control difficulty and varied the
cardinality and congruency of the numerators, denominators, and ratio values
(e.g. 8/20 vs. 5/10 and 16/40 vs. 10/20). The results revealed that subjects'
confidence during ratio comparisons was modulated by the numerical magnitude of
the fraction's components, consistent with a Bayesian perception of relative
ratios. The results suggest that the large number bias could arise from greater
confidence in large samples.
PMID- 29656016
TI - Riding the cell jamming boundary: Geometry, topology, and phase of human corneal
endothelium.
AB - It is important to assess the viability of eye-banked corneas prior to
transplantation due to inherent senescence and known loss of endothelial cells
during surgical manipulation. Corneal endothelial cells have a complex basal and
paracellular shape making them challenging to accurately measure, particularly in
oedematous ex vivo tissue. This study used calibrated centroidal Voronoi Diagrams
to segment cells in images of these human corneas, in order to characterize
endothelial geometry, topology, and phase. Hexagonal cells dominated the
endothelia, with most comprised of five different pleomorphs exhibiting self
similar topological coarsening through most of the endothelial cell density
range. There was a linear relationship between cell size and shape, though cells
with greater than six sides were present in larger proportions than cells with
less. Hexagonal cell regularity was stable and largely independent of density.
Cell and tissue phase was also examined, using the cell shape index relative to
the recently discovered 'cell jamming' phase transition boundary. Images showed
fluid endothelia with a range of shape indices spanning the boundary, independent
of density but dependent on hexagonal regularity. The cells showed a bimodal
distribution centred at the boundary, with the largest proportion of cells on the
fluid side. A shoulder at the boundary suggested phase switching via shape
transformation across the energy barrier, with cells either side having
distinctly different size and shape characteristics. Regular hexagonal cells were
closest to the boundary. This study showed the corneal endothelium acts as a
glassy viscous foam characterized by well-established physical laws. Endothelial
cell death transiently and locally increases cell fluidity, which is subsequently
arrested by jamming of the pleomorphically diverse cell collective, via
rearrangement and shape change of a small proportion of cells, which become
locked in place by their neighbours thereby maintaining structural equilibrium
with little energy expenditure.
PMID- 29656017
TI - Proteomic and toxinological characterization of the venom of the South African
Ringhals cobra Hemachatus haemachatus.
AB - : The protein composition and toxinological profile of the venom of the African
spitting elapid Hemachatus haemachatus (Ringhals) were characterized by bottom-up
proteomics and functional in vitro and in vivo assays. Venom is composed of
abundant three-finger toxins (3FTxs; 63.3%), followed by phospholipases A2
(PLA2s; 22.8%), snake venom metalloproteinases (SVMPs; 7.1%), cysteine-rich
secretory proteins (CRISPs; 4.1%) and Kunitz type protease inhibitors (KTPIs;
1.5%). 3FTxs are the main responsible for lethality and myotoxicity in mice and
in vitro anticoagulant activity. In contrast to closely related spitting species,
whose venom 3FTxs induces dermonecrosis, the 3FTxs of H. haemachatus did not
induce dermonecrotic activity. The venom showed in vitro PLA2 activity, and most
likely PLA2s contribute to some extent in venom lethality, as judged by partial
reduction in toxicity after inhibition of their catalytic activity. Despite its
relatively high content of SVMPs, compared to most elapids, the venom of H.
haemachatus did not exert hemorrhagic effect, proteolytic activity on azocasein
or defibrinogenating activity. Toxicovenomic characterization of H. haemachatus
venom revealed that RP-HPLC fractions with higher abundance of 3FTxs presented
lethal activity, while fractions with high content of PLA2s did not, underscoring
the role of 3FTxs in the pathophysiology caused by this venom. BIOLOGICAL
SIGNIFICANCE: The proteomic composition and toxinological profile of the venom of
Ringhals snake, Hemachatus haemachatus, a cobra-like spitting snake endemic to
southern Africa, were investigated. In vitro, Ringhals venom showed anticoagulant
and phospholipase A2 activities, but was devoid of proteolytic activity on
azocasein. In mice, venom induced lethality and myotoxicity, but no local
hemorrhage or dermonecrosis. The lack of dermonecrotic activity is in sharp
contrast to venoms of closely related spitting cobras which present a similar
relative abundance of 3FTxs but are potently dermonecrotic. 3FTxs, the most
abundant protein family in the venom, are predominantly responsible for toxic
effects. PLA2 enzyme inactivation experiments suggest that H. haemachatus venom
lethality is not dependent on PLA2s, but instead is more related to neurotoxic or
cardiotoxic 3FTxs. The characterization of this venom, based on proteomic and
toxicovenomic approaches, is useful for more in depth studies associated with
biogeography, phylogeny, toxinology and antivenom efficacy towards the venom of
this species, and its association with related elapids.
PMID- 29656018
TI - Systematic analysis of the cerebrospinal fluid proteome of fibromyalgia patients.
AB - Fibromyalgia (FM) is a syndrome characterized by widespread muscular pain,
fatigue and functional symptoms, which is known to be difficult to diagnose as
the various symptoms overlap with many other conditions. Currently, there are no
biomarkers for FM, and the diagnosis is made subjectively by the clinicians. We
have performed shotgun proteomics on cerebrospinal fluid (CSF) from FM patients
and non-pain controls to find potential biomarker candidates for this syndrome.
Based on our multivariate and univariate analyses, we found that the relative
differences in the CSF proteome between FM patients and controls were moderate.
Four proteins, important to discriminate FM patients from non-pain controls, were
found: Apolipoprotein C-III, Galectin-3-binding protein, Malate dehydrogenase
cytoplasmic and the neuropeptide precursor protein ProSAAS. These proteins are
involved in lipoprotein lipase (LPL) activity, inflammatory signaling, energy
metabolism and neuropeptide signaling. SIGNIFICANCE: Fibromyalgia is present in
as much as 2% of the population, causing pain, stiffness, and tenderness of the
muscles. Upon accurate diagnostic, nonpharmacological and pharmacological
therapies can be used to alleviate pain and manage other symptoms. However, lack
of objective, universal applicable diagnostic criteria as well as vague and
diffused symptoms, have made diagnosis difficult. In this context, our findings
can shed light on potential value of CSF proteome for objectively diagnosing FM.
PMID- 29656019
TI - Proteomic identification of virulence-related factors in young and aging C.
elegans infected with Pseudomonas aeruginosa.
AB - : The molecular mechanisms that distinguish immunosenescence from general age
related decline are poorly understood. We addressed this by exposing Day 1 and
Day 5 adults of Caenorhabditis elegans to Pseudomonas aeruginosa strain PA01, an
opportunistic pathogen. Day 5 adult C. elegans exhibited greater vulnerability to
infection as compared to Day 1 C. elegans. Using TMT6-plex isobaric labeling and
reductive dimethylation, we identified 55 proteins whose levels were altered
following infection of Day 1 and Day 5 adults. Proteins whose levels changed in
response to infection at both ages were strongly enriched for locomotory
functions underscoring the importance of pathogen avoidance mechanisms. In Day 1
C. elegans, proteins with reproductive functions were highly enriched, whereas,
Day 5 worms showed elevated levels of factors representing stress response
pathways such as unfolded protein response (UPR) and metabolic functions. We also
found that PA01 infection is associated with elevated protein carbonylation, an
irreversible marker for oxidative stress. We explored the function of UNC-60, a
cytoskeletal protein whose levels were changed by both age and infection, and
found that mutants of unc-60 have reduced lifespan. Overall, our data provide
novel insights into the relationship between age and immunosenescence in
metazoans. SIGNIFICANCE: There are gaps in our knowledge pertaining to how aging
influences an organism's response to pathogen exposure. In C. elegans, pathogen
exposure to P. aeruginosa PA01 results in shortened lifespan, which is more
pronounced in Day 5, compared to Day 1 adult worms. The proteome has age-specific
responses to this exposure, and notably affects development, reproduction,
metabolism, protein folding/unfolding, locomotion, and response to stress. This
study addresses the molecular links between aging and immunosenescence in
invertebrates.
PMID- 29656020
TI - Odorant-binding protein-based identification of natural spatial repellents for
the African malaria mosquito Anopheles gambiae.
AB - There is increasing interest in the development of effective mosquito repellents
of natural origin to reduce transmission of diseases such as malaria and yellow
fever. To achieve this we have employed an in vitro competition assay involving
odorant-binding proteins (OBPs) of the malaria mosquito, Anopheles gambiae, with
a predominantly female expression bias to identify plant essential oils (EOs)
containing bioactive compounds that target mosquito olfactory function. EOs and
their fractions capable of binding to such OBPs displayed repellence against
female mosquitoes in a laboratory repellent assay. Repellent EOs were subjected
to gas chromatographic analysis linked to antennogram (EAG) recordings from
female A. gambiae to identify the biologically active constituents. Among these
compounds cumin alcohol, carvacrol, ethyl cinnamate and butyl cinnamate proved as
effective as DEET at an equivalent dose in the repellent assay, and combinations
of carvacrol with either butyl cinnamate or cumin alcohol proved to be
significantly more effective than DEET in the assay. When tested as spatial
repellents in experimental shelters housing sleeping humans in northern Nigeria a
binary mixture of carvacrol plus cumin alcohol caused mosquitoes to leave
shelters in significantly higher numbers to those induced by DEET in female
Anopheles spp. and in numbers equivalent to that of DEET in Culex spp.
mosquitoes. These findings indicate an approach for the identification of
biologically active molecules of natural origin serving as repellents for
mosquitoes.
PMID- 29656021
TI - Next generation neuro-oncology.
AB - Neuro-oncology has evolved as a growing, but still small, highly specialised and
multidisciplinary field at the interface of several diagnostic and therapeutic
disciplines. The major challenge in the field of primary tumours is to translate
the almost unique progress in deciphering the highly complex molecular genetic
nature of many primary brain tumours, notably glioblastoma, into advances that
allow for clinical benefit for affected patients. Furthermore, metastases to the
central nervous system are an increasingly prevalent complication in many
systemic cancers. Their diagnosis and management require major expertise, notably
with consideration of several new systemic therapy options, such as targeted
therapy and immuno-oncology approaches. These new treatments contribute to
challenges within the third major domain of neuro-oncology, the diagnosis,
treatment and prevention of nervous system toxicity of old and new anti-cancer
treatments. All these considerations strongly argue for the development of
specialised centres of excellence to improve care for patients with brain tumour
across Europe.
PMID- 29656022
TI - Very high titres of ZnT8 autoantibodies at type 1 diabetes onset and presence of
autoantibodies related to other autoimmune disorders.
PMID- 29656023
TI - Impact on Dietary Choices After Discount Supermarket Opens in Low-Income
Community.
AB - OBJECTIVE: To examine (1) the association of a new supermarket opening with
dietary intake and perceptions of healthy food availability, and (2) associations
of distance to the primary food store and mean prices of fruits, vegetables, and
sugary beverages with levels of consumption of these foods and body mass index in
a low-income, southeastern community. METHODS: The researchers used cross
sectional, self-administered questionnaire data and supermarket audit data
collected in the supermarket community and comparison community before (2015) and
after (2016) the supermarket opening. A difference-in-difference analysis
employed propensity scores to compare pretest and posttest differences between
communities. RESULTS: There were no significant differences between communities
on dietary behaviors. There was a significant cross-sectional, inverse
association between distance to the primary food store and fruit and vegetable
consumption among all respondents in 2016. CONCLUSIONS AND IMPLICATIONS: The
results suggest that adding a new discount supermarket is not necessarily
associated with improvements in residents' fruit, vegetable, or sugary beverage
consumption, or in their perceptions of the availability of healthy food in the
neighborhood. However, distance to the store may be important.
PMID- 29656024
TI - Protein synthesis in the basolateral amygdala complex is required for
consolidation of a first-order fear memory, but not for consolidation of a higher
order fear memory.
AB - The present series of experiments pursued our recent findings that consolidation
of a second-order fear memory requires neuronal activity, but not de novo protein
synthesis, in the basolateral amygdala complex (BLA). It used a modified second
order conditioning protocol in which rats were exposed to S1-shock pairings in
stage 1 and pairings of the serial S2-S1 compound and shock in stage 2.
Experiment 1 showed that responding (freezing) to S2 in this protocol is
conditional on its compounding with S1 in stage 2 (Experiment 1), and therefore,
the result of associative formation. The remaining experiments then showed that
the protein synthesis requirement for consolidation of new learning about S2
varied with the training afforded S1. When S1 was trained in stage 1 and present
in stage 2, consolidation of the new S2 fear memory was unaffected by pre- or
post-stage 2 infusions of the protein synthesis inhibitor, cycloheximide, into
the BLA (Experiments 2 and 5). This result was observed independently of the
number of S1-shock pairings in stage 1 (even a single pairing produced the
result), and alongside demonstrations that cycloheximide infusions disrupt
consolidation of a first-order fear memory (Experiments 2 and 5). However, when
S1 was not conditioned in stage 1 (Experiment 3) or was omitted from conditioning
in stage 2 (Experiment 4), consolidation of the new S2 fear memory was disrupted
by post-stage 2 cycloheximide infusions into the BLA. These results were taken to
imply that the consolidation of a higher-order fear memory exploits molecular
events associated with consolidation of a reactivated first-order fear memory;
hence it occurs independently of de novo protein synthesis in the BLA.
Alternatively, the nature of the association formed in higher-order conditioning
may be such as to not require de novo protein synthesis for its consolidation.
PMID- 29656025
TI - Report of a consensus meeting of a group of oral and general pathologists in
India on grading of oral epithelial dysplasia.
PMID- 29656026
TI - Bibliometric study of articles on skeletal Class II malocclusions published in
four high impact factor journals.
AB - OBJECTIVE: Perform a bibliometric analysis of the orthodontic literature on
skeletal Class II malocclusions during the first decade of the 21st century.
MATERIALS AND METHODS: A retrospective, observational, and comprehensive study
ranging from January the first 2001 to December 31 2010, based on the articles
published in four high impact factor orthodontic journals: Angle Orthod, OCR,
EJO, and AJODO (Quotation Report Newspaper of the Scientific Information
Institute). RESULTS: In the 4565 reviewed articles, only 338 were published on
Class II malocclusions. Brazil, the United States, Turkey, and Germany are the
nationalities, which have published the most. The cross-sectional descriptive
studies represent 33%, randomized clinical trials (RCTs) 10.5%, meta-analyses
0.3%. DISCUSSION AND CONCLUSION: Kanavakis et al. (2006) reported 72.34% of
original articles, 2.83% of synthetic reviews, 8.89% of case reports, and 15.75%
of unclassifiable articles. In conclusion, searchers in Orthodontics are invited
to publish more clinical trials on skeletal Class II malocclusions.
PMID- 29656027
TI - ?
PMID- 29656028
TI - Rice Transcription Factor OsDOF11 Modulates Sugar Transport by Promoting
Expression of Sucrose Transporter and SWEET Genes.
AB - Sucrose is produced in mesophyll cells and transferred into phloem cells before
it is delivered long-distance to sink tissues. However, little is known about how
sucrose transport is regulated in plants. Here, we identified a T-DNA insertional
mutant of Oryza sativa DNA BINDING WITH ONE FINGER 11 (OsDOF11), which is
expressed in the vascular cells of photosynthetic organs and in various sink
tissues. The osdof11 mutant plants are semi-dwarf and have fewer tillers and
smaller panicles as compared with wild-type (WT) plants. Although sucrose
enhanced root elongation in young WT seedlings, this enhancement did not occur in
osdof11 seedlings due to reduced sucrose uptake. Sugar transport rate analyses
revealed that less sugar was transported in osdof11 plants than in the WT.
Expression of four Sucrose Transporter (SUT) genes-OsSUT1, OsSUT3, OsSUT4, and
OsSUT5-as well as two Sugars Will Eventually be Exported Transporters (SWEET)
genes, OsSWEET11 and OsSWEET14, was altered in various organs of the mutant,
including the leaves. Chromatin immunoprecipitation assays showed that OsDOF11
directly binds the promoter regions of SUT1, OsSWEET11, and OsSWEET14, indicating
that the expression of these transporters responsible for sucrose transport via
apoplastic loading is coordinately controlled by OsDOF11. We also observed that
osdof11 mutant plants were less susceptible to infection by Xanthomonas oryzae
pathovar oryzae, suggesting that OsDOF11 participates in sugar distribution
during pathogenic invasion. Collectively, these results suggest that OsDOF11
modulates sugar transport by regulating the expression of both SUT and SWEET
genes in rice.
PMID- 29656029
TI - Gamma band oscillations in the early phase of psychosis: A systematic review.
AB - Abnormal gamma oscillations, measured by electroencephalography (EEG), have been
associated with chronic psychotic disorders, but their prevalence in the early
phase of psychosis is less clear. We sought to address this by systematically
reviewing the relevant literature. We searched for EEG studies of gamma band
oscillations in subjects at high risk for psychosis and in patients with first
episode psychosis. The following measures of gamma oscillations were extracted:
resting power, evoked power, induced power, connectivity and peak frequency.
Forty-five studies with a total of 3099 participants were included. There were
potential sources of bias in the study designs and potential artefacts. Although
there were few consistent findings, several studies reported decreased evoked or
induced power in both high risk subjects and first episode patients. Studies
using larger samples with serial EEG measurements, and designs that minimise
artefacts that occur at the gamma frequency may advance work in this area.
PMID- 29656030
TI - Post stroke depression: The sequelae of cerebral stroke.
AB - Post-stroke depression (PSD) is the most common mental health issue, afflicting
around 33% of stroke survivors. PSD has a negative impact on the rehabilitation,
recuperation of motor and cognitive deficits following stroke and significantly
increases the chances of relapsing neurovascular events. It has been demonstrated
that biological and psychological factors have a significant role in PSD.
Numerous endeavors have been made to discover the risk factors and predictors of
PSD. Screening and diagnosis also have gained attention; however, a suitable tool
is yet to be developed. Medications are chosen based on their viability and
reaction profile in the patients. Besides pharmacotherapy, psychotherapy
treatment is also highly valued by both psychiatrists and stroke patients.
Additional research is needed to examine the pathophysiology of PSD. This review
attempts to highlight the existing evidence and gaps in the present knowledge of
the predictors of PSD, incidence, prevalence, and etiology. Further, it also
discusses the screening and diagnostic approaches, therapeutic modalities and
management of PSD and the impact of pre-stroke depression on PSD.
PMID- 29656031
TI - Cerebral small vessel disease and risk of incident stroke, dementia and
depression, and all-cause mortality: A systematic review and meta-analysis.
AB - MRI features of cerebral small vessel disease (CSVD), i.e. white matter
hyperintensities, lacunes, microbleeds, perivascular spaces, and cerebral
atrophy, may be associated with clinical events, but the strength of these
associations remains unclear. We conducted a systematic review and meta-analysis
on the association between these features and incident ischaemic and haemorrhagic
stroke, all-cause dementia and depression, and all-cause mortality. For the
association with stroke, 36 studies were identified (number of individuals/events
[n] = 38,432/4,136), for dementia 28 (n = 16,458/1,709), for depression nine (n =
9,538/1,746), and for mortality 28 (n = 23,031/2,558). Only two studies evaluated
perivascular spaces; these results were not pooled. Pooled analyses showed that
all other features were associated with all outcomes (hazard ratios ranged 1.22
2.72). Combinations of two features were more strongly associated with stroke
than any individual feature. Individual features and combinations of CSVD
features are strongly associated with incident ischaemic and haemorrhagic stroke,
all-cause dementia and depression, and all-cause mortality. If these associations
are causal, the strength of these associations suggests that a substantial burden
of disease is attributable to CSVD.
PMID- 29656032
TI - Bioenergetics and synaptic plasticity as potential targets for individualizing
treatment for depression.
AB - Disruptions of bioenergetic signaling and neurogenesis are hallmarks of
depression physiology and are often the product of dysregulation of the
inflammatory, stress-response, and metabolic systems. These systems are
extensively interrelated at the physiological level, yet the bulk of the
literature to date addresses pathophysiological mechanisms in isolation. A more
integrated understanding of the etiology, progression, and treatment response
profiles of depression is possible through wider consideration of relevant
preclinical and clinical studies that examine the result of disruptions in these
systems. Here, we review recent data demonstrating the critical effects of
bioenergetic disruption on neuroplasticity and the development and progression of
depressive illness. We further highlight the interactive and dynamic nature of
the inflammatory and stress response systems and how disruption of these systems
influences bioenergetic signaling pathways critical to treatment outcomes. In so
doing, we underscore the pressing need to reconsider the implications of
treatment resistance and present a framework for developing novel, personalized
treatment approaches for depression.
PMID- 29656033
TI - Differences in the Theory of Mind profiles of patients with anorexia nervosa and
individuals on the autism spectrum: A meta-analytic review.
AB - BACKGROUND: This meta-analytic review examines the theory of mind profiles in
both patients with anorexia nervosa (AN) and autistic individuals. METHODOLOGY:
The studies examining theory of mind were divided into the following categories:
emotional theory of mind, understanding simple social situations, understanding
complex social interactions, and implicit social attribution. All included
studies investigated differences between healthy control (HCs) individuals and
people with AN or autistic people. Differences in theory of mind profile between
people with AN and autistic people were explored by conducting moderator
analyses. RESULTS: People with AN and autistic people showed a similar theory of
mind profile, but autistic individuals showed greater difficulties, particularly
in emotional theory of mind. CONCLUSIONS: Although both people with AN and
autistic people have significant difficulties in all aspects of theory of mind
relative to the HCs, some differences in the underlying profile may be present.
However, due to relative paucity of theory of mind research among people with AN,
further research is still needed before firm conclusion can be drawn.
PMID- 29656034
TI - Breastmilk donations: Bacteriological assessment, analysis of causes of non
compliance and suggestions for improvement.
AB - A total of 1099 breastmilk donations received by the milk bank at the Amiens
University Hospital from January to June 2016 were assessed for bacteriological
contamination according to French regulations. This consisted in enumerating the
total aerobic flora before and after heat treatment as well as the specific
enumeration of coagulase-positive staphylococci. Results above the mandatory
limits for at least one of these parameters were found in 25.9% of the donations,
resulting in the destruction of approximately one-quarter of the volume of the
donations (~195L). This is a huge loss in both economic and health-related terms
for neonates, especially for pre-terms. To identify ways to improve the
bacteriological assessment results and reduce the percentage of discarded milk,
an analysis of the causes was conducted. The two main causes of non-compliance
were the detection of a cultivable aerobic flora after heat treatment and the
presence of coagulase-positive staphylococci above the mandatory limit (11.7% and
11.2% of the tested donations, respectively). Bacillus spp. were the leading
cause of post-heat-treatment non-compliance. Therefore, the implementation of
better environmental control could help reduce this kind of contamination. As for
samples harboring coagulase-positive staphylococci, a further detection of toxins
using molecular biology techniques could help discriminate actual health
hazardous donations that have to be destroyed while enabling the use of toxin
negative donations. Nevertheless, the economic viability of this proposal needs
to be further assessed because these techniques are costly. Finally, a change in
breastmilk dilutions used to enumerate the total aerobic flora to better reflect
the actual level of these bacteria in the milk was proposed. Indeed, the
comparison of various combinations of milk dilutions led to the conclusion that
the association of the 1/10 and 1/100 dilutions was the best compromise between
technical ease of enumeration and ensuring the safety of the donations.
Implementing these suggestions would help reduce the rate of non-compliance and
give better access to safe breastmilk donations for neonates.
PMID- 29656035
TI - [The Artist at the hospital: A musical experience in pediatric hemodialysis].
AB - Culture at the hospital is part of a policy of providing everyone access to
culture. This article describes a musical intervention that provides patients and
healthcare professionals a central role in creation; qualitatively assesses the
benefits of these interventions for children and caregivers; evaluate the lessons
learned from this ongoing experience in the pediatric hemodialysis unit of Rouen
University Hospital. Ninety-minute sessions take place twice a week, with eight
children aged from 18months to 19years, during dialysis. To assess the effects of
artistic interventions in the unit, a qualitative methodology was chosen
(observation grid). The progression of the project is evaluated to highlight what
has helped the children and caregivers reach autonomy in artistic creation while
respecting the time allotted, the artistic approach, and the esthetics of each
participant's creation. The results indicate that this approach allows children
to be actors, that the time at the hospital is relativized, and that the
relationship with the healthcare professionals is less oriented towards care. A
discussion follows on the place of the artist and the untapped potential of
bringing patients to the creative act; the issue of esthetics, which then becomes
secondary; the complementarity between musical activities and creation, and the
role each actor plays in an artistic project. The hospital can provide access to
culture; however, it is possible to go further and reveal patients' creativity.
PMID- 29656036
TI - Laparoscopic adjustable gastric banding in adolescents with severe obesity:
Psychological aspects, decision makers of surgery, and 2-year outcomes. A case
series.
AB - BACKGROUND: The results of medical treatment of severe obesity in the adolescent
population (balanced diet and physical activity) are often unsatisfactory, and
bariatric surgery is questioned. The psychological determinants for requesting
bariatric surgery in these adolescents are unclear. The objective of this study
was to report the psychiatric and psychological aspects as well as the
determinants of the medical decision for surgery in a cohort of obese adolescents
requesting bariatric surgery by laparoscopic adjustable gastric banding. METHODS:
Thirty-five adolescents (12.3-17.7 years of age), were recruited from January
2007 to December 2012. Semistructured interviews were conducted. RESULTS: Fifty
four percent of the adolescents had a psychiatric history and 85% had psychiatric
comorbidities. In adolescents undergoing surgery, excess weight loss was 46%
after 1 year and 51% after 2years. For patients not receiving surgery, excess
weight loss was 0.43% after 1 year (P=0.001). Compliance with medical treatment
was the only significant element contributing to the decision to perform surgery.
Results in terms of satisfaction and perception 1 and 2years after surgery were
encouraging. CONCLUSION: Bariatric surgery is feasible in young patients and
produces good results in terms of excess weight loss. We argue that compliance
with medical treatment is probably one of the most important elements for making
the decision to perform bariatric surgery and in excess weight loss after
surgery. We probably need to focus on the compliance of young patients and
evaluate how this can be improved.
PMID- 29656037
TI - Prophylaxis in case of tick bite in Provence-Alpes-Cote d'Azur.
PMID- 29656038
TI - Purpura of the chin: Two consecutive cases.
AB - Two healthy children, a 10-year-old boy and a 13-year-old girl, both with no
previous medical history, unrelated, presented with pinpoint purpura of the chin
and the upper lip. It had appeared 2 days before and extended from the chin to
the lower lip. Neither had fever or recent trauma, nor had they taken any
medication. Their vital parameters and physical examination were normal. Their
peripheral blood cell counts and coagulation parameters were normal. A week
later, upon the follow-up consultation, the purpuric lesions had disappeared and
both children reported they had played the glass game.
PMID- 29656039
TI - Maternal adherence to guidance on breast milk collection process.
AB - INTRODUCTION: Breast milk expression with a breast pump increases the risk of
contaminating milk with pathogenic bacteria; how to decontaminate breast pumps is
controversial. The aim of this study was to investigate maternal adherence to
updated French guidance on the breast milk collection process, including breast
pump decontamination, and to identify potential sources of increased bacterial
counts in breast milk in order to improve prevention messages to mothers.
METHODS: Descriptive prospective study conducted between November 2015 and April
2016 in a French tertiary perinatal center. Oral and written instructions on the
breast milk collection process and breast pump decontamination were given to
mothers by trained healthcare professionals. Mothers whose neonates were admitted
to the neonatal care unit and expressing milk for the human milk bank were
eligible if breast milk bacterial counts before pasteurization were>=106 colony
forming units (cfu)/mL for total aerobic flora or >=104 cfu/mL for Staphylococcus
aureus. Maternal adherence to the guidance was investigated with a questionnaire
and a face-to-face interview. RESULTS: One hundred and fourteen mothers with
neonates admitted to the neonatal care unit expressed milk for the milk bank; 44
(39%) were eligible and 29 (66%) included: most of them (76%) with increased
counts of total aerobic flora in breast milk and 24% with increased counts of S.
aureus. At least three divergences from the guidance provided were reported for
16 mothers (55%). The most frequent ones were inadequate storage of the breast
pump collection kit (62%), ineffective decontamination of the breast pump
collection kit (52%), inappropriate cleaning of the breast pump (48%), and
inadequate breast milk transport from home to hospital (31%). CONCLUSION: Despite
standardized instructions, mothers with increased bacterial counts in breast milk
frequently declared several divergences from the guidance on the breast milk
collection process. Giving mothers and any person of their choice repeated clear
instructions with illustrated guidance, demonstrations, and practice may help
improve the microbiological safety of expressed breast milk.
PMID- 29656040
TI - [Child sexual abuse: Description of a French population having consulted in a
forensic medical service between 2011 and 2015].
AB - BACKGROUND: When child sexual abuse (CSA) is suspected, the detection of
anogenital anomalies is rare. In France, since 2011, most clinical examinations
of children for whom CSA is suspected take place in the forensic medical service
(FMS). OBJECTIVES: To describe a population of children examined for suspected
CSA in the FMS of the Nancy Regional University Hospital Center. PATIENTS AND
METHODS: Children under the age of 18 who consulted for suspected CSA in the
Nancy FMS between 2011 and 2015 were included. Demographic data as well as data
from questioning, the physical examination, any further examination, and the
medical conclusions were collected. RESULTS: Three hundred and twenty-five girls
and 79 boys were enrolled. The average age at the time the abuse was committed
was 118.9 months. Two hundred sixty-nine (66.6%) children alleged fondling and 59
(14.6%) fellatio. Two hundred twelve victims (52.5%) described a penetration, 163
(76.9%) in the vagina and 73 (34.4%) in the anus. Significant bruises were found
at the examination of 13 children, accounting for 2.5% of all victims. Thirty
four (11.7%) girls had lesions in the external genitalia and 28 (9.8%) lesions of
the virginal membrane. One boy (1.3%) had a nonspecific lesion of the external
genitalia. Six (8.2%) children alleging anal penetrations showed injury. The
examiner concluded that clinical examinations were compatible with alleged facts
for 253 (62.6%) victims. It was impossible to conclude for 116 (28.7%) children.
CONCLUSION: In the case of suspected CSA, the clinical examination is frequently
normal. This examination must be performed by physicians trained in child abuse,
under appropriate conditions. It is important not to jump to conclusions about
the reality of the alleged facts. A multidisciplinary approach, with the
cooperation of the medical, social, and forensics sector is necessary.
PMID- 29656041
TI - Too humanlike to increase my appetite: Disposition to anthropomorphize animals
relates to decreased meat consumption through empathic concern.
AB - People who exclude meat from their diets are not only devoid of situational
pressures to disengage morally and deny humanlike mental states to animals but
also they may be dispositionally more inclined to ascribe human-like qualities to
non-human animals than omnivores. The aim of this research was to test whether
individual differences in anthropomorphism are related to empathic connection
with non-human animals and hence decreased meat consumption. In two studies (N =
588) we confirmed that decreased meat consumption was associated with both
increased recognition of human features of animals and increased empathy to
animals. Most importantly, our data support a model in which animals'
anthropomorphism predicts empathy. Empathy, in turn, increases the importance
that potential animal harm plays in dietary choices regarding meat, leading to
reduced meat consumption.
PMID- 29656042
TI - Detection and molecular characterization of two rare G8P[14] and G3P[3] rotavirus
strains collected from children with acute gastroenteritis in Japan.
AB - This study describes the detection and molecular characterization of two rare
G8P[14] and G3P[3] rotavirus strains, which were collected from children with
acute gastroenteritis in 2014 in Japan. Among 247 rotaviruses, one G8P[14]
(strain 12,597) and one G3P[3] (strain 12,638) rotaviruses were detected. The
genotypes of 11 gene segments of these two rotavirus strains (RVA/Human
wt/JPN/12597/2014/G8P[14] and RVA/Human-wt/JPN/12638/2014/G3P[3]) were
characterized. The genotype constellation of strain 12,597 was assigned to G8
P[14]-I2-R2-C2-M2-A3-N2-T9-E2-H3, and this strain possessed a rare T9 genotype of
NSP3 gene which has never been reported previously in combination with G8
genotype of VP7 gene. Molecular characterization and phylogenetic analysis
suggested that the strain 12,597 had the consensus G8P[14] backbone that
originated from the rotaviruses of animal origins such as cows, deer, dogs, and
cats. The genotype constellation of strain 12,638 was identified as G3-P[3]-I3-R3
C3-M3-A9-N2-T3-E3-H6. The VP7 and VP4 genotypes of strain 12,638 was similar to
those of the Cat97-like strains, but the VP1, VP2, and VP3 were closely related
to those of the AU-1-like strain. Interestingly, the NSP1 to NSP3 genes shared
highest identities with those of a bat rotavirus (RVA/Bat-wt/ZMB/LUS12
14/2012/G3P[3] strain). These findings indicated that the strain 12,638 was an
intra-genotype reassortant strain among the AU-1-like strains, the Cat97-like
strains and the bat strain. Interestingly, the strains 12,597 and 12,638
possessed the same N2 genotype of NSP2 gene. The results of this study support
the possible roles of interspecies transmission and multiple reassortment events
for generating the genetic diversity of rotavirus in human.
PMID- 29656043
TI - Pituitary estrogen receptor alpha is involved in luteinizing hormone pulsatility
at mid-gestation in the South American plains vizcacha, Lagostomus maximus
(Rodentia, Caviomorpha).
AB - The South American plains vizcacha, Lagostomus maximus, is a caviomorph rodent
native from Argentina, Bolivia and Paraguay. It shows peculiar reproductive
features like pre-ovulatory follicle recruitment during pregnancy with an
ovulatory process at around mid-gestation. We have described the activation of
the hypothalamic - pituitary - ovarian (HPO) axis during pregnancy. A progressive
decrease of progesterone (P4) at mid-pregnancy elicits the delivery of
gonadotropin-releasing hormone (GnRH) with the consequent secretion of follicle
stimulating hormone (FSH) and estradiol (E2) followed by luteinizing hormone (LH)
release resulting in follicular luteinization and the P4 concentration recover.
Pituitary gland is the central regulator of the HPO axis being E2 a key hormone
involved in the regulation of its activity. In this work we analyzed the action
of E2 on the pituitary response to the GnRH wave as well as its involvement on LH
secretion at mid-gestation in L. maximus. The expression of GnRHR at the
pituitary pars distalis showed a significant decrease at mid-pregnancy compared
to early- and term-gestating females. ERalpha showed a significant increment from
mid-gestation whereas ERbeta did not show variations throughout pregnancy;
whereas the LH expression in the pituitary pars distalis showed a significant
increase at mid-gestation, concordantly with serum LH, which was followed by a
decrease at term-gestation with similar values than at early-pregnancy. The
number of cells with co-localization of ERalpha and GnRHR showed a decline at mid
pregnancy related to early- and term-gestation, whereas the cells with co
localization of ERalpha and LH increased at mid- and term-pregnancy. On the other
hand, ex vivo measuring of LH pulsatility showed a significant increment in the
total mass of LH delivered at mid-pregnancy followed by a decrease at term
gestation. The stimulation of ERalpha with the PPT specific agonist induced a
significant increment in the total mass of LH released, whereas no changes were
determined when ERbeta was stimulated with its specific agonist MPP. These
results suggest that LH pulsatility rise at mid-pregnancy would be enabled by the
increase of E2 acting through ERalpha.
PMID- 29656044
TI - State of the art knowledge in adrenocortical and behavioral responses to
environmental challenges in a threatened South American ratite: Implications to
in situ and ex-situ conservation.
AB - The Greater Rhea (Rhea americana) is an endemic ratite to South America, whose
wild populations have undergone a remarkable decrease due to habitat degradation
and fragmentation by the expansion of the agricultural frontier, poaching and
predation by dogs. Anthropogenic perturbations in wild environments, as well as
the management in captivity, can generate different stress responses in this
species, thus, the monitoring of adrenocortical and behavioral activities are
considered primary assessment tools with both conservation and welfare
implications. In this review we analyze and integrate the different measurements
of glucocorticoids (in plasma, feces, and yolk) carried out in different captive
and wild populations, taking into account the diverse predictable and
unpredictable conditions to which the Greater Rhea responds in each of those
environments. In addition, the translocation of this bird is presented as an
application of stress physiology in field ecology for conservation purposes, in
which we evaluated how this species responds when it is released into a novel
environment. Our results indicate that this ratite has a striking high
sensitivity of the hypothalamic-pituitary-adrenal (HPA) axis compared to that of
other bird species and shows a wide variety of adrenocortical responses depending
on the environment in which it lives. This suggests that its HPA axis has a
phenotypic plasticity that enables the rhea to cope with the environmental
challenges. In this sense, we propose that one of the routes of this plasticity
could be mediated by the maternal transfer of steroid hormones to the egg.
Finally, we discuss the importance of integrating the monitoring of the
adrenocortical response along with the environmental variables that define the
life history of the species, in management and conservation programs ex-situ and
in situ.
PMID- 29656045
TI - Distribution and morphology of gonadotropin-releasing hormone neurons in the
hypothalamus of an induced ovulator - The llama (Lama glama).
AB - Gonadotropin-releasing hormone (GnRH) is a decapeptide involved in the regulation
of reproduction in all mammals, but the distribution of GnRH neurons within the
brain varies widely among species. The objective of the present study was to
characterize the number and distribution of GnRH neurons in the hypothalamus and
preoptic area of llamas, an induced ovulator. The brains of female llamas (n = 4)
were fixed, frozen and sectioned serially every 50 um in the transverse (coronal)
plane. Every 10th section was stained for immunohistochemical detection of GnRH
positive neuron cell bodies and fibers by incubation with 3,3'-diaminobenzidine.
The number of counted immunoreactive cells ranged from 222 to 250 (~241 +/- 13
cells in the preoptic area and hypothalamus per animal) and were localized in the
medio-basal hypothalamus (44.3%), anterior hypothalamus (27%), preoptic area
(14.9%), diagonal band of Broca/medial septum (13.4%), and mammillary area
(0.5%). The immunoreactive cells were not localized in specific hypothalamic
nuclei, but rather appeared to be distributed diffusely. The highest
concentration of immunoreactive neuron fibers was in the median eminence (P <
0.05), but fibers were identified in most of the areas analyzed, including the
neurohypophysis. The GnRH neurons within the hypothalamus displayed monopolar
(33%), bipolar (39%), and multipolar (28%) morphologies. The bipolar type was
most common in the medio-basal region (40%; P < 0.05). We conclude that GnRH
neurons and fibers form a network within the anterior and medio-basal
hypothalamus of llamas, suggesting the central location of mechanisms controlling
reproductive processes in llamas (i.e., induced ovulation).
PMID- 29656046
TI - Developmental expression profiles and thyroidal regulation of cytokines during
metamorphosis in the amphibian Xenopus laevis.
AB - Early life-stages of amphibians rely on the innate immune system for defense
against pathogens. While thyroid hormones (TH) are critical for metamorphosis and
later development of the adaptive immune system, the role of TH in innate immune
system development is less clear. An integral part of the innate immune response
are pro-inflammatory cytokines - effector molecules that allow communication
between components of the immune system. The objective of this study was to
characterize the expression of key pro-inflammatory cytokines, tumor necrosis
factor-alpha (TNFalpha), interleukin-1beta (IL-1beta) and interferon-gamma (IFN
gamma), throughout amphibian development and determine the impacts of thyroidal
modulation on their expression. Xenopus laevis were sampled at various stages of
development encompassing early embryogenesis to late prometamorphosis and
cytokine expression was measured by real-time PCR. Expression of TNFalpha and IL
1beta were transient over development, increasing with developmental stage, while
IFN-gamma remained relatively stable. Functionally athyroid, premetamorphic
tadpoles were exposed to thyroxine (0.5 and 2 MUg/L) or sodium perchlorate (125
and 500 MUg/L) for seven days. Tadpoles demonstrated characteristic responses of
advanced development with thyroxine exposure and delayed development (although to
a lesser extent) and increased thyroid gland area and follicular cell height with
sodium perchlorate exposure. Exposure to thyroxine for two days resulted in
decreased expression of IL-1beta in tadpole trunks. Sodium perchlorate had
negligible effects on cytokine expression. Overall, these results demonstrate
that cytokine transcript levels vary with stage of tadpole development but that
their ontogenic regulation is not likely exclusively influenced by thyroid
status. Understanding the direct and indirect effects of altered hormone status
may provide insight into potential mechanisms of altered immune function during
amphibian development.
PMID- 29656047
TI - The complex association between metabolic syndrome and male hypogonadism.
AB - BACKGROUND: The complex association between metabolic syndrome (MetS) and male
hypogonadism is well established. A number of observational studies show that low
testosterone is associated with insulin resistance and an increased risk for
diabetes mellitus and MetS in men. AIMS: To elucidate the association between
MetS and male hypogonadism, present epidemiological data on the co-existence of
the two comorbidities, enlighten the underlying pathophysiology and appraise the
effects of testosterone supplementation therapy (TTh) and lifestyle modifications
on MetS and body composition in men. MATERIALS AND METHODS: Systematic search to
PubMed and Medline databases for publications reporting data on association
between MetS and male hypogonadism. RESULTS: Both MetS and male hypogonadism have
a high prevalence in the general population and are frequently co-existing e.g.
in males with diabetes. Accumulating evidence from animal and human studies
suggests that MetS is involved in the pathogenesis of hypogonadism in males as
well as the other way around. On the other hand, there is evidence for a
favorable effect of testosterone supplementation in testosterone deficient men
with MetS and/or diabetes mellitus. CONCLUSIONS: Studies with superior
methodological characteristics are needed in order to establish a role for
testosterone supplementation in men with MetS and/or diabetes mellitus.
PMID- 29656048
TI - Trunk muscle quality assessed by computed tomography: Association with adiposity
indices and glucose tolerance in men.
AB - BACKGROUND/OBJECTIVES: Thigh muscle attenuation measured by computed tomography
(CT) has been shown to be a reliable and useful index of skeletal muscle fat
infiltration. Thigh muscle fat content assessed by CT has been linked to obesity
and type 2 diabetes and is a correlate of insulin resistance in sedentary
individuals. However, as measurement of mid-thigh fat content requires the
assessment of another region of interest beyond the usual abdominal scan required
to measure levels of visceral and subcutaneous abdominal adipose tissue, this
study aimed at testing the hypothesis that skeletal muscle fat measured from a
single abdominal scan (L4-L5) would also provide information relevant to the
estimation of muscle fat infiltration as it relates to cardiometabolic risk.
METHODS: Abdominal (L4-L5) and mid-thigh CT scans were performed in a sample of
221 sedentary men covering a wide range of adiposity values. Trunk muscles on the
L4-L5 scan were classified into 2 groups: 1) psoas and 2) core muscles. The two
scans were segmented to calculate muscle areas, mean attenuation values as well
as low-attenuation muscle (LAM) areas, the latter being considered as an index of
skeletal muscle fat infiltration. Body mass index (BMI), body composition and
waist circumference were assessed and a 75 g oral glucose tolerance test (OGTT)
was performed. RESULTS: Mid-thigh, psoas and core LAM areas were all
significantly associated with body composition indices (0.46 <= r <= 0.71, p <
0.0001) whereas trunk muscle indices were more strongly associated with visceral
adiposity and waist circumference (0.54 <= r <= 0.79, p < 0.0001) than were mid
thigh muscle variables (0.44 <= r <= 0.62, p < 0.0001). Mid-thigh LAM area as
well as psoas and core LAM areas were significantly associated with fasting
glucose, 2-h plasma glucose levels, the glucose area under the curve and with the
HOMA-IR index (mid-thigh LAM area: 0.18 <= r <= 0.25, p < 0.01; psoas LAM area:
0.27 <= r <= 0.33, p < 0.0001; core LAM area: 0.24 <= r <= 0.34, p < 0.01).
Multivariable stepwise regression analyses revealed that the associations between
trunk muscle indices and glucose tolerance/insulin resistance were no longer
significant after controlling for visceral adiposity measured at L4-L5.
CONCLUSION: Our results suggest that CT-imaging derived indices of trunk muscle
quality are related to glucose tolerance and visceral adiposity. However, the
relationship between skeletal muscle fat and insulin resistance appears to be
largely mediated by the concomitant variation in visceral adiposity. Finally, our
results suggest that a single CT scan performed at L4-L5 is adequate to assess
skeletal muscle fat content related to cardiometabolic risk.
PMID- 29656049
TI - Reinforcing value and hypothetical behavioral economic demand for food and their
relation to BMI.
AB - Food is a primary reinforcer, and food reinforcement is related to obesity. The
reinforcing value of food can be measured by establishing how hard someone will
work to get food on progressive-ratio schedules. An alternative way to measure
food reinforcement is a hypothetical purchase task which creates behavioral
economic demand curves. This paper studies whether reinforcing value and
hypothetical behavioral demand approaches are assessing the same or unique
aspects of food reinforcement for low (LED) and high (HED) energy density foods
using a combination of analytic approaches in females of varying BMI. Results
showed absolute reinforcing value for LED and HED foods and relative reinforcing
value were related to demand intensity (r's = 0.20-0.30, p's < 0.01), and demand
elasticity (r's = 0.17-0.22, p's < 0.05). Correlations between demographic, BMI
and restraint, disinhibition and hunger variables with the two measures of food
reinforcement were different. Finally, the two measures provided unique
contributions to predicting BMI. Potential reasons for differences between the
reinforcing value and hypothetical purchase tasks were actual responding versus
hypothetical purchasing, choice of reinforcers versus purchasing of individual
foods in the demand task, and the differential role of effort in the two tasks.
Examples of how a better understanding of food reinforcement may be useful to
prevent or treat obesity are discussed, including engaging in alternative non
food reinforcers as substitutes for food, such as crafts or socializing in a non
food environment, and reducing the value of immediate food reinforcers by
episodic future thinking.
PMID- 29656050
TI - An Expanded Treatment Protocol of Panobinostat Plus Bortezomib and Dexamethasone
in Patients With Previously Treated Myeloma.
AB - BACKGROUND: Panobinostat was recently approved by the US Food and Drug
Administration and European Commission in combination with bortezomib and
dexamethasone for patients with multiple myeloma who have received >= 2 regimens,
including bortezomib and an immunomodulatory drug. The PANEX (panobinostat
expansion) treatment protocol provided access to panobinostat and gathered
additional safety data before commercial availability. PATIENTS AND METHODS: In
treatment phase 1, patients received panobinostat 20 mg 3 times per week plus
bortezomib 1.3 mg/m2 twice weekly with dexamethasone 20 mg on the days of and
after bortezomib treatment. Patients with no change or better in treatment phase
1 proceeded to treatment phase 2, when bortezomib was reduced to once weekly.
Unlike in the phase III trial, PANORAMA-1 (panobinostat or placebo with
bortezomib and dexamethasone in patients with relapsed multiple myeloma),
bortezomib could be administered either subcutaneously or intravenously. RESULTS:
Thirty-nine patients with a median number of previous treatments of 4 (range, 1
12) were enrolled; most received subcutaneous bortezomib (87%). The overall
response rate (partial response or better) was 56%. Grade 3/4 adverse events
included thrombocytopenia (47%), fatigue (31%), dehydration (26%), and diarrhea
(18%). Among the patients who received subcutaneous bortezomib, relatively low
rates of peripheral neuropathy (all grade, 15%) and notable grade 3/4 adverse
events (thrombocytopenia, 47%; diarrhea, 12%) were observed. CONCLUSION: Overall,
data from the PANEX trial support regulatory approval of panobinostat plus
bortezomib and dexamethasone and suggest the potential tolerability benefits of
subcutaneous bortezomib in this regimen.
PMID- 29656051
TI - The private journey: An interpretative phenomenological analysis of exclusive
breastfeeding.
AB - BACKGROUND: Exclusive breast milk provides complete nutrition for a baby's first
six months of life. In Australia, breastfeeding initiation rates are high,
however duration rates are low. Although numerous studies have explored the
reasons behind low levels of breastfeeding, few have examined the experiences of
women who maintain exclusive breastfeeding for the recommended six-month
duration. AIM: This paper will present an in-depth, idiographic interpretation of
first-time mothers' experience of exclusive breastfeeding for six months in
Australia. METHOD: Interpretative phenomenological analysis was used to explore
how five new mothers understood their six-month exclusive breastfeeding journey.
Face-to-face, semi-structured interviews were conducted retrospectively,
transcribed in full, and analysed using the flexible seven-step approach of
interpretative phenomenological analysis. FINDINGS: Three higher-order themes
were identified: (1) exclusive breastfeeding is a personal choice, (2) exclusive
breastfeeding is harder than expected, and (3) everyone has something to say
about breastfeeding. CONCLUSION: The mothers were not prepared for public debates
around infant feeding methods, where mothers judge each other and give advice
that supports their own goals, both of which create an unnecessary divide between
mothers. Despite these issues, the findings highlight the personal and social
meanings aligned with exclusive breastfeeding and point to the significance in
fostering determination as a means to achieve exclusive breastfeeding goals. This
qualitative reflection contributes a nuanced understanding of mothers' lived
experience of exclusive breastfeeding, deepening our understanding and enabling
appropriate strategies and support for the longevity of exclusive breastfeeding,
as well as direction for continued research.
PMID- 29656052
TI - Autologous serum collected 1 h post-exercise enhances natural killer cell
cytotoxicity.
AB - : Natural Killer cells are cytotoxic lymphocytes that recognize and eliminate
tumor cells. Exercise enhances NK cell cytotoxic activity (NKCA), yet the
underlying mechanisms are not fully understood. Exercise-induced shifts in NK
cell subsets has been proposed as one mechanism. Alternatively, exercise alters
stress hormone and cytokine levels, which are also known to affect NKCA. AIM:
Determine the role(s) of exercise-induced shifts in the proportions of NK-cell
subsets found in the blood, and changes in serum IL-2, IL-6, IL-12, IFN-gamma,
TNF-alpha and cortisol, on exercise-induced changes in NKCA. METHODS: Twelve
adults cycled 30 min at 115% of their lactate threshold power. Peripheral blood
mononuclear cells (PBMCs) and serum were isolated from blood collected pre-, post
, and 1 h post-exercise. To investigate the effect of shifts in NK-cell subsets,
pre-, post- and 1 h post-exercise NK cells were incubated with target cells (K562
and U266) in the presence of autologous pre-exercise serum. The effects of
hormones and cytokines released during exercise were determined by incubating pre
exercise PBMCs with tumor target cells (K562 and U266) in the presence of pre-,
post-, and 1 h post-exercise serum. NKCA and phenotypes were assessed by flow
cytometry. RESULTS: Although exercise mobilized high-differentiated NK cell
subsets (NKG2A-/KIR+), NKCA per cell was not altered post-exercise in the
presence of pre-exercise serum. Conversely, 1 h post-exercise serum significantly
increased the cytotoxicity of pre-exercise NK cells against HLA-expressing target
cells (U266). This increase associated with lower levels of cortisol, and
occurred when serum contained higher levels of IFN-gamma. CONCLUSIONS: Exercise
induced shifts in NK-cell subsets did not fully explain changes in NKCA. Rather,
factors present in serum during exercise recovery enhanced NKCA against target
cells. Our results suggest lower cortisol and higher IFN-gamma levels may explain
exercise-induced changes in NKCA.
PMID- 29656053
TI - Novel variant NDM-11 and other NDM-1 variants in multidrug-resistant Escherichia
coli from South India.
AB - OBJECTIVES: Carbapenem resistance mediated by New Delhi metallo-beta-lactamase 1
(NDM-1) and its variants has caused a major public-health concern worldwide. Here
we report for the first time an Escherichia coli isolate positive for a novel
variant (NDM-11). METHODS: blaNDM genes were investigated in E. coli by PCR and
sequencing, and blaNDM variants were further characterised. The susceptibility
pattern of novel blaNDM-11 towards different antimicrobials was compared with
blaNDM-1 by cloning and expression in E. coli TOP10. RESULTS: A total of 33
carbapenem-resistant E. coli isolates were screened by PCR for the presence of
blaNDM, of which 15 (45.5%) were positive. Sequencing of the PCR products
revealed 10 isolates with NDM-1 and 5 isolates with NDM variants (one each of NDM
4, NDM-8 and NDM-11 and two NDM-5). Other resistance genes, including blaTEM-1,
blaCTX-M-15, blaVIM, plasmid-encoded AmpC blaCMY-2 and 16S methyltransferases
(rmtB and rmtC), were also associated with NDM variants in different
combinations. The blaNDM variants were located on a transferable IncF-type
plasmid of >100kb. Pulsed-field gel electrophoresis (PFGE) showed that all five
E. coli isolates were unrelated, and multilocus sequence typing (MLST) revealed
that they all belonged to ST131. Expression of the blaNDM-1 and blaNDM-11 genes
in E. coli TOP10 showed no significant difference in MICs to various beta
lactams, including carbapenems. CONCLUSIONS: This study underlines the spread of
NDM variants with other antimicrobial resistance genes in E. coli in South India.
It also describes a novel NDM variant (blaNDM-11) having an antimicrobial
resistance pattern similar to blaNDM-1.
PMID- 29656054
TI - Biochemical and dynamic basis for combinatorial recognition of H3R2K9me2 by dual
domains of UHRF1.
AB - UHRF1 is a multi-domain protein comprising of a tandem tudor (UHRF1 TTD), a PHD
finger, and a SET and RING-associated domain. It is required for the maintenance
of CG methylation, heterochromatin formation and DNA repair. Isothermal titration
calorimetry binding studies of unmodified and methylated lysine histone peptides
establish that the UHRF1 TTD binds dimethylated Lys9 on histone H3 (H3K9me2).
Further, MD simulation and binding studies reveal that TTD-PHD of UHRF1 (UHRF1
TTD-PHD) preferentially recognizes dimethyl-lysine status. Importantly, we show
that Asp145 in the binding pocket determines the preferential recognition of the
dimethyl-ammonium group of H3K9me2. Interestingly, PHD finger of the UHRF1 TTD
PHD has a negligible contribution to the binding affinity for recognition of
K9me2 by the UHRF1 TTD. Surprisingly, Lys4 methylation on H3 peptide has an
insignificant effect on combinatorial recognition of R2 and K9me2 on H3 by the
UHRF1 TTD-PHD. We propose that subtle variations of key residues at the binding
pocket determine status specific recognition of histone methyl-lysines by the
reader domains.
PMID- 29656056
TI - Invited Commentary.
PMID- 29656055
TI - Nutrient recovery from anaerobically digested chicken slurry via struvite:
Performance optimization and interactions with heavy metals and pathogens.
AB - The aim of this study was to assess the potential of struvite precipitation to
recover nutrients from anaerobically-processed poultry slurry and struvite's
interactions with heavy metals (Zn, Cu, Pb, Cr, and Ni) and pathogens (total
coliforms and Escherichia coli). The impacts of pH, Mg, N, and P molar
proportion, reaction time, and mixing rate and duration were explored to
determine the optimal conditions for nutrient recovery through struvite
precipitation. A pH range of 9.5 to 10.5, was ideal for P and N removal and
recovery, with a molar ratio of 1:1:1 for Mg:N:P. A mixing rate of 150rpm for
10min could allow nutrient recovery with little loss (3.32%) of NH3 through
volatilization, and also achieve an optimal struvite crystal size (50-60MUm). The
results of X-ray diffractometry and scanning electron microscopy confirmed that
the precipitates generated at pH9 and 10 were orthorhombic struvite. Moreover,
along with the recovery of nutrients, 40, 45, 66, 30, and 20% of Zn, Cu, Pb, Cr,
and Ni, respectively, and 70% total coliforms and E. coli were removed by
struvite precipitation from poultry slurry. This was observed despite that the
levels of contaminants (heavy metals) detected in struvite were well below the
permissible limits and free of pathogens. Consequently, it was inferred that the
struvite quality was reasonable by virtue of its heavy metal and pathogen
content, and therefore appropriate for application in the field. Similarly,
struvite precipitation has multiple benefits as it can effectively recover
nutrients as well as reducing pathogenic populations.
PMID- 29656057
TI - The remarkable therapeutic potential of response-based dose individualisation in
drug trials and patient care.
AB - The FDA reported that most drugs are effective in only 25-62% of patients.
Although many drugs require dose individualisation in clinical practice, dose
finding trials usually aim to identify an optimal dose for the patient
population. Such a dose would be suboptimal for many patients. Simulations show
that individualised dose titration, balancing efficacy against toxicity, can
remarkably increase the response rate - doubling it in some situations. Dose
titration in a clinical trial can efficiently establish the realistic
expectations for the drug's true utility in a trial setting that reflects
clinical practice, as well as generate important knowledge to guide patient care
through informative drug labels. This design answers key questions truly relevant
to patient care that other designs cannot - will a patient benefit from a given
therapy, to what extent and at what dose? Therefore, response-based dose
titration should be considered for dose-finding trials, where appropriate, for
drugs that will eventually be used this way in the clinic.
PMID- 29656059
TI - Caffeic acid inhibits HCV replication via induction of IFNalpha antiviral
response through p62-mediated Keap1/Nrf2 signaling pathway.
AB - Hepatitis C virus (HCV) infection and its related liver disease have constituted
a heavy burden worldwide. It had been reported that Drinking coffee could
decrease mortality risk of HCV infected patients. Caffeic Acid (CA), the Coffee
related organic acid could inhibit HCV replication, however, the detailed
mechanism of CA against HCV is unclear. In this study, we showed that CA could
notably inhibit HCV replication. Mechanism study demonstrated that CA could
induce HO-1 expression, which would trigger the IFNalpha antiviral response, and
the antiviral effect of CA was attenuated when HO-1 activity was inhibited by
SnPP (an HO-1 inhibitor). CA could also increase erythroid 2-related factor 2
(Nrf2) expression. When Nrf2 was knocked down by specific siRNA, HO-1 expression
was concomitantly decreased while HCV expression was restored. Further study
indicated that kelch-like ECH-associated protein 1 (keap1) expression was
decreased by CA through p62/Sequestosome1 (p62)-mediated autophagy, which would
lead to the stabilization and accumulation of Nrf2. The decrease of keap1 was
restored when p62 was silenced by specific p62 siRNA and when autophagy was
inhibited, suggesting p62-mediated autophagy was required for CA-mediated keap1
downregulation. Taken together, the results demonstrated that CA could modulate
Keap1/Nrf2 interaction via increasing p62 expression, leading to stabilization of
Nrf2 and HO-1 induction, and elicit IFNalpha antiviral response to suppress HCV
replication.
PMID- 29656058
TI - Engaging vulnerable populations in parent-led support groups: Testing a
recruitment strategy.
AB - Despite the proven benefits of parenting interventions, parent engagement in such
interventions remains low and is particularly challenging among vulnerable
populations. This theoretically grounded study tested the effectiveness of a
recruitment strategy - a simulated parent-led support group (PSG) - to increase
intentions to attend a PSG in a predominantly low income, minority sample. The
study also examined sociodemographic characteristics that may influence the
effectiveness of the recruitment strategy and engagement in PSGs. Surveys
assessing intentions to attend a PSG were administered before and after the
simulation, and a 2-month follow-up survey assessed subsequent PSG attendance. A
total of 95 participants were included in this study. Results indicate that
participants' intentions to attend a PSG significantly increased following the
PSG simulation (Cohen's d = 1.15), especially among Hispanics. However, PSG
attendance in the 2 months following the PSG simulation was relatively low, with
only 13% of parents attending a PSG or a planning meeting to start a new PSG.
Nevertheless, this recruitment strategy may serve as an important component of a
larger engagement effort, especially given the substantial challenges of engaging
vulnerable populations in PSGs and other parenting interventions to reduce
educational and health disparities.
PMID- 29656060
TI - microRNA-96 acts as a tumor suppressor gene in human osteosarcoma via target
regulation of EZRIN.
AB - AIMS: microRNA-96 (miR-96) is considered as a tumor suppressor in multiple
malignancies. Some studies have indicated that EZRIN could be regulated by the
miR-96 in several kinds of cancer cells. However, the function of miR-96 in human
osteosarcoma has not been investigated intensively so far. In this study, we
mainly explored the relationship between miR-96 and the expression of EZRIN in
human osteosarcoma cells. MAIN METHODS: The levels of miR-96 and EZRIN in
osteosarcoma tissues and paracancerous tissues of patients were evaluated with
qPCR. The targeted regulation between miR-96 and EZRIN was further confirmed with
Dual luciferase assay. Overexpression of miR-96 and EZRIN was induced in human
osteosarcoma cell line, and the changing of cell viability was analyzed to
determine the role of miR-96 in human osteosarcoma in vitro and in vivo. KEY
FINDINGS: Our results showed that the expression level of miR-96 was much lower
in human osteosarcoma tissues compared with human paracancerous tissues. Dual
luciferase assay indicated that EZRIN as the direct target of miR-96 in
osteosarcoma cells. Besides, the up-regulation of miR-96 could inhibit cell
proliferation, cell migration and invasion, tumor formation ability, and increase
the percentage of cell apoptosis in osteosarcoma cells through inhibiting EZRIN.
SIGNIFICANCE: Therefore, our study indicated that miR-96 hold an important role
for the development and progress of human osteosarcoma, and this miRNA might
become a novel target in the diagnosis and treatment of human osteosarcoma and
even other cancers.
PMID- 29656061
TI - Hypoxia-inducible bidirectional shRNA expression vector delivery using
PEI/chitosan-TBA copolymers for colorectal Cancer gene therapy.
AB - AIMS: This investigation was conducted to construct a hypoxia/colorectal dual
specific bidirectional short hairpin RNA (shRNA) expression vector and to
transfect it into the colon cancer cell line HT-29 with PEI/chitosan-TBA
nanoparticles for the simultaneous knock down of beta-catenin and Bcl-2 under
hypoxia. MAIN METHODS: To construct a pRNA-bipHRE-CEA vector, the carcinoma
embryonic antigen (CEA) promoter designed in two directions and the vascular
endothelial growth factor (VEGF) enhancer were inserted between two promoters for
hypoxic cancer specific gene expression. To confirm the therapeutic effect of the
dual-specific vector, beta-catenin and Bcl-2 shRNAs were inserted downstream of
each promoter. The physicochemical properties, the cytotoxicity, and the
transfection efficiency of these PEI/chitosan-TBA nanoparticles were
investigated. In addition, the antitumor effects of the designed vector on the
expression of beta-catenin and Bcl-2, cell cycle distribution, and apoptosis were
investigated in vitro. KEY FINDINGS: The silencing effect of the hypoxia-response
shRNA expression vector was relatively low (18%-25%) under normoxia, whereas it
was significantly increased to approximately 50%-60% in the HT-29 cell line.
Moreover, the cancer cells showed significant G0/G1 arrest and increased
apoptosis due to gene silencing under hypoxia. Furthermore, MTS assay,
fluorescence microscopy images, and flow cytometry analyses confirmed that the
PEI/chitosan-TBA blend system provided effective transfection with low
cytotoxicity. SIGNIFICANCE: This novel hypoxia-responsive shRNA expression vector
may be useful for RNA interference (RNAi)-based cancer gene therapy in hypoxic
colorectal tumors. Moreover, the PEI/chitosan-TBA copolymer might be a promising
gene carrier for use in gene transfer in vivo.
PMID- 29656062
TI - The impact of antibiotic prescription rates on the incidence of MRSA bloodstream
infections: A county-level, US-wide analysis.
AB - OBJECTIVES: To investigate the association of methicillin-resistant
Staphylococcus aureus (MRSA) bloodstream infection with socioeconomic factors and
antibiotic prescriptions at the county level. METHODS: MRSA bloodstream infection
rates were extracted from the Medicare Hospital Compare database. Data on
socioeconomic factors and antibiotic prescriptions were obtained from the US
Census Bureau and the Medicare Part D database, respectively. RESULTS: In
multivariate analysis, antibiotic prescriptions demonstrated a powerful positive
association with MRSA bloodstream infection rates [Coefficient (Coeff): 0.432,
95% Confidence Interval (CI): 0.389, 0.474, P < 0.001], which was largely
attributable to lincosamides (Coeff: 0.257, 95% CI: 0.177, 0.336, P < 0.001),
glycopeptides (Coeff: 0.223, 95% CI: 0.175, 0.272, P < 0.001), and sulfonamides
(Coeff: 0.166, 95% CI: 0.082, 0.249, P < 0.001). Sociodemographic factors, such
as poverty (Coeff: 0.094, 95% CI: 0.034, 0.155, P=0.002) exerted a secondary
positive impact on MRSA bloodstream infection. Conversely, college education
(Coeff: -0.037, 95% CI: -0.068, -0.005, P=0.024), a larger median room number per
house (Coeff: -0.107, 95% CI: -0.134, -0.081, P < 0.001), and an income above the
poverty line (100% < income < 150% of the poverty line) (Coeff: -0.257, 95% CI:
0.314, -0.199, P < 0.001) were negatively associated with MRSA incidence rates. A
multivariate model that incorporated socioeconomic data and antibiotic
prescription rates predicted 39.1% of the observed variation in MRSA bloodstream
infection rates (Pmodel < 0.001). CONCLUSIONS: MRSA bloodstream infection rates
were strongly associated with county-level antibiotic use and socioeconomic
factors. If the causality of these associations is confirmed, antimicrobial
stewardship programs that extend outside acute healthcare facilities would likely
prove instrumental in arresting the spread of MRSA.
PMID- 29656064
TI - A Prospective Study of Patient-reported Pain After Bulbar Urethroplasty.
AB - OBJECTIVE: To understand the prevalence of chronic perineal pain, activity
limitations, and patient satisfaction after urethroplasty. METHODS: From 2014 to
2016, we prospectively enrolled men undergoing urethroplasty for bulbar urethral
strictures. Patients, before and after surgery, completed questions from the Core
Lower Urinary Tract Symptom Score assessing pain frequency in the bladder and
penis or urethra, as well as nonvalidated questions assessing perineal pain.
Overall satisfaction with their current urinary condition and pain-related
activity limitations at home, work, or during exercise were also measured.
Patients with <3 months of follow-up were excluded. Pre-and postoperative scores
were compared using the Wilcoxon signed-rank test. RESULTS: Thirty-five men were
included in the study. Mean age and body mass index were 44.6 years and 30.9
kg/m2, respectively. Urethroplasties were anastomotic in 24 (69%) and were single
stage buccal graft substitution in 11 (31%). Median follow-up after surgery was
483 days (range: 90-810 days). A total of 10 patients (29%) reported worsening
perineal pain intensity after surgery, whereas 8 (23%) reported improvement and
17 (48%) reported no change. Overall, pain frequency in the bladder, penis or
urethra, and perineum improved. Home and exercise pain-related activity
restrictions improved significantly after surgery. Satisfaction with current
urinary condition also improved with 91% reporting feeling "delighted,"
"pleased," or "mostly satisfied" with their current condition. CONCLUSION:
Patients are highly satisfied with their urinary condition after urethroplasty.
Pain frequency in the bladder and the urethra significantly improves after
urethroplasty; however, perineal pain intensity can worsen and become chronic
after surgery in some patients.
PMID- 29656063
TI - Updates on antibody functions in Mycobacterium tuberculosis infection and their
relevance for developing a vaccine against tuberculosis.
AB - A more effective vaccine to control tuberculosis (TB), a major global public
health problem, is urgently needed. Current vaccine candidates focus
predominantly on eliciting cell-mediated immunity but other arms of the immune
system also contribute to protection against TB. We review here recent studies
that enhance our current knowledge of antibody-mediated functions against
Mycobacterium tuberculosis. These findings, which contribute to the increasing
evidence that antibodies have a protective role against TB, include
demonstrations that firstly distinct human antibody Fc glycosylation patterns,
found in latent M. tuberculosis infection but not in active TB, influence the
efficacy of the host to control M. tuberculosis infection, secondly antibody
isotype influences human antibody functions, and thirdly that antibodies
targeting M. tuberculosis surface antigens are protective. We discuss these
findings in the context of TB vaccine development and highlight the need for
further research on antibody-mediated immunity in M. tuberculosis infection.
PMID- 29656065
TI - Penile Prosthesis in Solid Organ Transplant Recipients-A Matched Cohort Study.
AB - OBJECTIVE: To determine whether patients with solid organ transplant (SOT) are at
higher risk of developing complications after inflatable penile prosthesis (IPP)
implantation. METHODS: We retrospectively reviewed outcomes data for all patients
with SOT who underwent IPP placement at our institution. A cohort of age-matched
IPP recipients without SOT were used as controls. RESULTS: We identified 26
patients who underwent SOT and IPP between 1999 and 2015, and 26 controls.
Transplants included heart (3), liver (2), kidney only (17), and kidney and
pancreas (4). Mean follow-up time after IPP placement was 29.5 months (SOT group)
and 13.5 months (controls). Age at IPP did not significantly differ between
groups (53.7 + 8.1 vs 56.4 + 9.0, P = .26), nor did body mass index (30.3 + 5.5
vs 30.2 + 4.7, P = .92), history of prostatectomy (7.7% vs 15.4%, P = .39),
rectal surgery (3.9% vs 3.9%, P = 1.00), hyperlipidemia (69.2% vs 69.2%, P =
1.00), hypertension (92.3% vs 76.9%, P = .25), or heart disease (57.7% vs 30.8%,
P = .093). Peripheral vascular disease was more common in transplant patients
(26.9% vs 3.9%, P = .021), as were stroke (19.2% vs 0.0%, P = .05) and diabetes
(84.6% vs 53.6%, P = .016). No significant differences in IPP reoperation rates
existed between patients with vs without SOT (11.5% vs 11.5%, P = 1.00), nor did
they differ by organ transplanted (P = 1.00). No differences in IPP reoperation
rate existed between 2-piece vs 3-piece IPP models (P = .47). CONCLUSION:
Outcomes of IPP implantation in patients with SOT are similar to those of
nontransplant patients. Patients with SOT should be considered suitable
candidates for penile prosthesis.
PMID- 29656066
TI - The Clinical Course of Patients With Prostate-Specific Antigen >=100 ng/ml:
Insight Into a Potential Population for Targeted Prostate-Specific Antigen
Screening.
AB - OBJECTIVE: To characterize men presenting to a tertiary care safety-net hospital
with prostate-specific antigen (PSA) values >=100 ng/mL and to identify a
potential population for targeted PSA screening. MATERIALS AND METHODS:
Retrospective review of 100 randomly selected patients of a total of 204 who
presented to Grady Memorial Hospital from 2004 to 2011 with initial PSA >=100
ng/mL was performed. Demographics, disease characteristics, and survival status
were obtained via the Tumor Registry and a combination of electronic medical
records and older paper charts, with missing data from paper charts excluded on
analyses. RESULTS: Sixty-five patients were newly diagnosed with prostate cancer
on presentation and 35 were previously diagnosed. Median PSA at presentation was
405.5 ng/mL (minimum, 100 and maximum, 7805), 81% had metastatic disease, and 94%
had Gleason >=7. Median Cancer of the Prostate Risk Assessment score was 8.
Median age at presentation was 67.4 years (minimum, 40.8 and maximum, 90.6).
Eighty-nine percent of patients were African American, 24% lived alone, 12% were
homeless or incarcerated, 51% were insured by Medicare or Medicaid, and 47% were
uninsured. Only 1% had human immunodeficiency virus, 19% had diabetes, and 13%
had chronic kidney disease. Of the 65 newly diagnosed patients, only 23% had ever
been screened and 9% were previously biopsied. Median time from presentation to
death was 17.8 months (minimum, 0.16 and maximum, 107.1). CONCLUSION: Among men
presenting with PSA >=100 ng/ml at a safety-net hospital, the majority were
African American, of lower socioeconomic status, and had metastatic disease.
Uniform absence of prostate cancer screening may expose greater numbers of at
risk men to similar outcomes. Discussion is needed regarding targeted PSA
screening in higher risk, vulnerable patients.
PMID- 29656067
TI - Ternary TiO2/Fe3O4/CoWO4 nanocomposites: Novel magnetic visible-light-driven
photocatalysts with substantially enhanced activity through p-n heterojunction.
AB - Herein, novel magnetic TiO2/Fe3O4/CoWO4 nanocomposites were fabricated using a
simple refluxing method followed by a calcination step at 450 degrees C.
Photocatalytic activity of these nanocomposites were studied by decomposing
rhodamine B, methyl orange, and fuchsine dye contaminants under visible-light
illumination. Among the fabricated photocatalysts, the TiO2/Fe3O4/CoWO4 (30%)
photocatalyst exhibited superior activity. The degradation rate constant for
rhodamine B by this ternary nanocomposite was 8.5 and 5.6 folds greater than
those of the pure TiO2 and TiO2/Fe3O4 photocatalysts, respectively. Trapping
experiments showed that superoxide anion radicals played critical role during the
photocatalytic process. Visible-light harvesting due to the presence of CoWO4 and
effective suppression of the charge carriers from recombination due to formation
of p-n heterojunction are the major parameters affecting the photocatalytic
activity. Furthermore, the TiO2/Fe3O4/CoWO4 (30%) photocatalyst displayed highly
stable recycling performances. The present study provides a new strategy to
design and fabricate magnetically recoverable photocatalysts based on TiO2 with
considerable activity under visible-light.
PMID- 29656068
TI - Controllable fabrication of Pt nanocatalyst supported on N-doped carbon
containing nickel nanoparticles for ethanol oxidation.
AB - In this paper, platinum nanoparticles were deposited on a carbon carrier with the
partly graphitized carbon and the highly dispersive carbon-coated nickel
particles. An efficient electron transfer structure can be fabricated by
controlling the contents of the deposited platinum. The high resolution
transmission electron microscopy images of Pt2/Ni@CN-doped sample prove the
electron transfer channel from Pt (1 1 1) crystal planes to graphite (1 0 0) or
Ni (1 1 1) crystal planes due to these linked together crystal planes. The
Pt3/Ni@CN-doped with low Pt contents cannot form the electron transfer structure
and the Pt1/Ni@CN-doped with high Pt contents show an obvious aggregation of Pt
nanoparticles. The electrochemical tests of all the catalysts show that the
Pt2/Ni@CN-doped sample presents the highest catalytic activity, the strongest CO
tolerance and the best catalytic stability. The high performance is attributed to
the efficient electronic transport structure of the Pt2/Ni@CN-doped sample and
the synergistic effect between Pt and Ni nanoparticles. This paper provides a
promising method for enhancing the conductivity of electrode material.
PMID- 29656069
TI - [Microscopic peritoneal metastases of epithelial ovarian cancers. Clinical
relevance, diagnostic and therapeutic tools].
AB - Understanding the biology and progression mechanisms of peritoneal metastases in
ovarian epithelial cancers (EOC) is important because peritoneal carcinomatosis
is present or will occur during surveillance of a majority of patients. Despite
the clinical remission achieved after complete macroscopic cytoreductive surgery
and platinum-based chemotherapy, 60% of patients will develop peritoneal
recurrence. This suggests that microscopic lesions, which are not eradicated by
surgery may be present and may participate in the mechanisms leading to
peritoneal recurrence. This paper discusses current available data on microscopic
peritoneal metastases, their diagnosis and their treatment. We reviewed all
publications dealing with microscopic peritoneal metastases of EOC between 1980
and 2017. The most recent and most relevant publications dealing with the
treatment modalities of these metastases were selected. Peritoneal and epiploic
microscopic localizations would occur in 1.2 to 15.1% of cases at early-stage and
are not treated during conventional surgery. They could represent a potential
therapeutic target. Local treatments (intraperitoneal chemotherapy, photodynamic
therapy, fluorescence-guided surgery) seem to be necessary in addition to surgery
and chemotherapy and may help reduce the risk of peritoneal recurrence. The place
of these treatments in the management of EOC remains to be defined by subsequent
researches.
PMID- 29656070
TI - [Is universal first trimester screening for high risk of preterm preeclampsia
clinically meaningful?]
PMID- 29656071
TI - [Natural cycle for frozen-thawed embryo transfer: Spontaneous ovulation or
triggering by HCG].
AB - OBJECTIVE: To compare frozen-thawed embryo transfer (FET) outcomes in natural
cycles according to ovulation induction: spontaneous versus recombinant human
chorionic gonadotrophin (r-hCG) triggering. METHODS: This retrospective study
included all patients monitored for natural cycle FET during one year. When
serial monitoring were performed until spontaneous LH rise, patients were
included in group A (n=38) whereas those receiving r-hCG for ovulation triggering
formed group B (n=43). All embryos had been cryopreserved by a vitrification
method following a previous IVF cycle. No luteal phase support had been given. We
compared outcomes between the 2 groups. RESULTS: After checking groups
comparability, we didn't find significant difference for the implantation rate,
clinical pregnancy rate and live birth (31% vs 45%, 32% vs 51% et 21% vs 32%,
respectively for group A and B). The number of monitoring was significantly lower
in group B (1,9+/-0,8 versus 2,5+/-1, P=0,006). DISCUSSION: Although no consensus
has been yet established, natural cycle seems indicated for normo-ovulating
patients but the question of ovulation induction is still debated. In our study,
triggering ovulation by r-hCG, respecting strict criteria, seems provide good
results while reducing both protocol's constraints and cost.
PMID- 29656072
TI - Enhanced wound healing of tissue-engineered human corneas through altered
phosphorylation of the CREB and AKT signal transduction pathways.
AB - : The cornea is a transparent organ, highly specialized and unique that is
continually subjected to abrasive forces and occasional mechanical or chemical
trauma because of its anatomical localization. Upon injury, the extracellular
matrix (ECM) rapidly changes to promote wound healing through integrin-dependent
activation of specific signal transduction mediators whose contribution is to
favor faster closure of the wound by altering the adhesive and migratory
properties of the cells surrounding the damaged area. In this study, we exploited
the human tissue-engineered cornea (hTECs) as a model to study the signal
transduction pathways that participate to corneal wound healing. By exploiting
both gene profiling and activated kinases arrays, we could demonstrate the
occurrence of important alterations in the level of expression and activation of
a few mediators from the PI3K/Akt and CREB pathways in response to the ECM
remodeling taking place during wound healing of damaged hTECs. Pharmacological
inhibition of CREB with C646 considerably accelerated wound closure compared to
controls. This process was considerably accelerated further when both C646 and
SC79, an Akt agonist, were added together to wounded hTECs. Therefore, our study
demonstrate that proper corneal wound healing requires the activation of Akt
together with the inhibition of CREB and that wound healing in vitro can be
altered by the use of pharmacological inhibitors (such as C646) or agonists (such
as SC79) of these mediators. STATEMENT OF SIGNIFICANCE: Corneal wounds account
for a large proportion of all visual disabilities in North America. To our
knowledge, this is the first time that a tissue-engineered human cornea (hTEC)
entirely produced using normal untransformed human cells is used as a biomaterial
to study the signal transduction pathways that are critical to corneal wound
healing. Through the use of this biomaterial, we demonstrated that human corneal
epithelial cells engaged in wound healing reduce phosphorylation of the signal
transduction mediator CREB while, in the mean time, they increase that of AKT. By
increasing the activation of AKT together with a decrease in CREB activation, we
could considerably reduce wound closure time in our punch-damaged hTECs.
Considering the increasing interest given to the reconstruction of different
types of tissues, we believe these results will have a strong impact on the field
of tissue-engineering and biomaterials. Altering the activation status of the Akt
and CREB proteins might prove to be a therapeutically interesting avenue and may
also find applications in wound healing of other tissues beside the cornea, such
as the skin.
PMID- 29656073
TI - Protective therapeutic effects of peptide nanofiber and hyaluronic acid hybrid
membrane in in vivo osteoarthritis model.
AB - : Osteoarthritis (OA) is a condition where tissue function is lost through a
combination of secondary inflammation and deterioration in articular cartilage.
One of the most common causes of OA is age-related tissue impairment because of
wear and tear due to mechanical erosion. Hyaluronic acid-based viscoelastic
supplements have been widely used for the treatment of knee injuries. However,
the current formulations of hyaluronic acid are unable to provide efficient
healing and recovery. Here, a nanofiber-hyaluronic acid membrane system that was
prepared by using a quarter of the concentration of commercially available
hyaluronic acid supplement, Hyalgan(r), was used for the treatment of an
osteoarthritis model, and Synvisc(r), which is another commercially available
hyaluronic acid containing viscoelastic supplement, was used as a control. The
results show that this system provides efficient protection of arthritic
cartilage tissue through the preservation of cartilage morphology with reduced
osteophyte formation, protection of the subchondral region from deterioration,
and maintenance of cartilage specific matrix proteins in vivo. In addition, the
hybrid nanofiber membrane enabled chondrocyte encapsulation and provided a
suitable culturing environment for stem cell growth in vitro. Overall, our
results suggest that this hybrid nanofibrous scaffold provides a potential
platform the treatment of OA. STATEMENT OF SIGNIFICANCE: Osteoarthritis is a
debilitating joint disease affecting millions of people worldwide. It occurs
especially in knees due to aging, sport injuries or obesity. Although hyaluronic
acid-based viscoelastic supplements are widely used, there is still no effective
treatment method for osteoarthritis, which necessitates surgical operation as an
only choice for severe cases. Therefore, there is an urgent need for efficient
therapeutics. In this study, a nanofiber-HA membrane system was developed for the
efficient protection of arthritic cartilage tissue from degeneration. This hybrid
nanofiber system provided superior therapeutic activity at a relatively lower
concentration of hyaluronic acid than Hyalgan(r) and Synvisc(r) gels, which are
currently used in clinics. This work demonstrates for the first time that this
hybrid nanofiber membrane scaffold can be utilized as a potential candidate for
osteoarthritis treatment.
PMID- 29656074
TI - Protein phosphatase 2A as a new target for downregulating osteoclastogenesis and
alleviating titanium particle-induced bone resorption.
AB - : Receptor activator of nuclear factor-kB ligand (RANKL)-induced
osteoclastogenesis is believed to play a critical role in osteolytic diseases
including peri-prosthetic osteolysis (PPO), the primary reason for implant
failure and revision surgery. In this study, we observed that protein phosphatase
2A (PP2A), a major serine-threonine phosphatase, was highly expressed in human
periprosthetic interface membranes with aseptic loosening and in a murine
osteolysis model induced by titanium particle irritation. PP2A inhibition
effectively alleviated titanium particle-induced bone destruction at osteolytic
sites. In addition, PP2A downregulation significantly decreased osteoclast
numbers and RANKL expression, compared with in animals treated with only
titanium. Mechanistically, a PP2A selective inhibitor or PP2A siRNA suppressed
osteoclastogenesis and alleviated osteoclastic resorption by inhibiting the RANKL
induced nuclear factor-kB and c-Jun N-terminal kinase signaling pathways.
Downstream NFATc1 and c-Fos expression were also substantially suppressed by PP2A
inhibition or knockdown. Our findings support the importance of PP2A during
osteoclastogenesis, identifying PP2A as a novel target for treating particle
induced or other osteoclast-mediated bone resorption diseases. STATEMENT OF
SIGNIFICANCE: Excessive osteoclast activation disrupts bone homeostasis and leads
to osteoclast-mediated bone resorption diseases, such as peri-prosthetic
osteolysis, regarded as the primary reason for implant failure and revision
surgery. Here, we firstly demonstrated protein phosphatase 2A (PP2A), a major
serine-threonine phosphatase, was highly expressed in human periprosthetic
interface membranes with aseptic loosening and murine osteolysis model. Moreover,
PP2A inhibition effectively alleviated titanium particle-induced bone destruction
and decreased osteoclast numbers. Meanwhile, a PP2A selective inhibitor or PP2A
siRNA suppressed osteoclastogenesis and alleviated osteoclastic resorption by
inhibiting the nuclear factor-kB and c-Jun N-terminal kinase signaling pathways.
Thus, PP2A is involved in osteoclastogenesis and could be a promising target for
regulating bone homeostasis and osteolytic responses.
PMID- 29656075
TI - 3D-printed scaffolds with bioactive elements-induced photothermal effect for bone
tumor therapy.
AB - : For treatment of bone tumor and regeneration of bone defects, the biomaterials
should possess the ability to kill tumor cells and regenerate bone defect
simultaneously. To date, there are a few biomaterials possessing such dual
functions, the disadvantages, however, such as long-term toxicity and
degradation, restrict their application. Although bioactive elements have been
incorporated into biomaterials to improve their osteogenic activity, there is no
report about elements-induced functional scaffolds for photothermal tumor
therapy. Herein, the elements (Cu, Fe, Mn, Co)-doped bioactive glass-ceramic
(BGC) scaffolds with photothermal effect and osteogenic differentiation ability
were prepared via 3D-printing method. Moreover, the photothermal anti-tumor
effect and osteogenic activity of these scaffolds were systematically
investigated. The prepared elements-doped scaffolds possessed excellent
photothermal performance, which displayed a trend, 5Cu-BGC > 5Fe-BGC > 5Mn-BGC >
5Co-BGC, in this study. The final temperature of elements-doped scaffolds can be
well controlled by altering the doping element categories, contents and laser
power density. Additionally, the hyperthermia induced by 5Cu-BGC, 5Fe-BGC and 5Mn
BGC effectively killed tumor cells in vitro and inhibited tumor growth in vivo.
More importantly, 5Fe-BGC and 5Mn-BGC scaffolds could promote rabbit bone
mesenchymal stem cells (rBMSCs) adhesion, and the ionic products released from
elements-doped scaffolds significantly stimulated the osteogenic differentiation
of bone-forming cells. These results suggested that 5Fe-BGC and 5Mn-BGC scaffolds
possessed promising potential for photothermal treatment of bone tumor and at the
same time for stimulating bone regeneration, representing a smart strategy for
the treatment of bone tumors by combining dual functional bioactive ions with
tissue engineering scaffolds. STATEMENT OF SIGNIFICANCE: The major innovation of
this study is that we fabricated the elements (Cu, Fe, Mn, Co)-doped bioactive
scaffolds via 3D printing technique and found that they possess distinct
photothermal performance and osteogenic differentiation ability. To the best of
our knowledge, there is no report about elements-doped scaffolds for photothermal
therapy of bone tumor. This is an important research advance by combining the
photothermal effect and osteogenic differentiation activity of bioactive elements
in the scaffold system for potential bone tumor therapy and bone reconstruction.
We optimized the elements-doped scaffolds and found the photothermal effect of
elements-doped scaffolds (5Cu-BGC, 5Fe-BGC, 5Mn-BGC) could effectively kill tumor
cells in vivo. The photothermal performance of elements-doped scaffolds follows a
trend: 5Cu-BGC > 5Fe-BGC > 5Mn-BGC > 5Co-BGC > BGC. Compared to traditional nano
sized photothermal agents, bioactive elements-induced functional scaffolds have
better biosecurity and bioactivity. Furthermore, 5Fe-BGC and 5Mn-BGC scaffolds
displayed excellent bone-forming activity by stimulating the osteogenic
differentiation of bone-forming cells. The major significance of the study is
that the elements-doped bioactive glass-ceramics (5Fe-BGC, 5Mn-BGC) have great
potential to be used as bifunctional scaffolds for photothermal tumor therapy and
bone regeneration, representing a smart strategy for the treatment of bone tumors
by combining dual functional bioactive ions with tissue engineering scaffolds.
PMID- 29656076
TI - Is improved lane keeping during cognitive load caused by increased physical
arousal or gaze concentration toward the road center?
AB - Driver distraction is one of the main causes of motor-vehicle accidents. However,
the impact on traffic safety of tasks that impose cognitive (non-visual)
distraction remains debated. One particularly intriguing finding is that
cognitive load seems to improve lane keeping performance, most often quantified
as reduced standard deviation of lateral position (SDLP). The main competing
hypotheses, supported by current empirical evidence, suggest that cognitive load
improves lane keeping via either increased physical arousal, or higher gaze
concentration toward the road center, but views are mixed regarding if, and how,
these possible mediators influence lane keeping performance. Hence, a simulator
study was conducted, with participants driving on a straight city road section
whilst completing a cognitive task at different levels of difficulty. In line
with previous studies, cognitive load led to increased physical arousal, higher
gaze concentration toward the road center, and higher levels of micro-steering
activity, accompanied by improved lane keeping performance. More importantly,
during the high cognitive task, both physical arousal and gaze concentration
changed earlier in time than micro-steering activity, which in turn changed
earlier than lane keeping performance. In addition, our results did not show a
significant correlation between gaze concentration and physical arousal on the
level of individual task recordings. Based on these findings, various multilevel
models for micro-steering activity and lane keeping performance were conducted
and compared, and the results suggest that all of the mechanisms proposed by
existing hypotheses could be simultaneously involved. In other words, it is
suggested that cognitive load leads to: (i) an increase in arousal, causing
increased micro-steering activity, which in turn improves lane keeping
performance, and (ii) an increase in gaze concentration, causing lane keeping
improvement through both (a) further increased micro-steering activity and (b) a
tendency to steer toward the gaze target.
PMID- 29656077
TI - Tumor classification with MALDI-MSI data of tissue microarrays: A case study.
AB - With mass spectrometry imaging (MSI) on tissue microarrays (TMAs) a large number
of biomolecules can be studied for many patients at the same time, making it an
attractive tool for biomarker discovery. Here we investigate whether lymph node
metastasis can be predicted from MALDI-MSI data. Measurements are performed on
TMAs and then filtered based on spectral intensity and the percentage of tumor
cells, after which the resulting data for 122 patients is further preprocessed.
We assume differences between patients with and without metastasis are expressed
in a limited number of features. Two univariate feature selection methods are
applied to reduce the dimensionality of the MALDI-MSI data. The selected features
are then used in combination with three classifiers. The best classification
scores are obtained with a decision tree classifier, which classifies about 72%
of patients correctly. Almost all the predictive power comes from a single peak
(m/z 718.4). The sensitivity of our classification approach, which can be
generically used to search for biomarkers, is investigated using artificially
modified data.
PMID- 29656078
TI - Microbial expression systems for membrane proteins.
AB - Despite many high-profile successes, recombinant membrane protein production
remains a technical challenge; it is still the case that many fewer membrane
protein structures have been published than those of soluble proteins. However,
progress is being made because empirical methods have been developed to produce
the required quantity and quality of these challenging targets. This review
focuses on the microbial expression systems that are a key source of recombinant
prokaryotic and eukaryotic membrane proteins for structural studies. We provide
an overview of the host strains, tags and promoters that, in our experience, are
most likely to yield protein suitable for structural and functional
characterization. We also catalogue the detergents used for solubilization and
crystallization studies of these proteins. Here, we emphasize a combination of
practical methods, not necessarily high-throughput, which can be implemented in
any laboratory equipped for recombinant DNA technology and microbial cell
culture.
PMID- 29656079
TI - Theory and practice of using solvent paramagnetic relaxation enhancement to
characterize protein conformational dynamics.
AB - Paramagnetic relaxation enhancement (PRE) has been established as a powerful tool
in NMR for investigating protein structure and dynamics. The PRE is usually
measured with a paramagnetic probe covalently attached at a specific site of an
otherwise diamagnetic protein. The present work provides the numerical
formulation for probing protein structure and conformational dynamics based on
the solvent PRE (sPRE) measurement, using two alternative approaches. An inert
paramagnetic cosolute randomly collides with the protein, and the resulting sPRE
manifests the relative solvent exposure of protein nuclei. To make the back
calculated sPRE values most consistent with the observed values, the protein
structure is either refined against the sPRE, or an ensemble of conformers is
selected from a pre-generated library using a Monte Carlo algorithm. The ensemble
structure comprises either N conformers of equal occupancy, or two conformers
with different relative populations. We demonstrate the sPRE method using GB1, a
structurally rigid protein, and calmodulin, a protein comprising two domains and
existing in open and closed states. The sPRE can be computed with a stand-alone
program for rapid evaluation, or with the invocation of a module in the latest
release of the structure calculation software Xplor-NIH. As a label-free method,
the sPRE measurement can be readily integrated with other biophysical techniques.
The current limitations of the sPRE method are also discussed, regarding accurate
measurement and theoretical calculation, model selection and suitable timescale.
PMID- 29656080
TI - An NMR strategy to detect conformational differences in a protein complexed with
highly analogous inhibitors in solution.
AB - This manuscript presents an NMR strategy to investigate conformational
differences in protein-inhibitor complexes, when the inhibitors tightly bind to a
protein at sub-nanomolar dissociation constants and are highly analogous to each
other. Using HIV-1 protease (PR), we previously evaluated amide chemical shift
differences, DeltaCSPs, of PR bound to darunavir (DRV) compared to PR bound to
several DRV analogue inhibitors, to investigate subtle but significant long
distance conformation changes caused by the inhibitor's chemical moiety variation
[Khan, S. N., Persons, J. D. Paulsen, J. L., Guerrero, M., Schiffer, C. A., Kurt
Yilmaz, N., and Ishima, R., Biochemistry, (2018), 57, 1652-1662]. However,
DeltaCSPs are not ideal for investigating subtle PR-inhibitor interface
differences because intrinsic differences in the electron shielding of the
inhibitors affect protein DeltaCSPs. NMR relaxation is also not suitable as it is
not sensitive enough to detect small conformational differences in rigid regions
among similar PR-inhibitor complexes. Thus, to gain insight into conformational
differences at the inhibitor-protein interface, we recorded 15N-half filtered
NOESY spectra of PR bound to two highly analogous inhibitors and assessed NOEs
between PR amide protons and inhibitor protons, between PR amide protons and
hydroxyl side chains, and between PR amide protons and water protons. We also
verified the PR amide-water NOEs using 2D water-NOE/ROE experiments. Differences
in water-amide proton NOE peaks, possibly due to amide-protein hydrogen bonds,
were observed between subunit A and subunit B, and between the DRV-bound form and
an analogous inhibitor-bound form, which may contribute to remote conformational
changes.
PMID- 29656081
TI - Utilizing dipole-dipole cross-correlated relaxation for the measurement of angles
between pairs of opposing CalphaHalpha-CalphaHalpha bonds in anti-parallel beta
sheets.
AB - Dipole-dipole cross-correlated relaxation (CCR) between two spin pairs is rich
with macromolecular structural and dynamic information on inter-nuclear bond
vectors. Measurement of short range dipolar CCR rates has been demonstrated for a
variety of inter-nuclear vector spin pairs in proteins and nucleic acids, where
the multiple quantum coherence necessary for observing the CCR rate is created by
through-bond scalar coupling. In principle, CCR rates can be measured for any
pair of inter-nuclear vectors where coherence can be generated between one spin
of each spin pair, regardless of both the distance between the two spin pairs and
the distance of the two spins forming the multiple quantum coherence. In
practice, however, long range CCR (lrCCR) rates are challenging to measure due to
difficulties in linking spatially distant spin pairs. By utilizing through-space
relaxation allowed coherence transfer (RACT), we have developed a new method for
the measurement of lrCCR rates involving CalphaHalpha bonds on opposing anti
parallel beta-strands. The resulting lrCCR rates are straightforward to interpret
since only the angle between the two vectors modulates the strength of the
interference effect. We applied our lrCCR measurement to the third immunoglobulin
binding domain of the streptococcal protein G (GB3) and utilize published NMR
ensembles and static NMR/X-ray structures to highlight the relationship between
the lrCCR rates and the CalphaHalpha-CalphaHalpha inter-bond angle and bond
mobility. Furthermore, we employ the lrCCR rates to guide the selection of sub
ensembles from the published NMR ensembles for enhancing the structural and
dynamic interpretation of the data. We foresee this methodology for measuring
lrCCR rates as improving the generation of structural ensembles by providing
highly accurate details concerning the orientation of CalphaHalpha bonds on
opposing anti-parallel beta-strands.
PMID- 29656082
TI - Ultra-high performance supercritical fluid chromatography hyphenated to
atmospheric pressure chemical ionization high resolution mass spectrometry for
the characterization of fast pyrolysis bio-oils.
AB - Extensive characterization of complex mixtures requires the combination of
powerful analytical techniques. A Supercritical Fluid Chromatography (SFC) method
was previously developed, for the specific case of fast pyrolysis bio oils, as an
alternative to gas chromatography (GC and GC * GC) or liquid chromatography (LC
and LC * LC), both separation methods being generally used prior to mass
spectrometry (MS) for the characterization of such complex matrices. In this
study we investigated the potential of SFC hyphenated to high resolution mass
spectrometry (SFC-HRMS) for this characterization using Negative ion Atmospheric
Pressure Chemical ionization ((-)APCI) for the ionization source. The interface
between SFC and (-)APCI/HRMS was optimized from a mix of model compounds with the
objective of maximizing the signal to noise ratio. The main studied parameters
included both make-up flow-rate and make-up composition. A methodology for the
treatment of APCI/HRMS data is proposed. This latter allowed for the
identification of molecular formulae. Both SFC-APCI/HRMS method and data
processing method were applied to a mixture of 36 model compounds, first analyzed
alone and then spiked in a bio-oil. In both cases, 19 compounds could be
detected. Among them 9 could be detected in a fast pyrolysis bio-oil by targeted
analysis. The whole procedure was applied to the characterization of a bio-oil
using helpful representations such as mass-plots, van Krevelen diagrams and
heteroatom class distributions. Finally the results were compared with those
obtained with a Fourier Transform ion-cyclotron resonance mass spectrometer (FT
ICR/MS).
PMID- 29656083
TI - Rapid determination of 3', 4'-dimethoxy flavonol-3-beta-d-glucopyranoside in rat
plasma by LC-MS/MS method followed by protein precipitation.
AB - A reliable liquid chromatography/tandem mass (LC-MS/MS) method was developed for
quantitation of 3', 4'-dimethoxy flavonol-3-beta-d-glucopyranoside (DF3G) in rat
plasma using pantoprazole as the internal standard. An Agilent C18 column (100 mm
* 3 mm, 3.5 MUm) was performed for chromatographic separation with the mobile
phase composed of methanol-water (containing formic acid) at a flow rate of 0.4
mL/min. A triple-quadrupole mass spectrometry equipped with an electrospray
ionization (ESI) source in positive ion mode was applied to quantitative analysis
by multiple reacting monitoring (MRM). The MRM precursor-product ion transition
of DF3G and Pantoprazole (IS) were m/z 461.1 -> 299.2 and 383.9 -> 200.2,
respectively. Calibration curves were recovered in a concentration range of 1-500
ng/mL for plasma with a limit of lower quantification (LLOQ) of 1 ng/mL. The
intra-day and inter-day precision were not >10%. The accuracy of DF3G ranged from
-10% to 0.53% in quality control (QC) samples at three concentrations. DF3G was
not degraded during the analysis and the storage period. All the data were
validated in accordance with the FDA bioanalytical method validation guideline.
The LC-MS/MS method was successfully employed in the pharmacokinetic study of the
DF3G after oral administration and intravenous injection in rats.
PMID- 29656084
TI - A sensitive and rapid ultra high-performance liquid chromatography with tandem
mass spectrometric assay for the simultaneous quantitation of cyclophosphamide
and the 4-hydroxycyclophosphamide metabolite in human plasma.
AB - Analysis of cyclophosphamide (CP) and its metabolite, 4-hydroxycyclophosphamide
(4OHCP), in a single assay has the ability to improve sampling techniques
benefitting both the patients who are receiving the drug and the clinicians
drawing samples. Due to instability in plasma (t1/2 = 4 min), immediate
stabilization of 4OHCP with phenylhydrazine is necessary upon sample draw. After
stabilization, 4OHCP and the stable CP prodrug concentrations can be analytically
measured to elucidate the pharmacokinetics, including half-life and exposure
parameters (Cmax and AUC). For this purpose, a sensitive analytical method was
developed to measure both the prodrug and active metabolite. A liquid-liquid
extraction recovered the analytes prior to analysis with an ultra HPLC-MS/MS. A
Thermo ScientificTM HypersilTM BDS C18, 2.1 * 100 mm, 3.0 MUm column was used for
compound separation. Mass transitions for CP (m/z 261.0 ? 140.0), the internal
standard d4-CP (m/z 265.0 ? 140.0), 4OHCP (m/z 367.3 ? 147.1), and the internal
standard AZD7451 (m/z 383.4 ? 341.1) were monitored over a calibration range of
34.24-34,240 ng/mL and 3.424-3424 ng/mL for CP and 4OHCP, respectively. Each
calibration range proved accurate (<15% deviation) and precise (<15% RSD) for the
desired compound. Using this method, CP and 4OHCP plasma levels can be measured
in clinical samples from patients receiving this therapy.
PMID- 29656085
TI - Validated HPTLC method for determination of ledol and alloaromadendrene in the
essential oil fractions of Rhododendron tomentosum plants and in vitro cultures
and bioautography for their activity screening.
PMID- 29656086
TI - HIV-1 non-R5 tropism correlates with a larger size of the cellular viral
reservoir and a detectable residual viremia in patients under suppressive ART.
AB - BACKGROUND: The influence of HIV-1 co-receptor usage on the course of therapy in
subjects fully responding to ART has been poorly investigated. OBJECTIVES: To
explore the relationship between co-receptor tropism and cellular reservoir size,
residual viremia and subsequent virological outcome in ART-treated patients with
HIV-1 RNA stable <50 copies/mL. STUDY DESIGN: Viral co-receptor usage was
predicted by viral env DNA sequencing with geno2pheno interpretation (FPR20%) and
classified as R5 and non-R5. Total blood-associated HIV-1 DNA levels (log10
copies/106 leukocytes) were measured by qRT-PCR (5'LTR). Residual plasma viremia
was categorized as detectable (1-49 cps/mL) or undetectable (<1 copy/mL).
Virological rebounds (any HIV-1 RNA >50 copies/mL) were evaluated over 96 weeks.
RESULTS: The study included 116 subjects. Patients with R5 virus (n = 59) and non
R5 virus (n = 57) were homogeneous for the main characteristics except for the
lower nadir CD4 cell count in the non-R5 group. Patients with non-R5 variants
showed higher levels of HIV-1 DNA as compared to patients with R5 virus: mean
2.47 (95% CI 2.37-2.56) vs 2.17 (2.08-2.26) (p < 0.001). Moreover, a higher
proportion of patients in the non-R5 group displayed detectable residual viremia
with respect to the R5-group (54.4% vs 32.2%, p = .016). Detectable residual
viremia was found to be significantly associated with viral rebounds. CONCLUSION:
The presence of non-R5 viral DNA variants is related to a higher probability of
residual viremia and to a larger size of the cellular viral reservoir in this
setting. These data highlight a potential role of viral tropism in the monitoring
of HIV-1 infection in virologically controlled subject.
PMID- 29656087
TI - Molecular features of Hepatitis E Virus circulation in environmental and human
samples.
AB - BACKGROUND AND OBJECTIVES: Hepatitis E virus (HEV) is emerging but its
circulation between humans and the environment remains misunderstood. HEV ORF2
gene encodes the capsid playing a key role in viral interactions with surfaces,
ORF3 products are involved in the viral cycle. Our aim was to study the molecular
characteristics of ORF2 and ORF3 which could favor HEV fitness in patients and
the environment. STUDY DESIGN: Samples from 69 patients with hepatitis
(blood/stools), 20 urban wastewaters, 20 effluents of a pig slaughterhouse, 22
farm pigs (stools), 20 wild boars (liver/stools) were collected in North-Eastern
France. HEV strains were analyzed by direct sequencing within the ORF2 M region,
of ORF2/ORF3, for phylogeny and physicochemical prediction and for ORF2 by ultra
deep sequencing. RESULTS: The results showed frequent HEV-positive samples: 9.1%
of the patient bloods, 23.1% of their stools; 25.0% of wastewaters, 75.0% for the
slaughterhouse, 10.0% of the boar livers, 5.3% of their stools. The strains were
classified as HEV genotype 3. In ORF2, HEV highlighted one homogeneous major
viral variant within quasispecies and a decrease in predicted antigenicity for
two minor mutations (D442G, V402A). A cysteine signature at position 81 in ORF3
was observed in the boars. CONCLUSIONS: HEV RNA genotype 3 was detected in
patients and in animals, in a slaughterhouse effluent and in wastewater.
Moreover, the low variability of amino acids in the ORF2 M region and molecular
features in ORF2 and ORF3 suggested that HEV strains could be advantageous for
key properties.
PMID- 29656088
TI - "Ne nnipadua mmpe" (the body hates it): Exploring the lived experience of food
allergy in Sub-Saharan Africa.
AB - Allergic diseases have closely followed the rise of non-communicable diseases
(NCDs) especially in western societies. As prevalence of NCD is increasing in sub
Saharan Africa (SSA), researchers are hinting that the same future may hold for
(food) allergic disease in this world region. Already, researchers are beginning
to record prevalence, though with little attention to the social experience of
individuals and parents with food allergic children. This paper presents the
first qualitative analysis of the daily realities of adults and parents of
children with allergies in SSA using Ghana as a case study. Drawing on political
ecology of health, this study contextualizes the psychological (e.g. anxiety and
fear), social (e.g. stigmatization, social exclusion), and economic (e.g. impact
on work & household expenditures) wellbeing of affected persons within the
broader sociocultural environment. By exploring the sociocultural environment,
the results provide insights into the likely structures (e.g. the lack of
familiarity, absence of local discursive repertoire on food allergy,
infrastructure deficit) which interact to shape anxiety, and social exclusion of
people with allergy. The case study provides evidence suggesting food allergies
do have a global reach, and policy makers must heed the message to integrate food
allergy into the broader chronic disease prevention agenda.
PMID- 29656089
TI - Microbiology catches the cryo-EM bug.
AB - Over the past few years, the advances in technology and methods that have
revolutionized cryo-EM are allowing for key insights in a variety of areas in
biology, and microbiology is no exception. A wide range of important
macromolecular assemblies in prokaryotic and eukaryotic cells, as well as intact
viruses, have now become accessible to investigation by new methods in 3D
electron microscopy. We focus here on selected examples that illustrate this
breadth, and review the application of methods in single particle cryo-EM and
cryo-electron tomography to progress in the structural biology of CRISPR systems,
visualization of small molecule drugs in membrane proteins, in situ visualization
of bacterial nanomachines, and the analysis of antigen-antibody interactions to
drive vaccine design.
PMID- 29656090
TI - Naturally occurring compounds in differentiation based therapy of cancer.
AB - Differentiation of cancer cells entails the reversion of phenotype from malignant
to the original. The conversion to cell type characteristic for another tissue is
named transdifferentiation. Differentiation/transdifferentiation of malignant
cells in high grade tumor mass could serve as a nonaggressive approach that
potentially limits tumor progression and augments chemosensitivity. While this
therapeutic strategy is already being used for treatment of hematological
cancers, its feasibility for solid malignancies is still debated. We will
presently discuss the natural compounds that show these properties, with focus on
anthraquinones from Aloe vera, Senna, Rheum sp. and hop derived prenylflavonoids.
PMID- 29656091
TI - Serial reversal learning and cognitive flexibility in two species of Neotropical
parrots (Diopsittaca nobilis and Pionites melanocephala).
AB - Serial reversal learning of colour discriminations was assessed as an index of
cognitive flexibility in two captive species of Neotropical parrots. Both species
showed similar performances across serial reversals and no between species
differences were observed. In a second task subjects' performances were assessed
after they experienced either a low or high pre-reversal learning criterion. If
reversal performances improve through processes of associative learning, a high
pre-reversal criterion is expected to strengthen previously learned associations
and hence impede post-reversal performances. Conversely, highly reinforced
associations may facilitate the use of conditional rules that can be generalised
across reversals and improve post-reversal performances. We found that high
criterion subjects made fewer post-reversal errors and required fewer trials to
reach criterion, than low criterion subjects. Red-shouldered macaws and black
headed caiques may therefore demonstrate capacities for solving serial reversal
problems by applying conditional rules, rather than learning solely by
associative processes. Such performances coincide with findings in great apes,
but contrast with findings in monkeys and prosimians, which generally show
impaired reversal performances when trained to a highly rigorous pre-reversal
criterion. Overall, these findings suggest an evolutionary convergence of
cognitive flexibility between parrots and non-human great apes.
PMID- 29656092
TI - Sleep deprivation impairs cognitive performance in zebrafish: A matter of fact?
AB - The zebrafish (Danio rerio) has become a valuable model organism for behavioral
studies examining learning and memory. Its diurnal circadian rhythm and
characterized sleep-like state make it comparable to mammals, features that have
contributed to establishing this small vertebrate as a translational model for
sleep research. Despite sleep being an evolutionarily conserved behavior, its
mechanisms and functions are still debated. Sleep deprivation is commonly
associated with decreased attention, reduced responsiveness to external stimuli,
altered locomotor activity and impaired performance on cognitive tasks. In the
current study, we examined the effects of partial and total sleep deprivation on
zebrafish learning performance in an active avoidance conditioning paradigm. In
addition, we examined the effects of two drugs known to alter sleep (alcohol and
melatonin) on learning performance in sleep deprived animals. Our results suggest
that although partial sleep deprivation did not alter learning performance, total
sleep deprivation was found to significantly impair behavioral responses to the
electric shock as well as avoidance learning. However, when sleep deprived fish
were treated with alcohol the night before the learning task, learning
performance was similar to the control group. In contrast, melatonin treatment
did not alter learning performance in sleep deprived animals. We conclude that
the zebrafish is a sensitive tool for investigating the effects of sleep
deprivation on cognitive performance and may be a useful model for dissecting the
mechanisms underlying learning and memory.
PMID- 29656093
TI - The role of singing style in song adjustments to fluctuating sound conditions: A
comparative study on Mexican birds.
AB - Many bird species adjust their songs to noisy urban conditions by which they
reduce masking and counteract the detrimental impact on signal efficiency.
Different species vary in their response to level fluctuations of ambient noise,
but it remains unclear why they vary. Here, we investigated whether noise
dependent flexibility may relate to singing style and signal function of the
flexible acoustic trait. Species with highly variable songs may generally be more
flexible and strongly repetitive singers may be more limited to stray from their
stringent patterns. We exposed males of four passerine species with contrasting
singing styles (repertoire size, immediate or eventual variety singing and
syllable diversity) to three experimental sound conditions: 1) continuous urban
noise; 2) intermittent white noise and 3) conspecific song playback. We found no
spectral or temporal changes in response to experimental noise exposure in any of
the four species, but significant temporal adjustment to conspecific playback in
one of them. We argue that the consistency in song frequency and timing may have
signal value, independent of singing style, and therefore be an explanation for
the general lack of noise-dependent flexibility in the four species of the
current study.
PMID- 29656094
TI - Social interaction with a tutor modulates responsiveness of specific auditory
neurons in juvenile zebra finches.
AB - Behavioral states of animals, such as observing the behavior of a conspecific,
modify signal perception and/or sensations that influence state-dependent higher
cognitive behavior, such as learning. Recent studies have shown that neuronal
responsiveness to sensory signals is modified when animals are engaged in social
interactions with others or in locomotor activities. However, how these changes
produce state-dependent differences in higher cognitive function is still largely
unknown. Zebra finches, which have served as the premier songbird model, learn to
sing from early auditory experiences with tutors. They also learn from playback
of recorded songs however, learning can be greatly improved when song models are
provided through social communication with tutors (Eales, 1989; Chen et al.,
2016). Recently we found a subset of neurons in the higher-level auditory cortex
of juvenile zebra finches that exhibit highly selective auditory responses to the
tutor song after song learning, suggesting an auditory memory trace of the tutor
song (Yanagihara and Yazaki-Sugiyama, 2016). Here we show that auditory responses
of these selective neurons became greater when juveniles were paired with their
tutors, while responses of non-selective neurons did not change. These results
suggest that social interaction modulates cortical activity and might function in
state-dependent song learning.
PMID- 29656095
TI - A protocol of human animal interaction to habituate young sheep and goats for
behavioural studies.
AB - Animal habituation is key to obtain reliable data on behavioural studies but
detailed procedures to achieve it are scarce. This study designed a set of
actions to habituate sheep and goats to human observers. Pelibuey sheep (n = 15)
and Criollo goats (n = 10) were classified as (a) avoider, flight from human
interaction, or (b) follower, seek human interaction. Habituation was measured by
the reduction of flight distance by avoiders, or number of followers in the
presence of observers. The habituation protocol consisted of a gradually
increased series of five manoeuvres, either challenge (for avoiders) or evasion
(for seekers), performed first inside a pen and subsequently in a grass paddock.
Habituation was considered successful when animals could be observed from a 1-m
distance without flight or following the observer. In the pen, habituation took
12 and 13 days for sheep and goats, respectively. Meanwhile, in the grass paddock
habituation took 10 days, for both species. The number of challenge and evasion
series was negatively correlated with the flight distance in sheep and with the
number of followers in goats. This protocol is simple and practical to implement
and enables animal habituation for behavioural studies.
PMID- 29656096
TI - The influence of exercise on anxiety-like behavior in zebrafish (Danio rerio).
AB - In non-human mammals, exercise has been shown to decrease anxiety-like behavior.
Conversely, a number of studies have reported no effect or even an increase in
anxiety-like behavior after exercise, however, inconsistent training regimes and
behavioral paradigms across studies may be confounding the results. Zebrafish
(Danio rerio) are a well-established animal model in neurobehavioral research,
and have the potential to shed new insight into the effects of exercise on
anxiety-like behavior where previous research has been limited, due to the
ability to precisely control intensity and duration of exercise, and the
validation of tests for measuring different aspects of anxiety-like behaviors. In
the current study, fish were split between two treatment groups; Exercised and
Control. Fish in the exercised condition were aerobically challenged (max water
velocity: 0.5 m/s) using a swim tunnel one hour a day, five days a week, for six
weeks. Control fish spent an equal amount of time in the swim tunnel but were not
aerobically challenged (max water velocity: 0.05 m/s). After six weeks, all fish
were tested individually in two standard complimentary anxiety tests for
zebrafish: the novel tank test and the light-dark test. Exercised fish exhibited
reduced anxiety-like behaviors in the novel tank test; they spent more time in
the top and were quicker to enter the top of a novel tank compared to Control
fish. In addition, Exercised fish spent more time in the light compartment of the
light-dark test compared to Control fish. Our results demonstrate the beneficial
effect of exercise on anxiety-like behavior in zebrafish.
PMID- 29656097
TI - Treatment of epilepsy in multiple sclerosis.
AB - PURPOSE: The prevalence of epilepsy is increased in multiple sclerosis (MS), but
information on AED treatment and seizure outcome is scarce. We describe epilepsy
characteristics including the use of AEDs and proportion of seizure-free patients
at two tertiary hospitals in Sweden. METHOD: We retrospectively studied
electronic medical records of all patients with a diagnosis of MS and seizures at
Sahlgrenska university hospital and Uppsala university hospital. Clinical data
were reviewed until 2017. RESULTS: We identified a total of 62 MS patients with
at least one seizure. Median age at the first seizure (before or after MS) was 41
years (range 0-80). The most common MS disease course at the first seizure was
secondary progressive MS, the neurological disability was considerable, and most
patients had several MRI lesions at their first seizure. The first EEG
demonstrated epileptiform discharges in 38% and unspecific pathology in 40%.
Current seizure status could be determined for 37 patients. Out of these, 46% had
been seizure free for more than one year at last follow-up. The majority of
patients (65%) were on monotherapy at last follow-up. Carbamazepine was the most
commonly used first AED, with a retention rate of 52%. No individual AED was
associated with a particularly high rate of seizure freedom. The most common
reason for discontinuation of the first AED was side-effects. CONCLUSION: Seizure
freedom rates were low, perhaps indicating a need for higher ambitions in
management. Side effects of AEDs may be a particular concern when treating
epilepsy in patients with MS.
PMID- 29656098
TI - A novel PIGA mutation in a Taiwanese family with early-onset epileptic
encephalopathy.
AB - PURPOSE: We report the first family with PIGA-associated epileptic encephalopathy
in Taiwan and hope to elucidate its special phenotype and inheritance pattern.
METHOD: We found a Taiwanese family with several members suffered from severe
epileptic encephalopathy (ZY07, ZY01, ZY04). To determine the underlying
etiology, whole exome sequencing was conducted. RESULTS: A single novel variant,
NM_002641: c.356G > A, p.Arg119Gln, was identified in the X chromosome PIGA gene
in our proband patient (ZY07). The patient's mother (ZY02) and aunt (ZY03) were
confirmed as carriers of the hemizygous variant. CONCLUSIONS: This paper
highlights the highly transmitted features of PIGA and other X-linked EIEEs,
raising awareness of rare forms of epileptic encephalopathy.
PMID- 29656099
TI - Hypsarrhythmia in epileptic spasms: Synchrony in chaos.
AB - PURPOSE: Hypsarrhythmia is an electroencephalographic pattern associated with
epileptic spasms and West syndrome. West syndrome is a devastating epileptic
encephalopathy, originating in infancy. Hypsarrhythmia has been deemed to be the
interictal brain activity, while the electrodecremental event associated with the
spasms is denoted as the ictal event. Though characterized as chaotic,
asynchronous and disorganized based on visual inspection of the EEG, little is
known of the dynamics of hypsarrhythmia and how it impacts the developmental
arrest of these infants. METHODS: As an exploratory and feasibility study, we
explored the dynamics of both hypsarrhythmia and electrodecremental events with
EEG phase synchronization methods, and in a convenience sample of three
outpatients with epileptic spasms. As ictal events are associated with prolonged
phase synchronization, we hypothesized that if hypsarrhythmia was indeed the
interictal brain activity that it would have lower phase synchronization than the
electrodecremental event (ictal phase). RESULTS: We calculated both the phase
synchronization index and the temporal variability of the index in three patients
with infantile spasms. Two patients had hypsarrhythmia and electrodecremental
events and one had hemi-hypsarrhythmia. We found that the hypsarrhythmia pattern
was a more synchronized state than the electrodecremental event. CONCLUSIONS: We
have observed that the hypsarrhythmia pattern may represent a more synchronized
state than the electrodecremental event in infants with epileptic spasms.
However, larger studies are needed to replicate and validate these findings.
Additionally, further inquiry is required to determine the impact that increased
synchronization may have on developmental outcomes in infants with epileptic
spasms.
PMID- 29656100
TI - Extracellular activity of NBAD-synthase is responsible for colouration of brown
spots in Ceratitis capitata wings.
AB - After the emergence of the Ceratitis capitata imago, the pale and folded wings
are expanded and sclerotized to acquire the definitive form and to stabilize the
cuticle. The wings of this fly show a specific pattern of brownish and black
spots. Black spots are pigmented by melanin, whereas there was scarce information
about the development of the brownish spots. N-beta-alanydopamine (NBAD) is the
main tanning precursor in C. capitata body cuticle, and we hypothesized that it
may be responsible for the colouration of the brownish spots. We determined the
topology and timing of NBAD synthesis and deposition to attain the species
specific colouration pattern. We demonstrated that during the first hours the
colour of the brownish spots was principally determined by the tanning of the
hairs. Haemolymph circulation through the veins is required to tan the wings. We
confirmed that soon after wing spreading, most of the wing epidermal cells
disappeared. Thus, the tanning of the brown spots was accomplished when the wing
lamina was devoid of cells. NBAD synthase (NBAD-S; Ebony protein in D.
melanogaster) activity in wings was detected in pharate adults and lasted several
days after the emergence, even after the end of the tanning process. This
observation is in contrast to epidermal NBAD-S activity in the body, where it was
nearly undetectable 48 h post emergence. Our results indicate that NBAD-S was
exported and deposited into the extracellular matrix of the brown spot areas
before cell death and that tanning occurs through gradual export of NBAD
precursors (dopamine and b-alanine) from veins.
PMID- 29656101
TI - Diurnal and developmental differences in gene expression between adult dispersing
and flightless morphs of the wing polymorphic cricket, Gryllus firmus:
Implications for life-history evolution.
AB - The functional basis of life history adaptation is a key topic of research in
life history evolution. Studies of wing-polymorphism in the cricket Gryllus
firmus have played a prominent role in this field. However, prior in-depth
investigations of morph specialization have primarily focused on a single
hormone, juvenile hormone, and a single aspect of intermediary metabolism, the
fatty-acid biosynthetic component of lipid metabolism. Moreover, the role of
diurnal variation in life history adaptation in G. firmus has been understudied,
as is the case for organisms in general. Here, we identify genes whose expression
differs consistently between the morphs independent of time-of-day during early
adulthood, as well as genes that exhibit a strong pattern of morph-specific
diurnal expression. We find strong, consistent, morph-specific differences in the
expression of genes involved in endocrine regulation, carbohydrate and lipid
metabolism, and immunity - in particular, in the expression of an insulin-like
peptide precursor gene and genes involved in triglyceride production. We also
find that the flight-capable morph exhibited a substantially greater number of
genes exhibiting diurnal change in gene expression compared with the flightless
morph, correlated with the greater circadian change in the hemolymph juvenile
titer in the dispersing morph. In fact, diurnal differences in expression within
the dispersing morph at different times of the day were significantly greater in
magnitude than differences between dispersing and flightless morphs at the same
time-of-day. These results provide important baseline information regarding the
potential role of variable gene expression on life history specialization in
morphs of G. firmus, and the first information on genetically-variable, diurnal
change in gene expression, associated with a key life history polymorphism. These
results also suggest the existence of prominent morph-specific circadian
differences in gene expression in G. firmus, possibly caused by the morph
specific circadian rhythm in the juvenile hormone titer.
PMID- 29656102
TI - Activity in vitro and in vivo against Trypanosoma cruzi of a furofuran lignan
isolated from Piper jericoense.
AB - Piperaceae species are abundant in the tropics and are important components of
secondary vegetation. Many of these plants have received considerable attention
due to their wide range of biological activities. Here, the trypanocidal activity
of extracts and fractions with different polarities obtained from Colombian Piper
jericoense plant was evaluated. A furofuran lignan, (1S,3aS,4S,6aS)-1-(3',4'
dimethoxyphenyl)-4-(3",4"-methylendioxyphenyl)hexahydrofuro[3,4-c]furan, (1), was
isolated from Colombian Piper jericoense leaves ethyl acetate extract. Its
relative configuration at the stereogenic centers was established on the basis of
various spectroscopic analyses, including 1D- (1H, 13C, and DEPT) and 2D-NMR
(COSY, NOESY, HMQC and HMBC) and a 2D INADEQUATE NMR experiment as well as by
comparison of their spectral data with those of related compounds such as (+)
Kobusin (2). The activity against Trypanosoma cruzi indicated that compound 1 was
active against all parasite forms (epimastigote, amastigote and trypomastigote)
and presented lower toxicity than the reference drug, benznidazole (Bz),
evidenced by a selective index of 18.4 compared to that of Bz, which was 6.7.
Moreover, this compound inhibited the infectious process, and it was active in
infected mice in the acute phase. This compound significantly inhibited the T.
cruzi Fe-SOD enzyme, whereas Cu/Zn-SOD from human cells was not affected.
Ultrastructural analyses, together with metabolism-excretion studies in the
parasite, were also performed to identify the possible mechanism of action of the
tested compound. Interestingly, the lignan affected the parasite structure, but
it did not alter the energetic metabolism.
PMID- 29656103
TI - Phylogeny of a cosmopolitan family of morphologically conserved trapdoor spiders
(Mygalomorphae, Ctenizidae) using Anchored Hybrid Enrichment, with a description
of the family, Halonoproctidae Pocock 1901.
AB - The mygalomorph family Ctenizidae has a world-wide distribution and currently
contains nine genera and 135 species. However, the monophyly of this group has
long been questioned on both morphological and molecular grounds. Here, we use
Anchored Hybrid Enrichment (AHE) to gather hundreds of loci from across the
genome for reconstructing the phylogenetic relationships among the nine genera
and test the monophyly of the family. We also reconstruct the possible ancestral
ranges of the most inclusive clade recovered. Using AHE, we generate a
supermatrix of 565 loci and 115,209 bp for 27 individuals. For the first time,
analyses using all nine genera produce results definitively establishing the non
monophyly of Ctenizidae. A lineage formed exclusively by representatives of South
African Stasimopus was placed as the sister group to the remaining taxa in the
tree, and the Mediterranean Cteniza and Cyrtocarenum were recovered with high
support as sister to exemplars of Euctenizidae, Migidae, and Idiopidae. All the
remaining genera-Bothriocyrtum, Conothele, Cyclocosmia, Hebestatis, Latouchia,
and Ummidia-share a common ancestor. Based on these results, we formally elevate
this clade to the level of family. Our results definitively establish both the
non-monophyly of the Ctenizidae and non-validity of the subfamilies Ummidiinae
and Ctenizinae. In order to establish the placement of the remaining three
ctenizid genera, Cteniza, Cyrtocarenum, and Stasimopus, thorough analyses within
the context of a complete mygalomorph phylogenetic framework are needed. We
formally describe the family Halonoproctidae Pocock 1901 and infer that the
family's most recent common ancestor was likely distributed in western North
America and Asia.
PMID- 29656104
TI - Oligocene origin and drivers of diversification in the genus Sticta (Lobariaceae,
Ascomycota).
AB - A major challenge to evolutionary biologists is to understand how biodiversity is
distributed through space and time and across the tree of life. Diversification
of organisms is influenced by many factors that act at different times and
geographic locations but it is still not clear which have a significant impact
and how drivers interact. To study diversification, we chose the lichen genus
Sticta, by sampling through most of the global range and producing a time tree.
We estimate that Sticta originated about 30 million years ago, but biogoegraphic
analysis was unclear in estimating the origin of the genus. Furthermore, we
investigated the effect of dispersal ability finding that Sticta has a high
dispersal rate, as collections from Hawaii showed that divergent lineages
colonized the islands at least four times. Symbiont interactions were
investigated using BiSSE to understand if green-algal or cyanobacterial symbiont
interactions influenced diversification, only to find that the positive results
were driven almost completely by Type I error. On the other hand, another BiSSE
analysis found that an association with Andean tectonic activity increases the
speciation rate of species.
PMID- 29656105
TI - Mitochondrial introgression obscures phylogenetic relationships among manakins of
the genus Lepidothrix (Aves: Pipridae).
AB - Lepidothrix is the most diverse genus of the family Pipridae, with eight
recognized species. Although the genus' monophyly has been supported by both
molecular and morphological characters, phylogenetic relationships and species
limits within Lepidothrix remain uncertain. In the present study, we combined
molecular sequences of mitochondrial (ND2 and COI) and nuclear (MYO, G3PDh and
I5BF) markers in a multilocus analysis, to evaluate relationships and inter
specific limits among L. iris, L. nattereri, and L. vilasboasi, which are known
to hybridize in eastern Amazonia. The results revealed a complex pattern, whereby
events of secondary contact and gene flow after isolation and genetic and
phenotypic differentiation prevented the recuperation of reciprocal monophyly
among the studied taxa. The mitochondrial data indicate that L. nattereri is
divided into two non-sister groups, one monophyletic, and the other,
paraphyletic, with L. iris iris being more closely related to one of the two L.
nattereri groups, while L. iris eucephala forms an undifferentiated clade with L.
vilasboasi, probably resulting from an extensive process of mitochondrial
introgression. In agreement with a previous study based on Single Nucleotide
Polymorphism (SNP) data, mitochondrial haplotype networks also support that L.
vilasboasi does not represent a recent "hybrid swarm" between L. iris and L.
nattereri, but instead a genetically divergent lineage with a separate species
status. Finally, the sister relationship recovered herein between L. iris iris
and some western populations of L. nattereri currently in allopatry is also
apparently explained by mitochondrial introgression, as also supported for
nuclear genes by SNP data, indicating a complex scenario of past contact and gene
flow between currently geographically distant Lepidothrix lineages.
PMID- 29656106
TI - Supporting cognitive control through competition and cooperation in childhood.
AB - Cognitive control is often engaged in social contexts where actions are socially
relevant. Yet, little is known about the immediate influence of the social
context on childhood cognitive control. To examine whether competition or
cooperation can enhance cognitive control, preschool and school-age children
completed the AX Continuous Performance Task (AX-CPT) in competitive,
cooperative, and neutral contexts. Children made fewer errors, responded faster,
and engaged more cognitive effort, as shown by greater pupil dilation, in the
competitive and cooperative social contexts relative to the neutral context.
Competition and cooperation yielded greater cognitive control engagement but did
not change how control was engaged (reactively or proactively). Manipulating the
social context can be a powerful tool to support cognitive control in childhood.
PMID- 29656107
TI - Statistical testing and power analysis for brain-wide association study.
AB - The identification of connexel-wise associations, which involves examining
functional connectivities between pairwise voxels across the whole brain, is both
statistically and computationally challenging. Although such a connexel-wise
methodology has recently been adopted by brain-wide association studies (BWAS) to
identify connectivity changes in several mental disorders, such as schizophrenia,
autism and depression, the multiple correction and power analysis methods
designed specifically for connexel-wise analysis are still lacking. Therefore, we
herein report the development of a rigorous statistical framework for connexel
wise significance testing based on the Gaussian random field theory. It includes
controlling the family-wise error rate (FWER) of multiple hypothesis testings
using topological inference methods, and calculating power and sample size for a
connexel-wise study. Our theoretical framework can control the false-positive
rate accurately, as validated empirically using two resting-state fMRI datasets.
Compared with Bonferroni correction and false discovery rate (FDR), it can reduce
false-positive rate and increase statistical power by appropriately utilizing the
spatial information of fMRI data. Importantly, our method bypasses the need of
non-parametric permutation to correct for multiple comparison, thus, it can
efficiently tackle large datasets with high resolution fMRI images. The utility
of our method is shown in a case-control study. Our approach can identify altered
functional connectivities in a major depression disorder dataset, whereas
existing methods fail. A software package is available at
https://github.com/weikanggong/BWAS.
PMID- 29656109
TI - Bile acids are important direct and indirect regulators of the secretion of
appetite- and metabolism-regulating hormones from the gut and pancreas.
AB - OBJECTIVE: Bile acids (BAs) facilitate fat absorption and may play a role in
glucose and metabolism regulation, stimulating the secretion of gut hormones. The
relative importance and mechanisms involved in BA-stimulated secretion of
appetite and metabolism regulating hormones from the gut and pancreas is not well
described and was the purpose of this study. METHODS: The effects of bile acids
on the secretion of gut and pancreatic hormones was studied in rats and compared
to the most well described nutritional secretagogue: glucose. The molecular
mechanisms that underlie the secretion was studied by isolated perfused rat and
mouse small intestine and pancreas preparations and supported by
immunohistochemistry, expression analysis, and pharmacological studies. RESULTS:
Bile acids robustly stimulate secretion of not only the incretin hormones,
glucose-dependent insulinotropic peptide (GIP), and glucagon-like peptide-1 (GLP
1), but also glucagon and insulin in vivo, to levels comparable to those
resulting from glucose stimulation. The mechanisms of GLP-1, neurotensin, and
peptide YY (PYY) secretion was secondary to intestinal absorption and depended on
activation of basolateral membrane Takeda G-protein receptor 5 (TGR5) receptors
on the L-cells in the following order of potency: Lithocholic acid (LCA)
>Deoxycholicacid (DCA)>Chenodeoxycholicacid (CDCA)> Cholic acid (CA). Thus BAs
did not stimulate secretion of GLP-1 and PYY from perfused small intestine in
TGR5 KO mice but stimulated robust responses in wild type littermates. TGR5 is
not expressed on alpha-cells or beta-cells, and BAs had no direct effects on
glucagon or insulin secretion from the perfused pancreas. CONCLUSION: BAs should
be considered not only as fat emulsifiers but also as important regulators of
appetite- and metabolism-regulating hormones by activation of basolateral
intestinal TGR5.
PMID- 29656108
TI - Epoxygenase inactivation exacerbates diet and aging-associated metabolic
dysfunction resulting from impaired adipogenesis.
AB - OBJECTIVE: When molecular drivers of healthy adipogenesis are perturbed, this can
cause hepatic steatosis. The role of arachidonic acid (AA) and its downstream
enzymatic cascades, such as cyclooxygenase, in adipogenesis is well established.
The exact contribution of the P450 epoxygenase pathway, however, remains to be
established. Enzymes belonging to this pathway are mainly encoded by the CYP2J
locus which shows extensive allelic expansion in mice. Here we aimed to establish
the role of endogenous epoxygenase during adipogenesis under homeostatic and
metabolic stress conditions. METHODS: We took advantage of the simpler genetic
architecture of the Cyp2j locus in the rat and used a Cyp2j4 (orthologue of human
CYP2J2) knockout rat in two models of metabolic dysfunction: physiological aging
and cafeteria diet (CAF). The phenotyping of Cyp2j4-/- rats under CAF was
integrated with proteomics (LC-MS/MS) and lipidomics (LC-MS) analyses in the
liver and the adipose tissue. RESULTS: We report that Cyp2j4 deletion causes
adipocyte dysfunction under metabolic challenges. This is characterized by (i)
down-regulation of white adipose tissue (WAT) PPARgamma and C/EBPalpha, (ii)
adipocyte hypertrophy, (iii) extracellular matrix remodeling, and (iv)
alternative usage of AA pathway. Specifically, in Cyp2j4-/- rats treated with a
cafeteria diet, the dysfunctional adipogenesis is accompanied by exacerbated
weight gain, hepatic lipid accumulation, and dysregulated gluconeogenesis.
CONCLUSION: These results suggest that AA epoxygenases are essential regulators
of healthy adipogenesis. Our results uncover their synergistic role in fine
tuning AA pathway in obesity-mediated hepatic steatosis.
PMID- 29656110
TI - Targeting hepatic pyruvate dehydrogenase kinases restores insulin signaling and
mitigates ChREBP-mediated lipogenesis in diet-induced obese mice.
AB - OBJECTIVE: Mitochondrial pyruvate dehydrogenase kinases 1-4 (PDKs1-4) negatively
regulate activity of the pyruvate dehydrogenase complex (PDC) by reversible
phosphorylation. PDKs play a pivotal role in maintaining energy homeostasis and
contribute to metabolic flexibility by attenuating PDC activity in various
mammalian tissues. Cumulative evidence has shown that the up-regulation of PDK4
expression is tightly associated with obesity and diabetes. In this
investigation, we test the central hypothesis that PDKs1-4 are a pharmacological
target for lowering glucose levels and restoring insulin sensitivity in obesity
and type 2 diabetes (T2D). METHODS: Diet-induced obese (DIO) mice were treated
with a liver-specific pan-PDK inhibitor 2-[(2,4-dihydroxyphenyl)
sulfonyl]isoindoline-4,6-diol (PS10) for four weeks, and results compared with
PDK2/PDK4 double knockout (DKO) mice on the same high fat diet (HFD). RESULTS:
Both PS10-treated DIO mice and HFD-fed DKO mice showed significantly improved
glucose, insulin and pyruvate tolerance, compared to DIO controls, with lower
plasma insulin levels and increased insulin signaling in liver. In response to
lower glucose levels, phosphorylated AMPK in PS10-treated DIO and HFD-fed DKO
mice is upregulated, accompanied by decreased nuclear carbohydrate-responsive
element binding protein (ChREBP). The reduced ChREBP signaling correlates with
down-regulation of hepatic lipogenic enzymes (ACC1, FAS, and SCD1), leading to
markedly diminished hepatic steatosis in both study groups, with lower
circulating cholesterol and triacylglyceride levels as well as reduced fat mass.
PS10-treated DIO as well as DKO mice showed predominant fatty acid over glucose
oxidation. However, unlike systemic DKO mice, increased hepatic PDC activity
alone in PS10-treated DIO mice does not raise the plasma total ketone body level.
CONCLUSION: Our findings establish that specific targeting of hepatic PDKs with
the PDK inhibitor PS10 is an effective therapeutic approach to maintaining
glucose and lipid homeostasis in obesity and T2D, without the harmful
ketoacidosis associated with systemic inhibition of PDKs.
PMID- 29656111
TI - Circulating histocompatibility antigen (HLA) gene products may help differentiate
benign from malignant indeterminate pulmonary lesions.
AB - BACKGROUND: This study explores the potential diagnostic utility of soluble Human
Leukocyte Antigen (sHLA) molecules differentially released by lung adenocarcinoma
and benign lung lesions. METHODS: Conditioned media from the NSCLC cell lines
H358 and H1703 were immunoblotted for soluble isoforms of major
histocompatibility complex (MHC) class I (ABC) and II (DRB1, DMB, and DQ)
antigens. Sera from 25 patients with benign and 25 patients with malignant
lesions were similarly evaluated to appraise the potential diagnostic value.
RESULTS: Higher concentrations of soluble HLA class I molecules were observed in
conditioned medium for the highly-invasive H1703 cell line, relative to the more
indolent H358 cells. Evaluation of these markers against a cohort of 50 cases
demonstrated that patients with malignant lesions possess higher levels of HLA
class I and II molecules relative to those with benign lesions (p < 0.05), with
exception to the primary isoform, DQA1, which was suppressed in malignancies. An
analysis of biomarker performance via ROC analysis revealed promising performance
(AUC > 0.75) for DMB and the 26 kDa isoform of DQ in distinguishing lesion
pathology. CONCLUSIONS: Soluble HLA molecules may have diagnostic value for early
stage NSCLC. Validation studies are currently underway using sera from a lung
cancer screening cohort.
PMID- 29656112
TI - Influence of immunosuppressive drugs on the CD30 molecule in kidney transplanted
patients.
AB - BACKGROUND: Soluble CD30 (sCD30) is a suggested marker for kidney transplantation
outcomes. We investigated whether sCD30 serum levels are influenced by
immunosuppression and whether they correlate with findings in protocol biopsies
and with CD30 gene expression in peripheral blood mononuclear cells (PBMC).
METHODS: We studied 118 kidney transplant recipients that initially received
tacrolimus (TAC) and, at month-3, were converted or not to sirolimus (SRL).
RESULTS: sCD30 serum levels gradually declined after transplantation, being the
decline more pronounced in the SRL group. CD30 gene expression in PBMC was higher
in the SRL group than in the TAC group. Patients with IF/TA >= I in the month-24
protocol biopsy had higher sCD30 levels than patients without IF/TA, in the SRL
group (P = .03) and in the TAC group (P = .07). CD30+ cells were observed in
three out of 10 biopsies with inflammatory infiltrate from the SRL group. In
mixed lymphocyte cultures, SRL and TAC diminished the number of CD30+ T cells and
the sCD30 levels in the supernatant, but the effect of SRL was stronger.
CONCLUSIONS: Overall, sCD30 levels are lower in SRL-treated patients, but the
association between increased sCD30 levels and IF/TA at month-24 post
transplantation is stronger in SRL than in TAC-treated patients.
PMID- 29656114
TI - Synergistic action of dual IGF1/R and MEK inhibition sensitizes childhood acute
lymphoblastic leukemia (ALL) cells to cytotoxic agents and involves
downregulation of STAT6 and PDAP1.
AB - Heterogeneous upregulation of multiple prosurvival pathways underlies resistance
to damage-induced apoptosis in acute lymphoblastic leukemia (ALL) cells despite
normal p53 responses. Here, we show that the dual combination of insulin-like
growth factor 1 (IGF1)/IGF1 receptor (IGF1/R) and mitogen-activated protein
kinase/extracellular signal-regulated kinase (ERK) kinase (MEK) inhibition using
AG1024 + U0126 can sensitize apoptosis-resistant ALL cells to ionizing radiation
induced DNA damage irrespective of effect of single pathway inhibition in vitro.
This AG1024 + U0126 combination also significantly potentiates the ability of the
core chemotherapy compounds vincristine, dexamethasone, and daunorubicin to kill
ALL cells in vitro. Evidence of the synergistic action of AG1024 + U0126 in
samples with variable basal levels of phosphorylated IGF1/Rbeta and ERK1/2
suggested additional targets of this drug combination. Consistent with this, gene
expression profiling identified 32 "synergy genes" differentially targeted by
IGF1/R + MEK inhibition and, among these, Signal transducer and activator of
transcription 6 (STAT6) and platelet-derived growth factor-associated protein 1
(PDAP1) were the most differentially downregulated cluster. Pearson correlation
analysesrevealed that STAT6 and PDAP1 display significant expression codependency
and a common expression pattern linked with other key "synergy" genes, supporting
their predicted role in an STAT6-ERK-nuclear factor kappa beta (NF-kappaB)
network. Knockdown studies revealed that loss of STAT6, but not PDAP1, impinges
on the cell cycle, causing reduced numbers of viable cells. In combination with
daunorubicin, STAT6 loss has an additive effect on cell killing, whereas PDAP1
loss is synergistic, indicating an important role of PDAP1 in the cellular
response to this anthracycline. Inhibition of STAT6 or PDAP1 may therefore
represent a potential novel therapeutic strategy for resistant ALL by enhancing
sensitivity to chemotherapy.
PMID- 29656113
TI - Conserved association of Argonaute 1 and 2 proteins with miRNA and siRNA pathways
throughout insect evolution, from cockroaches to flies.
PMID- 29656115
TI - Autophagy as a pharmacological target in hematopoiesis and hematological
disorders.
AB - Autophagy is involved in many cellular processes, including cell homeostasis,
cell death/survival balance and differentiation. Autophagy is essential for
hematopoietic stem cell survival, quiescence, activation and differentiation. The
deregulation of this process is associated with numerous hematological disorders
and pathologies, including cancers. Thus, the use of autophagy modulators to
induce or inhibit autophagy emerges as a potential therapeutic approach for
treating these diseases and could be particularly interesting for differentiation
therapy of leukemia cells. This review presents therapeutic strategies and
pharmacological agents in the context of hematological disorders. The pros and
cons of autophagy modulators in therapy will also be discussed.
PMID- 29656116
TI - Chromogranin-A and its derived peptides and their pharmacological effects during
intestinal inflammation.
AB - The gastrointestinal tract is the largest endocrine organ that produces a broad
range of active peptides. Mucosal changes during inflammation alter the
distribution and products of enteroendocrine cells (EECs) that play a role in
immune activation and regulation of gut homeostasis by mediating communication
between the nervous, endocrine and immune systems. Patients with inflammatory
bowel disease (IBD) typically have altered expression of chromogranin (CHG)-A
(CHGA), a major soluble protein secreted by EECs that functions as a pro-hormone.
CHGA gives rise to several bioactive peptides that have direct or indirect
effects on intestinal inflammation. In IBD, CHGA and its derived peptides are
correlated with the disease activity. In this review we describe the potential
immunomodulatory roles of CHGA and its derived peptides and their clinical
relevance during the progression of intestinal inflammation. Targeting CHGA and
its derived peptides could be of benefit for the diagnosis and clinical
management of IBD patients.
PMID- 29656117
TI - Baicalin modulates the Treg/Teff balance to alleviate uveitis by activating the
aryl hydrocarbon receptor.
AB - Autoimmune uveitis is a sight-threatening ocular inflammatory disorder.
Immunological inflammation is regarded as the key to pathogenesis in autoimmune
uveitis. Baicalin, the major bioactive component of Scutellaria baicalensis,
possesses immunomodulatory properties. However, the role of baicalin in uveitis
and its underlying mechanisms remain unclear. In the current study, we found that
baicalin treatment obviously inhibited the intraocular inflammatory process in
mice with experimental autoimmune uveitis, along with clear declines in
infiltrated inflammatory cells and inflammatory cytokine transcription in the
retina and draining lymph nodes. Furthermore, baicalin treatment increased the
frequency and number of regulatory T cells and decreased the frequency and number
of effector T cells (Th1 and Th17 cells) in the draining lymph nodes of mice with
experimental autoimmune uveitis. In vitro, baicalin treatment suppressed
interphotoreceptor retinoid binding protein-specific CD4+ T cell proliferation
and converted CD4+ T cell differentiation. Furthermore, the expression of aryl
hydrocarbon receptor was activated by baicalin treatment. Baicalin-mediated
modulation of CD4+ T cell differentiation was partially abrogated by the
suppression of aryl hydrocarbon receptor. These findings suggest that baicalin
modulates the Treg/Teff balance and CD4+ T cell proliferation to ameliorate
experimental autoimmune uveitis by activating the aryl hydrocarbon receptor.
PMID- 29656118
TI - Job loss, firm-level heterogeneity and mortality: Evidence from administrative
data.
AB - This paper estimates the effect of job loss on mortality for older male workers
with a strong labor force attachment. Using Dutch administrative data, we find
that job loss due to firm closure increased the probability of death within five
years by a sizable 0.60 percentage points. Importantly, this effect is estimated
using a model that controls for firm-level worker characteristics, such as lagged
firm-level annual average mortality rates. On the mechanism driving the effect of
job loss on mortality, we provide evidence for an effect running through stress
and changes in life style.
PMID- 29656119
TI - State of play and future direction with NOACs: An expert consensus.
AB - Atrial fibrillation (AF) and venous thromboembolism (VTE) are cardiovascular
conditions significant in contemporary practice. In both, the use of
anticoagulation with vitamin K antagonists (VKAs) has been traditionally used to
prevent adverse events. However, VKA therapy is associated with challenges
relating to dose maintenance, the need to monitor anticoagulation, and bleeding
risks. The non-vitamin K oral anticoagulants (NOACs) are becoming accepted as a
clear alternative to VKA therapy for both AF and VTE management. The aim of this
paper was to review contemporary evidence on the safety of NOACs in both
conditions. A comprehensive literature review was conducted to explore key safety
issues and expert consensus was achieved from eight professionals specialised in
AF and VTE care. Consensus-based statements were formulated where available
evidence was weak or contradictory. The expert statements in this paper form a
key overview of the safety of NOACs compared with VKA therapy, and the
comparative safety of different NOACs. It is apparent that a detailed patient
work-up is required in order to identify and manage individual risk factors for
bleeding and thrombosis prior to NOAC therapy. Additional measures, such as dose
reductions, may also be used to maintain the safety of NOACs in practice.
PMID- 29656120
TI - Systemic inflammatory response syndromes in the era of interventional cardiology.
AB - Systemic inflammatory response syndrome (SIRS), initially reported after
cardiovascular surgery, has been described after various interventional
cardiology procedures, including endovascular/thoracic aortic repair
(EVAR/TEVAR), implantation of heart rhythm devices, percutaneous coronary
intervention (PCI), electrophysiology procedures (EP), and transcatheter aortic
valve implantation (TAVI). In these settings, a comprehensive understanding of
the triggers, pathogenesis as well as a common diagnostic/therapeutic algorithm
is lacking and will be discussed in this review. SIRS occurs in about 40% and 50%
of patients undergoing TEVAR/EVAR and TAVI respectively; it affects 0.1% of
patients undergoing implantation of heart rhythm devices. Prevalence is unknown
after PCI or EP. Clinical presentation includes fever, dyspnoea/tachypnoea,
tachycardia, weakness, chest pain and pericardial/pleural effusion. Several
triggers can be identified, related to implanted devices, biomaterial, and
procedural aspects (prolonged hypotension, aneurysm thrombus manipulation, active
fixation atrial leads, coronary microembolization, balloon dilatation/stent
implantantation, contrast medium, coronary/myocardial microperforation).
Nonetheless, these triggers share three main pathogenic pathways leading to SIRS
clinical manifestations: leucocytes activation, endothelial injury/activation,
and myocardial/pericardial injury. Therapy consists of non-steroidal agents, with
corticosteroids as second-line treatment in non-responders. Although a benign
evolution is reported after implantation of heart rhythm devices, PCI and EP,
major adverse events may occur after EVAR/TEVAR and TAVI at short- and mid-term
follow up.
PMID- 29656121
TI - Molecular mechanism of selenoprotein P synthesis.
AB - BACKGROUND: Selenoprotein synthesis requires the reinterpretation of a UGA stop
codon as one that encodes selenocysteine (Sec), a process that requires a set of
dedicated translation factors. Among the mammalian selenoproteins, Selenoprotein
P (SELENOP) is unique as it contains a selenocysteine-rich domain that requires
multiple Sec incorporation events. SCOPE OF REVIEW: In this review we elaborate
on new data and current models that provide insight into how SELENOP is made.
MAJOR CONCLUSIONS: SELENOP synthesis requires a specific set of factors and
conditions. GENERAL SIGNIFICANCE: As the key protein required for proper selenium
distribution, SELENOP stands out as a lynchpin selenoprotein that is essential
for male fertility, proper neurologic function and selenium metabolism.
PMID- 29656122
TI - Selenoprotein synthesis and regulation in Archaea.
AB - BACKGROUND: The major biological form of selenium is that of the co
translationally inserted amino acid selenocysteine (Sec). In Archaea, the
majority of proteins containing Sec, selenoproteins, are involved in
methanogenesis. However, the function of this residue is often not known because
selenium-independent homologs of the selenoproteins can be employed, sometimes
even in one organism. SCOPE OF REVIEW: This review summarizes current knowledge
about the selenoproteins of Archaea, the metabolic pathways where they are
involved, and discusses the (potential) function of individual Sec residues.
Also, what is known about the "archaeal" way of selenoprotein synthesis, and the
regulatory mechanism leading to the replacement of the selenoproteins with
selenium-independent homologs, will be presented. Where appropriate, similarities
with (and differences to) the respective steps employed in the other two domains,
Bacteria and Eukarya, will be emphasized. MAJOR CONCLUSIONS: Genetic and
biochemical studies guided by analysis of genome sequences of Sec-encoding
archaea has revealed that the pathway of Sec synthesis in Archaea and Eukarya are
principally identical and that Sec insertion in Eukarya probably evolved from an
archaeal mechanism employed prior to the separation of the archaeal and eukaryal
lines of decent. GENERAL SIGNIFICANCE: In light of the emerging close
phylogenetic relationship of Eukarya and Archaea, archaeal models may be highly
valuable tools for unraveling "eukaryotic" principles in molecular and cell
biology.
PMID- 29656124
TI - Development of an improved rapid BACpro(r) protocol and a method for direct
identification from blood-culture-positive bottles using matrix-assisted laser
desorption ionization time-of-flight mass spectrometry.
AB - Matrix-assisted laser desorption ionization time-of-flight mass spectrometry
(MALDI-TOF MS) has been incorporated into pathogenic bacterial identification
methods and has improved their rapidity. Various methods have been reported to
directly identify bacteria with MALDI-TOF MS by pretreating culture medium in
blood culture bottles. Rapid BACpro(r) (Nittobo Medical Co., Ltd.) is a
pretreatment kit for effective collection of bacteria with cationic copolymers.
However, the Rapid BACpro(r) pretreatment kit is adapted only for MALDI Biotyper
(Bruker Daltonics K.K.), and there has been a desire to expand its use to VITEK
MS (VMS; bioMerieux SA). We improved the protocol and made it possible to analyze
with VMS. The culture medium bacteria collection method was changed to a method
with centrifugation after hemolysis using saponin; the cationic copolymer
concentration was changed to 30% of the original concentration; the sequence with
which reagents were added was changed; and a change was made to an ethanol/formic
acid extraction method. The improved protocol enhanced the identification
performance. When VMS was used, the identification rate was 100% with control
samples. With clinical samples, the identification agreement rate with the cell
smear method was 96.3%. The improved protocol is effective in blood culture rapid
identification, being both simpler and having an improved identification
performance compared with the original.
PMID- 29656123
TI - Selenium supplementation prevents metabolic and transcriptomic responses to
cadmium in mouse lung.
AB - BACKGROUND: The protective effect of selenium (Se) on cadmium (Cd) toxicity is
well documented, but underlying mechanisms are unclear. METHODS: Male mice fed
standard diet were given Cd (CdCl2, 18 MUmol/L) in drinking water with or without
Se (Na2SeO4, 20 MUmol/L) for 16 weeks. Lungs were analyzed for Cd concentration,
transcriptomics and metabolomics. Data were analyzed with biostatistics,
bioinformatics, pathway enrichment analysis, and combined transcriptome
metabolome-wide association study. RESULTS: Mice treated with Cd had higher lung
Cd content (1.7 +/- 0.4 pmol/mg protein) than control mice (0.8 +/- 0.3 pmol/mg
protein) or mice treated with Cd and Se (0.4 +/- 0.1 pmol/mg protein). Gene set
enrichment analysis of transcriptomics data showed that Se prevented Cd effects
on inflammatory and myogenesis genes and diminished Cd effects on several other
pathways. Similarly, Se prevented Cd-disrupted metabolic pathways in amino acid
metabolism and urea cycle. Integrated transcriptome and metabolome network
analysis showed that Cd treatment had a network structure with fewer gene
metabolite clusters compared to control. Centrality measurements showed that Se
counteracted changes in a group of Cd-responsive genes including Zdhhc11,
(protein-cysteine S-palmitoyltransferase), Ighg1 (immunoglobulin heavy constant
gamma-1) and associated changes in metabolite concentrations. CONCLUSION: Co
administration of Se with Cd prevented Cd increase in lung and prevented Cd
associated pathway and network responses of the transcriptome and metabolome. Se
protection against Cd toxicity in lung involves complex systems responses.
GENERAL SIGNIFICANCE: Environmental Cd stimulates proinflammatory and profibrotic
signaling. The present results indicate that dietary or supplemental Se could be
useful to mitigate Cd toxicity.
PMID- 29656125
TI - Strategic ultrasound-induced stress response of lactic acid bacteria on
enhancement of beta-glucosidase activity for bioconversion of isoflavones in
soymilk.
AB - The purpose of the study is to develop the new methodology of strategic
ultrasound treatment on lactic acid bacteria (LAB) to induce stress response for
the enhancement of beta-glucosidase activity that can be used for the
biotransformation of glucosides into aglycones isoflavones in soymilk. Among the
five LAB tested, Lactobacillus acidophilus BCRC 10695 showed the best ability to
release beta-glucosidase for further ultrasonic stimulation to induce proper
stress response. With ultrasound (20 kHz, amplitude at 20%) to irradiate on L.
acidophilus BCRC 10695 at stationary phase of growth for 2 min and 24 h of re
incubation, the beta-glucosidase activity was enhanced to 3.91 U/ml, which was
1.82 times of that without ultrasound treatment. Using the ultrasound-treated L.
acidophilus BCRC 10695 to ferment soymilk, the fraction of aglycones in total
isoflavones in soymilk was effectively increased from 21.8% initially to 97.9% in
24 h. The strategic ultrasound treatment on L. acidophilus BCRC 10695
demonstrated promotion of beta-glucosidase activity, and this methodology had the
potential to be applied in the production of functional soymilk by adding
probiotics LAB to increase the bioactive isoflavones and nutritional values for
human health.
PMID- 29656126
TI - Epigallocatechin-3-gallate protects Kuruma shrimp Marsupeneaus japonicus from
white spot syndrome virus and Vibrio alginolyticus.
AB - Epigallocatechin-3-gallate (EGCG) is the most abundant catechin in green tea and
exhibits potential antibacterial and anticancer activities. In this study, EGCG
was used in pathogen-challenge experiments in shrimp to discover its effect on
the innate immune system of an invertebrate. Kuruma shrimp Marsupeneaus japonicus
was used as an experimental model and challenged with white spot syndrome virus
(WSSV) and the Gram-negative bacterium Vibrio alginolyticus. Pathogen-challenge
experiments showed that EGCG pretreatment significantly delayed and reduced
mortality upon WSSV and V. alginolyticus infection, with VP-28 copies of WSSV
also reduced. Quantitative reverse transcription polymerase chain reaction
revealed the positive influence of EGCG on several innate immune-related genes,
including IMD, proPO, QM, myosin, Rho, Rab7, p53, TNF-alpha, MAPK, and NOS, and
we observed positive influences on three immune parameters, including total
hemocyte count and phenoloxidase and superoxide dismutase activities, by EGCG
treatment. Additionally, results showed that EGCG treatment significantly reduced
apoptosis upon V. alginolyticus challenge. These results indicated the positive
role of EGCG in the shrimp innate immune system as an enhancer of immune
parameters and an inhibitor of apoptosis, thereby delaying and reducing mortality
upon pathogen challenge. Our findings provide insight into potential therapeutic
or preventive functions associated with EGCG to enhance shrimp immunity and
protect shrimp from pathogen infection.
PMID- 29656127
TI - The protective effects of taurine on oxidative stress, cytoplasmic free-Ca2+ and
apoptosis of pufferfish (Takifugu obscurus) under low temperature stress.
AB - The present study was aimed to investigate the low temperature toxicity and its
protection by taurine in pufferfish. The experimental basal diets supplemented
with taurine at the rates of 250 (control), 550, 850, 1140, 1430, 1740 mg kg-1
were fed to fish for 8 weeks. The results showed that fish fed diet with taurine
had significantly improved weight gain and specific growth rate. After the
feeding trial, the fish were then exposed to low temperature stress. The results
showed that low temperature stress could induce reactive oxygen species (ROS)
generation, disturb the cytoplasm Ca2+ homeostasis, and lead to oxidative stress
and apoptosis. Compared with the control group, dietary taurine supplementation
groups increased antioxidant enzyme genes such as manganese superoxide dismutase
(Mn-SOD), glutathione peroxidase (GPx), glutathione reductase (GR) and catalase
(CAT), heat shock proteins (HSP70) and complement C3 (C3) mRNA levels under low
temperature stress. Meanwhile, dietary taurine supplementation groups reduced ROS
generation, and stabilized the cytoplasm Ca2+ under low temperature stress.
Furthermore, dietary taurine supplementation groups reduced apoptosis via
decreasing caspase-3 activity. This is the first report to demonstrate the
mechanisms of taurine against low temperature stress in fish.
PMID- 29656128
TI - Agrobacterium rhizogenes-mediated transformation of a dioecious plant model
Silene latifolia.
AB - Silene latifolia serves as a model species to study dioecy, the evolution of sex
chromosomes, dosage compensation and sex-determination systems in plants.
Currently, no protocol for genetic transformation is available for this species,
mainly because S. latifolia is considered recalcitrant to in vitro regeneration
and infection with Agrobacterium tumefaciens. Using cytokinins and their
synthetic derivatives, we markedly improved the efficiency of regeneration.
Several agrobacterial strains were tested for their ability to deliver DNA into
S. latifolia tissues leading to transient and stable expression of the GUS
reporter. The use of Agrobacterium rhizogenes strains resulted in the highest
transformation efficiency (up to 4.7% of stable transformants) in hairy root
cultures. Phenotypic and genotypic analyses of the T1 generation suggested that
the majority of transformation events contain a small number of independent T-DNA
insertions and the transgenes are transmitted to the progeny in a Mendelian
pattern of inheritance. In short, we report an efficient and reproducible
protocol for leaf disc transformation and subsequent plant regeneration in S.
latifolia, based on the unique combination of infection with A. rhizogenes and
plant regeneration from hairy root cultures using synthetic cytokinins. A
protocol for the transient transformation of S.latifolia protoplasts was also
developed and applied to demonstrate the possibility of targeted mutagenesis of
the sex linked gene SlAP3 by TALENs and CRISPR/Cas9.
PMID- 29656129
TI - No difference in implant survivorship and clinical outcomes between full
cementless and full-cemented fixation in primary total knee arthroplasty: A
systematic review and meta-analysis.
AB - BACKGROUND: The debate over the use of cemented or cementless fixation in total
knee arthroplasty (TKA) has never stopped since cementless fixation was
introduced. We undertook a systematic review and meta-analysis to evaluate the
optimal mode of fixation (full-cementless vs. full-cemented) in TKA. METHODS:
PubMed, Embase, and the Cochrane Library databases up to July 2017 were searched
to identify randomised controlled trials (RCTs) and quasi-RCTs comparing full
cementless TKA and full-cemented TKA. The primary outcome was implant
survivorship. Secondary outcomes included radiological outcomes (maximum total
point-motion [MTPM], radiolucent line, rotation degree) and clinical outcomes
(Western Ontario and McMaster Universities Osteoarthritis Index [WOMAC] score,
Knee Society Score [KSS] score, postoperative range of movement, blood loss and
complications). RESULTS: Seven studies were included in the systematic review and
meta-analysis. The mean follow-up was 7.1 years (range from 2 to 16.6 years).
There was no difference in implant survivorship (RR, 0.98; 95% CI, 0.95-1.01; p =
0.25; I2 = 0%), MTPM (weighted mean difference [WMD], 0.13 mm; 95% CI, -0.69
0.95; p = 0.75; I2 = 89.3%) and radiolucent line (RR, 1.36; 95% CI, 0.57-3.23; p
= 0.48; I2 = 54%) between the cementless and cemented methods. There was a mean
0.22 degrees more rotation in the full-cementless fixation group (95% CI, 0.13
0.32; p < 0.01; I2 = 28.5%). There were no significant differences relating to
clinical outcomes (WOMAC score, KSS score, postoperative range of movement, blood
loss and complications) between the two fixation groups. CONCLUSIONS: Although
more overall component rotation is found in full-cementless fixation, the implant
survivorship and clinical efficacy are likely similar between full-cementless and
full-cemented fixation. However, future RCTs with similar cementless prosthetic
coating and longer-term follow-up are still needed to confirm our findings.
PMID- 29656130
TI - Robotic resection of benign nonadrenal retroperitoneal tumors: A consecutive case
series.
AB - BACKGROUND: The deep location, narrow operative space and proximity to major
vessels make minimally invasive resection of nonadrenal retroperitoneal tumors
(NTRs) challenging and rarely reported. This study aimed to evaluate the safety
and feasibility of robotic resection of benign nonadrenal retroperitoneal tumors.
METHODS: The demographics and perioperative outcomes of a consecutive series of
patients who underwent robotic NTR resection between January 1, 2015, and August
30, 2017, were analyzed. RESULTS: Twenty-five patients (9 men and 16 women; mean
age, 50.5 years) were included in the study. The mean largest tumor diameter was
4.9 cm, 11 had a tumor larger than 5 cm and 13 had a tumor adherent to major
vessels. The mean operative time was 139.0 min, and the median estimated blood
loss was 50.0 mL (interquartile range, 30-80 mL). No patients required conversion
to laparotomy or blood transfusion. Postoperative morbidities occurred in 3
patients (12%), and all recovered after conservative treatment. The mean
postoperative hospital stay was 4.8 days. On histopathological examination all
the tumors were benign: lymphangioma (8, 32%), schwannoma (5, 20%), lymphatic
cyst (4, 16%), paraganglioma (2, 8%), bronchogenic cyst (2, 8%), mucinous
cystadenoma (1, 4%), neurofibroma (1, 4%), ganglioneuroma (1, 4%), and Castleman
disease (1, 4%). Neither large tumor size nor adherence to major vessels affected
the perioperative outcomes. CONCLUSIONS: Robotic resection of NRTs is safe and
feasible even for tumors which were large or adherent to major vessels.
PMID- 29656131
TI - Postoperative-treatment following open incisional hernia repair: A survey and a
review of literature.
AB - INTRODUCTION: Incisional hernias of the abdominal wall are frequent complication
after laparotomy (9-20%). Open incisional hernia repair with sublay mesh
placement (SMP) on the posterior rectus sheath is described as being a sufficient
method for repairing incisional hernia. In order to ensure wound healing and to
therefore prevent recurrence, carrying an abdominal binder (AB) or a pressure
dressing (PD) and physical rest for a certain time is the common postoperative
recommendation, though the evidence for post-operative treatment is low. Hence,
we conducted a survey to reveal the different recommendations given by surgical
departments (SD). METHODS: We conducted a survey among 65 German SDs of the XXX
Hospital Group. The SDs were interviewed about the number of open incisional
hernia repair with SMP in the time frame of 2013-2014, the known recurrence rate
(RR), their recommended prescription of the AB/PD and the time of physical rest.
RESULTS: The head physicians of 48 surgical departments answered the
questionnaire. The survey revealed 42 different recommendations of postoperative
treatment. The majority of the SDs advices 4 weeks (20,5%) of physical rest and
no prescription of the AB (29,5%). No correlation between the known RR and the
duration of physical rest was detected. No head physician's prescribes a PD.
CONCLUSIONS: Due to our findings we assume that a short period of physical rest
is a considerable postoperative treatment following an open incisional hernia
repair with SMP. By reducing the individual incapacity for work and immobility
this would have a social-economic impact. The use of a PD may prevent seroma
formation. Further investigations with randomized clinical trials are mandatory
to support our hypothesis.
PMID- 29656132
TI - Acquiring basic and advanced laparoscopic skills in novices using two-dimensional
(2D), three-dimensional (3D) and ultra-high definition (4K) vision systems: A
randomized control study.
AB - AIMS: To compare the performance of novices in laparoscopic peg transfer and
intra-corporeal suturing tasks in two-dimensional (2D), three-dimensional (3D)
and ultra-high definition (4K) vision systems. METHODS: Twenty-four novices were
randomly assigned to 2D, 3D and 4K groups, eight in each group. All participants
performed the two tasks on a box trainer until reaching proficiency. Their
performance was assessed based on completion time, number of errors and number of
repetitions using the validated FLS proficiency criteria. RESULTS: Eight
candidates in each group completed the training curriculum. The mean performance
time (in minutes) for the 2D group was 558.3, which was more than that of the 3D
and 4K groups of 316.7 and 310.4 min respectively (P < 0.0001). The mean number
of repetitions was lower for the 3D and 4K groups versus the 2D group: 125.9 and
127.4 respectively versus 152.1 (P < 0.0001). The mean number of errors was lower
for the 4K group versus the 3D and 2D groups: 1.2 versus 26.1 and 50.2
respectively (P < 0.0001). CONCLUSION: The 4K vision system improved accuracy in
acquiring laparoscopic skills for novices in complex tasks, which was shown in
significant reduction in number of errors compared to the 3D and the 2D vision
systems. The 3D and the 4K vision systems significantly improved speed and
accuracy when compared to the 2D vision system based on shorter performance time,
fewer errors and lesser number of repetitions.
PMID- 29656133
TI - Reply letter to: Letter to the editor on the article "Assessing associations of
anaemia with morbidity and mortality following liver transplantation".
PMID- 29656134
TI - Seroprevalence and risk factors of hepatitis E virus infection in cancer patients
in eastern China.
AB - OBJECTIVES: Hepatitis E virus (HEV) is a single-stranded RNA virus infecting a
variety of animals and humans. Little is known of HEV infection among cancer
patients in China. This study provides new epidemiological data for the
prevalence of HEV co-infection in cancer patients, indicating that HEV infection
is common in this group. METHODS: A total of 950 cancer patients and 950 control
volunteers from Shandong Province, eastern China, were recruited to participate
in this investigation. Blood samples from patients and controls were examined for
anti-HEV IgG and IgM antibodies using ELISAs. RESULTS: The overall seroprevalence
of IgG and IgM antibodies to HEV was significantly higher in cancer patients
(247/950, 26.0%) than in controls (123/950, 13.0%) (p<0.001). In cancer patients,
22.7% of serum samples were anti-HEV IgG-positive, and in control subjects, 11.0%
were anti-HEV IgG-positive (p<0.001). For IgM antibodies, seroprevalence was 4.2%
in cancer patients (n=40) and 2.1% in controls (n=20) (p=0.009). The
seroprevalence of HEV was higher in cancer patients who had dogs in their home
(31.7%) when compared to other cancer patients. The highest seroprevalence of HEV
infection was found in leukemia patients (32.3%), followed by liver cancer
patients (31.1%); the lowest HEV seroprevalence was detected in patients with
gastric cancer (18.9%). CONCLUSIONS: This investigation revealed that the
seroprevalence of HEV was significantly higher in cancer patients than in
controls in eastern China. Therefore, cancer patients are a high-risk population
and should be kept away from sources of HEV infection.
PMID- 29656135
TI - Two cases of disseminated infection following live organism anti-cancer vaccine
administration in cancer patients.
AB - Vaccines containing live attenuated bacterial or viral organisms are currently
being investigated as potential therapy for locally advanced or metastatic
cancers. However, the use of such live organisms in an immunocompromised
population, such as patients who recently or are currently receiving
chemotherapy, raises the concern that these organisms can themselves disseminate
and cause frank infection. We report a hereunto unreported phenomenon of anti
cancer vaccines (containing live attenuated organisms) leading to frank,
disseminated infection. We submit that occurrence of this phenomenon must be
watched for by all members of the interdisciplinary cancer treatment team.
PMID- 29656136
TI - Streptococcus gallolyticus subsp. pasteurianus meningitis complicated by venous
sinus thrombosis: A case report.
AB - A case of Streptococcus gallolyticus subsp. pasteurianus meningitis, unusually
occurring in a splenectomized patient and complicated by cerebral venous
thrombosis, is described. Following presentation with meningism and diagnosis and
management of S. gallolyticus meningitis, the patient presented again with a
further 4days of fevers and subsequently developed left-sided paresthesias.
Cerebral imaging revealed a venous thrombus in the right frontal cortical veins
and left sigmoid sinus. The patient recovered following 4 weeks of intravenous
ceftriaxone and anticoagulation with enoxaparin and then warfarin. Apart from the
splenectomy, no underlying cause was found. The patient was commenced on life
long prophylactic amoxicillin, given appropriate vaccinations, and anticoagulated
with warfarin. After initial difficulties, identification of the causative
organism to the subspecies level was confirmed by analysis of short-read whole
genome sequencing data. This case demonstrates two features that have not
previously been reported for S. gallolyticus subsp. pasteurianus infections:
splenectomy as a potential risk factor and that infection may be complicated by
cerebral venous thrombosis. The resolution provided by whole genome sequencing
was valuable in accurately identifying the bacterial subspecies.
PMID- 29656138
TI - Inosine Monophosphate Dehydrogenase Pharmacogenetics in Hematopoietic Cell
Transplantation Patients.
AB - We evaluated inosine monophosphate dehydrogenase (IMPDH) 1 and IMPDH2
pharmacogenetics in 247 recipient-donor pairs after nonmyeloablative
hematopoietic cell transplant (HCT). Patients were conditioned with total body
irradiation + fludarabine and received grafts from related or unrelated donors
(10% HLA mismatch), with postgraft immunosuppression of mycophenolate mofetil
(MMF) with a calcineurin inhibitor. Recipient and donor IMPDH genotypes
(rs11706052, rs2278294, rs2278293) were not associated with day 28 T cell
chimerism, acute graft-versus-host disease (GVHD), disease relapse,
cytomegalovirus reactivation, nonrelapse mortality, or overall survival.
Recipient IMPDH1 rs2278293 genotype was associated with a lower incidence of
chronic GVHD (hazard ratio, .72; P = .008) in nonmyeloablative HCT recipients.
Additional studies are needed to confirm these results with the goal of
identifying predictive biomarkers to MMF that lower GVHD.
PMID- 29656137
TI - Haploidentical Peripheral Blood Stem Cell Transplantation Demonstrates Stable
Engraftment in Adults with Sickle Cell Disease.
AB - We report on the screening and development of haploidentical hematopoietic stem
cell transplantation (HSCT) for adult patients with clinically aggressive sickle
cell disease (SCD) at our institution. Of 50 adult SCD patients referred for HSCT
between January 2014 and March 2017, 20% were denied by insurance. Of 41 patients
initially screened, 10% lacked an available haploidentical donor, 29% had
elevated donor-specific antibodies (DSAs), and 34% declined to proceed to HSCT.
All 10 patients who were transplanted received peripheral blood stem cells. The
initial 2 were conditioned with alemtuzumab/total body irradiation (TBI) 3 Gy
followed by post-transplant cyclophosphamide and failed to engraft. The next 8
patients received the regimen developed at Johns Hopkins University with TBI 3
Gy. Granulocyte colony-stimulating factor was administered from day +12 in those
with HbS < 30%. All 8 patients engrafted with a median time to neutrophil >.5 *
109/L of 22 days (range, 18 to 23). One patient subsequently lost the graft, and
7 (87.5%) maintained >95% donor cell chimerism at 1-year post-HSCT. Two patients
developed acute graft-versus-host disease (GVHD) of at least grade II. One had
chronic GVHD and died >1 year after HSCT of unknown causes. With a median follow
up of 16 months (range, 11 to 29), 7 patients (87.5%) are alive. Our findings
suggest that limited insurance coverage, high rate of DSAs, and patient declining
HSCT may limit the availability of haploidentical HSCT in adult SCD patients. The
modified Hopkins regimen used here demonstrates high engraftment and low
morbidity rates and should be tested in larger, multicenter, prospective clinical
trials.
PMID- 29656139
TI - Analysis of Clinical Factors and Outcomes Associated with Nonuse of Collected
Peripheral Blood Stem Cells for Autologous Stem Cell Transplants in Transplant
Eligible Patients with Multiple Myeloma.
AB - Collection and storage of peripheral blood stem cells (PBSCs) for use in
autologous stem cell transplantation (ASCT) upon first disease relapse is an
accepted practice for eligible patients with multiple myeloma (MM). However,
little is known about the factors and outcomes associated with nonuse of these
collected and stored PBSCs by MM patients who intended to have a delayed ASCT.
From January 1, 2004 to December 31, 2014 we identified 342 patients who
underwent collection and storage of their PBSCs in anticipation of a delayed ASCT
upon first disease relapse. Among these, 176 patients (11%) had not proceeded to
a delayed ASCT at the time of this study analysis. The most common reason for not
undergoing an ASCT was not experiencing a relapse on first-line therapy (53%, n =
94). However, 11% of patients (n = 37) who planned for a delayed ASCT were unable
to undergo an ASCT at disease relapse. Comparison with a control group of MM
patients who underwent an upfront ASCT suggested a worse overall survival from
diagnosis in these patients who were ASCT ineligible at disease relapse (112
versus 80 months, P = .011). This study provides valuable data for patients and
care providers to take into consideration when deciding on whether to pursue an
upfront or a delayed ASCT.
PMID- 29656140
TI - Outcomes after open and laparoscopic appendectomy during pregnancy: A meta
analysis.
AB - OBJECTIVES: Acute appendicitis is the most prevalent cause of non-obstetrical
surgical disease during pregnancy. There is no consensus on the optimal surgical
management of acute appendicitis in pregnancy. Our aim is to identify surgical
and obstetrical outcomes of laparoscopic (LA) and open approach (OA) in pregnant
patients with acute appendicitis. STUDY DESIGN: Medline, Scopus, Google Scholar,
Cochrane CENTRAL Register of Controlled Trials and Clinicaltrials.gov databases
were searched for articles published up to May 2017, along with the references of
all articles. Prospective and retrospective trials reporting outcomes among
pregnant women undergoing laparoscopic and open appendectomy were included. Of
the 493 records screened, 20 were eligible for meta-analysis. The Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA) guidelines were
followed. Statistical meta-analysis was performed using the RevMan 5.3 software.
RESULTS: A total of 6210 pregnant women from twenty studies were included in meta
analysis. Laparoscopic appendectomy was associated with significantly lower
overall complication rates and shorter hospital stays (1835 patients OR 0.48 95%
CI 0.29, 0.80 p = 0.005). While the open appendectomy group showed prolongation
of gestational age for term deliveries, laparoscopic appendectomy patients had
higher rates of fetal loss (543 patients MD -0.46 weeks 95% CI-0.87 to -0.04, p =
0.03 and 4867 patients OR 1.82 95% CI 1.30 to 2.57, p = 0.0006, respectively).
CONCLUSIONS: Current literature remains inconclusive on the optimal approach of
appendectomy in pregnant women. Further larger-volume studies are needed in order
to elucidate the critical effect of laparoscopic appendectomy on fetal loss
rates.
PMID- 29656141
TI - Wnt5a suppresses inflammation-driven intervertebral disc degeneration via a TNF
alpha/NF-kappaB-Wnt5a negative-feedback loop.
AB - OBJECTIVE: This study was to investigate the molecular role of Wnt5a on
inflammation-driven intervertebral disc degeneration (IVDD). METHODS: The
expression of Wnt5a was analyzed in human nucleus pulposus (NP) tissues with
immunohistochemical staining. The effects of Wnt5a on matrix production were
assessed by RT-qPCR and western blotting. Small interfering RNAs (siRNAs),
promoter deletion assay, and promoter binding site mutant were used to reveal the
molecular role of Wnt5a in TNF-alpha-induced matrix metalloproteinase (MMP)
expression. The regulatory effects of TNF-alpha on Wnt5a were investigated with
pharmachemical inhibitors and siRNA experiment. RESULTS: The expression of Wnt5a
was elevated in moderately degenerated human NP tissue with similar expression
pattern of TNF-alpha. In NP cells, Wnt5a significantly increased aggrecan and
collagen II expression. Inhibition of JNK or interfering Sox9 gene expression
significantly suppressed Wnt5a-induced matrix production. AP-1(JunB) binding
sites were located in Sox9 promoter and mutation of these sites sabotaged Wnt5a
induced Sox9 up-regulation and subsequent matrix genes expression. Notably,
Wnt5a, which was induced by TNF-alpha, on the other way round suppressed TNF
alpha-NF-kappaB (p65) signaling and subsequent MMPs expression. In vivo studies
with MR imaging confirmed the protective role of Wnt5a in IVDD. CONCLUSIONS:
Wnt5a, which can be induced by TNF-alpha, increased matrix production in a Sox9
dependent manner through the activation of JNK-AP1 (JunB) signaling, and
antagonized TNF-alpha-induced up-regulation of MMPs through the inhibition of NF
kappaB signaling. It indicates that Wnt5a suppresses IVDD through a TNF-alpha/NF
kappaB-Wnt5a negative-feedback loop.
PMID- 29656142
TI - Management of foot/ankle osteoarthritis by Australian general practitioners: an
analysis of national patient-encounter records.
AB - OBJECTIVE: To document the management of foot/ankle osteoarthritis/arthritis (OA)
by general practitioners (GP) in Australia. DESIGN: We analysed data from the
Bettering the Evaluation and Care of Health Program April 2010-March 2016
inclusive. Patient and GP encounter characteristics were extracted. Data were
classified by the International Classification of Primary Care, Version 2, and
summarised using descriptive statistics and 95% confidence intervals (95% CIs)
around point estimates. RESULTS: The dataset included 583,900 patient-encounter
records among which foot/ankle OA was managed 621 times, at a rate of 1.1 per
1000 encounters, with an annual estimated 152,000 GP encounters nationally. The
management rate was most frequent among patients aged 65-74 years (2.25 per 1000
encounters). Comorbidities were managed at a rate of 105.8 per 100 encounters,
the most common being hypertension, and few being other musculoskeletal problems.
Foot/ankle OA was mostly managed using medication (64.6 per 100 problems), with
prescription rates far exceeding non-pharmacological strategies such as
counselling, advice or education (17.7 per 100), or allied health referral (10.1
per 100). When considering specific health/medical professionals, patients were
referred to orthopaedic surgeons 8.4 times per 100 foot/ankle problems,
podiatrists 6.3 times per 100 foot/ankle problems, and physiotherapists 2.6 times
per 100 foot/ankle problems. CONCLUSIONS: Pharmacological management rates of
foot/ankle OA were high and substantially exceeded non-pharmacological management
such as lifestyle advice and allied health referral. Longitudinal studies are
needed to determine the effectiveness of this care compared to self-management
and conservative non-drug treatment in people with foot/ankle OA.
PMID- 29656143
TI - Quantitative imaging of bone-cartilage interactions in ACL-injured patients with
PET-MRI.
AB - OBJECTIVE: To investigate changes in bone metabolism by positron emission
tomography (PET), as well as spatial relationships between bone metabolism and
magnetic resonance imaging (MRI) quantitative markers of early cartilage
degradation, in anterior cruciate ligament (ACL)-reconstructed knees. DESIGN:
Both knees of 15 participants with unilateral reconstructed ACL tears and
unaffected contralateral knees were scanned using a simultaneous 3.0T PET-MRI
system following injection of 18F-sodium fluoride (18F-NaF). The maximum pixel
standardized uptake value (SUVmax) in the subchondral bone and the average T2
relaxation time in cartilage were measured in each knee in eight knee
compartments. We tested differences in SUVmax and cartilage T2 relaxation times
between the ACL-injured knee and the contralateral control knee as well as
spatial relationships between these bone and cartilage changes. RESULTS:
Significantly increased subchondral bone 18F-NaF SUVmax and cartilage T2 times
were observed in the ACL-reconstructed knees (median [inter-quartile-range
(IQR)]: 5.0 [5.8], 36.8 [3.6] ms) compared to the contralateral knees (median
[IQR]: 1.9 [1.4], 34.4 [3.8] ms). A spatial relationship between the two markers
was also seen. Using the contralateral knee as a control, we observed a
significant correlation of r = 0.59 between the difference in subchondral bone
SUVmax (between injured and contralateral knees) and the adjacent cartilage T2
(between the two knees) [P < 0.001], with a slope of 0.49 ms/a.u. This
correlation and slope were higher in deep layers (r = 0.73, slope = 0.60 ms/a.u.)
of cartilage compared to superficial layers (r = 0.40, slope = 0.43 ms/a.u.).
CONCLUSIONS: 18F-NaF PET-MR imaging enables detection of increased subchondral
bone metabolism in ACL-reconstructed knees and may serve as an important marker
of early osteoarthritis (OA) progression. Spatial relationships observed between
early OA changes across bone and cartilage support the need to study whole-joint
disease mechanisms in OA.
PMID- 29656144
TI - Short- and long-term management of cases of venom-induced anaphylaxis is
suboptimal.
AB - BACKGROUND: Venom-induced anaphylaxis (VIA) accounts for severe reactions.
However, little is known about the short- and long-term management of VIA
patients. OBJECTIVE: To assess the short- and long-term management of VIA.
METHODS: Using a national anaphylaxis registry (C-CARE), we identified VIA cases
presenting to emergency departments in Montreal and to emergency medical services
(EMSs) in western Quebec over a 4-year period. Data were collected on clinical
characteristics, triggers, and management. Consenting patients were contacted
annually regarding long-term management. Univariate and multivariate logistic
regressions were used to identify factors associated with epinephrine use,
allergist assessment, and administration of immunotherapy. RESULTS: Between June
2013 and May 2017, 115 VIA cases were identified. Epinephrine was administered to
63.5% (95% confidence interval [CI], 53.9%-72.1%) of all VIA cases by a health
care professional. Treatment of reactions without epinephrine was more likely in
reactions occurring at home and in nonsevere cases (no hypotension, hypoxia, or
loss of consciousness). Among 48 patients who responded to a follow-up
questionnaire, 95.8% (95% CI, 84.6%-99.3%) were prescribed epinephrine auto
injector, 68.8% (95% CI, 53.6%-80.9%) saw an allergist who confirmed the allergy
in 63.6% of cases, and 81.0% of those with positive testing were administered
immunotherapy. Among cases with follow-up, seeing an allergist was less likely in
patients with known ischemic heart disease. CONCLUSION: Almost 30% of patients
with suspected VIA did not see an allergist, only two thirds of those seeing an
allergist had allergy confirmation, and almost one fifth of those with confirmed
allergy did not receive immunotherapy. Educational programs are needed to bridge
this knowledge-to-action gap.
PMID- 29656145
TI - SQ house dust mite sublingual immunotherapy tablet subgroup efficacy and local
application site reaction duration.
AB - BACKGROUND: Allergic rhinitis with or without conjunctivitis (AR/C) is common,
necessitating evaluation of SQ house dust mite (HDM) sublingual immunotherapy
(SLIT)-tablet efficacy in various subgroups. OBJECTIVE: To evaluate 12 SQ-HDM
efficacy and safety across subgroups, and the onset, duration, and recurrence of
local application site reactions. METHODS: Subgroup (age, sex, race, asthma
status, and allergen sensitization) efficacy was assessed using pooled data from
2 previously described trials of daily 12 SQ-HDM vs placebo for AR/C (n = 2,138).
Efficacy was measured by average total combined rhinitis score (TCRS; rhinitis
daily symptom plus medication score) during the last 8 weeks of treatment. Safety
in subgroups and local application site reaction onset, duration, and recurrence
were evaluated using pooled data from 5 previously described trials of SQ HDM
SLIT-tablet (n = 2,923). RESULTS: Significant (based on 95% confidence intervals
[CIs]) reduction in TCRS was seen with 12 SQ-HDM relative to placebo across all
subgroups, with TCRS improvements ranging from 15% to 25%. The AE profile was
generally similar within subgroups. Approximately 95% of local application site
reactions were mild to moderate in severity. Median duration on day 1 of
treatment for the most common local application site reactions (throat
irritation, oral pruritus, ear pruritus, and lip swelling) ranged from 30 to 60
minutes; median first day of onset ranged from days 1 to 4 of treatment; median
days that reactions recurred ranged from 3 to 12 days. CONCLUSION: Treatment with
12 SQ-HDM consistently improved symptoms and was well tolerated in relevant
subgroups of subjects with HDM AR/C. Local application site reactions to 12 SQ
HDM were typically mild to moderate and transient.
PMID- 29656147
TI - Liver fibrosis: Direct antifibrotic agents and targeted therapies.
AB - Liver fibrosis and in particular cirrhosis are the major causes of morbidity and
mortality of patients with chronic liver disease. Their prevention or reversal
have become major endpoints in clinical trials with novel liver specific drugs.
Remarkable progress has been made with therapies that efficiently address the
cause of the underlying liver disease, as in chronic hepatitis B and C. Highly
effective antiviral therapy can prevent progression or even induce reversal in
the majority of patients, but such treatment remains elusive for the majority of
liver patients with advanced alcoholic or nonalcoholic steatohepatitis, genetic
or autoimmune liver diseases. Moreover, drugs that would speed up fibrosis
reversal are needed for patients with cirrhosis, since even with effective causal
therapy reversal is slow or the disease may further progress. Therefore, highly
efficient and specific antifibrotic agents are needed that can address advanced
fibrosis, i.e., the detrimental downstream result of all chronic liver diseases.
This review discusses targeted antifibrotic therapies that address molecules and
mechanisms that are central to fibrogenesis or fibrolysis, including strategies
that allow targeting of activated hepatic stellate cells and myofibroblasts and
other fibrogenic effector cells. Focus is on collagen synthesis, integrins and
cells and mechanisms specific including specific downregulation of TGFbeta
signaling, major extracellular matrix (ECM) components, ECM-crosslinking, and ECM
receptors such as integrins and discoidin domain receptors, ECM-crosslinking and
methods for targeted delivery of small interfering RNA, antisense
oligonucleotides and small molecules to increase potency and reduce side effects.
With an increased understanding of the biology of the ECM and liver fibrosis and
an improved preclinical validation, the translation of these approaches to the
clinic is currently ongoing. Application to patients with liver fibrosis and a
personalized treatment is tightly linked to the development of noninvasive
biomarkers of fibrosis, fibrogenesis and fibrolysis.
PMID- 29656146
TI - Genetics of the extracellular matrix in aortic aneurysmal diseases.
AB - Aortic aneurysms are morbid conditions that can lead to rupture or dissection and
are categorized as thoracic (TAA) or abdominal aortic aneurysms (AAA) depending
on their location. While AAA shares overlapping risk factors with atherosclerotic
cardiovascular disease, TAA exhibits strong heritability. Human genetic studies
in the past two decades have successfully identified numerous genes involved in
both familial and sporadic forms of aortic aneurysm. In this review we will
discuss the genetic basis of aortic aneurysm, focusing on the extracellular
matrix and how insights from these studies have informed our understanding of
human biology and disease pathogenesis.
PMID- 29656148
TI - Basement membrane mechanics shape development: Lessons from the fly.
AB - Basement membrane plays a foundational role in the structure and maintenance of
many tissues throughout the animal kingdom. In addition to signaling to cells
through cell-surface receptors, basement membrane directly influences the
development and maintenance of organ shape via its mechanical properties. The
mechanical properties of basement membrane are dictated by its composition,
geometry, and crosslinking. Distinguishing between the ways the basement membrane
influences morphology in vivo poses a major challenge. Drosophila melanogaster,
already established as a powerful model for the analysis of cell signaling, has
in recent years emerged as a tractable model for understanding the roles of
basement membrane stiffness in vivo, in shaping and maintaining the morphology of
tissues and organs. In addition to the plethora of genetic tools available in
flies, the major proteins found in vertebrate basement membranes are all present
in Drosophila. Furthermore, Drosophila has fewer copies of the genes encoding
these proteins, making flies more amenable to genetic manipulation than
vertebrate models. Because the development of Drosophila organs has been well
characterized, these different organ systems offer a variety of contexts for
analyzing the role of basement membrane in development. The developing egg
chamber and central nervous system, for example, have been important models for
assessing the role of basement membrane stiffness in influencing organ shape.
Studies in the nervous system have also shown how basement membrane stiffness can
influence cellular migration in vivo. Finally, work in the imaginal wing disc has
illuminated a distinct mechanism by which basement membrane can alter organ shape
and size, by sequestering signaling ligands. This mini-review highlights the
recent discoveries pertaining to basement membrane mechanics during Drosophila
development.
PMID- 29656149
TI - Total Laparoscopic Ureteroneocystostomy for Ureteral Endometriosis: A Single
Center Experience of 160 Consecutive Patients.
AB - STUDY OBJECTIVE: To investigate the efficacy of laparoscopic ureteroneocystostomy
in patients with deep infiltrating endometriosis (DIE) with ureteral,
parametrial, and bowel involvement. DESIGN: Prospective study (Canadian Task
Force classification II-2). SETTING: Tertiary referral center for endometriosis
care. PATIENTS: One hundred sixty patients with DIE underwent laparoscopic
radical eradication and ureteroneocystostomy between January 2009 and December
2016. INTERVENTIONS: Laparoscopic nerve-sparing radical treatment with
ureteroneocystostomy, parametrectomy, and, if necessary, segmental bowel
resection. MEASUREMENTS AND MAIN RESULTS: Surgical eradication was radical, and
ureteral endometriosis was histologically confirmed in all patients (45.6%
intrinsic and 54.4% extrinsic). In 58.7% of patients ureteroneocystostomy was
performed with the psoas hitch technique. Bowel resection was performed in 121
patients (75.6%), and 115 of them had a concomitant ileostomy (71.9%). Unilateral
parametrectomy was performed on the left side in 61.9% of patients and on the
right side in 30% of patients, respectively, whereas bilateral parametrectomy was
completed in 33 patients (20.6%). Postoperative complications were infrequent: 7
patients underwent reoperation (4.4%), 8 patients experienced fever (5%), 4
patients required blood transfusion (2.5%), 3 patients had intestinal fistulas
(1.9%), and 24 patients experienced impaired bladder voiding (15%) after 6
months. Mean follow-up time was 20.5 months (range, 1-60). The study reported
good clinical and surgical results, with a regression of symptoms (p < .001) and
recurrence of parametrial endometriosis of 1.2% that required opposite-side
ureteroneocystostomy. CONCLUSION: This is the largest documented series of
patients with DIE undergoing laparoscopic radical eradication and
ureteroneocystostomy. The collected data show that in patients with ureteral
endometriosis, this technique is feasible, effective, and safe and provides good
results in terms of relapses and symptoms' control.
PMID- 29656150
TI - Safety, Efficacy, and Cost-Analysis of Percutaneous Endoscopic Gastrostomy and
Ventriculoperitoneal Shunt Placement in a Simultaneous Surgery.
AB - BACKGROUND: Limited historical data suggest that concomitant placement of both a
ventriculoperitoneal (VP) shunt and percutaneous endoscopic gastrostomy (PEG)
tube is associated with an increased risk of complications, including VP shunt
infections. Here we compare the outcomes and cost difference between 2 groups of
patients, one in which a VP shunt and PEG tube were placed in the same operation
and the other in which separate operations were performed. METHODS: A total of 10
patients underwent simultaneous placement of a VP shunt and PEG tube. This group
was compared with a group of 18 patients that underwent separate placements.
Hospital billing charges were used to compare the total cost of the procedures in
the 2 groups. RESULTS: Eight of the 10 patients presented with aneurysmal
subarachnoid hemorrhage. The average length of stay was 25 +/- 2 days for the
simultaneous procedure group and 43 +/- 7 days for the separate procedures group.
The average duration of follow-up was 12 +/- 3 months after simultaneous
placement. No patient in the simultaneous surgery group had signs of infection or
shunt malfunction at last follow-up. The overall complication rate was
significantly lower in the simultaneous surgery group. A cost analysis
demonstrated significant cost savings by completing both procedures in the same
surgical procedure. CONCLUSIONS: Simultaneous placement of a PEG tube and VP
shunt is safe, efficacious, and cost-effective. Thus, in patients requiring both
a VP shunt and PEG tube, placement of both devices in a single surgical procedure
should be considered.
PMID- 29656151
TI - Total Hospital Costs and Length of Stay of Endovascular Coiling Versus
Neurosurgical Clipping for Unruptured Intracranial Aneurysms: Systematic Review
and Meta-Analysis.
AB - OBJECTIVE: Comparison of feasibility and safety between endovascular coiling
versus neurosurgical clipping for the management of unruptured intracranial
aneurysms (UIAs) has been incrementally reported. However, economic comparison
has been rarely reported. This meta-analysis aims at qualitatively and
quantitatively analyzing the difference of hospital costs and length of stay
between endovascular versus neurosurgical treatment in UIA. METHODS: MEDLINE, the
Cochrane database, EMBASE, and Web of Science database were searched for cohort
studies describing economic hospital cost or length of stay in patients with UIA.
Two authors independently assessed study eligibility and rated quality using the
Newcastle Ottawa Scale. Ravmen 5.2 was used to perform forest plot analysis.
RESULTS: Nine studies describing 24,856 UIAs treated with neurosurgical clipping
and 31,309 UIAs treated with endovascular coiling were included. Meta-analysis
revealed that the total hospital costs (THCs) were similar between coiling and
clipping in UIA patients (standard mean difference [SMD]: -0.33, 95% confidence
interval: -0.68 to 0.02, I2 = 99%, P = 0.07). Subgroup analysis showed that THCs
of coiling were significantly lower than clipping in the United States but
opposite in South Korea. One-year medical costs of coiling were similar in both
groups (SMD: -0.04, 95% CI: -0.08 to 0.00, I2 = 0%, P = 0.07). In addition, the
length of stay of coiling were significantly shorter than that of clipping (SMD:
0.69, 95% CI: 0.56-0.81, I2 = 95%, P < 0.001). CONCLUSION: Generally, no
significant difference in THCs and 1-year medical costs between coiling versus
clipping in UIAs was observed. However, the length of stay of endovascular
coiling was much shorter than neurosurgical clipping and decreased over time.
PMID- 29656152
TI - Occipital Condyle Fractures and Concomitant Cervical Spine Fractures:
Implications for Management.
AB - BACKGROUND: Occipital condyle fractures (OCFs) have traditionally been described
based on anatomic characteristics; however, recent literature has proposed
management based on biomechanical stability and neural element compression. The
treatment of biomechanically stable fractures varies between observation and
cervical immobilization. Before determining the best management approach, an
understanding of concomitant cervical spine fractures in the presence of OCFs is
important. The primary aim of this pilot study was to determine the rate of
occurrence of biomechanically significant cervical spine fractures with OCFs.
METHODS: A retrospective chart review was performed of 13,363 patients presenting
to a level 1 trauma center between 2013 and 2017 with a diagnosis of OCF.
RESULTS: Forty-six patients presented with OCFs, with an average Glasgow Coma
Scale score of 12 on presentation and an average Injury Severity Score of 23. The
average patient age was 42.1 years, and 4 patients had bilateral OCFs.
Approximately 30% of these patients had associated intracranial injuries and 59%
had an associated cervical spine injury. The overall rate of associated
potentially biomechanically significant cervical spine fracture was 43.5%.
Treatment of OCFs included collar immobilization (83%) and observation (17%). The
average duration of follow-up was 3.37 months. CONCLUSIONS: This study
characterizes cervical spine fractures that occur concomitantly with OCFs. The
results indicate that more than one-half of patients with OCFs do not have
biomechanically significant fractures elsewhere in the cervical spine. This
subset of patients will be the cohort for a prospective study to assess whether
collar immobilization is necessary.
PMID- 29656153
TI - Prevalence of Incidental Clinoid Segment Saccular Aneurysms.
AB - INTRODUCTION: Clinoid segment aneurysms are cerebral vascular lesions recently
described in the neurosurgical literature. They arise from the clinoid segment of
the internal carotid artery, which is the segment limited rostrally by the dural
carotid ring and caudally, by the carotid-oculomotor membrane. Even although
clinoid segment aneurysms represent a common incidental finding in magnetic
resonance studies, its prevalence has not been yet reported. OBJECTIVE: To
determine the prevalence of incidental clinoid segment saccular aneurysms
diagnosed by magnetic resonance imaging as well as their anatomic architecture
and their association with smoking, arterial hypertension, age, and sex of
patients. METHODS: A total of 500 patients were prospectively studied with
magnetic resonance imaging time-of-flight sequence and angioresonance with
contrast material, to search for incidental saccular intracranial aneurysms. The
site of primary interest was the clinoid segment, but the presence of aneurysms
in any other location was determined for comparison. The relation among the
presence of clinoid segment aneurysms, demographic factors, and secondary
diagnosis of arterial hypertension, smoking, and other vascular/neoplastic
cerebral lesions was analyzed. RESULTS: We found a global prevalence of
incidental aneurysms of 7% (95% confidence interval, 5-9), with a prevalence of
clinoid segment aneurysms of 3% (95% confidence interval, 2-4). Univariate
logistic regression analysis showed a statistically significant relationship
among incidental aneurysms, systemic arterial hypertension (P = 0.000), and
smoking (P = 0.004). CONCLUSIONS: In the studied population, incidental clinoid
segment aneurysms constitute the variety with highest prevalence.
PMID- 29656154
TI - Acute Recanalization of a Partially Thrombosed Large Intracranial Aneurysm.
AB - BACKGROUND: Thrombosed large intracranial aneurysms (TLIAs) are not continuously
contemplated as stable lesions. Spontaneous recanalization of completely occluded
large intracranial aneurysms has been described previously. CASE DESCRIPTION: We
report a middle-aged patient presenting with agitation, acute headache, visual
field defects, and left hemiparesis. A large thrombosed posterior communicating
(PCom) artery aneurysm was identified with an infarct at the same arterial
territory on neuroimaging studies. Digital subtraction angiography (DSA)
performed 1 week later demonstrated complete recanalization of the TLIA. It was
treated endovascularly with coils. The patient returned several days later with
augmenting headaches due to quadrigeminal system subarachnoid hemorrhage. Repeat
DSA showed filling of the coiled aneurysm from the internal carotid artery
injection. The PCom artery was catheterized and deconstructed. The patient was
discharged to home with no additional neurologic deficits. CONCLUSIONS: TLIAs are
insidious vascular lesions. They can cause nerve or vessel damage by a mass
effect or through ischemic stroke by emitting emboli into distal vasculature. We
advise close periodic radiologic follow-up for TLIAs.
PMID- 29656155
TI - Enhancing photocatalytic activities of titanium dioxide via well-dispersed copper
nanoparticles.
AB - The modification of titanium dioxide (TiO2) using noble metal nanoparticles is
considered as a promising technique to make electrode with outstanding
photocatalytic performance. In this paper, self-organized anodic TiO2 nanotube
arrays were decorated with well-distributed small Cu nanoparticles through a
novel technique that combines magnetron sputtering and thermal dewetting. The
obtained nanocomposite catalyst exhibited 4-fold increase in the photodegradation
rate of methylene blue aqueous solution under solar light irradiation than
anatase TiO2 prepared with same anodization conditions. The enhanced
photocatalytic activity was attributed to the synergistic effect of Schottky
barrier and Surface plasmon resonance. The influence of post annealing process,
sputtering time and thermal dewetting temperature on photocatalytic performance
was studied and the optimal preparation conditions were proposed. The results of
this study may provide a new strategy to improve photocatalytic efficiency of
TiO2 without using high-cost noble metals.
PMID- 29656156
TI - Ammonia sensing by closely packed WO3 microspheres with oxygen vacancies.
AB - Ammonia (NH3), is a precursor for the formation of atmospheric fine particulate
matter (PM2.5), and thus establishing efficient and cost-effective methods to
detect ammonia emission is highly desired. Transition metal oxide semiconductors
based sensors for electrochemical gas sensing have been extensively explored.
Among various types of semiconductors, tungsten oxide (WO3) possesses an
anisotropic layered crystalline structure and is recognized as a promising
material for gas sensing. However, the performance of commercial WO3 is
unsatisfactory because of its high impedance and low charge transportation
efficiency. Thus, the modification of commercial WO3 is needed to make it an
efficient ammonia sensor material. In this work, closely packed WO3 microspheres
with oxygen vacancies were synthesized successfully through a novel two-step
hydrothermal route. Our WO3 showed a good selectivity to ammonia sensing, and its
response intensity was 2.6 times higher than that of commercial WO3 because of
its optimized conductivity. Moreover, the mechanism behind its robust ammonia
sensing performance was elucidated. The effectiveness of the as-prepared WO3
microspheres for ammonia sensing also suggests a new strategy for modifying
transition metal oxide materials.
PMID- 29656157
TI - Simultaneous enantiomeric analysis of eight pesticides in soils and river
sediments by chiral liquid chromatography-tandem mass spectrometry.
AB - A rapid and sensitive multi-residue method was developed for the simultaneous
quantification of eight chiral pesticides (including diniconazole, metalaxyl,
paclobutrazol, epoxiconazole, myclobutanil, hexaconazole, napropamide and
isocarbophos) at enantiomeric levels in environmental soils and sediments using
chiral liquid chromatography-tandem mass spectrometry based on a combined
pretreatment of matrix solid-phase dispersion and dispersive liquid-liquid
microextraction (MSPD-DLLME). Under optimized conditions, 0.1 g of solid sample
was dispersed with 0.4 g of C18-bonded silica sorbent, and 3 mL of methanol was
used for eluting the analytes. The collected eluant was dried and then further
purified by DLLME with 550 MUL of dichloromethane and 960 MUL of acetonitrile as
extraction and disperser solvent, respectively. The established method was
validated and found to be linear, precise, and accurate over the concentration
range of 2-500 ng g-1 for epoxiconazole, paclobutrazol and metalaxyl and 4-500 ng
g-1 for isocarbophos, hexaconazole, myclobutanil, diniconazole and napropamide.
Recoveries of sixteen enantiomers varied from 87.0 to 104.1% and the relative
standard deviations (RSD) were less than 10.1%. Method detection and
quantification limits (MDLs and MQLs) varied from 0.22 to 1.54 ng g-1 and from
0.91 to 4.00 ng g-1, respectively. Finally, the method was successfully applied
to analyze the enantiomeric composition of the eight chiral pesticides in
environmental solid matrices, which will help better understand the behavior of
individual enantiomer and make accurate risk assessment on the ecosystem.
PMID- 29656158
TI - Toxicogenetic study of omeprazole and the modulatory effects of retinol palmitate
and ascorbic acid on Allium cepa.
AB - Omeprazole (OME) is a proton pump inhibitor used for the treatment of various
gastric and intestinal disease; however, studies on its effects on the genetic
materials are still restricted. The present study aimed to evaluate possible
toxicogenic effects of OME in Allium cepa meristems with the application of
cytogenetic biomarkers for DNA damage, mutagenic, toxic and cytotoxic effects.
Additionally, retinol palmitate (RP) and ascorbic acid (AA) were also co-treated
with OME to evaluate possible modulatory effects of OME-induced cytogenetic
damages. OME was tested at 10, 20 and 40 MUg/mL, while RP and AA at 55 MUg/mL and
352.2 MUg/mL, respectively. Copper sulphate (0.6 MUg/mL) and dechlorinated water
were used as positive control and negative control, respectively. The results
suggest that OME induced genotoxicity and mutagenicity in A. cepa at all tested
concentrations. It was noted that cotreatment of OME with the antioxidant
vitamins RP and/or AA significantly (p < 0.05) inhibited and/or modulated all
toxicogenic damages induced by OME. These observations demonstrate their
antigenotoxic, antimutagenic, antitoxic and anticitotoxic effects in A. cepa.
This study indicates that application of antioxidants may be useful tools to
overcome OME-induced toxic effects.
PMID- 29656159
TI - Effect of Bisphenol A on the extremophilic microalgal strain Picocystis sp.
(Chlorophyta) and its high BPA removal ability.
AB - Bisphenol A (BPA) effects and removal by an alkaliphilic chlorophyta, Picocystis,
were assessed. BPA at low concentrations (0-25 mg L-1) did not inhibit the
Picocystis growth and photosynthesis during 5 days of exposure. At higher BPA
concentrations (50 and 75 mg L-1), the growth inhibition did not exceed 43%. The
net photosynthetic activity was dramatically reduced at high BPA concentrations
while, the PSII activity was less affected. The exposure to increasing BPA
concentrations induced an oxidative stress in Picocystis cells, as evidenced by
increased malondialdehyde content and the over-expression of antioxidant
activities (ascorbate peroxydase, gluthation-S-transferase and catalase).
Picocystis exhibited high BPA removal efficiency, reaching 72% and 40% at 25 and
75 mg L-1 BPA. BPA removal was ensured mainly by biodegradation/biotransformation
processes. Based on these results, the extended tolerance and the high removal
ability of Picocystis make her a promising specie for use in BPA bioremediation.
PMID- 29656160
TI - Comprehensive transcriptome profiling of soybean leaves in response to simulated
acid rain.
AB - As a source of edible oil and protein, soybean is a major globally important
economic crop; Improving its production has been an important objective of
soybean breeding. Acid rain has been shown to influence soybean growth and
productivity, with consequent adverse impacts on its production for use by human
populations. In this study, RNA sequencing technology was utilized to examine
changes in gene expression when soybean was exposed to simulated acid rain (SAR).
We sampled soybean leaves at five time intervals (0, 6, 30, 54, 78, and 102 h),
and built the cDNA library. In total, 54,175 expression genes were found,
including 2016 genes with differential expression. A total of 416 genes were
considered, as they were closely related to the response to SAR. Genes related to
the regulation of sulfur and nitrogen metabolism, carbohydrate metabolism,
photosynthesis, and reactive oxygen species were among those differentially
expressed in response to SAR. In this study, we examined the response mechanisms
of soybean under SAR exposure. Our findings will improve our understanding of the
molecular mechanisms employed by soybean in responding to abiotic stress, and
therefore provides important information in developing soybean breeding to
improve tolerance to these stresses.
PMID- 29656161
TI - Migration and health risks of nonylphenol and bisphenol a in soil-winter wheat
systems with long-term reclaimed water irrigation.
AB - Reclaimed water reuse has become an important means of alleviating agricultural
water shortage worldwide. However, the presence of endocrine disrupters has
roused up considerable attention. Barrel test in farmland was conducted to
investigate the migration of nonylphenol (NP) and bisphenol A (BPA) in soil
winter wheat system simulating reclaimed water irrigation. Additionally, the
health risks on humans were assessed based on US EPA risk assessment model. The
migration of NP and BPA decreased from the soil to the winter wheat; the
biological concentration factors (BCFs) of NP and BPA in roots, stems, leaves,
and grains all decreased with their added concentrations in soils. The BCFs of NP
and BPA in roots were greatest (0.60-5.80 and 0.063-1.45, respectively). The
average BCFs of NP and BPA in winter wheat showed negative exponential relations
to their concentrations in soil. The amounts of NP and BPA in soil-winter wheat
system accounted for 8.99-28.24% and 2.35-4.95%, respectively, of the initial
amounts added into the soils. The hazard quotient (HQ) for children and adults
ranged between 10-6 and 1, so carcinogenic risks could be induced by ingesting
winter wheat grains under long-term reclaimed water irrigation.
PMID- 29656163
TI - Myofibrilar functional dysregulation in fish: A new biomarker of damage to
pesticides.
AB - Endosulfan (ES) modifies the ultrastructure of skeletal muscle fibers and causes
changes to the swimming behavior of fish. The objectives of the present work were
to evaluate, in fishes of Australoheros facetus, 1) the integrity of myofibrils
(Mf) by the analysis of SDS-PAGE profiles, and 2) the functionality of Mf through
the microscopically monitoring of the contraction and changes in Mg2+ Ca2+-
ATPase and Mg2+(EGTA) -ATPase activities. As expected, after the addition of the
contraction buffer, control fish Mf contracted. On the contrary, Mf from fish
exposed at 0.5 MUg/L ES showed a partial contraction and none of the fish exposed
at 10 MUg/L ES contracted. As judged by its high Mg2+ Ca2+ ATPase activity and
low Mg2+ (EGTA) ATPase activity, control Mf showed good functionality. In Mf from
fish exposed to 0.5 and 10 MUg/L ES the activities of these enzymes were similar,
suggesting denaturation or degradation of some component of tropomyosin-troponin
complex. SDS-PAGE patterns of Mf from fish exposed to ES showed degradation of
the myosin heavy chain and of tropomyosin. Similar values of lipid peroxidation
(TBARS) were found in both control and exposed Mf, suggesting that lipid
oxidation was not be related to the above-mentioned changes. The observed effects
expand the knowledge of ES action in muscles and could be used as biomarkers of
damage in fishes exposed to organochlorine compounds like the insecticide
endosulfan.
PMID- 29656162
TI - Effects of kinetin on plant growth and chloroplast ultrastructure of two Pteris
species under arsenate stress.
AB - Cytokinins (CTKs) are effective in alleviating abiotic stresses on plants, but
little information is available regarding the effects of CTKs on arsenic (As)
accumulation and changes of chloroplast ultrastructure in plants with different
As-accumulating ability. Here a hydroponic experiment was designed to evaluate
the effects of different concentration of kinetin (KT, 0-40 mg/L) on growth and
chloroplast ultrastructure of As hyperaccumulator Pteris cretica var. nervosa and
non-hyperaccumulator Pteris ensiformis treated by 5 mg/L arsenate for 14 days.
The growth parameters, As accumulation, contents of photosynthetic pigments and
chloroplast ultrastructure were examined. The results showed that KT promoted the
growth of two plants, and significantly increased As accumulation and
translocation in P. cretica var. nervosa and P. ensiformis at 5 and 20 mg/L,
respectively. Additionally, the contents of chlorophyll a and carotenoid in two
plants showed no significant difference at 20 mg/L KT compared to the control.
Chloroplast ultrastructure of P. cretica var. nervosa was integral with KT
application. Comparatively, the swollen chloroplasts were increased, plasmolysis
appeared, and chloroplast grana slice layers and stroma lamellas were clearly
separated or distorted at 5 mg/L KT in P. ensiformis. The length and width of
chloroplasts in P. cretica var. nervosa were significantly increased with KT
addition compared to the control. However, the length of chloroplasts in P.
ensiformis was significantly decreased but their width showed no significant
change. Furthermore, the deterioration of chloroplast ultrastructure in P.
ensiformis was ameliorated by 40 mg/L KT. These results suggested that KT
increased As accumulation and was beneficial to maintain the photosynthetic
pigments for a good growth of plants. Therefore, KT could maintain and reorganize
the ultrastructure integrality of As-stressed chloroplasts to some extent for the
two plants, especially at high concentration.
PMID- 29656164
TI - Lignolytic mushroom Lenzites elegans WDP2: Laccase production, characterization,
and bioremediation of synthetic dyes.
AB - A mycoremedial study was undertaken for decolourization of synthetic dyes using
wood rot fungal culture Lenzites elegans WDP2. The culture was isolated from
decaying wood as fruiting body, and identified on the basis of 5.8S ITS rRNA gene
sequence analysis. Qualitative plate screening of culture showed extracellular
laccase and lignin peroxidase production, while only laccase enzyme was produced
in higher amount (156.793 Uml-1) in minimal salt broth medium containing glucose
and veratryl alcohol. Laccase activity was increased up to 189.25 Uml-1 after
optimization of laccase production by optimization of one variable at a time
approach. Molecular characterization of laccase enzyme was done using SDS PAGE
and Native PAGE based isozyme analyses. The culture was able to decolorize three
synthetic dying compounds (congo red, Malachite green and brilliant green) in
broth media, while showed very less decolourization in plate assay. The fungal
culture varied in their dye decolourizing potential in broth culture, showing
92.77%, 21.27% and 98.8% maximum decolourization of brilliant green, malachite
green and congo red respectively. The congo red dye was completely bio-absorbed
by fungal culture within one month. The fungal decolourized broth also revealed
the extracellular laccase activity; varied from 10 Uml-1 to 68.5 Uml-1 in all the
three cases, supports the involvement of laccase enzyme in decolorization. Phase
contrast microscopy clearly revealed bio-sorption of the dyes by fungal culture
into the mycelium/spores in the photomicrographs.
PMID- 29656165
TI - Effect of elevated ozone and varying levels of soil nitrogen in two wheat
(Triticum aestivum L.) cultivars: Growth, gas-exchange, antioxidant status, grain
yield and quality.
AB - Tropospheric ozone (O3) is a phytotoxic air pollutant causing a substantial
damage to plants and agriculture worldwide. Plant productivity is affected by
several environmental factors, which interact with each other. Studies related to
interactions involving O3 and different levels of nitrogen (N) are still rare and
elusive. In the present study we grew two wheat cultivars (HD2967 and Sonalika)
in open top chambers (OTC) under ambient (AO) and elevated O3 (EO) (ambient + 20
ppb O3) and provided two levels of N fertilization; (a) recommended nitrogen
(RN), (b) 1.5 times the recommended N (HN). Growth (root/shoot ratio, leaf number
and leaf area), biomass, gas-exchange (stomatal conductance (gs), photosynthesis
(A), transpiration (E), chlorophyll fluorescence (Fv/Fm), physiological
(chlorophyll and carotenoids), biochemical [antioxidant activity, lipid
peroxidation (MDA)] parameters and leaf N content were measured at the vegetative
and reproductive phases. Yield attributes (spike weight plant-1, grain weight
plant-1, grain numbers plant-1, husk weight plant-1, straw weight plant-1, 1000
grain weight, harvest index) and seed N content were analyzed at the final
harvest stage. Grain yield plant-1 was decreased in Sonalika under EO
irrespective of different levels of N fertilization. Seed N content decreased by
3.9% and 5.6% in HD2967 and Sonalika, respectively, under EO at RN treatment.
Antioxidant defense played an important role in protecting the plants against O3
stress which was enhanced under HN treatment. Response of antioxidants varied
between the cultivar, growth phase (at the vegetative or reproductive phase) and
the N levels (RN or HN). Cultivar HD2967 was characterized by higher biomass, gs
and stronger antioxidant protection system, while, Sonalika showed early
senescence response (decreased leaf number plant-1, gs) and greater resources
allocation towards eco-physiological parameters (increased A and Fv/Fm) at the
vegetative phase, resulting in the significant decrease in the yield attributes.
Further study warrants the need to screen a large number of cultivars in relation
to their response to various levels of N fertilization to minimize the yield
losses under highly O3 polluted areas.
PMID- 29656166
TI - Identification of molt-inhibiting hormone and ecdysteroid receptor cDNA sequences
in Gammarus pulex, and variations after endocrine disruptor exposures.
AB - In amphipods, growth, development and reproduction are mediated by the molt,
which is a hormonally controlled process and which, therefore, could be impacted
by endocrine disruption compounds (EDC). The molt process is controlled by both X
organ (XO) and Y-organ (YO) through a variety of hormones and receptors including
the molt-inhibiting hormone (MIH) and the ecdysteroid receptor (EcR). However,
although many studies were devoted to characterize MIH and EcR in crustaceans,
only few works evaluated their variations under EDCs exposures. Consequently, the
present work aimed to characterize MIH and EcR genes of the amphipod Gammarus
pulex, as well as to study their relative expression variations after exposure to
four EDCs, proved in vertebrates: ethinylestradiol (estrogen), 4-hydroxytamoxifen
(anti-estrogen), 17alpha-methyltestosterone (androgen) and cyproterone acetate
(anti-androgen). PCR amplification allowed to obtain 204 bp length and 255 bp
length fragments, encoding for partial sequences of 68 amino acids and 85 amino
acids, which correspond to EcR and MIH, respectively, and which are highly
conserved in crustacean species. Results highlighted MIH and EcR expressions
mainly in G. pulex head, which is the localization of XO and YO. Moreover,
irrespective of the EDC exposure, increases of MIH and EcR relative expressions
were observed, as it was observed after the exposure to 20-hydroxyecdysone
(20HE), the natural molt hormone, used as positive control. Therefore, it
appeared that tested EDCs behaved like 20HE, suggesting that their effects could
occur through the ecdysteroids pathways, and so impact the molt process of G.
pulex on the long term. Finally, the present study is a first step in the
possibility of using MIH and EcR relative expressions as biomarkers of exposure
for EDCs risk assessment. However additional studies must first be carried out to
better characterize and understand their variations, and also better predicted
consequences for the exposed amphipods.
PMID- 29656167
TI - Extracellular vesicles exposing tissue factor for the prediction of venous
thromboembolism in patients with cancer: A prospective cohort study.
AB - INTRODUCTION: The procoagulant activity of extracellular vesicles (EV) exposing
tissue factor (TF) is a promising biomarker for venous thromboembolism (VTE) in
cancer patients. We evaluated an in-house EV-TF activity assay (the fibrin
generation test) for the prediction of cancer-associated VTE. We also compared
the results with the fibrin generation tests to an EV-TF-dependent factor Xa
generation assay in samples from pancreatic cancer patients. MATERIALS AND
METHODS: Data collected in a multinational, prospective cohort study were used.
Patients with various types of advanced cancer were enrolled if chemotherapy was
scheduled or started in the previous 3 months. Patients were followed for 6
months for the occurrence of VTE. The fibrin generation test was performed at
baseline to measure EV-TF procoagulant activity. RESULTS: The fibrin generation
test was performed in 648 patients with advanced cancer. The mean age was 62
years; 58% had distant metastasis. Forty patients (6.1%) developed VTE. Overall,
a high fibrin generation test result was associated with a two-fold increased
risk for VTE (HR 2.0; 95%-CI, 1.1-3.6). The association was stronger in patients
with pancreatic cancer (HR 4.1; 95%-CI, 0.91-19) than in those with other tumor
types (HR 1.5; 95%-CI, 0.72-3.1). Correlation between the FGT and the TF
dependent factor Xa generation assay in patients with pancreatic cancer was poor
(Spearman's R = 0.35). CONCLUSION: This study shows that a high EV-TF
procoagulant activity as measured by the fibrin generation test is associated
with an increased risk of VTE in cancer patients, in particular in those with
pancreatic cancer. Future studies should aim to further improve the feasibility
and accuracy of EV-TF activity assays.
PMID- 29656168
TI - A novel allele variant of the SERPINF2 gene responsible for severe plasmin
inhibitor (alpha2-antiplasmin) deficiency in an Italian patient.
PMID- 29656169
TI - International, multicenter evaluation of a new D-dimer assay for the exclusion of
venous thromboembolism using standard and age-adjusted cut-offs.
AB - INTRODUCTION: We sought to determine the test characteristics of an automated
INNOVANCE D-dimer assay for the exclusion of pulmonary embolism (PE) and deep
venous thrombosis (DVT) in emergency department (ED) patients using standard and
age-adjusted cut-offs. METHODS: Cross-sectional, international, multicenter study
of consecutive patients with suspected DVT or PE in 24 centers (18 USA, 6
Europe). Evaluated patients had low or intermediate Wells PE or DVT scores. For
the standard cut-off, a D-dimer result <500 ng/ml was negative. For the age
adjusted cut-off, we used the formula: Age (years) * 10. The diagnostic standard
was imaging demonstrating PE or DVT within 3 months. We calculated test
characteristics using standard methods. We also explored modifications of the age
adjustment multiplier. RESULTS: We included 3837 patients and excluded 251. The
mean age of patients evaluated for PE (n = 1834) was 48 +/- 16 years, with 676
(37%) male, and 1081 (59%) white. The mean age of evaluated for DVT (n = 1752)
was 53 +/- 16 years, with 710 (41%) male, and 1172 (67%) white. D-dimer test
characteristics for PE were: sensitivity 98.0%, specificity 55.4%, negative
predictive value (NPV) 99.8%, positive predictive value (PPV) 11.4%, and for DVT
were: sensitivity 92.0%, specificity 44.8%, NPV 98.8%, PPV 10.3%. Age adjustment
increased specificity (59.6% [PE], 51.1% [DVT]), but increasing the age
adjustment multiplier decreased sensitivity without increasing specificity.
CONCLUSIONS: INNOVANCE D-dimer is highly sensitive and can exclude PE and DVT in
ED patients with low- and intermediate- pre-test probability. Age-adjustment
increases specificity, without increasing false negatives.
PMID- 29656170
TI - Iranian women's experiences of dealing with the complexities of an unplanned
pregnancy: A qualitative study.
AB - OBJECTIVE: The aim of this study was to explore women's experiences of dealing
with the complexities of an unplanned pregnancy. DESIGN: Qualitative content
analysis was used to analyse the reports of women experiencing unplanned
pregnancy and provide meaning to the results. SETTING: Participants from Tabriz,
Iran were recruited using purposive sampling method from June to September 2016.
PARTICIPANTS: Data were gathered using face to face unstructured interviews among
23 women between the ages of 18-48 who recently reported having experienced an
unplanned pregnancy. FINDINGS: Outcomes of the data analysis yielded the
formation of four distinct themes; disbelief and negative affective responses,
fragile justification, perceived supports and post-decision dissonance. KEY
CONCLUSIONS: The majority of women, reported that the emotional effects of
unplanned pregnancy represented the greatest challenge. This was described as
occurring not just immediately after pregnancy recognition, but throughout the
course of decision making and selected course of action. IMPLICATIONS FOR
PRACTICE: The findings of this study indicate the need for essential counselling
services and supportive care for women experiencing an unplanned pregnancy.
PMID- 29656172
TI - Factors affecting child development assessed by the Ages and Stages Questionnaire
(ASQ) in an Arabic speaking population.
PMID- 29656173
TI - Factors associated with extension of the scheduled time for spine surgery.
AB - OBJECTIVES: Adherence to scheduled times in surgery is important in hospital
management. However, sudden surgical changes or unexpected intraoperative
problems may lead to prolongation of operative times. The purpose of this study
was to investigate operative times in spinal surgery and to identify causes of
delays during surgery. PATIENTS AND METHODS: A retrospective review of 488 cases
of spinal surgery was performed to investigate operations prolonged for >2 h and
to identify factors associated with prolongation. RESULTS: There were 250 cases
without a delay, and 144, 64, and 30 with delays of <1 h, 1-2 h, and >2 h,
respectively. Delays >2 h were caused by interruptions due to loss of
transcranial motor-evoked potential (Tc-MEP) signals in spinal cord monitoring (n
= 15), reinsertion due to screw misplacement (n = 5), intraoperative pathology
procedures (n = 5), extension of fusion range with instrumentation (n = 3), and
complete resection of an intramedullary tumor (n = 2). Surgeries with delays >2 h
(n = 30) had greater rates of scheduled surgery for >5 h (40% vs. 23%; P < 0.05),
instrumentation use (70% vs. 47%; P < 0.05), reoperation (33% vs. 7%; P < 0.01%),
and estimated blood loss (EBL) (1573 vs. 435 ml; P < 0.01), compared to all other
surgeries (n = 458). In multivariate logistic regression, reoperation (HR 3.15,
95% CI 1.52-6.55; p < 0.01) and EBL >= 1000 ml (HR 3.35, 95% CI 1.56-7.18; p <
0.01) were significantly associated with prolongation of surgery by >2 h.
CONCLUSION: Information suggesting potential prolongation of surgery should be
shared with all medical staff. Reliable surgical techniques and hemostasis may
also reduce delays in surgery.
PMID- 29656171
TI - Associations between birth size and later height from infancy through adulthood:
An individual based pooled analysis of 28 twin cohorts participating in the
CODATwins project.
AB - BACKGROUND: There is evidence that birth size is positively associated with
height in later life, but it remains unclear whether this is explained by genetic
factors or the intrauterine environment. AIM: To analyze the associations of
birth weight, length and ponderal index with height from infancy through
adulthood within mono- and dizygotic twin pairs, which provides insights into the
role of genetic and environmental individual-specific factors. METHODS: This
study is based on the data from 28 twin cohorts in 17 countries. The pooled data
included 41,852 complete twin pairs (55% monozygotic and 45% same-sex dizygotic)
with information on birth weight and a total of 112,409 paired height
measurements at ages ranging from 1 to 69 years. Birth length was available for
19,881 complete twin pairs, with a total of 72,692 paired height measurements.
The association between birth size and later height was analyzed at both the
individual and within-pair level by linear regression analyses. RESULTS: Within
twin pairs, regression coefficients showed that a 1-kg increase in birth weight
and a 1-cm increase in birth length were associated with 1.14-4.25 cm and 0.18
0.90 cm taller height, respectively. The magnitude of the associations was
generally greater within dizygotic than within monozygotic twin pairs, and this
difference between zygosities was more pronounced for birth length. CONCLUSION:
Both genetic and individual-specific environmental factors play a role in the
association between birth size and later height from infancy to adulthood, with a
larger role for genetics in the association with birth length than with birth
weight.
PMID- 29656174
TI - Multiplanar realignment for unstable Hangman's fracture with Posterior C2-3
fusion: A prospective series.
AB - OBJECTIVE: There is lack of consensus on the preferred approach for unstable
Hangman's fracture. The associated soft tissue injuries apart from apparent bony
injury add to the complexity of dislocation and needs to be addressed. Here, we
evaluated the clinico-radiological characteristics and outcome of patients
managed by posterior C2-3 fusion. PATIENTS & METHODS: Nine patients with unstable
Hangman's fracture (type II and IIA) were prospectively studied. The displacement
of fractured fragments and C2-3 dislocation was studied in multiple planes. C2
pars-pedicle screw was placed to bring fractured fragments together (lag effect),
which was then fused with C3 lateral masses to achieve multiplanar realignment.
Clinical outcome was assessed in terms of pain (VAS score) and neurological
status after surgery. Patient's clinico-radiological status was followed up at
regular intervals. RESULTS: Pain was the predominant symptom (VAS: 8.1 +/- 1.1).
Only one had neurological deficit (ASIA- D). Mean VAS score improved
significantly in postoperative period (1.2 +/- 1.6). About two-third (66.7%) had
atypical Hangman's. In six, fractured segments were malaligned in multiple
planes. Axial rotation, lateral translation and superior translation (over
riding) of fragments were seen in 4, 4 and 3 patients respectively. Two had
adjacent level injuries. Reduction and realignment of fractured fragments as well
as C2-3 in multiple planes could be achieved in all. Follow-up varied from 6 to
22 months (mean, 12.8 +/- 5.7). Bony fusion was evident in 9 to 12 months. Three
patients showed mild curvature change in subaxial spine. CONCLUSION: The
fractures fragments may be dislocated in axial (rotation and lateral translation)
apart from antero-posterior plane. It is important to study the radiology in
various planes. Posterior C2-3 fusion is an effective way to achieve good
realignment of bony fragments in all planes. It also addresses the instability
resulting from soft tissue injury.
PMID- 29656175
TI - Distribution of environmental tritium in rivers, groundwater, mine water and
precipitation in Goa, India.
AB - Tritium concentration in rivers, groundwater, precipitation and mine pits water,
all over Goa state was characterized to find out spatial and temporal variability
of tritium. Twenty four water samples were collected during pre-monsoon and post
monsoon and analyzed for their tritium concentration. The mean tritium
concentration in surface and sub-surface hydrosphere is 2.5 (+/-0.6) TU. The mean
concentration of tritium in rivers, groundwater, mines pits water and rain water
are 2.9 (+/-0.5) TU, 1.95 (+/-0.5) TU, 2.5(+/-0.3) TU and 3.1(+/-0.1) TU
respectively. The tritium distribution in all the samples shows modern
precipitation (post-1950) component in surface and sub-surface hydrosphere of
Goa. The HYSPLIT4.0 air mass trajectory model and atmospheric circulation pattern
suggest that the moisture origin was from the Arabian Sea and this low tritium
moisture is diluting the tritium concentration of surface hydrosphere near the
coastal area. The tritium concentration in surface hydrosphere shows more and
more enrichment as one move inland (i.e. away from the coast). Significant
seasonal change is observed in the surface hydrosphere. The pre-monsoon samples
showed higher tritium concentration than post-monsoon samples. This may be due to
high rate of re-evaporation of water and a reduction in the supply of oceanic
moisture during the summer (pre-monsoon).
PMID- 29656176
TI - Underreporting of fatal poisonings in Brazil - A descriptive study using data
from four information systems.
AB - Poisoning is a worldwide problem that involves individuals of all ages and a
range of chemicals. In this study, fatal poisoning cases that occurred in the
Federal District of Brazil (DF) from 2009 to 2013 were described using
information from four systems, and the reasons for underreporting of each system
were discussed. Data were obtained from the mortality information system (SIM),
the notifiable disease information system (SINAN), the poison information center
(CIT), and the forensic medicine institute (IML) of the DF. In total, 288 cases
were reported to SIM, 18 to SINAN, 29 to CIT and 101 cases identified in the IML.
SIM data indicated a prevalence of 2.24 cases/year/100,000 individuals in the DF,
higher than the national estimation (1.36). After eliminating the 98 duplicate
cases among the systems, 338 fatal unique cases were identified, from which 74.0%
were reported in only one system (mainly the SIM), 23.4% in two systems, 8 cases
in three systems and only 1 case was reported in the four systems. Over two
thirds of the 338 fatalities involved men (67.4%), and 46.9% involved individuals
aged 20-39 years. Medications were the main agent involved (49.4%), followed by
pesticides (29.9%). The fatalities occurred mainly after unintentional exposure
(50.8%) and suicide (47.7%, of which 53.5% involved pesticides). These results
confirmed the previous hypothesis that none of the information systems could
capture the whole picture of fatal poisonings in the DF. Underreporting was found
in all systems, indicating the need to improve the information quality and the
coordination of data reporting, so that health authorities can better understand
and reduce these fatalities.
PMID- 29656177
TI - Contribution of Raman and Surface Enhanced Raman Spectroscopy (SERS) to the
analysis of vehicle headlights: Dye(s) characterization.
AB - Although ubiquitous on accident scenes, the polymers from headlight optics are
often neglected in hit-and-run cases, and their evidential value restrained to
direct comparison once a corresponding vehicle is found. Multilayered automotive
paint fragments are preferred for their access to corresponding databases (PDQ,
EUCAP) to infer models and brands of cars. The potential of polymers headlights
for providing forensic intelligence has never been exploited, principally due to
the lack of diversity, of appropriate databases, and of case examples. The
motives are very simple however. Headlight polymers suffer from a lack of
differentiation, and about 90% of them are composed of polymethylmethacrylate
(PMMA). The discriminating powers using techniques in sequence typically range
from 30 to 60%. In this paper, we take advantage of the extreme sensitivity of
Surface Enhanced Raman Spectroscopy (SERS) to analyze the dye composition of the
polymer headlights. The measurements by standard Raman spectroscopy at 488, 633,
and 785nm permits us to identify the polymer type with relative ease. 51 out of
53 samples are composed of PMMA, the two remaining being either Polycarbonate or
Polybutylene terephthalate. Additionally, using SERS with silver colloids at 488
and 633nm, provides enhanced spectra of the dyes used in the composition with an
extreme sensitivity and specificity. With SERS we are able to differentiate the
majority of the headlights with a remarkable 90-100% discriminating power.
Solvent Orange 60, Solvent Red 52 and Solvent Red 111 were successfully
identified as dyes used in the manufacture of the headlights. These results
demonstrate that a combined Raman-SERS approach has the potential to replace an
otherwise lengthy sequence of many different analytical techniques. With one
single instrument, we offer the possibility to combine an analysis of the polymer
type, and of the dye components with high discriminating capabilities. These
results open up new opportunities for exploiting headlight plastics in road
accidents investigations. It has the potential to help in source attribution,
and/or database building in a forensic intelligence perspective.
PMID- 29656178
TI - Generation of 3 spinocerebellar ataxia type 1 (SCA1) patient-derived induced
pluripotent stem cell lines LUMCi002-A, B, and C and 2 unaffected sibling control
induced pluripotent stem cell lines LUMCi003-A and B.
AB - Spinocerebellar ataxia type 1 (SCA1) is a hereditary neurodegenerative disease
caused by a CAG repeat expansion in exon 8 of the ATXN1 gene. We generated
induced pluripotent stem cells (hiPSCs) from a SCA1 patient and his non-affected
sister by using non-integrating Sendai Viruses (SeV). The resulting hiPSCs are
SeVfree, express pluripotency markers, display a normal karyotype, retain the
mutation (length of the CAG repeat expansion in the ATXN1 gene) and are able to
differentiate into the three germ layers in vitro.
PMID- 29656180
TI - Peptide modification and cyclization via transition-metal catalysis.
AB - Transition-metal catalysis has unlocked new paradigms for the late-stage
modification and cyclization of peptides by harnessing the innate reactivity of
proteinogenic amino acids. The field is rapidly evolving, with recent advances
encompassing three fundamental areas-heteroatom couplings, decarboxylative cross
couplings, and C-H functionalizations-which have markedly extended the scope of
conventional peptide modification and bioconjugation strategies. The advances
outlined herein facilitate access to high-value peptide targets with promising
applications in materials science and drug discovery.
PMID- 29656179
TI - Novel reno-protective mechanism of Aspirin involves H2AK119 monoubiquitination
and Set7 in preventing type 1 diabetic nephropathy.
AB - BACKGROUND: Even after several novel therapeutic approaches, the number of people
with diabetic nephropathy (DN) still continues to increase globally, this suggest
to find novel therapeutic strategies to prevent it completely. Recent reports,
are indicating the ubiquitin proteasome system alterations in DN. Recently, we
also showed that, histone H2AK119 mono-ubiquitination (H2AK119-Ub) found to
regulate Set7, a key epigenetic enzyme in the development of renal fibrosis under
type 1 diabetic condition. Hence, we aimed to study the role of a known 20s
proteasome inhibitor Aspirin, on histone ubiquitination in the progression of DN.
METHODS: Male Wistar rats were rendered diabetic using a single dose of
Streptozotocin (55mgkg-1, ip). After 4 weeks, diabetic animals were grouped into
respective groups and the drug, aspirin, low dose (25mgkg-1day-1), high dose
(50mgkg-1day-1) was administered through po route. At the end of the study,
kidneys from all the groups were collected and processed separately for
glomerular isolation, protein isolation, and for histopathological studies.
RESULTS: Aspirin administration, reduced the protein expression of Mysm1,
increased the protein expression of H2AK119-Ub and thereby reduced the Set7
protein expression in glomeruli isolated from diabetic animals and prevented
renal fibrosis. CONCLUSIONS: In conclusion, our results are clearly indicating
that, aspirin prevents renal fibrosis in diabetic animals through decreasing the
expression of Mysm1, increasing the expression of H2AK119-Ub and thereby
decreasing the protein expression of Set7, which is a novel mechanism. Moreover,
this mechanism may lay down a novel strategy to prevent DN completely in future.
PMID- 29656181
TI - Elevated systemic microbial translocation in pregnant HIV-infected women compared
to HIV-uninfected women, and its inverse correlations with plasma progesterone
levels.
AB - In HIV infection, increased adverse perinatal outcomes reported among HIV
associated pregnancies are not fully understood. Currently, microbial product
translocation (MT) from a permeable mucosa is demonstrated as a driver of
inflammation, and may contribute to preterm delivery in HIV. Here, our results
showed that plasma LPS levels (a representative marker of MT) were increased in
HIV-infected women in the first and second trimester. Progesterone levels were
significantly decreased in HIV-infected subjects in the first trimester and
second trimester. There were significant inverse correlations between plasma LPS
and progesterone in the first and second trimester. These results suggested
heightened systemic MT and decreased plasma progesterone levels in HIV-infected
pregnant women may play a role in increased incidence of preterm delivery.
PMID- 29656182
TI - Co-culture of ovarian cancer stem-like cells with macrophages induced SKOV3 cells
stemness via IL-8/STAT3 signaling.
AB - Among recent concepts in the cancer biology field, the tumor microenvironment is
highly associated with cancer stem cells, and plays a key role in tumor
progression. This study aimed to explore the mechanism that the stemness
induction of SKOV3 cell line by macrophages derived from THP-1 cells, which was
co-cultured with SKOV3-derived ovarian cancer stem-like cells (OCSLCs). Sphere
formation, soft agar colony formation, and expression levels of CD133 and CD44
were assessed to reflect OCSLC properties. ELISA was used to evaluate secretion
profile changes in macrophages co-cultured with or without SKOV3-derived OCSLCs.
For mechanistic evaluation, rhIL-8, IL-8 neutralizing antibody (IL-8 Ab), signal
transducer and activator of transcription 3 (STAT3) shRNA and STAT3 cDNA were
used. The results showed that IL-10, VEGF, MMP-9, IL-8 secretion and CD163 and
STAT3 expression levels in macrophages co-cultured with OCSLCs were increased
compared with those from THP-1 cells, while IL-12 and NO amounts were
significantly reduced, reflecting M2 macrophage polarization. Addition of rhIL-8
to THP-1 cell conditioned media promoted M2 macrophage polarization and stemness
in SKOV3 cells, which were suppressed by IL-8 Ab addition to co-culture
conditioned media. Consistently, overexpression of STAT3 induced M2 macrophage
polarization and stemness in SKOV3 cells, which were inhibited by STAT3 knockdown
in macrophages from THP-1 cells. Importantly, STAT3 overexpression rescued the
effects of IL-8 Ab on M2 macrophage polarization and stemness in SKOV3 cells.
These results suggested that stemness induction in SKOV3 cells by macrophages co
cultured with SKOV3-derived OCSLCs involved IL-8/STAT3 signaling.
PMID- 29656183
TI - Hispidulin inhibits hepatocellular carcinoma growth and metastasis through AMPK
and ERK signaling mediated activation of PPARgamma.
AB - Hispidulin, a phenolic flavonoid, exerts potent cytotoxicity towards a variety of
human cancers. However, the effects of hispidulin on hepatocellular carcinoma
(HCC) and underlying molecular mechanisms of its action remain elusive. The
present study investigated the effect of hispidulin on HCC in experimental
models, including tumor cell lines and mouse tumor xenograft. Results
demonstrated that hispidulin was cytotoxic and anti-proliferative to HCC cell
lines (SMMC7721 and Bel7402). Hispidulin activated caspase-3 and triggered
apoptosis in HCC cells. Moreover, hispidulin inhibited cell migration and
invasion by inhibiting the expression of matrix metalloproteinases (MMP-2, MMP-9)
and by inducing tissue inhibitor of metalloproteinase-3 (TIMP-3) expression.
Hispidulin activated peroxisome proliferator-activated receptor gamma (PPARgamma)
signaling which mainly contributed to its cytotoxicity in HCC cells. Remarkably,
GW9662 (a PPARgamma inhibitor) or PPARgamma targeting siRNA significantly
abrogated the anti-proliferative, pro-apoptotic, and anti-metastatic effects of
hispidulin in HCC cells. Furthermore, hispidulin induced activation of PPARgamma
which was associated with increased phosphorylation of AMPK, ERK, JNK in HCC
cells. Compound C (an AMPK inhibitor) or PD98059 (a MEK inhibitor) partly
reversed the effects of hispidulin on PPARgamma signaling in HCC cells. In
contrast, no significant changes in PPARgamma signaling were observed in HCC
cells pretreated with SP600125 (a JNK inhibitor), while SP6000125 significantly
inhibited the anti-cancer effects of hispidulin in HCC cells. Hispidulin
administration effectively suppressed Bel7402 xenograft tumor growth and lung
metastasis in vivo. Our findings indicate that PPARgamma activation by hispidulin
effectively suppressed HCC cell growth and metastasis both in vitro and in vivo.
PMID- 29656184
TI - Ropivacaine regulates the expression and function of heme oxygenase-1.
AB - As a new generation of amide-type local anesthetics (LAs), ropivacaine has been
widely used for pain management in clinical settings. Increasing evidence has
shown that administration of ropivacaine causes cytotoxic effects and apoptosis.
However, the underlying molecular mechanisms still need to be elucidated. In the
current study, our results indicated that ropivacaine treatment caused a
significant induction of heme oxygenase-1 (HO-1) at both the mRNA and protein
levels in human SHSY5Y cells. Levels of HO-1 mRNA and protein peaked at 1 h and
18 h, respectively, in response to ropivacaine treatment. Additionally,
ropivacaine treatment enhanced HO-1 activity in a dose-dependent manner.
Interestingly, we found that ropivacaine treatment induced phosphorylation of
p38. Blockage of p38 phosphorylation with its specific inhibitor SB203580 or by
transfection with p38 siRNA restrained ropivacaine-stimulated HO-1 expression.
Additionally, we found that ropivacaine treatment promoted nuclear translocation
of Nrf2 and amplified ARE promoter activity. Silencing of Nrf2 abolished
ropivacaine-induced HO-1 expression. Notably, we found that inhibition of HO-1
activity promoted ropivacaine-induced production of reactive oxygen species
(ROS), deletion of reduced glutathione (GSH), and release of lactate
dehydrogenase (LDH), suggesting that induction of HO-1 by ropivacaine acted as a
compensatory survival response against ropivacaine.
PMID- 29656185
TI - Exogenous SERP1 attenuates restenosis by restoring GLP-1 receptor activity in
diabetic rats following vascular injury.
AB - The activity of glucagon-like peptide 1 (GLP-1R) is essential for preventing
restenosis following vascular injury; however, the mechanism of dysfunctional GLP
1R glycosylation and ways to enhance the activity of GLP-1R on vascular surfaces
in diabetic patients are poorly understood. In the present study, we investigated
the N-glycosylation level and role of stress-associated endoplasmic reticulum
protein 1 (SERP1) in preventing restenosis following carotid injury in diabetic
rats. Our results showed that N-glycosylation levels in both rat aortic
endothelial cells (RAOECs) and rat vascular smooth muscle cells (VSMCs) decreased
gradually following glucose treatment in a concentration dependant manner.
Furthermore, co-immunoprecipitation (Co-IP) analyses indicated that SERP1 could
interact with GLP-1R in RAOECs and VSMCs. Moreover, SERP1 enhanced GLP-1R N
glycosylation and increased the production of phosphorylated endothelial nitric
oxide synthase (eNOS) as well as proliferation of RAOECs. SERP1 also increased
phosphorylated adenosine monophosphate activated protein kinase (AMPK) and
decreased the migration of VSMCs. Importantly, intima media thickness (IMT) and
neointimal hyperplasia were alleviated in the carotid artery of diabetic rats
injected with SERP1 following balloon injury. We also found an increase in re
endothelialization and a decrease in VSMC proliferation in the carotid artery of
diabetic rats injected with SERP1. In summary, the remarkable effects of SERP1 on
reducing restenosis following vascular injury may contribute to future
advancements in the treatment of diabetic vascular complications.
PMID- 29656186
TI - DCLK1 plays an important role in colorectal cancer tumorgenesis through the
regulation of miR-200c.
AB - Doublecortin-like kinase 1 (DCLK1) is a protein kinase that is known as a
specific cancer stem cell (CSC) marker in colorectal cancer (CRC). Deregulation
of DCLK1 expression has been reported in various cancers. We measured the protein
expression of DCLK1 in 38 CRC and normal colon samples by immunohistochemistry
(IHC). HCT-116 and SW-48 cells were transfected with DCLK1 siRNA and analyzed for
expression of DCLK1 and miR-200c. The effects of DCLK1 knockdown on cell
migration, invasion, sphere-forming, and apoptosis were explored. It was found
that DCLK1 protein expression levels were significantly higher in CRC tissue than
in normal colon specimens. Silencing of DCLK1 significantly inhibited cell
migration, invasion, and sphere-forming potential; it also induced apoptosis as
well as increased expression of miR-200c. Furthermore, silencing of miR-200c
significantly up-regulated DCLK1 expression. Overall, our data demonstrated that
DCLK1 plays an important role in cancer progression and is involved in the
regulation of miR-200c expression.
PMID- 29656187
TI - SIRT1 expression regulates the transformation of resistant esophageal cancer
cells via the epithelial-mesenchymal transition.
AB - Sirtuin1 (SIRT1) belongs to the mammalian sirtuin family and plays an important
role in deacetylating histones and non-histones. SIRT1 is associated with tumor
metastasis in several tumors. However, the effect of SIRT1 on the mechanism of
metastasis in resistant esophageal cancer remains unclear. In this study, we
demonstrated that increased migration and invasion in drug-resistant esophageal
cancer cells (EC109/PTX, TE-1/PTX). Our experiments revealed that the selective
SIRT1 inhibitor (EX527) significantly suppressed cells migrate and inhibited the
occurrence of the epithelial-mesenchymal transition (EMT), thereby altering the
invasiveness and metastatic potential of the esophageal cancer cell lines. In
addition, we observed that the inhibition of SIRT1 could alter the expression of
snail. In conclusion, these results indicate that SIRT1 may promote the
transformation of tumor cells by inducing the EMT and may serve as a potential
molecular target for the treatment of resistant esophageal cancer.
PMID- 29656188
TI - Inhibition of the dopamine transporter as an animal model of bipolar disorder
mania: Locomotor response, neuroimmunological profile and pharmacological
modulation.
AB - Inhibition of dopamine transporter (DAT) by GBR12909 has been proposed as a
pharmacological model of mania related to bipolar disorder (BD). Here we tested
the hypothesis that GBR12909 injection impairs habituation and induces
hyperlocomotion in mice, along with changes in cytokines and neurotrophic factors
levels, as observed in BD patients. We also tested if lithium carbonate, sodium
valproate and aripiprazole prevent GBR12909-induced locomotion. Male Swiss mice
received GBR12909 (15 mg/kg) injections and locomotor responses were quantified
in an open field. Cytokines and neurotrophic factors levels were assessed in the
prefrontal cortex, striatum and hippocampus 30 min and 24 h after injections. Pre
treatments with lithium, valproate or aripiprazole were performed with single and
repeated injection protocols. GBR12909 prevented motoric habituation and
increased basal locomotion in habituated mice in the open field. This compound
also induced changes in IL-2 and BDNF levels in prefrontal cortex; IL-2, IL-4 and
IL-10 in striatum; and IL-10, IL-4, IFN-gamma and NGF in hippocampus. GBR12909
induced hyperlocomotion was attenuated by lithium (12.5-100 mg/kg), but not
valproate (75-300 mg/kg), and prevented by aripiprazole (0.1-10 mg/kg). Repeated
injections of these drugs (twice a day for 3 days), however, failed to inhibit
hyperlocomotion. The main limitations of the protocols in this study are the
analysis of locomotion as the only behavioral parameter, changes in immune
factors that may overlap with other psychiatric disorders and the lack chronic
drug injections. Despite of these limitations, this study adds to previous
literature suggesting DAT inhibition as a potential animal model of mania related
to BD.
PMID- 29656189
TI - Quantitative electroencephalography as a marker of cognitive fluctuations in
dementia with Lewy bodies and an aid to differential diagnosis.
AB - OBJECTIVE: We investigated for quantitative EEG (QEEG) differences between
Alzheimer's disease (AD), dementia with Lewy bodies (DLB) and Parkinson's disease
dementia (PDD) patients and healthy controls, and for QEEG signatures of
cognitive fluctuations (CFs) in DLB. METHODS: We analysed eyes-closed, resting
state EEGs from 18 AD, 17 DLB and 17 PDD patients with mild dementia, and 21 age
matched controls. Measures included spectral power, dominant frequency (DF),
frequency prevalence (FP), and temporal DF variability (DFV), within defined EEG
frequency bands and cortical regions. RESULTS: DLB and PDD patients showed a
leftward shift in the power spectrum and DF. AD patients showed greater DFV
compared to the other groups. In DLB patients only, greater DFV and EEG slowing
were correlated with CFs, measured by the clinician assessment of fluctuations
(CAF) scale. The diagnostic accuracy of the QEEG measures was 94% (90.4-97.9%),
with 92.26% (80.4-100%) sensitivity and 83.3% (73.6-93%) specificity. CONCLUSION:
Although greater DFV was only shown in the AD group, within the DLB group a
positive DFV - CF correlation was found. QEEG measures could classify DLB and AD
patients with high sensitivity and specificity. SIGNIFICANCE: The findings add to
an expanding literature suggesting that EEG is a viable diagnostic and symptom
biomarker in dementia, particularly DLB.
PMID- 29656190
TI - Effect of titanium dioxide nanoparticles on the bioavailability and neurotoxicity
of cypermethrin in zebrafish larvae.
AB - In aquatic environment, the presence of nanoparticles (NPs) has been reported to
modify the bioavailability and toxicity of the organic toxicants. Nevertheless,
the combined toxicity of NPs and the pesticides that were used world-widely still
remains unclear. Cypermethrin (CYP), a synthetic pyrethroid insecticide, is
commonly used for controlling agricultural and indoor pests. Therefore, the
effects of titanium dioxide NPs (nTiO2) on CYP bioconcentration and its effects
on the neuronal development in zebrafish were investigated in our study.
Zebrafish embryos (2- hour-post-fertilization, hpf) were exposed to CYP (0, 0.4,
2 and 10 MUg/L) alone or co-exposed with nTiO2 (1 mg/L) until 120-hpf. nTiO2 is
taken up by zebrafish larvae and also it can adsorb CYP. The zebrafish body
burdens of CYP was observed and CYP uptake was increased by nTiO2, indicating
that the nTiO2 could accelerate the bioaccumulation of CYP in larvae. Co-exposure
of nTiO2 and CYP induced the generation of reactive oxygen species. Exposure to
CYP alone significantly decreased the mRNA expression of genes, including glial
fibrillary acidic protein (gfap), alpha1-tubulin, myelin basic protein (mbp) and
growth associated protein (gap-43). Besides, reductions of serotonin, dopamine
and GABA concentrations were observed in zebrafish and the larval locomotion was
significantly decreased in response to the lower level of the neurotransmitters.
Moreover, co-exposure of nTiO2 and CYP caused further significantly decreased in
the locomotion activity, and enhanced the down-regulation of the mRNA expression
of specific genes and the neurotransmitters levels. The results demonstrated that
nTiO2 increased CYP accumulation and enhanced CYP-induced developmental
neurotoxicity in zebrafish.
PMID- 29656191
TI - Instability diagnosis and syntrophic acetate oxidation during thermophilic
digestion of vegetable waste.
AB - Effective process monitoring and instability diagnosis are important for stable
anaerobic digestion (AD) of vegetable waste (VW). In order to evaluate the
performance of thermophilic digestion of VW, to make early diagnosis for
instability after organic overload, and to reveal the dynamics of microbial
community under different running states, thermophilic AD of VW was carried out
under improved organic loading rates (OLR) of 0.5-2.5 g volatile solid (VS)/(L ?
d) in this study. Gaseous parameters including volumetric methane production rate
(VMPR), CH4, CO2, and H2 concentrations, and liquid parameters including pH,
oxidation-reduction potential, volatile fatty acid (VFA), and total alkalinity
(TA), bicarbonate alkalinity (BA), intermediate alkalinity (IA), and ammonia,
were monitored. The coupling parameters, such as the CH4/CO2, VFA/BA, and BA/TA
ratios were also used to evaluate stability. The dynamics of syntrophic acetate
oxidizing bacteria (SAOB), acetoclastic methanogens (AM), and hydrogenotrophic
methanogens (HM) were analyzed by high-throughput sequencing. The main
methanogenic bacteria were HM (Methanothermobacter) during the start-up period of
OLR 0.5 gVS/(L ? d), while they were AM (Methanosarcina) during the stable period
of OLR of 1.0 gVS/(L ? d). The VMPR of stable period was about 0.29 L/(L . d)
with total VFA concentration below 100 mg/L, CH4/CO2 > 1.3, and BA/TA>0.9. The
first instability due to the accumulation of VFA and self-recovery due to
syntrophic acetate oxidation occurred at an OLR of 1.5 gVS/(L ? d). The
syntrophic acetate-oxidizing bacteria probably belong to genus S1 (family
Thermotogaceae). The digestion failed at an OLR of 2.0 g VS/(L . d). H2 was only
detected during collapsed period instead of instable period. The total ammonia
nitrogen loss and bicarbonate alkalinity (BA) reduction were the primary causes
for the instability of AD of VW without effluent recirculation. Compared with
single parameters, the CH4/CO2 and BA/total alkalinity (TA) ratios are
recommended as early warning indicators for engineering applications of
thermophilic AD of VW.
PMID- 29656192
TI - In vitro bioanalysis of drinking water from source to tap.
AB - The presence of chemical pollutants in sources of drinking water is a key
environmental problem threatening public health. Efficient removal of pollutants
in drinking water treatment plants (DWTPs) is needed as well as methods for
assessment of the total impact of all present chemicals on water quality. In the
present study we have analyzed the bioactivity of water samples from source to
tap, including effects of various water treatments in a DWTP, using a battery of
cell-based bioassays, covering health-relevant endpoints. Reporter gene assays
were used to analyze receptor activity of the aryl hydrocarbon receptor (AhR),
estrogen receptor (ER), androgen receptor (AR), peroxisome proliferator-activated
receptor alpha (PPARalpha) and induction of oxidative stress by the nuclear
factor erythroid 2-related factor 2 (Nrf2). DNA damage was determined by Comet
assay. Grab water samples were concentrated by HLB or ENV solid phase extraction
and the water samples assayed at a relative enrichment factor of 50. The
enrichment procedure did not induce any bioactivity. No bioactivity was detected
in Milli-Q water or drinking water control samples. Induction of AhR, ER and Nrf2
activities was revealed in source to tap water samples. No cytotoxicity,
PPARalpha or AR antagonist activity, or DNA damage were observed in any of the
water samples. A low AR agonist activity was detected in a few samples of surface
water, but not in the samples from the DWTP. The treatment steps at the DWTP,
coagulation, granulated activated carbon filtration, UV disinfection and NH2Cl
dosing had little or no effect on the AhR, Nrf2 and ER bioactivity. However,
nanofiltration and passage through the distribution network drastically decreased
AhR activity, while the effect on Nrf2 activity was more modest and no apparent
effect was observed on ER activity. The present results suggest that bioassays
are useful tools for evaluation of the efficiency of different treatment steps in
DWTPs in reducing toxic activities. Bioassays of AhR and Nrf2 are useful for
screening of effects of a broad range of chemicals in drinking water and ER
activity can be monitored with a high sensitivity.
PMID- 29656193
TI - Trade-offs in ecosystem impacts from nanomaterial versus organic chemical
ultraviolet filters in sunscreens.
AB - Both nanoparticulate (nZnO and nTiO2) and organic chemical ultraviolet (UV)
filters are active ingredients in sunscreen and protect against skin cancer, but
limited research exists on the environmental effects of sunscreen release into
aquatic systems. To examine the trade-offs of incorporating nanoparticles (NPs)
into sunscreens over the past two decades, we targeted endpoints sensitive to the
potential risks of different UV filters: solar reactive oxygen production in
water and disruption of zebrafish embryo development. First, we developed
methodology to extract nanoparticles from sunscreens with organic solvents.
Zebrafish embryos exposed to parts-per-million NPs used in sunscreens displayed
limited toxicological effects; nZnO particles appeared to be slightly more toxic
than nTiO2 at the highest concentrations. In contrast, seven organic UV filters
did not affect zebrafish embryogenesis at or near aqueous solubility. Second, to
simulate potent photo-initiated reactions upon release into water, we examined
methylene blue (MB) degradation under UV light. nTiO2 from sunscreen caused 10
times faster MB loss than nZnO and approached the photocatalytic degradation rate
of a commercial nTiO2 photocatalysts (P25). Organic UV filters did not cause
measurable MB degradation. Finally, we estimated that between 1 and 10 ppm of
sunscreen NPs in surface waters could produce similar steady state hydroxyl
radical concentrations as naturally occurring fluvic acids under sunlight
irradiation. Incorporation of NPs into sunscreen may increase environmental
concentrations of reactive oxygen, albeit to a limited extent, which can
influence transformation of dissolved substances and potentially affect ecosystem
processes.
PMID- 29656194
TI - Multiple dynamic Al-based floc layers on ultrafiltration membrane surfaces for
humic acid and reservoir water fouling reduction.
AB - The integration of adsorbents with ultrafiltration (UF) membranes is a promising
method for alleviating membrane fouling and reducing land use. However,
adsorbents typically are only injected into the membrane tank once, resulting in
a single dynamic protection layer and low removal efficiency over long-term
operation. In addition, the granular adsorbents used can cause membrane surface
damage. To overcome these disadvantages, we injected inexpensive and loose
aluminum (Al)-based flocs directly into a membrane tank with bottom aeration in
the presence of humic acid (HA) or raw water taken from the Miyun Reservoir
(Beijing, China). Results showed that the flocs were well suspended in the
membrane tank, and multiple dynamic floc protection layers were formed (sandwich
like) on the membrane surface with multiple batch injections. Higher frequency
floc injections resulted in better floc utilization efficiency and less severe
membrane fouling. With continuous injection, acid solutions demonstrated better
performance in removing HA molecules, especially those with small molecular
weight, and in alleviating membrane fouling compared with the use of high
aeration rate or polyacrylamide injection. This was attributed to the small
particle size, large specific surface area, and high zeta potential of the flocs.
Additionally, excellent UF membrane performance was exhibited by reservoir water
with continuous injection and acid solution. Based on the outstanding UF membrane
performance, this innovative integrated filtration with loose Al-based flocs has
great application potential for water treatment.
PMID- 29656195
TI - Direct analysis of deodorants for determination of metals by inductively coupled
plasma optical emission spectrometry.
AB - A fast and simple dilute-and-shoot procedure for determination of Al, As, Ba, Cd,
Cu, Fe, Mg, Mn, Ni, Pb, Sc, Ti, V, Zn and Zr in deodorants by inductively coupled
plasma optical emission spectrometry (ICP OES) was developed. Sample preparation
was carried out by diluting 1 mL of deodorant sample in 1% (v v-1) HNO3. The
accuracy of the analytical procedure was evaluated using addition and recovery
experiments, and recoveries ranged from 80 to 119%. The limits of detection
varied from 0.001 to 0.76 mg kg-1. Nine deodorants samples of different brands
were analyzed. The maximum concentrations found (mg kg-1) were: Fe (1.0), Mn
(0.1), Ti (1.02), V (0.33), Zn (255.2) and Zr (0.5); for Al and Mg, determined
concentrations varied from 0.01 to 7.0% and from 0.005 to 1.44 mg kg-1,
respectively, showing wide variation depending on the sample type. The developed
procedure was adequate for determining these analytes in routine analysis
presenting high sample throughput and demonstrated the feasibility of direct
analysis measurements after simple dilution step.
PMID- 29656196
TI - A fast and robust hydrophilic interaction liquid chromatography tandem mass
spectrometry method for determining methylpentose, hexose, hexosamine and hexonic
acid in pneumococcal polysaccharide vaccine hydrolysates.
AB - The conventional UV/Vis spectroscopy methods recommended by the European
Pharmacopoeia (EP) for determining hexosamine, hexonic acid and methylpentose in
pneumococcal polysaccharide vaccine (PPSV) hydrolates are time-consuming due to
derivatization process (typically, an analysis cycle is more than 4 h) and
improvements of selectivity and precision of the methods are in demand. In this
study, a new approach based on hydrophilic interaction liquid chromatography and
triple quadrupole mass spectrometry (HILIC-MS/MS) was optimized to overcome the
drawbacks of the EP methods for simultaneous determination of methylpentose,
hexose, hexosamine and hexonic acid in PPSV hydrolysates. The chromatographic, MS
and sample hydrolysis conditions were systematically investigated. A zwitterionic
column, Click Cys, using a gradient elution with a mobile phase of 10 mM ammonium
formate (pH 4.3) in acetonitrile from 72% to 21% in 6 min was applied for
separating the targets, which exhibited low column bleeding, easy equilibration
and long-term stability. The HILIC-MS/MS method showed a high sensitivity (LOD =
0.98 MUg L-1 for hexonic acid), a good repeatability (RSD of peak area less than
1.669%), accuracy (92.9%-104.2%), recovery (97.6%-99.3%) and a wide linear range.
The RSD of retention time obtained from more than 3000 injections in three months
was less than 1.64%. The new method was compared with the EP method for
determining hexosamine in 23 serotypes of PPSV hydrolysates. The results
indicated that the new HILIC-MS/MS method was highly selective, accurate, stable
and extremely fast due to without need of derivatization, as compared to the
conventional EP methods.
PMID- 29656197
TI - Design and synthesis of BPR1K653 derivatives targeting the back pocket of Aurora
kinases for selective isoform inhibition.
AB - Twenty five novel chemical analogs of the previously reported Aurora kinase
inhibitor BPR1K653 (1-(4-(2-((5-chloro-6-phenylfuro[2,3-d]pyrimidin-4
yl)amino)ethyl)phenyl)-3-(2-((dimethylamino)methyl)phenyl)urea) have been
designed, synthesized, and evaluated by Aurora-A and Aurora-B enzymatic kinase
activity assays. Similar to BPR1K653, analogs 3b-3h bear alkyl or tertiary amino
group at the ortho position of the phenylurea, and showed equal or better
inhibition activity for Aurora-B over Aurora-A. Conversely, preferential Aurora-A
inhibition activity was observed when the same functional group was moved to the
meta position of the phenylurea. Compounds 3m and 3n, both of which harbor a
tertiary amino group at the meta position of the phenylurea, showed 10-16 fold
inhibition selectivity for Aurora-A over Aurora-B. The in vitro kinase inhibition
results were verified by Western blot analysis, and indicated that compounds 3m
and 3n were more than 75-fold superior in inhibiting T-loop autophosphorylation
of Aurora-A (Thr288), compared to Aurora-B (Thr232) in HCT116 colon carcinoma
cells. The computational docking analysis suggested that the tertiary amine at
the meta position of the phenylurea formed a more stable interaction with
residues in the back pocket of Aurora-A than in Aurora-B, a possible explanation
for the observed discrepancy in the selectivity. These results support an
alternative small molecule design strategy targeting the back pocket of Aurora
kinases for selective isoform inhibition.
PMID- 29656198
TI - Synthesis and biological evaluation of 2,5-disubstituted furan derivatives as P
glycoprotein inhibitors for Doxorubicin resistance in MCF-7/ADR cell.
AB - Multidrug resistance (MDR) is a tendency in which cells become resistant to
structurally and mechanistically unrelated drugs, which is mediated by P
glycoprotein (P-gp). It is one of the noteworthy problems in cancer therapy. As
one of the most important drugs in cancer therapy, doxorubicin has not good
effectiveness if used independently. So targeting the P-gp protein is one of the
key points to solve the MDR. Three series of furan derivatives containing
tetrahydroquinoline or tetrahydroisoquinoline were designed and synthesized as P
gp inhibitors in this paper. Compound 5m containing 6,7-dimethoxy-1,2,3,4
tetrahydroisoquinoline possessed good potency against P-gp (EC50 = 0.89 +/- 0.11
MUM). The preliminary structure-activity relationship and docking studies
demonstrated that compound 5m would be great promise as a lead compound for
further study. Most worthy of mention is drug combination of doxorubicin and 5m
displayed antiproliferative effect of about 97.8%. This study provides
highlighted P-gp inhibitor for withstanding malignant tumor cell with multidrug
resistance especially doxorubicin resistance setting the basis for further
studies.
PMID- 29656199
TI - Investigating isoindoline, tetrahydroisoquinoline, and tetrahydrobenzazepine
scaffolds for their sigma receptor binding properties.
AB - Substituted norbenzomorphans are known to display high affinity and selectivity
for the two sigma receptor (sigmaR) subtypes. In order to study the effects of
simplifying the structures of these compounds, a scaffold hopping strategy was
used to design several novel sets of substituted isoindolines,
tetrahydroisoquinolines and tetrahydro-2-benzazepines. The binding affinities of
these new compounds for the sigma 1 (sigma1R) and sigma 2 (sigma2R) receptors
were determined, and some analogs were identified that exhibit high affinity (Ki
<= 25 nM) and significant selectivity (>10-fold) for sigma1R or sigma2R. The
preferred binding modes of selected compounds for the sigma1R are predicted by
modeling studies, and the nature of substituents on the aromatic ring and the
nitrogen atom of the bicyclic skeleton appears to affect the preferred binding
orientation of sigma1R-preferring ligands.
PMID- 29656200
TI - Photoinduced anticancer activity studies of iridium(III) complexes targeting
mitochondria and tubules.
AB - Three new iridium (III) complexes [Ir (ppy)2 (ipbc)](PF6) (1), [Ir (bzq)2
(ipbc)](PF6) (2) and [Ir (piq)2 (ipbc)](PF6) (3) were designed and synthesized.
All the complexes were tested for anticancer activity using 3-(4,5
dimethylthiazole)-2,5-diphenyltetraazolium bromide (MTT) method. The complexes
show no cytotoxic activity toward cancer BEL-7402, SGC-7901, Eca-109, A549, HeLa
and HepG2 cells. However, upon irradiation with white light, the complexes
display high cytotoxicity against BEL-7402 cells with an IC50 value of 5.5 +/-
0.8, 7.3 +/- 1.3 and 11.5 +/- 1.6 MUM for 1, 2 and 3, respectively. AO/EB
staining and comet assay show that the complexes can induce apoptosis in BEL-7402
cells. The complexes can increase intracellular ROS and Ca2+ levels and cause a
decrease in the mitochondrial membrane potential. Autophagic assays exhibit that
the complexes can induce autophagy and regulate the expression of Beclin-1 and
LC3 proteins. The cell cycle distribution in BEL-7402 cells was carried out by
flow cytometry. The expression of Bcl-2 family proteins was studied by western
blot. Additionally, the complexes can release cytochrome c and inhibit the
polymerization of alpha-tubulin. Our study reveals that the complexes inhibit the
cell growth in BEL-7402 cells through an ROS-mediated mitochondria dysfunction
and targeting tubules pathways. These complexes are a promising new entity for
the development of multi-target anticancer drugs.
PMID- 29656201
TI - Anti-leishmanial click modifiable thiosemicarbazones: Design, synthesis,
biological evaluation and in silico studies.
AB - Leishmaniasis is a devastating tropical disease with limited therapeutic options.
Depending on recently reported active anti-leishmanial compounds, we designed and
synthesized a series of click modifiable 1,2,3-triazole and thiosemicarbazone
hybrids. Most of the synthesized compounds showed comparable to superior activity
to a well-established anti-leishmanial drug miltefosine. Compounds 2 and 10a
showed nanomolar IC50s against promastigotes of L. major (227.4 nM and 140.3 nM
respectively, vs 7.8 MUM for miltefosine). Their antiamastigote IC50s were 1.4
MUM and 1 MUM respectively, which are 6 and 8 times the activity of miltefosine
(IC50 8.09 MUM). Folic and folinic acids reversed the anti-leishmanial effects of
compounds 2 and 10a and hence we anticipate they act via an anti-folate
mechanism. They exhibited better safety profiles than that of miltefosine on VERO
cell lines. Also they were relatively safe on experimental mice when administered
via oral and parenteral routes. Docking experiments on PTR1 identified
preferential binding interactions and docking scores. Finally, drug-likeness and
ligand efficiency were assessed indicating that both 2 and 10a are promising hits
and/or leads as anti-leishmanial chemotherapeutic agents.
PMID- 29656202
TI - Syntheses and anti-pancreatic cancer activities of rakicidin A analogues.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a highly aggressive malignant tumor
and resistant to most therapies. Pancreatic cancer stem cells (PCSCs) had
critical role in regulating PDAC progression, metastasis, and drug resistance.
Therefore, targeting PCSCs is considered to be a promising strategy for treatment
of PDAC. However, there is no effective drug that can selectively ablate PCSCs. A
series of twenty rakicidin A analogues were synthesized via a combinatorial
strategy and evaluated for their anti-PDAC activities, and the structure-activity
relationship was also discussed. Compound 32g was prepared in 14 linear steps
with 5.05% overall yield, which is much more efficient than our previously
reported total synthesis of rakicidin A (19 linear steps with 0.19% yield). In a
highly metastatic pancreatic cancer cell line ASPC-1, compound 32g showed about 4
times higher potency (IC50 = 0.022 MUM) than rakicidin A (IC50 = 0.082 MUM) at
hypoxia condition, and 12 folds of hypoxia selectivity (IC50 = 0.27 MUM at
nomoxia condition). In contrast, the activity of adriamycin in the same hypoxic
condition decreased. The percentage of PCSCs (with CD24+CD44+ESA+ biomarker),
activity of ALDH, and the number of tumorspheres in PANC-1 cells were greatly
reduced after treatment of 32g. More importantly, the tumor-initiating frequency
was reduced by 19 folds after the treatment of 32g, which is better than that of
rakicidin A (reduction of 4.7 folds).
PMID- 29656203
TI - An overview of quinazolines: Pharmacological significance and recent
developments.
AB - Most of the drugs and pharmacologically relevant molecules possess heterocyclic
ring structures and presence of hetero atoms or groupings divulges privileged
specificities in their pharmacological targets. Especially the heterocyclic
systems, quinazoline is a biologically imperative scaffold known to be linked
with several pharmacological activities. Some of the protuberant pharmacological
responses attributed to this system are analgesic, anti-inflammatory, anti
convulsant, sedative-hypnotic, anti-histaminic, anti-hypertensive, anti-cancer,
anti-microbial, anti-tubercular and anti-viral activities. This multiplicity in
the pharmacological response contours of quinazoline has attracted the
consideration of medicinal chemists to explore this system to its multiple
potential against numerous activities. Several of these synthetic and
pharmacological investigations have been successively studied for structure
activity relationship (SAR) to correlate the particular structural features for
their pharmacological target. The emerging understanding of quinazoline
derivatives on their pharmacological target offer opportunities for novel
therapeutics. This review principally emphases on the medicinal chemistry aspects
including drug design, structure-activity relationships (SARs), and mechanism of
actions of quinazoline derivatives. This review gives detailed attention on in
vitro and in vivo pharmacological activities of quinazoline and its analogs in
the perspective of drug discovery and its development.
PMID- 29656204
TI - Surface hydroxylation regulates cellular osteogeneses on TiO2 and Ta2O5 nanorod
films.
AB - Titanium and tantalum have been widely used for orthopedic and dental implant
applications. However, how their inherent surface features regulate cellular
osteogeneses still remains elusive. In this study, we engineered two distinct
TiO2 and Ta2O5 nanorod films as the two model oxidized surfaces to investigate
their intrinsic osteogenic behaviors. The results indicated that the distinctive
gradient on zeta potential against pH, corresponding to the deprotonation rate,
but not the hydroxyl amount or hydroxylation polarity played a critical role on
the cellular osteogenic performance. TiO2 nanorod film with a higher
deprotonation rate significantly upregulated the expression of osteogeneses
related gene and protein, comparing to that of Ta2O5 nanorod film. These results
might be attributed to that surface with higher deprotonation rateprovided more
Bronsted acid-base surface sites to react with protein residues, leading to a
mild change in conformation of the absorbed proteins, and subsequently
facilitating to trigger the integrin-focal adhesion cytoskeleton actin
transduction pathway. This study, therefore, provides a new insight into the
understanding the role of material surface hydroxylation on cellular osteogenic
responses.
PMID- 29656205
TI - A nano-bio interfacial protein corona on silica nanoparticle.
AB - Nano-bio interaction takes the crucial role in bio-application of nanoparticles.
The systematic mapping of interfacial proteins remains the big challenge as low
level of proteins within interface regions and lack of appropriate technology.
Here, a facile proteomic strategy was developed to characterize the interfacial
protein corona (noted as IPC) that has strong interactions with silica
nanoparticle, via the combination of the vigorous elution with high concentration
sodium dodecyl sulfate (SDS) and the pre-isolation of sodium dodecyl sulfate
polyacrylamide gel electrophoresis (SDS-PAGE). The trace level IPCs for silica
nanoparticle were thus qualitatively and quantitatively identified.
Bioinformatics analyses revealed the intrinsic compositions, relevance and
potential regularity addressing the strong interactions between IPC and
nanoparticle. This strategy in determining IPCs is opening an avenue to give a
deep insight to understand the interaction between proteins and not only
nanoparticles but also other bulk materials.
PMID- 29656206
TI - Enhancing first-time parents' self-efficacy: A systematic review and meta
analysis of universal parent education interventions' efficacy.
AB - BACKGROUND: Poor adjustment during early parenthood often leads to low feelings
of parental self-efficacy, which influences parents' behaviours towards their
infants. The long-term consequences on infant development warrant the need for
more attention on the efficacy of universal parent education interventions to
empower parents and enhance their self-efficacy. OBJECTIVES: To synthesise
available evidence and explore the efficacy of universal parent education
interventions on the parental self-efficacy of first-time parents. DESIGN: A
systematic review and meta-analysis of randomised controlled trials. DATA
SOURCES: A literature search of 10 databases was conducted to identify randomised
controlled trials from each database's point of inception to November 2016.
METHODS: Based on the inclusion criteria, 24,062 articles were screened for their
titles and abstracts. Two hundred and eighty articles were identified for full
text screening. Risks of bias posed by the selected articles were assessed using
Cochrane's Risk of Bias instrument. Meta-analyses were conducted using RevMan
5.3. The overall intervention effect was evaluated using z tests at p < 0.05,
while I2 and Cochran Q tests were used to measure heterogeneity. RESULTS: Ten
randomised controlled trials were selected; eight trials were combined in meta
analyses and two trials were synthesised narratively. A meta-analysis revealed
that universal parent education interventions significantly enhanced parental
self-efficacy (p < 0.001) among first-time parents and these effects were also
maintained over time (p < 0.001). The extent of improvement in parental self
efficacy was affected by the duration of the interventions. CONCLUSION: This
review provides sufficient evidence to support the use of universal interventions
to enhance new parents' self-efficacy. While intervention effects were sustained
at the two-month follow-up, further research using randomised controlled trials
and longitudinal studies are needed to determine long-term effects. The findings
serve as an impetus for hospitals and healthcare professionals to integrate
universal interventions in perinatal care to guide first-time parents' transition
into parenthood.
PMID- 29656207
TI - Dihydromyricetin relieves rheumatoid arthritis symptoms and suppresses expression
of pro-inflammatory cytokines via the activation of Nrf2 pathway in rheumatoid
arthritis model.
AB - Rheumatoid arthritis (RA) is a systemic inflammatory and autoimmune disease. In
this research, we estimated the protective effects of Dihydromyricetin (DMY) on
RA induced by Complete Freund's Adjuvant (CFA). We found that DMY effectively
relieved rheumatoid arthritis symptoms, such as body weight change, paw swelling
and rheumatoid arthritis scores. In addition, we also observed that DMY
significantly lowered the immune organ indexes (including thymus and spleen) and
exhibited the anti-inflammatory effect in CFA-induced rheumatoid arthritis. The
results demonstrated that the increased expression levels of interleukin-1beta
(IL-1beta), interleukin-6(IL-6), tumor necrosis factor-alpha (TNF-alpha) were
significantly inhibited by DMY. Furthermore, the key inflammatory mediator,
cyclooxygenase-2 (COX-2) was markedly lowered after treatment with DMY. A
mechanistic study indicated that DMY could up-regulate the down-regulation levels
of the mRNA and protein of Nrf2, HO-1 and NQO1. Moreover, the Nrf2 activation of
DMY was abolished by Nrf2 inhibitor brusatol. Thus, DMY inhibits the expressions
of pro-inflammatory cytokines via activating Nrf2 pathway in RA model, which
suggests that DMY has potential for further investigation as a candidate anti
arthritic agent in future.
PMID- 29656208
TI - Akt, mTOR and NF-kappaB pathway activation in Treponema pallidum stimulates M1
macrophages.
AB - The polarization of macrophages and the molecular mechanism involved during the
early process of syphilis infection remain unknown. This study was conducted to
explore the influence of Treponema pallidum (T. pallidum) treatment on macrophage
polarization and the Akt-mTOR-NFkappaB signaling pathway mechanism involved in
this process. M0 macrophages derived from the phorbol-12-myristate-13-acetate
induced human acute monocytic leukemia cell line THP-1 were cultured with T.
pallidum. T. pallidum induced inflammatory cytokine (IL-1beta and TNF-alpha)
expression in a dose- and time-dependent manner. However IL-10 cytokine
expression decreased at the mRNA and protein levels. Additionally, the expression
of the M1 surface marker iNOS was up-regulated with incubation time, and the
expression of the M2 surface marker CD206 was low (vs. PBS treated macrophages, P
< 0.001) and did not fluctuate over 12 h. Further studies revealed that Akt-mTOR
NFkappaB pathway proteins, including p-Akt, p-mTOR, p-S6, p-p65, and p
IkappaBalpha, were significantly higher in the T. pallidum-treated macrophages
than in the PBS-treated macrophages (P < 0.05). In addition, inflammatory
cytokine expression was suppressed in T. pallidum-induced M1 macrophages
pretreated with LY294002 (an Akt-specific inhibitor) or PDTC (an NF-kappaB
inhibitor), while inflammatory cytokine levels increased in T. pallidum-induced
M1 macrophages pretreated with rapamycin (an mTOR inhibitor). These findings
revealed that T. pallidum promotes the macrophage transition to pro-inflammatory
M1 macrophages in vitro. The present study also provides evidence that Akt, mTOR
and NF-kappaB pathway activation in T. pallidum stimulates M1 macrophages. This
study provides novel insights into the innate immune response to T. pallidum
infection.
PMID- 29656209
TI - Phosphorylation of low density lipoprotein receptor-related protein 6 is involved
in receptor for advanced glycation end product-mediated beta-catenin
stabilization in a toluene diisocyanate-induced asthma model.
AB - BACKGROUND: We have previously demonstrated that the receptor for advanced
glycation end products (RAGE)/beta-catenin axis plays a vital role in regulating
airway inflammation and airway remodeling in a toluene diisocyanate (TDI)-induced
murine asthma model. However, the exact mechanism of beta-catenin activation
remains unclear. Given that phosphorylation of the low-density lipoprotein
receptor-related protein 6 (Lrp6) is a key step in mediating beta-catenin
stabilization in canonical wnt/beta-catenin signaling, we explored the possible
relationship between RAGE and Lrp6 in regulating beta-catenin stabilization in
TDI-induced asthma. METHODS: In this study, a TDI-induced murine asthma model was
generated, and mice were treated with a specific inhibitor of RAGE. In vitro, the
human bronchial epithelial cell line 16HBE was treated with TDI-human serum
albumin (TDI-HSA). RAGE overexpression or knockdown cells were also constructed
and assessed. RESULTS: The results showed that RAGE inhibition or RAGE knockdown
decreased beta-catenin nuclear accumulation and the expression of relevant beta
catenin targeted genes (VEGF, MMP9, TGF-beta1) in the TDI-induced murine asthma
model and TDI-HSA-treated 16HBE cells, respectively. Silencing of RAGE reversed
the TDI-induced increase in phospho-ERK1/2 (p-ERK) and phospho-Lrp6 (p-Lrp6) in
16HBE cells. Pretreatment with the extracellular signal-regulated kinase (ERK)1/2
inhibitor U0126 suppressed TDI-induced Lrp6 phosphorylation. Furthermore,
knockdown of Lrp6 in 16HBE cells decreased beta-catenin nuclear translocation and
the expression of VEGF, MMP9, and TGF-beta1. CONCLUSION: These data suggested
that the RAGE/ERK axis modulates Lrp6 phosphorylation, contributing to beta
catenin stabilization in a TDI-induced murine model.
PMID- 29656210
TI - Micheliolide alleviates hepatic steatosis in db/db mice by inhibiting
inflammation and promoting autophagy via PPAR-gamma-mediated NF-kB and AMPK/mTOR
signaling.
AB - The anti-inflammatory, immunomodulatory, and anticancer effects of micheliolide
(MCL) isolated from Michelia champaca were previously reported, but its role and
underlying mechanisms in relieving liver steatosis remain unclear. Herein, we
investigated the effects of MCL on hepatic steatosis using a db/db mouse model
and lipid mixture (LM)-induced AML12 and LO2 cells. The body and liver weights,
food consumption, lipid content and liver aminotransferase levels in serum, the
lipid content and inflammatory cytokine levels in liver tissue, and the extent of
hepatic steatosis in db/db mice were increased compared with those in db/m mice,
and these increases were reversed by MCL treatment. Similarly, MCL also
attenuated the inflammatory responses and lipid accumulation in LM-treated AML12
and L02 cells by upregulating PPAR-gamma and decreasing p-IkBalpha and p-NF
kappaB/p65, thereby inhibiting the NF-kappaB pathway and reducing lipotoxicity.
Furthermore, MCL administration increased LC3B, Atg7 and Beclin-1 expression and
the LC3B-II/I ratio in db/db mouse livers and LM-treated AML12 and L02 cells, and
these MCL-induced increases were mediated by the activation of PPAR-gamma and p
AMPK and inhibition of p-mTOR and induce autophagy. These effects were blocked by
PPAR-gamma and AMPK inhibitors. Our findings suggest that MCL ameliorates liver
steatosis by upregulating PPAR-gamma expression, thereby inhibiting NF-kappaB
mediated inflammation and activating AMPK/mTOR-dependent autophagy.
PMID- 29656211
TI - Esculetin from Fraxinus rhynchophylla attenuates atopic skin inflammation by
inhibiting the expression of inflammatory cytokines.
AB - Atopic dermatitis (AD) is a common chronic inflammatory skin disorder afflicting
from infancy to adults with itching, scratching, and lichenification. We aimed to
investigate the effects of esculetin from Fraxinus rhynchophylla on atopic skin
inflammation. For induction of atopic skin inflammation, we exposed the ears of
female BALB/c mice to house dust mite (Dermatophagoides farinae extract, DFE) and
2,4-dinitrochlorobenzene (DNCB) for 4 weeks. Oral administration of esculetin
reduced the symptoms of DFE/DNCB-induced atopic skin inflammation, which were
evaluated based on ear swelling and number of scratch bouts. The immunoglobulin
(Ig) E, IgG2a, and histamine levels in serum were decreased and inflammatory cell
infiltration in skin tissue was reduced by the esculetin. It suppressed
production of Th1, Th2 and Th17-related cytokines such as tumor necrosis factor
(TNF)-alpha, interferon (IFN)-gamma, interleukin (IL)-4, IL-13, IL-31 and IL-17
in the ear tissue. Furthermore, we investigated the effects of esculetin on
activated keratinocytes, which are representative cells used for studying the
pathogenesis of acute and chronic atopic skin inflammation. As results, esculetin
suppressed gene expression of Th1, Th2 and Th17 cytokines and the activation of
nuclear factor-kappaB and signal transducer and activator of transcription 1 in
TNF-alpha/IFN-gamma-stimulated keratinocytes. Taken together, these results imply
that esculetin attenuated atopic skin inflammation, suggesting that esculetin
could be a potential therapeutic candidate for the treatment of AD.
PMID- 29656212
TI - Myeloid related proteins are up-regulated in autoimmune thyroid diseases and
activate toll-like receptor 4 and pro-inflammatory cytokines in vitro.
AB - PURPOSE: Myeloid-related protein (MRP) family plays an important role in the
promotion of cell proliferation and the production of inflammatory cytokines. We
investigated the expression of MRP6, MRP8 and MRP14 in thyroid tissues, serum,
and peripheral blood monocular cells (PBMCs) in patients with autoimmune thyroid
diseases (AITD). METHOD: The expression of MRP6, MRP8, and MRP14 was investigated
using immunohistochemical staining and quantitative real-time polymerase chain
reaction in the thyroid glands of 7 patients with Graves' disease (GD), 8 with
Hashimoto's thyroiditis (HT), and 7 healthy controls (HC). The serum levels of
MRP8/MRP14 complex and MRP6 were investigated in 30 patients with GD, 36 with HT,
and 30 with HC. The mRNA expression of MRP proteins in PBMCs was also explored.
PBMCs from each group were incubated with MPRs and their effect on Toll-like
receptor 4(TLR4) expression and their effect on the levels of the pro
inflammatory cytokines in supernatant were analyzed upon incubating with TLR4 and
signaling pathways inhibitors. RESULTS: Serum levels of MRP8/MRP14 and MRP6 were
up-regulated in patients with AITD. In addition, mRNA expression of MRP proteins
in PBMCs and the thyroid gland was markedly elevated in AITD patients. MRP6 and
MPR8 promoted the secretion of TNF-alpha and IL-6 in cultured PBMCs, and this
elevation was more pronounced in AITD patients; we also found that this up
regulation was regulated by TLR4/phosphoinositide 3-kinase/nuclear factor-kappaB
signaling pathway. CONCLUSION: The expression of MRP proteins was elevated in
AITD patients. Therefore, an MRP-TLR4 dependent signaling may play an important
role in the pathogenesis of AITD.
PMID- 29656213
TI - Molecular characterization of voltage-gated potassium channel (Kv) and its
importance in functional dynamics in bull spermatozoa.
AB - Present study was undertaken to characterize the voltage gated potassium channel
(Kv 1.1) in bull spermatozoa using sixty four ejaculates collected from four
Hariana bulls. Functional characterization was undertaken using a selective
blocker of Kv channel, 4-Aminopyridine (4-AP) while molecular presence of Kv on
bull spermatozoa by immunoblotting and indirect immunofluorescence. Three sets of
100 MUL diluted sperm samples namely-negative control (100 MUL of sperm dilution
medium (SDM) containing 10 * 106 cells), vehicle control (99 MUL of SDM
containing 10 * 106 cells, and DMSO- 1 MUL) and 4-AP treatment group (99 MUL of
SDM containing 10 * 106 cells, and drug 1 MUL 4-AP) were used in the study.
Immunoblotting identified a single band of 56 kDa corresponding to Kv1.1 in
Hariana bull spermatozoa. Immunolocalization showed the positive immunoreactivity
at head, middle piece and principal piece of the spermatozoa for Kv 1.1. Blocking
of Kv using 4-AP resulted in significant (p < 0.05) reduction in sperm
progressive motility, per cent capacitated spermatozoa (B-pattern) and acrosome
reacted (AR-pattern) spermatozoa, while significant (P < 0.05) increase in per
cent swollen spermatozoa. Blocking of Kv channels resulted in significantly (P <
0.05) increased percentage of spermatozoa with lower mitochondrial transmembrane
potential. Computer assisted semen analysis (CASA) of motion and kinematic
parameters in 4-AP treated spermatozoa indicated reduction in sperm motion
parameters like LIN, STR, VSL and VAP and higher ALH, VCL, and BCF indicating
hyperactivity of spermatozoa. Based on our findings, it may be concluded that
voltage-gated potassium channel (Kv) are present on bull spermatozoa and these
are associated with functional dynamics of spermatozoa. However, based on our
limited study, it is not possible to deduce that how these channels are
associated with induction of hyperactivity. Therefore, further studies are
warranted to unravel the mechanistic signaling pathways involved in Kv-mediated
alterations in functional dynamics of spermatozoa.
PMID- 29656214
TI - Study of virulence factor of Candida species in oral lesions and its association
with potentially malignant and malignant lesions.
AB - OBJECTIVE: The aim of this study was to explore the association between malignant
and premalignant lesions and the virulence factor profile of Candida spp.
recovered from different oral lesions. DESIGN: Candida spp. isolated from
malignant lesions (squamous cell carcinoma, OC, n = 25), atypical lichen planus
(AL, n = 11), chronic candidiasis (CC, n = 25), and asymptomatic carriers (WI, n
= 15, control strains.) Isolates were identified in chromogenic medium, colony
morphology and biochemical tests. The lipolytic and proteinase activity was
determined on supplemented agar with olive oil and BSA, respectively. The biofilm
formation with XTT reduction assay and cellular surface hydrophobicity (CSH) by
water-hydrocarbon method were performed. RESULTS: All isolates recovered from
oral lesions produced the four virulence factors studied with significantly
higher levels than in WI isolates. Interestingly, lipolytic activity was absent
in WI isolates. The proteolytic activity was similar in AL and OC isolates. OC
isolates showed significantly higher CSH values than other clinical isolates. Non
albicans species showed higher biofilm formation than C.albicans (P = 0.03.)
There were no significant differences in virulence factors among species. A
strong positive correlation was found between proteinase and lipase activity (r =
0.90, P < 0.0001), and between hydrophobicity and biofilm (R = 0.81, P < 0.0001.)
CONCLUSIONS: Our results indicate that OC Candida isolates exhibited a
significant higher attributes of virulence than other lesions fungus isolates,
providing evidence about the association between Candida pathogenicity and
lesions severity.
PMID- 29656215
TI - Inter-observer variance and the need for standardization in the morphological
classification of myelodysplastic syndrome.
AB - In this era of genome medicine, the sub-classification of myeloid neoplasms,
including myelodysplastic syndrome (MDS), is now supported by genetic testing in
selected cases. However, as the initial suspicion and primary diagnosis of the
disease still largely relies on morphological features and numbers of
hematopoietic cells, the establishment of a uniform diagnostic basis, especially
for cell morphology, is essential. In this study, we collected nearly 100,000
hematopoietic cell images from 499 peripheral blood smear specimens from patients
with MDS and used these to evaluate the standardization of morphological
classification by medical technologists. The observers in this study ranged
between two to eleven for each image, and the images were classified according to
MDS criteria through a web-based system. We found considerable inter-observer
variance in the assessment of dysplastic features. Observers did not recognize
cytoplasmic hypo-granularity unless almost all granules in neutrophils were
absent. Pseudo Pelger-Huet anomalies were also often overlooked, except for cells
with a very typical "pince-nez" appearance. Taken together, this study suggests a
requirement for further standardization in terms of morphological cell
classification, and a need for the development of automatic cell classification
supporting devices for the accurate diagnosis of MDS.
PMID- 29656216
TI - Insights into cell penetrating peptide conjugated gold nanoparticles for
internalization into bacterial cells.
AB - Gold nanoparticles (AuNPs) functionalized with different biomolecules find
extensive application in therapy, clinical diagnosis and biomedical imaging.
Herein, two derivatives of TAT peptide with sequences YGRKKRRQRRR and YGRKKRRQRRR
(beta-ala)3-Cys-amide were conjugated with tannic acid capped gold nanoparticles
which acted as a carrier for cell penetrating peptides (CPPs) into the bacterial
cells. The interaction of YGRKKRRQRRR peptide with AuNPs was non-covalent in
nature whereas YGRKKRRQRRR-(beta-ala)3-Cys-amide interacted covalently with the
AuNPs due to presence of thiol group in cysteine which bind strongly to gold
nanoparticles surface. Further, tannic acid functionalised AuNPs conjugated CPPs
constructs were duly characterized using critical flocculation essay test, UV
visible and TEM. FITC was tagged over AuNPs-CPPs in order to study the
intracellular distribution using confocal microscopy. The confocal results
revealed that nanoconjugates (AuNP-CPPs) of 5 nm diameter exhibited strong
fluorescent signal in Gram positive and Gram negative bacterial strains. The
present method can also be used for the killing of bacterial cells using photo
thermal therapy and therefore can be highly useful for targeting multi-drug
resistant bacteria.
PMID- 29656217
TI - Religiosity and interpersonal problems explain individual differences in self
esteem among young adults with child maltreatment experiences.
AB - Child maltreatment can have a lasting impact, which is why it is important to
understand factors that may exacerbate or mitigate self-esteem difficulties in
adulthood. Although there is tremendous benefit that can come from religion and
spirituality, few studies examine religious views after child maltreatment.
Subsequent interpersonal difficulties may also affect self-esteem in maltreatment
survivors. This study sought to examine interpersonal problems and religiosity as
mediators in the link between childhood maltreatment and self-esteem in
adulthood. The study recruited 718 women (M = 19.53 years) from a large public
university. Participants completed questionnaires related to child abuse and
neglect, interpersonal problems, religiosity, and self-esteem. Results
demonstrated that all forms of maltreatment were associated with negative views
of God and with more interpersonal difficulties. Viewing God as a punishing
figure mediated the relationship between childhood emotional abuse and low adult
self-esteem, along with several areas associated with interpersonal problems.
Further, for both child emotional neglect and physical abuse, viewing God as less
supportive mediated the relationship between child maltreatment and low adult
self-esteem. The results may help in intervention for child maltreatment
survivors by increasing awareness of the importance of religiosity in treatment
to self-esteem issues in both childhood and adulthood.
PMID- 29656218
TI - Improved hypocrellin A production in Shiraia bambusicola by light-dark shift.
AB - Hypocrellin A (HA) is a major bioactive perylenequinone from the fruiting body of
Shiraia bambusicola used for the treatment of skin diseases and developed as a
photodynamic therapy (PDT) agent against cancers and viruses. The mycelial
culture of S. bambusicola under dark is a biotechnological alternative for HA
production but with low yield. In this study, light and dark conditions were
investigated to develop effective elicitation on HA production in the cultures.
Our results showed the constant light at 200 lx stimulated HA production without
any growth retardation of mycelia. A light/dark shift (24: 24 h) not only
increased HA content in mycelia by 65%, but stimulated HA release into the medium
with the highest total HA production 181.67 mg/L on day 8, about 73% increase
over the dark control. Moreover, light/dark shifting induced the formation of
smaller and more compact fungal pellets, suggesting a new effective strategy for
large-scale production of HA in mycelium cultures. The light/dark shift up
regulated the expression levels of two reactive oxygen species (ROS) related
genes including superoxide-generating NADPH oxidase (Nox) and cytochrome c
peroxidase (CCP), and induced the generation of ROS. With the treatment of
vitamin C, we found that ROS was involved in the up-regulated expression of key
biosynthetical genes for hypocrellins and improved HA production. These results
provide a basis for understanding the influence of light/dark shift on fungal
metabolism and the application of a novel strategy for enhancing HA production in
submerged Shiraia cultures.
PMID- 29656219
TI - An improved green synthesis method and Escherichia coli antibacterial activity of
silver nanoparticles.
AB - Silver nanoparticles (Ag NPs) were synthesized by an improved green synthesis
method via a photo-reduction process using low-power UV light in the presence of
poly (vinyl pyrrolidone) (PVP) as the surface stabilizer. The effective synthesis
process was achieved by optimized synthesis parameters such as C2H5OH: H2O ratio,
AgNO3: PVP ratio, pH value, and reducing time. The formation of Ag NPs was
identified by Ultraviolet-visible (UV-vis) absorption spectra, X-ray diffraction
pattern (XRD) and Fourier transform infrared spectroscopy (FTIR) spectra. Ag NPs
were crystallized according to (111), (200), and (220) planes of the face
centered cubic. The transmission electron microscopy (TEM) image showed that the
morphology of Ag NPs was uniform spherical with the average particle size of 16
+/- 2 nm. The results of XRD pattern, TEM image, and dynamic light scattering
(DLS) analysis proved that Ag crystals with uniform size were formed after the
reduction process. The mechanism of the formation of Ag NPs was proposed and
confirmed by FTIR spectra. The antibacterial activity of Ag NPs against
Escherichia coli (E. coli) was tested and approximately 100% of E. coli was
eliminated by Ag NPs 35 ppm. In the future, this study can become a new process
for the application of Ag NPs as an antibiotic in the industrial scale.
PMID- 29656220
TI - Synergistic effect of the combined bio-fungicides epsilon-poly-l-lysine and
chitooligosaccharide in controlling grey mould (Botrytis cinerea) in tomatoes.
AB - The antifungal properties and the induction of resistance by epsilon-poly-l
lysine (epsilon-PL) and chitooligosaccharide (COS) were examined to find an
alternative to synthetic fungicides currently used in the control of the
devastating fungal pathogen Botrytis cinerea, the causal agent of grey mould
disease of tomatoes. As presented herein, this combined treatment (200 mg/L
epsilon-PL + 400 mg/L COS) was found to have optimal in vitro antifungal
activities, achieving an inhibition rate of 90.22%. In vivo assays with these
combined bio-fungicides, under greenhouse conditions using susceptible tomato
plants, demonstrated good protection against severe grey mould. In field tests,
the combined bio-fungicides had a control effect of up to 66.67% against tomato
grey mould. To elucidate the mechanisms of the combined bio-fungicide-induced
resistance in the tomato, plants were subjected to three treatments: 1)
inoculation with B. cinerea after spraying with 200 mg/L epsilon-PL alone, 2)
inoculation with the combined bio-fungicides, and 3) inoculation with 400 mg/L
COS alone. Compared to the control (sterile water), increases in salicylic acid
(SA) and jasmonic acid (JA) levels and increased phenylalanine ammonia lyase
(PAL), peroxidase (POD), and superoxide dismutase (SOD) activities were observed.
Catalase (CAT) activity and abscisic acid (ABA) and gibberellin (GA) levels
decreased, particularly in the combined bio-fungicide-treated plants. Altogether,
these findings reveal that the combined bio-fungicides (200 mg/L epsilon-PL + 400
mg/L COS) should be an excellent biocontrol agent candidate that combines direct
antifungal activity against B. cinerea with plant resistance.
PMID- 29656221
TI - Patellar malalignment treated with modified knee extension training: An
electromyography study.
AB - BACKGROUND: Patellar malalignment (PM) in most patients is ascribed to an
imbalance of peripatellar soft tissue tension. RESEARCH QUESTION: Conservative
treatment of PM initially with enforced training of the vastus medialis obliquus
(VMO) has been widely applied. Non-operative techniques for treatment of PM
require continuing development. METHODS: Thirty healthy young adults participated
in the study. Two surface electromyography (EMG) electrodes were placed on the
skin of the dominant lower thigh in each subject: one on the center of the muscle
belly of the VMO and the other on the symmetric location of the vastus lateralis
(VL). Maximum of knee extension action (from various angles of knee flexion to
full extension) was initiated. Tests were conducted with knee flexion decreasing
by 10 degrees at each step. Each action was repeated three times, and the
average value was calculated. The root mean square value of excited muscles in
the EMG was recorded. The ratio of the VMO to the VL (VMO/VL) was used to
indicate the effectiveness of the treatment. The knee position varied from 90
degrees flexion initially, decreasing by 10 degrees at each step. RESULTS: Nine
sets of values were obtained. All extension actions were effective (VMO/VL >1;
range, 1.23-1.35). The maximal value was observed at 60 degrees flexion (VMO/VL
= 1.35). Differences were not significant among the nine groups (p = 0.08,
ANOVA). SIGNIFICANCE: Using the described knee extension training for
conservative treatment of PM may be an effective alternative. The technique is
simple, and the results of our experimental tests are encouraging. This method
may become another popular and effective technique for treating PM.
PMID- 29656222
TI - Prolactin Induced Protein (PIP) is a potential biomarker for early stage and
malignant breast cancer.
AB - OBJECTIVES: Breast cancer (BC) is the second leading cause of cancer-related
mortality in women. Bioinformatic analysis and expression screening showed that
Prolactin Induced Protein (PIP) was differentially expressed in BC. The objective
of this investigation was to characterize the expression pattern of PIP, an
aspartyl proteinase, in malignant and non-malignant breast tissues. MATERIALS AND
METHODS: Real time quantitative PCR was employed to analyze PIP and androgen
receptor (AR) mRNA levels in BC cell lines and 190 normal tissues and tumor
samples. The tumor specimens were categorized based on TNM classification,
anatomic stage, histologic grade and molecular subtype and expression pattern
evaluated. To detect protein levels, immunohistochemistry followed by semi
quantitative scoring was employed in the examination of 517 normal, benign, and
invasive BC tissues. RESULTS: We observed substantial downregulation of PIP
transcription in cancer samples compared to normal breast tissue. mRNA levels
were significantly downregulated (93 fold, P < 0.005) in advanced grades compared
to lower grades. Transcript levels were also significantly lower (22 fold, P <
0.05) in triple negative tumors compared to hormone receptor positive tumors.
Significant downregulation was observed in early stage samples of triple negative
and hormone receptor positive tumors. Though PIP protein showed a wide range of
expression levels in BC, early stage samples showed significant downregulation.
CONCLUSIONS: PIP mRNA is significantly downregulated in early stage BC compared
to normal breast tissue. Consequently, low PIP mRNA expression in BC tissues
could potentially be used as a tissue based biomarker to assist pathologists in
confirmation of early stage BC diagnosis.
PMID- 29656223
TI - Delay of adjuvant radiotherapy due to postoperative complications after
oncoplastic breast conserving surgery.
AB - BACKGROUND: In the past a mastectomy was the first approach of treating breast
cancer. Oncoplastic techniques combined with breast conserving surgery (BCS) and
radiotherapy has become an alternative to mastectomy in patients with non
metastasized breast cancer. The aim of this study was to analyse the amount and
types of complications occurring after oncoplastic BCS before and after adjuvant
radiotherapy and the delay of adjuvant therapy due to the complications. METHOD:
A retrospective study based on all patients who received immediate oncoplastic
BCS by a plastic surgeon at two medical hospitals in The Netherlands between 2013
and 2015. (n = 150). The performed oncoplastic BCS techniques were the primary
outcome measures. In particular major complications with the need for antibiotics
or surgical intervention. A one-year follow-up was achieved for all patients.
RESULTS: 52% of the 150 included patients received an oncoplastic BCS through the
reduction pattern, 35% with a LICAP and 10% with an AICAP. Complications occurred
in 37.5% of the patients, 10% of the patients needed treatment with antibiotics
and in 6.6% of the patients a revision operation was indicated. 79.6% of all
postoperative complications occurred before the start of adjuvant radiotherapy.
In 8.2% of the patients the adjuvant radiotherapy had to be delayed due to a
complication. CONCLUSION: This study provides a detailed overview of the used
techniques of oncoplastic BCS and their postoperative complications. Most
complications occurred before the start of the adjuvant radiotherapy. Just a
small amount caused a delay for the radiotherapy to start.
PMID- 29656224
TI - Compartmentalization of the plasma membrane.
AB - The compartmentalization of the plasma membrane is essential for cells to perform
specialized biochemical functions, in particular those responsible for
intracellular and intercellular signaling pathways. Study of membrane
compartmentalization requires state-of-the-art imaging tools that can reveal
dynamics of individual molecules with high spatial and temporal resolution. In
addition, quantitative analyses are employed to identify transient changes in
molecule dynamics. In this review, membrane compartments are classified as stable
domains, transient compartments, or nanodomains where proteins aggregate.
Interestingly, in most cases, the cortical cytoskeleton plays important roles.
Recent studies of the membrane-cytoskeleton interface are providing new insights
about membrane organization involving a scale-free self-similar fractal structure
and cytoskeleton active processes coupled to membrane dynamics.
PMID- 29656225
TI - Biodegradation of acrylamide by a novel isolate, Cupriavidus oxalaticus ICTDB921:
Identification and characterization of the acrylamidase produced.
AB - Acrylamide is neurotoxic, genotoxic, teratogenic and carcinogenic. Its widespread
use in various industrial processes leads to environmental contamination.
Acrylamidase produced by certain bacteria degrade acrylamide to acrylic acid and
ammonia. The present study details the isolation and identification of soil
bacterium which could degrade acrylamide. Among the 18 acrylamide-degrading
isolates tested, isolate ICTDB921 demonstrated superior acrylamide degradation
which was confirmed by HPLC, FTIR and GC-MS. The partial 16S rRNA sequencing
confirmed the isolate to be Cupriavidus oxalaticus ICTDB921, which showed highest
growth at 60 mM acrylamide, neutral pH and 30 degrees C. The kinetic model
predictions were consistent with experimental results. The acrylamidase from this
isolate showed potency at pH (6-8) and temperatures (30-60 degrees C), with
reasonable pH (6-8) and thermal stability (upto 60 degrees C). The enzyme was
stable against most metal ions and amino acids, and also degraded other aliphatic
amides, demonstrating its potential in remediation of acrylamide from the
environment and food systems.
PMID- 29656226
TI - Stable carbon isotope fractionation of chlorinated ethenes by a microbial
consortium containing multiple dechlorinating genes.
AB - The study aimed to determine the possible contribution of specific growth
conditions and community structures to variable carbon enrichment factors (E
carbon) values for the degradation of chlorinated ethenes (CEs) by a bacterial
consortium with multiple dechlorinating genes. E-carbon values for
trichloroethylene, cis-1,2-dichloroethylene, and vinyl chloride were -7.24% +/-
0.59%, -14.6% +/- 1.71%, and -21.1% +/- 1.14%, respectively, during their
degradation by a microbial consortium containing multiple dechlorinating genes
including tceA and vcrA. The E-carbon values of all CEs were not greatly affected
by changes in growth conditions and community structures, which directly or
indirectly affected reductive dechlorination of CEs by this consortium. Stability
analysis provided evidence that the presence of multiple dechlorinating genes
within a microbial consortium had little effect on carbon isotope fractionation,
as long as the genes have definite, non-overlapping functions.
PMID- 29656227
TI - Removal of hexavalent chromium from aqueous solution by different surface
modified biochars: Acid washing, nanoscale zero-valent iron and ferric iron
loading.
AB - Willow residue biochar (BC) and modified biochars (hydrochloric acid washing
(HBC), HBC loaded with nanoscale zero-valent iron (nZVI-HBC), and HBC loaded with
ferric iron (Fe3+-HBC)) after aging were used for aqueous Cr(VI) removal. HBC
(>98.67%), nZVI-HBC (>98.86%), and Fe3+-HBC (>99.64%) kept high Cr(VI) removal
rates under the acidic conditions within a wide pH range (<7.0), indicating their
good adaptability to pH change because of aging. Cr(VI) reduction to Cr(III) was
the dominant removal mechanism. The formation of COOH on BC, HBC, and nZVI-HBC
indicates the oxidation of surface functional groups by Cr(VI) and simultaneous
Cr(VI) reduction. The disappearance of nZVI peaks indicates the reduction of
Cr(VI) to Cr(III) by nZVI. The color reaction result demonstrated that the
converted Fe2+ in Fe3+-HBC contributed to Cr(VI) reduction. Taking into account
the removal efficiency, recyclability, cost, preparation process, and stability
of adsorbents, Fe3+-HBC was recommended for Cr(VI) removal.
PMID- 29656228
TI - Generating cycle flow between dark and light zones with double paddlewheels to
improve microalgal growth in a flat plate photo-bioreactor.
AB - Double paddlewheels were proposed to generate cycle flow for increasing
horizontal fluid velocity between dark and light zones in a flat plate photo
bioreactor, which strengthened the mass transfer and the mixing effect to improve
microalgal growth with 15% CO2. Numerical fluid dynamics were used to simulate
the cycle flow field with double paddlewheels. The local flow field measured with
particle image velocimetry fitted well with the numerical simulation results. The
horizontal fluid velocity in the photo-bioreactor was markedly increased from 5.8
* 10-5 m/s to 0.45 m/s with the rotation of double paddlewheels, resulting in a
decreased dark/light cycle period. Therefore, bubble formation time and diameter
reduced by 24.4% and 27.4%, respectively. Meanwhile, solution mixing time reduced
by 31.3% and mass transfer coefficient increased by 41.2%. The biomass yield of
microalgae Nannochloropsis Oceanic increased by 127.1% with double paddlewheels
under 15% CO2 condition.
PMID- 29656229
TI - Unveiling the mechanism of the promising two-dimensional photoswitch -
Hemithioindigo.
AB - The control of internal molecular motions by outside stimuli is a decisive task
in the construction of functional molecules and molecular machines. Light-induced
intramolecular rotations of photoswitches have attracted increasing research
interests because of the high stability and high reversibility of photoswitches.
Recently, Henry et al. reported an unprecedented two-dimensional controlled
photoswitch, the hemithioindigo (HTI) derivative Z1, whose single bond rotation
in dimethyl sulphoxide (DMSO) solvent and double bond rotation in cyclohexane
solvent can be induced by visible light (J. Am. Chem. Soc. 2016, 138, 12,219).
Here we investigate the intramolecular rotations of the HTI and Z1 in different
polar solvents by time-dependent density functional theory (TDDFT) and
Nonadiabatic dynamic simulations. Due to the steric hindrance between methyl and
thioindigo fragment, the rotations of Z1 in the excited state are obstructed.
Interestingly, the HTI exhibits two distinct rotation paths in DMSO and
cyclohexane solvents at about 50fs. The intermolecular hydrogen bonds between HTI
and DMSO play an important role in the rotation of HTI in DMSO solvent.
Therefore, the HTI is a more promising two-dimensional photoswitch compared with
the Z1. Our finding is thus of fundamental importance to understand the
mechanisms of this class of photoswitches and design complex molecular behavior.
PMID- 29656230
TI - Multifractal approach for delineating uranium anomalies related to phosphatic
deposits in Area-3, Northern Palmyrides, Syria.
AB - Multifractal Concentration-Number (C-N) modeling approach has been developed and
applied to Airborne Gamma Spectrometry (AGS) data related to Area-3, Northern
Palmyrides, Syria. The application of the multifractal approach basically aimed
at separating uranium anomalies from background. The AGS technique has been
applied for uranium exploration in Syria, where four radioactive parameters were
recorded, T.C, eU, eTh, and K%. Log-log plots practiced on those radioactive
variables indicate the presence of different uranium anomaly ranges. Those
radioactive ranges have been verified and controlled by both geology field and
surface spectrometric gamma sample rocks analysis. The area range of 5.37-13.20
eU includes uranium concentration more than 120 ppm, The area range of 2.95-5.37
eU includes uranium concentration of 50 ppm, and the area range of 1.40-2.95 eU
includes uranium concentration of 7 ppm. Positive correlation has been
consequently found between radioactive anomalous eU ranges and uranium
concentrations. Such correlation indicates the importance of multi fractal
approach to be extensively used as a fractal analysis-smart sampling tool in
phosphate and uranium prospecting programs, where a positive correlation between
phosphate content, radioactivity and uranium concentration exist.
PMID- 29656231
TI - Simulated response of a multi-element thick gas electron multiplier-based
microdosimeter to high energy neutrons.
AB - The response of a microdosimeter for neutrons above 14 MeV is investigated. The
mean quality factors and dose-equivalents are determined using lineal energy
distributions calculated by Monte Carlo simulations (Geant4 toolkit). From 14 MeV
to 5 GeV, the mean quality factors were found to vary between 6.00 and 9.30 and
the dose-equivalents were in agreement with the true ambient dose-equivalent at
the depth of 10 mm inside the ICRU sphere, H*(10). An energy-independent dose
equivalent response around a median value of 0.86 within 22% uncertainty was
obtained. Therefore, the microdosimeter is appropriate for dose-equivalent
measurement of high-energy neutrons.
PMID- 29656232
TI - Gallium incorporation into phosphate based glasses: Bulk and thin film
properties.
AB - The osteogenic ions Ca2+, P5+, Mg2+, and antimicrobial ion Ga3+ were homogenously
dispersed into a 1.45 um thick phosphate glass coating by plasma assisted
sputtering onto commercially pure grade titanium. The objective was to deliver
therapeutic ions in orthopaedic/dental implants such as cementeless
endoprostheses or dental screws. The hardness 4.7 GPa and elastic modulus 69.7
GPa, of the coating were comparable to plasma sprayed hydroxyapatite/dental
enamel, whilst superseding femoral cortical bone. To investigate the
manufacturing challenge of translation from a target to vapour condensed coating,
structural/compositional properties of the target (P51MQ) were compared to the
coating (P40PVD) and a melt-quenched equivalent (P40MQ). Following condensation
from P51MQ to P40PVD, P2O5 content reduced from 48.9 to 40.5 mol%. This
depolymerisation and reduction in the P-O-P bridging oxygen content as determined
by 31P NMR, FTIR and Raman spectroscopy techniques was attributed to a decrease
in the P2O5 network former and increases in alkali/alkali-earth cations. P40PVD
appeared denser (3.47 vs. 2.70 g cm-3) and more polymerised than it's
compositionally equivalent P40MQ, showing that structure/ mechanical properties
were affected by manufacturing route.
PMID- 29656233
TI - Droplet based vitrification for cell aggregates: Numerical analysis.
AB - Cell aggregates represent the main format of cells existing in vivo and have been
widely used as tissue and disease models in vitro. Nevertheless, the preservation
of cell aggregates while maintaining their functionalities for off-the-shelf
applications is still challenging. Among various preservation methods, droplet
based vitrification exhibits superior advantages for the cryopreservation of cell
aggregates; however, the physical mechanisms underlying droplet-based
vitrification of cell aggregate using this method remain elusive. To address this
issue, we proposed a voronoi model to construct two-dimensional geometric
morphologies of cell aggregates and established a coupled physical model to
describe the diffusion, heat transfer and crystallization processes during
vitrification. Based on these models, we performed a numerical study on the
variation and distribution of cryoprotectant (CPA) concentration, temperature and
crystallization in cell aggregates during droplet-based vitrification. The
results show that although cell membrane is not an obvious barrier in heat
transfer, it affects the diffusion of CPA remarkably as a biologic film and thus
the following crystallization in cell aggregates. The effective protection of CPA
during vitrification occurs during the initial stage of CPA diffusion, thus a
longer CPA loading time does not necessarily lead to significant decrease in
crystallization, but rather may induce more toxicity to cells.
PMID- 29656234
TI - Intramasseteric Schwanoma mimicking an isolated cheek mass: Case report and
review of literature.
AB - INTRODUCTION: Schwannoma is a benign well circumscribed tumor of the nerve sheath
and it is mostly localized in the head and neck. Intramasseteric schwannoma
represents a very rare entity and a few cases have been described in the
literature. PRESENTATION OF CASE: We present a case of an isolated, asymptomatic
and slowly progressive right cheek tumor in a middle aged man. Although multiple
investigations, including neck scanner and fine needle aspiration, were done, the
diagnosis was obscure and difficult before definite surgical resection. Surgery
showed an isolated and well-defined tumor inside the masseter muscle which was
completely resected. Histopathologic finding confirmed the diagnosis of
schwannoma with the characteristic Antoni A and Antoni B cells. DISCUSSION: Among
benign tumors of the peripheral nerves, schwannoma is a specific type that
originates from Schwann cells. It is typically slowly growing, neoplasm that is
displacing neural structures without direct invasion. History, physical
examination, fine needle aspiration, and magnetic resonance imaging are used as
diagnostic modalities, however definitive diagnosis and identification of the
affected nerve are often difficult up to the time of surgery. CONCLUSION: Herby
we describe a very rare localization of schwannoma arising from masseter muscle
in a 30 year old man who presents with painless neck mass. This rare entity
should be considered in the differential diagnosis in any patient presented with
cheek mass.
PMID- 29656235
TI - Effective cancer immunotherapy in mice by polyIC-imiquimod complexes and
engineered magnetic nanoparticles.
AB - Encouraging results are emerging from systems that exploit Toll like receptor
(TLR) signaling, nanotechnology, checkpoint inhibition and molecular imaging for
cancer immunotherapy. A major remaining challenge is developing effective,
durable and tumour-specific immune responses without systemic toxicity. Here, we
report a simple and versatile system based on synergistic activation of immune
responses and direct cancer cell killing by combined TLR ligation using polyIC as
TLR3 and imiquimod (R837) as TLR7 agonist, in combination with the model antigen
ovalbumin (OVA) and phospholipid micelles loaded with zinc-doped iron oxide
magnetic nanoparticles (MNPs). The combination of TLR agonists triggered a strong
innate immune response in the lymph nodes (LNs) without systemic release of pro
inflammatory cytokines. The vaccines showed excellent efficacy against aggressive
B16-F10 melanoma cells expressing OVA, which was improved with immune checkpoint
abrogation of the immunosuppressive programmed death-ligand 1 (PD-L1) at the
level of the cancer cells. By magnetic resonance (MR) and nuclear imaging we
could track the vaccine migration from the site of injection to LNs and tumour.
Overall, we show this synergistic TLR agonists and their combination with MNPs
and immune checkpoint blockade to have considerable potential for preclinical and
clinical development of vaccines for cancer immunotherapy.
PMID- 29656236
TI - Advancing systems immunology through data-driven statistical analysis.
AB - Systems biology provides an effective approach to decipher, predict, and
ultimately manipulate the complex and inter-connected networks that regulate the
immune system. Advances in high-throughput, multiplexed experimental techniques
have increased the availability of proteomic and transcriptomic immunological
datasets, and as a result, have also accelerated the development of new data
driven computational algorithms to extract biological insight from these data.
This review highlights how data-driven statistical models have been used to
characterize immune cell subsets and their functions, to map the signaling and
intercellular networks that regulate immune responses, and to connect immune cell
states to disease outcomes to generate hypotheses for novel therapeutic
strategies. We focus on recent advances in evaluating immune cell responses
following viral infection and in the tumor microenvironment, which hold promise
for improving vaccines, antiviral and cancer immunotherapy.
PMID- 29656238
TI - Terminal deoxynucleotidyltransferase: the story of an untemplated DNA polymerase
capable of DNA bridging and templated synthesis across strands.
AB - Terminal deoxynucleotidyltransferase (TdT) is a member of the polX family which
is involved in DNA repair. It has been known for years as an untemplated DNA
polymerase used during V(D)J recombination to generate diversity at the CDR3
region of immunoglobulins and T-cell receptors. Recently, however, TdT was
crystallized in the presence of a complete DNA synapsis made of two double
stranded DNA (dsDNA), each with a 3' protruding end, and overlapping with only
one micro-homology base-pair, thus giving structural insight for the first time
into DNA synthesis across strands. It was subsequently shown that TdT indeed has
an in trans template-dependent activity in the presence of an excess of the
downstream DNA duplex. A possible biological role of this dual activity is
discussed.
PMID- 29656237
TI - Vascularized microfluidic organ-chips for drug screening, disease models and
tissue engineering.
AB - Vascularization of micro-tissues in vitro has enabled formation of tissues larger
than those limited by diffusion with appropriate nutrient/gas exchange as well as
waste elimination. Furthermore, angiocrine signaling from the vasculature may be
essential in mimicking organ-level functions in these micro-tissues. In drug
screening applications, the presence of an appropriate blood-organ barrier in the
form of a vasculature and its supporting cells (pericytes, appropriate stromal
cells) may be essential to reproducing organ-scale drug delivery
pharmacokinetics. Cutting-edge techniques including 3D bioprinting and in vitro
angiogenesis and vasculogenesis could be applied to vascularize a range of
tissues and organoids. Herein, we describe the latest developments in
vascularization and prevascularization of micro-tissues and provide an outlook on
potential future strategies.
PMID- 29656239
TI - Mechanical alterations of the bone-cartilage unit in a rabbit model of early
osteoarthrosis.
AB - OBJECTIVE: The purpose of this study was to assess mechanical properties along
with microstructural modifications of the hyaline cartilage (HC), calcified
cartilage (CC) and cortical plate (Ct.Pt), in an anterior cruciate ligament
transection (ACLT) model. Medial femoral condyles of six healthy rabbits (control
group) and of six ACLT rabbits 6 weeks after OA induction were explanted. The
zone of interest (ZOI) for all experiments was defined as the weight bearing
areas of the samples. Biomechanical properties were measured using
nanoindentation and morphological changes were evaluated using biphotonic
confocal microscopy (BCM). RESULTS: All rabbits of the ACLT group displayed early
PTOA. The results indicate an overall decrease in the mechanical properties of
the HC, CC and Ct.Pt in the ACLT group. The average equilibrium modulus and
elastic fraction of the HC decreased by 42% and 35%, respectively, compared with
control group. The elastic moduli of the CC and Ct.Pt decreased by 37% and 16%,
respectively, compared with control group. A stiffness gradient between CC and
Ct.Pt appeared in the ACLT group. The irregularity of the cement line, quantified
by its tortuosity in BCM images, was accentuated in the ACLT group compared with
the control group. CONCLUSIONS: In the ACLT model, weight-bearing stress was
modified in the ZOI. This disruption of the stress pattern induced alterations of
the tissues composing the bone-cartilage unit. In term of mechanical properties,
all tissues exhibited changes. The most affected tissue was the most superficial:
hyaline cartilage displayed the strongest relative decrease (42%) followed by
calcified cartilage (37%) and cortical plate was slightly modified (16%). This
supports the hypotheses that PTOA initiates in the hyaline cartilage.
PMID- 29656240
TI - Packing of muscles in the rabbit shank influences three-dimensional architecture
of M. soleus.
AB - Isolated and packed muscles (e.g. in the calf) exhibit different three
dimensional muscle shapes. In packed muscles, cross-sections are more angular
compared to the more elliptical ones in isolated muscles. As far as we know, it
has not been examined yet, whether the shape of the muscle in its packed
condition influences its internal arrangement of muscle fascicles and accordingly
the contraction behavior in comparison to the isolated condition. To evaluate the
impact of muscle packing, we examined the three-dimensional muscle architecture
of isolated and packed rabbit M. soleus for different ankle angles (65 degrees ,
75 degrees , 85 degrees , 90 degrees , and 95 degrees ) using manual digitization
(MicroScribe(r) MLX). In general, significantly increased values of pennation
angle and fascicle curvature were found in packed compared to isolated M. soleus
(except for fascicle curvature at 90 degrees ankle angle). On average, fascicle
length of isolated muscles exceeded fascicle lengths of packed muscles by 2.6%.
Reduction of pennation angle in the packed condition had only marginal influence
on force generation (about 1% of maximum isometric force) in longitudinal
direction (along the line of action) although an increase of transversal force
component (perpendicular to the line of action) of about 26% is expected. Results
of this study provide initial evidence that muscle packing limits maximum muscle
performance observed in isolated M. soleus. Besides an enhanced understanding of
the impact of muscle packing on architectural parameters, the outcomes of this
study are essential for realistic three-dimensional muscle modeling and model
validation.
PMID- 29656241
TI - Material properties of ultra-high molecular weight polyethylene: Comparison of
tension, compression, nanomechanics and microstructure across clinical
formulations.
AB - This is the first study to simultaneously measure material properties in tension,
compression, nanoindentation as well as microstructure (crystallinity and
lamellar level properties) across a wide variety of clinically relevant ultra
high molecular weight polyethylene (UHMWPE) formulations. Methodologies for the
measurement of UHMWPE mechanical properties-namely elastic modulus, yield stress,
yield strain, ultimate strength, energetic toughness, Poisson's ratio, hardness
and constitutive variables-are evaluated. Engineering stress-strain behavior is
compared to true stress-strain behavior for UHMWPE across a range of cross
linking and antioxidant chemistry. The tensile mechanical properties and
constitutive behavior of UHMWPE are affected by resin type, antioxidant source
and degree of cross-linking. Poisson's ratio is shown to be affected by resin
type, antioxidant addition, and cross-linking dosage. Relationships between bulk
mechanical properties from different measurement methodologies as well as
microstructure are analyzed across all material formulations using Spearman rank
correlation coefficients. Modulus and yield strength correlate in both tension
and compression. Similarly, tensile and compressive properties including modulus
and yield strength correlate strongly with crystallinity (Xc) and lamellar
thickness (D). This work has broad application and provides a basis for
interpreting the mechanical behavior of UHMWPE used in orthopedic implants.
PMID- 29656242
TI - A highly sensitive electrochemical biosensor for phenol derivatives using a
graphene oxide-modified tyrosinase electrode.
AB - The fabrication, characterization and analytical performance were investigated
for a phenol biosensor based on the covalent bonding of tyrosinase (TYR) onto a
graphene oxide (GO)-modified glassy carbon electrode (GCE) via glutaraldehyde
(GA). The surface morphology of the modified electrode was studied by atomic
force microscope (AFM) and field-emission scanning electron microscopy (FE-SEM).
The fabricated TYR/GA/GO/GCE biosensor showed very good stability,
reproducibility, sensitivity and practical usage. The catechol biosensor
exhibited a wide sensing linear range from 5*10-8M to 5*10-5M, a lower detection
limit of 3*10-8M, a current maximum (Imax) of 65.8MUA and an apparent Michaelis
constant (Kmapp) of 169.9MUM.
PMID- 29656243
TI - Different permeabilization patterns of splenocytes and thymocytes to combination
of pulsed electric and magnetic field treatments.
AB - Genetic manipulation of T cells is frequently inefficient, however, when combined
with physical methods (i.e. electroporation) a promising alliance with
immunotherapy can be formed. This study presents new data on permeabilization of
murine thymocytes and splenocytes as a T cell model using pulsed electric (PEF)
and electromagnetic field (EMF). The 300ns, 500ns, 2MUs and 100MUs pulse bursts
in a broad range of PEF 0-8kV/cm were applied separately and in combination with
3.3T, 0.2kV/cm EMF pulses. The permeabilization efficiency was evaluated using
fluorescent dye (YO-PRO-1) and flow cytometry. It was shown that a >14% increase
in thymocytes permeabilization is achieved when electroporation is applied in
combination with EMF, however splenocytes responded in a different manner - a
statistically significant (P<0.05) reduction in permeabilization was observed.
The cytokine secretion patterns were mainly unaltered independently on the
applied treatment parameters determined by secretion of IFNgamma, IL-4 and IL-17
the main cytokines of Th1, Th2 and Th17 cells. The results of this study are
useful for development of pulsed power protocols for effective genetic
modification of T cells.
PMID- 29656244
TI - Human organoid cultures: transformative new tools for human virus studies.
AB - Studies of human infectious diseases have been limited by the paucity of
functional models that mimic normal human physiology and pathophysiology. Recent
advances in the development of multicellular, physiologically active organotypic
cultures produced from embryonic and pluripotent stem cells, as well as from stem
cells isolated from biopsies and surgical specimens are allowing unprecedented
new studies and discoveries about host-microbe interactions. Here, we summarize
recent developments in the use of organoids for studying human viral pathogens,
including intestinal infections with human rotavirus, norovirus, enteroviruses
and adenoviruses (intestinal organoids and enteroids), neuronal infections with
Zika virus (cerebral organoids) and respiratory infections with respiratory
syncytial virus in (lung bud organoids). Biologic discovery of host-specific
genetic and epigenetic factors affecting infection, and responses to infection
that lead to disease are possible with the use of organoid cultures. Continued
development to increase the complexity of these cultures by including components
of the normal host tissue microenvironment such as immune cells, blood vessels
and microbiome, will facilitate studies on human viral pathogenesis, and advance
the development of platforms for pre-clinical evaluation of vaccines, antivirals
and therapeutics.
PMID- 29656246
TI - Occurrence and ecological risk assessment of organic micropollutants in the lower
reaches of the Yangtze River, China: A case study of water diversion.
AB - Water diversion has been increasingly applied to improve water quality in many
water bodies. However, little is known regarding pollution by organic
micropollutants (OMPs) in water diversion projects, especially at the supplier,
and this pollution may threaten the quality of transferred water. In the present
study, a total of 110 OMPs belonging to seven classes were investigated in water
and sediment collected from a supplier of the Yangtze River within four water
diversion projects. A total of 69 and 58 target OMPs were detected in water and
sediment, respectively, at total concentrations reaching 1041.78 ng/L and 5942.24
ng/g dry weight (dw). Polycyclic aromatic hydrocarbons (PAHs) and pharmaceuticals
were the predominant pollutants identified. When preliminarily compared with the
pollution in the receiving water, the Yangtze River generally exhibited mild OMPs
pollution and good water quality parameters, implying a clean water source in the
water diversion project. However, in Zongyang and Fenghuangjing, PAHs pollution
was more abundant than that in the corresponding receiving water in Chaohu Lake.
Ammonia nitrogen pollution in the Wangyu River was comparable to that in Taihu
Lake. These findings imply that water diversion may threaten receiving waters in
some cases. In addition, the risks of all detected pollutants in both water and
sediment were assessed. PAHs in water, especially phenanthrene and high-molecular
weight PAHs, posed high risks to invertebrates, followed by the risks to fish and
algae. Pharmaceuticals, such as antibiotics and antidepressants, may also pose
risks to algae and fish at a number of locations. To the best of our knowledge,
this report is the first to describe OMPs pollution in water diversion projects,
and the results provide a new perspective regarding the security of water
diversion projects.
PMID- 29656245
TI - Individual differences in socioemotional sensitivity are an index of salience
network function.
AB - Connectivity in intrinsically connected networks (ICNs) may predict individual
differences in cognition and behavior. The drastic alterations in socioemotional
awareness of patients with behavioral variant frontotemporal dementia (bvFTD) are
presumed to arise from changes in one such ICN, the salience network (SN). We
examined how individual differences in SN connectivity are reflected in overt
social behavior in healthy individuals and patients, both to provide
neuroscientific insight into this key brain-behavior relationship, and to provide
a practical tool to diagnose patients with early bvFTD. We measured SN functional
connectivity and socioemotional sensitivity in 65 healthy older adults and 103
patients in the earliest stage [Clinical Dementia Rating (CDR) Scale score <=1]
of five neurodegenerative diseases [14 bvFTD, 29 Alzheimer's disease (AD), 20
progressive supranuclear palsy (PSP), 21 semantic variant primary progressive
aphasia (svPPA), and 19 non-fluent variant primary progressive aphasia (nfvPPA)].
All participants underwent resting-state functional imaging and an informant
described their responsiveness to subtle emotional expressions using the Revised
Self-Monitoring Scale (RSMS). Higher functional connectivity in the SN,
predominantly between the right anterior insula (AI) and both "hub" cortical and
"interoceptive" subcortical nodes, predicted socioemotional sensitivity among
healthy individuals, showing that socioemotional sensitivity is a behavioral
marker of SN function, and particularly of right AI functional connectivity. The
continuity of this relationship in both healthy and neurologically affected
individuals highlights the role of socioemotional sensitivity as an early
diagnostic marker of SN connectivity. Clinically, this is particularly important
for identification of patients in the earliest stage of bvFTD, where the SN is
selectively vulnerable.
PMID- 29656247
TI - Distribution, source, and risk of organochlorine pesticides (OCPs) and
polychlorinated biphenyls (PCBs) in urban and rural soils around the Yellow and
Bohai Seas, China.
AB - Between 1945 and 1983, China was the world's largest producer of organochlorine
pesticides (OCPs) and polychlorinated biphenyls (PCBs), and the second largest
producer of hexachlorocyclohexanes (HCHs) and dichlorodiphenyltrichloroethanes
(DDTs). The provinces of Liaoning, Hebei, Tianjin, Shandong, and Jiangsu around
the Yellow and Bohai Seas have a long history of production and usage of OCPs and
PCBs. To investigate their residual concentration, distribution, risk level, and
temporal degradation, 7 OCPs and 7 indicator PCBs were determined in surface
soils collected around the Yellow and Bohai Seas. Residues of the 7 OCPs and 7
PCBs were in the range of 5.89-179.96 ng g-1 dry weight (dw) and non-detectable
(ND)-385.67 ng g-1 dw, respectively. Tianjin and Hebei provinces recorded the
highest concentrations of OCPs and PCBs, respectively. Moreover, OCPs residues
had a significant relationship with agriculture and orchard land-use types,
whereas PCBs residues occurred more in wasteland. Lifetime carcinogenic and non
carcinogenic risks of OCPs and PCBs through ingestion, inhalation, and dermal
contact indicated that OCPs and PCBs residues in surface soils are at a low risk
level.
PMID- 29656248
TI - Human health risk assessment for nanoparticle-contaminated aquifer systems.
AB - Nanosized particles (NPs), such as TiO2, Silver, graphene NPs, nanoscale zero
valent iron, carbon nanotubes, etc., are increasingly used in industrial
processes, and releases at production plants and from landfills are likely
scenarios for the next years. As a consequence, appropriate procedures and tools
to quantify the risks for human health associated to these releases are needed.
The tiered approach of the standard ASTM procedure (ASTM-E2081-00) is today the
most applied for human health risk assessment at sites contaminated by chemical
substances, but it cannot be directly applied to nanoparticles: NP transport
along migration pathways follows mechanisms significantly different from those of
chemicals; moreover, also toxicity indicators (namely, reference dose and slope
factor) are NP-specific. In this work a risk assessment approach modified for NPs
is proposed, with a specific application at Tier 2 to migration in groundwater.
The standard ASTM equations are modified to include NP-specific transport
mechanisms. NPs in natural environments are typically characterized by a
heterogeneous set of NPs having different size, shape, coating, etc. (all
properties having a significant impact on both mobility and toxicity). To take
into account this heterogeneity, the proposed approach divides the NP population
into classes, each having specific transport and toxicity properties, and
simulates them as independent species. The approach is finally applied to a test
case simulating the release of heterogeneous Silver NPs from a landfill. The
results show that taking into account the size-dependent mobility of the
particles provides a more accurate result compared to the direct application of
the standard ASTM procedure. In particular, the latter tends to underestimate the
overall toxic risk associated to the nP release.
PMID- 29656249
TI - Mechanism of matrix-bound phosphine production in response to atmospheric
elevated CO2 in paddy soils.
AB - To explore the effect of elevated CO2 concentrations ([CO2]) on phosphine
formation in paddy fields, the matrix-bound phosphine (MBP) content, different
phosphorus fractions and various carbon forms in soil samples from rice
cultivation under varying CO2 concentrations of 400 ppm, 550 ppm and 700 ppm by
indoor simulation experiment were determined. This study showed that MBP
concentration did not increase significantly with elevated [CO2] over four-week
cultivation periods of rice seedlings, regardless of soil layers. MBP had a
significant positive correlation with total phosphorus (TP) and inorganic
phosphorus (IP), and multiple stepwise linear regression analysis further
indicated that MBP preservation in neutral paddy soils with depths of 0-20 cm may
have been due to conversion from FeP and CaP. Based on redundancy analysis and
forward selection analysis, speculated that the formation of MBP in the neutral
paddy soils as the response to atmospheric elevated [CO2] was due to two
processes: (i) FeP transformation affected by the changes of soil respiration
(SCO2) and TOC was the main precursor for the production of MBP; and (ii) CaP
transformation resulting from variation in HCO3- was the secondary MBP source.
The complex combination of these two processes is simultaneously controlled by
SCO2. In a word, the soil environment in the condition of elevated [CO2] was in
favor of MBP storage in neutral paddy soils. The results of our study imply that
atmospheric CO2 participates in and has a certain impact on the global
biogeochemical cycle of phosphorus.
PMID- 29656250
TI - Exposure to environmental level phenanthrene induces a NASH-like phenotype in new
born rat.
AB - More and more evidence indicates that persistent organic pollutants (POPs) are a
risk factor for non-alcoholic fatty liver disease (NAFLD) and non-alcoholic
steatohepatitis (NASH). Phenanthrene (Phe) is a kind of POP which existed
extensively in the environment, but whose toxicity on mammals has so far received
less focus. Subcutaneously injection of Phe (0.5, 5, 50 MUg/kg) for 21 days
induced significant NAFLD/NASH symptoms in new born rats. Exposure to
environmental levels of Phe decreased body weight and liver-somatic index;
impaired histology of liver; influenced the peroxisome proliferator-activated
receptor gamma (PPARgamma) signaling and lipid metabolism in liver; stimulated
oxidative stress in the rats' liver; induced the variation of NFkappaB pathway
and liver inflammatory response; and caused liver fibrosis via transforming
growth factor beta1 (tgfbeta1). We speculated that the subcutaneously injected
Phe was transferred to the liver through blood circulation, which may have
induced the elevation of PPARgamma directly or indirectly, leading to liver
steatosis. Excess lipid, acting as the first hit, stimulated the second hit
factors - oxidative stress, inflammatory response and lipid peroxidation, and
finally resulted in steatohepatitis and liver fibrosis.
PMID- 29656251
TI - Thallium contamination of desert soil in Namibia: Chemical, mineralogical and
isotopic insights.
AB - We studied arid desert soils from Namibia (Rosh Pinah) that were contaminated
with up to 7 mg kg-1 of thallium (Tl) via dust emitted from a local flotation
tailing dam. Chemical extractions of waste and soil materials indicated that most
of the Tl is strongly bound, in accordance with X-ray diffraction and X-ray
absorption spectroscopy data that point to the predominant association of Tl with
metal sulfides and phyllosilicates. The isotope fractionation factor epsilon205Tl
of the soil samples (from -0.4 to +3.8) shows a positive linear relationship (R2
= 0.62) with 1/Tl, indicative for the mixing of two major Tl pools, presumably
anthropogenic Tl and geogenic Tl. The epsilon205Tl value for the topmost soil
samples (~+3) closely matches the epsilon205Tl value for post-flotation waste
particles with a diameter of <0.05 mm, whereas the bulk flotation waste exhibits
a significantly larger epsilon205Tl value (~+6). These variations are in
accordance with predominant atmospheric transfer of Tl from the tailings to the
adjacent soils via fine (dust) particles. The identified minimal Tl alteration in
soils indicates that only a small part of the Tl could be potentially released
and passively enter the vegetation, local population and/or food chain in the
long term. From this viewpoint, Tl does not represent such an important
environmental concern as other (abundant) contaminants at the locality.
Furthermore, there could be a relevance for other alkaline desert soils,
including those where Tl pollution plays a major role.
PMID- 29656252
TI - Assessing the effect of industrial wastewater on soil properties and
physiological and nutritional responses of Robinia pseudoacacia, Cercis
siliquastrum and Caesalpinia gilliesii seedlings.
AB - The main aim of this study is to investigate the physical and chemical
properties, including soil salinity, acidity, concentrations of macro-nutrients
(phosphorus, potassium, and Calcium-Magnesium) and sodium adsorption ratio to the
soil, physiological and nutritional traits of three plant species including
Caesalpinia gilliesii, Robinia pseudoacacia, and Cercis siliquastrum. First, some
sample were taken from the agricultural soils irrigated with wastewater. The
results of initial soil test revealed that the irrigation with wastewater
significantly increased sodium adsorption ratio (SAR), electrical conductivity
(ECe), cation exchange capacity (CEC) of the soil (p < 0.05). Secondly, the
effect of industrial wastewater on the responses of three plants were
investigated. According to the results, the highest shoot fresh weight was
observed in C. gilliesii seedlings treated with T100%, which is 35% higher than
the control treatment. The highest concentration of shoot phosphorus in the three
plants was respectively 0.54, 0.72, and 1% in those treated with T100% and 0.41,
0.48, and 0.83% in the control treatment. The amount of shoot potassium in the
three plants treated with T100% was respectively 0.84, 0.48, and 1%, while it was
0.43, 0.4, and 0.1 in the control treatment, respectively (p < 0.05). According
to the current concerns about increased EC, SAR, and Na in C. gilliesii treated
with T100%, as compared to the control treatment (50, 386, and 412), and the
positive effects of wastewater on soil properties (CEC, pH, and K) and morpho
physiological responses of the plant, it is recommended to use wastewater with
continuous monitoring to prevent the pollution of water and soil resource.
PMID- 29656253
TI - Disposal of olive mill wastewater with DC arc plasma method.
AB - Olive mill wastewater is an industrial waste, generated as a byproduct of olive
oil production process and generally contains components such as organic matter,
suspended solids, oil, and grease. Although various methods have been developed
to achieve the disposal of this industrial wastewater, due to the low cost, the
most common disposal application is the passive storage in the lagoons. The main
objective of this study is to reduce pollution parameters in olive mill
wastewater and draw water to discharge limits by using plasma technology. Plasma
assisted disposal of olive mill wastewater method could be an alternative
disposal technique when considering potential utilization of treated water in
agricultural areas and economic value of flammable plasma gas which is the
byproduct of disposal process. According to the experimental results, the rates
of COD (chemical oxygen demand) and BOD (biological oxygen demand) of olive mill
wastewater are decreased by 94.42% and 95.37%, respectively. The dissolved oxygen
amount is increased from 0.36 to 6.97 mg/l. In addition, plasma gas with high H2
content and treated water that can be used in agricultural areas for irrigation
are obtained from non-dischargeable wastewater.
PMID- 29656254
TI - Review of national methodologies for rivers' hydromorphological assessment: A
comparative approach in France, Romania, and Croatia.
AB - Conducting hydromorphological assessments for evaluating the ecological status of
rivers is a key requirement of the Directive 2000/60/EC (Water Framework
Directive - WFD) within European Union (EU) Member States. This paper aims at
understanding how this requirement was implemented, through an original
comparative review of methodologies for rivers' hydromorphological assessment in
three EU Member States, which joined the EU at different times, and with many
differences in terms of hydrographic features, socio-economic and water
management systems: France, Romania, and Croatia. More precisely, the paper aims
at identifying and understanding the main principles guiding the
hydromorphological assessment methodologies, elements and data used, giving an
overview of the results of hydromorphological river status assessment, and
concluding on the stage of hydromorphological assessment implementation. France
developed numerous methodologies for physical habitat survey since the 1990s and
it is currently conducting a rigorous hydromorphological field survey, but it
does not yet have any national methodology for rivers' hydromorphological status
assessment, nevertheless foreseen for the next cycle of the WFD. Besides, Romania
and Croatia have already started the assessment of the hydromorphological status
of rivers within the two cycles of the River Basin Management Plans and are
making efforts to improve the hydromorphological monitoring activity. The methods
generally differ in indicators, data used, and spatial scale of analysis, which
makes it difficult to compare the results of the assessments. Despite a common
water policy, the methodological dissimilarities seem to be rather usual between
EU Member States. Therefore, the standardization of methodologies appears to be
necessary, but the current results could be useful for setting priorities for
river restoration and for achieving a better status at a national scale.
PMID- 29656255
TI - Microbial iron reduction as a method for immobilization of a low concentration of
dissolved cadmium.
AB - Much attention has been paid to the relationship between microbial iron reduction
and the behavior of cadmium (Cd) recently, but most previous research has
employed unrealistically high Cd concentrations (e.g., 2-55 mg L-1) and has
failed to consider the effects of iron oxides and microbial cells together. We
investigated the reduction of lepidocrocite by Shewanella oneidensis MR-1 in the
presence of a low concentration of Cd using batch reactor systems. The results
showed that with 422 MUg L-1 added dissolved Cd2+, an initial 137 MUg L-1
decrease in aqueous Cd occurred due to adsorption onto lepidocrocite and that the
further removal of remaining aqueous Cd occurred only in the system containing
bacteria. This further decrease in aqueous Cd was unlikely to be caused by
mineral transformation because the microbial reduction of lepidocrocite resulted
in particle-size-increased (thus, specific-surface-area-decreased) lepidocrocite,
and unlikely to be caused by the pH increase to 7.4 induced by iron reduction
either because a pH-adsorption edge suggested that at pH 7.4, less than 60% of
aqueous Cd can be adsorbed by lepidocrocite in the reactors. An adsorption
isotherm showed a significant Cd adsorption capacity by S. oneidensis MR-1 cells,
and we therefore attributed the further Cd removal to adsorption by S. oneidensis
MR-1 cells. The results suggest that a realistically low concentration of Cd can
be immobilized during microbial iron reduction by adsorption on iron oxides and
microbial cells.
PMID- 29656256
TI - Phosphatase mediated bioprecipitation of lead as pyromorphite by Achromobacter
xylosoxidans.
AB - Achromobacter xylosoxidans strain SJ11, tolerating up to 4.0 mM lead nitrate, in
a defined minimal medium was isolated from the waste of a battery manufacturing
industry, Goa, India. Interestingly, it formed white precipitate on exposure to
lead nitrate which was also evident from scanning electron micrograph (SEM).
Energy dispersive X-ray spectroscopic analysis revealed the presence of lead
(48.5% by weight) along with phosphorus and chlorine in the precipitate.
Transmission electron microscopy (TEM) of bacterial cells clearly refuted the
possibility of intracellular lead uptake confirming extracellular precipitation
as a predominant mechanism of lead resistance in this bacterium. The
extracellular precipitate was further identified as pyromorphite [Pb5(PO4)3Cl] by
X-ray diffraction analysis. This was also corroborated by fourier transformed
infrared spectroscopy (FTIR) indicating a significant involvement of phosphate
groups. Atomic absorption spectroscopic analysis clearly demonstrated that 465.8
mg g-1 lead was precipitated by the bacterial cells. There was remarkable
increase of 160% in phosphatase activity suggesting it's important role in lead
precipitation. This was further substantiated by significant up-regulation of
phosphatase, CheZ using LC-MS/MS. Therefore phosphatase mediated extracellular
precipitation of lead as pyromorphite by A. xylosoxidans strain SJ11 clearly
demonstrated it's potential in bioremediation of lead contaminated environmental
sites.
PMID- 29656257
TI - Nutrient-derived environmental impacts in Chinese agriculture during 1978-2015.
AB - Nitrogen (N) and phosphorus (P) play a critical role in agricultural production
and cause many environmental disturbances. By combing life cycle assessment (LCA)
method with the mass balance principle of substance flow analysis (SFA), this
study establishes a nutrient-derived environmental impact assessment (NEIA) model
to analyze the environmental impacts caused by nutrient-containing substances of
agricultural production in China during 1978-2015. The agricultural production
system is composed of crop farming and livestock breeding, and the environmental
impacts include energy consumption, global warming, acidification, and
eutrophication. The results show all these environmental impacts had increased to
8.22*109 GJ, 5.01*108 t CO2-eq, 2.41*107 t SO2-eq, and 7.18*107 t PO43--eq,
respectively. It is noted the energy consumption and the climate change caused by
the crop farming were always higher than those from livestock breeding, which
were average 60 and two times, respectively. While the acidification and the
eutrophication were opposite after 1995 and 2000, even they were similar. This
was mainly due to the high N application including synthetic N fertilizer (from
1.33*109 GJ to 2.08*109 GJ), applied manure (from 4.94*108 GJ to 5.65*108 GJ) and
applied crop residue (from 2.94*108 GJ to 5.30*109 GJ), while the synthetic N
fertilizer was controlled and the livestock expanded rapidly after 1995. Among
the sub-categories, the three staple crops (rice, wheat, and maize) contributed
greater environmental impacts, which were about two to 10 times as other crops
and livestock, due to their high fertilizer uses, sown areas and harvests. While
the oil crops and fruit consumed the least energies because of their much lower
fertilizer-use intensities. Pig and poultry especially pig also caused obvious
effects on environment (even 20 times as other livestock) because of their large
quantities and excretions, which emitted much higher N2O and P loss resulting in
much higher climate change, acidification and eutrophication than other
livestock. Then the study proposes the nutrient management in agricultural
production by considering crop production, livestock breeding and dietary
adjustment, so that some valuable experiences can be shared by the stakeholders
in other Chinese regions.
PMID- 29656258
TI - Towards a uniform specification of light therapy devices for the treatment of
affective disorders and use for non-image forming effects: Radiant flux.
AB - BACKGROUND: For treating affective disorders like SAD, light therapy is used
although the underlying mechanism explaining this success remains unclear. To
accelerate the research on defining the light characteristics responsible for
inducing a specific effect a uniform manner for specifying the irradiance at the
eye should be defined. This allows a genuine comparison between light-affect
studies. An important factor impacting the irradiance at the eye are the radiant
characteristics of the used light therapy device. METHOD: In this study the
radiant fluxes of five different light therapy devices were measured. The values
were weighted against the spectral sensitivity of the five photopigments present
in the human eye. A measurement was taken every five minutes to control for a
potential stabilizing effect. RESULTS: The results show that all five devices
show large differences in radiant flux. The devices equipped with blue LED lights
have a much lower spectral radiant flux than the devices equipped with a
fluorescent light source or a white LED. The devices with fluorescent lamps
needed 30 min to stabilize to a constant radiant flux. LIMITATIONS: In this study
only five devices were measured. Radiant flux is just the first step to identify
uniform specifications for light therapy devices. CONCLUSIONS: It is recommended
to provide all five alpha-opic radiant fluxes. Preferably, the devices should
come with a spectral power distribution of the radiant flux. For the devices
equipped with a fluorescent lamp it is recommended to provide information on the
stabilization time.
PMID- 29656259
TI - Increased coherence-based regional homogeneity in resting-state patients with
first-episode, drug-naive somatization disorder.
AB - BACKGROUND: Abnormal neural activity has been observed in patients with
somatization disorder (SD), especially in brain regions of the default-mode
network (DMN). In this study, a coherence-based regional homogeneity (Cohe-ReHo)
approach was used to detect abnormal regional synchronization in patients with
SD, which might be used to differentiate the patients from the controls. METHODS:
We recruited 25 patients with SD and 28 healthy controls. The imaging data of the
participants were analyzed using the Cohe-ReHo approach. LIBSVM (a library for
support vector machines) was utilized to verify whether abnormal Cohe-ReHo values
could be applied to separate patients with SD from healthy controls. RESULTS:
Compared with healthy controls, patients with SD showed an increased Cohe-ReHo in
the left medial prefrontal cortex/anterior cingulate cortex (MPFC/ACC) (t =
5.5017, p < 0.001). No correlations were detected between the increased Cohe-ReHo
values and clinical variables of the patients. The Cohe-ReHo values in the left
MPFC/ACC could be applied to distinguish patients from controls with a
sensitivity and a specificity of 84.00% and 85.71%, respectively. CONCLUSIONS: An
increased Cohe-ReHo was observed in the anterior DMN of the patients and could be
applied as a marker to distinguish patients from healthy controls. These results
highlighted the importance of the DMN in the pathophysiology of SD.
PMID- 29656260
TI - Racial/ethnic differences in the treatment of adolescent major depressive
disorders (MDD) across healthcare providers participating in the medicaid
program.
AB - BACKGROUND: To examine whether racial/ethnic differences in receipt of MDD
treatment could be explained by the specialty of provider diagnosing the
adolescent. METHOD: Adolescents (10-20 years-old) with >=2 MDD diagnoses were
identified using 2005-2007 Medicaid data from Texas. Patients were categorized
based on the types of provider who gave the initial MDD diagnosis (psychiatrist
(PSY-I), social worker/psychologist (SWP-I), and primary care physician (PCP-I)).
Within the sub-cohorts identified by each type of provider, patients were further
divided by racial/ethnic groups. RESULTS: Of the 13,234-new pediatric MDD cases
diagnosed, 61% were SWP-I, 33% PSY-I and 6% PCP-I. Results of the analysis using
general linear multi-level model showed that being first diagnosed by a
psychiatrist was associated with higher chance of receiving MDD related treatment
(PCP-I vs. PSY-I (OR: 0.54, 95%CI: 0.4-0.7) and SWP-I vs. PSY-I (OR: 0.17, 95%CI:
0.1-0.2)). Specifically, regarding the receipt of pharmacotherapy, an interaction
effect was detected between types of identifying providers and patients'
race/ethnicity. The analysis stratified by race/ethnicity found Whites received
comparable treatment regardless being PCP-Is or PSY-Is, while for Hispanics,
being first identified by a PCP was associated with lower likelihood of receiving
treatment as compared to being first identified by a psychiatrist. Further
analysis stratified by provider types showed that a significant racial/ethnic
variation in medication utilization was observed in PCP-Is, but not in PSY-Is.
CONCLUSION: For adolescents with MDD, being first diagnosed by a psychiatrist was
associated with higher treatment rate and reduced racial/ethnic variation in the
utilization of pharmacotherapy.
PMID- 29656261
TI - Young adult cognitive ability and subsequent major depression in a cohort of
666,804 Danish men.
AB - BACKGROUND: Early life cognitive ability (CA) might influence the risk of
developing major depression (MD). The aim was to investigate the association
between young adult CA and subsequent MD in relation to different MD disease
characteristics. METHODS: Information on CA was assessed at conscription board
examinations 1957-1984 (mean age 19 years) and information on MD was based on
hospital diagnosis retrieved from Danish Patient registers 1969-2015.
Associations between CA and MD were examined using Cox regression analyses.
RESULTS: A total of 666,804 men (born 1939-1959) were followed and 25,841 (3.9%)
developed MD during a mean follow-up of 40.8 years. Lower CA was associated with
an increased risk of incident MD. The association was stronger for early-onset
(<60 years) (HRper1SDdecrease = 1.23; 95%CI:1.21,1.24) compared to late-onset
(>=60 years) MD (HRper1SDdecrease = 1.14; 95%CI:1.11,1.16), but CA was not
related to number of depressive episodes. The association was stronger for single
depressive episodes (HRper1SDdecrease = 1.21; 95%CI:1.19,1.23) compared to
recurrent depression (HRper1SDdecrease = 1.13; 95%CI:1.09,1.16), while the
strength of the association did not differ according to MD disease severity
(ICD10: mild, moderate, and severe depression). LIMITATIONS: The study sample
only included men and only MD cases diagnosed at hospital were included which
limits the generalizability. CONCLUSION: Low CA could be a risk factor for
especially early onset MD in men, whereas the influence of CA on re-occurrence
seems less strong. Lower pre-morbid CA increases the risk of MD and should
therefore be part of the depression risk assessment in clinical practice.
PMID- 29656262
TI - Corrigendum to "Post-traumatic stress symptoms are associated with physical
multimorbidity: Findings from the Adult Psychiatric Morbidity Survey 2007"
[Journal of Affective Disorders 232 (2018) 385-392].
PMID- 29656263
TI - Early improvement as a predictor of final remission in major depressive disorder:
New insights in electroconvulsive therapy.
AB - BACKGROUND: Early improvement to antidepressant drugs predicts remission, but the
predictive value of early improvement to electroconvulsive therapy (ECT) is still
unclear. The main aim of this study was to determine the optimal definition of
early improvement in the treatment of major depressive disorder (MDD) with ECT,
by analyzing its value as a predictor of remission. METHODS: A naturalistic study
was conducted in 87 adult MDD patients treated with acute ECT. ROC curves were
generated to identify the best time point (week 1 or 2 of treatment) predicted
remission. Sensibility, specificity, and predictive values were calculated for
several definitions of early improvement previously proposed in the literature
(20%, 25%, 30%, and 35%). Complementary, time to remission was analyzed and a
logistic regression model was performed to further characterize the impact of the
optimal definition of early improvement on remission while adjusting for other
clinically relevant variables. RESULTS: A 30% reduction in the HAM-D score after
2 weeks was identified as the optimal definition of early improvement, with
acceptable sensitivity (76%), and specificity (67%). Complementary analyses
provided further support for this definition showing a shorter time to remission
and a significant effect adjusted for the rest of variables. LIMITATIONS:
Relatively small sample size, ECT restricted to brief bilateral treatment.
CONCLUSIONS: Early improvement, defined as a 30% of reduction in the HAM-D21
score at week 2, is a good predictor of remission in MDD patients treated with
bilateral ECT, with potential clinical impact. Lack of early improvement could
indicate a need for further changes in treatment strategies.
PMID- 29656265
TI - Classification of attempted suicide by cluster analysis: A study of 888 suicide
attempters presenting to the emergency department.
AB - BACKGROUND: It is essential to understand the latent structure of the population
of suicide attempters for effective suicide prevention. The aim of this study was
to identify subgroups among Korean suicide attempters in terms of the details of
the suicide attempt. METHODS: A total of 888 people who attempted suicide and
were subsequently treated in the emergency rooms of 17 medical centers between
May and November of 2013 were included in the analysis. The variables assessed
included demographic characteristics, clinical information, and details of the
suicide attempt assessed by the Suicide Intent Scale (SIS) and Columbia-Suicide
Severity Rating Scale (C-SSRS). Cluster analysis was performed using the Ward
method. RESULTS: Of the participants, 85.4% (n = 758) fell into a cluster
characterized by less planning, low lethality methods, and ambivalence towards
death ("impulsive"). The other cluster (n = 130) involved a more severe and well
planned attempt, used highly lethal methods, and took more precautions to avoid
being interrupted ("planned"). The first cluster was dominated by women, while
the second cluster was associated more with men, older age, and physical illness.
LIMITATIONS: We only included participants who visited the emergency department
after their suicide attempt and had no missing values for SIS or C-SSRS.
CONCLUSIONS: Cluster analysis extracted two distinct subgroups of Korean suicide
attempters showing different patterns of suicidal behaviors. Understanding that a
significant portion of suicide attempts occur impulsively calls for new
prevention strategies tailored to differing subgroup profiles.
PMID- 29656264
TI - Prospective predictors of first-onset depressive disorders in adolescent females
with anxiety disorders.
AB - BACKGROUND: Anxious youth are at increased risk for later depressive disorders,
but not all anxious youth develop depression. Sequential comorbidity models
emphasize shared risk factors and anxiety sequelae, but some anxious youth who
later develop depression may have risk factors that are relatively specific to
depression, in addition to a liability to anxiety. We examined several variables
that appear relatively specific to risk for depression-the personality traits of
low positive affectivity and high sadness, and an electrophysiological measure of
blunted response to reward - in predicting first-onset depressive disorders and
depressive symptoms in clinically anxious adolescent girls. METHODS: A sample of
114 adolescents with baseline anxiety disorders completed personality and
psychopathology measures, psychophysiology tasks, and diagnostic interviews.
Interviews and a measure of depressive symptoms were re-administered over 27
months. RESULTS: After controlling for baseline depressive symptoms, blunted
reward sensitivity uniquely predicted first-onset depressive disorders and
depressive symptoms 27 months later. Post-hoc analyses indicated that blunted
reward sensitivity only predicted first-onset depressive disorders and depressive
symptoms in girls with high social anxiety symptoms. LIMITATIONS: Analyses were
unable to account for concurrent anxiety symptoms and disorders. CONCLUSIONS: The
depression-specific risk factor, blunted reward sensitivity, may comprise one
pathway to subsequent depressive disorders and symptoms in anxious youth and
indicate which anxious youth need intervention to prevent later depression,
particularly in socially anxious girls.
PMID- 29656266
TI - Cortical and subcortical changes in patients with premenstrual syndrome.
AB - BACKGROUND: Premenstrual syndrome (PMS) is characterized by a series of
emotional, physical and behavioral symptoms. Although PMS is related to
dysfunctions of the central nervous system, the neuropathological mechanism of
PMS still has not been clearly established. The aim of this study is to evaluate
potential differences in both cortical thickness and subcortical volumes in PMS
patients compared to healthy controls (HCs). METHODS: Twenty PMS patients and
twenty HCs underwent a structural magnetic resonance imaging scan and clinical
assessment. Cortical thickness and subcortical volumes were computed using the
FreeSurfer image analysis suite. Relationships between cortical
thickness/subcortical volumes and the daily rating of severity of problems (DRSP)
score were then measured in patients. RESULTS: Compared to HCs, PMS patients
exhibited reduced cortical thickness in the medial prefrontal cortex (MPFC),
orbitofrontal cortex (OFC) and insula, and increased subcortical volumes of the
amygdala, thalamus and pallidum. Furthermore, negative correlations were detected
between the DRSP and cortical thickness in the anterior cingulate cortex and
precuneus. LIMITATIONS: The study is limited by a small sample size and narrow
age range of participants. CONCLUSIONS: Our findings indicate that the abnormal
morphological changes are mainly implicated in emotional regulation and visceral
perception in PMS patients. We hope that our study may contribute to a better
understanding of PMS.
PMID- 29656267
TI - A naturalistic examination of the perceived effects of cannabis on negative
affect.
AB - BACKGROUND: Cannabis is commonly used to alleviate symptoms of negative affect.
However, a paucity of research has examined the acute effects of cannabis on
negative affect in everyday life. The current study provides a naturalistic
account of perceived changes in symptoms of depression, anxiety, and stress as a
function of dose and concentration of Delta9tetrahydrocannabinol (THC) and
cannabidiol (CBD). METHOD: Data from the app StrainprintTM (which provides
medical cannabis users a means of tracking changes in symptoms as a function of
different doses and chemotypes of cannabis) were analyzed using multilevel
modeling. In total, 11,953 tracked sessions were analyzed (3,151 for depression,
5,085 for anxiety, and 3,717 for stress). RESULTS: Medical cannabis users
perceived a 50% reduction in depression and a 58% reduction in anxiety and stress
following cannabis use. Two puffs were sufficient to reduce ratings of depression
and anxiety, while 10+ puffs produced the greatest perceived reductions in
stress. High CBD (>9.5%)/low THC (<5.5%) cannabis was associated with the largest
changes in depression ratings, while high CBD (>11%)/high THC (>26.5%) cannabis
produced the largest perceived changes in stress. No changes in the perceived
efficacy of cannabis were detected across time. However, baseline symptoms of
depression (but not anxiety or stress) appeared to be exacerbated across
time/tracked sessions. LIMITATIONS: The primary limitations are the self-selected
nature of the sample and the inability to control for expectancy effects.
CONCLUSIONS: Cannabis reduces perceived symptoms of negative affect in the short
term, but continued use may exacerbate baseline symptoms of depression over time.
PMID- 29656268
TI - The development and validation of a short version of the 33-item Hypomania
Checklist (HCL-33).
AB - BACKGROUND: The misdiagnosis of bipolar disorder (BD) as major depressive
disorder (MDD) is common in clinical practice and may result in inappropriate
treatment. The 33-item Hypomania Checklist (HCL-33) is a newly developed
screening scale for BD with satisfactory psychometric properties. In this study,
a short version of the HCL-33 was developed and validated to discriminate between
BD and MDD. METHOD: All interviews were conducted in a major psychiatric hospital
in China. The short version of HCL-33 (the HCL-23) was initially developed using
a sample of 186 patients (MDD = 84, BD-I = 46, BD-II = 56) and its performance
was tested in a separate sample of 164 patients (MDD = 77, BD-I = 44, BD-II =
43). RESULTS: The HCL-23 showed high internal consistency (Cronbach's alpha =
0.906) with two-factorial dimensions. Compared to the HCL-33, the HCL-23
demonstrated a marginally weaker performance in terms of sensitivity+specificity
(1.38 vs 1.36 for BD vs MDD, 1.33 vs 1.32 for BD-I vs MDD, and 1.44 vs 1.42 for
BD-II vs MDD). For discriminating BD, BD-I, and BD-II from MDD, the HCL-23 showed
better sensitivity (0.82 vs 0.67, 0.77 vs 0.68, and 0.86 vs 0.72, respectively)
than the HCL-33, while the HCL-33 showed better specificity (0.71 vs 0.55, 0.65
vs 0.55, and 0.71 vs 0.56, respectively) than the HCL-23. CONCLUSION: Both HCL-33
and HCl-23 have comparable psychometric properties and screening ability for BD.
The HCL-23 is an effective screening tool for detecting BD in clinical settings.
The HCL-23 was developed in China, therefore its psychometric properties need to
be confirmed in different socio-cultural contexts.
PMID- 29656269
TI - Task-positive and task-negative networks in major depressive disorder: A combined
fMRI and EEG study.
AB - BACKGROUND: The study of intrinsic connectivity networks, i.e., sets of brain
regions that show a high degree of interconnectedness even in the absence of a
task, showed that major depressive disorder (MDD) patients demonstrate an
increased connectivity within the default mode network (DMN), which is active in
a resting state and is implicated in self-referential processing, and a decreased
connectivity in task-positive networks (TPNs), which increase their activity in
attention tasks. Cortical localization of this 'dominance' of the DMN over the
TPN in MDD patients is not fully understood. Besides, this effect has been
investigated using fMRI and its electrophysiological underpinning is not known.
METHOD: In this study, we tested the dominance hypothesis using seed-based
connectivity analysis of resting-state fMRI and EEG data obtained in 41 MDD
patients and 23 controls. RESULTS: In MDD patients, as compared to controls,
insula, pallidum/putamen, amygdala, and left dorso- and ventrolateral prefrontal
cortex are more strongly connected with DMN than with TPN seeds. In EEG, all
significant effects were obtained in the delta frequency band. LIMITATIONS: fMRI
and EEG data were not obtained simultaneously during the same session.
CONCLUSIONS: In MDD patients, major emotion and attention regulation circuits are
more strongly connected with DMN than with TPN implying they are more prepared to
respond to internally generated self-related thoughts than to environmental
challenges.
PMID- 29656270
TI - Reduced integrity of the uncinate fasciculus and cingulum in depression: A stem
by-stem analysis.
AB - INTRODUCTION: The subgenual cingulate gyrus (Brodmann's Area 25: BA25) is
hypermetabolic in depression and has been targeted successfully with deep brain
stimulation. Two of the white matter tracts that play a role in treatment
response are the uncinate fasciculus (UF) and the cingulum bundle. The UF has
three prefrontal stems, the most medial of which extends from BA25 (which deals
with mood regulation) and the most lateral of which extends from the dorso
lateral prefrontal cortex (concerned with executive function). The cingulum
bundle has numerous fibers connecting the lobes of the cerebrum, with the longest
fibers extending from BA25 to the amygdala. We hypothesize that there is reduced
integrity in the UF, specific to the medial prefrontal stems, as well as in the
subgenual and amygdaloid fibers of the cingulum bundle. Our secondary hypothesis
is that these changes are present from the early stages of depression. OBJECTIVE:
Compare the white matter integrity of stems of the UF and components of the
cingulum bundle in first-onset depressed, recurrent/chronic depressed, and non
depressed control subjects. METHODS: Depressed patients (n = 103, first-onset =
57, chronic = 46) and non-depressed control subjects (n = 74) underwent MRI with
32-directional DTI sequences. The uncinate fasciculi and cingulum bundles were
seeded, and the fractional anisotropy (FA) measured in each of the three
prefrontal stems and the body of the UF, as well as the subgenual, body, and
amygdaloid fiber components of the cingulum bundle. FA measurements were compared
between groups using ANOVA testing with post-hoc Tukey analysis. RESULTS: There
were significant reductions in FA in the subgenual and polar stems of the UF
bilaterally, as well as the subgenual and amygdaloid fibers of the cingulum
bundle, in depressed patients compared with controls (p < 0.001). There was no
significant difference seen in the lateral UF stem or the main body of the
cingulum. No significant difference was demonstrated in any of the tracts between
first-onset and chronic depression patients. CONCLUSION: Depressed patients have
reduced white matter integrity in the subgenual and polar stems of the uncinate
fasciculi but not the lateral stems, as well as in the subgenual and amygdaloid
cingulum fibers. These changes are present from the first-onset of the disease.
PMID- 29656271
TI - Spanish validation of a specific measure to assess work-related problems in
people with multiple sclerosis: The Multiple Sclerosis Work Difficulties
Questionnaire (MSWDQ-23).
AB - INTRODUCTION: Unemployment is a significant problem for people with multiple
sclerosis (MS). The MS Work Difficulties Questionnaire (MSWDQ-23) is a self
report tool to assess work-related problems in people with MS across three
domains: physical, psychological/cognitive, and external barriers. The aim of
this study was to assess the psychometric properties of the Spanish version of
the MSWDQ-23. METHODS: A multicentre, non-interventional, cross-sectional study
in adult patients with relapsing-remitting multiple sclerosis (RRMS) or primary
progressive (PPMS) multiple sclerosis (McDonald 2010 criteria) was conducted.
Socio-demographic and clinical characteristics as well as health-related quality
of life using the 29-item Multiple Sclerosis Impact Scale (MSIS-29) were
collected. RESULTS: A total of 201 subjects were studied (mean age: 43.9 years,
60% female, 86% with RRMS). Median Expanded Disability Status Scale (EDSS)
(score: 2.0 [IQR: 1.0-3.5]). The employment rate was 47.3% (n = 95). The MSWDQ-23
was feasible (90% response rate), with high internal consistency and test-retest
reliability (Cronbach's alpha = 0.94 and intraclass correlation coefficient-ICC >
0.87). MSWDQ-23 scores significantly and positively correlated with EDSS and both
MSIS-29 physical and psychological subscales scores, showing an adequate
convergent validity. Regarding construct validity, scores of patients with PPMS
were higher than those of patients with RRMS, reaching statistically significance
in MSWDQ-23 physical barriers domain and total scores. CONCLUSION: The Spanish
version of the MSWDQ-23 shows appropriate feasibility, reliability, and
discriminative performance as a patient-reported outcome. MSWDQ-23 may be a
valuable addition to measure the impact of a comprehensive spectrum of
difficulties experienced by people with MS in the workplace.
PMID- 29656272
TI - Characterisation of cardiac autonomic function in multiple sclerosis based on
spontaneous changes of heart rate and blood pressure.
AB - BACKGROUND: Prevalence of cardiovascular autonomic dysfunction (CAD) in multiple
sclerosis (MS) varies between studies. Cardiac autonomic function is usually
assessed by cardiovascular reflex tests. We hypothesized that MS is associated
with CAD, quantifiable by non-invasive means including quantification of
baroreceptor sensitivity (BRS) and heart rate variability. METHODS: In this study
a comprehensive suite of cardiovascular autonomic tests based only on the
spontaneous changes of heart rate and blood pressure was applied to 23 MS
patients and age and gender-matched controls. From 5-min continuous non-invasive
recording of the electrocardiogram and blood pressure, heart-rate, blood
pressure, and autonomic function variables were calculated. Analysis included
heart rate variability in the time domain, heart rate and blood pressure
variability in the frequency domain, and baroreceptor sensitivity in both the
time and frequency domain. RESULTS: BRS measured by the frequency technique in
high frequency band was found to be significantly lower in MS (16 +/- 9 ms/mmHg)
compared to controls (29 +/- 17 ms/mmHg) (p < 0.05). Also mean of BRS modulus in
MS averaged 15 +/- 8 ms/mmHg which is significantly lower compared to controls
(25 +/- 15 ms/mmHg) (p < 0.05). Systolic blood pressure variability in the high
frequency band (0.15-0.5 Hz) was found to be significantly higher in the MS
compared to controls (5.8 +/- 16.7 mmHg2 vs. 1.3 +/- 0.8 mmHg2) (p < 0.05).
CONCLUSIONS: The results, using techniques novel to MS investigation, showed
diminished baroreceptor reflex and impaired sympathetic function using frequency
domain systolic blood pressure variability analysis.
PMID- 29656273
TI - The feasibility and concurrent validity of performing the Movement Assessment
Battery for Children - 2nd Edition via telerehabilitation technology.
AB - BACKGROUND: In rural and remote communities children with motor difficulties have
less access to rehabilitation services. Telerehabilitation technology is a
potential method to overcome barriers restricting access to healthcare in these
areas. Assessment is necessary to guide clinical reasoning; however it is unclear
which paediatric assessments can be administered remotely. The Movement
Assessment Battery for Children - 2nd Edition is commonly used by various health
professionals to assess motor performance of children. AIMS: The aim of this
study was to investigate the feasibility and concurrent validity of performing
the Movement Assessment Battery for Children - 2nd Edition remotely via
telerehabilitation technology compared to the conventional in-person method.
METHODS AND PROCEDURES: Fifty-nine children enrolled in a state school (5-11
years old) volunteered to perform one in-person and one telerehabilitation
mediated assessment. The order of the method of delivery and the therapist
performing the assessment were randomized. After both assessments were complete,
a participant satisfaction questionnaire was completed by each child. OUTCOMES
AND RESULTS: The Bland-Altman limits of agreement for the total test standard
score were -3.15 to 3.22 which is smaller than a pre-determined clinically
acceptable margin based on the smallest detectable change. CONCLUSIONS AND
IMPLICATIONS: This study establishes the feasibility and concurrent validity of
the administration of the Movement Assessment Battery for Children - 2nd Edition
via telerehabilitation technology. Overall, participants perceived their
experience with telerehabilitation positively.
PMID- 29656274
TI - Meat quality in relation to swine well-being after transport and during lairage
at the slaughterhouse.
AB - Cortisol and corticosterone in saliva were evaluated as pig stress biomarkers,
using pig genotype (Duroc, L62 or Pietrain) and lairage time in the
slaughterhouse (0, 2.0, 4.0 or 6.0 h) as controlled variables. Although some pigs
were found to be carriers of stress susceptibility, all were healthy heterozygous
individuals. Pre-slaughter transport increased cortisol levels in saliva above
3.0 MUg/L (medium stress), and 4.0 h of lairage in the slaughterhouse raised them
above 6.0 MUg/L, whereas corticosterone concentrations exceeded 4 MUg/L, which
are suggestive of high stress. The highest cortisol levels were detected in the
Duroc genotype. Other factors such as food deprivation, background noise, the
presence of a large number of animals waiting to be slaughtered, mixing with
unfamiliar animals or recent mixing of genders may also influence stress.
Corticosterone proved a reliable indicator of high stress only. Meat quality from
the pig breeds studied was not affected by lairage in the slaughterhouse for up
to 6.0 h.
PMID- 29656276
TI - Cannabinoid hyperemesis syndrome: A case report.
PMID- 29656275
TI - Evaluation of decontamination efficacy of commonly used antimicrobial
interventions for beef carcasses against Shiga toxin-producing Escherichia coli.
AB - In Argentina, Shiga toxin producing Escherichia coli (STEC) serogroups O157, O26,
O103, O111, O145 and O121 are adulterant in ground beef. In other countries, the
zero-tolerance approach to all STEC is implemented for chilled beef. Argentinean
abattoirs are interested in implementing effective interventions against STEC on
carcasses. Pre-rigor beef carcasses were used to determine whether nine
antimicrobial strategies effectively reduced aerobic plate, coliform and E. coli
counts and stx and eae gene prevalence. These strategies were: citric acid (2%;
automated), acetic acid (2%; manual and automated), lactic acid (LA 2%; manual
and automated), LA (3%; automated), electrolytically-generated hypochlorous acid
(400 ppm; manual), hot water (82 degrees C; automated) and INSPEXX (0.2%;
automated). Automated application of 2% LA after 30-60-min aeration and 3% LA at
55 degrees C were the most effective interventions. Automated application was
more effective than manual application. Decontamination of beef carcasses through
automated application of lactic acid and hot water would reduce public health
risks associated with STEC contamination.
PMID- 29656277
TI - Research challenges in somatoform disorders: A narrative review.
PMID- 29656278
TI - Anxiety, Depression, and Adverse Clinical Outcomes in Patients With Atrial
Fibrillation Starting Warfarin: Cardiovascular Research Network WAVE Study.
AB - BACKGROUND: Anxiety and depression are associated with worse outcomes in several
cardiovascular conditions, but it is unclear whether they affect outcomes in
atrial fibrillation (AF). In a large diverse population of adults with AF, we
evaluated the association of diagnosed anxiety and/or depression with stroke and
bleeding outcomes. METHODS AND RESULTS: The Cardiovascular Research Network WAVE
(Community-Based Control and Persistence of Warfarin Therapy and Associated Rates
and Predictors of Adverse Clinical Events in Atrial Fibrillation and Venous
Thromboembolism) Study included adults with AF newly starting warfarin between
2004 and 2007 within 5 health delivery systems in the United States. Diagnosed
anxiety and depression and other patient characteristics were identified from
electronic health records. We identified stroke and bleeding outcomes from
hospitalization databases using validated International Classification of
Diseases, Ninth Revision (ICD-9), codes. We used multivariable Cox regression to
assess the relation between anxiety and/or depression with outcomes after
adjustment for stroke and bleeding risk factors. In 25 570 adults with AF
initiating warfarin, 490 had an ischemic stroke or intracranial hemorrhage (1.52
events per 100 person-years). In multivariable analyses, diagnosed anxiety was
associated with a higher adjusted rate of combined ischemic stroke and
intracranial hemorrhage (hazard ratio, 1.52; 95% confidence interval, 1.01-2.28).
Results were not materially changed after additional adjustment for patient-level
percentage of time in therapeutic anticoagulation range on warfarin (hazard
ratio, 1.56; 95% confidence interval, 1.03-2.36). In contrast, neither isolated
depression nor combined depression and anxiety were significantly associated with
outcomes. CONCLUSIONS: Diagnosed anxiety was independently associated with
increased risk of combined ischemic stroke and intracranial hemorrhage in adults
with AF initiating warfarin that was not explained by differences in risk factors
or achieved anticoagulation quality.
PMID- 29656279
TI - Drug-Eluting Resorbable Magnesium Scaffold Implantation in ST-Segment Elevation
Myocardial Infarction: A Pilot Study.
AB - OBJECTIVES: To assess feasibility and short-term clinical outcomes associated
with resorbable magnesium scaffold (RMS) implantation in the setting of primary
percutaneous coronary intervention for ST-segment elevation myocardial infarction
(STEMI). BACKGROUND: RMS implantation has demonstrated favorable clinical
outcomes in stable coronary artery disease patients. However, to date, data are
lacking in the setting of STEMI. METHODS: This is a single-center prospective non
randomized pilot study. Patients admitted for STEMI were enrolled according to
prespecified inclusion and exclusion criteria. The primary endpoint was device
oriented composite endpoint (DOCE), including cardiac death, target-vessel
myocardial infarction, and target-lesion revascularization (TLR) within 30 days
of the index procedure. Secondary endpoints were procedural success, any
probable/definite scaffold thrombosis, and DOCE at subsequent follow-up. RESULTS:
From December 1, 2016 to October 30, 2017, a total of 18 patients were included.
Follow-up data were available for 17 patients (94%). There was no primary
endpoint event. Procedural success was 100%. Patients were followed for a median
of 153 days (range, 59-326 days). Over that extended follow-up period, 1 case of
TLR occurred 102 days after the index procedure. There was no case of definite or
probable scaffold thrombosis. CONCLUSIONS: This pilot study is the first to
assess feasibility and clinical outcomes associated with RMS implantation in
selected STEMI patients. The results seem reassuring, with favorable short-term
clinical outcomes and absence of definite/probable scaffold thrombosis, and
should prompt further research including randomized controlled trials evaluating
RMS implantation in the setting of STEMI.
PMID- 29656280
TI - Real-World Bioresorbable Vascular Scaffold Experience Compared With Second
Generation Metallic Drug-Eluting Stents in Complex Coronary Lesions.
AB - OBJECTIVES: The aim of the study was to compare the acute outcomes of Absorb
bioresorbable vascular scaffolds (BVS) and second-generation drug-eluting stent
(DES) implantation in routine clinical practice. There is a paucity of data
regarding BVS use in a real-world patient population. METHODS: The study
population comprised 40 consecutive patients who underwent percutaneous coronary
intervention (PCI) with BVS implantation at a tertiary-care center in New York,
New York between July and December of 2016. An optimal implantation technique
including adequate lesion preparation, mandatory postdilation, and optical
coherence tomography (OCT) imaging was used in all cases. De novo lesions treated
with BVS were compared to lesions treated with DES matched by OCT calcium arc,
scaffold/stent size, use of atherectomy device, and lesion postdilation. Acute
lumen gain, minimal device area, malapposition, eccentricity, and symmetry index
were assessed using OCT. RESULTS: We analyzed OCT images of 40 BVS cases and 40
matching DES cases from 35 and 40 patients, respectively. Compared to the DES
group, the BVS group demonstrated similar acute lumen gain, minimal
scaffold/stent area, eccentricity index, and symmetry index after PCI. There were
fewer malapposed struts detected after BVS implantation; however, malapposition
distance and length were not different between the groups. CONCLUSION: BVS
implantation in a real-world patient population with optimal implantation
technique resulted in similar stent expansion and better strut apposition
compared to DES implantation.
PMID- 29656281
TI - Treatment of Very Small De Novo Coronary Artery Disease With 2.0 mm Drug-Coated
Balloons Showed 1-Year Clinical Outcome Comparable With 2.0 mm Drug-Eluting
Stents.
AB - OBJECTIVE: To evaluate the 1-year clinical outcomes of patients treated with 2.0
mm drug-coated balloon (DCB) vs 2.0 mm drug-eluting stent (DES) implantation in
small-caliber vessel de novo coronary artery disease (CAD). METHODS: All patients
treated with 2.0 mm DCB or 2.0 mm DES for very small vessel de novo CAD from July
2014 to June 2016 were included in this study. The primary endpoint was the
occurrence of target-lesion failure (TLF) and time to TLF, defined as a
combination of cardiac mortality, target-vessel myocardial infarction, and target
lesion revascularization (TLR). RESULTS: A total of 87 patients (96 lesions) were
implanted with 2.0 mm DCBs and 200 patients (223 lesions) were implanted with 2.0
mm DESs during the study period. Mean reference vessel diameter was similar
between the DCB and DES groups (1.88 +/- 0.38 mm vs 1.95 +/- 0.21 mm,
respectively; P=.11). The 1-year TLF rates were 7.0% in the DCB group and 8.2% in
the DES group (P=.73). TLF was driven by TLR in both groups. Bailout stenting was
performed in 7 patients (8 lesions) who received a DCB. Stent thrombosis was seen
in 4 patients (2.0%) who underwent DES implantation. There was no vessel
thrombosis noted in the DCB group. Cardiogenic shock was identified as a direct
and significant predictor for both the occurrence of TLF and time to TLF.
CONCLUSIONS: In this first report, treatment of very small vessel CAD with 2.0 mm
DCB vs 2.0 mm DES was associated with similar 1-year TLF rates.
PMID- 29656282
TI - Intrapopulation Chromosomal Polymorphism in Mazama gouazoubira (Cetartiodactyla;
Cervidae): The Emergence of a New Species?
AB - Mazama gouazoubira is a small deer species widely distributed in South America.
Previous studies have shown that this species presents intraspecific chromosomal
polymorphisms, which could affect fertility due to the effects of chromosomal
rearrangements on gamete formation. Important aspects regarding the karyotype
evolution of this species and the genus remain undefined due to the lack of
information concerning the causes of this chromosomal variation. Nineteen
individuals belonging to the Mazama gouazoubira population located in the
Pantanal were cytogenetically evaluated. Among the individuals analyzed, 9 had B
chromosomes and 5 carried a heterozygous centric fusion (2n = 69 and FN = 70). In
3 individuals, the fusion occurred between chromosomes X and 16, in 1 individual
between chromosomes 7 and 21, and in another individual between chromosomes 4 and
16. These striking polymorphisms could be explained by several hypotheses. One is
that the chromosome rearrangements in this species are recent and not fixed in
the population yet, and another hypothesis is that they represent a balanced
polymorphism and that heterozygotes have an adaptive advantage. On the other
hand, these polymorphisms may negatively influence fertility and raise questions
about sustainability or reproductive isolation of the population.
PMID- 29656283
TI - Economic and Political Innovation for Nutritional Improvement.
AB - Large shares of the world population are still affected by nutrition deficiencies
and undernutrition. However, the current global agriculture and food system and
its international governance shows signs of serious malfunctioning, and is not
equipped to cope with the current and future challenges it is facing. In view of
the complex and multi-dimensional nature of nutrition problems, a framework is
put forward here to improve the understanding of underlying causalities, and to
identify priorities for action. In doing so, this paper focuses on economic and
policy innovation. Better nutrition policy requires systematic, multi-faceted
policy innovations, that is, the re-design of the current global food and
nutrition governance system, and the adoption of innovative economic and
political approaches. To achieve efficient and effective nutrition policy, more
attention, leadership, funds, and a global organizational home for better
coordination are needed. A systematic science and policy interface in the form of
an International Panel on Food, Nutrition and Agriculture is proposed to provide
a strong evidence base for nutrition policies.
PMID- 29656284
TI - Findings of Optical Coherence Tomography Angiography in Best Vitelliform Macular
Dystrophy.
AB - PURPOSE: To evaluate the vascular anatomy of eyes with Best vitelliform macular
dystrophy (BVMD) using optical coherence tomography angiography (OCTA). METHODS:
This retrospective case-control study enrolled 11 consecutive BVMD patients and
13 age-matched healthy participants. Both eyes of each participant were imaged
using a macular OCTA scan (3 * 3 mm) by 70-kHz 840-nm spectral-domain OCT. The
flow signal was calculated using the split-spectrum amplitude-decorrelation
angiography (SSADA) algorithm. RESULTS: Qualitative analysis showed uneven hypo-
and hyperintense signal changes at the choriocapillary level in OCTA images of
BVMD patients. Quantitative analysis showed significant reductions in the flow
density of the superficial vascular layer (whole: 49.2% vs. 53.9%, p < 0.001) and
the choriocapillary flow area (5.1 vs. 5.5 mm2, p = 0.02) in BVMD patients
compared to controls. The choriocapillary flow area in the postvitelliform group
was reduced compared to that of the vitelliform group. There was a statistically
significant association between choriocapillary flow area and superficial
vascular flow density (p = 0.045), choriocapillary flow area and foveal avascular
zone area (p = 0.03). CONCLUSIONS: Vascular changes in BVMD were apparent in the
choriocapillary layer. The changes became more striking in late stages of the
disease. OCTA provides useful quantitative measurements for staging and
monitoring the progression of BVMD.
PMID- 29656285
TI - Sociocultural and Familial Factors Associated with Weight Bias Internalization.
AB - BACKGROUND/AIMS: Sociocultural and familial factors associated with weight bias
internalization (WBI) are currently unknown. The present study explored the
relationship between interpersonal sources of weight stigma, family weight
history, and WBI. METHODS: Participants with obesity (N = 178, 87.6% female,
71.3% black) completed questionnaires that assessed the frequency with which they
experienced weight stigma from various interpersonal sources. Participants also
reported the weight status of their family members and completed measures of WBI,
depression, and demographics. Participant height and weight were measured to
calculate body mass index (BMI). RESULTS: Linear regression results (controlling
for demographics, BMI, and depression) showed that stigmatizing experiences from
family and work predicted greater WBI. Experiencing weight stigma at work was
associated with WBI above and beyond the effects of other sources of stigma.
Participants who reported higher BMIs for their mothers had lower levels of WBI.
CONCLUSION: Experiencing weight stigma from family and at work may heighten WBI,
while having a mother with a higher BMI may be a protective factor against WBI.
Prospective research is needed to understand WBI's developmental course and
identify mechanisms that increase or mitigate its risk.
PMID- 29656286
TI - Classification of Uniparental Isodisomy Patterns That Cause Autosomal Recessive
Disorders: Proposed Mechanisms of Different Proportions and Parental Origin in
Each Pattern.
AB - Patients with autosomal recessive (AR) disorders are usually born to parents both
of whom are heterozygous carriers of the disease. However, in some instances only
one of the parents is a carrier and a mutation is segregated to the patient
through uniparental isodisomy (UPiD). Recently, an increasing number of such case
reports has been published, and it has become clear that there are several
different UPiD patterns that cause AR disorders. In this article, we report 3
remarkable patients with different patterns of UPiD. We then review 85 cases
collected in the literature. We realized that they can be classified into 3
patterns: UPiD of the whole chromosome, segmental UPiD with uniparental
heterodisomy (UPhD), and segmental UPiD caused by post-zygotic mitotic
recombination (MiRe). Whole chromosomal UPiD accounted for the majority of cases,
with paternal origin accounting for approximately twice as many cases as maternal
origin. Most cases of segmental UPiD with UPhD were of maternal origin, with a
dominancy of nondisjunction in meiosis I, while segmental UPiD through MiRe is
the smallest pattern with equal parental origin. These differences in proportion
and parental origin in each pattern can be explained by considering
nondisjunction during oogenesis as the starting point and UPiD as subsequent
events.
PMID- 29656287
TI - Respiratory Management of Extremely Preterm Infants: An International Survey.
AB - BACKGROUND: There are significant international variations in chronic lung
disease rates among very preterm infants yet there is little data on
international variations in respiratory strategies. OBJECTIVE: To evaluate
practice variations in the respiratory management of extremely preterm infants
born at < 29 weeks' gestational age (GA) among 10 neonatal networks participating
in the International Network for Evaluating Outcomes (iNeo) of Neonates
collaboration. METHODS: A web-based survey was sent to the representatives of 390
neonatal intensive care units from Australia/New Zealand, Canada, Finland,
Illinois (USA), Israel, Japan, Spain, Sweden, Switzerland, and Tuscany (Italy).
Responses were based on practices in 2015. RESULTS: Overall, 321 of the 390 units
responded (82%). The majority of units within networks (40-92%) mechanically
ventilate infants born at 23-24 weeks' GA on continuous positive airway pressure
(CPAP) with 30-39% oxygen in respiratory distress within 48 h after birth, but
the proportion of units that offer mechanical ventilation for infants born at 25
26 weeks' GA at similar settings varied significantly (20-85% of units within
networks). The most common respiratory strategy for infants born at 27-28 weeks'
GA on CPAP with 30-39% oxygen with respiratory distress within 48 h after birth
used by units also varied significantly among networks: mechanical ventilation (0
60%), CPAP (3-82%), intubation and surfactant administration with immediate
extubation (0-75%), and less invasive surfactant administration (0-68%).
CONCLUSIONS: There are marked variations but also similarities in respiratory
management of extremely preterm infants between networks. Further collaboration
and exploration is needed to better understand the association of these
variations in practice with pulmonary outcomes.
PMID- 29656288
TI - Progress in Interventional Pulmonology.
PMID- 29656289
TI - High Concentration of Glial Cell Line-Derived Neurotrophic Factor Protects
Primary Astrocytes from Apoptosis.
AB - BACKGROUND: Studies have shown that astrocytes play an important role in a
variety of biological processes, so damage to astrocytes can cause a series of
related diseases. Glial cell line-derived neurotrophic factor (GDNF) has always
been considered a protective factor for dopamine neurons. However, it remains
unclear whether GDNF has a protective effect on glial cells, especially
astrocytes. In this study, we put forward the hypothesis that a high
concentration of GDNF in the microenvironment of astrocytes exerts an inhibitory
effect on the apoptosis of astrocytes by DNA-damaging reagents. METHODS: We
isolated, purified, and identified primary astrocytes from neonate rats.
Astrocytes were exposed to mitoxantrone (MTN, a DNA-damaging compound) for 24 h.
The effects of MTN on astrocytes were tested by Hoechst 33342 staining, CCK-8
assay, and flow cytometry assay. One of the concentrations of MTN was applied to
construct an apoptotic model of astrocytes. The astrocytes were then treated with
GDNF together with a selected concentration of MTN for 24 h. The cell viability,
cell nucleus morphology, and apoptosis ratio of the cells was assessed by Hoechst
33342 staining, CCK-8 assay, and flow cytometry assay, respectively. RNA
sequencing (RNA-Seq), quantitative PCR analysis, and KEGG pathway mapping were
performed to examine the genes involved in the procedure. Finally, Western blot
analysis was applied to confirm the expression levels of the proteins of
interest. RESULTS: Hoechst 33342 staining revealed a one-tenth change in the
percentage of Hoechst-positive cells after the addition of 500 ng/mL GDNF
combined with 1,000 nM MTN for 24 h. The viability of the cells treated the same
as described above was 1.4-fold that of the control group. Flow cytometry assays
indicated that the apoptotic rates were 17.67, 8.67, and 4.34% for 0, 200, and
500 ng/mL GDNF, respectively. Birc2, Birc3, and Gadd45b were linked to the
antiapoptotic process induced by GDNF in astrocytes. Western blot analysis
confirmed the elevated expression of Birc2 and Gadd45b. CONCLUSIONS: Our studies
revealed that GDNF has a noticeable antiapoptotic effect on gene-injured
astrocytes. This may provide critical clues for the treatment of a series of
diseases in which damaged astrocytes are involved.
PMID- 29656290
TI - Psychosocial, Cognitive, and Physical Impact of Elaborate Consultations and Life
Review in Female Patients with Non-Metastasized Breast Cancer.
AB - BACKGROUND: Elaborate consultations and life review (ECLR) has been regularly
applied in patients of various cancer entities and stages within Anthroposophic
integrative oncology concepts. However, a lack of systematic research in this
field has been detected. To close this gap of knowledge, we evaluated the impact
of ECLR in patients with non-metastasized breast cancer before, during, and after
primary oncological treatment. METHODS: Patient-reported outcome measures were
evaluated by analyzing European Organisation for Research and Treatment of Cancer
Quality of Life Questionnaires (EORTC QLQ-C30) in patients with non-metastasized
breast cancer who had received either oncological standard therapy alone or in
combination with ECLR. RESULTS: 95 female patients were eligible for
questionnaire analysis (median age 58 years). Adjusted multivariable linear
regression analysis revealed that ECLR was associated with significant
improvements in medium-term global health/quality of life and emotional, social,
and cognitive functioning. Furthermore, ECLR was associated with significant
reductions of short-term appetite loss burden, pain, and short- and medium-term
financial difficulties. Subgroup analyses revealed significant improvements in
pivotal quality-of-life aspects including fatigue (p = 0.002) in chemotherapy
treated patients after ECLR. CONCLUSIONS: 12 months of surveillance of breast
cancer patients reveals medium-term recovery of the global health status/quality
of life and cognitive and psychosocial well-being associated with ECLR. In
addition, our data indicate a possible association between ECLR and reduced short
term fatigue burden, which has to be re-confirmed prospectively in a larger study
cohort. As long-term cancer survivors develop psychological symptoms similar to
patients with chronic diseases, prospective studies should evaluate the impact of
ECLR on the psychosocial well-being in these patients.
PMID- 29656291
TI - Activin-A Is a Pro-Inflammatory Regulator in Type-2-Driven Upper Airway Disease.
AB - BACKGROUND: Allergic upper airway disease involves pro-inflammatory type-2
cytokines such as IL-5 and regulatory tissue repair mediators, in particular
transforming growth factor (TGF)-beta1. The TGF-beta-superfamily member activin-A
displays multiple biological functions and shares certain signalling pathways
with TGF-beta1. We aimed to examine the coregulation of mucosal activin-A and TGF
beta1 in acute allergic and chronic Th2-driven upper airway disease. METHODS: We
investigated mucosal cytokine expression profiles and kinetics using RT-PCR after
nasal allergen challenges in patients with seasonal allergic rhinitis.
Furthermore, we analysed mucosal specimens from patients with chronic upper
airway disease with nasal polyps using ELISPOTs and confocal microscopy. In
addition, we stimulated nasal mucosa ex vivo from patients with nasal polyps as
well as primary nasal cell cultures from healthy donors. RESULTS: Mucosal activin
A expression revealed increasing correlation with IL-5 and TGF-beta1 at 0.25, 6,
and 24 h, respectively, and was significantly upregulated at 6 h after allergen
challenge. The correlated expression was found to be more pronounced in chronic
disease with nasal polyps, showing substantially (48-fold) increased activin-A
producing cells in nasal polyps by ELISPOT, while submucosal downstream
signalling as determined by confocal microscopy was decreased. Ex vivo
stimulations of nasal tissue suggested that activin-A and TGF-beta1 mutually
regulate each other's expression at the mRNA level and, when combined, enhance IL
5 expression. CONCLUSION: Activin-A in allergic upper airway disease acts as a
pro-inflammatory mediator and TGF-beta1 modifier. Our data in the upper airways
oppose the view of potentially anti-inflammatory properties in contrast to
lymphatic compartments.
PMID- 29656292
TI - C-Reactive Protein and Procalcitonin in Case Reports of Drug Reaction with
Eosinophilia and Systemic Symptoms (DRESS) Syndrome.
AB - BACKGROUND: The spectrum of inflammatory marker response in DRESS (drug reaction
with eosinophilia and systemic symptoms) syndrome has not been systematically
characterized. METHODS: An epidemiological biomarker study of C-reactive protein
(CRP) and procalcitonin (PCT) values in patients with DRESS syndrome reported at
2 regional pharmacovigilance centers in Switzerland or published in the medical
literature 2008-2016 was performed. RESULTS: Ninety-four DRESS cases were
studied. All cases showed a CRP value > 10 mg/L (the upper limit of normal). The
mean CRP value was 109.2 +/- 79.4 mg/L. CRP values were significantly higher in
22 cases where a cause of inflammation besides DRESS could not be excluded (mean
162.1 vs. 92.9 mg/L; p = 0.003). Receiver operator characteristics curve analysis
showed a moderate performance with a CRP cut-off value of 99.4 mg/L (AUC 0.717)
to distinguish between patients with and without a possible additional cause of
inflammation. The mean and median PCT values were 2.44 +/- 5.94 and 0.69 ng/mL,
respectively (n = 25 patients). Patients in whom an additional cause of
inflammation besides DRESS could not be excluded showed a median PCT of 1.37
ng/mL (n = 9) versus 0.67 ng/mL (n = 16) in patients with DRESS only. PCT values
were above the normal cut-off of 0.1 ng/mL, suggestive of bacterial infection in
all but 1 case. Furthermore, there was a correlation between PCT values and
hepatic enzyme measurements. CONCLUSIONS: Evaluating CRP and PCT values might be
of use in helping physicians to distinguish between cases of DRESS syndrome with
and without concurrent infection or other causes of inflammation. Further
prospective investigation is required to define the use of these inflammatory
markers in the management of DRESS.
PMID- 29656293
TI - What Does it Need to Improve Nutrition Quality? The Role of Public Partners.
AB - Public partners play a key role when we want to improve nutrition quality. They
will not succeed, when they try to achieve the goal alone or tend to dominate
other players. In their responsibility for common interests it is up to public
partners to moderate and facilitate the multi-stakeholder and multi-sectorial
dialogue to improve nutrition quality. It is up to public partners to establish
clear legal and structural guidelines aiming to bring all partners together, to
avoid conflicts of interests and, above all, establish conditions, in which
consumers are sufficiently informed about food and nutrition, so that they are
motivated, empowered, and adequately protected to take their own responsible
decisions.
PMID- 29656294
TI - Gray Matter Heterotopia, Mental Retardation, Developmental Delay, Microcephaly,
and Facial Dysmorphisms in a Boy with Ring Chromosome 6: A 10-Year Follow-Up and
Literature Review.
AB - Ring chromosome 6, r(6), is an extremely rare cytogenetic abnormality with
clinical heterogeneity which arises typically de novo. The phenotypes of r(6) can
be highly variable, ranging from almost normal to severe malformations and
neurological defects. Up to now, only 33 cases have been reported in the
literature. In this 10-year follow-up study, we report a case presenting
distinctive facial features, severe developmental delay, and gray matter
heterotopia with r(6) and terminal deletions of 6p25.3 (115426-384174, 268 kb)
and 6q26-27 (168697778-170732033, 2.03 Mb) encompassing 2 and 15 candidate genes,
respectively, which were detected using G-banding karyotyping, FISH, and
chromosomal microarray analysis. We also analyzed the available information on
the clinical features of the reported r(6) cases in order to provide more
valuable information on genotype-phenotype correlations. To the best of our
knowledge, this is the first report of gray matter heterotopia manifested in a
patient with r(6) in China, and the deletions of 6p and 6q in our case are the
smallest with the precise size of euchromatic material loss currently known.
PMID- 29656295
TI - From Complementary to Integrative Medicine and Health: Do We Need a Change in
Nomenclature?
PMID- 29656296
TI - Impact of Pathological Fractures on the Prognosis of Primary Malignant Bone
Sarcoma in Children and Adults: A Single-Center Retrospective Study of 205
Patients.
AB - BACKGROUND: The purpose of this study was to investigate whether pathological
fractures (PF) influence the prognosis of patients with osteosarcoma (OS) or
Ewing tumor (ET) regarding 5-year survival, occurrence of metastases, and local
recurrence. METHODS: We retrospectively analyzed 205 patients with metastatic and
nonmetastatic OS or ET. Survival analysis was performed for all patients and
differentiated for patients with OS (n = 127) and ET (n = 78) as well as for
adults (n = 101) and children (n = 104). RESULTS: Patients with PF showed
survival rates of 64% compared to 83% for those without PF (p = 0.023). Local
recurrence occurred in 7% of the patients without and in 24% of those with PF (p
= 0.023). In patients with ET and in children, survival analysis showed no
significant difference between patients with and without PF in survival and local
recurrence rates. In patients with OS, survival rate decreased from 83 to 59% (p
= 0.024) and local recurrence rate increased from 13 to 30% (p = 0.042). In
adults, survival rate decreased from 78 to 51% (p = 0.004) and local recurrence
rate increased from 13 to 42% (p < 0.001). In multivariate analysis, age and PF
were associated with inferior survival. CONCLUSION: This study suggests that the
occurrence of PF has a negative impact on survival and implicates an increased
risk of local recurrence. In children and in patients with ET, PF did not have a
prognostic impact.
PMID- 29656297
TI - Reducing Mineral and Vitamin Deficiencies through Biofortification: Progress
Under HarvestPlus.
AB - Fundamentally, the prevalence of mineral and vitamin deficiencies is high in
developing countries due to the fact that agricultural systems do not produce
sufficient foods rich in minerals and vitamins. Biofortification involves
breeding staple food crops to increase their micronutrient content, targeting
staple foods widely consumed by low-income families globally. In so doing,
biofortification contributes to solving the underlying problem of mineral and
vitamin deficiencies by increasing the amount of iron, zinc, and provitamin A
produced by food systems. When HarvestPlus first started in 2003, there was much
doubt among a range of stakeholders, that biofortification would work. First, we
had to prove to the plant science community that higher target levels iron, zinc,
and provitamin A could be bred into high-yielding, profitable varieties.
Presently, over 150 varieties of 12 biofortified crops have passed the agronomic
tests of varietal release committees in 30 developing countries. In 3-5 years,
biofortified varieties will be available to farmers and consumers in an
additional 25 countries. The nutrition community initially questioned the
efficacy of biofortified crops - would the levels of retained nutrients and
absorption be high enough? HarvestPlus has commissioned 15 efficacy trials, all
undertaken in developing countries. While 5 of these studies are still in
process, there is already sufficient positive published evidence for iron and
provitamin A. Can adoption of biofortified crops by farmers be scaled up, and a
public health impact demonstrated? HarvestPlus estimates that 20 million farmers
and consumers presently grow and consume biofortified crops in 8 target
countries. The final and major challenge is to mainstream biofortification into
the fabric of "business-as-usual" of a range of organizations - public and
private agricultural research, institutions that focus on bringing improved
agricultural technologies to farmers including multi-lateral lending
institutions, private companies, non-governmental organizations, and the policies
and programs of national governments, regional organizations, and UN agencies.
PMID- 29656299
TI - Effects of Moisture Content and Loading Profile on Changing Properties of Bone
Micro-Biomechanical Characteristics.
AB - BACKGROUND Our study explored the influences of hydration conditions and loading
methods on the mechanical properties of cortical bones and cancellous bones.
MATERIAL AND METHODS Elastic modulus and hardness of human cortical bones and
cancellous bones that contained different moisture levels (20%, 30%, 40%, 50%,
and 60%) were measured with nanoindentation with different peak loads and loading
rates. Cortical bones with 20% and 60% moisture were tested with 30 nm, 40 nm,
and 50 nm peak loads at 6 nm/s, 8 nm/s, and 10 nm/s loading rates, respectively.
Cancellous bones with 5% or 40% moisture percentages were tested with 600 MUN,
750 MUN, and 1000 MUN peak loads at 200 MUN/s, 250 MUN/s, and 333 MUN/s loading
rates, respectively. RESULTS Under the same loading condition, specimens with
higher moisture contents showed decreased elastic modulus and hardness. Under
different loading conditions, the loading modes had little influence on elastic
modulus and hardness of cortical bone and cancellous bone with low moisture, but
had significant influence on specimens with higher moistures. CONCLUSIONS The
elastic modulus and bone hardness were affected by the moisture content and the
loading conditions in cortical and cancellous bones with high hydration condition
but not in those with low hydration condition.
PMID- 29656298
TI - High Expression of Peroxiredoxin 1 Is Associated with Epithelial-Mesenchymal
Transition Marker and Poor Prognosis in Gastric Cancer.
AB - BACKGROUND Recent studies show that peroxiredoxin 1 (Prdx1) contributes to the
progression and poor prognosis of carcinoma through multiple mechanisms. However,
there is little information on its expression and prognostic value in gastric
cancer. This study investigated the expression of Prdx1 in gastric cancer, along
with evaluating its clinical-pathological and prognostic importance. MATERIAL AND
METHODS A total of 189 pairs of gastric cancer and paracarcinomatous tissues were
assessed for Prdx1 expression and its association with clinical characteristics.
The molecular mechanism was further investigated through in vitro
experimentation. RESULTS The mRNA and protein levels of Prdx1 in the GC tissues
were higher than in the peri-tumor tissues. We also found that high Prdx1
expression was positively correlated with the lymph node invasion and poor
prognosis. It also served as an autonomous prognostic factor for patients with
gastric cancer. Moreover, Prdx1 regulates the invasion and metastasis of GC cell
lines through inhibiting E-Ca expression. CONCLUSIONS Prdx1 can promote
epithelial-mesenchymal transition and gastric cancer progression. Therefore, it
might be a therapeutic target and prognostic indicator for gastric cancer
patients.
PMID- 29656300
TI - The Sineoculis Homeobox Homolog 1 (SIX1) Gene Regulates Paclitaxel Resistance by
Affecting Reactive Oxygen Species and Autophagy in Human Hepatocellular Carcinoma
Cell Line HepG2.
AB - BACKGROUND The objective of this study was to explore the role of SIX1 in
paclitaxel (TAX) resistance of HepG2 cells via reactive oxygen species (ROS) and
autophagy pathway. MATERIAL AND METHODS Hepatoma cell line HepG2 was treated with
SIX1 knockdown or/and TAX. Cell growth was detected by MTT assay and colony
formation assay. Cell apoptosis was evaluated with flow cytometry. ROS levels
were detected using flow cytometry (stained with DCFH2-DA). Western blot was
conducted to detect the expression of SIX1 and autophagy-related proteins.
RESULTS TAX suppressed the proliferation of HepG2 cells in a time/dose-dependent
manner, and upregulated the expression of SIX1. SIX1 siRNA increased TAX
sensitivity of HepG2 cells and upregulated cell ROS levels. SIX1 siRNA combined
with TAX treatment activated autophagy of HepG2 cells. N-acetyl-L-cysteine (NAC)
partially attenuated SIX1 siRNA-induced ROS level increases, and autophagy
inhibitor 3-MA notably enhanced SIX1 siRNA-induced cell apoptosis. CONCLUSIONS
Knockdown of SIX1 increased cell ROS levels and autophagy, promoted cell
apoptosis, and enhanced TAX sensitivity of HepG2 cells.
PMID- 29656301
TI - Septoplasty for nasal obstruction due to a deviated nasal septum in adults: a
systematic review.
AB - BACKGROUND: The status of current evidence for the effectiveness of septoplasty
is unclear. This systematic review evaluates the effectiveness of a) septoplasty
(with or without concurrent turbinate surgery) versus non-surgical management,
and b) septoplasty with concurrent turbinate surgery versus septoplasty alone,
for nasal obstruction due to a deviated nasal septum in adults. METHODOLOGY:
Eligible for inclusion were randomised controlled trials and non-randomised
designs comparing treatment strategies. Risk of bias was assessed using
Cochrane's tool. Standardised mean differences and risk differences with 95%
confidence intervals were calculated. Substantial heterogeneity between included
studies did not allow meta-analyses. RESULTS: No studies were found comparing
septoplasty (with or without concurrent turbinate surgery) to non-surgical
management, but 11 articles were included to compare septoplasty with concurrent
turbinate surgery to septoplasty alone. Five studies described both subjective
and objective outcomes; six studies reported one or the other. Risk of bias was
overall high. Although outcomes generally improved after treatment, eight out of
nine studies on subjective measures and five out of seven studies on objective
measures found no additional benefit of turbinate surgery. CONCLUSIONS: Despite
the routine application of septoplasty in clinical practice, the current body of
evidence does not support firm conclusions on its effectiveness.
PMID- 29656302
TI - Anterior laxity of the knee assessed with gravity stress radiograph.
AB - OBJECTIVE: To clarify the advantage of prone position over supine position in
radiographically-demonstrating anterior knee laxity measurement for anterior
cruciate ligament (ACL) injury, and to optimize the radiographic technique for
the ACL-deficient knees in a clinical setting. MATERIALS AND METHODS: Thirty-nine
patients with unilateral ACL injury had consented to participate in this study.
They were divided into two groups and subjected to the different radiographic
evaluations: study 1 (20 patients); supine versus prone position with knee full
extended, and study 2 (19 patients); comparison of (1) prone position with knee
full-extended (FPV), (2) prone position with knee flexed at 15 degrees (AGV),
and (3) supine position with calf put on a board at 15 degrees of knee flexion
(SGV). Lateral radiographs for both knees were taken and were measured the side
to-side difference of tibial position related to femur. RESULTS: In study 1, the
side-to-side difference was 2.8 +/- 1.0 mm in supine position and 4.3 +/- 2.1 mm
in prone position, showing a statistically significant difference. In study 2,
the side-to-side difference was 3.7 +/- 2.4 mm in FPV, 4.6 +/- 2.0 mm in AGV, and
4.2 +/- 2.8 mm in SGV, while the difference in the latter two positions was
larger than that in FPV. CONCLUSIONS: The anterior laxity in prone position is
larger than that in supine position for ACL injury. Moreover, the gravity
assisted lateral radiograph in prone position with knee flexed at 15 degrees
could be one of the preferable radiographic techniques and could provide more
information than the simple radiograph.
PMID- 29656303
TI - Spondylodiscitis following endovascular abdominal aortic aneurysm repair: imaging
perspectives from a single centre's experience.
AB - OBJECTIVE: Very few reports have previously described spondylodiscitis as a
potential complication of endovascular aortic aneurysm repair (EVAR). We present
to our knowledge the first case series of spondylodiscitis following EVAR based
on our institution's experience over an 11-year period. Particular attention is
paid to the key imaging features and challenges encountered when performing
spinal imaging in this complex patient group. MATERIALS AND METHODS: Of 1,847
patients who underwent EVAR at our institution between January 2006 and January
2017, a total of 9 patients were identified with imaging features of
spondylodiscitis (0.5%). All cross-sectional studies before and after EVAR were
assessed by a Consultant Musculoskeletal Radiologist and a Musculoskeletal
Radiology Fellow to evaluate for features of spondylodiscitis. RESULTS: All 9
patients had single-level spondylodiscitis involving lumbosacral levels adjacent
to the aortic/iliac stent graft. Eight out of nine patients had an extensive
anterior paravertebral phlegmon/abscess that was contiguous with the infected
stent graft and native aneurysm sac +/- anterior vertebral body erosion. Epidural
disease was present in only 3 out of 9 patients and was a minor feature. MRI was
non-diagnostic in 3 out of 9 patients owing to susceptibility artefact. 18F-FDG
PET/CT accurately depicted the spinal level involved and adjacent paravertebral
disease in patients with non-diagnostic MRI and was adopted as the follow-up
modality in 3 out of 5 surviving patients. CONCLUSION: Spondylodiscitis is a rare
complication post-EVAR. Imaging features of disproportionate anterior
paravertebral disease and anterior vertebral body bony involvement suggest direct
spread of infection posteriorly to the adjacent vertebral column. Use of MRI
versus 18F-FDG PET/CT as the optimal imaging modality should be directed by the
type of stent graft deployed.
PMID- 29656305
TI - Do reductions in out-of-pocket expenses for dual energy X-ray absorptiometry
scans translate to reduced fracture incidence amongst older Australians? A
population-based study.
AB - : This study aimed to compare fracture incidence in the elderly pre- and post
revision of bone density scan reimbursement guidelines, which changed in 2007.
Fracture incidence by age group was calculated using population-specific data.
Guideline changes did not appear to reduce fracture incidence in the study region
located in south-eastern Australia. PURPOSE: In 2007, Medicare Australia revised
reimbursement guidelines whereby individuals aged 70 years and over received
reduced out-of-pocket expenses for dual energy X-ray absorptiometry (DXA) scans.
The current study aims to determine whether fracture incidence in the elderly has
changed since the revision of reimbursement guidelines. METHOD: Keyword searches
of the two major radiological centres servicing the Barwon Statistical Division
(BSD) were used to identify incident fractures for residents aged 75 years and
over for 2006 and 2012. Pathological fractures were excluded. Fracture incidence
by age strata (75-79 years, 80-84 years and 85+ years) were calculated using
population-specific data from the Australian Bureau of Statistics (2006 and
2012). Standardised fracture ratios were calculated for men and women. RESULTS:
In total, 996 fracture events were identified for BSD residents during 2006 and
1260 identified in 2012. The standardised fracture ratios between 2006 and 2012
were 1.12 (95%CI 1.11, 1.25) for men and 1.08 (95%CI 1.11, 1.16) for women.
CONCLUSION: The change in reimbursement guidelines appears to have had little
impact on reducing fracture incidence during this time frame for elderly men and
women, in fact, fracture rates increased. Future research should investigate
osteoporosis management following DXA over a longer time frame.
PMID- 29656304
TI - The gentamicin-collagen implant and the risk of distant metastases of rectal
cancer following short-course radiotherapy and curative resection: the long-term
outcomes of a randomized study.
AB - PURPOSE: A previous randomized study conducted by our group showed that
application of gentamicin-collagen implant (GCI) into the pelvic cavity after
total mesorectal excision (TME) reduced the incidence of distant metastases.
Therefore, we decided to conduct a confirmatory study. METHODS: Patients with
rectal cancer were included in the study if they met the following criteria:
adenocarcinoma of the rectum, preoperative short-term radiotherapy (5 * 5 Gy),
and WHO performance score 0-1. RESULTS: One hundred seventy-six patients were
randomly assigned either to an experimental group in which GCI was applied (n =
81) or to a control group without GCI (n = 81). Median follow-up was 80 months.
Cumulative incidence of distant metastases at 5 years was higher in the control
group compared to the experimental group: 23.5 vs 8.6% (HR 2.4 [95% CI 1.1-5.5],
P = 0.005). Overall survival (OS), disease-free survival (DFS), and cancer
specific survival (CSS) did not differ between the experimental group and the
control group: HR 0.95 [95% CI 0.55-1.70], P = 0.864; HR 0.85 [95% CI 0.50-1.45],
P = 0.548, and HR 0.5 [95%CI 0.22-1.22], P = 0.093, respectively. The predefined
by the protocol subgroup analysis for yp stage III disease showed better DFS in
the experimental group compared to the control group; HR 0.47 [95%CI 0.23-0.97],
P = 0.042). CONCLUSIONS: The results confirmed our previous finding that GCI
applied in the pelvis significantly reduced the rate of distant metastases in
patients after radical rectal cancer resection.
PMID- 29656306
TI - Oral and Sublingual Immunotherapy for Treatment of IgE-Mediated Food Allergy.
AB - Development of active therapies for IgE-mediated food allergy is a critical
action step toward alleviating the adverse medical, psychosocial, and economic
burdens on affected patients and families. Significant progress has been observed
specifically in the application of single-allergen oral and sublingual
immunotherapy for treatment of IgE-mediated food allergy, with emphasis on milk,
egg, and peanut as the primary allergens. Oral immunotherapy (OIT) has
demonstrated efficacy in promoting immunomodulatory effects that lead to the
clinical outcome of desensitization, defined as reduced reactivity while on
active OIT, in the majority of treated individuals; however, achievement of
sustained unresponsiveness following cessation of therapy has been observed in a
smaller subset of treated subjects. The potential therapeutic benefits of OIT
must be carefully considered in light of the significant potential for adverse
events ranging from self-limited or easily treated oropharyngeal, respiratory or
gastrointestinal symptoms, to persistent abdominal complaints that lead to
cessation of therapy in an estimated 10-15% of treated individuals. To date, the
majority of studies have focused on single-allergen OIT approaches; however,
multi-allergen OIT has shown promise in initial trials and is the subject of
ongoing investigation to address the complex needs of multi-food allergic
individuals. Sublingual immunotherapy (SLIT) has been utilized for the treatment
of food allergy and pollen-food allergy syndrome, demonstrating moderate
efficacy, a favorable safety profile and variable tolerability, with
oropharyngeal symptoms most commonly observed. Although studies directly
comparing OIT and SLIT are limited, in general, the favorable safety profile
associated with SLIT comes at the expense of reduced efficacy, while the more
robust clinical effects observed with OIT come at the risk of potentially
intolerable, treatment-limiting side effects. Future investigation to address
specific knowledge gaps including optimal dose, duration, age of initiation,
maintenance schedule, mechanisms, predictors of risk and therapeutic response
will be important to maximize efficacy, minimize risk and develop personalized,
effective approaches to targeting food allergy.
PMID- 29656307
TI - Alveolar Bone Protective Effect of Hiziki Extracts on the Progression of
Periodontitis.
AB - The purpose of this study was to evaluate the effects of hiziki extract on
alveolar bone loss, inflammation, and osteo-biomarker expression in hPDL cells
(10, 50, 100 MUg/ml final concentrations in culture medium) and on a ligature
induced periodontitis rat model (50, 100, 200 mg/kg with oral administration).
Hiziki extract increased alkaline phosphatase activity and mineralized nodule
formation in hPDL cell. In western blot analysis, hiziki extract resulted in
increased expression of osteoblast markers, including transforming growth factor
beta (TGF-beta), SMAD anchor for receptor activation (SARA) and runt-related
transcription factor 2 (RUNX2) in hDPL cells. Additionally, expression of
osteoclast markers and inflammatory cytokines was inhibited, which were receptor
activator of NF-kappaB (RANK), RANK receptor (RANKL) and nuclear factor of
activated T cells, cytoplasmic 1 (NFATc1). Hiziki extract also prevented alveolar
bone loss in a ligature-induced periodontitis rat model through reducing the
distance between cementoenamel junction and alveolar bone crest (CBJ-ABC) and
furcation involvement. These findings suggested that hiziki extract has
prophylactic potential for the prevention of periodontitis through anti
inflammation and, anti-bone resorption effects and the inhibition of alveolar
bone destruction.
PMID- 29656308
TI - Bacterial Lipid Modification of ICP11 and a New ELISA System Applicable for WSSV
Infection Detection.
AB - In ELISA, a popular analytical diagnostic tool, the stable non-covalent
immobilization (coating) of hydrophilic proteins/peptides on to hydrophobic
polystyrene surface has remained a major common challenge. Recombinant bacterial
lipid modification of proteins in Escherichia coli system has been shown in this
study to solve this problem owing to the hydrophobic anchorage provided by three
fatty acyl groups in N-acyl-S-diacylglyceryl Cys at the N-terminus. Exploiting
this first post-translational protein engineering, the most abundantly expressed
white spot syndrome viral protein ICP11 was lipid-modified and tested as a new
target in a new ELISA method useful to shrimp farming. The lipid served as a
potent adjuvant to enhance the titer (16 times) of higher affinity antibodies
where amino terminal lipoamino acid N-acyl-S-diacylglyceryl cysteine of bacterial
lipoproteins induce inflammatory responses through TLR and stimulate humoral
immune responses without additional adjuvant and also aided in the immobilization
of even a few nanograms of ICP11. Competition between the immobilized and the
free antigen from the sample provided a sensitive measure of antigen in the
infected shrimp tissues. The detection limit for ICP11 protein using competitive
ELISA was 250 pg and the linear range of the assay was 15-240 ng.
PMID- 29656309
TI - Serious adverse drug reactions with sacubitril/valsartan Entresto(r): a French
pharmacovigilance survey.
PMID- 29656310
TI - Emission of heavy metals from an urban catchment into receiving water and
possibility of its limitation on the example of Lodz city.
AB - Heavy metals are among the priority pollutants which may have toxic effects on
receiving water bodies. They are detected in most of samples of stormwater
runoff, but the concentrations are very variable. This paper presents results of
study on the amount of heavy metals discharged from urban catchment in Lodz
(Poland) in 2011-2013. The research was carried out to identify the most
important sources of their emission and to assess the threats to receiving water
quality and opportunities of their limitation. The city is equipped with a
combined sewerage in the center with 18 combined sewer overflows and with
separate system in other parts. Stormwater and wastewater from both systems are
discharged into 18 small urban rivers. There is a need of restoration of water
bodies in the city. Research results indicate that the main issue is high
emission of heavy metals, especially zinc and copper, contained in stormwater.
Annual mass loads (g/ha/year) from separate system were 1629 for Zn and 305 for
Cu. It was estimated that about 48% of the annual load of Zn, 38% of Cu, 61% of
Pb, and 40% of Cd discharged into receiving water came from separate system,
respectively 4% of Zn and Cu, 10% of Pb and 11% of Cd from CSOs, and the
remaining part from wastewater treatment plant. Effective reduction of heavy
metals loads discharged into receiving water requires knowledge of sources and
emissions for each catchment. Obtained data may indicate the need to apply
centralized solution or decentralized by source control.
PMID- 29656312
TI - Evaluation of taurine neuroprotection in aged rats with traumatic brain injury.
AB - Despite higher rates of hospitalization and mortality following traumatic brain
injury (TBI) in patients over 65 years old, older patients remain
underrepresented in drug development studies. Worse outcomes in older individuals
compared to younger adults could be attributed to exacerbated injury mechanisms
including oxidative stress, inflammation, blood-brain barrier disruption, and
bioenergetic dysfunction. Accordingly, pleiotropic treatments are attractive
candidates for neuroprotection. Taurine, an endogenous amino acid with
antioxidant, anti-inflammatory, anti-apoptotic, osmolytic, and neuromodulator
effects, is neuroprotective in adult rats with TBI. However, its effects in the
aged brain have not been evaluated. We subjected aged male rats to a unilateral
controlled cortical impact injury to the sensorimotor cortex, and randomized them
into four treatment groups: saline or 25 mg/kg, 50 mg/kg, or 200 mg/kg i.p.
taurine. Treatments were administered 20 min post-injury and daily for 7 days. We
assessed sensorimotor function on post-TBI days 1-14 and tissue loss on day 14
using T2-weighted magnetic resonance imaging. Experimenters were blinded to the
treatment group for the duration of the study. We did not observe neuroprotective
effects of taurine on functional impairment or tissue loss in aged rats after
TBI. These findings in aged rats are in contrast to previous reports of taurine
neuroprotection in younger animals. Advanced age is an important variable for
drug development studies in TBI, and further research is required to better
understand how aging may influence mechanisms of taurine neuroprotection.
PMID- 29656313
TI - Re-treatment versus extended treatment strategy of systemic bevacizumab in
hereditary hemorrhagic telangiectasia: which is better?
PMID- 29656314
TI - - 174 G>C IL-6 polymorphism and primary iron overload in male patients.
AB - Primary iron overload (IO) is commonly associated with mutations in the
hereditary hemochromatosis gene (HFE). Nonetheless, other genetic variants may
influence the development of IO beyond HFE mutations. There is a single
nucleotide polymorphism (SNP) at - 174 G>C of the interleukin (IL)-6 gene which
might be associated with primary IO. Our aim was to study the association between
the SNP - 174 G>C gene promoter of IL-6 and primary IO in middle-aged male
patients. We studied 37 men with primary IO diagnosed by liver histology.
Controls were age-matched male volunteers (n = 37). HFE mutations and the SNP -
174 G>C gene promoter of IL-6 were evaluated by PCR-RFLP. Logistic regression was
used to evaluate the association between primary IO and SNP - 174 G>C gene
promoter of IL-6. Patients and control subjects were in Hardy-Weinberg
equilibrium for the SNP - 174 G>C gene promoter of IL-6 (p = 0.17). Significantly
different genotype frequencies were observed between patients (43% CC, 43% CG,
and 14% GG) and control subjects (10% CC, 41% CG, and 49% GG) (OR = 4.09, 95% CI
= 2.06-8.13; p < 0.0001). The multiple logistic regression analysis showed that
IO was significantly associated with CC homozygosis in the SNP - 174 G>C gene
promoter of IL-6 (OR = 6.3, 95% CI = 1.9-21.4; p < 0.005) in a model adjusted by
age and body mass index. In conclusion, CC homozygosis in the SNP - 174 G>C gene
promoter of IL-6 can be proposed as one of the gene variants influencing iron
accumulation in male adults with HFE mutations. Studies in larger cohorts are
warranted.
PMID- 29656315
TI - Acamprosate Protects Against Adjuvant-Induced Arthritis in Rats via Blocking the
ERK/MAPK and NF-kappaB Signaling Pathway.
AB - Osteoarthritis is a type of joint disease that results from the breakdown of
joint cartilage and underlying bone and is believed to be caused by mechanical
stress on the joint and low-grade inflammatory processes. Acamprosate
significantly ameliorates the pathological features of experimental autoimmune
encephalomyelitis due to its anti-inflammatory effect. The aims of the present
study were to investigate the anti-arthritis activities of acamprosate and
elucidate the underlying mechanisms. Adjuvant-induced arthritis (AIA) was induced
by intradermal injection of complete Freund's adjuvant. Male Wistar rats were
randomly divided into five groups: (1) sham control group, (2) AIA group, (3)
acamprosate 10 mg/kg (AIA + ACA10), (4) acamprosate 30 mg/kg (AIA + ACA30), and
(5) acamprosate 100 mg/kg (AIA + ACA100). Paw swelling and the arthritis index
were measured, and the production of IL-1beta, IL-6, and TNF-alpha was detected
by ELISA in serum. The expression of inflammation-related molecules, including c
Raf, ERK1/2, and NF-kappaB, was determined by Western blotting. We found that
acamprosate significantly suppressed paw swelling and the arthritis index in AIA
rats. Moreover, acamprosate also significantly suppressed the production of TNF
alpha, IL-1beta, and IL-6 in serum, which is elevated by AIA induction. Finally,
acamprosate inhibited p-c-Raf and p-ERK1/2 and NF-kappaB activation after AIA
treatment. These results indicate that acamprosate has an anti-inflammatory
effect on adjuvant-induced arthritic rats via inhibiting the ERK/MAPK and NF
kappaB signaling pathways, and acamprosate may serve as a promising novel
therapeutic agent for osteoarthritis.
PMID- 29656311
TI - Consensus Paper: Neurophysiological Assessments of Ataxias in Daily Practice.
AB - The purpose of this consensus paper is to review electrophysiological
abnormalities and to provide a guideline of neurophysiological assessments in
cerebellar ataxias. All authors agree that standard electrophysiological methods
should be systematically applied in all cases of ataxia to reveal accompanying
peripheral neuropathy, the involvement of the dorsal columns, pyramidal tracts
and the brainstem. Electroencephalography should also be considered, although
findings are frequently non-specific. Electrophysiology helps define the neuronal
systems affected by the disease in an individual patient and to understand the
phenotypes of the different types of ataxia on a more general level. As yet,
there is no established electrophysiological measure which is sensitive and
specific of cerebellar dysfunction in ataxias. The authors agree that cerebellar
brain inhibition (CBI), which is based on a paired-pulse transcranial magnetic
stimulation (TMS) paradigm assessing cerebellar-cortical connectivity, is likely
a useful measure of cerebellar function. Although its role in the investigation
and diagnoses of different types of ataxias is unclear, it will be of interest to
study its utility in this type of conditions. The authors agree that detailed
clinical examination reveals core features of ataxia (i.e., dysarthria, truncal,
gait and limb ataxia, oculomotor dysfunction) and is sufficient for formulating a
differential diagnosis. Clinical assessment of oculomotor function, especially
saccades and the vestibulo-ocular reflex (VOR) which are most easily examined
both at the bedside and with quantitative testing techniques, is of particular
help for differential diagnosis in many cases. Pure clinical measures, however,
are not sensitive enough to reveal minute fluctuations or early treatment
response as most relevant for pre-clinical stages of disease which might be
amenable to study in future intervention trials. The authors agree that
quantitative measures of ataxia are desirable as biomarkers. Methods are
discussed that allow quantification of ataxia in laboratory as well as in
clinical and real-life settings, for instance at the patients' home. Future
studies are needed to demonstrate their usefulness as biomarkers in
pharmaceutical or rehabilitation trials.
PMID- 29656316
TI - The Role of Adenosine Receptor Activation in Attenuating Cartilaginous
Inflammation.
AB - Adenosine receptor activation has been explored as a modulator of the
inflammatory process that propagates osteoarthritis. It has been reported that
cartilage has enhanced regenerative potential when influenced by adenosine
receptor activation. As adenosine's role in maintaining chondrocyte homeostasis
at the cellular and molecular levels is explored, successful in vivo applications
of adenosine delivery for cartilage repair continue to be reported. This review
summarizes the role adenosine receptor ligation plays in chondrocyte homeostasis
and regeneration of articular cartilage damaged in osteoarthritis. It also
reports on all the modalities reported for delivery of adenosine through in vivo
applications.
PMID- 29656317
TI - Inflammatory Response of Pulmonary Artery Smooth Muscle Cells Exposed to
Oxidative and Biophysical Stress.
AB - Pulmonary hypertension in the neonate requires treatment with oxygen and positive
pressure ventilation, both known to induce lung injury. The direct response of
pulmonary artery smooth muscle cells, the most abundant cells in the artery wall,
to the stress of positive pressure and hyperoxia has not been previously studied.
Pulmonary artery smooth muscle cells were cultured in temperature- and pressure
controlled air-tight chambers under conditions of positive pressure or hyperoxia
for 24 h. Control cells were cultured in room air under atmospheric pressure.
After the exposure period, culture medium was collected and samples were analyzed
by ELISA, Human Cytokine 25-Plex Panel using a Luminex 200 analyzer and Western
blot. Secretion of various inflammatory mediators, specifically IL-6, IL-8, IL
2R, MIP-1beta, MCP-1, IP-10, IL-7, IL-1RA, and IFN-alpha, was higher in the
positive pressure and hyperoxia groups compared with control. The level of cyclin
D1 was decreased in the hyperoxia and positive pressure group compared with
control. Levels of fibronectin and alpha-smooth muscle actin were not different
among the groups. Pulmonary artery smooth muscle cells directly produce multiple
inflammatory mediators in response to oxidative and biophysical stress in vitro,
which may be part of a cascade that leads to the vascular and perivascular
changes in pulmonary hypertension.
PMID- 29656318
TI - A Novel Tetrasubstituted Imidazole as a Prototype for the Development of Anti
inflammatory Drugs.
AB - Although inflammation is a biological phenomenon that exists to protect the host
against infections and/or related problems, its unceasing activation results in
the aggravation of several medical conditions. Imidazoles, whether natural or
synthetic, are molecules related to a broad spectrum of biological effects,
including anti-inflammatory properties. In this study, we screened eight novel
small molecules of the imidazole class synthesized by our research group for
their in vitro anti-inflammatory activity. The effect of the selected molecules
was confirmed in an in vivo inflammatory model. We also analyzed whether the
effects were caused by inhibition of nuclear factor kappa B (NF-kappaB)
transcription factor transmigration. Of the eight imidazoles tested, methyl 1
allyl-2-(4-fluorophenyl)-5-phenyl-1H-imidazole-4-acetate (8) inhibited nitric
oxide metabolites and pro-inflammatory cytokine (TNF-alpha, IL-6, and IL-1beta)
secretion in J774 macrophages stimulated with LPS. It also attenuated leukocyte
migration and exudate formation in the pleural cavity of mice challenged with
carrageenan. Furthermore, imidazole 8 reverted the oxidative stress pattern
triggered by carrageenan in the pleural cavity by diminishing myeloperoxidase,
superoxide dismutase, catalase, and glutathione S-transferase activities and
reducing the production of nitric oxide metabolites and thiobarbituric acid
reactive substances. Finally, these effects can be attributed, at least in part,
to the ability of this compound to prevent NF-kappaB transmigration. In this
context, our results demonstrate that imidazole 8 has promising potential as a
prototype for the development of a new anti-inflammatory drug to treat
inflammatory conditions in which NF-kappaB and oxidative stress play a prominent
role. Graphical Abstract ?.
PMID- 29656319
TI - NLRP3 Inflammasome Involves in the Acute Exacerbation of Patients with Chronic
Obstructive Pulmonary Disease.
AB - The NLR pyrin domain-containing protein 3 (NLRP3) inflammasome, a multi-protein
complex, produces the pro-inflammatory cytokines interleukin (IL)-1beta and IL
18, which may contribute to the development of airway inflammation in chronic
obstructive pulmonary disease (COPD). The aim of this study was to explore the
correlation between circulating and local airway NLRP3 inflammasome activation
with acute exacerbation of COPD (AECOPD). mRNA levels of NLRP3, Caspase (Casp)-1,
apoptosis-associated speck-like protein containing CARD (ASC), IL-18, and IL
1beta in peripheral blood mononuclear cells (PBMCs) and bronchial tissues were
determined by real-time PCR in 32 smokers, 65 patients with AECOPD, 50 COPD
patients in recovery stage, and 30 COPD patients in stable stage. The levels of
IL-1beta and IL-18 in serum and bronchoalveolar lavage fluid (BALF) supernatants
were measured by ELISA. The load of six common pathogens in BALF samples were
determined by real-time PCR. The potential correlation between the mRNA levels of
NLRP3, Casp-1, ASC, IL-18 or IL-1beta and the load of pathogens was evaluated
individually. Significantly higher mRNA levels of NLRP3, Casp-1, ASC, IL-18, IL
1beta and higher levels of IL-18 and IL-1beta were found in patients with AECOPD
than in smokers. These NLRP3 inflammasome mediators were significantly decreased
when COPD patients in the same group became clinical stable. The increased mRNA
levels of NLRP3 inflammasomes in bronchial tissues were positively correlated
with the load of the six common pathogens in the lower respiratory tract. We
conclude that systemic and local airway NLRP3 inflammasome activation is
associated with the acute exacerbation, which might be predictive factors of the
acute exacerbation and clinical outcomes in COPD patients.
PMID- 29656321
TI - Multi-center reproducibility of structural, diffusion tensor, and resting state
functional magnetic resonance imaging measures.
AB - PURPOSE: The aim of this study is to assess multi-center reproducibility and
longitudinal consistency of MRI imaging measurements, as part of a phase III
longitudinal multi-center study comparing the neurotoxic effect following
prophylactic cranial irradiation with hippocampal avoidance (HA-PCI), in
comparison with conventional PCI in patients with small-cell lung cancer.
METHODS: Harmonized MRI acquisition protocols from six participating sites and
two different vendors were compared using both physical and human phantoms. We
assessed variability across sites and time points by evaluating various phantoms
and data including hippocampal volume, diffusion metrics, and resting-state fMRI,
from two healthy volunteers. RESULTS: We report average coefficients of variation
(CV) below 5% for intrascanner, intravendor, and intervendor reproducibility for
both structural and diffusion imaging metrics, except for diffusion metrics
obtained from tractography with average CVs ranging up to 7.8%. Additionally,
resting-state fMRI showed stable temporal SNR and reliable generation of subjects
DMN across vendors and time points. CONCLUSION: These findings indicate that the
presented multi-site MRI acquisition protocol can be used in a longitudinal study
design and that pooling of the acquired data as part of the phase III
longitudinal HA-PCI project is possible with careful monitoring of the results of
the half-yearly QA assessment to follow-up on potential scanner-related
longitudinal changes in image quality.
PMID- 29656320
TI - The Role of Mesothelin as a Diagnostic and Therapeutic Target in Pancreatic
Ductal Adenocarcinoma: A Comprehensive Review.
AB - Mesothelin is a tumor differentiation antigen, which is highly expressed in
several solid neoplasms, including pancreatic cancer. Its selective expression on
malignant cells and on only a limited number of healthy tissues has made it an
interesting candidate for investigation as a diagnostic and prognostic biomarker
and as a therapeutic target. Based on a strong preclinical rationale, a number of
therapeutic agents targeting mesothelin have entered clinical trials, including
immunotoxins, monoclonal antibodies, antibody-drug conjugates, cancer vaccines,
and adoptive T cell therapies with chimeric antigen receptors. In pancreatic
cancer, mesothelin has been investigated mainly to address two unmet issues: the
urgent need for new laboratory techniques for early tumor detection and the lack
of successfully targetable oncogenic alterations for patients' treatment. In this
review, we describe the clinicopathological significance of mesothelin expression
in pancreatic cancer initiation and progression, we summarize available studies
evaluating mesothelin as a potential diagnostic and prognostic biomarker in this
disease, and we discuss current evidence and future perspectives of preclinical
and clinical studies testing mesothelin as a molecular target for pancreatic
cancer treatment.
PMID- 29656322
TI - P190RhoGAP prevents mitotic spindle fragmentation and is required to activate
Aurora A kinase at acentriolar poles.
AB - Assembly of the mitotic spindle is essential for proper chromosome segregation
during mitosis. Maintenance of spindle poles requires precise regulation of
kinesin- and dynein-generated forces, and improper regulation of these forces
disrupts pole integrity leading to pole fragmentation. The formation and function
of the mitotic spindle are regulated by many proteins, including Aurora A kinase
and the motor proteins Kif2a and Eg5. Here, we characterize a surprising role for
the RhoA GTPase-activating protein, p190RhoGAP, in regulating the mitotic
spindle. We show that cells depleted of p190RhoGAP arrest for long periods in
mitosis during which cells go through multiple transitions between having bipolar
and multipolar spindles. Most of the p190RhoGAP-depleted cells finally achieve a
stable bipolar attachment and proceed through anaphase. The multipolar spindle
phenotype can be rescued by low doses of an Eg5 inhibitor. Moreover, we show that
p190RhoGAP-depleted multipolar cells localize Aurora A to all the poles, but the
kinase is only activated at the two centriolar poles. Overall, our data identify
an unappreciated connection between p190RhoGAP and the proteins that control
spindle poles including Aurora A kinase and Eg5 that is required to prevent or
correct spindle pole fragmentation.
PMID- 29656323
TI - Study of carbon nanotube-rich impedimetric recognition electrode for ultra-low
determination of polycyclic aromatic hydrocarbons in water.
AB - Carbon nanotubes (CNTs) have been studied as an electrochemical recognition
element for the impedimetric determination of priority polycyclic aromatic
hydrocarbons (PAHs) in water, using hexocyanoferrate as a redox probe. For this
goal, an indium tin oxide (ITO) electrode functionalized with a silane-based self
assembled monolayer carrying CNTs has been engineered. The electroanalytical
method, which is similar to an antibody-antigen assay, is straightforward and
exploits the high CNT-PAH affinity obtained via pi-interactions. After optimizing
the experimental conditions, the resulting CNT-based impedimetric recognition
platform exhibits ultra-low detection limits (1.75 +/- 0.04 ng.L-1) for the sum
of PAHs tested, which was also validated by using a certified reference PAH
mixture. Graphical abstract Schematic of an indium-tin-oxide (ITO) electrode
functionalized with a silane-based self-assembled monolayer carrying carbon
nanotubes (CNTs) as a recognition platform for the ultra-low determination of
total polycyclic aromatic hydrocarbons (PAHs) in water via pi-interactions using
Electrochemical Impedance Spectroscopy (EIS).
PMID- 29656325
TI - Dosing of the second-generation cryoballoon using acute time-to-pulmonary vein
isolation as an indicator of durable ablation in a canine model.
AB - BACKGROUND: Rigid time-based dosing protocol(s) currently used in the clinic for
cryoballoon ablation of atrial fibrillation may be inadequate to guide the
circumferential and transmural cryothermal energy transfer across the pulmonary
vein (PV) and may result in injury to collateral tissues or electrical gaps
between the PV and left atrium (LA). OBJECTIVE: A physiologic endpoint (e.g.,
acute time-to-PV isolation a.k.a. time-to-effect; TTE) may be effective in the
determination of a transmural lesion formation and may allow for individualized
ablation dosing across each PV. METHODS: Thirty PVs from 15 dogs were randomized
into five dosing protocols, including (1) TTE + 60 s, (2) TTE + 90 s, (3) TTE +
120 s, (4) TTE + 150 s, and (5) 2 * 180 s. Ablations were conducted with a 23-mm
second-generation cryoballoon, and TTE was assessed during a freeze by pacing
from an inner balloon-lumen circular diagnostic catheter to a quadripolar
diagnostic catheter in the coronary sinus. After ablation, animals were survived
for 30 to 34 days, and repeat electrophysiology assessment of PV isolation was
conducted after which animals were euthanized for gross anatomy and histological
examination. RESULTS: At study termination, efficacy endpoint evaluations were
based on maintenance of PV electrical isolation, gross anatomy assessment of PV
lesions, and histological examination of PVs. Five efficacy endpoint failures
were noted, including the following: 1 PV in the TTE + 90 sec group; 2 PVs in the
TTE + 120 sec group; 1 PV in the TTE + 150 s group; and 1 PV in the 2 * 180 s
group. Regarding safety, one phrenic nerve injury was observed in the 2 * 180 s
cohort. No other complications were observed. CONCLUSIONS: In a canine model,
effective PV isolation could be found even in the shortest duration dosing cohort
(TTE + 60 s). One complication (phrenic nerve injury) was observed in the longest
duration dosing group (2 * 180 s). Further studies will be required to correlate
these results to a 28-mm cryoballoon (more commonly used in the cryoablation of a
human LA); however, to date, this is the first reporting of a successful
cryoablation using TTE + 60 s dosing (approximately 90 s total duration of
freezing).
PMID- 29656324
TI - The organic pollutant status of rivers in Bosnia and Herzegovina as determined by
a combination of active and passive sampling methods.
AB - There is an overall lack of data concerning the pollution status of Bosnia
Herzegovina, which is confounded by fragmented national environmental management.
The present study aimed to provide some initial data for concentrations of
priority substances in two major Bosnian Rivers, using two types of passive
sampler (PS) as well as by using high volume water sampling (HVWS). Overall,
concentrations of most persistent organic pollutants (POPs), including
polychlorinated biphenyls (PCBs) and legacy pesticides, were shown to be low.
However, around the town of Doboj on the Bosna River, concentrations of
polycyclic aromatic hydrocarbons (PAH) breached European standards for several
compounds and reached 67 ng L-1 for freely dissolved concentrations and 250 ng L
1 for total concentrations. In general, contamination was lower in the Neretva
River compared to the Bosna, although for brominated diphenyl ethers (PBDEs),
results suggested an active source of PBDEs at one location based on the ratio of
congeners 47 and 99. Direct comparisons between the different sampling techniques
used are not straightforward, but similar patterns of PAH contamination were
shown by HVWS and PS in the Bosna River. There are both scientific and practical
considerations when choosing which type of sampling technique to apply, and this
should be decided based on the goals of each individual study.
PMID- 29656326
TI - The impact of the CartoSound(r) image directly acquired from the left atrium for
integration in atrial fibrillation ablation.
AB - PURPOSE: Intracardiac echocardiographic (ICE) imaging might be useful for
integrating three-dimensional computed tomographic (CT) images for left atrial
(LA) catheter navigation during atrial fibrillation (AF) ablation. However, the
optimal CT image integration method using ICE has not been established. METHODS:
This study included 52 AF patients who underwent successful circumferential
pulmonary vein isolation (CPVI). In all patients, CT image integration was
performed after the CPVI with the following two methods: (1) using ICE images of
the LA derived from the right atrium and right ventricular outflow tract (RA
merge) and (2) using ICE images of the LA directly derived from the LA added to
the image for the RA-merge (LA-merge). The accuracy of these two methods was
assessed by the distances between the integrated CT image and ICE image (ICE-to
CT distance), and between the CT image and actual ablated sites for the CPVI (CT
to-ABL distance). RESULTS: The mean ICE-to-CT distance was comparable between the
two methods (RA-merge = 1.6 +/- 0.5 mm, LA-merge = 1.7 +/- 0.4 mm; p = 0.33).
However, the mean CT-to-ABL distance was shorter for the LA-merge (2.1 +/- 0.6
mm) than RA-merge (2.5 +/- 0.8 mm; p < 0.01). The LA, especially the left-sided
PVs and LA roof, was more sharply delineated by direct LA imaging, and whereas
the greatest CT-to-ABL distance was observed at the roof portion of the left
superior PV (3.7 +/- 2.8 mm) after the RA-merge, it improved to 2.6 +/- 1.9 mm
after the LA-merge (p < 0.01). CONCLUSIONS: Additional ICE images of the LA
directly acquired from the LA might lead to a greater accuracy of the CT image
integration for the CVPI.
PMID- 29656327
TI - Analysis of regeneration- and myelination-associated proteins in human neuroma in
continuity and discontinuity.
AB - BACKGROUND: Neuromas are pathologic nerve distensions caused by a nerve's
response to trauma, resulting in a dysfunctional to non-functional nerve.
Depending on the severance of the affected nerve, the resulting neuroma can be
differentiated into continuous and stump neuroma. While neuroma formation has
been investigated in animal models with enormous regenerative capacity, the
search for differences in human response to nerve trauma on a molecular level
ultimately seeks to identify reasons for functionally successful versus
unsuccessful regeneration after peripheral nerve trauma in man. METHODS: In the
present study, the regenerative potential of axons and the capability of Schwann
cells (SC) to remyelinate regenerating axons was quantitatively and segmentally
analyzed and compared within human neuroma in-continuity and discontinuity.
RESULTS: For the stump neuroma and the neuroma in-continuity, there was a
significant reduction of the total number of axons (86% stump neuroma and 91%
neuroma in-continuity) from the proximal to the distal part of the neuroma, while
the amount of fibrotic tissue increased, respectively. Labeling the myelin sheath
of regenerating axons revealed a remyelination of regenerating axons by SCs in
both neuroma types. The segmented analysis showed no distinct alterations in the
number and spatial distribution of regenerating, mature, and myelinated axons
between continuous and discontinuous neuroma. CONCLUSIONS: The quantitative and
segmented analysis showed no distinct alterations in the number and spatial
distribution of regenerating, mature, and myelinated axons between continuous and
discontinuous neuroma, while the extensive expression of Gap43 in up to 55% of
the human neuroma axons underlines their regenerative capacity independent of
whether the neuroma is in continuity or discontinuity. Remyelination of Gap43
positive axons suggests that the capability of SCs to remyelinate regenerating
axons is preserved in neuroma tissue.
PMID- 29656328
TI - Human colostrum action against Giardia lamblia infection influenced by hormones
and advanced maternal age.
AB - Children are more susceptible to Giardia lamblia infection. Cells and hormones
contained in human colostrum have an immunoprotective action against giardiasis,
but the effects of advanced maternal age on these components are poorly
understood. This study analyzed the colostrum of older women to determine
melatonin and cortisol levels besides the participation of these hormones on the
functional activity of phagocytes against G. lamblia. Colostrum samples were
collected from younger (18 to 35 years old) and older (over 36 years old)
lactating women. Colostrum samples were subjected to melatonin and cortisol
determination, immunophenotyping, quantification of superoxide release, and
assessment of phagocytic rate and microbicidal activity of phagocytes treated
with hormones and in the presence of G. lamblia. Colostrum from mothers of
advanced age contained higher melatonin and cortisol levels and a lower rate of
cells expressing CD14+ and CD15+. In the colostru of these older mothers,
melatonin increased superoxide release by phagocytes. In both groups, superoxide
release by phagocytes treated with cortisol was higher in the presence of G.
lamblia. In colostrum from mothers of advanced age, mononuclear (MN) phagocytes
treated with melatonin showed higher phagocytosis of G. lamblia and higher
microbicidal index. In younger mothers, MN and polymorphonuclear (PMN) colostrum
phagocytes exhibited higher rates of G. lamblia elimination when treated with
both melatonin and cortisol. In older mothers, cortisol and melatonin regulation
for the functional activity of colostrum phagocytes against G. lamblia may
represent an additional defense mechanism, relevant for the protection and
treatment of parasitic infections in breastfed children.
PMID- 29656329
TI - Comparison of perioperative and oncological outcome of thoracoscopic
esophagectomy in left decubitus position and in prone position for esophageal
cancer.
AB - PURPOSE: The aim of this study was to clarify the differences between
thoracoscopic esophagectomy in the left decubitus position (LP) and in the prone
position (PP) in terms of short-term perioperative outcomes and long-term
oncological outcomes after more than 5 years of follow-up. METHODS: Patients with
esophageal cancer who underwent thoracoscopic esophagectomy and were followed up
for more than 5 years were analyzed retrospectively. Of 142 patients, 72
underwent LP esophagectomy and 70 underwent PP esophagectomy. Operation time,
blood loss, operative morbidity, mortality, length of hospital stay, and the
number of dissected lymph nodes were compared to evaluate short-term outcomes.
Cancer recurrence and overall survival were compared to examine long-term
outcomes. RESULTS: Patient and tumor characteristics were not different between
the LP and PP groups except for the rate of neoadjuvant chemotherapy. Blood loss
was significantly lower in the PP group than in the LP group. Incidence of
Clavien-Dindo (C.D.) grade >= III complications was significantly lower in the PP
group than in the LP group. Pulmonary complications were also significantly lower
in the PP group than in the LP group. Operation type (LP versus PP) was
identified as an independent risk factor for pulmonary complications (odds ratio
0.27, p = 0.03) by multivariate analysis. Cancer recurrence rate, initial
recurrence site, and overall survival rate were not different between the two
groups. CONCLUSIONS: PP is regarded as a less invasive procedure than LP with the
same oncological effect.
PMID- 29656330
TI - Risk factors for anatomic pelvic organ prolapse at 6 weeks postpartum: a
prospective observational study.
AB - INTRODUCTION AND HYPOTHESIS: The objective was to identify risk factors for
postpartum anatomic pelvic organ prolapse (aPOP) by comparing women with and
without aPOP at 6 weeks postpartum with regard to pelvic floor measurements
antepartum and obstetrical characteristics. METHODS: We carried out a prospective
observational cohort study including nulliparous pregnant women in a Norwegian
university hospital. Participants underwent clinical examinations, including
pelvic organ prolapse quantification system (POP-Q) and transperineal ultrasound
at gestational week 21 and at 6 weeks postpartum. Background and obstetrical
information was obtained from an electronic questionnaire and from the patient's
electronic medical file respectively. Associations were estimated using logistic
regression analyses. The dependent variable was aPOP, defined as POP-Q stage >=2
at 6 weeks postpartum. Independent variables were mid-pregnancy measurements of
selected POP-Q variables and levator hiatus area (LHarea), delivery route, and
the presence of major levator ani muscle (LAM) injuries at 6 weeks postpartum.
RESULTS: A larger LHarea, a more distensible LAM, a longer distance from the
meatus urethra to the anus (Gh + Pb) and a more caudal position of the anterior
vaginal wall (Ba) at mid-pregnancy were risk factors for aPOP at 6 weeks
postpartum, whereas delivery route and the presence of major LAM injuries were
not. CONCLUSION: Prelabor differences in the pelvic floor rather than obstetrical
events were risk factors for aPOP at 6 weeks postpartum.
PMID- 29656331
TI - Survey of pelvic reconstructive surgeons on performance of opportunistic
salpingectomy at the time of pelvic organ prolapse repair.
AB - INTRODUCTION AND HYPOTHESIS: Opportunistic salpingectomy (OS) at the time of
benign hysterectomy has recently emerged as a potential primary preventive
modality for ovarian cancer. Our objective was to determine whether the reported
rate of OS at the time of prolapse surgery is similar to the rate of OS at the
time of gynecologic surgery for non-prolapse indications. METHODS: An anonymous
online survey was sent to the Society of Gynecologic Surgery members. Responses
were divided into surgeons who did and did not perform OS at the time of prolapse
repair. Differences between surgeons who did and did not perform OS were
evaluated using the chi-square test. Multivariable logistic regression was used
to identify which responses related to increased odds of performing OS. RESULTS:
There were 117 (33.1%) completed responses; of these, 98 (83.8%) reported
performing OS at the time of prolapse repair, which was similar to the reported
rate of OS at the time of hysterectomy for non-prolapse indications, 82.1%. After
multivariable logistic regression, performance of salpingectomy at the time of
hysterectomy for a non-prolapse indication (aOR: 17.9, 95% CI: 3.11-42.01), use
of a laparoscopic or robotic surgical approach (aOR 14.1, 95% CI: 1.81-32.21) and
completion of an FPMRS fellowship (aOR: 3.47, 95% CI: 1.20-10.02) were associated
with a higher likelihood of performing OS at the time of prolapse repair.
CONCLUSIONS: OS at the time prolapse repair is performed more frequently with
concomitant hysterectomy compared with OS at the time of post-hysterectomy
prolapse repair and is similar to rates of OS performed at the time of
hysterectomy for non-prolapse indications.
PMID- 29656332
TI - Rdh13 deficiency weakens carbon tetrachloride-induced liver injury by regulating
Spot14 and Cyp2e1 expression levels.
AB - Mitochondrion-localized retinol dehydrogenase 13 (Rdh13) is a short-chain
dehydrogenase/reductase involved in vitamin A metabolism in both humans and mice.
We previously generated Rdh13 knockout mice and showed that Rdh13 deficiency
causes severe acute retinal light damage. In this study, considering that Rdh13
is highly expressed in mouse liver, we further evaluated the potential effect of
Rdh13 on liver injury induced by carbon tetrachloride (CCl4). Although Rdh13
deficiency showed no significant effect on liver histology and physiological
functions under regular culture, the Rdh13-/- mice displayed an attenuated
response to CCl4-induced liver injury. Their livers also exhibited less
histological changes and contained lower levels of liver-related metabolism
enzymes compared with the livers of wild-type (WT) mice. Furthermore, the Rdh13-/
mice had Rdh13 deficiency and thus their liver cells were protected from
apoptosis, and the quantity of their proliferative cells became lower than that
in WTafter CCl4 exposure. The ablation of Rdh13 gene decreased the expression
levels of thyroid hormone-inducible nuclear protein 14 (Spot14) and cytochrome
P450 (Cyp2e1) in the liver, especially after CCl4 treatment for 48 h. These data
suggested that the alleviated liver damage induced by CCl4 in Rdh13-/- mice was
caused by Cyp2e1 enzymes, which promoted reductive CCl4 metabolism by altering
the status of thyroxine metabolism. This result further implicated Rdh13 as a
potential drug target in preventing chemically induced liver injury.
PMID- 29656333
TI - Interferon-alpha salvage treatment is effective for patients with acute
leukemia/myelodysplastic syndrome with unsatisfactory response to minimal
residual disease-directed donor lymphocyte infusion after allogeneic
hematopoietic stem cell transplantation.
AB - The efficacy of salvage interferon-alpha (IFN-alpha) treatment was investigated
in patients with unsatisfactory response to minimal residual disease (MRD)
directed donor lymphocyte infusion (DLI) (n = 24). Patients who did not become
MRD-negative at 1 month after DLI were those with unsatisfactory response and
were eligible to receive salvage IFN-alpha treatment within 3 months of DLI.
Recombinant human IFN-alpha-2b injections were subcutaneously administered 2-3
times a week for 6 months. Nine (37.5%), 6 (25.0%), and 3 (12.5%) patients became
MRD-negative at 1, 2, and > 2 months after the salvage IFN-alpha treatment,
respectively. Two-year cumulative incidences of relapse and non-relapse mortality
were 35.9% and 8.3%, respectively. Two-year probabilities of event-free survival,
disease-free survival, and overall survival were 51.6%, 54.3%, and 68.0%,
respectively. Outcomes of patients subjected to salvage IFN-alpha treatment after
DLI were significantly better than those with persistent MRD without IFN-alpha
treatment. Moreover, clinical outcomes were comparable between the salvage DLI
and IFN-alpha treatment groups. Thus, salvage IFN-alpha treatment may help
improve the outcome of patients with unsatisfactory responses to MRD-directed DLI
and could be a potential salvage treatment for these patients after allogeneic
hematopoietic stem cell transplantation.
PMID- 29656334
TI - Four Gaucher disease type II patients with three novel mutations: a single centre
experience from Turkey.
AB - Gaucher disease is the most common lysosomal storage disorder due to
glucosylceramidase enzyme deficiency. There are three subtypes of the disease.
Neurological involvement accompanies visceral and haematological findings only in
type II and type III Gaucher patients. Type II is the acute progressive
neuronopathic form which is the most severe and rare subtype. Clinical findings
are recognized prenatally or in the first months of life and followed by death
within the first two years of age. Among our 81 Gaucher patients, we identified 4
(4,9%) type II patients in our metabolic centre. This rate is significantly
higher than the rate reported in the literature (<1%). Three of the patients had
novel mutations, one of them was a collodion baby and the other one was mistyped
as type III due to its atypical presentation at the beginning and he was treated
with ERT for 8 months. In this report, we present our type II Gaucher patients
with three novel mutations and one perinatal lethal form with generalized
ichthyosis which is a very rare disorder. Additionally, we would like to
highlight the phenotypic heterogeneity not only between the subtypes, also even
in the same type.
PMID- 29656335
TI - Role of microglia under cardiac and cerebral ischemia/reperfusion (I/R) injury.
AB - Both cerebral and cardiac ischemia causes loss of cerebral blood flow, which may
lead to neuronal cell damage, neurocognitive impairment, learning and memory
difficulties, neurological deficits, and brain death. Although reperfusion is
required immediately to restore the blood supply to the brain, it could lead to
several detrimental effects on the brain. Several studies demonstrate that
microglia activity increases following cerebral and cardiac ischemic/reperfusion
(I/R) injury. However, the effects of microglial activation in the brain
following I/R remains unclear. Some reports demonstrated that microglia were
involved in neurodegeneration and oxidative stress generation, whilst others
showed that microglia did not respond to I/R injury. Moreover, microglia are
activated in a time-dependent manner, and in a specific brain region following
I/R. Recently, several therapeutic approaches including pharmacological
interventions and electroacupuncture showed the beneficial effects, while some
interventions such as hyperthermia and hyperoxic resuscitation, demonstrated the
deteriorated effects on the microglial activity after I/R. Therefore, the present
review summarized and discussed those studies regarding the effects of global and
focal cerebral as well as cardiac I/R injury on microglia activation, and the
therapeutic interventions.
PMID- 29656336
TI - Combined Immunodeficiency with Ring Chromosome 21.
PMID- 29656337
TI - Preparation and characterization of sulfonated chitosan-modified gold
nanoparticles and their surface electronic payload of charged drugs.
AB - Chitosan (CS), a kind of naturally produced polysaccharide with extraordinary
biocompatibility and biodegradation, shows much potential to act as reducing and
stabilizing agent in the synthesis of gold nanoparticles (AuNPs) for drug
delivery. To solve the poor solubility and expand the pharmaceutical applications
of CS, various CS derivatives through rational design have been developed and
further used to prepare, stabilize, and mediate self-assembling of gold
materials. Herein, we chose sulfonic chitosan as a stabilizing reagent for the
synthesis of highly stable AuNPs (AuNP/SCSs) with diameters of about 3 nm. For
investigating their surface electronic payload of charged drugs, the negatively
charged fluorescence isothiocyanate (FITC) and positively charged Rhodamine B
(Rb) were used as models to be modified on the surface of the AuNP/SCSs via a
layer-by-layer (LbL) method. With a basis of the fluorescence resonance energy
transfer (FRET) principle, via adjusting the distance between AuNPs and
fluorescent molecules by tuning the layers of charged polymers, the regulation of
the fluorescence intensity of the fluorescent molecules has been achieved. In
addition, the drug loading efficiency was investigated.
PMID- 29656338
TI - Morphology of living cells cultured on nanowire arrays with varying nanowire
densities and diameters.
AB - Vertical nanowire arrays are increasingly investigated for their applications in
steering cell behavior. The geometry of the array is an important parameter,
which influences the morphology and adhesion of cells. Here, we investigate the
effects of array geometry on the morphology of MCF7 cancer cells and MCF10A
normal-like epithelial cells. Different gallium phosphide nanowire array
geometries were produced by varying the nanowire density and diameter. Our
results show that the cell size is smaller on nanowires compared to flat gallium
phosphide. The cell area decreases with increasing the nanowire density on the
substrate. We observed an effect of the nanowire diameter on MCF10A cells, with a
decreased cell area on 40 nm diameter nanowires, compared to 60 and 80 nm
diameter nanowires in high-density arrays. The focal adhesion morphology depends
on the extent to which cells are contacting the substrate. For low nanowire
densities and diameters, cells are lying on the substrate and we observed large
focal adhesions at the cell edges. In contrast, for high nanowire densities and
diameters, cells are lying on top of the nanowires and we observed point-like
focal adhesions distributed over the whole cell. Our results constitute a step
towards the ability to fine-tune cell behavior on nanowire arrays.
PMID- 29656339
TI - Role of AMPK in atherosclerosis via autophagy regulation.
AB - Atherosclerosis is characterized by the accumulation of lipids and deposition of
fibrous elements in the vascular wall, which is the primary cause of
cardiovascular diseases. Adenosine monophosphate-activated protein kinase (AMPK)
is a metabolic sensor of energy metabolism that regulates multiple physiological
processes, including lipid and glucose metabolism and the normalization of energy
imbalances. Overwhelming evidence indicates that AMPK activation markedly
attenuates atherosclerosis development. Autophagy inhibits cell apoptosis and
inflammation and promotes cholesterol efflux and efferocytosis. Physiological
autophagy is essential for maintaining normal cardiovascular function. Increasing
evidence demonstrates that autophagy occurs in developing atherosclerotic
plaques. Emerging evidence indicates that AMPK regulates autophagy via a
downstream signaling pathway. The complex relationship between AMPK and autophagy
has attracted the attention of many researchers because of this close
relationship to atherosclerosis development. This review demonstrates the role of
AMPK and autophagy in atherosclerosis. An improved understanding of this
interrelationship will create novel preventive and therapeutic strategies for
atherosclerosis.
PMID- 29656340
TI - CAPS/dCAPS Designer: a web-based high-throughput dCAPS marker design tool.
PMID- 29656341
TI - Environmental factors that influence milk production of Pantaneiro ewes and the
weight gain of their lambs during the pre-weaning period.
AB - The main objective of this research was to conduct an exploratory study of the
lactation curve in order to characterize the productive potential of Pantaneiro
ewes and lambs. Fifty ewes were bred using four rams in two different mating
seasons. The ewes were kept with their lambs on pasture of Brachiaria brizantha.
Ewe body score, ewe weight, and lamb weight were evaluated. Milk sampling was
performed every week. In the morning for milk collections, the ewes were treated
with 1 UI of oxytocin (intramuscular) for complete milking. Lambs were separated
from the ewes for 4 h and milk collections were performed. The total milk
production over 24 h was estimated by multiplying the production of this period
(4 h) by 6. The data were analyzed using the MIXED procedure (P < 0.05) in SAS.
Milk production data were fitted to the curve using the incomplete gamma function
of Wood, and lamb growth data were fitted using the Gompertiz equation. The
average milk production of the ewes was 1.03 kg/day-1. Younger ewes had the
lowest milk production (18 = 798 +/- 330, 24 = 1001 +/- 440, 36 = 1100 +/- 490,
and 48 = 1106 +/- 490 g/day-1). Ewe body score at lambing affected initial milk
production (1.0 = 816 +/- 660, 1.5 = 1089 +/- 105, and 2.0 = 1424 +/- 1600 g/day
1). Lambs were weaned with an average weight of 20.3 kg. Daily weight gain from
birth to weaning was 181 g. Locally adapted Pantaneiro ewes showed a linear
decreasing lactation curve, with reduced production from the second week of
lactation. Overall, evaluation of the dairy production and lamb performance
revealed great variation, denoting potential for selection.
PMID- 29656342
TI - Prosaposin and its receptors are differentially expressed in the salivary glands
of male and female rats.
AB - Salivary glands produce various neurotrophins that are thought to regulate
salivary function during normal and pathological conditions. Prosaposin (PSAP) is
a potent neurotrophin found in several tissues and various biological fluids and
may play roles in the regulation of salivary function. However, little is known
about PSAP in salivary glands. As the functions of salivary glands are diverse
based on age and sex, this study examines whether PSAP and its receptors, G
protein-coupled receptor 37 (GPR37) and GPR37L1, are expressed in the salivary
glands of rats and whether sex and aging affect their expression.
Immunohistochemical analysis revealed that PSAP and its receptors were expressed
in the major salivary glands of rats, although their expression varied
considerably based on the type of gland, acinar cells, age and sex. In fact,
PSAP, GPR37 and GPR37L1 were predominantly expressed in granular convoluted
tubule cells of the submandibular gland and the intensity of their
immunoreactivity was higher in young adult female rats than age-matched male
rats, which was more prominent at older ages (mature adult to menopause). On the
other hand, weak PSAP, GPR37 and GPR37L1 immunoreactivity was observed mainly in
the basal layer of mucous cells of the sublingual gland. Triple label
immunofluorescence analysis revealed that PSAP, GPR37 and GPR37L1 were co
localized in the basal layer of acinar and ductal cells in the major salivary
glands. The present findings indicate that PSAP and its receptors, GPR37 and
GPR37L1, are expressed in the major salivary glands of rats and their
immunoreactivities differ considerably with age and sex.
PMID- 29656343
TI - Lateralisation in Parkinson disease.
AB - Asymmetry of dopaminergic neurodegeneration and subsequent lateralisation of
motor symptoms are distinctive features of Parkinson's disease compared to other
forms of neurodegenerative or symptomatic parkinsonism. Even 200 years after the
first description of the disease, the underlying causes for this striking
clinicopathological feature are not yet fully understood. There is increasing
evidence that lateralisation of disease is due to a complex interplay of
hereditary and environmental factors that are reflected not only in the concept
of dominant hemispheres and handedness but also in specific susceptibilities of
neuronal subpopulations within the substantia nigra. As a consequence, not only
the obvious lateralisation of motor symptoms occurs but also patterns of
associated non-motor signs are defined, which include cognitive functions, sleep
behaviour or olfaction. Better understanding of the mechanisms contributing to
lateralisation of neurodegeneration and the resulting patterns of clinical
phenotypes based on bilateral post-mortem brain analyses and clinical studies
focusing on right/left hemispheric symptom origin will help to develop more
targeted therapeutic approaches, taking into account subtypes of PD as a
heterogeneous disorder.
PMID- 29656344
TI - Orthotidal signal in the electrical conductivity of an inland river.
AB - An orthotidal signal is a tidal component found in a streamwater parameter when
there is no oceanic tidal input, i.e. when the streamwater monitoring point is
located far inland and at high elevation. This study analyses various parameters
of Cib River in Carpathian Mountains, Romania. This river receives water from a
rich karst aquifer when crossing Cib Gorge. Streamwater level, temperature and
electrical conductivity were measured in 270 days grouped in three time intervals
of consecutive days. The measurements were done every 15 minutes in order to
capture any significant periodic variation. The streamwater measurements were
paired with air measurements and measurements done in a thermal spring. Solar
semidiurnal oscillations were found in the streamwater electrical conductivity.
In case study time series, selected based on their good signal to noise ratio,
there are average semidiurnal oscillations of approximately 4 MUS/cm, while the
maximum amplitude rise up to 20 MUS/cm. The semidiurnal peaks in water are
generally in phase with the two atmospheric tide maxima, which are the cause of
the studied phenomenon. The higher mineralisations of the thermal waters that
rise from beneath the karst aquifer are the most probable cause of finding
significant orthotides only in the electrical conductivity time series of the
studied river.
PMID- 29656345
TI - Update on the Treatment of Early-Stage Triple-Negative Breast Cancer.
AB - OPINION STATEMENT: Triple-negative breast cancer (TNBC) accounts for 15% of all
breast cancers and is associated with poor long-term outcomes compared to other
breast cancer subtypes. Currently, chemotherapy remains the main modality of
treatment for early-stage TNBC, as there is no approved targeted therapy for this
subtype. The biologic heterogeneity of TNBC has hindered the development and
evaluation of novel agents, but recent advancements in subclassifying TNBC have
paved the way for further investigation of more effective systemic therapies,
including cytotoxic and targeted agents. TNBC is enriched for germline BRCA
mutation and for somatic deficiencies in homologous recombination DNA repair, the
so-called "BRCAness" phenotype. Together, germline BRCA mutations and BRCAness
are promising biomarkers of susceptibility to DNA-damaging therapy. Various
investigational approaches are consequently being investigated in early-stage
TNBC, including immune checkpoint inhibitors, platinum compounds, PI3K pathway
inhibitors, and androgen receptor inhibitors. Due to the biological diversity
found within TNBC, patient selection based on molecular biomarkers could aid the
design of early-phase clinical trials, ultimately accelerating the clinical
application of effective new agents. TNBC is an aggressive breast cancer subtype,
for which multiple targeted approaches will likely be required for patient
outcomes to be substantially improved.
PMID- 29656346
TI - Hip fracture patients who experience a greater fluctuation in RDW during hospital
course are at heightened risk for all-cause mortality: a prospective study with 2
year follow-up.
AB - : This study aims to detect whether there remains valuable prognostic information
in fluctuation of red cell distribution width (RDW) in hip fracture patients.
Results show that this readily available parameter may provide a more effective
strategy for assessment of mortality risk, therefore providing a reference for
clinical planning and decision-making. INTRODUCTION: Prognostic values have been
found in the fluctuation of some hematologic parameters. The red cell
distribution width (RDW) routinely reported with all complete blood cell counts
(CBC) has proven to be associated with poor outcomes in various diseases.
However, whether the fluctuation in RDW is predictive of long-term mortality in
hip fracture patients treated with surgery remains unknown. METHODS: One thousand
three hundred thirty hip fracture patients who underwent surgery from January 1,
2000 to November 18, 2012 were recruited in this prospective cohort study.
Fluctuation in the RDW between admission and discharge was measured, and a Kaplan
Meier (KM) analysis and multivariable Cox regression model were applied to
evaluate the relationship between this fluctuation and mortality. Risk factors
for a larger fluctuation were detected by using Logistic regression analyses.
RESULTS: In addition to the admission RDW, a high RDW level at the time of
discharge was also associated with an increased risk of death, while no
significant difference was found in the postoperative RDW. Fluctuation in the RDW
between admission and discharge was an independent risk predictor for 2-year
mortality (HR 1.45 95%CI 1.06-2.00, p = 0.022). Factors affecting the change in
the RDW between admission and discharge included both the demographic
characteristics of the patients and clinical interventions. CONCLUSION: Hip
fracture patients who experience a greater fluctuation in RDW during the hospital
course are at a heightened risk for 2-year all-cause mortality.
PMID- 29656347
TI - Mediterranean diet and hip fracture incidence among older adults: the CHANCES
project.
AB - : The association between adherence to Mediterranean diet (MD) and hip fracture
incidence is not yet established. In a diverse population of elderly, increased
adherence to MD was associated with lower hip fracture incidence. Except
preventing major chronic diseases, adhering to MD might have additional benefits
in lowering hip fracture risk. INTRODUCTION: Hip fractures constitute a major
public health problem among older adults. Latest evidence links adherence to
Mediterranean diet (MD) with reduced hip fracture risk, but still more research
is needed to elucidate this relationship. The potential association of adherence
to MD with hip fracture incidence was explored among older adults. METHODS: A
total of 140,775 adults (116,176 women, 24,599 men) 60 years and older, from five
cohorts from Europe and the USA, were followed-up for 1,896,219 person-years
experiencing 5454 hip fractures. Diet was assessed at baseline by validated,
cohort-specific, food-frequency questionnaires, and hip fractures were
ascertained through patient registers or telephone interviews/questionnaires.
Adherence to MD was evaluated by a scoring system on a 10-point scale modified to
be applied also to non-Mediterranean populations. In order to evaluate the
association between MD and hip fracture incidence, cohort-specific hazard ratios
(HR), adjusted for potential confounders, were estimated using Cox proportional
hazards regression and pooled estimates were subsequently derived implementing
random-effects meta-analysis. RESULTS: A two-point increase in the score was
associated with a significant 4% decrease in hip fracture risk (pooled adjusted
HR 0.96; 95% confidence interval (95% CI) 0.92-0.99, pheterogeneity = 0.446). In
categorical analyses, hip fracture risk was lower among men and women with
moderate (HR 0.93; 95% CI 0.87-0.99) and high (HR 0.94; 95% CI 0.87-1.01)
adherence to the score compared with those with low adherence. CONCLUSIONS: In
this large sample of older adults from Europe and the USA, increased adherence to
MD was associated with lower hip fracture incidence.
PMID- 29656348
TI - Are Digitally Delivered Psychological Interventions for Depression the Way
Forward? A Review.
AB - Digitally delivered interventions aim to make psychological treatments more
widely accessible and minimize clinician input. Although their clinical efficacy
against wait-list, control conditions is well established, comparative outcome
studies are a much better way to examine if psychotherapies are equally
effective. Such reviews are still relatively lacking. The aim of this review was
therefore to evaluate the effectiveness of digitally delivered psychological
therapies over traditionally delivered (face-to-face) ones to alleviate symptoms
in adults experiencing sub-threshold and clinical depression. Findings showed
that digital interventions produced consistently clinically significant
improvements in depressive symptoms. Moreover, the level of therapist contact or
expertise did not affect much treatment effectiveness. Future research is
pertinent to investigate further the influence of therapist input, the reasons
for dropout, how to improve users' experience and therapeutic engagement and
maintain improvements at post-treatment.
PMID- 29656350
TI - High Content of Lead Is Associated with the Softness of Drinking Water and Raised
Cardiovascular Morbidity: A Review.
AB - Daily ingestion of lead (Pb), even through piped drinking water, has long time
been an important issue of concern, attracting for decades research in
environmental science and toxicology, and again comes to prominence because of
recent high-profile cases of exposure of populations in several countries to Pb
contaminated water. Numerous studies have reported an association between Pb in
water and the risk of cardiovascular pathologies. Low levels of magnesium and
calcium, i.e., low degree of hardness of the drinking water, may accentuate Pb
leaching from water pipes and furthermore increase Pb absorption. This review
evaluates the evidence for an association between Pb exposure from drinking water
and cardiovascular end points in human populations.
PMID- 29656351
TI - Treatment of Colorectal Cancer: a Multidisciplinary Approach.
AB - BACKGROUND: Colorectal cancer is the third most prevalent cancer in the world,
preceded by prostate and lung cancers in men (10%) and breast and lung cancers in
women (9.4%). Colorectal cancer is the fourth leading cause of death in men
(7.6%) and the third in women (8.6%). A multidisciplinary approach has radically
changed the way we deal with this disease among all specialist fields. PURPOSE:
In this study, we propose comparing the multidisciplinary experience group
(started in 2012) of S. Anna Hospital (University of Ferrara) with the previous
approach to rectal cancer before the advent of the multidisciplinary program.
RESULTS: We find that more study depth of neoplastic disease as well as of each
individual patient leads to more accurate staging and to a weighted therapy based
on the needs of the individual. All the studies were performed in accordance with
the guidelines established by the European and Italian associations.
PMID- 29656349
TI - The Designer Drug 3-Fluoromethcathinone Induces Oxidative Stress and Activates
Autophagy in HT22 Neuronal Cells.
AB - Synthetic cathinones are psychoactive substances, derivatives of a natural
psychostimulant cathinone. Although many synthetic cathinones have lost their
legal status in many countries, their abuse still continues worldwide. Recently,
they have been reported to exert neurotoxic effects in vitro and in vivo. The
molecular mechanisms of their action have not been fully elucidated. Recently,
they have been linked to the induction of oxidative stress, autophagy, and
apoptosis. The aim of this study was to investigate whether 3-fluoromethcathinone
(3-FMC), a synthetic cathinone, is able to induce oxidative stress, autophagy,
and apoptosis in HT22 immortalized mouse hippocampal cells. We found that
treatment of HT22 cells with this compound results in a concentration-dependent
increase in the intracellular production of reactive oxygen species. Moreover, 3
FMC induced concentration-dependent conversion of cytosolic LC3-I to membrane
bound LC3-II and formation of autophagic vacuoles. Additionally, the level of
p62/SQSTM1 protein decreased after 3-FMC treatment, suggesting that accumulation
of autophagic vacuoles resulted from activation rather than inhibition of
autophagy. Our results also showed that 3-FMC at millimolar concentration is able
to induce caspase-dependent apoptotic cell death in HT22 cells. Our findings
suggest that abuse of 3-FMC may disturb neuronal homeostasis and impair
functioning of the central nervous system.
PMID- 29656352
TI - EUS-Guided Biliary Drainage for Unresectable Malignant Biliary Obstruction: 10
Year Experience of 99 Cases at a Single Center.
AB - PURPOSE: To evaluate clinical outcomes of endoscopic ultrasound (EUS)-guided
biliary drainage (EUS-BD) for unresectable malignant biliary obstruction for
cases in which endoscopic retrograde cholangiopancreatography (ERCP) failed at a
high-volume center. METHODS: All 99 EUS-BD cases of unresectable malignant
biliary obstruction at Sendai City Medical Center between February 2007 and
September 2017 were retrospectively evaluated. ERCP is strictly prioritized over
EUS-BD during the study period, and EUS-BD was performed in cases wherein ERCP
was impossible or ineffective. Technical success, clinical success, adverse
events, and time to recurrence of biliary obstruction were evaluated. RESULTS:
EUS-BD was technically successful in 98% of the patients (97/99). The clinical
success rate was 93% (90/97). Adverse events that were definitely related to the
procedure were observed in ten patients (10%; peritonitis in six, acute
cholecystitis in four). Of six patients with bile peritonitis, four suffered from
mild localized peritonitis that improved with conservative treatment, whereas two
developed pan-peritonitis that improved with additional intervention. Other three
patients with a poor performance status succumbed shortly after the successful
EUS-BD, with a possible association between the procedure and death. In the 68
patients with a bilioenteric stent, the median time to recurrence of biliary
obstruction was 339 days (95% confidence interval (CI), 14-664 days) during the
mean follow-up period of 136 +/- 173 days. CONCLUSION: EUS-BD was found to be
feasible. However, there were a few patients with an unfavorable course after
successful EUS-BD.
PMID- 29656353
TI - Adsorption of Cu (II) and Ni (II) from aqueous solutions by taro stalks
chemically modified with diethylenetriamine.
AB - Taro stalks (TS) were modified by diethylenetriamine (DETA) to obtain the
modified taro stalks adsorbents (recorded as MTSA). This kind of raw material is
unprecedented and the method of modification is relatively simple. The
physicochemical properties of MTSA were characterized by scanning electron
microscope (SEM), FTIR, and zeta potential analyzer. The capacity of MTSA for
adsorbing heavy metals under different influencing factors was tested by UV
visible spectrophotometer. The results indicated that the gaps between the
microspheres of MTSA are more, which are conducive to adsorption. The MTSA might
have increased the amino-functional groups which are beneficial for adsorption,
resulting in an increase in the adsorption capacity of copper and nickel ions
(35.71 and 31.06 mg/g) of about 5-7 times compared to bare taro stalks (5.27 mg/g
and 6.08 mg/g). High Cu2+ uptake on MTSA was observed over the pH range of 5.5
7.0, while for Ni2+ the range was 7.0-8.5, and the optimum dosage of adsorbent
were both about 0.80 g for Cu2+ and Ni2+. The adsorption kinetics of Cu2+ and
Ni2+ on MTSA could be interpreted with a pseudo-second order and the equilibrium
data were best described by the Langmuir isotherm model. Graphical abstract ?.
PMID- 29656354
TI - Distribution, relationship, and risk assessment of toxic heavy metals in walnuts
and growth soil.
AB - Walnut is one of the most popular nuts worldwide and contains various mineral
nutrients. Little is known, however, about the relationship between toxic heavy
metals in walnuts and growth soil. In this study, we investigated the
distribution, relationship, and risk assessment of five toxic heavy metals-lead
(Pb), arsenic (As), chromium (Cr), cadmium (Cd), and mercury (Hg)-in walnuts and
growth soil in the main production areas of China. The results showed that the
main heavy metal pollution in walnut and soil was Pb and Cd. Regionally, positive
relationships existed between heavy metals and the pH and organic matter of soil.
In addition, we observed a notable uptake effect between walnut and growth soil.
In this study, we found a significant correlation (r = 0.786, P < 0.05) between
the bioconcentration factors and the longitude of the sampling areas. The risks
(total hazard quotients) of five heavy metals toward children and adults by
dietary walnut consumption were 46.8 and 56.2%, respectively. The ability to
identify toxic heavy metal pollution in walnuts and growth soil could be helpful
to screen suitable planting sites to prevent and control heavy metal pollution
and improve the quality and safety of walnut.
PMID- 29656355
TI - NaCl impact on Kosteletzkya pentacarpos seedlings simultaneously exposed to
cadmium and zinc toxicities.
AB - Data regarding NaCl impact on halophyte plant species exposed to a polymetallic
contamination remain scarce. Seedlings of the salt marsh species Kosteletzkya
pentacarpos were simultaneously exposed to cadmium (10 MUM) and zinc (100 MUM) in
the absence or presence of 50 mM NaCl. Heavy metal exposure reduced plant growth
and increased Cd and Zn concentrations in all organs. Cd and Zn accumulation
reduced net photosynthesis in relation to stomatal closure, decreased in
chlorophyll concentration and alteration in chlorophyll fluorescence-related
parameters. Salinity reduced Cd and Zn bioaccumulation and translocation, with a
higher impact on Cd than Zn. It mitigated the deleterious impact of heavy metals
on photosynthetic parameters. NaCl reduced the heavy metal-induced oxidative
stress assessed by malondialdehyde, carbonyl, and H2O2 concentration. Subcellular
distribution revealed that Cd mainly accumulated in the cell walls, but NaCl
increased it in the cytosol fraction in the leaf and in the metal-rich granule
fraction in the roots. It had no impact on Zn subcellular distribution. The
additional NaCl contributed to a higher sequestration of Cd on phytochelatins and
stimulated glutathione synthesis. The positive impact of NaCl on K. pentacarpos
response to polymetallic pollution made this species a promising candidate for
revegetation of heavy metal-contaminated salt areas.
PMID- 29656356
TI - Performance of synthesized cast and electrospun PVA/chitosan/ZnO-NH2 nano
adsorbents in single and simultaneous adsorption of cadmium and nickel ions from
wastewater.
AB - The performance of synthesized cast and electrospun polyvinyl
alcohol/chitosan/zinc oxide/aminopropyltriethoxylsilane (PVA/chitosan/ZnO-APTES)
nano-adsorbents were compared in removal of Cd(II) and Ni(II) ions from
wastewater. The adsorbents were characterized by SEM, BET, FTIR and TGA analyses.
Furthermore, the swelling investigations were carried out to study the adsorbent
stability in aqueous solution. The effect of several parameters such as contents
of ZnO-NH2, contact time, initial Cd(II) and Ni(II) concentration and temperature
on the adsorption capacity was investigated in a batch mode. In comparison with
cast adsorbent, nanofiber adsorbent indicated the better adsorption performance.
The experimental data well fitted the double-exponential kinetic model. In single
metal ion system, the maximum adsorption capacity of nanofiber for Cd(II) and
Ni(II) ions is estimated to be 1.239 and 0.851 mmol/g, respectively, much higher
than qm of cast adsorbent for Cd(II) (0.625 mmol/g) and Ni(II) (0.474 mmol/g)
ions. Thermodynamic parameters were investigated to identify the nature of
adsorption process. In binary system of Cd(II)-Ni(II) ions, the inhibitory effect
of competitive Cd(II) ion on the Ni(II) adsorption was greater than the
inhibitory effect of competitive on the Cd(II) adsorption. The selectivity
adsorption of both nanofiber and cast adsorbents was in order of Cd(II) > Ni(II).
PMID- 29656357
TI - Monitoring OH-PCBs in PCB transport worker's urine as a non-invasive exposure
assessment tool.
AB - In this study, we analyzed hydroxylated polychlorinated biphenyls (OH-PCBs) in
urine of both PCB transport workers and PCB researchers. A method to monitor OH
PCB in urine was developed. Urine was solid-phase extracted with 0.1% ammonia/
methanol (v/v) and glucuronic acid/sulfate conjugates and then decomposed using
beta-glucuronidase/arylsulfatase. After alkaline digestion/derivatization, the
concentration of OH-PCBs was determined by HRGC/HRMS-SIM. In the first sampling
campaign, the worker's OH-PCB levels increased several fold after the PCB waste
transportation work, indicating exposure to PCBs. The concentration of OH-PCBs in
PCB transport workers' urine (0.55~11 MUg/g creatinine (Cre)) was higher than in
PCB researchers' urine (< 0.20 MUg/g Cre). However, also a slight increase of OH
PCBs was observed in the researchers doing the air sampling at PCB storage area.
In the second sampling, after recommended PCB exposure reduction measures had
been enacted, the worker's PCB levels did not increase during handling of PCB
equipment. This suggests that applied safety measures improved the situation.
Hydroxylated trichlorobiphenyls (OH-TrCBs) were identified as a major homolog of
OH-PCBs in urine. Also, hydroxylated tetrachlorobiphenyls (OH-TeCBs) to
hydroxylated hexachlorobiphenyls (OH-HxCBs) were detected. For the sum of ten
selected major indicators, a strong correlation to total OH-PCBs were found and
these can possibly be used as non-invasive biomarkers of PCB exposure in workers
managing PCB capacitors and transformer oils. We suggest that monitoring of OH
PCBs in PCB management projects could be considered a non-invasive way to detect
exposure. It could also be used as a tool to assess and improve PCB management.
This is highly relevant considering the fact that in the next 10 years, approx.
14 million tons of PCB waste need to be managed. Also, the selected populations
could be screened to assess whether exposure at work, school, or home has taken
place.
PMID- 29656358
TI - A comparative study on defluoridation capabilities of biosorbents: isotherm,
kinetics, thermodynamics, cost estimation, and eco-toxicological study.
AB - The present study aims towards fluoride remediation from synthetic water using
steam-activated carbon of Aegle marmelos (bael shell/wood apple) (BAC) and
Parthenium hysterophorus (PHAC) according to batch sorption techniques. The
impact of different parametric conditions viz. initial fluoride concentration (4
12 mg/L), time (0-5 h), temperature (293.15-333.15 K), adsorbent dosage (4-14
g/L), pH (4-9), and RPM (150-350) were considered for both the adsorbents.
Maximum defluoridation of 89% was achieved by BAC at a concentration of 10 mg/L,
adsorbent dose 6 g/L, pH 5, temperature 313.15 K, agitation speed 250 rpm, and
contact time 9 h, whereas PHAC attained maximum removal of 78% at an initial
concentration of 8 mg/L, adsorbent dose 10 g/L, pH 4, temperature 313.15 K, and
contact time 12 h. Instrumental analysis by SEM, EDX, and FTIR confirmed about
the fluoride binding ability of the adsorbents. The Langmuir isotherm model
provided the best fit (R2 = 0.9962 and 0.9945) to the removal process with
maximum adsorptive uptake of 16.85 and 6.22 mg/g by BAC and PHAC respectively.
The adsorption phenomenon was found to obey pseudo-second-order kinetics. The
endothermic, spontaneous, and feasible nature of the sorption process was
confirmed by the thermodynamic study. The total costs of 1 kg adsorbent
preparation were calculated as 1.122 USD and 1.0615 USD which helped us in
determining the economic feasibility of the adsorbents in large-scale
applications. The growth of Chlorella sorokiniana BTA 9031 was also observed to
be affected by the fluoride solution. Comparing the removal efficiencies of both
the adsorbents, it can be concluded that BAC shell proved to be an efficient
adsorbent over PHAC for fluoride elimination from aqueous solution. Graphical
abstract Defluoridation of aqueous solution using biochar derived from Aegle
marmelos shell and Parthenium hysterophorus.
PMID- 29656359
TI - Myocardial Infarct Size Reduction Provided by Local and Remote Ischaemic
Preconditioning: References Values from the Hatter Cardiovascular Institute.
AB - PURPOSE: To accurately estimate the effect size of both local or classic
ischaemic preconditioning (IPC) and remote ischaemic preconditioning (RIPC) using
a pooling data set of 91 animals. METHODS: We combined all the available mouse
data collected from our Institute over the last 3 years regarding (i) local IPC
(4 cycles of 5 min of global ischaemia/reperfusion injury, IRI, followed by 35
min ischaemia and 2-h reperfusion) in the Langendorff-isolated perfused mouse
heart model and (ii) RIPC (3 cycles of 5 min of limb occlusion followed by 40-min
ischaemia and 2-h reperfusion) in the in vivo mouse model. RESULTS: Five
independent experiments containing 27 control and 29 IPC mice were used to
estimate the overall (i) local IPC effect, which reduced infarct size in the ex
vivo setting by a mean difference of 24.1% (95% CI 19.5, 28.6%) when compared to
untreated controls (P < 0.001) and for (ii) RIPC, three independent experiments
including data for 16 control and 19 RIPC mice were used to estimate that RIPC
diminished infarct size in the in-vivo setting by a mean difference of 20.8% (95%
CI 14.7, 26.9%) when compared to controls (P < 0.001). CONCLUSIONS: Using a
significant animal dataset, we found that local IPC reduces myocardial infarct
size by 24.1% and RIPC by 20.8% in the ex vivo and in vivo mouse models of IRI,
respectively. These differences may be used as reference values to either
establish positive controls or to determine by how much myocardial infarct size
can be reduced by novel cardioprotective interventions following an IRI insult.
PMID- 29656360
TI - Dietary Vitamin E Status Dictates Oxidative Stress Outcomes by Modulating Effects
of Fish Oil Supplementation in Alzheimer Disease Model APPswe/PS1dE9 Mice.
AB - Quite a number of studies have examined the effects of fish oil supplementation
on cognitive performance in different transgenic animal models of Alzheimer's
disease (AD). However, inconsistent and controversial outcomes have been derived
from these experiments. In order to investigate whether the beneficial effect of
fish oil supplementation on cognition was dietary VE status associated, fish oil
dietary intervention was carried out in transgenic APPswe/PS1dE9 (APP/PS1) mice.
Control mice (C57BL/6J mice) were fed a normal control diet. APP/PS1 mice were
assigned to a normal control diet group and low VE diet + fish oil supplement,
normal VE diet + fish oil supplement, and high VE diet + fish oil supplement
groups, respectively. After 7 months of dietary intervention, we found that fish
oil supplementation improved behavioral performance, alleviated brain beta
amyloid (Abeta) plaque burden, and attenuated the oxidative stress in APP/PS1
mice by increasing cortical GSH content and total antioxidant capacity, as well
as by decreasing MDA level. Fish oil treatment increased cortical n-3 PUFA
concentration and decreased n-6/n-3 PUFA ratio in APP/PS1 mice. Fatty acid
transporters, Nrf2 and downstream targets involved in cortical and hippocampal
antioxidant system were also modulated by fish oil-supplemented diet. Our data
demonstrate that fish oil supplementation exerts an enhanced modulatory effect on
the antioxidant system and fatty acid concentrations in APP/PS1 mice fed on lowly
or averagely concentrated level of VE-containing diet than in mice fed with VE
rich diet. The current data do support previous findings that already dictate the
beneficial effect of n-3 PUFAs on cognitive function. Moreover, the cognition
promoting effects of n-3 PUFAs may be dietary VE status related.
PMID- 29656361
TI - Characterization of the Mitochondrial Aerobic Metabolism in the Pre- and
Perisynaptic Districts of the SOD1G93A Mouse Model of Amyotrophic Lateral
Sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is an adult-onset fatal neurodegenerative
disease characterized by muscle wasting, weakness, and spasticity due to a
progressive degeneration of cortical, brainstem, and spinal motor neurons. The
etiopathological causes are still largely obscure, although astrocytes definitely
play a role in neuronal damage. Several mechanisms have been proposed to concur
to neurodegeneration in ALS, including mitochondrial dysfunction. We have
previously shown profound modifications of glutamate release and presynaptic
plasticity in the spinal cord of the SOD1G93A mouse model of ALS. In this work,
we characterized, for the first time, the aerobic metabolism in two specific
compartments actively involved in neurotransmission (i.e. the presynaptic
district, using purified synaptosomes, and the perisynaptic astrocyte processes,
using purified gliosomes) in SOD1G93A mice at different stages of the disease.
ATP/AMP ratio was lower in synaptosomes isolated from the spinal cord, but not
from other brain areas, of SOD1G93A vs. control mice. The energy impairment was
linked to altered oxidative phosphorylation (OxPhos) and increment of lipid
peroxidation. These metabolic dysfunctions were present during disease
progression, starting at the very pre-symptomatic stages, and did not depend on a
different number of mitochondria or a different expression of OxPhos proteins.
Conversely, gliosomes showed a reduction of the ATP/AMP ratio only at the late
stages of the disease and an increment of oxidative stress also in the absence of
a significant decrement in OxPhos activity. Data suggest that the presynaptic
neuronal moiety plays a pivotal role for synaptic energy metabolism dysfunctions
in ALS. Changes in the perisynaptic compartment seem subordinated to neuronal
damage.
PMID- 29656362
TI - Evaluation of Animal Models by Comparison with Human Late-Onset Alzheimer's
Disease.
AB - Despite many efforts to alleviate the pathological conditions of Alzheimer's
disease (AD), effective therapeutic drugs have not been developed, mainly because
of the lack of molecular information about AD and animal models. We observed the
reciprocal regulation of AD-associated genes (AD genes) and their related
functions. Upregulated AD genes were positioned in central regions in the protein
protein interaction network and were involved in inflammation and DNA repair
pathways. Downregulated AD genes positioned in the periphery of the network were
associated with metabolic pathways. Using these features of AD genes, we found
that 5*FAD, amyloid beta-injected mice, and rats in the initial phases after
bilateral common carotid artery occlusion (BCCAO) exhibited patterns that were
most similar to those of AD. In contrast, using differentially expressed genes
from animal models, we observed that 3*Tg and animals in late phases of BCCAO
were positioned close to AD genes.
PMID- 29656363
TI - 2-Pentadecyl-2-Oxazoline Reduces Neuroinflammatory Environment in the MPTP Model
of Parkinson Disease.
AB - Current pharmacological management of Parkinson disease (PD) does not provide for
disease modification, but addresses only symptomatic features. Here, we explore a
new approach to neuroprotection based on the use of 2-pentadecyl-2-oxazoline (PEA
OXA), the oxazoline derivative of the fatty acid amide signaling molecule
palmitoylethanolamide (PEA), in an experimental model of PD. Daily oral treatment
with PEA-OXA (10 mg/kg) significantly reduced behavioral impairments and neuronal
cell degeneration of the dopaminergic tract induced by four intraperitoneal
injections of the dopaminergic neurotoxin 1-methyl-4-phenyl-1,2,3,6
tetrahydropyridine (MPTP) on 8-week-old male C57 mice. Moreover, PEA-OXA
treatment prevented dopamine depletion, increased tyrosine hydroxylase and
dopamine transporter activities, and decreased alpha-synuclein aggregation in
neurons. PEA-OXA treatment also diminished nuclear factor-kappaB traslocation,
cyclooxygenase-2, and inducible nitric oxide synthase expression and through
upregulation of the nuclear factor E2-related factor 2 pathway, induced
activation of Mn-superoxide dismutase and heme oxygenase-1. Further, PEA-OXA
modulated microglia and astrocyte activation and preserved microtubule-associated
protein-2 alterations. In conclusion, pharmacological activation of nuclear
factor E2-related factor 2 pathways with PEA-OXA may be effective in the future
therapy of PD.
PMID- 29656364
TI - Characteristic appearances of fundus autofluorescence in treatment-naive and
active polypoidal choroidal vasculopathy: a retrospective study of 170 patients.
AB - PURPOSE: To investigate the characteristic appearances of fundus autofluorescence
(FAF) in patients with treatment-naive and active polypoidal choroidal
vasculopathy (PCV). METHOD: Cases with the diagnosis of treatment-naive and
active PCV from November 2012 to May 2017 at Peking Union Medical College
Hospital were retrospectively reviewed. All patients underwent comprehensive
ophthalmologic examination. Autofluorescence (AF) findings were described at the
retinal sites of the corresponding lesions identified and diagnosed using
indocyanine green angiography and spectral-domain optical coherence tomography.
RESULTS: One hundred seventy patients with 192 affected eyes were included. The
logMAR BCVA of the patients were 0.53 +/- 0.28. The six AF patterns of 243
polypoidal lesions were confluent hypo-AF with hyper-AF ring (49.8%), confluent
hypo-AF (22.6%), hyper-AF with hypo-AF ring (3.7%), granular hypo-AF (7.0%),
blocked hypo-AF due to hemorrhage (8.6%), and polyps without apparent AF changes
(8.2%). For 146 branching vascular networks (BVNs), 97.3% were granular hypo-AF,
and others were blocked hypo-AF due to hemorrhage. CONCLUSION: In eyes with
treatment-naive and active PCV, the polypoidal lesions and BVNs induce
characteristic FAF changes. FAF images provide reliable adjunct reference for the
diagnosis of PCV.
PMID- 29656365
TI - Characterization of AAV vector particle stability at the single-capsid level.
AB - Virus families have evolved different strategies for genome uncoating, which are
also followed by recombinant vectors. Vectors derived from adeno-associated
viruses (AAV) are considered as leading delivery tools for in vivo gene transfer,
and in particular gene therapy. Using a combination of atomic force microscopy
(AFM), biochemical experiments, and physical modeling, we investigated here the
physical properties and stability of AAV vector particles. We first compared the
morphological properties of AAV vectors derived from two different serotypes
(AAV8 and AAV9). Furthermore, we triggered ssDNA uncoating by incubating vector
particles to increasing controlled temperatures. Our analyses, performed at the
single-particle level, indicate that genome release can occur in vitro via two
alternative pathways: either the capsid remains intact and ejects linearly the
ssDNA molecule, or the capsid is ruptured, leaving ssDNA in a compact entangled
conformation. The analysis of the length distributions of ejected genomes further
revealed a two-step ejection behavior. We propose a kinetic model aimed at
quantitatively describing the evolution of capsids and genomes along the
different pathways, as a function of time and temperature. This model allows
quantifying the relative stability of AAV8 and AAV9 particles.
PMID- 29656366
TI - Sodium selenite ameliorates both intestinal and extra-intestinal changes in
acetic acid-induced colitis in rats.
AB - Selenium and its derivatives including sodium selenite (sod sel) belong to the
group of essential trace elements needed for proper health and nutrition. They
are fairly safe and possess antioxidant and anti-inflammatory properties. The aim
of present investigation was to elucidate the effect of sod sel on experimental
colitis model in rats. Colitis was induced by intrarectal instillation of 4%
(v/v) acetic acid. Two hours later, sod sel was given to rats on a daily basis
for 15 consecutive days. Clinical symptoms, colon mass index, spleen weight
inflammatory markers, hematological, biochemical, macroscopic, and histological
changes were determined. Sod sel markedly ameliorated colitis as evidenced by a
significant decrease in macroscopic and microscopic score, disease activity
index, colon mass index, and spleen weight. Treatment with sod sel attenuated
oxidative stress in the colon by normalizing the colonic content of nitric oxide,
malondialdehyde, and reduced glutathione, as well as the activities of catalase,
superoxide dismutase, and junctional adhesion molecule (JAM-a). In addition, it
significantly reduced colonic myeloperoxidase content, the intercellular adhesion
molecule (ICAM-1), and the proinflammatory cytokines; TNF-alpha, IL-1beta.
Moreover, sod sel normalized hematological parameters, serum transaminases, and
kidney and liver function enzymes. The current study indicates that sod sel was
effective in ameliorating the intestinal and extra-intestinal manifestation in
acetic acid-induced colitis through its antioxidant, anti-inflammatory, and
immunomodulatory effects.
PMID- 29656367
TI - The impact of coping patterns and chronic health conditions on health-related
quality of life among children and adolescents.
AB - : This study examined the relationship among chronic disease, coping strategy
patterns, and health-related quality of life (HRQOL) among children and
adolescents. The cohort included 273 Israeli children and adolescents aged 8-18
years diagnosed with asthma, diabetes mellitus, or celiac disease. All completed
the Coping with a Disease Questionnaire (CODI) and the DISABKIDS Chronic Generic
Measure (DCGM-37). The outcome measures were as follows: association of the use
of effective and non-effective coping strategies with type of disease; predictive
value of coping patterns for health-related quality of life; a European sample
was used for comparison. On k means cluster analysis, three strategy patterns
(two "effective" and one "non-effective") were associated with health-related
quality of life and disease specifics. Disease predicted coping patterns, but it
had a weak direct relationship to health-related quality of life. Coping patterns
were the strongest predictor of health-related quality of life. These results are
similar to the European DISABKIDS study, indicating cross-cultural parallels.
CONCLUSIONS: The findings highlight the power of the concept of coping patterns
as opposed to coping strategies to explain HRQOL of children and adolescents with
chronic disease. Both types of disease (categorical approach) and coping patterns
(non-categorical approach) are relevant to predicting HRQOL. What is Known: * The
literature on coping has widely documented the existence of individual (unique)
coping strategies. * Coping strategies are considered "useful" or "non-useful,"
based on whether they increase or decrease negative outcomes caused by certain
stressors, such as chronic illness. What is New: * Our findings suggest that
youngsters can use "non-useful" strategies to reduce stress caused by chronic
illness, while still maintaining higher quality of life, as long as they also
apply certain "useful" strategies. * The use of certain combinations of coping
strategies, rather than single strategies, is more important to our understanding
of how coping affects HRQOL of children with chronic disease.
PMID- 29656368
TI - Fluorometric aptamer based assay for ochratoxin A based on the use of exonuclease
III.
AB - This study describes an aptamer based assay for the mycotoxin ochratoxin A (OTA).
The method is based on the use of an OTA-specific aptamer, exonuclease (Exo) III,
SYBR Gold as a fluorescent probe, and a complementary strand that specifically
combines with the aptamer. In the presence of OTA, the aptamer and OTA hybridize,
thereby resulting in the formation of ssDNA, which is not digested by Exo III.
Intense fluorescence is observed after addition of SYBR Gold (best measured at
excitation/emission wavelengths of 495/540 nm). Fluorescence increases linearly
with the log of the OTA concentration in the range from 8 to 1000 ng.mL-1. The
detection limit is 4.7 ng.mL-1. The assay was applied to the determination of OTA
in diluted [2%(v/v)] red wine, and recoveries and RSDs ranged between 93.5% and
113.8%, and between 3.2% and 5.7%, respectively. Graphical abstract In the
presence of ochratoxin A (OTA), specific combinations of aptamer and OTA may
occur and result in DNA double strands being untied, which avoids being digested
by Exo III. Intense fluorescence is observed after SYBR Gold addition.
PMID- 29656369
TI - Seeking for the Definition of "Culture": Current Concerns and their Implications.
A Comment on Gustav Jahoda's Article "Critical Reflections on some Recent
Definitions of "Culture'"'.
AB - This article takes as a starting point the critical analysis of attempts to
define "culture", offered by Jahoda in 2012. Basing on the observed proliferation
of various, often contradicting, definitions of "culture" (for instance, trying
to refer to its both internal and external aspects), Jahoda arrives at the
conclusion that attempts to define the concept of "culture" are vain and useless
and it is quite practicable simply to use the term without seeking to define it.
We find it hard to agree with this statement. Elaborating on Jahoda reflections
and drawing on the recent debates in social sciences, cultural studies and
philosophy, we argue that seeking for the definition of culture is necessary in
the context of contemporary development of social and humanitarian knowledge.
Moreover, we claim that the debates about culture indicate the need for a large
scale methodological reorganization of the social and humanitarian sciences, in
response to the novel ontological congruence between internal and external, the
fundamental "ontological shift", "reversing the poles" of the human-related
reality. The human individual becomes its core element and pivot. Other
"objects", "external" in relation to the individual (for instance, social
structures and institutions), undergo such massive and rapid changes that grow
progressively fuzzy and sometimes even less "real", comparing to the individual.
The "inner" nature of the individual also transforms: from being "subjected" to
think, act and feel according to certain external conditions, an individual
becomes an Actor, who is empowered to change the environment following his
purposive plans, desires and visions.
PMID- 29656371
TI - Heavy metal bioaccumulation in Oreochromis niloticus from Tenango Dam, Puebla,
Mexico.
AB - Oreochromis niloticus was used to determine the effects of heavy metals and their
concentration in aquatic environments. Its wide distribution, resistance, and
economical importance make it a suitable biomonitor. The present study was
conducted in the Tenango Dam (Puebla, Mexico) to determine water quality and its
impact on O. niloticus, a species that is cultured and commercialized in this
area. Five samples were collected over 1 year to evaluate the water's
physicochemical parameters (temperature, dissolved oxygen, pH, and hardness) and
metal contents (cadmium, chromium, copper, and lead). Metal concentrations,
bioconcentration factors, and metallothionein levels were also assessed in O.
niloticus livers and muscle tissues. Water and tilapia quality were estimated
according to current Mexican guidelines. Results indicated that the water's
physicochemical parameters were within acceptable ranges. Metal concentrations,
however, suggested that this resource was not suitable for urban use. Moreover,
metal levels in fish tissues exceeded the acceptable limits during two periods,
rendering it unsuitable for human consumption. The bioconcentration factor
indicated that the metals can potentially accumulate in organisms. Furthermore,
metallothionein levels in liver and muscle showed a direct correlation with metal
concentrations in these tissues. This is the first study to use tilapia as an
indicator of contamination in the Tenango Dam, and also the first to describe the
presence of metals in this water body.
PMID- 29656370
TI - Role of Exosomes in Human Retroviral Mediated Disorders.
AB - Retroviruses comprise an ancient and varied group of viruses with the unique
ability to integrate DNA from an RNA transcript into the genome, a subset of
which are able to integrate in humans. The timing of these integrations during
human history has dictated whether these viruses have remained exogenous and
given rise to various human diseases or have become inseparable from the host
genome (endogenous retroviruses). Given the ability of retroviruses to integrate
into the host and subsequently co-opt host cellular process for viral
propagation, retroviruses have been shown to be closely associated with several
cellular processes including exosome formation. Exosomes are 30-150 nm
unilamellar extracellular vesicles that originate from intraluminal vesicles
(ILVs) that form in the endosomal compartment. Exosomes have been shown to be
important in intercellular communication and immune cell function. Almost every
cell type studied has been shown to produce these types of vesicles, with the
cell type dictating the contents, which include proteins, mRNA, and miRNAs.
Importantly, recent evidence has shown that infection by viruses, including
retroviruses, alter the contents and subsequent function of produced exosomes. In
this review, we will discuss the important retroviruses associated with human
health and disease. Furthermore, we will delve into the impact of exosome
formation and manipulation by integrated retroviruses on human health, survival,
and human retroviral disease pathogenesis.
PMID- 29656372
TI - Serum calprotectin may reflect inflammatory activity in patients with active
rheumatoid arthritis despite normal to low C-reactive protein.
AB - Approximately half of patients with rheumatoid arthritis (RA) have normal C
reactive protein (CRP) levels. Calprotectin is a promising and likely more
specific biomarker of disease activity than conventionally used acute phase
reactants. We aimed to analyse the levels of serum calprotectin in RA patients
with clinically active disease and with normal/low CRP. A total of 160 RA
patients underwent clinical examination (DAS28-ESR and CDAI). The levels of
calprotectin were analysed in patients with moderate to high disease activity
with normal/low CRP levels and in 32 healthy subjects. The discriminatory
capacity of calprotectin to identify clinically active patients in spite of
normal/low CRP was assessed using ROC curves. Out of all RA patients, 74/160
(46.3%) were in remission or had low disease activity according to DAS28 and had
normal/low CRP levels. However, 51/160 (32%) had normal/low CRP levels despite
having moderate to high disease activity. In these patients, calprotectin levels
were significantly higher than those in patients who had normal/low CRP and were
in remission or showed low disease activity (2.7 +/- 1.5 vs. 2.1 +/- 1.2 MUg/mL,
p = 0.043), which differed from those in healthy subjects (2.7 +/- 1.5 vs. 1.9 +/
1.2 MUg/mL, p = 0.011). The discriminatory capacity for calprotectin to
distinguish clinically active vs. inactive disease despite normal/low CRP using
AUC of the DAS28 was 0.607 (95% CI 0.503 to 0.711, p = 0.043). The present study
demonstrates that calprotectin may reflect inflammatory activity in RA patients
where CRP fails to do so.
PMID- 29656373
TI - Evaluation of disease activity in patients with rheumatoid arthritis treated with
tofacitinib by RAPID3: post hoc analyses from two phase 3 trials.
AB - Tofacitinib is an oral Janus kinase inhibitor for the treatment of rheumatoid
arthritis. We evaluated the relationship between disease activity, according to
Routine Assessment of Patient Index Data 3 (RAPID3) after 6-month treatment with
tofacitinib, and long-term outcomes at 24 months. This was a post hoc analysis of
two 24-month, phase 3, randomized controlled trials in methotrexate (MTX)-naive
(ORAL Start [NCT01039688]) or MTX-inadequate responder patients (ORAL Scan
[NCT00847613]) receiving tofacitinib 5 or 10 mg twice daily (BID) as monotherapy
or with background MTX. RAPID3 scores were calculated at baseline, month (M)6,
and M24, and defined as remission (<= 3), low (LDA; > 3-<= 6), moderate (MDA; > 6
<= 12), or high disease activity (HDA; > 12). Clinical Disease Activity Index
(CDAI), Health Assessment Questionnaire-Disability Index (HAQ-DI) scores, and
radiographic non-progression (modified Total Sharp Scores <= 0) at M24 were
evaluated by M6 RAPID3 response. Among patients receiving tofacitinib 5 or 10 mg
BID, respectively, 42.2 and 51.5% (ORAL Start) and 29.8 and 39.0% (ORAL Scan)
achieved RAPID3 remission/LDA at M6. Most patients maintained/improved RAPID3
responses at M24. A higher proportion of patients in RAPID3 remission/LDA versus
MDA/HDA at M6 achieved CDAI remission, reported normative HAQ-DI scores (< 0.5),
and achieved both normative HAQ-DI scores and radiographic non-progression at
M24. Patients achieving RAPID3 remission/LDA after 6-month treatment with
tofacitinib 5 or 10 mg BID have improved long-term outcomes versus patients with
MDA/HDA. These findings support the use of RAPID3 to monitor longer-term disease
activity in conjunction with physician-assessed measures.
PMID- 29656374
TI - Expression of circulating Semaphorin3A and its association with inflammation and
bone destruction in rheumatoid arthritis.
AB - To determine the expression of Semaphorin3A (Sema3A) in rheumatoid arthritis (RA)
patients, and analyze the correlation between serum Sema3A and the pathogenesis
of RA. The concentration of serum Sema3A and its mRNA expression level were
detected in RA patients. The association of serum Sema3A level with clinical and
laboratory features of RA were analyzed. Serum Sema3A of 130 RA patients (15.89
+/- 8.58 ng/ml) was significantly higher than that of 150 HC (6.96 +/- 2.62
ng/ml) and 215 patients with other rheumatic diseases (P < 0.05). Consistent with
the serum level, the Sema3A mRNA level was also higher in RA patients' PBMC than
that in HC (1.8-fold increase, P < 0.01). The serum level of Sema3A was
correlated with platelet counts (r = 0.229), ESR (r = 0.172), RF (r = 0.230), IgM
(r = 0.254) and Sharp score (r = 0.254), and bone mineral density (BMD) of lumbar
spine (r = 0.263). Serum Sema3A was also fundamentally higher in AKA-, APF-, anti
CCP-positive groups compared with negative groups (P < 0.05). The ROC curve
showed that the optimum diagnostic cutoff value for Sema3A was 10.881 ng/ml. RF
level and antibodies (anti-CCP, APF, AKA, and GPI) positive rates were
significantly higher in Sema3A positive group. Sharp score was also higher,
although without significance. The expression of Sema3A is significantly elevated
in RA patients. The level of serum Sema3A is positively correlated with
inflammatory factors (including ESR, IgM, and RF) and is associated with auto
antibody production and bone destruction.
PMID- 29656375
TI - Does erythrocyte sedimentation rate reflect and discriminate flare from infection
in systemic lupus erythematosus? Correlation with clinical and laboratory
parameters of disease activity.
AB - To examine disease activity parameters in patients with systemic lupus
erythematosus (SLE) experiencing flare, infection, both, or neither condition,
focusing on erythrocyte sedimentation rate (ESR). This study is a retrospective
analysis of 371 consecutive inpatient SLE cases from 2006 to 2015. Cases were
classified as flare (n = 147), infection (n = 48), both (n = 23), or neither (n =
135). ESR levels were correlated to C-reactive protein (CRP), ferritin, anti
dsDNA antibodies, complement C3 reduction, serositis, and erythrocyturia with
proteinuria (Pearson's correlation). ESR levels were related to an age- and
gender-adapted cut-off value (ESRp). We analyzed mean values of age, ESR, ESRp,
CRP, ferritin and distribution of anti-dsDNA antibodies, C3 reduction, serositis,
and erythrocyturia with proteinuria. Sensitivity and specificity were calculated
via receiver operating characteristic or two-by-two table. Association of
parameters with disease activity and infection was tested via two-sided chi
square test. ESR correlated moderately with CRP in cases with flare and/or
infection (r = 0.505-0.586). While ESR and CRP were normal in remission, mean
values overlapped in cases with flare, infection, or both. ESRp was higher in
flare than in infection (p = 0.048). ESR lost association to activity in infected
cases, CRP to infection in flaring cases. ESRp, serositis, and anti-dsDNA
antibodies were related to disease activity regardless of infections. Anti-dsDNA
antibodies were most sensitive for detecting flares (74%), while serositis,
proteinuria with erythrocyturia, anti-dsDNA antibodies, C3 reduction, and ESRp
values >= 2 were most specific. ESR levels were raised by flares, infections, and
age; adapting them to age and gender increased their diagnostic value. Obtaining
several parameters remains necessary to differentiate flare from infection.
PMID- 29656377
TI - Are CT-Based Finite Element Model Predictions of Femoral Bone Strengthening
Clinically Useful?
AB - PURPOSE OF REVIEW: This study reviews the available literature to compare the
accuracy of areal bone mineral density derived from dual X-ray absorptiometry
(DXA-aBMD) and of subject-specific finite element models derived from
quantitative computed tomography (QCT-SSFE) in predicting bone strength measured
experimentally on cadaver bones, as well as their clinical accuracy both in terms
of discrimination and prediction. Based on this information, some basic cost
effectiveness calculations are performed to explore the use of QCT-SSFE instead
of DXA-aBMD in (a) clinical studies with femoral strength as endpoint, (b)
predictor of the risk of hip fracture in low bone mass patients. RECENT FINDINGS:
Recent improvements involving the use of smooth-boundary meshes, better
anatomical referencing for proximal-only scans, multiple side-fall directions,
and refined boundary conditions increase the predictive accuracy of QCT-SSFE. If
these improvements are adopted, QCT-SSFE is always preferable over DXA-aBMD in
clinical studies with femoral strength as the endpoint, while it is not yet cost
effective as a hip fracture risk predictor, although pathways that combine both
QCT-SSFE and DXA-aBMD are promising.
PMID- 29656378
TI - Exopolysaccharides from Lactobacillus plantarum induce biochemical and
physiological alterations in tomato plant against bacterial spot.
AB - This study aimed to evaluate the effectiveness of exopolysaccharides (EPS)
produced by Lactobacillus plantarum in controlling bacterial spot and eliciting
defense mechanisms, and verify alterations in the tomato plant physiological
responses. Tomato plants with five definitive leaves were sprayed with EPS,
acibenzolar-S-methyl (ASM, positive control), or distilled water (negative
control) and inoculated with Xanthomonas gardneri after 3 days. Local and
systemic protection was evaluated 7, 15, and 21 days after inoculation.
Biochemical defense mechanisms (peroxidases [POX], polyphenoloxidases [PPO],
catalase [CAT], superoxide dismutase [SOD], accumulation of hydrogen peroxide
[H2O2], and physiological changes) were quantified. In addition, histochemical
analyses were examined through light and fluorescence microscopy in treated
tomato plants, inoculated or not with X. gardneri. On average, EPS and ASM
provided 55 and 96% reduction, respectively, of the bacteriosis severity in
treated leaves, compared to the control plants. Increased activities of PPO, CAT,
and SOD were found in the EPS-treated plants after being challenged with the
pathogen. ASM caused increases in all enzymes analyzed, especially in inoculated
plants. The rate of photosynthesis was three times higher, while stomatal
conductance and transpiration were 36% lower in the plants sprayed with EPS and
challenged with the pathogen, compared to the inoculated control plants. The
photochemical efficiency and Soil Plant Analysis Development (SPAD) index did not
change. Under light and fluorescence microscopy, it could be seen accumulation of
cellulosic compounds in the epidermis of the leaves treated with EPS. Therefore,
application of EPS can be considered an alternative for the control of bacterial
spot in tomato plants. The study discusses how biochemical and physiological
alterations caused by the polysaccharide in the plants contributed to reduce the
severity of the disease.
PMID- 29656376
TI - Human Genetics of Sclerosing Bone Disorders.
AB - PURPOSE OF REVIEW: The group of sclerosing bone disorders encompasses a variety
of disorders all marked by increased bone mass. In this review, we give an
overview of the genetic causes of this heterogeneous group of disorders and
briefly touch upon the value of these findings for the development of novel
therapeutic agents. RECENT FINDINGS: Advances in the next-generation sequencing
technologies are accelerating the molecular dissection of the pathogenic
mechanisms underlying skeletal dysplasias. Throughout the years, the genetic
cause of these disorders has been extensively studied which resulted in the
identification of a variety of disease-causing genes and pathways that are
involved in bone formation by osteoblasts, bone resorption by osteoclasts, or
both processes. Due to this rapidly increasing knowledge, the insights into the
regulatory mechanisms of bone metabolism are continuously improving resulting in
the identification of novel therapeutic targets for disorders with reduced bone
mass and increased bone fragility.
PMID- 29656379
TI - Cross-cultural adaptation and psychometric properties of the SCOPA-Sleep-German
version.
AB - Aim of the study was the cross-cultural adaptation and validation of the German
version of the Scale for Outcomes in Parkinson's disease-Sleep Scale (SCOPA
Sleep) for assessment of night-time sleep problems (NS) and daytime sleepiness
(DS). Eighty-three patients with Parkinson's disease completed the SCOPA-Sleep
and a multitude of measures for assessment of validity (e.g., PSQI, ESS). Twenty
patients completed the SCOPA-Sleep twice within 2 months for assessment of retest
reliability. Sixty-four healthy controls were also included for validity
estimation. Internal consistency (Cronbach's alpha) was good with coefficients of
.801 and .854 for SCOPA-NS and SCOPA-DS, respectively. Test-retest reliability
and inter-rater agreement were excellent. Factor analysis revealed two factors,
one for each of the subscales NS and DS. Convergent validity was high with
correlations of .797 between SCOPA-NS and PSQI, and .679 between SCOPA-DS and
ESS. The German version of the SCOPA-Sleep showed good diagnostic accuracy.
Optimal cutoff scores were calculated, resulting in an AUC of .908 for NS and of
.959 for DS. The German version of the SCOPA-Sleep is a reliable and valid
instrument for assessing NS and DS in patients with Parkinson's disease. As
diagnostic accuracy is excellent, this scale can be recommended for routine
assessment of both NS and DS in PD combined with other standard measures.
PMID- 29656380
TI - Trends in use of lymphadenectomy in surgery with curative intent for intrahepatic
cholangiocarcinoma.
AB - BACKGROUND: The role of routine lymph node dissection (LND) in the surgical
treatment of intrahepatic cholangiocarcinoma (ICC) remains controversial. The
objective of this study was to investigate the trends of LND use in the surgical
treatment of ICC. METHODS: Patients undergoing curative intent resection for ICC
in 2000-2015 were identified from an international multi-institutional database.
Use of lymphadenectomy was evaluated over time and by geographical region (West
versus East); LND use and final nodal status were analysed relative to AJCC T
categories. RESULTS: Among the 1084 patients identified, half (535, 49.4 per
cent) underwent concomitant hepatic resection and LND. Between 2000 and 2015, the
proportion of patients undergoing LND for ICC nearly doubled: 44.4 per cent in
2000 versus 81.5 per cent in 2015 (P < 0.001). Use of LND increased over time
among both Eastern and Western centres. The odds of LND was associated with the
time period of surgery and the extent of the tumour/T status (referent T1a: OR
2.43 for T2, P = 0.001; OR 2.13 for T3, P = 0.016). Among the 535 patients who
had LND, lymph node metastasis (LNM) was noted in 209 (39.1 per cent).
Specifically, the incidence of LNM was 24 per cent in T1a disease, 22 per cent in
T1b, 42.9 per cent in T2, 48 per cent in T3 and 66 per cent in T4 (P < 0.001).
AJCC T3 and T4 categories, harvesting of six or more lymph nodes, and presence of
satellite lesions were independently associated with LNM. CONCLUSION: The rate of
LNM was high across all T categories, with one in five patients with T1 disease
having nodal metastasis. The trend in increased use of LND suggests a growing
adoption of AJCC recommendations in the treatment of ICC.
PMID- 29656381
TI - Cowpea: an overview on its nutritional facts and health benefits.
AB - Cowpea (Vigna unguiculata) is a legume consumed as a high-quality plant protein
source in many parts of the world. High protein and carbohydrate contents with a
relatively low fat content and a complementary amino acid pattern to that of
cereal grains make cowpea an important nutritional food in the human diet. Cowpea
has gained more attention recently from consumers and researchers worldwide as a
result of its exerted health beneficial properties, including anti-diabetic, anti
cancer, anti-hyperlipidemic, anti-inflammatory and anti-hypertensive properties.
Among the mechanisms that have been proposed in the prevention of chronic
diseases, the most proven are attributed to the presence of compounds such as
soluble and insoluble dietary fiber, phytochemicals, and proteins and peptides in
cowpea. However, studies on the anti-cancer and anti-inflammatory properties of
cowpea have produced conflicting results. Some studies support a protective
effect of cowpea on the progression of cancer and inflammation, whereas others
did not reveal any. Because there are only a few studies addressing health
related effects of cowpea consumption, further studies in this area are
suggested. In addition, despite the reported favorable effects of cowpea on
diabetes, hyperlipidemia and hypertension, a long-term epidemiological study
investigating the association between cowpea consumption and diabetes,
cardiovascular disease and cancer is also recommended. (c) 2018 Society of
Chemical Industry.
PMID- 29656382
TI - Opioid Prescriptions in Older Medicare Beneficiaries After the 2014 Federal
Rescheduling of Hydrocodone Products.
AB - OBJECTIVES: To examine how an October 2014 Drug Enforcement Administration policy
reclassified hydrocodone product from schedule III to II has affected older
adults, who are among the largest consumers of prescription opioids in the United
States. DESIGN: Retrospective cohort study. SETTING: United States. PARTICIPANTS:
A 20% sample of Medicare Part D beneficiaries aged 65 and older from 2013 through
2015 (> 2,500,000 beneficiaries each year) MEASUREMENTS: From January 2013 to
December 2015, we calculated the monthly prevalence of opioid prescriptions and
the prevalence of individuals who received prescriptions for a 90-day supply or
longer (prolonged), as well as hospitalizations related to opioid toxicity in
2013 and 2015. RESULTS: From 2013 to 2015, the proportion of Medicare Part D
enrollees who received a hydrocodone prescription in a year decreased from 21.9%
to 18.3%. Monthly rates for hydrocodone prescriptions declined significantly in
2014. The risk of receiving prolonged opioid prescriptions decreased by
approximately 7% in the multivariable analyses comparing 2015 to 2013 (prevalence
ratio=0.93, 95% confidence interval (CI)=0.93-0.94). Medicare enrollees with an
original entitlement because of disability or with Medicaid eligibility had
smaller decreases in prolonged prescriptions and, unexpectedly, small increases
in high-dose prescriptions. Opioid-related hospitalizations did not change
significantly, but opioid-related hospitalizations without a documented opioid
prescription increased (odds ratio=1.24, 95% CI=1.03-1.50). CONCLUSION: The 2014
change in hydrocodone from schedule III to schedule II was associated with modest
decreases in rates of opioid use in the elderly. The unexpected increase in
opioid-related hospitalizations without documented opioid prescriptions may
represent an increase in illegal use.
PMID- 29656383
TI - Improved Method for Estimating Reaction Rates During Push-Pull Tests.
AB - The breakthrough curve obtained from a single-well push-pull test can be adjusted
to account for dilution of the injection fluid in the aquifer fluid. The dilution
adjusted breakthrough curve can be analyzed to estimate the reaction rate of a
solute. The conventional dilution-adjusted method assumes that the ratios of the
concentrations of the nonreactive and reactive solutes in the injection fluid vs.
the aquifer fluid are equal. If this assumption is invalid, the conventional
method will generate inaccurate breakthrough curves and may lead to erroneous
conclusions regarding the reactivity of a solute. In this study, a new method
that generates a dilution-adjusted breakthrough curve was theoretically developed
to account for any possible combination of nonreactive and reactive solute
concentrations in the injection and aquifer fluids. The newly developed method
was applied to a field-based data set and was shown to generate more accurate
dilution-adjusted breakthrough curves. The improved dilution-adjusted method
presented here is simple, makes no assumptions regarding the concentrations of
the nonreactive and reactive solutes in the injection and aquifer fluids, and
easily allows for estimating reaction rates during push-pull tests.
PMID- 29656384
TI - Supporting Mentoring Relationships of Youth in Foster Care: Do Program Practices
Predict Match Length?
AB - Implementation of research- and safety-based program practices enhance the
longevity of mentoring relationships, in general; however, little is known about
how mentoring programs might support the relationships of mentees in foster care.
Benchmark program practices and Standards in the Elements of Effective Practice
for Mentoring, 3rd Edition (MENTOR, 2009) were assessed in the current study as
predictors of match longevity. Secondary data analyses were conducted on a
national agency information management database from 216 Big Brothers Big Sisters
agencies serving 641 youth in foster care and 70,067 youth not in care from
across the United States (Mean = 11.59 years old at the beginning of their
matches) in one-to-one, community-based (55.06%) and school- or site-based
(44.94%) matches. Mentees in foster care had shorter matches and matches that
were more likely to close prematurely than mentees who were not in foster care.
Agency leaders from 32 programs completed a web-based survey describing their
policies and practices. The sum total numbers of Benchmark program practices and
Standards were associated with match length for 208 mentees in foster care;
however, neither predicted premature match closure. Results are discussed in
terms of how mentoring programs and their staff can support the mentoring
relationships of high-risk youth in foster care.
PMID- 29656385
TI - Improving the lipid profile of ready-to-cook meat products by addition of omega-3
microcapsules: effect on oxidation and sensory analysis.
AB - BACKGROUND: The omega-3 enrichment of ready-to-cook meat products by
microencapsulated fish oil (MFO) addition was analyzed. Accordingly, three
batches of chicken nuggets were prepared: (i) control (C); (ii) enriched in bulk
fish oil (BFO); and (iii) with added MFO. Sensory features, acceptability,
oxidative stability and volatile compounds were analyzed. RESULTS: MFO nuggets
did not differ from C ones with respect to any sensory trait. BFO showed
increased juiciness and saltiness but decreased meat flavor. Acceptability was
not affected by enrichment. Consumers were not able to differentiate between C
and MFO in a triangle test, although they could clearly identify BFO nuggets.
Higher levels of lipid and protein oxidation indicators and of volatile compounds
from fatty acid oxidation were found in BFO nuggets compared to C and MFO
nuggets. CONCLUSION: Enrichment of ready-to-cook meat products in omega-3 fatty
acids with MFO provides both lipid and protein oxidative protection without
changes in sensory quality. (c) 2018 Society of Chemical Industry.
PMID- 29656386
TI - The Eating and Drinking Ability Classification System: concurrent validity and
reliability in children with cerebral palsy.
AB - AIM: As there is little evidence for concurrent validity of the Eating and
Drinking Ability Classification System (EDACS), this study aimed to determine its
concurrent validity and reliability in children and adolescents with cerebral
palsy (CP). METHOD: After an extensive translation procedure, we applied the
German language version to 52 participants with CP (30 males, 22 females, mean
age 9y 7mo [SD 4y 2mo]). We correlated (Kendall's tau or Ktau ) the EDACS levels
with the Bogenhausener Dysphagiescore (BODS), and the EDACS level of assistance
with the Manual Ability Classification System (MACS) and the item 'eating' of the
Functional Independence Measure for Children (WeeFIM). We further quantified the
interrater reliability between speech and language therapists (SaLTs) and between
SaLTs and parents with Kappa (kappa). RESULTS: The EDACS levels correlated highly
with the BODS (Ktau =0.79), and the EDACS level of assistance correlated highly
with the MACS (Ktau =0.73) and WeeFIM eating item (Ktau =-0.80). Interrater
reliability proved almost perfect between SaLTs (EDACS: kappa=0.94; EDACS level
of assistance: kappa=0.89) and SaLTs and parents (EDACS: kappa=0.82; EDACS level
of assistance: kappa=0.89). INTERPRETATION: The EDACS levels and level of
assistance seem valid and showed almost perfect interrater reliability when
classifying eating and drinking problems in children and adolescents with CP.
WHAT THIS PAPER ADDS: The Eating and Drinking Ability Classification System
(EDACS) correlates well with a dysphagia score. The EDACS level of assistance
proves valid. The German version of EDACS is highly reliable. EDACS correlates
moderately to highly with other classification systems.
PMID- 29656387
TI - A nationwide analysis of 30-day adverse events, unplanned readmission, and length
of hospital stay after peripheral nerve surgery in extremities and the brachial
plexus.
AB - BACKGROUND: Little is known on adverse events and their timing after peripheral
nerve surgery in extremities. The aim of this study is to identify predictors and
typical timing of complications, unplanned readmission, and length of hospital
stay for patients undergoing peripheral nerve surgery in the extremities.
METHODS: Data were extracted from the National Surgical Quality Improvement
Program (NSQIP) registry from 2005 to 2015. Adult patients undergoing peripheral
nerve surgery in the extremities were included. A subgroup analysis was performed
for brachial plexus operations. Multivariable logistic regression was performed
to identify predictors of any complication, surgical site infection, unplanned
readmission, and reoperation. RESULTS: A total of 2,840 patients were identified;
628 were brachial plexus operations. Overall complications were 4.4% and 7.0%,
respectively. Median time for occurrence of any complication was 8 days. The most
common complications were wound-related (1.7%), which occurred at a median of 15
days postoperatively. Reoperation occurred in 1.8% of all cases; most commonly
for musculoskeletal repair (16.7%). Unplanned readmissions occurred in 2.3% and
were most often due to wound-related problems (24.1%). Preoperatively
contaminated wounds, inpatient procedures, and longer operative time seemed to
have the most influence on all adverse events. In brachial plexus pathology,
insulin-dependent diabetes and emergency cases also negatively affected outcomes.
CONCLUSIONS: Complications usually occur one to two weeks postoperatively.
Preoperatively contaminated wounds, inpatient procedures, and longer operative
times influence outcome. Anatomical level of operation results in significantly
different lengths of hospital stay; brachial plexus pathology has the longest
length of stay.
PMID- 29656388
TI - Preliminary Results of Acoustic Radiation Force Impulse Imaging by Combined
Qualitative and Quantitative Analyses for Evaluation of Breast Lesions.
AB - OBJECTIVES: The purpose of this study was to evaluate the application of a new
elastographic technique, acoustic radiation force impulse (ARFI) imaging, and its
diagnostic performance for characterizing breast lesions. METHODS: One hundred
consecutive female patients with 126 breast lesions were enrolled in our study.
After routine breast ultrasound examinations, the patients underwent ARFI
elasticity imaging. Virtual Touch tissue imaging (VTI) and Virtual Touch tissue
quantification (Siemens Medical Solutions, Mountain View, CA) were used to
qualitatively and quantitatively analyze the elasticity and hardness of tumors. A
receiver operating characteristic curve analysis was performed to evaluate the
diagnostic performance of ARFI for discrimination between benign and malignant
breast lesions. RESULTS: Pathologic analysis revealed 40 lesions in the malignant
group and 86 lesions in the benign group. Different VTI patterns were observed in
benign and malignant breast lesions. Eighty lesions (93.0%) of benign group had
pattern 1, 2, or 3, whereas all pattern 4b lesions (n = 20 [50.0%]) were
malignant. Regarding the quantitative analysis, the mean VTI-to-B-mode area
ratio, internal shear wave velocity, and marginal shear wave velocity of benign
lesions were statistically significantly lower than those of malignant lesions
(all P < .001). The cutoff point for a scoring system constructed to evaluate the
diagnostic performance of ARFI was estimated to be between 3 and 4 points for
malignancy, with sensitivity of 77.5%, specificity of 96.5%, accuracy of 90.5%,
and an area under the curve of 0.933. CONCLUSIONS: The application of ARFI
technology has shown promising results by noninvasively providing substantial
complementary information and could potentially serve as an effective diagnostic
tool for differentiation between benign and malignant breast lesions.
PMID- 29656389
TI - The robustness of T2 value as a trabecular structural index at multiple spatial
resolutions of 7 Tesla MRI.
AB - PURPOSE: To evaluate the robustness of MR transverse relaxation times of
trabecular bone from spin-echo and gradient-echo acquisitions at multiple spatial
resolutions of 7 T. METHODS: The effects of MRI resolutions to T2 and T2* of
trabecular bone were numerically evaluated by Monte Carlo simulations. T2 , T2*,
and trabecular structural indices from multislice multi-echo and UTE acquisitions
were measured in defatted human distal femoral condyles on a 7 T scanner.
Reference structural indices were extracted from high-resolution microcomputed
tomography images. For bovine knee trabecular samples with intact bone marrow, T2
and T2* were measured by degrading spatial resolutions on a 7 T system. RESULTS:
In the defatted trabecular experiment, both T2 and T2* values showed strong ( |r|
> 0.80) correlations with trabecular spacing and number, at a high spatial
resolution of 125 um3 . The correlations for MR image-segmentation-derived
structural indices were significantly degraded ( |r| < 0.50) at spatial
resolutions of 250 and 500 um3 . The correlations for T2* rapidly dropped ( |r| <
0.50) at a spatial resolution of 500 um3 , whereas those for T2 remained
consistently high ( |r| > 0.85). In the bovine trabecular experiments with intact
marrow, low-resolution (approximately 1 mm3 , 2 minutes) T2 values did not
shorten ( |r| > 0.95 with respect to approximately 0.4 mm3 , 11 minutes) and
maintained consistent correlations ( |r| > 0.70) with respect to trabecular
spacing (turbo spin echo, 22.5 minutes). CONCLUSION: T2 measurements of
trabeculae at 7 T are robust with degrading spatial resolution and may be
preferable in assessing trabecular spacing index with reduced scan time, when
high-resolution 3D micro-MRI is difficult to obtain.
PMID- 29656390
TI - Documenting the Growth of Ultrasound Research in Emergency Medicine Through a
Bibliometric Analysis of Accepted Academic Conference Abstracts.
AB - OBJECTIVES: Ultrasound (US) has become an indispensable skill for emergency
physicians. Growth in the use of US in emergency medicine (EM) has been
characterized by practice guidelines, education requirements, and the number of
EM US practitioners. Our purpose was to further document the growth of EM US by
profiling the breadth, depth, and quality of US-related research presented at
EM's most prominent annual research conference: the Society for Academic
Emergency Medicine Annual Meeting. METHODS: We reviewed published research
abstracts from the annual Society for Academic Emergency Medicine conferences
from 1999 to 2015. Abstracts related to US were identified and examined for the
number of authors and rigor of the research design. Designs were categorized as
experimental, quasiexperimental, and nonexperimental. Abstract submissions were
analyzed by the average rate of change over time. RESULTS: From 1999 to 2015, we
observed a 10.2% increase in the number of accepted abstracts related to US
research. This rate compared to a 3.2% average rate of change for all abstracts
in general. The number of unique authors engaged in US research increased at a
rate of 26.6%. Of the 602 abstracts identified as US related, only 12% could be
considered experimental research. CONCLUSIONS: We observed larger increases in
the number of US-related research relative to the total number of abstracts
presented at a national conference. The number of investigators engaging in this
research has also steadily increased. The research design of these studies was
found to be primarily quasiexperimental. To improve the quality of EM's use of
point-of-care US, more rigorous research with experimental designs is needed.
PMID- 29656391
TI - Proteomic analysis of sperm proteins in infertile men with high levels of
reactive oxygen species.
AB - Oxidative stress is a significant risk factor for male infertility. A pro-oxidant
testicular environment may alter the expression profile of functional sperm
proteins and result in poor sperm quality. Patients and donors were divided into
ROS (-) and ROS (+) groups. Using computational studies, and data mining of
available literature on spermatozoa, oxidative stress and proteomics, we
identified three core regulatory proteins angiotensin-converting enzyme (ACE),
heat-shock protein (Hsp70) family A member 2 (HSPA2) and ribosomal protein
subunit 27A (RPS27A) and seven interlink proteins NOS2, SUMO2, UBL4A, FBXO25,
MAP3K3, APP and UBC. HSPA2 was validated by Western Blot, while the localisation
of ACE, RPS27A, MAP3K3 and APP was identified by immunocytochemistry. The
obtained results showed that HSPA2 was 1.2 (ROS+) and 2.1 (ROS-) fold
downregulated in spermatozoa from patients with high levels of reactive oxygen
species (ROS). ACE and APP were localised in the post-acrosomal region of
spermatozoa, whereas RPS27A and MAP3K3 were localised either in the tail or sperm
neck area. Our data show that these proteins may play a role in ROS-induced male
infertility.
PMID- 29656392
TI - Epigenetic modifications preserve the hyperaccumulator Noccaea caerulescens from
Ni geno-toxicity.
AB - The Ni hyperaccumulator Noccaea caerulescens has adapted to live in a naturally
stressed environment, evolving a complex pattern of traits to cope with adverse
conditions. Evidence is accumulating regarding the important role of epigenetic
modifications in regulating plant responses to stress. In this study, we present
data from the natural "open-field" adaptation of the Ni hyperaccumulator N.
caerulescens to serpentine soil and provide the first evidence of the involvement
of epigenetic changes in response to the high Ni content present in plant leaves.
The alkaline comet assay revealed the integrity of the nuclei of leaf cells of N.
caerulescens grown in a Ni-rich environment, while in the non-tolerant
Arabidopsis thaliana exposed to Ni, the nuclei were severely damaged. DNA of N.
caerulescens plants grown in situ were considerably hyper-methylated compared to
A. thaliana plants exposed to Ni. In addition, qRT-PCR revealed that N.
caerulescens MET1, DRM2, and HDA8 genes involved in epigenetic DNA and histone
modification were up-regulated in the presence of high Ni content in leaves. Such
epigenetic modifications may constitute a defense strategy that prevents genome
instability and direct damage to the DNA structure by Ni ion, enabling plants to
survive in an extreme environment. Further studies will be necessary to analyze
in detail the involvement of DNA methylation and other epigenetic mechanisms in
the complex process of metal hyperaccumulation and plants' adaptive response.
Environ. Mol. Mutagen. 59:464-475, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29656393
TI - Editorial: Cancer, drugs, and bugs-Bacteriotherapy on the rise?
AB - Discussion on how the gut microbiota orchestrates immune responses during cancer
therapies and how targeting the microbiota can prevent chemotherapy-induced
toxicity.
PMID- 29656398
TI - Impact of prolonged dialysis prior to renal transplantation.
AB - INTRODUCTION: The new kidney allocation system (KAS) prioritizes patients based
on date of dialysis initiation or waitlisting, whichever is earlier. We
hypothesized that this change would increase transplant rates for patients with
prolonged pretransplant dialysis times (DT) and aimed to assess the impact of
prolonged DT on post-transplant outcomes. METHODS: We used United Network for
Organ Sharing registry data to assess outcomes for patients added to the renal
transplant waitlist from January 1, 1998 to December 31, 2010 and patients
transplanted from January 1, 1998 to December 3, 2012. RESULTS: Compared with
patients transplanted pre-emptively, patients with <5 years, 5-9 years, and >=10
years DT had progressively decreased graft and patient survival (P < .001). The
rates of short-term complications including delayed graft function, graft loss
within 30 days, and patient death within 30 days were significantly higher in
cohorts with >=10 years DT than in cohorts with less DT (P < .001). CONCLUSIONS:
Patients with pretransplant DT of >=10 years had worse outcomes than patients pre
emptively transplanted or transplanted with shorter DT. Durations of dialysis
dependence beyond 10 years were associated with further deterioration in short
term but not long-term post-transplant outcomes.
PMID- 29656399
TI - Differences Between Skilled Nursing Facilities in Risk of Subsequent Long-Term
Care Placement.
AB - OBJECTIVES: To determine how the risk of subsequent long-term care (LTC)
placement varies between skilled nursing facilities (SNFs) and the SNF
characteristics associated with this risk. DESIGN: Population-based national
cohort study with participants nested in SNFs and hospitals in a cross-classified
multilevel model. SETTING: SNFs (N=6,680). PARTICIPANTS: Fee-for-service Medicare
beneficiaries (N=552,414) discharged from a hospital to a SNF in 2013.
MEASUREMENTS: Participant characteristics from Medicare data and the Minimum Data
Set. SNF characteristics from Medicare and Nursing Home Compare. Outcome was a
stay of 90 days or longer in a LTC nursing home within 6 months of SNF admission.
RESULTS: Within 6 months of SNF admission, 10.4% of participants resided in LTC.
After adjustments for participant characteristics, the SNF where a participant
received care explained 7.9% of the variance in risk of LTC, whereas the prior
hospital explained 1.0%. Individuals in SNFs with excellent quality ratings had
22% lower odds of transitioning to LTC than those in SNFs with poor ratings (odds
ratio=0.78, 95% confidence interval=0.74-0.84). Variation between SNFs and
associations with quality markers were greater in sensitivity analyses limited to
individuals least likely to require LTC. Results were essentially the same in a
number of other sensitivity analyses designed to reduce potential confounding.
CONCLUSION: Risk of subsequent LTC placement, an important and negatively viewed
outcome for older adults, varies substantially between SNFs. Individuals in
higher-quality SNFs are at lower risk.
PMID- 29656400
TI - PKD regulates actin polymerization, neutrophil deformability, and
transendothelial migration in response to fMLP and trauma.
AB - Neutrophils are important mediators of the innate immune defense and of the host
response to a physical trauma. Because aberrant infiltration of injured sites by
neutrophils was shown to cause adverse effects after trauma, we investigated how
neutrophil infiltration could be modulated at the cellular level. Our data
indicate that protein kinase D (PKD) is a vital regulator of neutrophil
transmigration. PKD phosphorylates the Cofilin-phosphatase Slingshot-2L (SSH-2L).
SSH-2L in turn dynamically regulates Cofilin activity and actin polymerization in
response to a chemotactic stimulus for neutrophils, for example, fMLP. Here, we
show that inhibition of PKD by two specific small molecule inhibitors results in
broad, unrestricted activation of Cofilin and strongly increases the F-actin
content of neutrophils even under basal conditions. This phenotype correlates
with a significantly impaired neutrophil deformability as determined by optical
stretcher analysis. Consequently, inhibition of PKD impaired chemotaxis as shown
by reduced extravasation of neutrophils. Consequently, we demonstrate that
transendothelial passage of both, neutrophil-like NB4 cells and primary PMNs
recovered from a hemorrhagic shock trauma model was significantly reduced. Thus,
inhibition of PKD may represent a promising modulator of the neutrophil response
to trauma.
PMID- 29656402
TI - Coordination Complexes of Titanium(IV) and Indium(III) Phthalocyanines with
Carbonyl-Containing Dyes: The Formation of Singly Bonded Anionic Squarylium
Dimers.
AB - Reduction methods for the preparation of coordination complexes of titanium(IV)
and indium(III) phthalocyanines (Pc) with organic dyes such as indigo,
thioindigo, and squarylium dye III (SQ) have been developed, which allow one to
obtain crystalline {cryptand(K+ )}{(cis-indigo-O,O)2- TiIV (Pc2- )}(Cl- )?C6 H4
Cl2 (1), {cryptand(K+ )}{(cis-thioindigo-O,O)2- InIII (Pc2- )}- ?C6 H4 Cl2 (2),
and {cryptand(K+ )}{[(SQ)2 -O,O]2- InIII (Pc2- )}- ?3.5 C6 H4 Cl2 (3) complexes.
The formation of these complexes is accompanied by the reduction of the starting
dyes to the anionic state. Transition of trans-indigo or trans-thioindigo to the
cis conformation in 1 and 2 provides coordination of both carbonyl oxygen atoms
of the dye to TiIV Pc or InIII Pc. SQ is reduced to the radical anion state and
forms unusual diamagnetic singly bonded (SQ- )2 dimers in 3. These dimers have
two closely positioned carbonyl oxygen atoms coordinated to InIII Pc. Dianionic
Pc2- macrocycles have been found in 1-3. The complexes contain two chromophore
molecules at one metal center. However, their optical spectra are defined mainly
by absorption bands of the metal phthalocyanines.
PMID- 29656401
TI - Daily Patterns of Marijuana and Alcohol Co-Use Among Individuals with Alcohol and
Cannabis Use Disorders.
AB - BACKGROUND: The study aims were to examine daily associations between marijuana
and alcohol use and the extent to which the association differs as a function of
cannabis use disorder (CUD) and/or alcohol use disorder (AUD) diagnosis. METHODS:
Timeline Followback interview data was collected in a study of veterans (N = 127)
recruited from a Veterans Affairs hospital who reported at least 1 day of co-use
of marijuana and alcohol in the past 180 days (22,860 observations). Participants
reported 40% marijuana use days, 28% drinking days, with 37% meeting DSM-5
criteria for CUD, 40% for AUD, and 15% for both. Use of marijuana on a given day
was used to predict a 3-level gender-adjusted drinking variable (heavy: >=5
(men)/4 (women) drinks; moderate: 1 to 4/3 drinks; or none: 0 drinks). A
categorical 4-level variable (no diagnosis, AUD, CUD, or both) was tested as a
moderator of the marijuana-alcohol relationship. RESULTS: Multilevel modeling
analyses demonstrated that participants were more likely to drink heavily
compared to moderately (OR = 2.34) and moderately compared to not drinking (OR =
1.61) on marijuana use days relative to nonuse days. On marijuana use days, those
with AUD and those with AUD + CUD were more likely to drink heavily (OR = 1.91;
OR = 2.51, respectively), but those with CUD were less likely to drink heavily
(OR = 0.32) compared to moderately, nonsignificant differences between any versus
moderate drinking in interaction models. CONCLUSIONS: Heavy drinking occurs on
days when marijuana is also used. This association is particularly evident in
individuals diagnosed with both AUD and CUD and AUDs alone but not in those with
only CUDs. Findings suggest that alcohol interventions may need to specifically
address marijuana use as a risk factor for heavy drinking and AUD.
PMID- 29656403
TI - Saturated Heterocyclic Aminosulfonyl Fluorides: New Scaffolds for Protecting
Group-Free Synthesis of Sulfonamides.
AB - Cyclic saturated aminosulfonyl fluorides were synthesized as their HCl salts. The
compounds were found to be stable upon storage and could be used for the
protecting-group-free synthesis of sulfonamides. In the presence of the -SO2 F
group, the nitrogen atom could be modified by means of acylation, arylation, or
reductive amination to give products that have high potential for the synthesis
of bioactive compounds.
PMID- 29656404
TI - Pyoderma gangrenosum in an infant: A case report and review of the literature.
AB - Pyoderma gangrenosum is a neutrophilic dermatosis that is rare in infancy, with
only 20 cases reported in the literature. We present a case of infantile pyoderma
gangrenosum refractory to topical steroids, tacrolimus, and dapsone as well as
systemic steroids and infliximab that is currently well controlled with the
addition of oral tacrolimus. To our knowledge, this is the first report of the
effective, safe use of oral tacrolimus in combination with infliximab for
infantile pyoderma gangrenosum. We review all current cases of infantile pyoderma
gangrenosum, as well as tacrolimus and its role in the treatment of this
condition.
PMID- 29656405
TI - The Cellie Coping Kit for Children with Injury: Initial feasibility,
acceptability, and outcomes.
AB - PURPOSE: Physical and psychological challenges can arise from paediatric injury,
which can impact child health outcomes. Evidence-based resources to promote
recovery are limited. The low cost, portable Cellie Coping Kit for Children with
Injury provides evidence-based strategies to help children manage injury-related
challenges. This study aimed to describe intervention feasibility and explore
initial outcomes (learning, quality of life [QOL], and trauma symptoms). METHODS:
Three independent pilot studies were conducted. Child-parent dyads (n = 61)
participated in the intervention; ~36% completed a 4-week follow-up assessment.
RESULTS: Results suggested that the intervention was feasible (e.g., 95% of
parents would recommend the intervention; >85% reported that it was easy to use).
Over 70% of participants reported learning new skills. No statistically
significant differences were detected for children's QOL or trauma symptoms
preintervention to postintervention. CONCLUSION: Preliminary research suggests
that the Cellie Coping Kit for Children with Injuries is a feasible, low-cost,
preventive intervention, which may provide families with strategies to promote
recovery from paediatric injury. Future research, including a randomized
controlled trial, ought to further examine targeted long-term intervention
outcomes.
PMID- 29656406
TI - A new method for microwave assisted ethanolic extraction of Mentha rotundifolia
bioactive terpenoids.
AB - A new microwave-assisted extraction (MAE) method using ethanol as solvent has
been optimized by means of a Box-Behnken experimental design for the enhanced
extraction of bioactive terpenoids from Mentha rotundifolia leaves; 100 degrees
C, 5 min, 1.125 g dry sample: 10 mL solvent and a single extraction cycle were
selected as optimal conditions. Improved performance of MAE method in terms of
extraction yield and/or reproducibility over conventional solid-liquid extraction
and ultrasound assisted extraction was also previously assessed. A comprehensive
characterization of MAE extracts was carried out by GC-MS. A total of 46
compounds, mostly terpenoids, were identified; piperitenone oxide and
piperitenone were the major compounds determined. Several neophytadiene isomers
were also detected for the first time in MAE extracts. Different procedures
(solid-phase extraction and activated charcoal (AC) treatment) were also
evaluated for clean-up of MAE extracts, with AC providing the highest enrichment
in bioactive terpenoids. Finally, the MAE method here developed is shown as a
green, fast, efficient and reproducible liquid extraction methodology to obtain
M. rotundifolia bioactive extracts for further application, among others, as food
preservatives.
PMID- 29656407
TI - Recyclable Crosslinked High-Performance Polymers via Adjusting Intermolecular
Cation-pi Interactions and the Visual Detection of Tensile Strength and Glass
Transition Temperature.
AB - Crosslinked high-performance polymers have many industrial applications but are
difficult to recycle. Visual detection of the physical properties of the
crosslinked high-performance polymers is useful, but is difficult to achieve. The
crosslinked high-performance polymers (SPIN) are constructed by using cation-pi
interactions. The cation-pi interaction between the polymer side chains can be
easily installed and removed by aqueous treatments at high or low pH,
respectively, which endow the crosslinked polymer with a recyclable behavior.
Additionally, the tensile strength and glass transition temperature of the SPIN
films could be visually detected by taking advantage of the transparency
decreasing effect of cation to an adjacent indole unit.
PMID- 29656408
TI - In Silico Tracking of Individual Species Accelerating Progress in Macromolecular
Engineering and Design.
AB - The beneficial use of computer simulations to track the microstructural evolution
of individual species is highlighted in view of macromolecular engineering and
design, considering two case studies on catalytic polymerization, and both "low"
(<100) and "high" (>100) chain lengths, that is, i) atom transfer radical
copolymerization of n-butyl acrylate and styrene aiming at the synthesis of
functional macrospecies of "identical' chain length; and ii) chain shuttling
polymerization of ethylene and 1-octene toward the production of segmented block
copolymers with "soft" and "hard" segments. Model parameters are validated and/or
tuned based on literature data. The modeling strategy supports the future
identification of chemical structure-polymer property relationships and is based
on the combination of principles from polymer reaction engineering, chemistry,
and physics.
PMID- 29656409
TI - Investigating Impacts of Climate Change on Irrigation Water Demands and Its
Resulting Consequences on Groundwater Using CMIP5 Models.
AB - In this study, the impacts of climate change on crop water requirements and
irrigation water requirements on the regional cropping pattern were evaluated
using two climate change scenarios and combinations of 20 GCM models. Different
models including CROPWAT, MODFLOW, and statistical models were used to evaluate
the climate change impacts. The results showed that in the future period (2017 to
2046) the temperature in all months of the year will increase at all stations.
The average annual precipitation decline in Isfahan, Tiran, Flavarjan, and Lenj
stations for RCP 4.5 and RCP 8.5 scenarios are 18.6 and 27.6%, 15.2 and 18%, 22.5
and 31.5%, and 10.5 and 12.1%, respectively. The average increase in the
evapotranspiration for RCP 4.5 and RCP 8.5 scenarios are about 2.5 and 4.1%,
respectively. The irrigation water demands increases considerably and for some
crops, on average 18%. Among the existing crops in the cropping pattern, barley,
cumin, onion, wheat, and forage crops are more sensitive and their water demand
will increase significantly. Results indicate that climate change could have a
significant impact on water resources consumption. By considering irrigation
efficiency in the region, climate change impacts will result in about 35 to 50
million m3 /year, over-extraction from the aquifer. This additional exploitation
causes an extra drop of 0.4 to 0.8 m in groundwater table per year in the
aquifer. Therefore, with regard to the critical condition of the aquifer,
management and preventive measures to deal with climate change in the future is
absolutely necessary.
PMID- 29656410
TI - Combination of artemisinin-based natural compounds from Artemisia annua L. for
the treatment of malaria: Pharmacodynamic and pharmacokinetic studies.
AB - Currently, the most effective antimalarial is artemisinin, which is extracted
from the leaves of medicinal herb Artemisia annua L. (A. annua). Previous studies
showed that the complex chemical matrix of A. annua could enhance both the
bioavailability and efficacy of artemisinin. The present study aims to evaluate
the efficacy and pharmacokinetic properties of a combination therapy based on
artemisinin and 3 components from A. annua with high content (arteannuin B,
arteannuic acid, and scopoletin). In vivo antimalarial activity was assessed
following a 4-day treatment in murine malaria models (Plasmodium yoelii and
Plasmodium berghei). Results showed that a much sharper reduction in parasitemia
(~93%) was found in combination therapy compared with pure artemisinin (~31%),
indicating pharmacodynamic synergism occurring between artemisinin and arteannuin
B, arteannuic acid, and scopoletin. Multiple-dose pharmacokinetics further
demonstrated that combination therapy results in increased area under the curve
(AUC0->infinity ), Cmax , and t1/2 by 3.78-, 3.47-, and 1.13-fold in healthy
mice, respectively, and by 2.62-, 1.82-, and 1.22-fold in P. yoelii-infected
mice, respectively. The calculated oral clearance of combination therapy in
healthy and P. yoelii-infected mice was also reduced. These findings imply that
specific components in A. annua might offer a possibility to develop new
artemisinin-based natural combination therapy for malaria treatment.
PMID- 29656411
TI - Study on a 65-mer peptide mimetic enzyme with GPx and SOD dual function.
AB - Excessive reactive oxygen species (ROS) levels are harmful to the body. The
peroxidase, GPx, and the superoxide dismutase, SOD, are important antioxidant
enzymes for preventing ROS-induced damage. Se-CuZn-65P is an enzyme mimetic with
dual GPx and SOD antioxidant function. However, currently, its production is
mainly based on the cysteine auxotrophic expression technique, which is
inefficient, expensive, and time consuming. In this study, we combined protein
engineering and the chemical mutation method to synthesize Se-CuZn-65P. The DNA
sequence encoding the 65 amino acid peptide with the desired sequence
transformations to incorporate the SOD and the GPx catalytic sites was cloned and
expressed in a soluble protein expression vector. The protein yield increased up
to 152 mg/L, which is 10 times higher than in previous studies. The SOD and GPx
activity of Se-CuZn-65P was high (1181 U/mg and 753 U/MUmol, respectively). The
binding constant of glutathione was 5.6 * 104 L.mol-1 , which shows that Se-CuZn
65P efficiently catalyzed hydrogen peroxide reduction by glutathione.
Mitochondrial damage experiments confirmed the double protective role of the Se
CuZn-65P peptide and demonstrated functional synergy between the SOD and the GPx
domains, which indicates its potential to be used in the treatment of ROS-related
diseases. Our research may give a new thought to increase the yield of mimic.
PMID- 29656412
TI - Liver Doppler Findings in Pediatric Patients After Total Pancreatectomy and Islet
Autotransplantation.
AB - OBJECTIVES: This study was intended to establish normal values for velocities in
the hepatic artery and portal veins in pediatric patients after total
pancreatectomy and islet autotransplantation (TPIAT). METHODS: A retrospective
review was performed of liver Doppler studies in pediatric patients after TPIAT
over 6 years at an academic children's hospital. Doppler velocities in the liver
vasculature and the hepatic artery resistive index were recorded. RESULTS: Sixty
five pediatric patients were evaluated. There were no cases of portal vein
thrombosis or other hepatic vascular complications. The mean hepatic artery peak
systolic velocity was 157.6 cm/s (SE, 60.9 cm/s), with a mean resistive index of
0.57 (SE, 0.09). The mean main portal vein velocity was 31.9 cm/s (SE, 12.9
cm/s). CONCLUSIONS: Portal vein thrombosis is rare in pediatric patients after
TPIAT. A wide range of hepatic artery and portal vein velocities are encountered
in children immediately after TPIAT without hepatic vascular complications and
can be considered normal.
PMID- 29656413
TI - Influence of thermal treatment on the characteristics of major oyster allergen
Cra g 1 (tropomyosin).
AB - BACKGROUND: Shellfish, including oysters, often cause allergic reactions in
adults. Thermal treatment is one of the most common technologies for dealing with
seafood, which may affect biological properties. The present study aimed to
evaluate the impact of heating on the conformation and potential allergenicity of
oyster-derived tropomyosin (Cra g 1). RESULTS: Sodium dodecylsulphate
polyacrylamide gel electrophoresis showed that there was an apparent band at 35
kDa of raw tropomyosin after purification and more significant polymers appeared
in the heated protein. Interestingly, obvious changes in the intensity of the
circular dichroism signal and 1-anilino-8-naphthalene sulfonate-binding
fluorescence were observed especially in the case of the roasted form, which was
associated with an increase in antibody reactivity. The degree of immunoglobulin
(Ig)E binding of this treatment was demonstrated in the order roasted > boiled >
raw. Furthermore, sequence alignment and amino acid composition revealed that Cra
g 1 shared relatively high homology to tropomyosins from other shellfish and was
also abundant in lysine that was apt to be modified by reducing sugars during
heating. CONCLUSION: Heated Cra g 1 produces higher IgE reactivity than the raw
form as a result of the denaturation and formation of polymers. These findings
will benefit the diagnosis and management of potential allergenicity as a result
of shellfish. (c) 2018 Society of Chemical Industry.
PMID- 29656414
TI - Add-On Memantine Treatment for Bipolar II Disorder Comorbid with Alcohol
Dependence: A 12-Week Follow-Up Study.
AB - BACKGROUND: Bipolar disorder (BD), especially BD-II, is frequently comorbid with
alcohol dependence. Because BD-II and alcohol dependence are neurodegenerative
disorders, agents with anti-inflammatory and neurotrophic effects might provide
effective therapy. We investigated whether add-on memantine to regular valproic
acid treatment ameliorated clinical symptoms, reduced alcohol use, and cytokine
levels, and increased plasma brain-derived neurotrophic factor (BDNF) in BD-II
patients with comorbid alcohol dependence. METHODS: In a single-arm 12-week
clinical trial, BD-II patients with comorbid alcohol dependence (n = 45)
undergoing regular valproic acid treatments were given add-on memantine (5 mg/d).
Symptom severity, alcohol use, cytokine (plasma tumor necrosis factor-alpha and C
reactive protein [CRP], transforming growth factor-beta1 [TGF-beta1], interleukin
8 [IL-8], IL-10), and plasma BDNF levels were regularly assessed. RESULTS: Mean
within-group decreases in Hamilton Depression Rating Scale (HDRS) and Young Mania
Rating Scale (YMRS) scores, alcohol use, CRP, BDNF, and IL-8 levels were
significantly different from baseline after 12 weeks of treatment. We found no
significant correlation between alcohol use levels and changes in HDRS or YMRS
scores. The correlation between reduced alcohol use and reduced TGF-beta1 level
was significant (B = 0.003, p = 0.019). CONCLUSIONS: BD-II comorbid with alcohol
dependence might benefit from add-on memantine treatment, which significantly
reduced clinical severity, alcohol use, and plasma cytokine levels, and increased
BDNF levels.
PMID- 29656415
TI - Focusing on cancer patients' intentions to use psychooncological support: A
longitudinal, mixed-methods study.
AB - OBJECTIVE: Distress screening programs aim to ensure appropriate
psychooncological support for cancer patients, but many eligible patients do not
use these services. To improve distress management, we need to better understand
patients' supportive care needs. In this paper, we report the first key finding
from a longitudinal study that focused on patients' intentions to use
psychooncological support and its association with distress and uptake of the
psychooncology service. METHODS: We conducted a prospective, observational study
in an Oncology Outpatient Clinic and assessed distress, intention to use
psychooncological support, and uptake of the psychooncology service by using the
Distress Thermometer, a semistructured interview, and hospital records. We
analyzed data with a mixed-methods approach. RESULTS: Of 333 patients (mean age
61 years; 55% male; 54% Distress Thermometer >= 5), 25% intended to use the
psychooncology service (yes), 33% were ambivalent (maybe), and 42% reported no
intention (no). Overall, 23% had attended the psychooncology service 4 months
later. Ambivalent patients reported higher distress than patients with no
intention (odds ratio = 1.18, 95% confidence interval [1.06-1.32]) but showed
significantly lower uptake behavior than patients with an intention (odds ratio =
14.04, 95% confidence interval [6.74-29.24]). Qualitative analyses revealed that
ambivalent patients (maybe) emphasized fears and uncertainties, while patients
with clear intentions (yes/no) emphasized knowledge, attitudes, and coping
concepts. CONCLUSIONS: We identified a vulnerable group of ambivalent patients
with high distress levels and low uptake behavior. To optimize distress screening
programs, we suggest addressing and discussing patients' supportive care needs in
routine clinical practice.
PMID- 29656416
TI - Women infected with HIV and the impact of associated sexually transmitted
infections.
AB - OBJECTIVE: To review the relationship between concomitant sexually transmitted
infections in women infected with HIV. METHODS: PubMed and Scopus were searched
for articles published in English and Portuguese between January 1, 1994, and
December 31, 2015, using relevant keywords, including AIDS, HIV, female genital
diseases, and sexually transmitted infections. Articles that associated sexually
transmitted infections with HIV-infected women were selected for inclusion. Those
that only investigated prevalence in pregnant women or focused on social or
behavioral aspects were excluded. RESULTS: Of 108 identified articles, 24 were
included. When correlated with HIV, genital herpes demonstrated an increased
recurrence rate and increased replication rate for HIV in the mucosa. In HIV
positive women, syphilis can cause more genital ulcers. Bacterial vaginosis
provides a pool of microorganisms that can increase the viral copy levels of
genital HIV. Chlamydia, gonorrhea, and candidiasis may be more severe and
complicated in women infected with HIV. CONCLUSIONS: Screening of HIV-positive
patients who could have other sexually transmitted infections is important to
protect women and decrease the risk of transmission.
PMID- 29656417
TI - miRNA regulation of innate immunity.
AB - MicroRNAs (miRNAs) are small noncoding RNA and are pivotal posttranscriptional
regulators of both innate and adaptive immunity. They act by regulating the
expression of multiple immune genes, thus, are the important elements to the
complex immune regulatory network. Deregulated expression of specific miRNAs can
lead to potential autoimmunity, immune tolerance, hyper-inflammatory phenotype,
and cancer initiation and progression. In this review, we discuss the
contributory pathways and mechanisms by which several miRNAs influence the
development of innate immunity and fine-tune immune response. Moreover, we
discuss the consequence of deregulated miRNAs and their pathogenic implications.
PMID- 29656418
TI - Have we reached the point of primary prevention for atrial fibrillation?
PMID- 29656419
TI - Oral health knowledge, attitudes, and practices (KAP) in rural Haiti: a 40-year
follow-up study.
AB - This paper reports the changed findings over a 40-year period on oral health
knowledge, attitudes, and practices (KAP) of a very remote and rural population
living the Jeremie region of Haiti. The far-sighted investigators of that
original 1970 survey stated in their published 1972 paper that our "...findings
are descriptive, but have to be accepted tentatively rather than definitively
because time was short, transportation was difficult, and the small sample that
had to be used could not random." They further insightfully stated their hopes
that their "...results may be regarded as an anthropological cultural baseline
from which to review further findings concerning Haitian dental beliefs." The two
follow-up surveys in 1997 and 2010 using the same exact KAP questionnaire on the
same population of rural Haitians living in the Jeremie region fulfilled the
extraordinary vision of those two initial investigators, Dr. Wesley Young (a
nationally renowned U.S. public health dental academician) and Paul Rundberg
(then a dental student at the University of Kentucky).
PMID- 29656420
TI - Spatially Confined Assembly of Monodisperse Ruthenium Nanoclusters in a
Hierarchically Ordered Carbon Electrode for Efficient Hydrogen Evolution.
AB - The redox units of polyaniline (PAni) are used cooperatively, and in situ, to
assemble ruthenium (Ru) nanoclusters in a hierarchically ordered carbon
electrode. The oxidized quinonoid imine (QI) units in PAni bond Ru complex ions
selectively, whereas reduced benzenoid amine (BA) units cannot. By
electrochemically tuning the ratio of QI to BA, Ru complexes are spatially
confined in the outer layer of hierarchical PAni frameworks. Carbonization of Ru
PAni hybrids induces nucleation on the outer surface of the carbon support,
generating nearly monodisperse Ru nanoclusters. The optimized catalyst has a low
loading of approximately 2 wt % Ru, but exhibits a mass activity for the hydrogen
evolution reaction that is about 6.8 times better than commercial 20 wt % Pt/C
catalyst.
PMID- 29656421
TI - Effect of cleaning methods on retentive values of saliva-contaminated implant
supported zirconia copings.
AB - OBJECTIVE: The aim of this study was to evaluate the effect of different cleaning
regimens on retentive strength of saliva-contaminated implant-supported zirconia
copings. MATERIAL AND METHODS: Seventy solid titanium abutments with 5.5 mm
height (Straumann) were attached to the regular neck implant analogs (Straumann).
The abutment-analog complex was mounted vertically in an autopolymerized T-shaped
acrylic resin block. Seventy zirconia copings with an occlusal loop were made.
The copings were contaminated with fresh human saliva for 1 min (except the
control group). Afterward, the specimens were washed with water spray for 15 s
and dried for 15 s. The copings were divided into seven groups according to
cleaning methods (n = 10). Group 1: no contamination (control group); Group 2:
water spray rinsing; Group 3: airborne particle abrasion; Group 4: immersion in
96% isopropanol; Group 5: applying Ivoclean (Ivoclar Vivadent); Group 6: applying
1% sodium hypochlorite; and Group 7: applying Monobond Plus (Ivoclar Vivadent).
The copings were luted with a resin luting agent (RelyX Unicem; 3M ESPE). After
5,000 thermal cycles, the retentive values of the restorations were tested using
universal testing machine. The dislodging forces were analyzed using one-way
analysis of variance and the Tukey's HSD tests (alpha = 0.05). RESULTS: The
copings, which were cleaned with Ivoclean and 96% isopropanol, showed the highest
retentive values (204.79 +/- 44.49 and 232.65 +/- 71.36, respectively). There was
a significant difference between the studied groups (F = 2.735; p = .02). Tukey's
HSD showed that there was no significant difference between the different
cleaning procedures and control group except water rinsing group (p = .14). The
lowest retentive value was related to the saliva-contaminated group, which were
only cleaned with water rinsing method. CONCLUSION: The retentive values of
zirconia-based restorations were adversely affected by saliva contaminations.
These restorations can be cleaned by Ivoclean, 96% isopropanol, airborne particle
abrasion, 1% sodium hypochlorite, or applying Monobond Plus before luting
procedures.
PMID- 29656422
TI - Role of lactoferrin and lactoferrin-derived peptides in oral and maxillofacial
diseases.
AB - The oral cavity harbors different taxonomic groups, the evolutionary coexistence
of which develops the oral ecosystem. These resident microorganisms can alter the
balance between the physiologic and pathologic conditions that affect the host,
both locally and systemically. This highly sophisticated nature of the oral
cavity poses a significant therapeutic challenge. Numerous human and animal
studies have been conducted to potentiate the efficacy and competence of current
treatments of pathologic conditions as well as to develop novel therapeutic
modalities. One of these studies is the use of the potent antimicrobial agent
lactoferrin (LF), which was originally derived from the host immune system. LF is
an 80-kDa glycoprotein that has a free iron sequestration mechanism with evident
antimicrobial, anti-tumor, and immunomodulatory properties. A wide range of
active peptides have been isolated from the N-terminal region of LF, which
possess antimicrobial activities. In this review, we discuss the role of LF and
LF-derived peptides under a heterogeneous group of oral and maxillofacial
conditions, including bacterial, fungal, viral infections; head and neck cancers;
xerostomia; and implantology-bone-related manifestations.
PMID- 29656423
TI - Interdisciplinary knowledge exchange across scales in a globally changing marine
environment.
AB - The effects of anthropogenic global environmental change on biotic and abiotic
processes have been reported in aquatic systems across the world. Complex
synergies between concurrent environmental stressors and the resilience of the
system to regime shifts, which vary in space and time, determine the capacity for
marine systems to maintain structure and function with global environmental
change. Consequently, an interdisciplinary approach that facilitates the
development of new methods for the exchange of knowledge between scientists
across multiple scales is required to effectively understand, quantify and
predict climate impacts on marine ecosystem services. We use a literature review
to assess the limitations and assumptions of current pathways to exchange
interdisciplinary knowledge and the transferability of research findings across
spatial and temporal scales and levels of biological organization to advance
scientific understanding of global environmental change in marine systems. We
found that species-specific regional scale climate change research is most
commonly published, and "supporting" is the ecosystem service most commonly
referred to in publications. In addition, our paper outlines a trajectory for the
future development of integrated climate change science for sustaining marine
ecosystem services such as investment in interdisciplinary education and
connectivity between disciplines.
PMID- 29656424
TI - Re: Cardiorespiratory fitness, exercise haemodynamics and birth outcomes: the
Coronary Artery Risk Development in Young Adults (CARDIA) Study:
Cardiorespiratory exercise testing years preceding pregnancy and birth outcomes:
comments on heart rate recovery.
PMID- 29656425
TI - Atypical aluminium patch tests in children with persistent itching postvaccinal
nodules.
AB - Persistent itching nodules related to aluminium-adsorbed vaccines are well known
in children with frequent positive patch tests to aluminium when tested. We
report two cases of children with persistent postvaccination nodules who
presented with atypical eruptions after aluminium patch tests.
PMID- 29656426
TI - Protein oxidation and proteolysis during roasting and in vitro digestion of fish
(Acipenser gueldenstaedtii).
AB - BACKGROUND: Roasted fish enjoys great popularity in Asia, but how roasting and
subsequent digestion influence the oxidation and proteolysis of fish meat is
unknown. This study aimed to investigate the effect of roasting time on lipid and
protein oxidation and their evolution and consequence on proteolysis during
simulated digestion of fish fillets. RESULTS: Several oxidation markers
(thiobarbituric acid-reactive substances (TBARS), free thiols, total carbonyls
and Schiff bases) were employed to assess the oxidation of fish. Sodium dodecyl
sulfate polyacrylamide gel electrophoresis (SDS-PAGE) and the 2,4,6
trinitrobenzenesulfonic acid (TNBS) assay for free amino groups were used to
study the proteolysis during gastrointestinal digestion. The results showed that
significant lipid and protein oxidative changes occurring in roasted fish fillets
were reinforced after gastric digestion and were much more intense after
intestinal digestion. Throughout roasting and digestion, a close interconnection
between lipid and protein was also manifested as the levels of total carbonyls
and Schiff bases rose while TBARS fell. Furthermore, free amino groups decreased
with prolonged roasting time, signifying that protein oxidation before digestion
resulted in impaired proteolysis during digestion. CONCLUSION: This study
indicated that the lipid and protein oxidation of fish fillets could be dependent
on time of roasting, and the oxidation continued to develop and have an impact on
proteolysis during in vitro digestion. (c) 2018 Society of Chemical Industry.
PMID- 29656427
TI - Exercise training abrogates age-dependent loss of hypothalamic oxytocinergic
circuitry and maintains high parasympathetic activity.
AB - Neuroanatomical studies associating neuronal tract tracing and
immunohistochemistry identified reciprocal (ascending noradrenergic/descending
oxytocinergic, OTergic) connections between brainstem cardiovascular nuclei and
the paraventricular hypothalamic nucleus (PVN). Previous functional studies
indicated that exercise training (T) augmented the expression/activity of OTergic
pathway and improve the autonomic control of the heart. Knowing that ageing is
associated with autonomic dysfunction and sinoaortic denervation blocked T
induced beneficial effects, we hypothesized that T was able to reduce age
dependent impairment by improving the afferent signaling to PVN and augmenting
OTergic modulation of cardiovascular control. We evaluated the combined effects
of T and age on plastic remodeling of ascending dopamine beta-hydroxylase (DBH+)
and descending OT+ pathways and correlated them with cardiovascular parameters.
Male Wistar rats were submitted to T or kept sedentary for 8 weeks. After
evaluating arterial pressure, heart rate (HR), their variabilities and spectral
components in conscious rats at rest, brains were harvested to analyze the
plastic remodeling of brain autonomic nuclei (immunofluorescence + confocal
microscopy). The density of DBH+ neurons within the nucleus of solitary tract
(NTS) and caudal ventrolateral medulla, the number of DBH+ terminals overlapping
OT+ neurons in PVN preautonomic nuclei, as well as the density of OT+ neurons and
their projections to NTS and dorsal motor nucleus of the vagus were markedly
reduced in S rats during 8-weeks of inactivity In contrast, these effects were
completely blocked by T and reversed to a large augmentation of DBH+ and OT+
densities in both cell bodies and terminals within autonomic nuclei and target
areas. All plastic changes observed correlated positively with parasympathetic
activity to the heart (HF-PI, but not with LF-PI) and negatively with resting HR.
Data indicate that T, by increasing beneficial neuroplastic adaptive changes
within brainstem-PVN reciprocal network, abrogates age-dependent deleterious
remodeling and augments parasympathetic modulation of the heart, therefore
improving autonomic function. This article is protected by copyright. All rights
reserved.
PMID- 29656428
TI - Aggregation and conformational changes of silver carp myosin as affected by the
ultrasound-calcium combination system.
AB - BACKGROUND: Ultrasound and Ca2+ have been used separately to increase myosin
properties in fish processing. However, little is know about how myosin changes
are affected by Ca2+ and ultrasound in combination. The present study aimed to
investigate the effects of the ultrasound-calcium combination system on
aggregation and conformational changes of silver carp myosin. RESULTS: Ultrasound
facilitated a Ca2+ -induced increase in turbidity. As the Ca2+ concentration
increased from 0 to 100 mmol L-1 , there was an obvious increase in the
turbidity, solubility and mean hydrated particle size of myosin after ultrasound
treatment compared to without treatment. Moreover, changes of total and reactive
SH contents depended on the ultrasound-calcium combination conditions. Under this
combination system, myosin surface hydrophobicity significantly increased for the
synergistic effect of ultrasound and Ca2+ . Furthermore, the ultrasound-calcium
combination conditions could affect myosin gelation, with better gelation
properties being observed for myosin treated with a combination of 60 mmol L-1
Ca2+ and 9 min of ultrasound. CONCLUSION: The combination system reported in the
present study was beneficial for myosin unfolding, facilitating intermolecular
interactions between Ca2+ and myosin. Ultrasound treatment promoted myosin
aggregation via the induction of Ca2+ and reduced the critical concentration of
Ca2+ required to aggregate myosin. In the fish processing industry, this
combination system can enhance the gelation properties of surimi-based products.
(c) 2018 Society of Chemical Industry.
PMID- 29656429
TI - Citalopram attenuated neurobehavioral, biochemical, and metabolic alterations in
transient middle cerebral artery occlusion model of stroke in male Wistar rats.
AB - Oxidative stress and inflammation are implicated as cardinal mechanisms of
neuronal death following stroke. In the present study citalopram (Cit) was
investigated in a 2 h middle cerebral artery occlusion (MCAo) model of stroke in
male Wistar rats. Pretreatment, posttreatment (Post Cit) and pre plus
posttreatment (Pre + Post Cit) with Cit were evaluated for its neuroprotective
effect. In pretreatment protocol, effect of Cit at three doses (2, 4, and 8
mg/kg) administered i.p., 1 h prior to MCAo was evaluated using neurological
deficit score (NDS), motor deficit paradigms, and cerebral infarction 24 h post
MCAo. In posttreatment and pre plus posttreatment protocol, the effective dose of
Cit (4 mg/kg) was administered i.p., 0.5 h post-reperfusion (Post Cit) only, and
1 h prior to MCAo and again at 0.5 h post-reperfusion (Pre + Post Cit),
respectively. These two groups were assessed for NDS and cerebral infarction.
Though NDS was significantly reduced in both Post Cit and Pre + Post Cit groups,
significant reduction in cerebral infarction was evident only in Pre + Post Cit
group. Infarct volume assessed by magnetic resonance imaging was significantly
attenuated in Pre + Post Cit group (10.6 +/- 1.1%) compared to MCAo control group
(18.5 +/- 3.0%). Further, Pre + Post Cit treatment significantly altered 17
metabolites along with attenuation of malondialdehyde, reduced glutathione,
matrix metalloproteinases, and apoptotic markers as compared to MCAo control.
These results support the neuroprotective effect of Cit, mediated through
amelioration of oxidative stress, inflammation, apoptosis, and altered metabolic
profile.
PMID- 29656431
TI - Stishovite's Relative: A Post-Coesite Form of Phosphorus Oxonitride.
AB - Phosphorus oxonitride (PON) is isoelectronic with SiO2 and may exhibit a similar
broad spectrum of intriguing properties as silica. However, PON has only been
sparsely investigated under high-pressure conditions and there has been no
evidence on a PON polymorph with a coordination number of P greater than 4.
Herein, we report a post-coesite (pc) PON polymorph exhibiting a stishovite
related structure with P in a (5+1) coordination. The pc-PON was synthesized
using the multianvil technique and characterized by powder X-ray diffraction,
solid-state NMR spectroscopy, TEM measurements and in situ synchrotron X-ray
diffraction in diamond anvil cells. The structure model was verified by single
crystal X-ray diffraction at 1.8 GPa and the isothermal bulk modulus of pc-PON
was determined to K0 =163(2) GPa. Moreover, an orthorhombic PON polymorph (o-PON)
was observed under high-pressure conditions and corroborated as the stable
modification at pressures above 17 GPa by DFT calculations.
PMID- 29656430
TI - Individual differences in stop-related activity are inflated by the adaptive
algorithm in the stop signal task.
AB - Research using the Stop Signal Task employing an adaptive algorithm to
accommodate individual differences often report inferior performance on the task
in individuals with ADHD, OCD, and substance use disorders compared to non
clinical controls. Furthermore, individuals with deficits in inhibitory control
tend to show reduced neural activity in key inhibitory regions during successful
stopping. However, the adaptive algorithm systematically introduces performance
related differences in objective task difficulty that may influence the
estimation of individual differences in stop-related neural activity. This report
examines the effect that these algorithm-related differences have on the
measurement of neural activity during the stop signal task. We compared two
groups of subjects (n = 210) who differed in inhibitory ability using both a
standard fMRI analysis and an analysis that resampled trials to remove the
objective task difficulty confound. The results show that objective task
difficulty influences the magnitude of between-group differences and that
controlling for difficulty attenuates stop-related activity differences between
superior and poor inhibitors. Specifically, group differences in the right
inferior frontal gyrus, right middle occipital gyrus, and left inferior frontal
gyrus are diminished when differences in objective task difficulty are controlled
for. Also, when objective task difficulty effects are exaggerated, group
differences in stop related activity emerge in other regions of the stopping
network. The implications of these effects for how we interpret individual
differences in activity levels are discussed.
PMID- 29656432
TI - Calcium channel blockers and the incidence of breast and prostate cancer: A meta
analysis.
AB - WHAT IS KNOWN AND OBJECTIVE: Breast cancer (BCa) and prostate cancer (PCa), both
hormone-dependent cancers, are the second leading cause of death in both women
and men, respectively. Calcium channel blockers (CCBs) have been thought to
increase the risk of cancer by inhibiting calcium signal-mediated apoptosis, but
the evidence for this association remains inconclusive. We have reviewed
pertinent literature and pooled data to establish a consensus on the relationship
of CCB use and the incidence of these two cancers. METHODS: PubMed was used to
conduct a search for English articles from inception to April 2016. Relevant data
including study design, number of total participants and CCB users, total cases
of BCa and PCa, age (mean and/or range), follow-up period and statistical
outcomes were retrieved. Quality assessment was carried out using Newcastle
Ottawa system, with the selection of high-quality studies. Summary effects were
obtained using random- and mixed-effects models, followed by sensitivity
analysis, and testing for publication bias. RESULTS AND DISCUSSION: This meta
analysis includes 11 relevant studies for BCa and 6 for PCa. The odds ratio (OR)
association between BCa and CCB use was 1.14 (95%CI: 1.02, 1.27, P = .02). The OR
association between PCa and CCB use was 1.12 (95%CI .94-1.35, P = .21). WHAT IS
NEW AND CONCLUSION: Although a statistically significant association between CCB
use and incidence of BCa does exist, the limitations of the individual studies
restrict the clinical application of this relationship. Our meta-regression model
does newly identify a 9-year latency period of CCB use and a significantly
increased risk of BCa. No significant association exists between CCB use and the
incidence of PCa. Our meta-regression shows CCB may have a protective effect upon
PCa incidence among older populations.
PMID- 29656433
TI - Antifibrinolytics for heavy menstrual bleeding.
AB - BACKGROUND: Heavy menstrual bleeding (HMB) is an important physical and social
problem for women. Oral treatment for HMB includes antifibrinolytic drugs, which
are designed to reduce bleeding by inhibiting clot-dissolving enzymes in the
endometrium.Historically, there has been some concern that using the
antifibrinolytic tranexamic acid (TXA) for HMB may increase the risk of venous
thromboembolic disease. This is an umbrella term for deep venous thrombosis
(blood clots in the blood vessels in the legs) and pulmonary emboli (blood clots
in the blood vessels in the lungs). OBJECTIVES: To determine the effectiveness
and safety of antifibrinolytic medications as a treatment for heavy menstrual
bleeding. SEARCH METHODS: We searched the Cochrane Gynaecology and Fertility
(CGF) Group trials register, CENTRAL, MEDLINE, Embase, PsycINFO and two trials
registers in November 2017, together with reference checking and contact with
study authors and experts in the field. SELECTION CRITERIA: We included
randomized controlled trials (RCTs) comparing antifibrinolytic agents versus
placebo, no treatment or other medical treatment in women of reproductive age
with HMB. Twelve studies utilised TXA and one utilised a prodrug of TXA (Kabi).
DATA COLLECTION AND ANALYSIS: We used standard methodological procedures expected
by Cochrane. The primary review outcomes were menstrual blood loss (MBL),
improvement in HMB, and thromboembolic events. MAIN RESULTS: We included 13 RCTs
(1312 participants analysed). The evidence was very low to moderate quality: the
main limitations were risk of bias (associated with lack of blinding, and poor
reporting of study methods), imprecision and inconsistency.Antifibrinolytics (TXA
or Kabi) versus no treatment or placeboWhen compared with a placebo,
antifibrinolytics were associated with reduced mean blood loss (MD -53.20 mL per
cycle, 95% CI -62.70 to -43.70; I2 = 8%; 4 RCTs, participants = 565; moderate
quality evidence) and higher rates of improvement (RR 3.34, 95% CI 1.84 to 6.09;
3 RCTS, participants = 271; moderate-quality evidence). This suggests that if 11%
of women improve without treatment, 43% to 63% of women taking antifibrinolytics
will do so. There was no clear evidence of a difference between the groups in
adverse events (RR 1.05, 95% CI 0.93 to 1.18; 1 RCT, participants = 297; low
quality evidence). Only one thromboembolic event occurred in the two studies that
reported this outcome.TXA versus progestogensThere was no clear evidence of a
difference between the groups in mean blood loss measured using the Pictorial
Blood Assessment Chart (PBAC) (MD -12.22 points per cycle, 95% CI -30.8 to 6.36;
I2 = 0%; 3 RCTs, participants = 312; very low quality evidence), but TXA was
associated with a higher likelihood of improvement (RR 1.54, 95% CI 1.31 to 1.80;
I2 = 32%; 5 RCTs, participants = 422; low-quality evidence). This suggests that
if 46% of women improve with progestogens, 61% to 83% of women will do so with
TXA.Adverse events were less common in the TXA group (RR 0.66, 95% CI 0.46 to
0.94; I2 = 28%; 4 RCTs, participants = 349; low-quality evidence). No
thromboembolic events were reported in any group.TXA versus non-steroidal anti
inflammatory drugs (NSAIDs)TXA was associated with reduced mean blood loss (MD
73.00 mL per cycle, 95% CI -123.35 to -22.65; 1 RCT, participants = 49; low
quality evidence) and higher likelihood of improvement (RR 1.43, 95% CI 1.18 to
1.74; 12 = 0%; 2 RCTs, participants = 161; low-quality evidence). This suggests
that if 61% of women improve with NSAIDs, 71% to 100% of women will do so with
TXA. Adverse events were uncommon and no comparative data were available. No
thromboembolic events were reported.TXA versus ethamsylateTXA was associated with
reduced mean blood loss (MD 100 mL per cycle, 95% CI -141.82 to -58.18; 1 RCT,
participants = 53; low-quality evidence), but there was insufficient evidence to
determine whether the groups differed in rates of improvement (RR 1.56, 95% CI
0.95 to 2.55; 1 RCT, participants = 53; very low quality evidence) or withdrawal
due to adverse events (RR 0.78, 95% CI 0.19 to 3.15; 1 RCT, participants = 53;
very low quality evidence).TXA versus herbal medicines (Safoof Habis and Punica
granatum)TXA was associated with a reduced mean PBAC score after three months'
treatment (MD -23.90 pts per cycle, 95% CI -31.92 to -15.88; I2 = 0%; 2 RCTs,
participants = 121; low-quality evidence). No data were available for rates of
improvement. TXA was associated with a reduced mean PBAC score three months after
the end of the treatment phase (MD -10.40 points per cycle, 95% CI -19.20 to
1.60; I2 not applicable; 1 RCT, participants = 84; very low quality evidence).
There was insufficient evidence to determine whether the groups differed in rates
of adverse events (RR 2.25, 95% CI 0.74 to 6.80; 1 RCT, participants = 94; very
low quality evidence). No thromboembolic events were reported.TXA versus
levonorgestrel intrauterine system (LIUS)TXA was associated with a higher median
PBAC score than TXA (median difference 125.5 points; 1 RCT, participants = 42;
very low quality evidence) and a lower likelihood of improvement (RR 0.43, 95% CI
0.24 to 0.77; 1 RCT, participants = 42; very low quality evidence). This suggests
that if 85% of women improve with LIUS, 20% to 65% of women will do so with TXA.
There was insufficient evidence to determine whether the groups differed in rates
of adverse events (RR 0.83, 95% CI 0.25 to 2.80; 1 RCT, participants = 42; very
low quality evidence). No thromboembolic events were reported. AUTHORS'
CONCLUSIONS: Antifibrinolytic treatment (such as TXA) appears effective for
treating HMB compared to placebo, NSAIDs, oral luteal progestogens, ethamsylate,
or herbal remedies, but may be less effective than LIUS. There were too few data
for most comparisons to determine whether antifibrinolytics were associated with
increased risk of adverse events, and most studies did not specifically include
thromboembolism as an outcome.
PMID- 29656434
TI - Evaluation of antioxidant, antiinflammatory, and gastroprotective properties of
Rubus fruticosus L. fruit juice.
AB - The juice of R. fruticosus (RFJ) fruits grown in Sicily was analysed for
polyphenol compounds and tested to evaluate in vitro antioxidant and in vivo
antiinflammatory and gastroprotective effects. RFJ, containing mainly
anthocyanins, such as cyanidin derivatives, significant amounts of phenolic
acids, and smaller amounts of flavonoids, showed significant antioxidant activity
in DPPH (2,2-diphenyl-1-picrylhydrazyl radical) (4,147.194 +/- 17.199 mg trolox
equivalent [TE]/100 ml), TE antioxidant capacity (8,312.444 +/- 43.055 mg TE/100
ml), ferric reducing antioxidant power (2,177.830 +/- 21.015 mg TE/100 ml),
oxygen radical absorbance capacity (95,377.674 +/- 616.194 MUmol TE/100 ml
juice), and beta-carotene bleaching (72% +/- 4.58) assay. In vivo studies showed
that RFJ inhibit significantly the carrageenan-induced paw oedema (63-71%) in
rats and possess antiinflammatory effects particularly significant in association
with phenylbutazone (94-96%). In addition, RFJ pretreatment was able to prevent
the ethanol-induced ulcerogenic effect in rats. All in vivo results were
corroborated by histopathological observations and are in good agreement with
antioxidant activity, confirming the relationships between biological effects
observed and radical scavenging properties of RFJ.
PMID- 29656436
TI - Comparative analysis of the toxicity of gold nanoparticles in zebrafish.
AB - The use of nanoparticles - particles that range in size from 1 to 100 nm - has
become increasingly prevalent in recent years, bringing with it a variety of
potential toxic effects. Zebrafish embryos were exposed during the 3 day
postfertilization period to gold nanospheres (GNSs), gold nanorods (GNRs), GNRs
coated with polystyrene sulphate (PSS-GNRs) and GNRs coated with both PSS and
polyallamine hydrochloride (PAH-PSS-GNRs). All nanorods were stabilized with
cetyltrimethylammonium bromide. GNSs were the least toxic of the nanoparticles
studied, with exposure resulting in no significant changes in mortality, hatching
or heart rate. Exposure to GNRs and PSS-GNRs resulted in significant increases in
mortality and significant decreases in hatching and heart rate. Treatment with
GNRs caused significant changes in the expression of a variety of oxidative
stress genes. The toxic effects of GNRs were ameliorated by coating them with PSS
and, to a more marked extent, with a double coating of PSS and polyallamine
hydrochloride.
PMID- 29656435
TI - A multi-omic study reveals BTG2 as a reliable prognostic marker for early-stage
non-small cell lung cancer.
AB - B-cell translocation gene 2 (BTG2) is a tumour suppressor protein known to be
downregulated in several types of cancer. In this study, we investigated a
potential role for BTG2 in early-stage non-small cell lung cancer (NSCLC)
survival. We analysed BTG2 methylation data from 1230 early-stage NSCLC patients
from five international cohorts, as well as gene expression data from 3038 lung
cancer cases from multiple cohorts. Three CpG probes (cg01798157, cg06373167,
cg23371584) that detected BTG2 hypermethylation in tumour tissues were associated
with lower overall survival. The prognostic model based on methylation could
distinguish patient survival in the four cohorts [hazard ratio (HR) range, 1.51
2.21] and the independent validation set (HR = 1.85). In the expression analysis,
BTG2 expression was positively correlated with survival in each cohort (HR range,
0.28-0.68), which we confirmed with meta-analysis (HR = 0.61, 95% CI 0.54-0.68).
The three CpG probes were all negatively correlated with BTG2 expression.
Importantly, an integrative model of BTG2 methylation, expression and clinical
information showed better predictive ability in the training set and validation
set. In conclusion, the methylation and integrated prognostic signatures based on
BTG2 are stable and reliable biomarkers for early-stage NSCLC. They may have new
applications for appropriate clinical adjuvant trials and personalized treatments
in the future.
PMID- 29656437
TI - Structural correlates of Openness and Intellect: Implications for the
contribution of personality to creativity.
AB - Openness/Intellect (i.e., openness to experience) is the Big Five personality
factor most consistently associated with individual differences in creativity.
Recent psychometric evidence has demonstrated that this factor consists of two
distinct aspects-Intellect and Openness. Whereas Intellect reflects perceived
intelligence and intellectual engagement, Openness reflects engagement with
fantasy, perception, and aesthetics. We investigated the extent to which Openness
and Intellect are associated with variations in brain structure as measured by
cortical thickness, area, and volume (N = 185). Our results demonstrated that
Openness was correlated inversely with cortical thickness and volume in left
middle frontal gyrus (BA 6), middle temporal gyrus (MTG, BA 21), and superior
temporal gyrus (BA 41), and exclusively with cortical thickness in left inferior
parietal lobule (BA 40), right inferior frontal gyrus (IFG, BA 45), and MTG (BA
37). When age and sex were statistically controlled for, the inverse correlations
between Openness and cortical thickness remained statistically significant for
all regions except left MTG, whereas the correlations involving cortical volume
remained statistically significant only for left middle frontal gyrus. There was
no statistically significant correlation between Openness and cortical area, and
no statistically significant correlation between Intellect and cortical
thickness, area, or volume. Our results demonstrate that individual differences
in Openness are correlated with variation in brain structure-particularly as
indexed by cortical thickness. Given the involvement of the above regions in
processes related to memory and cognitive control, we discuss the implications of
our findings for the possible contribution of personality to creative cognition.
PMID- 29656438
TI - Renovascular hypertension associated with JAK2 V617F positive myeloproliferative
neoplasms treated with angioplasty: 2 cases and literature review.
AB - Myeloproliferative neoplasms (MPNs) with Janus kinase 2 (JAK2) mutation are
associated with a high risk for occlusive vascular diseases. We report 2 cases of
renovascular hypertension associated with JAK2 V617F mutation-positive MPNs and
provide a literature review. In Case 1, a 63-year-old woman had resistant
hypertension, massive proteinuria, and erythrocytosis. Evaluations revealed right
renal artery stenosis causing renovascular hypertension and polycythemia vera
with JAK2 V617F mutation. Renin-angiotensin system inhibitors and subsequent
angioplasty controlled the blood pressure and the proteinuria resolved. In Case
2, a 74-year-old woman had resistant hypertension and thrombocytosis. Evaluations
confirmed left renal artery stenosis and essential thrombocythemia with JAK2
V617F. Angioplasty cured the hypertension. A literature review of 18 cases
revealed the following as the most common characteristics of MPN-associated
renovascular hypertension: manifests primarily in women; is associated with
untreated polycythemia vera and essential thrombocythemia, concomitant
leukocytosis, and JAK2 mutation positivity; and is responsive to angioplasty.
This report demonstrates that JAK2 mutation-positive MPNs are a less common but
important underlying cause of adult renovascular hypertension.
PMID- 29656439
TI - Investigating the motives of patients with knee OA undergoing a TKR: A
qualitative interview study.
PMID- 29656440
TI - In-plane "superresolution" MRI with phaseless sub-pixel encoding.
AB - PURPOSE: Acquisition of high-resolution imaging data using multiple excitations
without the sensitivity to fluctuations of the transverse magnetization phase,
which is a major problem of multi-shot MRI. THEORY AND METHODS: The concept of
superresolution MRI based on microscopic tagging is analyzed using an analogy
with the optical method of structured illumination. Sinusoidal tagging is shown
to provide subpixel resolution by mixing of neighboring spatial frequency (k
space) bands. It represents a phaseless modulation added on top of the standard
Fourier encoding, which allows the phase fluctuations to be discarded at an
intermediate reconstruction step. Improvements are proposed to correct for tag
distortions due to magnetic field inhomogeneity and to avoid the propagation of
Gibbs ringing from intermediate low-resolution images to the final image. The
method was applied to diffusion-weighted EPI. RESULTS: Artifact-free
superresolution images can be obtained despite a finite duration of the tagging
sequence and related pattern distortions by a field map based phase correction of
band-wise reconstructed images. The ringing effect present in the intermediate
images can be suppressed by partial overlapping of the mixed k-space bands in
combination with an adapted filter. High-resolution diffusion-weighted images of
the human head were obtained with a three-shot EPI sequence despite motion
related phase fluctuations between the shots. CONCLUSION: Due to its phaseless
character, tagging-based sub-pixel encoding is an alternative to k-space
segmenting in the presence of unknown phase fluctuations, in particular those due
to motion under strong diffusion gradients. Proposed improvements render the
method practicable in realistic conditions.
PMID- 29656441
TI - A review on current status of antiviral siRNA.
AB - Viral diseases like influenza, AIDS, hepatitis, and Ebola cause severe epidemics
worldwide. Along with their resistant strains, new pathogenic viruses continue to
be discovered so creating an ongoing need for new antiviral treatments. RNA
interference is a cellular gene-silencing phenomenon in which sequence-specific
degradation of target mRNA is achieved by means of complementary short
interfering RNA (siRNA) molecules. Short interfering RNA technology affords a
potential tractable strategy to combat viral pathogenesis because siRNAs are
specific, easy to design, and can be directed against multiple strains of a virus
by targeting their conserved gene regions. In this review, we briefly summarize
the current status of siRNA therapy for representative examples from different
virus families. In addition, other aspects like their design, delivery, medical
significance, bioinformatics resources, and limitations are also discussed.
PMID- 29656442
TI - Treatment-emergent hypertension and efficacy in the phase 3 Study of (E7080)
lenvatinib in differentiated cancer of the thyroid (SELECT).
AB - BACKGROUND: Hypertension (HTN) is an established class effect of vascular
endothelial growth factor receptor (VEGFR) inhibition. In the phase 3 Study of
(E7080) Lenvatinib in Differentiated Cancer of the Thyroid (SELECT) trial, HTN
was the most frequent adverse event of lenvatinib, an inhibitor of VEGFR1,
VEGFR2, VEGFR3, fibroblast growth factor receptor 1 (FGFR1), FGFR2, FGFR3, FGFR4,
platelet-derived growth factor receptor alpha (PDGFRalpha), ret proto-oncogene
(RET), and stem cell factor receptor (KIT). This exploratory analysis examined
treatment-emergent hypertension (TE-HTN) and its relation with lenvatinib
efficacy and safety in SELECT. METHODS: In the multicenter, double-blind SELECT
trial, 392 patients with progressive radioiodine-refractory differentiated
thyroid cancer (RR-DTC) were randomized 2:1 to lenvatinib (24 mg/d on a 28-day
cycle) or placebo. Survival endpoints were assessed with Kaplan-Meier estimates
and log-rank tests. The influence of TE-HTN on progression-free survival (PFS)
and overall survival (OS) was analyzed with univariate and multivariate Cox
proportional hazards models. RESULTS: Overall, 73% of lenvatinib-treated patients
and 15% of placebo-treated patients experienced TE-HTN. The median PFS for
lenvatinib-treated patients with (n = 190) and without TE-HTN (n = 71) was 18.8
and 12.9 months, respectively (hazard ratio [HR], 0.59; 95% confidence interval
[CI], 0.39-0.88; P = .0085). For lenvatinib-treated patients, the objective
response rate was 69% with TE-HTN and 56% without TE-HTN (odds ratio, 1.72; 95%
CI, 0.98-3.01). The median change in tumor size for patients with and without TE
HTN was -45% and -40%, respectively (P = .2). The median OS was not reached for
patients with TE-HTN; for those without TE-HTN, it was 21.7 months (HR, 0.43; 95%
CI, 0.27-0.69; P = .0003). CONCLUSIONS: Although HTN is a clinically significant
adverse event that warrants monitoring and management, TE-HTN was significantly
correlated with improved outcomes in patients with RR-DTC, indicating that HTN
may be predictive for lenvatinib efficacy in this population. Cancer
2018;124:2365-72. (c) 2018 American Cancer Society.
PMID- 29656443
TI - Biological evaluation of selected 3,4-dihydro-2(1H)-quinoxalinones and 3,4
dihydro-1,4-benzoxazin-2-ones: Molecular docking study.
AB - In order to investigate new potential therapeutically active agents, we
investigated the biological properties of two small libraries of quinoxalinones
and 1,4-benzoxazin-2-ones. The results obtained showed that compounds 5, 9-11
have good cytotoxic activity against HeLa cells where the lowest IC50 value
(10.46 +/- 0.82 MUM/mL) was measured for compound 10. Additionally, the most
active compounds (5, 9-11) showed much better selectivity for MRC-5 cells (up to
17.4) compared to cisplatin. In vitro evaluation of the inhibition of the enzyme
alpha-glucosidase showed that compounds 10 and 11 exert significant inhibition of
the enzyme at 52.54 +/- 0.09 and 40.09 +/- 0.49 MUM, respectively. Competitive
experiments with ethidium bromide (EB) indicated that all tested compounds have
affinity to displace EB from the EB-DNA complex through intercalation, suggesting
good competition with EB (Ksv = (3.1 +/- 0.2), (5.1 +/- 0.1), (5.6 +/- 0.2), and
(6.3 +/- 0.2) * 103 M-1 ). A molecular docking study was also performed to
better understand the binding modes and to conclude the structure-activity
relationships of the synthesized compounds.
PMID- 29656444
TI - Predicting therapeutic response to fingolimod treatment in multiple sclerosis
patients.
AB - AIMS: Fingolimod, an orally active immunomodulatory drug for relapsing-remitting
multiple sclerosis (RRMS), sequesters T cells in lymph nodes through functional
antagonism of the sphingosine-1-phosphate receptor, reducing the number of
potential autoreactive cells that migrate to the central nervous system. However,
not all RRMS patients respond to this therapy. Our aim was to test the hypothesis
that by immune-monitoring RRMS patient's leukocyte subpopulations it is possible
to find biomarkers associated with clinical response to fingolimod. METHODS:
Prospective study. Analysis of peripheral blood mononuclear cell subpopulations
by multiparametric flow cytometry, at baseline and +1, +3, +6, +12 months of
follow-up in 40 RRMS patients starting fingolimod therapy. RESULTS: Fingolimod
treatment induced a severe lymphopenia affecting mainly T and B cells. A relative
increase in Treg (memory Treg : 3.8 +/- 1.0% baseline vs 8.8 +/- 4.4% month +1;
activated Treg : 1.5 +/- 0.7% baseline vs 3.7 +/- 2.1% month +1, P < 0.001) as
well as transitional B cells (10.5 +/- 12.3% baseline vs 18.7 +/- 14.6% month +1,
P < 0.001) was observed. Interestingly, lymphocyte subpopulations were already at
baseline significantly different in responder patients. The percentage of recent
thymic emigrants (RTE) used to stratify fingolimod responder, and no responder
patients was the best biomarker (4.0 +/- 1.4% vs 7.4 +/- 1.9%, respectively [P <
0.001]). CONCLUSION: The results support that immune-monitoring of lymphocyte
subpopulations in peripheral blood is a promising tool to select RRMS candidate
for fingolimod treatment.
PMID- 29656445
TI - The factors influencing retinal thickness in diabetic patients.
PMID- 29656446
TI - Hemodynamic response function (HRF) variability confounds resting-state fMRI
functional connectivity.
AB - PURPOSE: fMRI is the convolution of the hemodynamic response function (HRF) and
unmeasured neural activity. HRF variability (HRFv) across the brain could, in
principle, alter functional connectivity (FC) estimates from resting-state fMRI
(rs-fMRI). Given that HRFv is driven by both neural and non-neural factors, it is
problematic when it confounds FC. However, this aspect has remained largely
unexplored even though FC studies have grown exponentially. We hypothesized that
HRFv confounds FC estimates in the brain's default-mode-network. METHODS: We
tested this hypothesis using both simulations (where the ground truth is known
and modulated) as well as rs-fMRI data obtained in a 7T MRI scanner (N = 47,
healthy). FC was obtained using 2 pipelines: data with hemodynamic deconvolution
(DC) to estimate the HRF and minimize HRFv, and data with no deconvolution (NDC,
HRFv-ignored). DC and NDC FC networks were compared, along with regional HRF
differences, revealing potential false connectivities that resulted from HRFv.
RESULTS: We found evidence supporting our hypothesis using both simulations and
experimental data. With simulations, we found that HRFv could cause a change of
up to 50% in FC. With rs-fMRI, several potential false connectivities
attributable to HRFv, with majority connections being between different lobes,
were identified. We found a double exponential relationship between the magnitude
of HRFv and its impact on FC, with a mean/median error of 30.5/11.5% caused in FC
by HRF confounds. CONCLUSION: HRFv, if ignored, could cause identification of
false FC. FC findings from HRFv-ignored data should be interpreted cautiously. We
suggest deconvolution to minimize HRFv.
PMID- 29656447
TI - Enhanced Activation of Coordinated Dinitrogen with p-Block Lewis Acids.
AB - This Concept article highlights recent research on Lewis acid adducts of
dinitrogen complexes, including our contributions. After a reminder of the early
works, it is demonstrated that such kind of species offers a new platform for
dinitrogen functionalization as well as valuable models for the understanding of
elementary steps of (bio)catalytic cycles. When possible, parallels regarding
this mode of activation from the orbital point of view are drawn between the
different systems discussed herein.
PMID- 29656448
TI - Cephalopod-Derived Biopolymers for Ionic and Protonic Transistors.
AB - Cephalopods (e.g., squid, octopuses, and cuttlefish) have long fascinated
scientists and the general public alike due to their complex behavioral
characteristics and remarkable camouflage abilities. As such, these animals are
explored as model systems in neuroscience and represent a well-known commercial
resource. Herein, selected literature examples related to the electrical
properties of cephalopod-derived biopolymers (eumelanins, chitosans, and
reflectins) and to the use of these materials in voltage-gated devices (i.e.,
transistors) are highlighted. Moreover, some potential future directions and
challenges in this area are described, with the aim of inspiring additional
research effort on ionic and protonic transistors from cephalopod-derived
biopolymers.
PMID- 29656449
TI - Regimen durability in HIV-infected children and adolescents initiating first-line
antiretroviral therapy in a large public sector HIV cohort in South Africa.
AB - INTRODUCTION: In April 2010, tenofovir and abacavir replaced stavudine in public
sector first-line antiretroviral therapy (ART) for children under 20 years old in
South Africa. The association of both abacavir and tenofovir with fewer side
effects and toxicities compared to stavudine could translate to increased
durability of tenofovir or abacavir-based regimens. We evaluated changes over
time in regimen durability for paediatric patients 3-19 years of age at eight
public sector clinics in Johannesburg, South Africa. METHODS: Cohort analysis of
treatment-naive, non-pregnant paediatric patients from 3 to 19 years old
initiated on ART between April 2004 and December 2013. First-line ART regimens
before April 2010 consisted of stavudine or zidovudine with lamivudine and either
efavirenz or nevirapine. Tenofovir and/or abacavir was substituted for stavudine
after April 2010 in first-line ART. We evaluated the frequency and type of single
drug substitutions, treatment interruptions and switches to second-line therapy.
Fine and Gray competing risk regression models were used to evaluate the
association of antiretroviral drug type with single-drug substitutions, treatment
interruptions and second-line switches in the first 24 months on treatment.
RESULTS: Three hundred and ninety-eight (15.3%) single-drug substitutions, 187
(7.2%) treatment interruptions and 86 (3.3%) switches to second-line therapy
occurred among 2602 paediatric patients over 24-months on ART. Overall, the rate
of single-drug substitutions started to increase in 2009, peaked in 2011 at 25%
and then declined to 10% in 2013, well after the integration of tenofovir into
paediatric regimens; no patients over the age of 3 were initiated on abacavir for
first-line therapy. Competing risk regression models showed patients on
zidovudine or stavudine had upwards of a fivefold increase in single-drug
substitution vs. patients initiated on tenofovir in the first 24 months on ART.
Older adolescents also had a two- to threefold increase in treatment
interruptions and switches to second-line therapy compared to younger patients in
the first 24 months on ART. CONCLUSIONS: The decline in single-drug substitutions
is associated with the introduction of tenofovir. Tenofovir use could improve
regimen durability and treatment outcomes in resource-limited settings.
PMID- 29656450
TI - Comments on "Perioperative pain management after fibular free flap harvesting for
head-and-neck reconstruction using mini-catheters to inject local anesthetic: A
pilot study.
PMID- 29656451
TI - Patient with mediastinitis caused by delayed mucosal damage after peroral
endoscopic myotomy.
AB - Peroral endoscopic myotomy (POEM) is a groundbreaking procedure for treating
esophageal achalasia, and many reports from various facilities have described its
safety and efficacy. However, there have been few reports on adverse events.
Here, we report a case of a patient with mediastinitis caused by delayed mucosal
damage after POEM. This case was the most severe among all POEM cases at our
hospital. A 58-year-old man had experienced dysphagia and chest tightness since
he was around 50 years old. At a previous hospital, he had been diagnosed with
nonerosive reflux disease and had undergone fundoplication. As his symptoms did
not improve, he was referred to our department. POEM was able to be finished but
a stable visual field could not be maintained throughout procedure because of
strong esophageal contractions. From findings of endoscopy and esophagography
after POEM, the patient was diagnosed mediastinitis caused by delayed esophageal
perforation. In this case, conservative treatment (fasting, antibiotic therapy,
and enteral feeding) was successful. However, the option to administer surgical
treatment, such as drainage, must not be overlooked.
PMID- 29656452
TI - The reentry circuit and route in no entry left ventricle situations for
ventricular tachycardia ablations.
PMID- 29656453
TI - Chlorogenic Acid Protects Against oxLDL-Induced Oxidative Damage and
Mitochondrial Dysfunction by Modulating SIRT1 in Endothelial Cells.
AB - SCOPE: Endothelial dysfunction is an important mechanism in the development of
atherosclerosis and is thought to be critical for predicting cardiovascular
diseases. Previous reports suggested that chlorogenic acid (CGA) is a potent
antioxidant and anti-inflammatory compound. The molecular mechanisms underlying
the inhibitory effects of CGA on oxLDL-induced oxidative injuries in human
endothelial cells are still largely unknown. This study is aimed to test the
hypothesis that CGA protects against oxLDL-facilitated oxidative stress by
upregulating SIRT1 and to explore the role of AMPK/PGC-1 pathway and
mitochondrial biogenesis. METHODS AND RESULTS: HUVECs were treated with oxLDL in
the presence or absence of CGA pretreatment. Our data indicated that CGA
pretreatment increased SIRT1 deacetylase activity levels. In addition, CGA
reversed oxLDL-impaired SIRT1 and AMPK/PGC-1 activity and mitigated oxLDL-induced
oxidative stress and dysfunction of mitochondrial biogenesis. However, silencing
SIRT1, AMPK, and PGC-1 abated the ability of CGA to protect against oxidative
stress. Results from the present study also suggested that CGA inhibits oxLDL
induced endothelial apoptosis through modulating SIRT1 and AMPK/PGC-1 function.
CONCLUSION: These findings provide new insights into possible molecular
mechanisms by which CGA mitigates oxLDL-induced endothelial oxidative stress and
mitochondrial dysfunction by activating SIRT1 and modulating the AMPK/PGC-1
signaling pathway.
PMID- 29656454
TI - Is matrix stiffness a cause for malignant transformation of oral submucous
fibrosis?
PMID- 29656455
TI - Is radiotherapy the work of the devil?
PMID- 29656456
TI - An empirical test of the relative and combined effects of land-cover and climate
change on local colonization and extinction.
AB - Land-cover and climate change are two main drivers of changes in species ranges.
Yet, the majority of studies investigating the impacts of global change on
biodiversity focus on one global change driver and usually use simulations to
project biodiversity responses to future conditions. We conduct an empirical test
of the relative and combined effects of land-cover and climate change on species
occurrence changes. Specifically, we examine whether observed local colonization
and extinctions of North American birds between 1981-1985 and 2001-2005 are
correlated with land-cover and climate change and whether bird life history and
ecological traits explain interspecific variation in observed occurrence changes.
We fit logistic regression models to test the impact of physical land-cover
change, changes in net primary productivity, winter precipitation, mean summer
temperature, and mean winter temperature on the probability of Ontario breeding
bird local colonization and extinction. Models with climate change, land-cover
change, and the combination of these two drivers were the top ranked models of
local colonization for 30%, 27%, and 29% of species, respectively. Conversely,
models with climate change, land-cover change, and the combination of these two
drivers were the top ranked models of local extinction for 61%, 7%, and 9% of
species, respectively. The quantitative impacts of land-cover and climate change
variables also vary among bird species. We then fit linear regression models to
test whether the variation in regional colonization and extinction rate could be
explained by mean body mass, migratory strategy, and habitat preference of birds.
Overall, species traits were weakly correlated with heterogeneity in species
occurrence changes. We provide empirical evidence showing that land-cover change,
climate change, and the combination of multiple global change drivers can
differentially explain observed species local colonization and extinction.
PMID- 29656457
TI - Late sampling for automated culture to extend the platelet shelf life to 5 days
in Germany.
AB - BACKGROUND: Bacterial contamination of platelet concentrates (PCs) is still a
major challenge in transfusion medicine. Different methodologic concepts and
screening strategies have been developed and investigated concerning their
usability. We evaluated the feasibility of BacT/ALERT automated culture (BacT/A,
bioMerieux) with late sampling after 3 days at the earliest. STUDY DESIGN AND
METHODS: Twenty-four bacterial strains isolated from PCs and six relevant strains
from reference stocks were spiked into apheresis-derived PCs (10-60 colony
forming units [CFU]/bag). Sampling was performed after 3 days, and bacterial
detection was investigated using the two detection methods (BacT/A and BactiFlow
[BF], bioMerieux). The maximum time-to-result of BacT/A was set to less than 12
hours. RESULTS: All medium- or high-pathogenic strains are capable of
proliferating to high titers, and 100% of contaminated samples were detected by
BF and BacT/A (6 to <=12 h incubation); lower detection rates of BacT/A were
obtained within 6 hours of incubation (<=6 h: 76.2-93.4%). The majority of low
pathogenic isolates are also capable of growing in PCs (89.7%), showing a
detection rate of 74.3% for BF versus 54.3% for BacT/A (6 to <=12 h incubation).
BacT/A failed to detect bacteria within 6 hours of incubation. Certainly, a small
number of strains did not grow under PC storage conditions and were detectable by
BacT/A only with increased detection times. CONCLUSIONS: Late sampling after 3
days at the earliest, combined with reduced BacT/A incubation following the
negative-to-date concept, offer an alternative opportunity to extend the shelf
life of PCs from 4 to 5 days in Germany. The sensitivity of BacT/A with late
sampling is nearly comparable to BF; the time-to-result is considerably longer.
PMID- 29656458
TI - How ecological communities respond to artificial light at night.
AB - Many ecosystems worldwide are exposed to artificial light at night (ALAN), from
streetlights and other sources, and a wide range of organisms has been shown to
respond to this anthropogenic pressure. This raises concerns about the
consequences for major ecosystem functions and their stability. However, there is
limited understanding of how whole ecological communities respond to ALAN, and
this cannot be gained simply by making predictions from observed single species
physiological, behavioral, or ecological responses. Research needs to include an
important building block of ecological communities, namely the interactions
between species that drive ecological and evolutionary processes in ecosystems.
Here, we summarize current knowledge about community responses to ALAN and
illustrate different pathways and their impact on ecosystem functioning and
stability. We discuss that documentation of the impact of ALAN on species
interaction networks and trait distributions provides useful tools to link
changes in community structure to ecosystem functions. Finally, we suggest
several approaches to advance research that will link the diverse impact of ALAN
to changes in ecosystems.
PMID- 29656459
TI - Desktop-Stereolithography 3D-Printing of a Poly(dimethylsiloxane)-Based Material
with Sylgard-184 Properties.
AB - The advantageous physiochemical properties of poly(dimethylsiloxane) (PDMS) have
made it an extremely useful material for prototyping in various technological,
scientific, and clinical areas. However, PDMS molding is a manual procedure and
requires tedious assembly steps, especially for 3D designs, thereby limiting its
access and usability. On the other hand, automated digital manufacturing
processes such as stereolithography (SL) enable true 3D design and fabrication.
Here the formulation, characterization, and SL application of a 3D-printable PDMS
resin (3DP-PDMS) based on commercially available PDMS-methacrylate macromers, a
high-efficiency photoinitiator and a high-absorbance photosensitizer, is
reported. Using a desktop SL-printer, optically transparent submillimeter
structures and microfluidic channels are demonstrated. An optimized blend of PDMS
methacrylate macromers is also used to SL-print structures with mechanical
properties similar to conventional thermally cured PDMS (Sylgard-184).
Furthermore, it is shown that SL-printed 3DP-PDMS substrates can be rendered
suitable for mammalian cell culture. The 3DP-PDMS resin enables assembly-free,
automated, digital manufacturing of PDMS, which should facilitate the prototyping
of devices for microfluidics, organ-on-chip platforms, soft robotics, flexible
electronics, and sensors, among others.
PMID- 29656460
TI - Learning from Barres.
PMID- 29656461
TI - Applicability of internet search index for asthma admission forecast using
machine learning.
AB - OBJECTIVE: This study aimed to determine whether a search index could provide
insight into trends in asthma admission in China. An Internet search index is a
powerful tool to monitor and predict epidemic outbreaks. However, whether using
an internet search index can significantly improve asthma admissions forecasts
remains unknown. The long-term goal is to develop a surveillance system to help
early detection and interventions for asthma and to avoid asthma health care
resource shortages in advance. METHODS: In this study, we used a search index
combined with air pollution data, weather data, and historical admissions data to
forecast asthma admissions using machine learning. RESULTS: Results demonstrated
that the best area under the curve in the test set that can be achieved is 0.832,
using all predictors mentioned earlier. CONCLUSION: A search index is a powerful
predictor in asthma admissions forecast, and a recent search index can reflect
current asthma admissions with a lag-effect to a certain extent. The addition of
a real-time, easily accessible search index improves forecasting capabilities and
demonstrates the predictive potential of search index.
PMID- 29656462
TI - KDM1A regulated the osteo/dentinogenic differentiation process of the stem cells
of the apical papilla via binding with PLOD2.
AB - OBJECTIVES: Dental tissue-derived mesenchymal stem cells (MSCs)-mediated pulp
dentin regeneration is considered a potential approach for the regeneration of
damaged teeth. Enhancing MSC-mediated pulp-dentin regeneration is based on an
understanding of the molecular mechanisms underlying directed cell
differentiation process. Histone demethylation enzyme, lysine demethylase 1A
(KDM1A) can regulate the differentiation of some MSCs, but its role in dental
tissue-derived MSCs is unclear. MATERIAL AND METHODS: We obtained SCAPs from
immature teeth. Alkaline phosphatase (ALP) activity assay, Alizarin red staining,
quantitative calcium analysis, osteogenesis-related genes expression and in vivo
transplantation experiment were used to explore the osteo/dentinogenic
differentiation. Co-immunoprecipitation (Co-IP) assay was used to investigate the
binding protein. RESULTS: Knock-down of KDM1A reduced ALP activity and
mineralization, promoted the expressions of osteo/dentinogenic differentiation
markers DSPP, DMP1, BSP and key transcript factors, RUNX2, OSX, DLX2 in SCAPs,
and also enhanced the osteo/dentinogenesis in vivo. In addition, KDM1A could
associate with PLOD2 to form protein complex. And knock-down of PLOD2 inhibited
ALP activity and mineralization, and promoted the expressions of DSPP, DMP1, BSP,
RUNX2, OSX and DLX2 in SCAPs. CONCLUSIONS: KDM1A might have different role in
different stages of osteo/dentinogenic differentiation process by binding partner
with PLOD2, and finally resulted in the inhibited function for the
osteo/dentinogenesis in SCAPs. Our studies provided a further understanding of
the regulatory mechanisms of dynamic osteo/dentinogenic differentiation process
in dental tissue MSCs.
PMID- 29656463
TI - Rural Health Networks: How Network Analysis Can Inform Patient Care and
Organizational Collaboration in a Rural Breast Cancer Screening Network.
AB - PURPOSE: Rural health networks have the potential to improve health care quality
and access. Despite this, the use of network analysis to study rural health
networks is limited. The purpose of this study was to use network analysis to
understand how a network of rural breast cancer care providers deliver services
and to demonstrate the value of this methodology in this research area. METHODS:
Leaders at 47 Federally Qualified Health Centers and Rural Health Clinics across
10 adjacent rural counties were asked where they refer patients for mammograms or
breast biopsies. These clinics and the 22 referral providers that respondents
named comprised the network. The network was analyzed graphically and
statistically with exponential random graph modeling. FINDINGS: Most (96%, n =
45) of the clinics and referral sites (95%, n = 21) are connected to each other.
Two clinics of the same type were 62% less likely to refer patients to the same
providers as 2 clinics of different types (OR = 0.38, 95% CI = 0.29-0.50).
Clinics in the same county have approximately 8 times higher odds of referring
patients to the same providers compared to clinics in different counties (OR =
7.80, CI = 4.57-13.31). CONCLUSIONS: This study found that geographic location of
resources is an important factor in rural health care providers' referral
decisions and demonstrated the usefulness of network analysis for understanding
rural health networks. These results can be used to guide delivery of patient
care and strengthen the network by building resources that take location into
account.
PMID- 29656464
TI - Design and synthesis of novel annulated thienopyrimidines as phosphodiesterase 5
(PDE5) inhibitors.
AB - Novel cycloalkene-fused thienopyrimidine analogues with enhanced
phosphodiesterase 5 (PDE5) inhibitory properties are presented. The structure of
the reported scaffold was modulated through variation of the terminal cycloalkene
ring size, as well as by varying the substituents at position 4 through the
attachment of different groups including aniline, benzylamine,
cyclohexylethylamine, methyl/acetyl/aryl piperazines, and aryl hydrazones.
Compound 15Y with a benzylamine substituent and cycloheptene as terminal ring
showed the highest PDE5 inhibitory activity with an IC50 value as low as 190 nM
and with good selectivity versus PDE7 and PDE9.
PMID- 29656465
TI - Structural Characterization of the Interaction of the Fibroblast Growth Factor
Receptor with a Small Molecule Allosteric Inhibitor.
AB - The interaction of fibroblast growth factors (FGFs) with their fibroblast growth
factor receptors (FGFRs) are important in the signaling network of cell growth
and development. SSR128129E (SSR), a ligand of small molecular weight with
potential anti-cancer properties, acts allosterically on the extracellular
domains of FGFRs. Up to now, the structural basis of SSR binding to the D3 domain
of FGFR remained elusive. This work reports the structural characterization of
the interaction of SSR with one specific receptor, FGFR3, by NMR spectroscopy.
This information provides a basis for rational drug design for allosteric FGFR
inhibitors.
PMID- 29656467
TI - Single-use disposable digital flexible ureteroscopes: an ex vivo assessment and
cost analysis.
AB - OBJECTIVE: To assess and measure the capability of a single-use disposable
digital flexible ureteroscope, the LithoVueTM (Boston Scientific, Marlborough,
MA, USA), and to assess if there is a benefit to switching to single-use scopes.
PATIENTS AND METHODS: The LithoVue was compared to two commonly used reusable
flexible ureteroscopes (Olympus URF-V [Olympus, Tokyo, Japan] and Karl Storz Flex
Xc [Karl Storz & Co. KG, Tuttlingen, Germany]) ex vivo. An analysis of reusable
ureteroscope usage was performed to evaluate damage, durability, and maintenance
costs. This was then compared to the projected costs of using single-use
disposable scopes. RESULTS: Flexion, deflection and irrigation flow of the
LithoVue was equivalent, if not better than the reusable flexible ureteroscopes.
An analysis of 234 procedures with seven new Olympus URF-V scopes, revealed 15
scope damages. Staghorn stones and lower pole/mid-zone stones were significant
risk factors for damage (P = 0.014). Once damage occurred it was likely to occur
again. Total repair costs were $162 628 (Australian dollars) (L92 411 in Great
British pounds), the mean cost per case was $695 (L395). Factoring in the
purchase cost, cleaning and repair costs, the cumulative cost of 28 reusable
flexible ureteroscopy procedures was ~$50 000 (L28 412). If the LithoVue was
priced at $1 200 (L682), switching to a single-use scope would cost ~$35 000 (L19
888). CONCLUSION: The LithoVue is analogous to reusable flexible ureteroscopes in
regard to standard technical metrics. Depending on its purchase cost it may also
represent a cost saving for hospitals when compared to the cumulative costs of
maintaining reusable scopes. Additionally, urologist may consider using the scope
in cases in which reusable scope damage is anticipated.
PMID- 29656466
TI - Evaluation of high-fat high-fructose diet treatment in factor VIII (coagulation
factor)-deficient mouse model.
AB - Non-alcoholic fatty liver disease (NAFLD)-like conditions enhance the production
and action of clotting factors in humans. However, studies examining the effect
of NAFLD due to high-fat high-fructose (HFHF) diet in factor VIII-deficient
(haemophilia A) animals or patients have not been reported previously. In this
study, we investigated the individual role of factor VIII in the progression of
diet-induced NAFLD in the factor 8-/- (F8-/- ) mouse model system and its
consequences on the haemophilic status of the mice. The F8-/- mice were fed with
HFHF diet for 14 weeks. Physiological, biochemical, haematological, molecular,
pathological, and immune histochemical analyses were performed to evaluate the
effect of this diet. The F8-/- mice developed hepatic steatosis after 14 weeks
HFHF diet and displayed lower energy metabolism, higher myeloid cell infiltration
in the liver, decreased platelet count, upregulated de novo fatty acid synthesis,
lipid accumulation, and collagen deposition. This study helps to understand the
role of factor VIII in NAFLD pathogenesis and to analyse the severity and
consequences of steatosis in haemophilic patients as compared to normal
population. This study suggests that haemophilic animals (F8-/- mice) are highly
prone to hepatic steatosis and thrombocytopenia.
PMID- 29656468
TI - Seed dispersal in the mycoheterotrophic orchid Yoania japonica: Further evidence
for endozoochory by camel crickets.
AB - Although orthopterans are rarely considered to be effective seed dispersal
agents, the large flightless crickets known as 'weta' have been suggested to
function as ecological replacements for small mammals in New Zealand, where such
mammals are absent. In addition, a recent study reported that camel crickets
mediate seed dispersal of several heterotrophic plants, including Yoania
amagiensis in Japan. I investigated the seed dispersal mechanism of Yoania
japonica because the fruit morphology is similar to Y. amagiensis. Specifically,
I aimed to determine whether Y. japonica fruits are consumed by camel crickets
and, if so, whether the seeds defecated by camel crickets remains intact, by
checking seed viability with TTC staining, and whether germination rate is
different between seeds collected directly from fruits and defecated seeds by
comparing in situ seed germinability. The present study provides evidence that
camel crickets function as seed dispersal agents of Y. japonica. Camel crickets
were important consumers of Y. japonica fruits, and a substantial portion of the
consumed seeds remained viable after passing through the digestive tract. In situ
seed germination experiments revealed that the seeds defecated by camel crickets
actually germinated in the field. In addition, the germination rate of defecated
seeds was even higher than that of intact seeds, although the difference was not
significant. Taken together with recent reports of insect-mediated endozoochory,
such a seed dispersal system may be common in plants with fleshy indehiscent
fruits and small seeds, even in locations where other seed dispersal agents are
present.
PMID- 29656469
TI - Mortality and nursing home placement of dementia patients in rural and urban
areas: a cohort study from the Swedish Dementia Registry.
AB - BACKGROUND: Life in rural and urban areas differs in regard to social support and
health care. Our aim was to examine the association between nursing home
placement and survival of patients with dementia living in urban vs. rural areas.
METHODS: We performed a longitudinal cohort study of patients with dementia at
time of diagnosis (n = 58 154) and at first follow-up (n = 21 522) including
patients registered from 2007 through 2014 in the Swedish Dementia Registry
(SveDem). Descriptive statistics are shown. Odds ratios with 95% CI are presented
for nursing home placement and hazard ratios for survival analysis. RESULTS: In
age- and sex-adjusted analyses, patients living in urban areas were more likely
to be in nursing homes at the time of dementia diagnosis than patients in rural
areas (1.49, 95% CI: 1.29-1.73). However, there were no differences in rural vs
urban areas in either survival after dementia diagnosis (urban: 0.99, 0.95-1.04,
intermediate: 1.00, 0.96-1.04), or nursing home placement at first follow-up
(urban: 1.00, 0.88-1.13; intermediate: 0.95, 0.85-1.06). CONCLUSION: Persons with
dementia living in rural areas are less likely to live in a nursing home than
their urban counterparts at the time of dementia diagnosis, but these differences
disappear by the time of first follow-up. Differences in access to nursing homes
between urban and rural settings could explain these findings. Results should be
considered in the future healthcare decisions to ensure equality of health care
across rural and urban areas.
PMID- 29656470
TI - Mechanical and in vitro degradation behavior of magnesium-bioactive glass
composites prepared by SPS for biomedical applications.
AB - In order to make magnesium (Mg) a successful candidate for fracture fixation
devices, it is imperative to control the corrosion rate and enhance its elastic
modulus. In the present work, we have prepared bioactive glass (BG) reinforced
magnesium composite using spark plasma sintering (SPS). Simultaneous application
of heat and pressure during SPS decreased the softening point of BG (600 degrees
C), allowing it to coat the Mg particles partially. As a result, BG was found
along the Mg particle boundaries, which was confirmed by elemental mapping.
Addition of BG improved microhardness and elastic modulus of Mg-BG composites.
Corrosion behavior was studied by hydrogen evolution and immersion corrosion in
phosphate buffered saline (PBS). After 64 h of immersion, Mg-10 wt % BG composite
showed highest corrosion resistance. Quantitative micro-computed tomography
(micro-CT) results indicated porosity increase in Mg-BG composites during
immersion. The maximum increase in porosity (1.66%) was noticed for pure Mg while
the minimum for Mg-10 wt % BG composite. MG63 cell-material interactions, using
extract method, showed good cytocompatibility for Mg-10 wt % BG composite. The
concentration of Mg ion in cell culture media was measured using atomic
absorption spectroscopy after 24 h immersion of Mg/BG composites. The results
indicated that using BG as reinforcement and SPS as sintering method; we can
prepare corrosion resistant and high modulus Mg-BG composites that can be used
for fabricating bone fracture fixation plates. (c) 2018 Wiley Periodicals, Inc. J
Biomed Mater Res Part B: Appl Biomater, 2018.
PMID- 29656471
TI - Shape-Controlled Synthesis of Colloidal Metal Nanocrystals by Replicating the
Surface Atomic Structure on the Seed.
AB - Controlling the surface structure of metal nanocrystals while maximizing the
utilization efficiency of the atoms is a subject of great importance. An emerging
strategy that has captured the attention of many research groups involves the
conformal deposition of one metal as an ultrathin shell (typically 1-6 atomic
layers) onto the surface of a seed made of another metal and covered by a set of
well-defined facets. This approach forces the deposited metal to faithfully
replicate the surface atomic structure of the seed while at the same time serving
to minimize the usage of the deposited metal. Here, the recent progress in this
area is discussed and analyzed by focusing on the synthetic and mechanistic
requisites necessary for achieving surface atomic replication of precious metals.
Other related methods are discussed, including the one-pot synthesis,
electrochemical deposition, and skin-layer formation through thermal annealing.
To close, some of the synergies that arise when the thickness of the deposited
shell is decreased controllably down to a few atomic layers are highlighted,
along with how the control of thickness can be used to uncover the optimal
physicochemical properties necessary for boosting the performance toward a range
of catalytic reactions.
PMID- 29656472
TI - In vitro and in vivo characterization of an interleukin-15 antagonist peptide by
metabolic stability, 99m Tc-labeling, and biological activity assays.
AB - Interleukin (IL)-15 is an inflammatory cytokine that constitutes a validated
therapeutic target in some immunopathologies, including rheumatoid arthritis
(RA). Previously, we identified an IL-15 antagonist peptide named [K6T]P8, with
potential therapeutic application in RA. In the current work, the metabolic
stability of this peptide in synovial fluids from RA patients was studied.
Moreover, [K6T]P8 peptide was labeled with 99m Tc to investigate its stability in
human plasma and its biodistribution pattern in healthy rats. The biological
activity of [K6T]P8 peptide and its dimer was evaluated in CTLL-2 cells, using 3
different additives to improve the solubility of these peptides. The half-life of
[K6T]P8 in human synovial fluid was 5.88 +/- 1.73 minutes, and the major chemical
modifications included peptide dimerization, cysteinylation, and methionine
oxidation. Radiolabeling of [K6T]P8 with 99m Tc showed a yield of approximately
99.8%. The 99m Tc-labeled peptide was stable in a 30-fold molar excess of
cysteine and in human plasma, displaying a low affinity to plasma proteins.
Preliminary biodistribution studies in healthy Wistar rats suggested a slow
elimination of the peptide through the renal and hepatic pathways. Although
citric acid, sucrose, and Tween 80 enhanced the solubility of [K6T]P8 peptide and
its dimer, only the sucrose did not interfere with the in vitro proliferation
assay used to assess their biological activity. The results here presented,
reinforce nonclinical characterization of the [K6T]P8 peptide, a potential agent
for the treatment of RA and other diseases associated with IL-15 overexpression.
PMID- 29656473
TI - Rapid Electron Transfer of Stacked Heterodimers of Perylene Diimide Derivatives
in a DNA Duplex.
AB - The preparation of homo- and heterocomplexes composed of the parent perylene
diimide (PH) and pyrrolidine-substituted perylene diimide (PN) in DNA and rapid
electron transfer in these complexes, which has been analyzed by steady-state
fluorescence and femtosecond transient absorption measurements, have been
demonstrated. The DNA molecules possessing PH and PN were prepared through a
recently developed method that involved the reaction of enzymatically generated
abasic sites with the amino groups of the perylene diimide molecules, through
which these molecules can be incorporated as base surrogates within the DNA base
stack. Melting temperature analysis showed that the PH and PN monomers, and their
homo- and heterodimers, contribute to the stabilization of the DNA duplex, and is
comparable to that of natural base pairs. Fluorescence measurements showed that
PH in a single-stranded oligopyrimidine showed a strong fluorescence, whereas the
fluorescence of PH was completely quenched upon pairing with PN (PH/PN) through
duplex formation. The transient absorption measurements showed that a rapid
electron-transfer reaction in the stacked PH/PN heterodimer occurred on a sub
picosecond timescale, which allowed highly efficient fluorescence quenching. The
PH/PN pair, which served as a fluorophore and quencher, were utilized to design
molecular beacon probes with a high signal/noise ratio. The PH/PN pair was also
capable of forming a stable, stacked dimer structure and induced rapid electron
transfer that could serve as a good signal reporter for fluorescent nucleic acid
detection.
PMID- 29656474
TI - Assessment of motivation levels and associated factors among the nursing staff of
tertiary-level government hospitals.
AB - The present study assessed the motivation level of nurses working in 3 highly
decorated tertiary-level government hospitals of India and also underpins the
factors attributing to motivation levels. A sequential mixed-method design was
used in this study wherein 400 nurses working in 5 units of nursing care in the
hospitals were enrolled based upon proportionate random stratified sampling
techniques. A self-administered questionnaire with Likert scale was developed
based upon scale used by Mbindyo et al. The attributes of motivation were then
categorized into external and internal attributes. For the qualitative component,
participants with varied responses in quantitative data were selected and
interviewed. Overall mean motivation score of the nursing staff was found 3.57 +/
0.93, which was higher for extrinsic motivational attributes (3.67 +/- 0.88) as
compared with intrinsic attributes (3.47 +/- 0.98). The intrinsic motivational
attribute of organizational commitment was rated highest followed by general
motivation, conscientiousness, and self-efficacy. Personal issues, timeliness,
and burnout were prime discouraging attributes among study participants.
Sociodemographic characteristics and work profile characteristics showed
significant relationship with the attributes of motivation. This study
underscores the significance of different attributes of motivation which needs to
be considered while framing administrative strategies and policy guidelines by
authorities.
PMID- 29656475
TI - Hydrogenation of Multiple Bonds by Geminal Aminoborane-Based Frustrated Lewis
Pairs.
AB - The hydrogenation reaction of multiple bonds that is mediated by geminal
aminoborane-based frustrated Lewis pairs (FLPs) has been explored by means of
density functional theory calculations. It was found that the release of the
activated dihydrogen occurred in a concerted, yet highly asynchronous, manner.
The physical factors that control the transformation were quantitatively
described in detail by using the activation strain model of reactivity in
combination with the energy decomposition analysis method. This approach
suggested a cooperative double hydrogen-transfer mechanism, which involves the
initial migration of the protic (N)H followed by the nucleophilic attack of the
(B)H hydride to the carbon atom of the multiple bond. The influence of both the
substituents directly attached to the boron atom of the initial FLP and the
nature of the multiple bond on the transformation was also investigated.
PMID- 29656476
TI - Topiramate-induced secondary angle closure: salient points in management.
PMID- 29656477
TI - Structure-Property Relations in New Cyclic Galactaric Acid Derived Monomers and
Polymers Therefrom: Possibilities and Challenges.
AB - In order to fully exploit the potential of carbohydrate-based monomers, different
(and some new) functionalities are introduced on galactaric acid via
acetalization, and subsequently, partially-biobased polyamides are prepared
therefrom via polycondensation in the melt. Compared to nonsubstituted linear
monomer, faster advancement of the reaction is observed for the different
biacetal derivatives of galactaric acid. This kinetic observation is of great
significance since it allows conducting a polymerization reaction at lower
temperatures than normally expected for polyamides, which allows overcoming
typical challenges (e.g., thermal degradation) encountered upon polymerization of
carbohydrate-derived monomers in the melt. The polymers derived from the modified
galactaric acid monomers vary in terms of glass transition temperature, thermal
stability, hydrophilicity, and functionality.
PMID- 29656478
TI - Transplantation of Neural Progenitor Cells Expressing Glial Cell Line-Derived
Neurotrophic Factor into the Motor Cortex as a Strategy to Treat Amyotrophic
Lateral Sclerosis.
AB - Early dysfunction of cortical motor neurons may underlie the initiation of
amyotrophic lateral sclerosis (ALS). As such, the cortex represents a critical
area of ALS research and a promising therapeutic target. In the current study,
human cortical-derived neural progenitor cells engineered to secrete glial cell
line-derived neurotrophic factor (GDNF) were transplanted into the SOD1G93A ALS
rat cortex, where they migrated, matured into astrocytes, and released GDNF. This
protected motor neurons, delayed disease pathology and extended survival of the
animals. These same cells injected into the cortex of cynomolgus macaques
survived and showed robust GDNF expression without adverse effects. Together this
data suggests that introducing cortical astrocytes releasing GDNF represents a
novel promising approach to treating ALS. Stem Cells 2018;36:1122-1131.
PMID- 29656479
TI - Fiber length and concentration: Synergistic effect on mechanical and cellular
response in wet-laid poly(lactic acid) fibrous scaffolds.
AB - In the area of biomaterials, fibers not only offer increased mechanical response,
but also serve as an extracellular matrix mimicking morphology to direct cellular
attachment and proliferation. While biologically similar in morphology, soft, and
flexible hydrogel materials have low mechanical properties. For applications in
tissue engineering, the lack of directional cues and attachment regions within
the biogels is undesired as cells require a guide for adequate attachment and
organized proliferation. In this work, we have investigated the role of
poly(lactic acid) (PLA) fiber length and concentration as a reinforcement phase
in a gelatin hydrogel matrix and the resultant mechanical and cellular responses.
With increasing fiber length and concentration, the ultimate tensile strength,
modulus, and toughness increased for the samples. Similarly, for shorter fiber
lengths, the loss and storage modulus increased with fiber concentration. After
seeding human mesenchymal stem cells (hMSCs) onto the neat fibrous scaffolds it
was found that the fabrication process imparted no cytotoxicity. Furthermore, it
was the concentrations and lengths of fiber both caused discernable differences
in cell viability at the extreme values. Fibers of all lengths, when in a 4.0 wt
% concentration, had a decrease in cell viability after 10 days while the 12.7 mm
fibers showed a similar response at 2.0 wt %, but all stayed about 90% viability.
With increased incubation time, hMSCs became elongated with increased
proliferation. These results indicate that the wet-lay process is a rapid and
scalable method by which fibrous 3D-scaffolds can be produced to reinforce
hydrogel matrices. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part B:
Appl Biomater, 2018.
PMID- 29656480
TI - Development of a risk-prediction model for Middle East respiratory syndrome
coronavirus infection in dialysis patients.
AB - Introduction The Middle East respiratory syndrome coronavirus (MERS-CoV)
infection can cause transmission clusters and high mortality in hemodialysis
facilities. We attempted to develop a risk-prediction model to assess the early
risk of MERS-CoV infection in dialysis patients. Methods This two-center
retrospective cohort study included 104 dialysis patients who were suspected of
MERS-CoV infection and diagnosed with rRT-PCR between September 2012 and June
2016 at King Fahd General Hospital in Jeddah and King Abdulaziz Medical City in
Riyadh. We retrieved data on demographic, clinical, and radiological findings,
and laboratory indices of each patient. Findings A risk-prediction model to
assess early risk for MERS-CoV in dialysis patients has been developed.
Independent predictors of MERS-CoV infection were identified, including chest
pain (OR = 24.194; P = 0.011), leukopenia (OR = 6.080; P = 0.049), and elevated
aspartate aminotransferase (AST) (OR = 11.179; P = 0.013). The adequacy of this
prediction model was good (P = 0.728), with a high predictive utility (area under
curve [AUC] = 76.99%; 95% CI: 67.05% to 86.38%). The prediction of the model had
optimism-corrected bootstrap resampling AUC of 71.79%. The Youden index yielded a
value of 0.439 or greater as the best cut-off for high risk of MERS infection.
Discussion This risk-prediction model in dialysis patients appears to depend
markedly on chest pain, leukopenia, and elevated AST. The model accurately
predicts the high risk of MERS-CoV infection in dialysis patients. This could be
clinically useful in applying timely intervention and control measures to prevent
clusters of infections in dialysis facilities or other health care settings. The
predictive utility of the model warrants further validation in external samples
and prospective studies.
PMID- 29656481
TI - Toward in vivo quantification of induced RF currents on long thin conductors.
AB - PURPOSE: Most MR-guided catheter-based procedures, and imaging of patients with
implanted medical devices, are currently contraindicated due to a significant
risk of heating associated with induced RF currents. The induced RF current
produces a corresponding artifact which can be used to remotely characterize
current and safely predict RF heating. Application of this remote technique in
vivo to safely quantify RF heating risk may allow for execution of many scans
currently contraindicated. Sources of phase other than induced RF current may
present difficulty in practical in vivo. METHODS: A custom ultra-short echo time
(UTE) sequence was developed to minimize unwanted phase contributions. A phantom
experiment was performed to compare current characterization using a stock
gradient-echo (GRE) sequence and the custom UTE sequence following calibration of
the temperature measurement apparatus using a previously published heating
prediction technique. Animal experiments were used to investigate the feasibility
of using the UTE sequence to quantify RF heating. RESULTS: Current
characterization and heating prediction with a stock GRE sequence was equivalent
to that with the custom UTE sequence. Heating measurements and image-based
predictions in animal experiments agreed within error in all experiments.
CONCLUSION: Through comparison of measured heating and image-based prediction,
feasibility of using a custom UTE sequence to quantify RF heating risk in vivo
was demonstrated.
PMID- 29656482
TI - Kindlin-3 negatively regulates the release of neutrophil extracellular traps.
AB - Neutrophils fight infections by generating reactive oxygen species (ROS) and
extracellular traps (NETs). However, how neutrophils modulate ROS/NET generation
is mechanistically unclear. Kindlin-3, an essential integrin activator expressed
in hematopoietic cells, is required to support integrin-mediated neutrophil
recruitment during inflammation. Here, we report a novel role of kindlin-3 in
regulating ROS/NET generation in neutrophils. When overexpressing kindlin-3 in
neutrophil-like differentiated HL-60 cells (HL-60N), ROS/NET generation from
these cells were significantly suppressed. Interestingly, overexpression of a
kindlin-3 mutant that is defective for interacting with integrins in HL-60N cells
still inhibited ROS/NET generation, suggesting that the role of kindlin-3 in
inhibiting ROS/NET signaling may be independent of its binding to integrins.
Consistently, knockdown of kindlin-3 in HL-60N cells led to enhanced ROS/NET
generation. In addition, bone marrow neutrophils isolated from kindlin-3
deficient mice showed elevated ROS/NET generation when compared with WT
counterparts. As expected, overexpression of exogenous kindlin-3 in mouse
neutrophils could suppress NET release ex vivo and in vivo. Collectively, these
results demonstrate that kindlin-3 in neutrophils is involved in modulating the
ROS/NET signaling, providing a novel mechanism for fine-tuning neutrophil
behaviors during inflammation.
PMID- 29656483
TI - Stress distributions in internal resorption cavities restored with different
materials at different root levels: A finite element analysis study.
AB - The aim of this study was to evaluate the stresses within simulated roots with
internal resorption cavities at the apical, middle and coronal root levels, after
obturation with gutta-percha and/or MTA utilising finite element analysis (FEA).
Mandibular premolar teeth with internal resorption cavities at different root
levels were modelled. Models were restored with gutta-percha and/or MTA. An
oblique force of 300 N was applied and stress evaluations were carried out. In
the MTA-filled resorption models, the stresses were distributed more
homogeneously than the gutta-percha filled models, and the stress concentrations
were lower in the remaining dentinal tissues. If the whole root is considered,
the fully gutta-percha-filled models generated the highest stress values.
Differences between the fully MTA-filled models and hybrid techniques were
present only in the apical resorption models. Both the MTA and combination of MTA
and gutta-percha can be suggested for use in clinical practice, in cases of
internal root resorption cavity obturation.
PMID- 29656484
TI - Did poor eyesight influence Goya's late works? Medicine and art history in search
for an interpretation of Goya's late paintings.
AB - PURPOSE: The aim of this article is to 'determine' the scope of Goya's eyesight
difficulties and assess the extent to which those difficulties might explain his
style of painting in the last years of his life. METHODS: We analyse the
correspondence and late works of the Aragonese painter Francisco de Goya y
Lucientes (1746-1828), who has been admired for his use of colour, his energetic
loose brushstrokes, his disregard for details and his bold compositions, as well
as for his different artistic styles throughout his life. RESULTS: The evolution
of Goya's style of painting in his later works seems to have been the consequence
of an eyesight condition, probably age-related cataracts at an advanced stage.
The faded dark backgrounds, which become blurred with the silhouette of the
person portrayed, could indicate a certain degree of eye strain. This can be
traced in all these works, but is especially evident in the unfinished portrait
of Pio de Molina (1827-1828), as well as in the portraits of Mariano Goya, the
artist's grandson (1827), and Jacques Galos (1826). CONCLUSION: It has been
considered that the late and isolated Goya's sight problems were a belated
consequence of his severe illness of 1792. Nevertheless, in our opinion, this is
a simplistic explanation and, given the painter's age, it is logical to presume
that their cause could be age-related lens opacities. This article argues that
medicine may become a subsidiary science to art history, as it can provide
empirical evidence of the way painters' illnesses may have a strong impact on
their artworks.
PMID- 29656485
TI - A case of secretory carcinoma of the parotid gland provides cytological clues for
the differential diagnosis of this disease.
PMID- 29656486
TI - The Effect of End Group and Molecular Weight on the Yellowness of Polyetherimide.
AB - The effects of end group and molecular weight on the yellowness of telechelic
polyetherimide (PEI) are investigated. Electron-withdrawing dianhydride end
groups reduce the yellowness and increase the transparency of PEI regardless of
the molecular weight. Electron-donating phenyl, amine, and phthalic end groups
increase the yellowness of PEI but the effect depends on the molecular weight. As
the molecular weight is increased, the yellowness of PEIs with electron-donating
end groups initially decreases due to a decreasing end-group density and then
increases due to an increasing probability of charge-transfer complex formation.
The systematic study reveals the correlations among yellowness, end group, and
molecular weight of PEIs. The correlations can be used for designing highly
transparent PEIs and other high-performance polymers.
PMID- 29656487
TI - Experimental Research Requires Valid and Sensitive Measures of Alcohol Intake,
and This is a Step in the Right Direction: Commentary on Leeman and Colleagues
(2018).
PMID- 29656488
TI - Importance of coverage and quality for impact of nutrition interventions
delivered through an existing health programme in Bangladesh.
AB - Understanding implementation of interventions is critical to illuminate if, how,
and why the interventions achieve impact. Alive & Thrive integrated a nutrition
intervention into an existing maternal, neonatal, and child health (MNCH)
programme in Bangladesh, documenting improvements in women's micronutrient
supplement intake and dietary diversity. Here, we examined how well the nutrition
intervention was implemented and which elements of implementation explained
intervention impact. Survey data were collected in 2015 and 2016 from frontline
health workers (FLW) and households in areas randomized to nutrition-focused MNCH
(intensified interpersonal counselling, community mobilization, distribution of
free micronutrient supplements, and weight-gain monitoring) or standard MNCH
(antenatal care with standard nutrition counselling). Seven intervention elements
were measured: time commitment, training quality, knowledge, coverage,
counselling quality, supervision, and incentives. Multiple regression was used to
derive difference-in-differences (DID) estimates. Using village-level endline
data, path analysis was used to determine which elements most explained
intervention impacts. FLWs in both areas were highly committed and well
supervised. Coverage was high (>90%) for counselling, supplement provision, and
weight-gain monitoring. Improvements were significantly greater for nutrition
focused MNCH, versus standard MNCH, for training quality (DID: 2.42 points of
10), knowledge (DID: 1.20 points), delivery coverage (DID: 4.16 points), and
counselling quality (DID: 1.60 points). Impact was substantially explained by
coverage and delivery quality. In conclusion, integration nutrition intervention
into the MNCH programme was feasible and well-implemented. Although differences
in coverage and counselling quality most explained impacts, all intervention
elements-particularly FLW training and performance-were likely important to
achieving impact.
PMID- 29656489
TI - Photocatalytic Hybrid Semiconductor-Metal Nanoparticles; from Synergistic
Properties to Emerging Applications.
AB - Hybrid semiconductor-metal nanoparticles (HNPs) manifest unique combined and
often synergetic properties stemming from the materials combination. These
structures exhibit spatial charge separation across the semiconductor-metal
junction upon light absorption, enabling their use as photocatalysts. So far, the
main impetus of photocatalysis research in HNPs addresses their functionality in
solar fuel generation. Recently, it was discovered that HNPs are functional in
efficient photocatalytic generation of reactive oxygen species (ROS). This has
opened the path for their implementation in diverse biomedical and industrial
applications where high spatially temporally resolved ROS formation is essential.
Here, the latest studies on the synergistic characteristics of HNPs are
summarized, including their optical, electrical, and chemical properties and
their photocatalytic function in the field of solar fuel generation is briefly
discussed. Recent studies are then focused concerning photocatalytic ROS
formation with HNPs under aerobic conditions. The emergent applications of this
capacity are then highlighted, including light-induced modulation of enzymatic
activity, photodynamic therapy, antifouling, wound healing, and as novel
photoinitiators for 3D-printing. The superb photophysical and photocatalytic
properties of HNPs offer already clear advantages for their utility in scenarios
requiring on-demand light-induced radical formation and the full potential of
HNPs in this context is yet to be revealed.
PMID- 29656490
TI - Cross-cultural adaptation, reliability and validity of the Spanish version of the
Neonatal Skin Risk Assessment Scale.
AB - AIM: To adapt the Neonatal Skin Risk Assessment Scale to the Spanish context and
to test its validity and reliability. BACKGROUND: Currently, in Spain there are
no validated scales to evaluate the risk of pressure ulcers in infants. METHOD:
The research was performed in 10 neonatal units. Overall, we use an observational
study design, but divided it in to three stages. In the first stage, the
transcultural adaptation of the scale and its content validation was performed.
For the second stage, the inter-rater/intra-rater agreement and construct
validity were evaluated using a cross-sectional design. Finally, in the third
stage, a cohort study to analyse pressure ulcers' incidence, diagnostic tests and
the cut-off points of the scale was performed. RESULTS: In the first phase, the
content validity index was 0.93. In the second phase (336 neonates), the intra
rater reliability was 0.93 and the inter-rater reliability was 0.97. The
construct validity has shown a two-dimensional model that fits better,
representing "pressure duration and intensity" and "skin immaturity." In the
third phase (268 neonates) the best values were those presented by the score 17:
receiver operating characteristic curve was 0.84, showing a sensitivity of
91.18%, specificity of 76.50%, positive predictive value of 36.05% and negative
predictive value of 98.35%. CONCLUSION: The scale has shown evidence of validity
and reliability to measure the neonatal risk of pressure ulcers in the Spanish
context. IMPLICATIONS FOR NURSING MANAGEMENT: Pressure ulcers are an adverse
event recognised in paediatric units and specifically in neonatal units. The
intent of the Spanish Neonatal Skin Risk Assessment Scale is to identify
hospitalised neonates requiring prevention measures and their specific risk
factors, to provide useful diagnostic information to improve the neonatal skin
care into Spanish speaking countries. The Neonatal Skin Risk Assessment Scale
could ensure the efficient and effective allocation of limited preventive
resources, support clinical and management decisions, allow risk-adjusted cases
in epidemiological studies, facilitate the development of risk assessment
protocols and serve as evidence in litigation cases. All these features could
facilitate developing best practice in nursing management and improve the quality
and safety of neonatal care.
PMID- 29656491
TI - Recurrent F8 and F9 gene variants result from a founder effect in two large
French haemophilia cohorts.
AB - INTRODUCTION: Haemophilia A (HA) and haemophilia B (HB) are X-linked recessive
diseases, caused by a large number of pathogenic variants in the F8 and F9 genes.
With the exception of introns 22 and 1 inversions which are frequent in severe HA
cases, about 2000 unique variants in F8 and 1000 in F9 have been described in
databases and their recurrence remains limited. AIM AND METHODS: During routine
analysis, we identified two recurrent missense variants, the F8 gene c.1244C>T,
p.Ala415Val variant in 27 HA patients and the F9 gene c.835G>A, p.Ala279Thr
variant in 34 HB patients, in two groups of haemophiliac patients from two
different regions of France. We aimed to identify whether these variants result
from a founder effect. We performed haplotype reconstruction after analysis of
extragenic and intragenic polymorphic markers. The ESTIAGE programme was used to
estimate the age of the variant. RESULTS: We identified a common ancestral
haplotype HA1, in all the HA patients sharing the p.Ala415Val variant, and HB1
for 22 of 34 HB patients sharing the p.Ala279Thr variant. The estimated time of
occurrence of the founder variant was between the 13th and 17th century (95% CI:
16 to 29 generations) for the F8 variant and between the 3rd and the 11th century
for the F9 variant (95% CI: 44 to 72 generations). CONCLUSION: This study
supports a founder effect for these two variants in the two largest reported
cohorts of haemophilia patients with an identical variant. These pathogenic
variants are among the three most early reported variants in haemophilia.
PMID- 29656492
TI - PD-1 Blockade Cellular Vesicles for Cancer Immunotherapy.
AB - Cancer cells resist to the host immune antitumor response via multiple
suppressive mechanisms, including the overexpression of PD-L1 that exhausts
antigen-specific CD8+ T cells through PD-1 receptors. Checkpoint blockade
antibodies against PD-1 or PD-L1 have shown unprecedented clinical responses.
However, limited host response rate underlines the need to develop alternative
engineering approaches. Here, engineered cellular nanovesicles (NVs) presenting
PD-1 receptors on their membranes, which enhance antitumor responses by
disrupting the PD-1/PD-L1 immune inhibitory axis, are reported. PD-1 NVs exhibit
a long circulation and can bind to the PD-L1 on melanoma cancer cells.
Furthermore, 1-methyl-tryptophan, an inhibitor of indoleamine 2,3-dioxygenase can
be loaded into the PD-1 NVs to synergistically disrupt another immune tolerance
pathway in the tumor microenvironment. Additionally, PD-1 NVs remarkably increase
the density of CD8+ tumor infiltrating lymphocytes in the tumor margin, which
directly drive tumor regression.
PMID- 29656493
TI - Oxygen consumption trajectory flattening-yet another cardiopulmonary exercise
testing parameter in chronic heart failure.
PMID- 29656495
TI - Randomized controlled clinical study assessing two membranes for guided bone
regeneration of peri-implant bone defects: 3-year results.
AB - OBJECTIVES: To assess two- and three-dimensional changes of the peri-implant
tissues as well as clinical, biological, and radiological outcomes of implants
having been treated with resorbable or nonresorbable membranes at 3 years.
MATERIALS AND METHODS: Twenty-three patients were re-examined after having
received a single-tooth implant in the esthetic zone in conjunction with guided
bone regeneration (GBR) using either a resorbable (RES) or a titanium-reinforced
nonresorbable membrane (N-RES) and demineralized bovine bone mineral. Volumetric
and linear as well as clinical and radiographic measurements were performed at
crown insertion (baseline), at 1 year (FU-1) and 3 years (FU-3). Statistics were
performed by means of parametric and nonparametric tests. RESULTS: Minor, but
ongoing buccal volume loss was observed in both groups during the 3-year follow
up. A slightly higher volume loss was observed in group RES (-0.22 mm) compared
to N-RES (-0.14 mm) at 1 year (FU-1), but aligned at 3 years (FU-3) RES (-0.30
mm) N-RES (-0.32 mm). All changes over time were statistically significantly
different within (p < .05), but not between the groups (p > .05). Stable median
interproximal bone levels after 3 years (FU-3); 0.26 mm (0.04; 0.36) (RES) and
0.14 mm (0.08; 0.20) (N-RES) and healthy tissues (BOP, PD) were obtained with
both membranes. CONCLUSIONS: Both treatment modalities resulted in minor, but
ongoing contour changes of the peri-implant tissues. Stable interproximal bone
levels and healthy tissues can be obtained with membranes up to 3 years.
PMID- 29656494
TI - Oxidized LDL phagocytosis during foam cell formation in atherosclerotic plaques
relies on a PLD2-CD36 functional interdependence.
AB - The uptake of cholesterol carried by low-density lipoprotein (LDL) is tightly
controlled in the body. Macrophages are not well suited to counteract the
cellular consequences of excess cholesterol leading to their transformation into
"foam cells," an early step in vascular plaque formation. We have uncovered and
characterized a novel mechanism involving phospholipase D (PLD) in foam cell
formation. Utilizing bone marrow-derived macrophages from genetically PLD
deficient mice, we demonstrate that PLD2 (but not PLD1)-null macrophages cannot
fully phagocytose aggregated oxidized LDL (Agg-Ox-LDL), which was phenocopied
with a PLD2-selective inhibitor. We also report a role for PLD2 in coupling Agg
oxLDL phagocytosis with WASP, Grb2, and Actin. Further, the clearance of LDL
particles is mediated by both CD36 and PLD2, via mutual dependence on each other.
In the absence of PLD2, CD36 does not engage in Agg-Ox-LDL removal and when CD36
is blocked, PLD2 cannot form protein-protein heterocomplexes with WASP or Actin.
These results translated into humans using a GEO database of microarray
expression data from atheroma plaques versus normal adjacent carotid tissue and
observed higher values for NFkB, PLD2 (but not PLD1), WASP, and Grb2 in the
atheroma plaques. Human atherectomy specimens confirmed high presence of PLD2
(mRNA and protein) as well as phospho-WASP in diseased arteries. Thus, PLD2
interacts in macrophages with Actin, Grb2, and WASP during phagocytosis of Agg-Ox
LDL in the presence of CD36 during their transformation into "foam cells." Thus,
this study provides new molecular targets to counteract vascular plaque formation
and atherogenesis.
PMID- 29656496
TI - Fast and sensitive HPLC/UV method for cefazolin quantification in plasma and
subcutaneous tissue microdialysate of humans and rodents applied to
pharmacokinetic studies in obese individuals.
AB - Antimicrobial prophylactic dosing of morbidly obese patients may differ from
normal weighted individuals owing to alterations in drug tissue distribution.
Drug subcutaneous tissue distribution can be investigated by microdialysis
patients and animals. The need for cefazolin prophylactic dose adjustment in
obese patients remains under discussion. The paper describes the validation of an
HPLC-UV method for cefazolin quantification in plasma and microdialysate samples
from clinical and pre-clinical studies. A C18 column with an isocratic mobile
phase was used for drug separation, with detection at 272 nm. Total and unbound
cefazolin lower limit of quantitation was 5 MUg/mL in human plasma, 2 MUg/mL in
rat plasma, and 0.5 and 0.025 MUg/mL in human and rat microdialysate samples,
respectively. The maximum intra- and inter-day imprecisions were 10.7 and 8.1%,
respectively. The inaccuracy was <9.7%. The limit of quantitation imprecision and
inaccuracy were < 15%. Cefazolin stability in the experimental conditions was
confirmed. Cefazolin plasma concentrations and subcutaneous tissue penetration
were determined by microdialysis in morbidly obese patients (2 g i.v. bolus) and
diet-induced obese rats (30 mg/kg i.v. bolus) using the method. This method has
the main advantages of easy plasma clean-up and practicability and has proven to
be useful in cefazolin clinical and pre-clinical pharmacokinetic investigations.
PMID- 29656497
TI - Altered brain function in persistent postural perceptual dizziness: A study on
resting state functional connectivity.
AB - This study used resting state functional magnetic resonance imaging (rsfMRI) to
investigate whole brain networks in patients with persistent postural perceptual
dizziness (PPPD). We compared rsfMRI data from 38 patients with PPPD and 38
healthy controls using whole brain and region of interest analyses. We examined
correlations among connectivity and clinical variables and tested the ability of
a machine learning algorithm to classify subjects using rsfMRI results. Patients
with PPPD showed: (a) increased connectivity of subcallosal cortex with left
superior lateral occipital cortex and left middle frontal gyrus, (b) decreased
connectivity of left hippocampus with bilateral central opercular cortices, left
posterior opercular cortex, right insular cortex and cerebellum, and (c)
decreased connectivity between right nucleus accumbens and anterior left temporal
fusiform cortex. After controlling for anxiety and depression as covariates,
patients with PPPD still showed decreased connectivity between left hippocampus
and right inferior frontal gyrus, bilateral temporal lobes, bilateral insular
cortices, bilateral central opercular cortex, left parietal opercular cortex,
bilateral occipital lobes and cerebellum (bilateral lobules VI and V, and left I
IV). Dizziness handicap, anxiety, and depression correlated with connectivity in
clinically meaningful brain regions. The machine learning algorithm correctly
classified patients and controls with a sensitivity of 78.4%, specificity of
76.9%, and area under the curve = 0.88 using 11 connectivity parameters. Patients
with PPPD showed reduced connectivity among the areas involved in multisensory
vestibular processing and spatial cognition, but increased connectivity in
networks linking visual and emotional processing. Connectivity patterns may
become an imaging biomarker of PPPD.
PMID- 29656498
TI - Helicobacter pylori infection-induced H3Ser10 phosphorylation in stepwise gastric
carcinogenesis and its clinical implications.
AB - BACKGROUND: Our previous works have demonstrated that Helicobacter pylori (Hp)
infection can alter histone H3 serine 10 phosphorylation status in gastric
epithelial cells. However, whether Helicobacter pylori-induced histone H3 serine
10 phosphorylation participates in gastric carcinogenesis is unknown. We
investigate the expression of histone H3 serine 10 phosphorylation in various
stages of gastric disease and explore its clinical implication. MATERIALS AND
METHODS: Stomach biopsy samples from 129 patients were collected and stained with
histone H3 serine 10 phosphorylation, Ki67, and Helicobacter pylori by
immunohistochemistry staining, expressed as labeling index. They were categorized
into nonatrophic gastritis, chronic atrophic gastritis, intestinal metaplasia,
low-grade intraepithelial neoplasia, high-grade intraepithelial neoplasia, and
intestinal-type gastric cancer groups. Helicobacter pylori infection was
determined by either 13 C-urea breath test or immunohistochemistry staining.
RESULTS: In Helicobacter pylori-negative patients, labeling index of histone H3
serine 10 phosphorylation was gradually increased in nonatrophic gastritis,
chronic atrophic gastritis, intestinal metaplasia groups, peaked at low-grade
intraepithelial neoplasia, and declined in high-grade intraepithelial neoplasia
and gastric cancer groups. In Helicobacter pylori-infected patients, labeling
index of histone H3 serine 10 phosphorylation followed the similar pattern as
above, with increased expression over the corresponding Helicobacter pylori
negative controls except in nonatrophic gastritis patient whose labeling index
was decreased when compared with Helicobacter pylori-negative control. Labeling
index of Ki67 in Helicobacter pylori-negative groups was higher in gastric cancer
than chronic atrophic gastritis and low-grade intraepithelial neoplasia groups,
and higher in intestinal metaplasia group compared with chronic atrophic
gastritis group. In Helicobacter pylori-positive groups, Ki67 labeling index was
increased stepwise from nonatrophic gastritis to gastric cancer except slightly
decrease in chronic atrophic gastritis group. In addition, we noted that histone
H3 serine 10 phosphorylation staining is accompanied with its location changes
from gastric gland bottom expanded to whole gland as disease stage progress.
CONCLUSIONS: These results indicate that stepwise gastric carcinogenesis is
associated with altered histone H3 serine 10 phosphorylation, Helicobacter pylori
infection enhances histone H3 serine 10 phosphorylation expression in these
processes; it is also accompanied with histone H3 serine 10 phosphorylation
location change from gland bottom staining expand to whole gland expression. The
results suggest that epigenetic dysregulation may play important roles in
Helicobacter pylori-induced gastric cancer.
PMID- 29656499
TI - Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
analysis of 36 blood group alleles among 396 Thai samples reveals region-specific
variants.
AB - BACKGROUND: Blood group phenotype variation has been attributed to potential
resistance to pathogen invasion. Variation was mapped in blood donors from
Lampang (northern region) and Saraburi (central region), Thailand, where malaria
is endemic. The previously unknown blood group allele profiles were characterized
and the data were correlated with phenotypes. The high incidence of the Vel
negative phenotype previously reported in Thais was investigated. STUDY DESIGN
AND METHODS: DNA from 396 blood donors was analyzed by matrix-assisted laser
desorption/ionization-time-of-flight mass spectrometry. Outliers were
investigated by serology and DNA sequencing. Allele discrimination assays for
SMIM1 rs1175550A/G and ACKR1 rs118062001C/T were performed and correlated with
antigen expression. RESULTS: All samples were phenotyped for Rh, MNS, and K.
Genotyping/phenotyping for RhD, K, and S/s showed 100% concordance. Investigation
of three RHCE outliers revealed an e-variant antigen encoded by RHCE*02.22.
Screening for rs147357308 (RHCE c.667T) revealed a frequency of 3.3%. MN typing
discrepancies in 41 samples revealed glycophorin variants, of which 40 of 41 were
due to Mia . Nine samples (2.3%) were heterozygous for FY*01W.01 (c.265C > T),
and six samples (1.5%) were heterozygous for JK*02N.01. All samples were wildtype
SMIM1 homozygotes with 97% homozygosity for rs1175550A. CONCLUSIONS: Matrix
assisted laser desorption/ionization-time-of-flight mass spectrometry is an
efficient method for rapid routine genotyping and investigation of outliers
identified novel variation among our samples. The expected high prevalence of the
Mi(a+) phenotype was observed from both regions. Of potential clinical relevance
in a region where transfusion-dependent thalassemia is common, we identified two
RHCE*02 alleles known to encode an e-variant antigen.
PMID- 29656500
TI - Mitochondria, glycogen, and lipid droplets in skeletal muscle during testosterone
treatment and strength training: a randomized, double-blinded, placebo-controlled
trial.
AB - Low testosterone levels in aging men are associated with insulin resistance.
Mitochondrial dysfunction, changes in glycogen metabolism, and lipid accumulation
are linked to insulin resistance in skeletal muscle. In this randomized, double
blinded, placebo-controlled study, we investigated the effects of six-month
testosterone replacement therapy (TRT) and strength training (ST) on
mitochondrial, glycogen, and lipid droplet (LD) content in skeletal muscle of
aging men with subnormal bioavailable testosterone (BioT) levels. Mitochondrial,
glycogen, and LD volume fractions in muscle biopsies were estimated by
transmission electron microscopy. Insulin sensitivity (insulin-stimulated Rd) and
body composition were assessed by euglycemic-hyperinsulinemic clamp and dual X
ray absorptiometry, respectively. TRT significantly increased total testosterone
levels, BioT, and lean body mass (LBM) (p < 0.05), whereas percent body fat
decreased (p < 0.05), and insulin sensitivity was unchanged. Baseline
mitochondrial volume fraction correlated inversely with percent body fat (rho =
0.43; p = 0.003). Delta-mitochondrial fraction correlated positively with Delta
total testosterone (rho = 0.70; p = 0.02), and Delta-glycogen fraction correlated
inversely with Delta-LBM (rho = -0.83; p = 0.002) during six-month TRT, but no
significant changes were observed in mitochondrial, glycogen, and LD volume
fractions during TRT and ST. In conclusion, in this exploratory small-scale
study, the beneficial effects of six-month TRT on total testosterone, LBM, and
percent body fat were not followed by significant changes in fractions of
mitochondria, glycogen, or lipid in skeletal muscle of aging men with lowered
testosterone levels. Six-month ST or combined three-month ST+TRT did not change
intramyocellular mitochondria, glycogen, and LD fractions compared to placebo.
However, further studies with a larger sample size are needed.
PMID- 29656501
TI - Radiotherapy complications - another sub-specialty area of urology?
PMID- 29656502
TI - Integrating Desalination and Energy Storage using a Saltwater-based Hybrid Sodium
ion Supercapacitor.
AB - Ever-increasing freshwater scarcity and energy crisis problems require efficient
seawater desalination and energy storage technologies; however, each target is
generally considered separately. Herein, a hybrid sodium-ion supercapacitor,
involving a carbon-coated nano-NaTi2 (PO4 )3 -based battery anode and an
activated-carbon-based capacitive cathode, is developed to combine desalination
and energy storage in one device. On charge, the supercapacitor removes salt in a
flowing saltwater electrolyte through Cl- electrochemical adsorption at the
cathode and Na+ intercalation at the anode. Discharge delivers useful electric
energy and regenerates the electrodes. This supercapacitor can be used not only
for energy storage with promising electrochemical performance (i.e., high power,
high efficiency, and long cycle life), but also as a desalination device with
desalination capacity of 146.8 mg g-1 , much higher than most reported capacitive
and battery desalination devices. Finally, we demonstrate renewables to usable
electric energy and desalted water through combining commercial photovoltaics and
this hybrid supercapacitor.
PMID- 29656503
TI - Generation of Aryl and Heteroaryl Magnesium Reagents in Toluene by Br/Mg or Cl/Mg
Exchange.
AB - The alkylmagnesium alkoxide sBuMgOR?LiOR (R=2-ethylhexyl), which was prepared as
a 1.5 m solution in toluene, undergoes very fast Br/Mg exchange with aryl and
heteroaryl bromides, producing aryl and heteroaryl magnesium alkoxides
(ArMgOR?LiOR) in toluene. These Grignard reagents react with a broad range of
electrophiles, including aldehydes, ketones, allyl bromides, acyl chlorides,
epoxides, and aziridines, in good yields. Remarkably, the related reagent sBu2
Mg?2 LiOR (R=2-ethylhexyl) undergoes Cl/Mg exchange with various electron-rich
aryl chlorides in toluene, producing diorganomagnesium species of type Ar2 Mg?2
LiOR, which react well with aldehydes and allyl bromides.
PMID- 29656504
TI - Efficacy and safety of MYL-1501D vs insulin glargine in patients with type 1
diabetes after 52 weeks: Results of the INSTRIDE 1 phase III study.
AB - AIM: To test the safety and efficacy of MYL-1501D, a proposed insulin glargine
biosimilar, in patients with type 1 diabetes mellitus (T1DM). METHODS: The safety
and efficacy of MYL-1501D and reference insulin glargine were evaluated in
INSTRIDE 1, a 52-week, open-label, randomized, phase III study in patients with
T1DM. The primary objective was to determine whether once-daily MYL-1501D was non
inferior to once-daily insulin glargine when administered in combination with
mealtime insulin lispro based on change in glycated haemoglobin (HbA1c) from
baseline to week 24. Secondary endpoints were changes in fasting plasma glucose,
insulin dose, self-monitored blood glucose and immunogenicity from baseline, and
occurrences of hypoglycaemic, nocturnal hypoglycaemic and adverse events up to
week 52. RESULTS: Overall, 558 patients were randomized 1:1 to MYL-1501D or
reference insulin glargine in combination with thrice-daily mealtime insulin
lispro for 52 weeks. The mean change in HbA1c from baseline to week 24 was 0.14%
(standard error [SE] 0.054; 95% confidence interval [CI] 0.033, 0.244) for MYL
1501D and 0.11% (SE 0.054; 95% CI 0.007, 0.220) for reference insulin glargine.
MYL-1501D had a safety profile similar to that of reference insulin glargine and
was well tolerated in patients with T1DM up to week 52. CONCLUSIONS: The upper
95% CI limit for mean change in HbA1c at week 24 indicated that MYL-1501D was non
inferior to reference insulin glargine. There were no clinically meaningful
differences between groups in incidence of overall and nocturnal hypoglycaemia,
local or systemic reactions, safety or immunogenicity.
PMID- 29656505
TI - Facile N-Alkylation/N'-Arylation Process: A Direct Approach to Aromatic
Aminoalkyl Amines.
AB - An intriguing C-N transformation involving a catalyst-free N-alkylation/N'
arylation process in a multicomponent reaction with secondary amines, cyclic
tertiary amines and electron-deficient aryl halides has been described. In this
case, the N-alkylation of secondary amines, utilizing cyclic tertiary amines as
alkyl group sources, is enabled by a facile C-N cleavage. Such an operationally
simple method could facilitate access to aromatic aminoalkyl amines, nitrogen
containing bioactive molecules, in good to excellent yields.
PMID- 29656506
TI - Enantiospecific Total Syntheses of (+)-Hapalindole H and (-)-12-epi-Hapalindole
U.
AB - Enantiospecific total syntheses of (+)-hapalindole H and (-)-12-epi-hapalindole U
as well as the formal syntheses of (+)-hapalindole Q and (+)-12-epi-fischerindole
U isothiocyanate have been described. Key steps of our approach feature
expedient, highly regio- and diastereoselective Lewis acid catalyzed Friedel
Crafts reaction of indole with cyclic allylic alcohols and intramolecular
reductive Heck reaction. Efficiency of the synthetic route also relies on an
alkynyl aluminate complex driven regioselective nucleophilic epoxide opening from
a sterically hindered site.
PMID- 29656507
TI - Construction and application of a Xanthomonas campestris CGMCC15155 strain that
produces white xanthan gum.
AB - In the industrial production of xanthan gum using Xanthomonas campestris
CGMCC15155, large amounts of ethanol are required to extract xanthan gum from the
fermentation broth and remove xanthomonadin impurities. To reduce the amount of
ethanol and the overall production cost of xanthan gum, a xanthomonadin-deficient
strain of CGMCC15155 was constructed by inserting the Vitreoscilla globin (vgb)
gene, under the control of the LacZ promoter, into the region of the pigA gene,
which is involved in xanthomonadin synthesis. The insertion of vgb inactivated
pigA, resulting in the production of white xanthan gum. The lack of
xanthomonadins resulted in a decreased yield of xanthan gum. However, the
expression product of vgb gene, VHb, could increase the metabolism of X.
campestris, which allowed the production of xanthan gum to reach wild-type levels
in the engineered strain. The yield, molecular weight, and rheological properties
of the xanthan gum synthesized by the engineered and wild-type bacteria were
essentially the same. When the same volume of ethanol was used, the whiteness
values of the xanthan gum extracted from engineered and wild-type bacteria were
65.20 and 38.17, respectively. To extract xanthan gum with the same whiteness,
three and seven times the fermentation volume of ethanol was required for the
engineered and wild-type strains, respectively. Thus, the engineered train
reduced the requirement for ethanol in xanthan gum production by 133.3%. The
results demonstrated that the engineered bacteria used less ethanol, thus
reducing the downstream processing cost in xanthan gum production.
PMID- 29656508
TI - The 3rd World Conference on Kisspeptin, "Kisspeptin 2017: Brain and
Beyond":Unresolved questions, challenges and future directions for the field.
AB - The 3rd World Conference on Kisspeptin, "Kisspeptin 2017: Brain and Beyond" was
held March 30-31 at the Rosen Centre Hotel in Orlando, Florida, providing an
international forum for multidisciplinary scientists to meet and share cutting
edge research on kisspeptin biology and its relevance to human health and
disease. The meeting built upon previous world conferences focused on the role of
kisspeptin and associated peptides in the control of gonadotropin-releasing
hormone (GnRH) secretion and reproduction. Based on recent discoveries, the scope
of this meeting was expanded to include functions of kisspeptin and related
peptides in other physiological systems including energy homeostasis, pregnancy,
ovarian and uterine function, and thermoregulation. In addition, discussions
addressed the translation of basic knowledge of kisspeptin biology to the
treatment of disease, with the goal of seeking consensus about the best
approaches to improve human health. The two-day meeting featured a non
traditional structure, with each day starting with poster sessions followed by
lunch discussions and facilitated large-group sessions with short presentations
to maximize the exchange of new, unpublished data. Topics were identified by a
survey prior to the meeting, and focused on major unresolved questions, important
controversies, and future directions in the field. Finally, career development
activities provided mentoring for trainees and junior investigators, and
networking opportunities for those individuals with established researchers in
the field. Overall, the meeting was rated as a success by attendees and covered a
wide range of lively and provocative discussion topics on the changing nature of
the field of "kisspeptinology" and its future. This article is protected by
copyright. All rights reserved.
PMID- 29656509
TI - Melioration revisited: a systematic replication of Vaughan (1981).
AB - Organisms that behave so as to forfeit a relatively higher overall rate of
reinforcement in favor of a relatively lower rate are said to engage in
suboptimal choice. Suboptimal choice has been linked with maladaptive behavior in
humans. Melioration theory offers one explanatory framework for suboptimal
choice. Melioration theory suggests behavior is controlled by differences in
local reinforcer rates between alternatives. Vaughan (1981) arranged two
experimental conditions in which maximizing the overall rate of reinforcement
required behavior that was compatible, or incompatible, with melioration. Vaughan
found pigeons allocated more time to a locally richer alternative even when doing
so resulted in suboptimal choice. However, Vaughan did not show whether these
effects could systematically reverse and did not provide within-session data to
show that choice across short time spans remains under the control of differences
in local reinforcer rates. The present study used pigeons to replicate and extend
Vaughan's findings. We investigated shifts in overall- and within-session choice
across repeated conditions, according to arranged local contingencies. Behavior
systematically followed changes in local contingencies for most pigeons. Within
session data suggests that, providing differences in local reinforcer rates are
discriminated, pigeons will allocate more time to a locally richer alternative,
even if this leads to suboptimal choice. These findings facilitate the more
confident use of similar procedures that investigate how melioration contributes
to suboptimal choice.
PMID- 29656510
TI - Robust motion correction in CEST imaging exploiting low-rank approximation of the
z-spectrum.
AB - PURPOSE: To introduce and evaluate an image registration technique for robust
quantification of CEST acquisitions corrupted by motion. METHODS: The proposed
iterative algorithm exploits a low-rank approximation of the z-spectrum (LRAZ),
to gradually separate the contrast variation due to saturation at different off
resonance frequencies and accompanying motion. This registration method was first
tested in a creatine CEST analysis of a phantom with simulated rigid motion.
Subsequently, creatine CEST acquisitions in the human thigh during exercise were
exemplarily corrected. RESULTS: The z-spectrum obtained by applying LRAZ to the
corrupted phantom series exhibited a normalized RMS error with respect to the
noncorrupted gold standard series of less than 4%. The corresponding creatine map
resulting from an asymmetry analysis of the registered data showed only little
difference with regard to the noncorrupted determination, too. A comparable
performance was observed exploiting LRAZ for the correction of nonrigid motion
within the dynamic CEST acquisitions in skeletal muscles. While for the phantom
simulations, high-quality registration was also possible by using a single
reference image for the whole series and mutual information as similarity metric,
this conventional approach resulted in inappropriate correction of the more
complicated motion of the human thigh. CONCLUSION: The newly introduced method
allows for a robust registration of CEST image series, which are corrupted by
rigid and nonrigid motion of the investigated organ. The technique therefore
improves the diagnostic value in various applications of CEST.
PMID- 29656511
TI - Neuropathic pain: A patient-centred approach to measuring outcomes.
AB - BACKGROUND: Neuropathic pain (NP) is a complex, chronic pain state initiated by a
primary lesion or dysfunction of the nervous system and presents as a variety of
symptoms across multiple disease states. OBJECTIVE: To develop a patient-centred
conceptual model of symptoms and impacts in subjects with diabetic peripheral
neuropathy (DPN) or post-herpetic neuralgia (PHN) that can inform the measurement
strategy in clinical trials. METHOD: Thirty subjects with DPN or PHN participated
in in-person interviews which were performed until saturation was achieved.
Transcripts were analysed in ATLAS.ti. RESULTS: Interviews were completed with
DPN subjects (United States, n = 10; Japan, n = 10) and PHN subjects (United
States, n = 5; Japan, n = 5). Numbness and tingling were frequently reported
symptoms in the DPN population while itchiness and hypersensitivity were
predominant in PHN. Both populations experienced burning and ache/soreness with
similar frequency. DPN subjects experienced pain primarily in their lower
extremity (eg feet, ankles), while PHN subjects experienced pain primarily in the
chest and back. Impacts reported by DPN subjects included difficulty walking,
sleep disturbance and climbing stairs. Impacts in PHN subjects included sleep
disturbance, avoidance of physical contact, being angry/frustrated and being
sad/depressed. Overall, concepts in Japan were not qualitatively different from
the United States. Conceptual models of NP were generated based on the concepts
elicited. CONCLUSIONS: This research highlights core concepts to measure from the
patient's perspective. Moreover, it enables the assessment of existing measures,
the possible modification of these measures, or if a new NP measure with improved
sensitivity and responsiveness is merited.
PMID- 29656512
TI - Procalcitonin; a feasible biomarker for severe bacterial infections in Obstetrics
and Gynecology?
PMID- 29656513
TI - Acidic and alkaline chemicals' influence on a tricalcium silicate-based dental
biomaterial.
AB - Bioactive hydraulic tricalcium silicate materials are commonly used in several
dental procedures. Mineral Trioxide Aggregate (MTA) is one such material, which
is used in a variety of clinical applications, the most recent of which is root
canal sealing material, during which, the MTA potentially comes in contact with
remnants of the chemical agents used for disinfecting root canals. The effects of
commonly used root canal irrigating solutions on MTA have not been investigated
in depth, thus far. The aim of this study was to determine the effect of five
common chemical agents used in root canal preparation (sodium hypochlorite/NaOCl,
ethylene diaminetetraacetic acid/EDTA, mixture of sodium hypochlorite and
etidronic acid/NaOCl + EA, mixture of EDTA and Chlorhexidine/QMix, or saline) on
a commercial tricalcium silicate (MTA Plus). Samples were analyzed using scanning
electron microscopy with energy dispersive spectroscopy, X-ray Diffraction (XRD),
Fourier Transform Infrared Spectroscopy and Inductively coupled plasma techniques
to see effects of phases formed and calcium ion release. Specimens immersed in
NaOCl and NaOCl + EA had strong peaks for calcium hydroxide, but materials
exposed to EDTA and QMix had lesser XRD peaks for calcium hydroxide. The calcium
hydroxide peak in the XRD indicates hydration of tricalcium silicate and
formation of amorphous calcium silicate hydrate. Calcium released from samples
immersed in EDTA and NaOCl was less than in NaOCl + EA and QMix solutions. Fewer
calcium phosphate crystals and less calcium hydroxide were observed with the
samples in NaOCl, EDTA and QMix, which could have an important impact as it
negatively influences the bioactivity. (c) 2018 Wiley Periodicals, Inc. J Biomed
Mater Res Part B: Appl Biomater, 2018.
PMID- 29656514
TI - Microbial diversity and biomarker analysis of modern freshwater microbialites
from Laguna Bacalar, Mexico.
AB - Laguna Bacalar is a sulfate-rich freshwater lake on the Yucatan Peninsula that
hosts large microbialites. High sulfate concentrations distinguish Laguna Bacalar
from other freshwater microbialite sites such as Pavilion Lake and Alchichica,
Mexico, as well as from other aqueous features on the Yucatan Peninsula. While
cyanobacterial populations have been described here previously, this study offers
a more complete characterization of the microbial populations and corresponding
biogeochemical cycling using a three-pronged geobiological approach of
microscopy, high-throughput DNA sequencing, and lipid biomarker analyses. We
identify and compare diverse microbial communities of Alphaproteobacteria,
Deltaproteobacteria, and Gammaproteobacteria that vary with location along a bank
to-bank transect across the lake, within microbialites, and within a neighboring
mangrove root agglomeration. In particular, sulfate-reducing bacteria are
extremely common and diverse, constituting 7%-19% of phylogenetic diversity
within the microbialites, and are hypothesized to significantly influence
carbonate precipitation. In contrast, Cyanobacteria account for less than 1% of
phylogenetic diversity. The distribution of lipid biomarkers reflects these
changes in microbial ecology, providing meaningful biosignatures for the microbes
in this system. Polysaturated short-chain fatty acids characteristic of
cyanobacteria account for <3% of total abundance in Laguna Bacalar microbialites.
By contrast, even short-chain and monounsaturated short-chain fatty acids
attributable to both Cyanobacteria and many other organisms including types of
Alphaproteobacteria and Gammaproteobacteria constitute 43%-69% and 17%-25%,
respectively, of total abundance in microbialites. While cyanobacteria are the
largest and most visible microbes within these microbialites and dominate the
mangrove root agglomeration, it is clear that their smaller, metabolically
diverse associates are responsible for significant biogeochemical cycling in this
microbialite system.
PMID- 29656515
TI - Comparative CYP-omic analysis between the DDT-susceptible and -resistant
Drosophila melanogaster strains 91-C and 91-R.
AB - BACKGROUND: Cytochrome P450 monooxygenases (P450s) are involved in the
biosynthesis of endogenous intracellular compounds and the metabolism of
xenobiotics, including chemical insecticides. We investigated the structural and
expression level variance across all P450 genes with respect to the evolution of
insecticide resistance under multigenerational dichlorodiphenyltrichloroethane
(DDT) selection. RESULTS: RNA-sequencing (RNA-seq) and reverse transcriptase
quantitative polymerase chain reaction (RT-qPCR) indicated that the transcript
levels of seven P450 genes were significantly up-regulated and three P450 genes
were down-regulated in the DDT-resistant strain 91-R, as compared to the control
strain 91-C. The overexpression of Cyp6g1 was associated with the presence of an
Accord and an HMS-Beagle element insertion in the 5' upstream region in
conjunction with copy number variation in the 91-R strain, but not in the 91-C
strain. A total of 122 (50.2%) fixed nonsynonymous (amino acid-changing)
mutations were found between 91-C and 91-R, and 20 (8.2%) resulted in amino acid
changes within functional domains. Three P450 proteins were truncated as a result
of premature stop codons and fixed between strains. CONCLUSION: Our results
demonstrate that a combination of changes in P450 protein-coding regions and
transcript levels are possibly associated with DDT resistance, and thereby
suggest that selection for variant function may occur within this gene family in
response to chronic DDT exposure. (c) 2018 Society of Chemical Industry.
PMID- 29656516
TI - MiR-21 ameliorates age-associated skin wound healing defects in mice.
AB - BACKGROUND: The cellular and molecular mechanisms responsible for the age
associated delay of cutaneous wound healing are still not well understood.
Previous studies have shown that miR-21 plays key roles during skin wound
healing. We presumed that dysregulation of miR-21 may be involved in age
associated defects in wound healing and that miR-21 may be one potential
therapeutic target by which to ameliorate wound defects in elderly subjects.
METHODS: Circular full thickness excisional wounds were made on the dorsal skin
of young (2-month-old) and aged (12-month-old) female mice. The wound healing
rates were quantified and compared between wild-type and miR-21 knock-in mice.
Both histologic and morphometric analyses of the wounds were evaluated.
Furthermore, the expression patterns of miR-21 during wound healing in both young
and aged mice were assessed by in situ hybridization. The effects of topical miR
21 overexpression on wound healing in aged mice were estimated by both wound
closure quantification and histological analyses. RESULTS: Aged miR-21 knock-in
female mice showed significantly improved wound healing compared to their wild
type counterparts with respect to mature granulation tissue, smaller wound width
and thinner epidermis. The expression patterns of miR-21 showed that miR-21
levels were insufficient for repairing granulation tissue in aged mice.
Intradermal injection of miR-21 plasmid around wounds could upregulate miR-21
levels during wound healing and ameliorate age-associated skin wound defects.
CONCLUSIONS: The results of the present study reveal that the upregulation of miR
21 levels could improve wound repair in aged mice, which suggests that a
therapeutic strategy targeting miR-21 expression in age-associated wound healing
may be feasible.
PMID- 29656517
TI - A Color-Tunable Fluorescent Supramolecular Hyperbranched Polymer Constructed by
Pillar[5]arene-Based Host-Guest Recognition and Metal Ion Coordination
Interaction.
AB - Fluorescent supramolecular polymers are an important kind of smart material. In
this work, a new fluorescent supramolecular hyperbranched polymer (FSHP) is
constructed by orthogonal self-assembly: pillararene-based host-guest interaction
and metal ion complexation interaction. The FSHP exhibits concentration
controllable fluorescence emissions. The photoluminescence spectra and light
emitting colors of FSHP can be effectively tuned by changing metal ion types or
using mixed metal ions. The fluorescence quenching of FSHP solutions or FSHP
based films would occur when removing the metal ions from the backbone of FSHP.
This study supplies a convenient approach toward the construction of structure
tunable fluorescent supramolecular materials with different colors.
PMID- 29656518
TI - Genotype-specific progression of hereditary medullary thyroid cancer.
AB - Although already 25 years into the genomic era, age-related progression of
hereditary medullary thyroid cancer (MTC), the prevalence of which is estimated
at one in 80,000 inhabitants, remains to be delineated for most unique RET
(REarranged during Transfection) mutations. Included in this study were 567 RET
carriers. The age-related progression of MTC across histopathological groups
(normal thyroid/C-cell hyperplasia; node-negative MTC; node-positive MTC) was
statistically significant for 13 unique RET mutations (p.Cys611Phe/c.1832G > T;
p.Cys611Tyr; p.Cys618Ser/c.1852T > A; p.Cys620Arg; p.Cys634Arg; p.Cys634Phe;
p.Cys634Ser; p.Cys634Tyr; p.Glu768Asp; p.Leu790Phe/c.2370G > T; p.Val804Met;
p.Ser891Ala; p.Met918Thr), whereas two unique RET mutations (p.Cys618Phe;
p.Cys634Gly) trended toward statistical significance. When grouped by mutational
risk (highest; high; moderate-high; low-moderate; polymorphism), the age-related
progression of MTC was significant for all four categories of RET mutations,
which differed significantly across and within the three histopathological
groups. For high, for moderate-high, and for low-moderate risk RET mutations, the
age-related progression of MTC by mutated codon was broadly comparable across and
within the three histopathological groups, and essentially unaffected by the
amino acid substitutions examined. These data argue in favor of splitting the
American Thyroid Association's moderate-risk category into moderate-high and low
moderate risk categories, while emphasizing the need to contradistinguish the
latter from rare nonpathogenic polymorphisms.
PMID- 29656519
TI - Character evolution and missing (morphological) data across Asteridae.
AB - PREMISE OF THE STUDY: Our current understanding of flowering plant phylogeny
provides an excellent framework for exploring various aspects of character
evolution through comparative analyses. However, attempts to synthesize this
phylogenetic framework with extensive morphological data sets have been
surprisingly rare. Here, we explore character evolution in Asteridae (asterids),
a major angiosperm clade, using an extensive morphological data set and a well
resolved phylogeny. METHODS: We scored 15 phenotypic characters (spanning
chemistry, vegetative anatomy, and floral, fruit, and seed features) across 248
species for ancestral state reconstruction using a phylogenetic framework based
on 73 plastid genes and the same 248 species. KEY RESULTS: Iridoid production,
unitegmic ovules, and cellular endosperm were all reconstructed as synapomorphic
for Asteridae. Sympetaly, long associated with asterids, shows complex patterns
of evolution, suggesting it arose several times independently within the clade.
Stamens equal in number to the petals is likely a synapomorphy for Gentianidae, a
major asterid subclade. Members of Lamianae, a major gentianid subclade, are
potentially diagnosed by adnate stamens, unilacunar nodes, and simple perforation
plates. CONCLUSIONS: The analyses presented here provide a greatly improved
understanding of character evolution across Asteridae, highlighting multiple
characters potentially synapomorphic for major clades. However, several important
parts of the asterid tree are poorly known for several key phenotypic features
(e.g., degree of petal fusion, integument number, nucellus type, endosperm type,
iridoid production). Further morphological, anatomical, developmental, and
chemical investigations of these poorly known asterids are critical for a more
detailed understanding of early asterid evolution.
PMID- 29656520
TI - First Attempt of Glycidol-to-Monoalkyl Glyceryl Ethers Conversion by Acid
Heterogeneous Catalysis: Synthesis and Simplified Sustainability Assessment.
AB - The selective preparation of monoalkylglyceryl ethers (MAGEs) is a task for
researchers owing to their broad range of applications. In this work, green
feedstocks such as glycidol and alcohols were used to prepare MAGEs under mild
reaction conditions (80 degrees C, 3 h, 0.5 mol % catalyst) in the presence of
acid heterogeneous catalysts. Nafion shows the best performances in terms of
conversion and selectivity to MAGES and also high stability. A comparison of the
environmental performances with the most consolidated pathway from glycerol has
shown that the usage of glycidol (recovered as a value-added product from
Epicerol process) and Nafion leads to a lower impact on ecosystems. In addition,
results achieved from a simplified socio-economic analysis show that the
innovative route here proposed has potential (at the laboratory scale) of
enhancing potential gains and of reducing the social implications resulting from
externalities associated with environmental impacts (e.g., CO2 equivalents).
PMID- 29656521
TI - Resveratrol effect on patients with non-alcoholic fatty liver disease: A matter
of dose and treatment length.
PMID- 29656522
TI - Hybrid T2 - and T1 -weighted radial acquisition for free-breathing abdominal
examination.
AB - PURPOSE: Most clinical MR examinations require acquisition of different image
contrasts. For abdominal exams, the scans are conventionally performed as
separate acquisitions using respiratory gating or repeated breath holding, which
can be time-inefficient and challenging for patients. Here, a hybrid imaging
approach is described that creates T2 - and T1 -weighted images from a single
scan and allows for free-breathing acquisition. THEORY AND METHODS: T2 -weighted
data is collected using 3D fast spin-echo (FSE) acquisition with motion-robust
radial stack-of-stars sampling. The wait time between the FSE trains is used to
acquire T1 -weighted gradient-echo (GRE) data. Improved robustness is achieved by
extracting a respiratory signal from the GRE data and using it for motion
weighted reconstruction. RESULTS: As validated in simulations and phantom scans,
GRE acquisition in the wait time has minor effect on the signal strength and
contrast. Volunteer scans at 1.5T showed that T2 - and T1 -weighted hybrid
imaging is feasible during free-breathing. Furthermore, it has been demonstrated
in a patient that hybrid imaging with T1 -weighted Dixon acquisition is possible.
CONCLUSION: The described hybrid sequence enables comprehensive T2 - and T1
weighted imaging in a single scan. In addition to free-breathing abdominal
examination, it promises value for clinical applications that are frequently
affected by motion artifacts.
PMID- 29656523
TI - Parasitic wasp-associated symbiont affects plant-mediated species interactions
between herbivores.
AB - Microbial mutualistic symbiosis is increasingly recognised as a hidden driving
force in the ecology of plant-insect interactions. Although plant-associated and
herbivore-associated symbionts clearly affect interactions between plants and
herbivores, the effects of symbionts associated with higher trophic levels has
been largely overlooked. At the third-trophic level, parasitic wasps are a common
group of insects that can inject symbiotic viruses (polydnaviruses) and venom
into their herbivorous hosts to support parasitoid offspring development. Here,
we show that such third-trophic level symbionts act in combination with venom to
affect plant-mediated interactions by reducing colonisation of subsequent
herbivore species. This ecological effect correlated with changes induced by
polydnaviruses and venom in caterpillar salivary glands and in plant defence
responses to herbivory. Because thousands of parasitoid species are associated
with mutualistic symbiotic viruses in an intimate, specific relationship, our
findings may represent a novel and widespread ecological phenomenon in plant
insect interactions.
PMID- 29656524
TI - The prevalence of meibomian gland dysfunction, tear film and ocular surface
parameters in an Austrian dry eye clinic population.
AB - PURPOSE: The purpose of this study was to assess the prevalence of meibomian
gland dysfunction (MGD) and its association with tear film and ocular surface
parameters in an Austrian clinical population of dry eye patients. METHODS: The
records of 1372 consecutive patients from a dry eye unit were analysed
retrospectively. Symptoms and objective tear film and ocular surface parameters
were evaluated. Patients were classified into pure MGD, pure aqueous tear
deficiency (ATD), MGD combined with ATD, pure anterior blepharitis (AB),
Sjogren's syndrome (SS) without MGD and SS together with MGD. RESULTS: Nine
hundred and sixty-five patients, that is 70.3% of the investigated population,
mean age 55.4 +/- 16.6 years, had signs of MGD. Of these, 684 (70.9%) were
female. The intensity of symptoms did not differ between subgroups. Four hundred
and ninety (50.8%) MGD patients had Schirmer test values <=10 mm/5 min. The
fluorescein break-up time and Schirmer test values were significantly higher in
the pure AB and MGD group. The pure MGD group showed a significantly lower
fluorescein staining of the cornea compared to the other groups, except for pure
AB. Lissamine green staining of the ocular surface was present in all groups, but
was at least pronounced in the pure MGD and AB group. CONCLUSION: Meibomian gland
dysfunction is a major cause of ocular discomfort and could often be found in
combination with a reduced aqueous tear secretion. Although the intensity of
subjective complaints was similar to all other subgroups, pure MGD exhibited the
lowest severity of signs of ocular surface damage and also affected younger
people.
PMID- 29656525
TI - Authors' reply re: Cardiorespiratory fitness, exercise haemodynamics and birth
outcomes: the Coronary Artery Risk Development in Young Adults (CARDIA) Study.
PMID- 29656526
TI - Access to knowledge and the Global Abortion Policies Database.
AB - Research shows that women, healthcare providers, and even policy makers worldwide
have limited or inaccurate knowledge of the abortion law and policies in their
country. These knowledge gaps sometimes stem from the vague and broad terms of
the law, which breed uncertainty and even conflict when unaccompanied by
accessible regulation or guidelines. Inconsistency across national law and policy
further impedes safe and evidence-based practice. This lack of transparency
creates a crisis of accountability. Those seeking care cannot know their legal
entitlements, service providers cannot practice with legal protection, and
governments can escape legal responsibility for the adverse effects of their
laws. This is the context for the newly launched Global Abortion Policies
Database-an open-access repository that seeks to promote transparency and state
accountability by providing clear and comprehensive information about national
laws, policies, health standards, and guidelines, and by creating the capacity
for comparative analysis and cross-referencing to health indicators, WHO
recommendations, and human rights standards.
PMID- 29656527
TI - Self-Assembly of Rod-Coil Block Copolymers on Carbon Nanotubes: A Route toward
Diverse Surface Nanostructures.
AB - In this work, it is reported that poly(gamma-benzyl-l-glutamate)-block
poly(ethylene glycol) (PBLG-b-PEG) rod-coil block copolymers (BCPs) can disperse
carbon nanotubes (CNTs) in solution and form various surface nanostructures on
the CNTs via solution self-assembly. In an organic solvent that dissolves the
BCPs, the PBLG rod blocks adsorb on CNT surfaces, and the BCPs form conformal
coatings. Then, by the introduction of water, a selective solvent for PEG blocks,
the BCPs in the coatings further self-assemble into diverse surface
nanostructures, such as helices (left-handed or right-handed), gyros, spheres,
and rings. The morphology of the surface nanostructure can be tailored by initial
organic solvent composition, preparation temperature, feeding ratio of BCPs to
CNTs, degree of polymerization of PBLG blocks, and diameter of the CNTs.
PMID- 29656528
TI - The role of Scalpel-bougie cricothyroidotomy in managing emergency Front of Neck
Airway access. A review and technical update for ENT surgeons.
PMID- 29656529
TI - Residue transfer and risk assessment of carbendazim in tea.
AB - BACKGROUND: Carbendazim (methyl 1H-benzimidazol-2-ylcarbamate) residue in tea is
a public concern. The large gap in the maximum residue limits (MRLs) for
carbendazim in tea makes it difficult to conduct pesticide management. Therefore,
a systemic evaluation of the residue and the health risk of carbendazim from the
tea garden to brewed tea was investigated. RESULTS: The dissipation of
carbendazim in tea shoots followed first-order rate kinetics, with a half-life
(t1/2 ) of 2.6 days. In green tea manufacturing, the carbendazim decrease of 2.81
26.74% was concentration-positive. The infusion factor of carbendazim was > 0.8
from dry tea to brewed tea and this was related to the brewing temperature and
the size of the dry tea. All of the risk quotient values were significantly less
than 1 in the evaluation of carbendazim residue in real tea samples and MRL.
CONCLUSION: Residue transfer and risk assessment were evaluated for carbendazim
in green tea. Carbendazim revealed a less persistent nature in tea plants. Green
tea processing played a small role in decreasing carbendazim residue. Almost all
of the carbendazim in dry tea leached into the brewed tea. However, the possible
health risk induced by residual carbendazim in green tea was not significant.
These findings are helpful when reconsidering the MRLs of carbendazim in tea. (c)
2018 Society of Chemical Industry.
PMID- 29656530
TI - Mycobacterium tuberculosis after solid organ transplantation: A review of more
than 2000 cases.
AB - BACKGROUND: Mycobacterium tuberculosis (TB) is a common pathogen worldwide, and
it may cause significant infection after solid organ transplantation (SOT). We
reviewed all reported TB cases to provide an update on its epidemiology, clinical
presentation, management, and outcome after SOT. METHODS: MEDLINE, EMBASE, and
OVID were reviewed from January 1, 1998, to December 31, 2016, using keywords
tuberculosis and solid organ transplant or transplantation. RESULTS: There were
187 publications reporting 2082 cases of TB among kidney (n = 1719), liver (n =
253), heart (n = 77), lung (n = 25), and kidney-pancreas (n = 8) recipients.
Among cohort studies, the median incidence was 2.37% (range, 0.05%-13.27%)
overall. Most TB disease was considered reactivation of latent infection,
occurring beyond the first year after SOT. Early-onset cases were seen among
donor-derived TB cases. Fever was the most common symptom. Radiologic findings
were highly variable. Extrapulmonary and disseminated TB occurred 29.84% and
15.96%, respectively. Multidrug-resistant TB was rare. Treatment using 4 or 5
drugs was commonly associated with hepatotoxicity and graft dysfunction. All
cause mortality was 18.84%. CONCLUSIONS: This large review highlights the
complexity of TB after SOT. Reactivation TB, donor-transmitted infection,
extrapulmonary involvement, and disseminated disease are common occurrences.
Treatment of TB is commonly associated with hepatotoxicity and graft dysfunction.
PMID- 29656531
TI - Post-traumatic stress disorder and risk of osteoporosis: A nationwide
longitudinal study.
AB - Several studies suggested a relationship between stress and related mental
illnesses, such as depression and osteoporosis. However, it was unclear whether
patients with post-traumatic stress disorder (PTSD) were at risk of developing
osteoporosis in later life. In this study, 6,041 patients with PTSD and 24,164
age- or sex-matched controls were enrolled between 2002 and 2009 in our study and
followed up to the end of 2011. Cases of osteoporosis were identified during the
follow-up. Patients with PTSD had an elevated likelihood of developing
osteoporosis (HR: 2.66, 95% CI [1.91, 3.71]) in later life compared with the
controls. Sensitivity tests after excluding the first year observation (HR: 2.46,
95% CI [1.72, 3.53]) and the first 3-year observation (HR: 1.88, 95% CI [1.18,
3.01]) were consistent. Patients with PTSD had a higher risk of developing
osteoporosis at an earlier age compared with those without PTSD. Further studies
would be necessary to clarify the pathophysiology between PTSD and osteoporosis.
PMID- 29656532
TI - Of lamp posts, keys, and fabled drunkards: A perspectival tale of 4 guidelines.
AB - BACKGROUND: Evidence-based medicine is the application of research findings to
inform individual clinical decisions. There is a tension-both philosophical and
practical-between the average result from a population study and the
circumstances and needs of an individual patient. This personal account of
"evidence-based" trauma care illustrates and explores this tension. THE CASE: The
author, a keen athlete, describes her experience of a high-impact cycle accident
that led to limb fractures (which were diagnosed and treated according to
evidence-based guidelines) and also an occult injury to the cervical spine (which
was not diagnosed at the time). Some evidence-based guidelines are reviewed and
applied to the case. The clinical record described the cycle accident as a
"fall." Initial assessment directed the clinicians' gaze to the obvious injuries,
whose treatment was straightforward. On admission, the patient (aged 55 years at
the time) was offered "falls prevention" via a guideline-based checklist. Several
months later, neurological sequelae indicated possible damage to the cervical
spine. But the NICE Guideline recommending cervical spine imaging in cases of
high-impact trauma had not been considered-perhaps because the clinical narrative
had been prematurely assigned to the script of "older person with fall."
Furthermore, the author, who was (appropriately) treated with neurosurgery, was
surprised at the response of clinical colleagues, based on application of an
irrelevant section of a guideline, that her cervical discectomy was "nonevidence
based." Nonsteroidal anti-inflammatory drugs for postoperative pain were
indicated in this patient even though they were not recommended for the average
patient. CONCLUSION: As Sir John Grimley Evans' warned, we should avoid using
evidence-based guidelines in the manner of the fabled drunkard who searched under
the lamp post for his key because that was where the light was, even though he
knew he had lost his key somewhere else.
PMID- 29656533
TI - A weed resistance management game: a teaching tool.
AB - BACKGROUND: This article provides instructions and materials to moderate an
interactive resistance management game. The game is designed to generate
discussion about the challenges and possibilities of coordinating resistance
management activities among groups of farmers. The game has been successfully
applied in classroom settings, extension workshops, and at professional weed
science meetings. Research has found farmers often perceive the success of their
own resistance management may be thwarted if their neighbors are not adequately
managing resistance as well. This can lead to negative 'tragedy of the commons'
outcomes. RESULTS: In past applications of the game exercise, participants have
often responded in ways consistent with similar studies in experimental and
behavioral economics. This includes dividing benefits evenly (even though this is
not a requirement of the game) or treating one-time transactions as potentially
repeated exchanges. Player behavior may also be greatly influenced by their
attitudes toward monetary risks. CONCLUSION: The game allows participants to
explore ways to overcome the tragedy of the commons and illustrates the roles of
information sharing and economic incentives in finding solutions. It also allows
participants to experiment with bottom-up voluntary approaches toward resistance
management as an alternative to top-down regulatory approaches. (c) 2018 Society
of Chemical Industry.
PMID- 29656535
TI - Uterine and eggshell modifications associated with the evolution of viviparity in
South American water snakes (Helicops spp.).
AB - The evolution of viviparity requires eggshell thinning to bring together the
maternal uterus and extraembryonic membranes to form placentae for physiological
exchanges. Eggshell thinning likely involves reduced activity of the uterine
glands that secrete it. We tested these hypotheses by comparing the uterine and
eggshell structure and histochemistry among oviparous and viviparous water snakes
(Helicops) using phylogenetic methods. Eggshell thinning occurred convergently in
all three origins of viviparity in Helicops and was accomplished by the loss of
the mineral layer and thinning of the shell membrane. Uterine glands secrete the
shell membrane in both oviparous and viviparous Helicops. These glands increase
during vitellogenesis regardless of the reproductive mode, but they always reach
smaller sizes in viviparous forms. As there is no phylogenetic signal in eggshell
thickness and gland dimensions, we conclude that interspecific differences are
related to reproductive mode and not phylogeny. Therefore, our results support
the hypothesis that eggshell thinning is associated with the evolution of
viviparity and that such thinning result from a reduction in gland size in
viviparous taxa. Interestingly, the shell membrane thickness of viviparous
females of the reproductively bimodal Helicops angulatus is intermediate between
their oviparous and viviparous congeners. Thus, although eggshell thinning is
required by the evolution of viviparity, a nearly complete loss of this structure
is not. However, uterine gland dimensions are similar across viviparous Helicops.
Fewer glands or their functional repurposing may explain the thinner shell
membrane in viviparous species of Helicops in comparison to viviparous females of
the bimodal H. angulatus.
PMID- 29656537
TI - Histological evaluation of the repair process of replanted rat teeth after
storage in resveratrol dissolved in dimethyl sulphoxide.
AB - BACKGROUND/AIM: The storage media is directly related to the prognosis of avulsed
teeth, with resveratrol drawing attention due to its antioxidant and anti
inflammatory proprieties. The aim of this study was to evaluate the repair
process in rat teeth following delayed replantation after storage in resveratrol
(R) dissolved in dimethyl sulphoxide (DMSO). MATERIALS AND METHODS: The upper
right central incisors of 36 rats were divided into 4 groups. In group I, the
teeth were extracted and immediately replanted. Group II teeth were stored in 50
mL R+DMSO (0.0512 g/mL), whereas group III teeth were stored in 50 mL pure DMSO,
both for a period of 60 minutes. The teeth of group IV were stored dry for 60
minutes. The teeth of groups II, III and IV were then replanted, and the animals
were euthanized after 60 days. Longitudinal histological cuts were HE stained for
histomorphometric analysis of the periodontal ligament, alveolar bone, cementum
and dentin. RESULTS: The analysis of HE stained histological sections showed the
following statistical differences: the acute inflammatory process in the
epithelial insertion was less prominent in group II than in group III; the
organization of the periodontal ligament was better in group I, while the
intensity and extension of acute inflammation in the periodontal ligament were
better in group I, and worse in group III; the chronic inflammatory infiltrate
was less in groups I and IV, and the depth and extent of resorption, as well as
the repair of root resorption, were better in group I. CONCLUSIONS: Storage in
R+DMSO solution did not inhibit root resorption after delayed replantation in rat
teeth. It is concluded that pure DMSO is not a suitable transport medium for
avulsed teeth.
PMID- 29656536
TI - MMR Deficiency Does Not Sensitize or Compromise the Function of Hematopoietic
Stem Cells to Low and High LET Radiation.
AB - One of the major health concerns on long-duration space missions will be
radiation exposure to the astronauts. Outside the earth's magnetosphere,
astronauts will be exposed to galactic cosmic rays (GCR) and solar particle
events that are principally composed of protons and He, Ca, O, Ne, Si, Ca, and Fe
nuclei. Protons are by far the most common species, but the higher atomic number
particles are thought to be more damaging to biological systems. Evaluation and
amelioration of risks from GCR exposure will be important for deep space travel.
The hematopoietic system is one of the most radiation-sensitive organ systems,
and is highly dependent on functional DNA repair pathways for survival. Recent
results from our group have demonstrated an acquired deficiency in mismatch
repair (MMR) in human hematopoietic stem cells (HSCs) with age due to functional
loss of the MLH1 protein, suggesting an additional risk to astronauts who may
have significant numbers of MMR deficient HSCs at the time of space travel. In
the present study, we investigated the effects gamma radiation, proton radiation,
and 56 Fe radiation on HSC function in Mlh1+/+ and Mlh1-/- marrow from mice in a
variety of assays and have determined that while cosmic radiation is a major risk
to the hematopoietic system, there is no dependence on MMR capacity. Stem Cells
Translational Medicine 2018;7:513-520.
PMID- 29656539
TI - Confronting bias in health care.
PMID- 29656538
TI - CD200 expression in flow cytometry helps to distinguish mantle cell lymphoma from
other CD5-positive B-cell neoplasms.
PMID- 29656540
TI - Management of pregnancy complications in type 2N von Willebrand disease
associated to a novel mutation.
PMID- 29656541
TI - A novel herpesvirus associated with chronic superficial keratitis and
proliferative conjunctivitis in a great horned owl (Bubo virginianus).
AB - An adult great-horned owl (Bubo virginianus; GHOW) presented with a history of
recurrent corneal ulceration of the right eye (OD). Findings included ulcerative
superficial keratitis, proliferative conjunctivitis, and iris pigmentary changes.
The ulcer was initially nonresponsive to medical therapy, but showed rapid and
appropriate healing following diamond burr debridement. Proliferative
conjunctivitis markedly improved following topical antiviral therapy with
cidofovir 1%, interferon alpha 2B ophthalmic solutions, and oral l-lysine.
Histopathologic evaluation of a conjunctival biopsy revealed epithelial features
suspicious for viral cytopathic changes and intranuclear structures suspicious
for viral inclusions, suggestive of a possible viral-induced papillomatous
conjunctivitis. A novel alphaherpesvirus, referred to as Strigid Herpesvirus 1
(StrHV1), was identified using PCR and gene sequencing. This case represents a
new clinical manifestation of a previously unreported herpesvirus in the GHOW.
Identification of the herpes virus was critical to administration of appropriate
therapy and resolution of the conjunctivitis, and corneal epithelial debridement
promoted resolution of the chronic corneal epithelial defect.
PMID- 29656542
TI - Evaluation of permeability and fluid wicking in woven fiber bone scaffolds.
AB - Research characterizing transport of nutrients and waste in tissue engineering
scaffolds has led to the study of scaffold properties that contribute to
permeability and porosity of the scaffold. Both permeability and porosity
contribute to the transport properties of the scaffold; however, permeability
relates to the degree to which pores are interconnected within the scaffold. This
work evaluated permeability for woven polymer fiber scaffolds by modulating the
following scaffold parameters: material combination, weave configuration, and
fiber geometry. Materials tested were poly-l-lactide and poly-l-lactide-co-E
caprolactone in various combinations. Plain and crowfoot weave configurations
were compared, and grooved wicking fibers were compared with round cross-section
fibers to study fiber geometry. A modification of the constant head hydraulic
conductivity test was used in combination with a vertical wicking test to
determine levels of permeability of the woven scaffolds. Results showed a
significant effect on permeability for combinations of weave configuration, fiber
geometry, and material combination. However, modulating fiber geometry
demonstrated the most significant contribution to permeability. This result
suggests the grooved wicking geometry may be used in scaffold development to
regulate transport by selectively moving fluid away or toward the area of
interest by capillary action. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res
Part B: Appl Biomater, 2018.
PMID- 29656543
TI - Diastereoselective Synthesis of Highly Substituted, Amino- and Pyrrolidino
Tetrahydrofurans as Lead-Like Molecular Scaffolds.
AB - A series of highly substituted tetrahydrofurans (THFs), decorated with modifiable
2-aryl, 3-carboxy and 4-amino substituents, has been prepared for biological
evaluation within the European Lead Factory. Diastereoselective reductive
amination of pre-functionalised 4-oxofurans, readily prepared from cinnamate
esters via oxa-Michael/Dieckmann annulation, provided the requisite THF cores on
gram scale with three contiguous stereocentres, including full substitution at C
3. In a second series, a pyrrolidine ring was fused to the same oxofuran scaffold
via an intramolecular reductive amination, inverting the configuration at C-4
relative to the other ring substituents. The resulting compounds, which displayed
desirable physical properties as lead-like scaffolds, were derivatised into a
small library of 24 compounds, demonstrating their ability to serve as starting
points for drug discovery. Ultimately, this chemistry enabled the preparation of
1948 THF-containing compounds for inclusion in the Joint European Compound
Library.
PMID- 29656544
TI - An overview on the identification of MAIT cell antigens.
AB - Mucosal associated invariant T (MAIT) cells are restricted by the monomorphic MHC
class I-like molecule, MHC-related protein-1 (MR1). Until 2012, the origin of the
MAIT cell antigens (Ags) was unknown, although it was established that MAIT cells
could be activated by a broad range of bacteria and yeasts, possibly suggesting a
conserved Ag. Using a combination of protein chemistry, mass spectrometry,
cellular biology, structural biology and small molecule chemistry, we discovered
MR1 ligands derived from folic acid (vitamin B9) and from an intermediate in the
microbial biosynthesis of riboflavin (vitamin B2). While the folate derivative 6
formylpterin generally inhibited MAIT cell activation, two riboflavin pathway
derivatives, 5-(2-oxopropylideneamino)-6-D-ribitylaminouracil and 5-(2
oxoethylideneamino)-6-D-ribitylaminouracil, were potent MAIT cell agonists. Other
intermediates and derivatives of riboflavin synthesis displayed weak or no MAIT
cell activation. Collectively, these studies revealed that in addition to peptide
and lipid-based Ags, small molecule natural product metabolites are also ligands
that can activate T cells expressing alphabeta T-cell receptors, and here we
recount this discovery.
PMID- 29656545
TI - A framework for treating DSM-5 alternative model for personality disorder
features.
AB - Despite its demonstrated empirical superiority over the DSM-5 Section 2
categorical model of personality disorders for organizing the features of
personality pathology, limitations remain with regard to the translation of the
DSM-5 Section 3 alternative model of personality disorders (AMPD) to clinical
practice. The goal of this paper is to outline a general and preliminary
framework for approaching treatment from the perspective of the AMPD. Specific
techniques are discussed for the assessment and treatment of both Criterion A
personality dysfunction and Criterion B maladaptive traits. A concise and step-by
step model is presented for clinical decision making with the AMPD, in the hopes
of offering clinicians a framework for treating personality pathology and
promoting further research on the clinical utility of the AMPD. Copyright (c)
2018 John Wiley & Sons, Ltd.
PMID- 29656546
TI - A Guide to IL-1 family cytokines in adjuvanticity.
AB - Growing awareness of the multiplicity of roles for the IL-1 family in immune
regulation has prompted research exploring these cytokines in the context of
vaccine-induced immunity. While tightly regulated, cytokines of the IL-1 family
are normally released in response to cellular stress and in combination with
other danger-/damage-associated molecular patterns (DAMPs), triggering potent
local and systemic immune responses. In the context of infection or autoimmunity,
engagement of IL-1 family receptors links robust innate responses to adaptive
immunity. Clinical and experimental evidence has revealed that many vaccine
adjuvants induce the release of one or multiple IL-1 family cytokines. The
coordinated release of IL-1 family members in response to adjuvant-induced damage
or cell death may be a determining factor in the transition from local
inflammation to the induction of an adaptive response. Here, we analyse the
effects of IL-1 family cytokines on innate and adaptive immunity with a
particular emphasis on activation of antigen-presenting cells and induction of T
cell-mediated immunity, and we address in detail the contribution of these
cytokines to the modes of action of vaccine adjuvants including those currently
approved for human use.
PMID- 29656547
TI - Prenatal Sonographic Depiction of Large Intra-amniotic Umbilical Vein Thrombosis.
PMID- 29656548
TI - Effects of geranylgeranylacetone upon cardiovascular diseases.
AB - Heat shock proteins (HSPs) are an important family of protective proteins. They
are involved actively in an array of cellular processes, including protective
effects on the cardiovascular system in response to various stimuli. Increasing
evidence shows that pharmacologic interventions that induce expression of HSPs
may be a novel approach for the treatment of cardiovascular diseases. However,
agents that induce expression of HSPs used previously are toxic or have harmful
side effects, which limit their clinical application. Geranylgeranylacetone (GGA)
is not only a widely used antiulcer agent in Asia, but also a nontoxic inducer of
HSPs expression. It increases the expression of HSPs rapidly in the presence of
ischemia, anoxia, oxidative stress, and toxicants, thereby having significant
protective effects. The cardioprotective effects of GGA have been corroborated by
experiments in vivo and in vitro. Importantly, several derivatives of GGA have
been synthesized that have improved pharmaco-chemical and HSPs-boosting
properties. In this review, the current knowledge and potential cardioprotective
mechanisms of GGA are summarized comprehensively. We discuss the protective
effects of GGA in cardiovascular diseases and myocardial injury induced by
physical or chemical injury. Currently available information suggests that GGA
could be employed as a novel pharmacologic intervention against cardiovascular
disease.
PMID- 29656549
TI - Sodium nitroprusside is effective in preventing and/or reversing the development
of schizophrenia-related behaviors in an animal model: The SHR strain.
AB - AIMS: The treatment of schizophrenia with antipsychotics is still unsatisfactory.
Therefore, the search for new treatments and prevention is crucial, and animal
models are fundamental tools for this objective. Preclinical and clinical data
evidence the antipsychotic profile of sodium nitroprusside (SNP), a nitric oxide
(NO) donor. We aimed to investigate SNP in treating and/or preventing the
schizophrenia-related behaviors presented by the spontaneously hypertensive rats
(SHR) strain. METHODS: Wistar rats (WR) and SHRs were submitted to two schemes of
treatment: (i) a single injection of SNP or vehicle in adulthood; (ii) a long
term early treatment from 30 to 60 postnatal day with SNP or vehicle. The
following behaviors were evaluated 24 hours after the acute treatment or 30 days
after the long-term treatment: locomotion, social interaction, and contextual
fear conditioning. RESULTS: Spontaneously hypertensive rats presented
hyperlocomotion, decreased social interaction, and impaired contextual fear
conditioning. Single injection of SNP decreased social interaction in both
strains and induced a deficit in contextual fear conditioning in WR. Oppositely,
early treatment with SNP prevented the behavioral abnormalities in adult SHRs
without promoting any effects in WR. CONCLUSION: Our preclinical data point to
SNP as a preventive and safe strategy with a broad range of effectiveness to the
positive, negative, and cognitive symptoms of schizophrenia.
PMID- 29656550
TI - miR-181c-BRK1 axis plays a key role in actin cytoskeleton-dependent T cell
function.
AB - MicroRNAs are short endogenous noncoding RNAs that play pivotal roles in a
diverse range of cellular processes. The miR-181 family is important in T cell
development, proliferation, and activation. In this study, we have identified
BRK1 as a potential target of miR-181c using a dual selection functional assay
and have showed that miR-181c regulates BRK1 by translational inhibition. Given
the importance of miR-181 in T cell function and the potential role of BRK1 in
the involvement of WAVE2 complex and actin polymerization in T cells, we
therefore investigated the influence of miR-181c-BRK1 axis in T cell function.
Stimulation of PBMC derived CD3+ T cells resulted in reduced miR-181c expression
and up-regulation of BRK1 protein expression, suggesting that miR-181c-BRK1 axis
is important in T cell activation. We further showed that overexpression of miR
181c or suppression of BRK1 resulted in inhibition of T cell activation and actin
polymerization coupled with defective lamellipodia generation and immunological
synapse formation. Additionally, we found that BRK1 silencing led to reduced
expressions of other proteins in the WAVE2 complex, suggesting that the
impairment of T cell actin dynamics was a result of the instability of the WAVE2
complex following BRK1 depletion. Collectively, we demonstrated that miR-181c
reduces BRK1 protein expression level and highlighted the important role of miR
181c-BRK1 axis in T cell activation and actin polymerization-mediated T cell
functions.
PMID- 29656551
TI - Efficacy of three different steamers for control of bed bugs (Cimex lectularius
L.).
AB - BACKGROUND: Bed bugs, Cimex lectularius L., have become one of the most difficult
urban pests to control. Steam treatment is reported to be an effective method to
kill bed bugs and is considered to be an important component of bed bug
integrated pest management (IPM). We evaluated and compared the efficacies of two
affordable consumer-grade commercial steamers and a commonly used professional
grade steamer for killing bed bugs. RESULTS: In laboratory experiments, the
consumer-grade steamers at affordable prices achieved the same high control
efficacy as the professional-grade steamer for treating bed bugs exposed on
mattresses (100% bed bug mortality for all steamers), located beneath a fabric
cover (>89% bed bug mortality for all steamers), or hiding in cracks (100% bed
bug mortality for all steamers). Bed bugs located behind a leather cover did not
suffer significant mortality from steam treatment regardless of the type of
steamers used and the treatment duration. CONCLUSION: Proper use of steamers can
kill all life stages of bed bugs. Affordable consumer-grade steamers are as
effective as professional-grade steam machines for eliminating bed bugs resting
on mattresses and hiding behind fabric materials or in cracks. (c) 2018 Society
of Chemical Industry.
PMID- 29656552
TI - Better performance of four-dimension computed tomography as a localization
procedure in normocalcemic primary hyperparathyroidism.
AB - INTRODUCTION: The multi-phase or four-dimensional computed tomography (4D CT) has
emerged as a promising technique for preoperative localization of parathyroid
lesions in patients with primary hyperparathyroidism (PHPT), but little is known
about its accuracy in patients with normocalcemic primary hyperparathyroidism
(NPHPT). METHODS: A total of 18 patients diagnosed with PHPT underwent three
methods of preoperative localization (4D CT, TC-99-Sestamibi scintigraphy and
ultrasonography). After surgery, the results of imaging were compared with
operative findings, pathological and biochemical data to evaluate the sensitivity
and of each localization procedure. RESULTS: In NPHPT the sensitivity for
identifying the parathyroid lesion, according to presentation of PHPT
(hypercalcemic or normocalcemic), was better with 4DCT in comparison to USG and
scintigraphy. In these patients, the sensitivity for lateralization was as
follows: USG: 22% (95% CI 0-44.9), scintigraphy: 11.1% (95% CI 0-31.6), 4DCT:
55.6% (95% CI 23.1-88). In hypercalcemic patients the results were as follows:
USG: 58.3% (95% CI 30.4-86.2), scintigraphy: 75% (95% CI 50.5-99.5), 4DCT: 75%
(95% CI 50.5-99.5). There was a poor agreement between the three procedures in
the identification of the parathyroid lesions, with Kappa coeficients of 0.292
and 0.01 for scintigraphy and 4DCT, respectively, in comparison to USG.
CONCLUSION: Our data showed that 4DCT had a better performance as a preoperative
localization procedure of the parathyroid lesion in patients with NPHPT.
PMID- 29656553
TI - Rational Fabrication of Anti-Freezing, Non-Drying Tough Organohydrogels by One
Pot Solvent Displacement.
AB - Tough hydrogels, polymeric network structures with excellent mechanical
properties (such as high stretchability and toughness), are emerging soft
materials. Despite their remarkably mechanical features, tough hydrogels exhibit
two flaws (freezing around the icing temperatures of water and drying under arid
conditions). Inspired by cryoprotectants (CPAs) used in the inhibition of the
icing of water in biological samples, a versatile and straightforward method is
reported to fabricate extreme anti-freezing, non-drying CPA-based organohydrogels
with long-term stability by partially displacing water molecules within the pre
fabricated hydrogels. CPA-based Ca-alginate/polyacrylamide (PAAm) tough hydrogels
were successfully fabricated with glycerol, glycol, and sorbitol. The CPA-based
organohydrogels remain unfrozen and mechanically flexible even up to -70 degrees
C and are stable under ambient conditions or even vacuum.
PMID- 29656554
TI - A single day of bed rest, irrespective of energy balance, does not affect
skeletal muscle gene expression or insulin sensitivity.
AB - NEW FINDINGS: What is the central question of this study? What are the initial
metabolic and molecular events that underpin bed rest-induced skeletal muscle
deconditioning, and what is the contribution of energy balance? What is the main
finding and its importance? A single day of bed rest, irrespective of energy
balance, did not lead to overt changes in skeletal muscle gene expression or
insulin sensitivity. More than 1 day of physical inactivity is required to
observe the insulin resistance and robust skeletal muscle transcriptional
responses associated with bed rest and consequent alterations in energy balance.
ABSTRACT: The initial metabolic and molecular events that underpin disuse-induced
skeletal muscle deconditioning, and the contribution of energy balance, remain to
be investigated. Ten young, healthy men (age 25 +/- 1 years; body mass index 25.3
+/- 0.8 kg.m-2 ) underwent three 24 h laboratory-based experimental periods in a
randomized, crossover manner: (i) controlled habitual physical activity with an
energy-balanced diet (CON); (ii) strict bed rest with a diet to maintain energy
balance (BR-B); and (iii) strict bed rest with a diet identical to CON,
consequently resulting in positive energy balance. Continuous glucose monitoring
was performed throughout each visit, with vastus lateralis muscle biopsies and an
oral glucose tolerance test performed before and after. In parallel with muscle
samples collected from a previous 7 day bed rest study, biopsies were used to
examine the expression of genes associated with the regulation of muscle mass and
insulin sensitivity. A single day of bed rest, irrespective of energy balance,
did not lead to overt changes in whole-body substrate oxidation, indices of
insulin sensitivity [i.e. homeostatic model assessment of insulin resistance, BR
B from 2.7 +/- 1.7 to 3.1 +/- 1.5 (P > 0.05) and Matsuda index, BR-B from 5.9 +/-
3.3 to 5.2 +/- 2.9 (P > 0.05)] or 24 h glycaemic control/variability compared
with CON. Seven days of bed rest led to ~30-55% lower expression of genes
involved in insulin signalling, lipid storage/oxidation and muscle protein
breakdown, whereas no such changes were observed after 1 day of bed rest. In
conclusion, more than a single day of physical inactivity is required to observe
the insulin resistance and robust skeletal muscle transcriptional responses
associated with bed rest and consequent alterations in energy balance.
PMID- 29656555
TI - Bibbidi bobbidi bald: Two "hairowing" tales of Princess Package hairstyles.
AB - We present cases of localized alopecia on the vertex scalp of two girls after
elaborate professional hairstyling marketed as the "Princess Package" at a major
U.S. theme park. Localized alopecia followed pain, erythema, and delayed crusting
due to necrosis of the scalp. The majority of the affected alopecic areas had
evidence of regrowth at interval follow-up, but small areas of scarring alopecia
remained. We propose that these cases represent a type of alopecia caused by a
combination of pressure ischemia and acute traction alopecia.
PMID- 29656556
TI - Melt Electrowriting of Thermoplastic Elastomers.
AB - Melt electrowriting (MEW), an additive manufacturing process, is established
using polycaprolactone as the benchmark material. In this study, a thermoplastic
elastomer, namely, poly(urea-siloxane), is synthesized and characterized to
identify how different classes of polymers are compatible with MEW. This
polyaddition polymer has reversible hydrogen bonding from the melt upon
heating/cooling and highly resolved structures are achieved by MEW. The influence
of applied voltage, temperature, and feeding pressure on printing outcomes
behavior is optimized. Balancing these parameters, highly uniform and smooth
surfaced fibers with diameters ranging from 10 to 20 um result. The quality of
the 3D MEW scaffolds is excellent, with very accurate fiber stacking capacity-up
to 50 layers with minimal defects and good fiber fusion between the layers. There
is also minimal fiber sagging between the crossover points, which is a
characteristic of thicker MEW scaffolds previously reported with other polymers.
In summary, poly(urea-siloxane) demonstrates outstanding compatibility with the
MEW process and represents a class of polymer-thermoplastic elastomers-that are,
until now, untested with this approach.
PMID- 29656557
TI - Possible interesting link between Janus kinase 2 mutation and renovascular
hypertension.
PMID- 29656558
TI - Deep-Ultraviolet Nonlinear Optical Crystal Cs2 Al2 (B3 O6 )2 O: A Benign Member
of the Sr2 Be2 (BO3 )2 O Family with [Al2 (B3 O6 )2 O]2- Double Layers.
AB - For the explorations of deep ultraviolet (DUV) nonlinear optical (NLO) borates, a
type of important optoelectronic material, the (BO3 )3- group has been long
regarded as the sole microscopic optically-active unit, and toxic Be-containing
raw materials are frequently-adopted. Herein, a new DUV NLO crystal, Cs2 Al2 (B3
O6 )2 O (CABO), was designed and synthesized by simultaneously replacing the (BO3
)3- groups and Be2+ cations for (B3 O6 )3- units and Al3+ cations in Sr2 Be2 (BO3
)2 O, which possesses a favorable structure, through a chemical co-substitution
approach. CABO exhibits a considerable DUV NLO capability because of the wide
band gap and large birefringence originating from the [Al2 (B3 O6 )2 O]2- double
layers. Remarkably, CABO melts congruently and does not contain the toxic
beryllium, which is favorable for bulk-size crystal growth and practical
applications.
PMID- 29656560
TI - Preoperative criterion identifying a low-risk group for lymph node metastasis in
intrahepatic cholangiocarcinoma.
AB - BACKGROUND: Imaging study cannot identify patients with potential low-risk for
lymph node (LN) metastasis in intrahepatic cholangiocarcinoma (ICC). The purpose
of this study was to identify a low-risk group for LN metastasis in ICC using
preoperatively available factors. METHODS: Data from 127 consecutive patients,
who underwent curative intent surgery for ICC at Kyoto University Hospital and
Kitano Hospital between 2002 and 2016, were retrospectively analyzed. By using
only preoperative data, multiple linear regression model for predicting LN
metastasis was developed. RESULTS: Forty-three patients exhibited LN metastasis
(33.9%). Serum CA19-9 levels >=37 IU/ml, ICC with hilar invasion, and LN swelling
were identified as independent preoperative predictors of LN metastasis. The
prediction model for LN metastasis using these three factors revealed good
discrimination (area under the receiver operating characteristics curve 0.874, P
< 0.001). Negative for all three factors (i.e. CA19-9 levels <37 IU/ml,
peripheral ICC and no LN swelling) identified 35 patients (27.6%) as a low-risk
group, with the false negative rate of 2.3%. CONCLUSIONS: We proposed a
preoperative criterion for predicting LN metastasis, giving rise to the
identification of ICC patients who showed a low-risk of LN metastasis.
PMID- 29656561
TI - Advances in Controlling Differentiation of Adult Stem Cells for Peripheral Nerve
Regeneration.
AB - Adult stems cells, possessing the ability to grow, migrate, proliferate, and
transdifferentiate into various specific phenotypes, constitute a great asset for
peripheral nerve regeneration. Adult stem cells' ability to undergo
transdifferentiation is sensitive to various cell-to-cell interactions and
external stimuli involving interactions with physical, mechanical, and chemical
cues within their microenvironment. Various studies have employed different
techniques for transdifferentiating adult stem cells from distinct sources into
specific lineages (e.g., glial cells and neurons). These techniques include
chemical and/or electrical induction as well as cell-to-cell interactions via co
culture along with the use of various 3D conduit/scaffold designs. Such scaffolds
consist of unique materials that possess controllable physical/mechanical
properties mimicking cells' natural extracellular matrix. However, current
limitations regarding non-scalable transdifferentiation protocols, fate
commitment of transdifferentiated stem cells, and conduit/scaffold design have
required new strategies for effective stem cells transdifferentiation and
implantation. In this progress report, a comprehensive review of recent advances
in the transdifferentiation of adult stem cells via different approaches along
with multifunctional conduit/scaffolds designs is presented for peripheral nerve
regeneration. Potential cellular mechanisms and signaling pathways associated
with differentiation are also included. The discussion with current challenges in
the field and an outlook toward future research directions is concluded.
PMID- 29656559
TI - Shaping eosinophil identity in the tissue contexts of development, homeostasis,
and disease.
AB - Eosinophils play homeostatic roles in different tissues and are found in several
organs at a homeostatic baseline, though their tissue numbers increase
significantly in development and disease. The morphological, phenotypical, and
functional plasticity of recruited eosinophils are influenced by the dynamic
tissue microenvironment changes between homeostatic, morphogenetic, and disease
states. Activity of the epithelial-mesenchymal interface, extracellular matrix,
hormonal inputs, metabolic state of the environment, as well as epithelial and
mesenchymal-derived innate cytokines and growth factors all have the potential to
regulate the attraction, retention, in situ hematopoiesis, phenotype, and
function of eosinophils. This review examines the reciprocal relationship between
eosinophils and such tissue factors, specifically addressing: (1) tissue
microenvironments associated with the presence and activity of eosinophils; (2)
non-immune tissue ligands regulatory for eosinophil accumulation, hematopoiesis,
phenotype, and function (with an emphasis on the extracellular matrix and
epithelial-mesenchymal interface); (3) the contribution of eosinophils to
regulating tissue biology; (4) eosinophil phenotypic heterogeneity in different
tissue microenvironments, classifying eosinophils as progenitors, steady state
eosinophils, and Type 1 and 2 activated phenotypes. An appreciation of eosinophil
regulation by non-immune tissue factors is necessary for completing the picture
of eosinophil immune activation and understanding the functional contribution of
these cells to development, homeostasis, and disease.
PMID- 29656562
TI - Aerobic bacteria on cervical cytology.
PMID- 29656563
TI - Factors affecting publication in peer-reviewed journals of abstracts presented
from 2008 to 2012 ACVO meetings.
AB - PURPOSE: To examine variables that affect publication of ACVO meeting abstracts
in peer-reviewed journals and compare results to ECVO publication rate (PR).
METHODS: Published papers were identified via online searches for abstracts from
2008 to 2012 ACVO/ECVO meetings. Variables analyzed (via Pearson's chi-Squared
test) included the following: oral presentation/poster, type of abstract
(clinical/basic science/case report), species, ocular tissue, nationality,
funding, first/last/any author a diplomate, resident as first author, and author
affiliation (private practice/university). RESULTS: One hundred and eighty-six of
577 ACVO abstracts were published within 608 +/- 479 days, with 103 published in
Veterinary Ophthalmology. Significant factors included the following: nationality
of first/last authors (P = .005); English as first language (P < .001);
presentation type (P < .001, oral 40% PR, poster 22% PR); type of study (P =
.037, clinical study 35% PR, basic science 30% PR, case report 16% PR); resident
as first author (P < .001); diplomate as any author except first/last (P < .001);
first author affiliation (P = .001, university 37% PR, practice 21% PR); last
author affiliation (P = .003, university 36% PR, practice 22% PR); and species (P
< .001, horses 53% PR, multiple species 50% PR, cats 35% PR, food animals 31% PR,
exotics/wildlife 31% PR, dogs 27% PR, laboratory animals/in vitro 24%).
Nonsignificant factors were as follows: diplomate as first/last author, funding,
and ocular tissue. Presentation type, resident as first author, university
affiliation of first author, and species had the greatest effect on publication
probability. For the same period, ECVO PR was 87 of 299, which was not
significantly different from ACVO PR (P = .342). CONCLUSION: At 32%, ACVO PR for
the study years is similar to ECVO PR of 29%.
PMID- 29656564
TI - Picophytoplankton size and biomass around equatorial eastern Indian Ocean.
AB - The cellular size and biomass of picophytoplankton were studied by flow cytometer
during spring monsoon (March-May of 2015) in equatorial eastern Indian Ocean. We
established an empirical relationship between forward scatter and cellular size
to address the size and biomass of picophytoplankton. Results indicated that mean
cell diameter of Prochlorococcus (0.60 MUm) was the smallest, and then followed
by Synechococcus (0.98 MUm) and picoeukaryotic phytoplankton (1.05 MUm).
Thereafter, the biomass converted by abundance reached 0.64 MUg.C.L-1 for
Prochlorococcus, 0.34 MUg.C.L-1 for Synechococcus, and 0.20 MUg.C.L-1 for
picoeukaryotic phytoplankton. Additionally, the distinct biomass contribution of
picophytoplankton appeared to be affected by abundance, but not changes in
cellular size. Vertically, the cellular sizes of picophytoplankton were
remarkably small in upper waters, which was predominantly controlled by the
nutrient availability. In contrast, they were larger in deeper waters, which was
primarily attributed to the combined effects of low temperature and reduced light
availability. Spatially, under the influence of high nutrient concentration
induced by the different circulations and coastal upwelling, slightly high carbon
biomass of picophytoplankton was observed around the coastal zones of Sri Lanka
island and Sumatra, as well as the southern Bay of Bengal.
PMID- 29656565
TI - Rural-Urban Differences in Costs of End-of-Life Care for the Last 6 Months of
Life Among Patients with Breast, Lung, or Colorectal Cancer.
AB - PURPOSE: The purpose of this study was to examine rural-urban differences in
utilization and expenditures in the last 6 months of life for patients with
breast, lung, or colorectal cancer. METHODS: The study used a 5% sample of the
2013 Medicare Research Identifiable Files to study utilization and expenditures
for beneficiaries with breast, lung, or colorectal cancer during the last 6
months before death (n = 6,214). End of life expenditures were calculated as the
sum of total Medicare expenditures for inpatient, outpatient, physician, home
health, hospice, and skilled nursing facility costs during the last 6 months of
life. FINDINGS: For each type of cancer, total Medicare expenditures in the last
6 months of life were lower for rural decedents compared to their urban
counterparts. During the last 6 months of life, median Medicare expenditures were
lower for rural decedents for breast cancer ($21,839 vs $25,698), lung cancer
($22,814 vs $27,635), and colorectal cancer ($24,156 vs $28,035; all differences
significant at P < .05). In adjusted models, care for rural decedents was less
costly than urban decedents for breast, lung, and colorectal cancer,
respectively. CONCLUSIONS: Our findings indicate that Medicare expenditures are
lower for rural beneficiaries with each type of cancer than urban beneficiaries,
even after adjusting for age, gender, race, dual eligibility, region, chronic
conditions, and type of service utilization. The findings from this study can be
useful for policymakers in developing programs and resource allocation decisions
that impact rural beneficiaries.
PMID- 29656566
TI - Absence of human skin irritation and allergenic potential after repeated patch
applications of a lamellar moisturizer.
AB - BACKGROUND: New cosmetic products should undergo clinical evaluation for skin
sensitization potential. OBJECTIVES: To assess the irritation and sensitization
potential of a moisturizer containing lamellar structured lipids after repeated
patch application in humans, using human repeated insult patch test methodology.
METHODS: This 6-week, single-center, open-label study compared a lamellar
moisturizer with negative saline control in human subjects aged 18-70 years and
skin phototype (Fitzpatrick) classification I-IV. During an initial induction
phase, semi-occlusive multi-test patches were applied to the skin of
participants' backs three times per week for 3 consecutive weeks; clinical
assessments were performed per International Contact Dermatitis Research Group
criteria. Participants subsequently underwent a challenge phase, where a new
patch was applied to a contact-naive area of the skin to assess sensitization to
the moisturizer. RESULTS: The study commenced with 233 voluntary participants,
214 of whom completed the study and underwent the final dermatological
assessment. Most participants (232/233; 99.6%) demonstrated negative patch test
results. One participant had a positive reaction at the lamellar moisturizer
application site, with visible erythema and edema (classified as an adverse event
[AE]); however, this reaction was observed 24 hours after a reaction to another
product in the patch test panel (a prototype cleanser). Importantly, no skin
reactions were detected during the challenge phase. Two participants had AEs of
mild contact dermatitis in the area of patch adhesive application during the
induction phase. No serious AEs occurred during the study. CONCLUSIONS: These
findings suggest that the lamellar moisturizer has low irritant and allergenic
potential.
PMID- 29656567
TI - Major venom proteins of the fire ant Solenopsis invicta: insights into possible
pheromone-binding function from mass spectrometric analysis.
AB - Proteins in the venom of the fire ant Solenopsis invicta have been suggested to
function in pheromone binding. Venom from queens and workers contains different
isoforms of these proteins, consistent with the differing pheromones they
secrete, but questions remain about the venom protein composition and glandular
source. We found that the queen venom contains a previously uncharacterized
pheromone-binding protein paralogue known as Sol i 2X1. Using imaging mass
spectrometry, we located the main venom proteins in the poison sac, implying that
pheromones might have to compete with venom alkaloids for binding. Using the
known structure of the worker venom protein Sol i 2w, we generated three
dimensional homology models of the worker venom protein Sol i 4.02, and of the
two main venom proteins in queens and female alates, Sol i 2q and Sol i 2X1.
Surprisingly, the models show that the proteins have relatively small internal
hydrophobic binding pockets that are blocked by about 10 amino acids of the C
terminal region. For these proteins to function as carriers of hydrophobic
ligands, a conformational change would be required to displace the C-terminal
region, somewhat like the mechanism known to occur in the silk moth pheromone
binding protein.
PMID- 29656568
TI - Nanofiber-Based Hydrogels: Controllable Synthesis and Multifunctional
Applications.
AB - Nanofiber-based hydrogels (NFHGs) prepared by the combination of traditional
hydrogels and novel nanofibers have demonstrated great potential in various
application fields, owing to their integrated advantages of superhydrophilicity,
high water-holding capacity, good biocompatibility, enhanced mechanical strength,
and excellent structural tenability. In this review, a comprehensive overview of
the structure design and synthetic strategy of NFHGs derived from electrospinning
technique, weaving, freeze-drying, 3D printing, and molecular self-assembling
method is provided. The widely researched multifunctional applications, primarily
involving tissue engineering, drug delivery, sensing, intelligent actuator, and
oil/water separation are also presented. Furthermore, some unsolved scientific
issues and possible directions for future development of this field are also
intensively discussed.
PMID- 29656570
TI - Predatory journals and their effect on the advancement of nursing science.
PMID- 29656569
TI - Ghanaian parents' perceptions of pre and postnatal nutrient supplements and their
effects.
AB - Small-quantity lipid-based nutrient supplements (SQ-LNS) have been studied in
efficacy and effectiveness trials, but little is known about how parents perceive
the products and their effects. In a randomised trial in Ghana, efficacy of SQ
LNS provided to women during pregnancy and the first 6 months postpartum and to
their children from 6 to 18 months of age was assessed by comparison with iron
folic acid (IFA) capsules and multiple micronutrient (MMN) capsules provided to
women. In a follow-up study conducted when the index children from the original
trial were between 4 and 6 years of age, we used survey-based methods to assess
retrospective and current parental perceptions of nutrient supplements generally
and of SQ-LNS and their effects compared with perceptions IFA and MMN capsules.
Most parents perceived that the assigned supplements (SQ-LNS, IFA, or MMN)
positively impacted the mother during pregnancy (approximately 89% of both
mothers and fathers) and during lactation (84% of mothers and 86% of fathers).
Almost all (>=90%) of mothers and fathers perceived that the assigned supplement
positively impacted the index child and expected continued positive impacts on
the child's health and human capital into the future. A smaller percentage of
parents perceived negative impacts of the supplements (7%-17% of mothers and 4%
12% of fathers). Perceptions of positive impacts and of negative impacts did not
differ by intervention group. The results suggest that similar populations would
likely be receptive to programs to deliver SQ-LNS or micronutrient capsules.
PMID- 29656571
TI - Daily Snacking Occasions and Weight Status Among US Children Aged 1 to 5 Years.
AB - OBJECTIVE: To characterize associations of snacking frequency with weight status
among US children aged 1 to 5 years. METHODS: Participants were children (n =
4,669) aged 1 to 5 years in the 2005 to 2014 National Health and Nutrition
Examination Survey (NHANES). Snacking was assessed by two 24-hour dietary recalls
using definitions that considered "snack" occasions as well as other
foods/beverages consumed between meals. Overweight/obesity (OW/OB) was defined
using percentile cutoffs: >= 97.7th weight-for-length (< 2 years) cutoff and the
>= 85th BMI-for-age (>= 2 years) cutoff. Linear/logistic regressions evaluated
snacking based on daily occasions and relative to current recommendations (two to
three snacks per day). RESULTS: During 2005 to 2014, US children aged 1 to 5
years consumed, on average, two to three snacks daily. Children with normal
weight in both age groups tended to snack less frequently than children with
OW/OB when considering all foods/beverages eaten between meals (P < 0.01-0.12).
Across most snacking definitions, children < 2 years who snacked more frequently
than recommended had greater odds of having OW/OB (P < 0.01-0.12) and consumed
greater daily snack energy than those who snacked within recommendations (all P <
0.01). Recommendations did not clearly delineate weight status among children
aged 2 to 5 years. CONCLUSIONS: Snacking frequency and weight are positively
associated among US children 1 to 5 years old, with most consistent associations
seen among children < 2 years old and when considering all foods/beverages
consumed between meals.
PMID- 29656572
TI - Binding of Lanthanide Complexes to Histidine-Containing Peptides Probed by Raman
Optical Activity Spectroscopy.
AB - Lanthanide complexes are used as convenient spectroscopic probes for many
biomolecules. Their binding to proteins is believed to be enhanced by the
presence of histidine, but the strength of the interaction significantly varies
across different systems. To understand the role of peptide length and sequence,
short histidine-containing peptides have been synthesized (His-Gly, His-Gly-Gly,
His-Gly-Gly-Gly, Gly-His, Gly-His-Gly, His-His, and Gly-Gly-His) and circularly
polarized luminescence (CPL) induced at the [Eu(dpa)3 ]3- complex has been
measured by means of a Raman optical activity (ROA) spectrometer. The obtained
data indicate relatively weak binding of the histidine residue to the complex,
with a strong participation of other parts of the peptide. Longer peptides, low
pH, and a histidine residue close to the N-peptide terminus favor the binding.
The binding strengths are approximately proportional to the CPL intensity and
roughly correlate with predictions based on molecular dynamics (MD) simulations.
The specificity of lanthanide binding to the peptide structure and its intense
luminescence and high optical activity make the ROA/CPL technique suitable for
probing secondary and tertiary structures of peptides and proteins.
PMID- 29656573
TI - Heart-brain interactions in patients with heart failure, including takotsubo
syndrome: a need to monitor autonomic sympathetic activity: reply.
PMID- 29656574
TI - NMReDATA, a standard to report the NMR assignment and parameters of organic
compounds.
AB - Even though NMR has found countless applications in the field of small molecule
characterization, there is no standard file format available for the NMR data
relevant to structure characterization of small molecules. A new format is
therefore introduced to associate the NMR parameters extracted from 1D and 2D
spectra of organic compounds to the proposed chemical structure. These NMR
parameters, which we shall call NMReDATA (for nuclear magnetic resonance
extracted data), include chemical shift values, signal integrals, intensities,
multiplicities, scalar coupling constants, lists of 2D correlations, relaxation
times, and diffusion rates. The file format is an extension of the existing
Structure Data Format, which is compatible with the commonly used MOL format. The
association of an NMReDATA file with the raw and spectral data from which it
originates constitutes an NMR record. This format is easily readable by humans
and computers and provides a simple and efficient way for disseminating results
of structural chemistry investigations, allowing automatic verification of
published results, and for assisting the constitution of highly needed open
source structural databases.
PMID- 29656575
TI - Experimental and clinical antihypertensive activity of Matricaria chamomilla
extracts and their angiotensin-converting enzyme inhibitory activity.
AB - Three different extracts of Matricaria chamomilla L. were evaluated for their
antihypertensive activity, these extracts were total alcohol extract (Extract 1),
oil extracted (Extract 2), and water lifted after oil extraction (Extract 3).
Quantitative and Qualitative analyses were carried out for all extracts. The 3
extracts were proved to be safe for human use. A single oral administration of
the plant extracts (200 mg/kg) decreases both systolic and diastolic blood
pressure of normotensive rats after 1, 1.5, and 2 hr. Furthermore, groups treated
with the evaluated extracts (100 & 200 mg/kg) or Captopril (20 mg/kg) showed a
significant reduction in the elevated blood pressure and heart rate. Extract 3
showed the most antihypertensive activity. Serum biochemical parameters and lipid
profile levels of treated groups were improved in comparison with induced
hypertensive untreated rats. In evaluation of oxidative damage parameters
Glutathione and superoxide dismutase (SOD) in some organs, the investigated
extracts or captopril restored the amount of reduced Glutathione in tissues in
addition to an increase in the activity of the SOD after a significant depletion
of SOD activity. In the clinical study, there was a significant dose dependent
decrease in Systolic blood pressure, Diastolic blood pressure, and heart rate
compared with their basal values in both normotensive and hypertensive human
volunteers after oral administration of Matricaria chamomilla beverages.
PMID- 29656576
TI - Repurposing carbamazepine for the treatment of amyotrophic lateral sclerosis in
SOD1-G93A mouse model.
AB - AIMS: To investigate the effect and mechanisms of carbamazepine (CBZ) on the
onset and progression of amyotrophic lateral sclerosis (ALS) in SOD1-G93A mouse
model. METHODS: Starting from 64 days of age, SOD1-G93A mice were orally
administered with CBZ at 200 mg/kg once daily until death. The disease onset and
life span of SOD1-G93A mice were recorded. Motor neurons (MNs) in anterior horn
of spinal cord were quantified by Nissl staining and SMI-32 immunostaining.
Hematoxylin and eosin (H&E), nicotinamide adenine dinucleotide hydrogen (NADH),
modified Gomori trichrome (MGT), and alpha-bungarotoxin-ATTO-488 staining were
also performed to evaluate muscle and neuromuscular junction (NMJ) damage.
Expressions of aggregated SOD1 protein and autophagy-related proteins were
further detected by Western blot and immunofluorescent staining. RESULTS:
Carbamazepine treatment could delay the disease onset and extend life span of
SOD1-G93A mice by about 14.5% and 13.9%, respectively. Furthermore, CBZ treatment
reduced MNs loss by about 46.6% and ameliorated the altered muscle morphology and
NMJ. Much more interestingly, mechanism study revealed that CBZ treatment
activated autophagy via AMPK-ULK1 pathway and promoted the clearance of mutant
SOD1 aggregation. CONCLUSION: Our findings uncovered the therapeutic effects of
CBZ against disease pathogenesis in SOD1-G93A mice, indicating a promising
clinical utilization of CBZ in ALS therapy.
PMID- 29656578
TI - Long-term single-center comparison of ICD lead survival: Evidence for premature
Linox lead failure.
AB - INTRODUCTION: ICD lead failure is a potential source of significant morbidity and
mortality. This study investigates the survival rates of Sprint Quattro, Endotak
Reliance, and Linox ICD leads. METHODS AND RESULTS: A retrospective cohort study
of all patients with an ICD implanted between January 2007 and December 2012 from
the Wellington Hospital region, New Zealand, a tertiary referral center. Lead and
patient details were established by review of clinical notes and the PaceArt
Optima database. We analyzed a total of 287 implants using Sprint Quattro (n =
92), Endotak Reliance (n = 37), Linox (n = 151), Riata (n = 4), and Sprint
Fidelis (n = 2) leads. Median follow-up was 61.6 (26.0-81.6) months for Sprint
Quattro leads, 66.7 (53.3-88.8) months for Linox leads, and 82.9 (45.9-107.4)
months for Endotak Reliance leads. There were 20 cases of lead failure of which
19 were in Linox leads. The 4-, 6-, and 8-year survival for Linox leads was 97%
(92.6-99.1), 93% (85.5-96.5), and 76% (62.3-85.5), respectively. The predominant
abnormality was detection of nonphysiological electrical signals. Linox lead
failure was associated with a younger age of patient (49.2 vs. 57.7 years, P =
0.007). CONCLUSIONS: The 7-year survival of Linox leads was significantly worse
than shown in Biotronik surveillance reports, but in line with other single
center studies from around the world.
PMID- 29656577
TI - Efficacy of 7-benzyloxyindole and other halogenated indoles to inhibit Candida
albicans biofilm and hyphal formation.
AB - Certain pathogenic bacteria and yeast form biofilms on biotic and abiotic
surfaces including medical devices and implants. Hence, the development of
antibiofilm coating materials becomes relevant. The virulence of those colonizing
pathogens can be reduced by inhibiting biofilm formation rather than killing
pathogens using excessive amounts of antimicrobials, which is touted as one of
the main reasons for the development of drug resistance. Candida albicans is an
opportunistic fungal pathogen, and the transition of yeast cells to hyphal cells
is believed to be a crucial virulence factor. Previous studies have shown that
indole and its derivatives possess antivirulence properties against various
bacterial pathogens. In this study, we used various indole derivatives to
investigate biofilm-inhibiting activity against C. albicans. Our study revealed
that 7-benzyloxyindole, 4-fluoroindole and 5-iodoindole effectively inhibited
biofilm formation compared to the antifungal agent fluconazole. Particularly, 7
benzyloxyindole at 0.02 mM (4.5 MUg ml-1 ) significantly reduced C. albicans
biofilm formation, but had no effect on planktonic cells, and this finding was
confirmed by a 2,3-bis-(2-methoxy-4-nitro-5-sulfophenyl)-2H-tetrazolium-5
carboxanilide (XTT) assay and three-dimensional confocal laser scanning
microscopy. Scanning electron microscopy analyses revealed that 7-benzyloxyindole
effectively inhibited hyphal formation, which explains biofilm inhibition.
Transcriptomic analysis showed that 7-benzyloxyindole downregulated the
expressions of several hypha/biofilm-related genes (ALS3, ECE1, HWP1 and RBT1). A
C. albicans-infected Caenorhabditis elegans model system was used to confirm the
antivirulence efficacy of 7-benzyloxyindole.
PMID- 29656579
TI - Turkish adaptation and psychometric characteristics of the Nursing Authority and
Autonomy Scale.
AB - AIM: To adapt the Nursing Authority and Autonomy Scale (NAAS) into Turkish the
Nursing Authority and Autonomy Scale (NAAS) to Turkish and assess its
psychometric properties for Turkish nurses and nurse managers. BACKGROUND: The
NAAS is a tool that specifically measures nursing authority and autonomy from the
perspectives of nurses and nurse managers. METHODS: The study sample consisted of
160 nurse managers and 266 staff nurses. Content validity was assessed using
expert approval. Construct validity was assessed using confirmatory factor
analysis. Internal consistency was assessed using Cronbach's alpha, and the test
retest reliability was assessed using Pearson's correlation coefficients.
RESULTS: The model achieved a good fit. The internal reliability of the NAAS'
authority and autonomy in nursing practice and importance of nursing practice
subscales were .84. The Cronbach's alpha of the instrument was .88. The test
retest scores within an interval of 3 weeks were statistically not significant.
CONCLUSIONS: The Turkish version of the NAAS has good psychometric properties and
this scale can be employed to measure nurses' authority and autonomy. IMPLICATION
FOR NURSING MANAGEMENT: Nurse managers and educators should use an appropriate
scale such as NAAS in order to assess nurses' clinical authority and autonomy to
improve patient outcomes and develop nurses.
PMID- 29656580
TI - Declining home range area predicts reduced late-life survival in two wild
ungulate populations.
AB - Demographic senescence is increasingly recognised as an important force shaping
the dynamics of wild vertebrate populations. However, our understanding of the
processes that underpin these declines in survival and fertility in old age
remains limited. Evidence for age-related changes in foraging behaviour and
habitat use is emerging from wild vertebrate studies, but the extent to which
these are driven by within-individual changes, and the consequences for fitness,
remain unclear. Using longitudinal census observations collected over four
decades from two long-term individual-based studies of unmanaged ungulates, we
demonstrate consistent within-individual declines in home range area with age in
adult females. In both systems, we found that within-individual decreases in home
range area were associated with increased risk of mortality the following year.
Our results provide the first evidence from the wild that age-related changes in
space use are predictive of adult mortality.
PMID- 29656581
TI - Japan NBI Expert Team classification: Narrow-band imaging magnifying endoscopic
classification of colorectal tumors.
PMID- 29656582
TI - Hyperactive cyclic motor activity in the distal colon after colonic surgery as
defined by high-resolution colonic manometry.
AB - BACKGROUND: Recovery after colonic surgery is invariably delayed by disturbed gut
motility. It is commonly assumed that colonic motility becomes quiescent after
surgery, but this hypothesis has not been evaluated rigorously. This study
quantified colonic motility through the early postoperative period using high
resolution colonic manometry. METHODS: Fibre-optic colonic manometry was
performed continuously before, during and after surgery in the left colon and
rectum of patients undergoing right hemicolectomy, and in healthy controls. Motor
events were characterized by pattern, frequency, direction, velocity, amplitude
and distance propagated. RESULTS: Eight patients undergoing hemicolectomy and
nine healthy controls were included in the study. Colonic motility became
markedly hyperactive in all operated patients, consistently dominated by cyclic
motor patterns. Onset of cyclic motor patterns began to a minor extent before
operation, occurring with increasing intensity nearer the time of surgery; the
mean(s.d.) active duration was 12(7) per cent over 3 h before operation and
43(17) per cent within 1 h before surgery (P = 0.024); in fasted controls it was
2(4) per cent (P < 0.001). After surgery, cyclic motor patterns increased
markedly in extent and intensity, becoming nearly continuous (active duration
94(13) per cent; P < 0.001), with peak frequency 2-4 cycles per min in the
sigmoid colon. This postoperative cyclic pattern was substantially more prominent
than in non-operative controls, including in the fed state (active duration
27(20) per cent; P < 0.001), and also showed higher antegrade velocity (P <
0.001). CONCLUSION: Distal gut motility becomes markedly hyperactive with colonic
surgery, dominated by cyclic motor patterns. This hyperactivity likely represents
a novel pathophysiological aspect of the surgical stress response. Hyperactive
motility may contribute to gut dysfunction after surgery, potentially offering a
new therapeutic target to enhance recovery.
PMID- 29656583
TI - Chiral Atropisomeric Indenocorannulene Bowls: Critique of the Cahn-Ingold-Prelog
Conception of Molecular Chirality.
AB - Chiral corannulenes abound, but suffer generally from configurational lability
associated with bowl-to-bowl inversion, thus obviating questions of
stereogenicity and stereoelement construction. In contrast, peri-annulated
corannulenes show greatly increased barriers for bowl-to-bowl inversion;
specifically indenocorannulenes invert on a time scale too slow to observe by
normal NMR methods and raise the possibility of creating chiral atropisomeric
bowl-shaped aromatics. Two methods for preparing indenocorannulene from simple 2
haloarylcorannulenes-silyl cation C-F activation, and Pd-mediated C-Cl
activation[5] -enable the synthesis of an array of such chiral atropisomeric
indenocorannulenes. Resolution of the enantiomers by high-performance liquid
chromatography over chiral support phases motivates the study of chiroptical
properties, the assignment of absolute "Cartesian" configuration, and the
assessment of configurational stability. These studies bring into question any
systematic assignment of nontrivial stereoelements (i.e. not the molecule in its
entirety) and refute any assertion of congruence between "Cahn-Ingold-Prelog
elements" and the physical or "Cartesian" basis of chirality.
PMID- 29656584
TI - Improving lymph node characterization in staging malignant lymphoma using first
order ADC texture analysis from whole-body diffusion-weighted MRI.
AB - BACKGROUND: Correct staging and treatment initiation in malignant lymphoma
depends on accurate lymph node characterization. However, nodal assessment based
on conventional and diffusion-weighted (DWI) MRI remains challenging,
particularly in smaller nodes. PURPOSE: To evaluate first-order apparent
diffusion coefficient (ADC) texture parameters compared to mean ADC for lymph
node characterization in non-Hodgkin lymphoma (NHL) using whole-body DWI (WB
DWI). STUDY TYPE: Retrospective. POPULATION: Twenty-eight patients with NHL.
FIELD STRENGTH/SEQUENCE: 3T whole-body DWI using two b-values (0-1000 s/mm2 ).
ASSESSMENT: Regions of interest were drawn on the three most hyperintense lymph
nodes on b1000-images, irrespective of size, in all nodal body regions.
Diagnostic performance of mean ADC (ADCmean ) was compared with first-order ADC
texture parameters: standard deviation (ADCstdev ), kurtosis (ADCkurt ), and
skewness (ADCskew ). Additional subanalyses focused on the accuracy of ADCmean
and ADC texture parameters in different lymph node volumes and nodal regions.
STATISTICAL TESTS: Benign and malignant nodes were compared using Mann-Whitney U
tests with 18-Fluoro-deoxyglucose positron emission tomography computed
tomography and bone marrow biopsy as reference standard. Receiver operating
characteristic analyses were performed to determine cutoff values and calculate
sensitivity, specificity, accuracy, and positive and negative predictive value
(PPV, NPV). RESULTS: ADCmean (P = 0.008), ADCskew and ADCkurt differed
significantly between benign and malignant nodes (P < 0.001), while ADCstdev
didn't (P = 0.21). ADCskew was the best discriminating parameter, with 79%
sensitivity, 86% specificity, 83% accuracy, 85% PPV, and 81% NPV. In every volume
category, ADCskew yielded the highest accuracy (88% in 0-25th percentile volume,
75% in 25th -75th percentile, 93% in 75-100th percentile). On a per-region basis,
ADCskew accuracy varied 13.6% between nodal regions, while ADCmean , ADCkurt ,
and ADCstdev showed interregional variation of 17.4%, 20.3%, and 14.9%,
respectively. DATA CONCLUSION: First-order ADC texture analysis with WB-DWI
improved lymph node characterization compared to ADCmean . ADCskew was the most
accurate and robust discriminatory parameter over all lymph node volumes and
nodal body regions. LEVEL OF EVIDENCE: 3 Technical Efficacy: Stage 2 J. Magn.
Reson. Imaging 2018;48:897-906.
PMID- 29656585
TI - Adenocarcinoma in situ admixed with small cell neuroendocrine carcinoma of the
cervix: A case report with cytological features.
AB - Primary cervical small cell neuroendocrine carcinoma (SCNEC) is a rare and
aggressive tumor. Herein, we describe the first cytological case of
adenocarcinoma in situ (AIS) admixed with SCNEC. A 65-year-old postmenopausal
Japanese female presented with abnormal genital bleeding. The Papanicolaou smear
of the cervix demonstrated the presence of 2 distinct neoplastic components in an
inflammatory background. One component consisted of aggregates of small round
cells with a high nuclear/cytoplasmic ratio and round to oval nuclei with powdery
chromatin, and inconspicuous nucleoli. Nuclear molding was characteristic. The
other component consisted of irregular overlapping clusters of tall columnar
cells with large round to oval nuclei containing coarse chromatin, and relatively
rich cytoplasm. Accordingly, AIS admixed with SCNEC was suspected. Although the
cytological features of cervical SCNEC are characteristic, the cytodiagnosis of
this type of tumor may be difficult because of the rarity of the tumor. The
presence of non-neuroendocrine tumor components in cervical SCNEC is not unusual,
therefore careful observation is needed not to miss SCNEC components in the
diagnosis of squamous cell carcinoma and/or adenocarcinoma in cervical
cytological specimens.
PMID- 29656586
TI - Prospective assessment of the predictive value of the BRCA1 gene status in
sarcoma patients treated with trabectedin: an updated analysis of the EORTC 62091
trial.
AB - We describe the predictive value of BRCA1 gene status on trabectedin efficacy and
found no correlation despite the mechanisms of action of this drug that rely on
DNA repair systems.
PMID- 29656587
TI - Juvenile hormone mediates the positive effects of nitrogen fertilization on
weight and reproduction in pea aphid.
AB - BACKGROUND: The positive effects of nitrogen fertilization on the performance of
phytophagous insects have been reported extensively; the physiological and
molecular basis involved, however, is largely unclear. Here, we test
experimentally whether enhancement of juvenile hormone (JH) is responsible for
the increased weight and fecundity of pea aphid (Acyrthosiphon pisum) under
nitrogen fertilization. RESULTS: Aphids fed on Medicago truncatula with nitrogen
fertilization have a greater amino acid content, higher weight at the fourth
instar and adult stage, and produce more offspring than those without nitrogen
fertilization. Furthermore, nitrogen fertilization upregulates the transcripts of
JH biosynthesis-related genes and increases JH titre at the fourth instar and
adult stage, suggesting that JH is involved in the positive responses of aphids
to nitrogen fertilization. Application of 100 ng JH increases adult weight and
fecundity in aphids fed on M. truncatula without nitrogen fertilization.
Conversely, impairing JH signalling by pharmacologically inhibiting the target of
rapamycin pathway or by knocking down JH biosynthetic gene decreases adult weight
and fecundity in aphids fed on M. truncatula with nitrogen fertilization, whereas
application of JH rescued the phenotype. CONCLUSION: The increased JH titre at
the fourth instar and adult stage is required for the increases of weight and
fecundity of A. pisum under nitrogen fertilization. (c) 2018 Society of Chemical
Industry.
PMID- 29656588
TI - The use of funnel plots with regression as a tool to visually compare HIV
treatment outcomes between centres adjusting for patient characteristics and
size: a UK Collaborative HIV Cohort study.
AB - OBJECTIVES: A measure used for assessing the effectiveness of HIV care and
comparing clinical centres is the proportion of people starting antiretroviral
therapy (ART) with viral suppression (VS) after 1 year. We propose a method that
adjusts for patients' demographic characteristics, and visually compares this
measure between different sites accounting for centre size. METHODS: We analysed
viral load measurements for UK Collaborative HIV Cohort (UK CHIC) patients
starting ART between 2006 and 2013. We used logistic regression to estimate the
proportion with VS after 1 year of ART adjusted for patient mix (in terms of age
and a combined gender/ethnicity/acquisition mode variable) and calendar year. We
compared outcomes between centres using funnel plots which account for centre
size. RESULTS: The overall proportion of the cohort with VS 1 year after starting
ART was 90% and increased from 83% to 93% between 2006 and 2013. VS was lower in
younger individuals. White men who have sex with men (MSM) had the highest (94%),
and black African (81%) and white (82%) heterosexual women the lowest proportions
achieving VS. Comparing the unadjusted funnel plot with the adjusted, there were
movements of some centres from outside to inside the 95% contour limits, which
was largely explained by the patient mix of these centres. CONCLUSIONS: VS 1 year
after ART start was associated with demographic characteristics and centre size;
therefore, to compare the performances of centres, adjustment for these factors
is required. Adjusted funnel plot is an effective tool which accounts for both
the demographic characteristics and the centre size. Social factors, rather than
treatment decisions within the control of the centres, may drive differences in
outcomes.
PMID- 29656589
TI - Creating a Low-Potential Redox Polymer for Efficient Electroenzymatic CO2
Reduction.
AB - Increasing greenhouse gas emissions have resulted in greater motivation to find
novel carbon dioxide (CO2 ) reduction technologies, where the reduction of CO2 to
valuable chemical commodities is desirable. Molybdenum-dependent formate
dehydrogenase (Mo-FDH) from Escherichia coli is a metalloenzyme that is able to
interconvert formate and CO2 . We describe a low-potential redox polymer,
synthesized by a facile method, that contains cobaltocene (grafted to
poly(allylamine), Cc-PAA) to simultaneously mediate electrons to Mo-FDH and
immobilize Mo-FDH at the surface of a carbon electrode. The resulting
bioelectrode reduces CO2 to formate with a high Faradaic efficiency of 99+/-5 %
at a mild applied potential of -0.66 V vs. SHE.
PMID- 29656590
TI - "Got rats?" Global environmental costs of thirst for milk include acute
biodiversity impacts linked to dairy feed production.
AB - Rodents damaging alfalfa crops typically destined for export to booming Eastern
markets often cause economical losses to farmers, but management interventions
attempting to control rodents (i.e., use of rodenticides) are themselves damaging
to biodiversity. These damages resonate beyond dairy feed producing regions
through animal migration and are an overlooked part of the transferred
environmental burden caused by a growing thirst for milk in China and elsewhere.
PMID- 29656591
TI - AMP-activated protein kinase-dependent induction of autophagy by erythropoietin
protects against spinal cord injury in rats.
AB - AIMS: Autophagy has been regarded as a promising therapeutic target for spinal
cord injury (SCI). Erythropoietin (EPO) has been demonstrated to exhibit
neuroprotective effects in the central nervous system (CNS); however, the
molecular mechanisms of its protection against SCI remain unknown. This study
aims to investigate whether the neuroprotective effects of EPO on SCI are
mediated by autophagy via AMP-activated protein kinase (AMPK) signaling pathways.
METHODS: Functional assessment and Nissl staining were used to investigate the
effects of EPO on SCI. Expressions of proteins were detected by Western blot and
immunohistochemistry. RESULTS: Treatment with EPO significantly reduced the loss
of motor neurons and improved the functional recovery following SCI.
Erythropoietin significantly enhanced the SCI-induced autophagy through
activating AMPK and inactivating mTOR signaling. The inhibitor of AMPK, compound
C, could block the EPO-induced autophagy and beneficial action on SCI, whereas
the activator of AMPK, metformin, could mimic the effects of EPO. In the in vitro
studies, EPO enhanced the hypoxia-induced autophagy in an AMPK-dependent manner.
CONCLUSIONS: The AMPK-dependent induction of autophagy contributes to the
neuroprotection of EPO on SCI.
PMID- 29656592
TI - The applicability of furfuryl-gelatin as a novel bioink for tissue engineering
applications.
AB - Three-dimensional bioprinting is an innovative technique in tissue engineering,
to create layer-by-layer structures, required for mimicking body tissues.
However, synthetic bioinks do not generally possess high printability and
biocompatibility at the same time. So, there is an urgent need for naturally
derived bioinks that can exhibit such optimized properties. We used furfuryl
gelatin as a novel, visible-light crosslinkable bioink for fabricating cell-laden
structures with high viability. Hyaluronic acid was added as a viscosity enhancer
and either Rose Bengal or Riboflavin was used as a visible-light crosslinker.
Crosslinking was done by exposing the printed structure for 2.5 min to visible
light and confirmed using Fourier transform infrared spectroscopy and rheometry.
Scanning electron microscopy revealed a highly porous networked structure. Three
different cell types were successfully bioprinted within these constructs. Mouse
mesenchymal stem cells printed within monolayer and bilayer sheets showed
viability, network formation and proliferation (~5.33 times) within 72 h of
culture. C2C12 and STO cells were used to print a double layered structure, which
showed evidence of the viability of both cells and heterocellular clusters within
the construct. This furfuryl-gelatin based bioink can be used for tissue
engineering of complex tissues and help in understanding how cellular crosstalk
happens in vivo during normal or diseased pathology. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part B: Appl Biomater, 2018.
PMID- 29656593
TI - Review article: Investigations and the pregnant woman in the emergency department
- part 1: Laboratory investigations.
AB - Accurate assessment of the pregnant patient in the ED depends on knowledge of
physiological changes in pregnancy, and how these changes may impact on pathology
tests, appearance on point-of-care ultrasound and electrocardiography. In
addition the emergency physician needs to be cognisant of disorders that are
unique to or more common in pregnancy. Part 1 of this review addresses potential
deviations in laboratory investigation reference intervals resulting from
physiological alterations in pregnancy, and the important causes of abnormal
laboratory results in pregnancy. Part 2 will address the role of point-of-care
ultrasound in pregnancy, physiological changes that may affect interpretation of
point-of-care ultrasound, physiological changes in electrocardiography, and the
safety of radiological procedures in the pregnant patient.
PMID- 29656594
TI - Immune imbalance of global gene expression, and cytokine, chemokine and selectin
levels in the brains of offspring with social deficits via maternal immune
activation.
AB - The murine maternal immune activation (MIA) offspring model enables longitudinal
studies to explore aberrant social behaviors similar to those observed in humans.
High levels of cytokines, chemokines and cell adhesion molecules (CAM) have been
found in the plasma and/or brains of psychiatric patients. We hypothesized that
upregulation of the systemic or brain immune response has an augmenting effect by
potentially increasing the interplay between the neuronal and immune systems
during the growth of the MIA offspring. In this study, a C57BL/6j MIA female
offspring model exhibiting social deficits was established. The expression of
fetal interferon (IFN)-stimulated (gbp3, irgm1, ifi44), adolescent
immunodevelopmental transcription factor (eg, r2, tfap2b), hormone (pomc, hcrt),
adult selectin (sell, selp) and neuroligin (nlgn2) genes was altered. Systemic
upregulation of endogenous IL-10 occurred at the adult stage, while both IL-1beta
and IL-6 were increased and persisted in the sera throughout the growth of the
MIA offspring. The cerebral IL-6 levels were endogenously upregulated, but both
MCP-1 (macrophage inflammatory protein-1) and L-selectin levels were
downregulated at the adolescent and/or adult stages. However, the MIA offspring
were susceptible to lipopolysaccharide (LPS) stimulation. After reinjecting the
MIA offspring with LPS in adulthood, a variety of sera and cerebral cytokines,
chemokines and CAMs were increased. Particularly, both MCP-1 and L-selectin
showed relatively high expression in the brain compared with the expression
levels in phosphate-buffered saline (PBS)-treated offspring injected with LPS.
Potentially, MCP-1 was attracted to the L-selectin-mediated immune cells due to
augmentation of the immune response following stimulation in MIA female
offspring.
PMID- 29656595
TI - Pathways of Association from Stress to Obesity in Early Childhood.
AB - OBJECTIVE: The objective of this study is to critically review the literature on
early life stress in relation to obesity in humans, including the multiple
biological and behavioral mechanisms through which early life stress exposure
(birth to the age of 5 years) may associate with obesity risk during childhood.
METHODS: A review of the literature was conducted to identify studies on
associations between early childhood stress and risk for obesity and the
mechanisms of association. Multiple databases (PubMed, PsycInfo, Google Scholar)
were used in the search as well as a "snowball" search strategy. All study
designs were included. RESULTS: Early life stress and adverse childhood
experiences are associated with obesity and overweight in adults. Evidence is
less consistent in children. Studies vary in the nature of the stress examined
(e.g., chronic vs. acute), sample characteristics, and study designs.
Longitudinal studies are needed, as the effects of early life stress exposure may
not emerge until later in the life-span. Early life stress exposure is associated
with biological and behavioral pathways that may increase risk for childhood
obesity. CONCLUSIONS: There is evidence that early life stress is associated with
multiple biological and behavioral pathways in children that may increase risk
for later obesity. Little work has detailed the interconnections among these
mechanisms across development or identified potential moderators of the
association. Mapping the mechanisms connecting early life stress exposure to
obesity risk in young children longitudinally should be a priority for obesity
researchers. Recommendations for developmentally sensitive approaches to research
that can inform obesity prevention strategies are presented.
PMID- 29656596
TI - Determination of a suitable low-dose abdominopelvic CT protocol using model-based
iterative reconstruction through cadaveric study.
AB - INTRODUCTION: Cadaveric studies provide a means of safely assessing new
technologies and optimizing scanning prior to clinical validation. Reducing
radiation exposure in a clinical setting can entail incremental dose reductions
to avoid missing important clinical findings. The use of cadavers allows
assessment of the impact of more substantial dose reductions on image quality.
Our aim was to identify a suitable low-dose abdominopelvic CT protocol for
subsequent clinical validation. METHODS: Five human cadavers were scanned at one
conventional dose and three low-dose settings. All scans were reconstructed using
three different reconstruction algorithms: filtered back projection (FBP), hybrid
iterative reconstruction (60% FBP and 40% adaptive statistical iterative
reconstruction (ASIR40)), and model-based iterative reconstruction (MBIR). Two
readers rated the image quality both quantitatively and qualitatively. RESULTS:
Model-based iterative reconstruction images had significantly better objective
image noise and higher qualitative scores compared with both FBP and ASIR40
images at all dose levels. The greatest absolute noise reduction, between MBIR
and FBP, of 34.3 HU (equating to a 68% reduction) was at the lowest dose level.
MBIR reduced image noise and improved image quality even in CT images acquired
with a mean radiation dose reduction of 62% compared with conventional dose
studies reconstructed with ASIR40, with lower levels of objective image noise,
superior diagnostic acceptability and contrast resolution, and comparable
subjective image noise and streak artefact scores. CONCLUSION: This cadaveric
study demonstrates that MBIR reduces image noise and improves image quality in
abdominopelvic CT images acquired with dose reductions of up to 62%.
PMID- 29656597
TI - Splenic artery as a simple landmark indicating difficulty during laparoscopic
distal pancreatectomy.
AB - INTRODUCTION: The use of laparoscopic distal pancreatectomy (LDP) is increasing
worldwide. It is important for surgeons to predict preoperatively the difficulty
and risks of a surgery. However, very few reports have evaluated the impact of
patient or tumor factors on the difficulty of LDP. We aimed to determine the
predictors of technical difficulties when performing LDP. METHODS: This study
included 34 patients who underwent LDP. Patient information was obtained
retrospectively and included age, gender, BMI, primary disease, previous
abdominal surgery, previous pancreatitis, tumor size, tumor proximity to the
splenic arterial origin, type of splenic artery (SpA), operative time, blood
loss, postoperative pancreatic fistula, and length of hospital stay. Univariate
and multivariate analyses were performed to determine the predictors of a long
operative time. SpA anatomy was classified into two types based on the
relationship between its origin and the pancreas. Patients whose SpA origin was
upward of the pancreatic parenchyma were classified as SpA type 1, whereas
patients whose SpA origin was covered by the pancreatic parenchyma were
classified as SpA type 2. RESULTS: Multivariate analysis revealed SpA type 2 to
be an independent risk factor for a long operation (odds ratio = 9.925; 95%
confidence interval: 1.461-67.412; P = 0.019). SpA type 2 was related to a longer
operative time (P < 0.001) and greater intraoperative blood loss (P = 0.001).
CONCLUSION: Classification according to SpA type is simple and useful for
predicting technical difficulty when performing LDP.
PMID- 29656598
TI - Transcatheter tricuspid valve therapies: exploring the dark side of the moon.
PMID- 29656599
TI - Novel, cost-effective, Cu-doped calcium silicate nanoparticles for bone fracture
intervention: Inherent bioactivity and in vivo performance.
AB - Copper (Cu)-doped calcium silicate nanoparticles were synthesized by a wet
precipitation method as economical bone fracture filler. The aim was to improve
the overall physicochemical properties, bioactivity, and biological performance
of the bone fracture filler prepared herein. The synthesized nanoparticles were
evaluated using X-ray diffraction (XRD), Fourier transform infrared spectroscopy
(FT-IR), and transmission electron microscopy (TEM). The bioactivity of the
prepared nanoparticles was investigated after immersion in simulated body fluid
(SBF) by means of inductively coupled plasma (ICP), SEM coupled with energy
dispersive X-rays (EDX), and FTIR. The size and bioactivity of the prepared
nanoparticles after 15 days of immersion in SBF was dependent on the Cu
concentrations. The fracture healing ability of the fabricated nanoparticles on
adult aged male Wistar rats was enhanced by the presence of copper. All the
obtained results are of high relevance for fabricating improved Cu-doped calcium
silicate nanoparticles (~50 nm) as low cost bone fracture filler. In addition,
the in vivo study presented complete healing of the tibiae bone with normal
architecture of bone tissue specifically calcium silicate nanoparticles doped
with 3% and 5% Cu. Hence, the presence of copper is a promising tactic for
improving the biological properties of calcium silicate. Therefore, the designed
nanoparticles have huge potential for the treatment of bone fractures. (c) 2018
Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 2018.
PMID- 29656600
TI - Long-term efficacy and safety of sucroferric oxyhydroxide in African American
dialysis patients.
AB - INTRODUCTION: Sucroferric oxyhydroxide (SFOH) is a non-calcium, iron-based
phosphate binder that demonstrated sustained serum phosphorus (sP) control, good
tolerability, and lower pill burden, vs. sevelamer carbonate ("sevelamer"), in a
Phase 3 study conducted in dialysis patients with hyperphosphatemia. This
analysis evaluates the efficacy and safety of SFOH and sevelamer among African
American (AA) patients participating in the trial. METHODS: Post hoc analysis of
a 24-week, Phase 3, open-label trial (NCT01324128) and its 28-week extension
study (NCT01464190). Patients were randomized 2:1 to SFOH (1.0-3.0 g/day) or
sevelamer (2.4-14.4 g/day) for up to 52 weeks. FINDINGS: Of 549 patients who
completed the Phase 3 study and extension, 100 (18.2%) AA patients were eligible
for efficacy analysis (SFOH, n = 48; sevelamer, n = 52). sP concentrations
decreased rapidly and comparably with both treatments by Week 8 (mean +/-
standard deviation change from baseline: -1.9 +/- 1.9 mg/dL for SFOH and -2.2 +/-
1.8 mg/dL for sevelamer). These reductions were maintained for 52 weeks (-2.1 +/-
2.6 and -2.1 +/- 1.6 mg/dL) and achieved with a lower mean pill burden (3.4 +/-
1.4 vs. 7.6 +/- 2.9 tablets/day) with SFOH vs. sevelamer. Treatment adherence
rates (adherence within 70%-120% of expected medication intake) were 79.2% with
SFOH and 59.6% with sevelamer. The proportion of patients reporting serious
adverse events (AEs) was 27.7% with SFOH and 30.7% with sevelamer. More patients
withdrew due to treatment-emergent AEs with SFOH vs. sevelamer (18.5% vs. 8.0%).
The most common AEs with both treatments were gastrointestinal-related: diarrhea
and discolored feces with SFOH, and nausea, vomiting, and constipation with
sevelamer. DISCUSSION: SFOH is an efficacious and well-tolerated treatment for
hyperphosphatemia in AA dialysis patients, with a lower pill burden and an
improved adherence rate vs. sevelamer. These findings were consistent with the
wider US patient population and the overall study population.
PMID- 29656601
TI - Culture-independent analysis of hydrocarbonoclastic bacterial communities in
environmental samples during oil-bioremediation.
AB - To analyze microbial communities in environmental samples, this study combined
Denaturing Gradient Gel Electrophoresis of amplified 16S rRNA-genes in total
genomic DNA extracts from those samples with gene sequencing. The environmental
samples studied were oily seawater and soil samples, that had been bioaugmented
with natural materials rich in hydrocarbonoclastic bacteria. This molecular
approach revealed much more diverse bacterial taxa than the culture-dependent
method we had used in an earlier study for the analysis of the same samples. The
study described the dynamics of bacterial communities during bioremediation. The
main limitation associated with this molecular approach, namely of not
distinguishing hydrocarbonoclastic taxa from others, was overcome by consulting
the literature for the hydrocarbonoclastic potential of taxa related to those
identified in this study. By doing so, it was concluded that the
hydrocarbonoclastic bacterial taxa were much more diverse than those captured by
the culture-dependent approach. The molecular analysis also revealed the frequent
occurrence of nifH-genes in the total genomic DNA extracts of all the studied
environmental samples, which reflects a nitrogen-fixation potential. Nitrogen
fertilization is long known to enhance microbial oil-bioremediation. The study
revealed that bioaugmentation using plant rhizospheres or soil with long history
of oil-pollution was more effective in oil-removal in the desert soil than in
seawater microcosms.
PMID- 29656602
TI - Evolution of fundus autofluorescence patterns over time in patients with chronic
central serous chorioretinopathy.
AB - PURPOSE: To determine the evolution of fundus autofluorescence (FAF) patterns in
chronic central serous chorioretinopathy (CSCR) over time. METHODS: We
retrospectively studied the changes in FAF patterns over time in 157 eyes of 112
patients with chronic CSCR using the Heidelberg Retina Angiography with a 488-nm
excitation light and a 500-nm cutoff barrier filter. RESULTS: The mean duration
of follow-up was 37.2 months. The most common baseline pattern was that of
granular hypoautofluorescence (51.0%). The earliest change in chronic CSCR is
diffuse hyperautofluorescence and it occurs approximately 4 months after the
reported first episode. The most common change observed at this stage is a change
within areas of hyperautofluorescence where hyper-reflective dots appeared or
disappeared. Change in FAF patterns from areas of hyperautofluorescence to
hypoautofluorescence was slow. Only 25% of eyes showed such a change in pattern
by 36 months. It takes an average of 24 months for granular hypoautofluorescent
pattern to develop confluent hypoautofluorescence. There were no predictive
patterns for the development of confluent CSCR. CONCLUSION: Fundus
autofluorescence (FAF) changes in CSCR evolve very gradually and so is not a good
outcome measure for clinical trials.
PMID- 29656603
TI - Improvement and application of the PCPF-1@SWAT2012 model for predicting pesticide
transport: a case study of the Sakura River watershed.
AB - BACKGROUND: The Soil and Water Assessment Tool combined with Pesticide
Concentration in Paddy Field (PCPF-1@SWAT) model was previously developed to
simulate the fate and transport of rice pesticides in watersheds. However, the
current model is deficient in characterizing the rice paddy area and is
incompatible with the ArcSWAT2012 program. In this study, we modified the
original PCPF-1@SWAT model to develop a new PCPF-1@SWAT2012 model to address the
deficiency in the rice paddy area and utilizing the ArcSWAT2012 program. Next,
the new model was applied to the Sakura River watershed, Ibaraki, Japan in order
to simulate the transport of four herbicides: mefenacet, pretilachlor,
bensulfuron-methyl and imazosulfuron. RESULTS: The results showed that the water
flow rate simulated by PCPF1@SWAT2012 was similar with the observed data. The
calculated Nash-Sutcliffe efficiency coefficient (NSE) (0.73) and percent bias
(PBIAS) (-20.38) suggested satisfactory performance of the model. In addition,
the concentrations of herbicides simulated by the PCPF-1@SWAT2012 model were in
good agreement with the observed data. The statistical indices NSE and root mean
square error (RMSE) estimated for mefenacet (0.69 and 0.18, respectively),
pretilachlor (0.86 and 0.18, respectively), bensulfuronmethyl (0.46 and 0.21,
respectively) and imazosulfuron (0.64 and 0.28, respectively) indicated
satisfactory predictions. CONCLUSION: The PCPF-1@SWAT2012 model is capable of
simulating well the water flow rate and transport of herbicides in this
watershed, comprising different land use types, including a rice paddy area. (c)
2018 Society of Chemical Industry.
PMID- 29656604
TI - Corrigendum.
PMID- 29656605
TI - Corrigendum.
PMID- 29656606
TI - A meta-analysis on the surgical management of paraganglioma of the carotid body
per Shamblin class.
AB - OBJECTIVE: The aim of this study was to evaluate the risk associated with
different types of surgery for carotid body paraganglioma of different Shamblin
class. A meta-analysis was conducted to evaluate per tumour class, the local
control, cranial nerve damage and complication rates of different techniques
using internal carotid artery (ICA) and external carotid artery (ECA) ligation,
clamping or bypassing, as well as the craniocaudal vs caudocranial techniques.
DESIGN: A meta-analysis is conducted after a systematic search in PubMed and the
Cochrane library, in accordance with the PRISMA guidelines. MAIN OUTCOME
MEASURES: Local control, cranial nerve damage, complications, function recovery.
RESULTS: Out of 3565 articles, 27 were selected. The overall quality of evidence
of studies was low. Cranial nerve damage (3%, 17% and 39%) and complication rates
(0%, 1% and 10%) were significantly related to Shamblin class (class 1, 2 and 3,
respectively, P < .01). For class 3 tumours, an increased risk of complications
was found associated with routine ICA manipulation/reconstruction (RR 3.12 with a
95% CI of 1.29-7.59), as well as a trend towards enhanced risk of routine ECA
ligation (RR 3.48 with a 95% CI of 0.88-13.81). CONCLUSIONS: For class 1 and 2
tumours, surgery seems a viable treatment option. For class 3 tumours, morbidity
in terms of cranial nerve deficit and complications is considerable;
particularly, the use of ICA manipulation/reconstruction and potentially ECA
ligation seem to be accompanied by high stroke incidence.
PMID- 29656607
TI - Expert Agreement in the Interpretation of Lung Ultrasound Studies Performed on
Mechanically Ventilated Patients.
AB - OBJECTIVES: Although lung ultrasound (US) has been shown to have high diagnostic
accuracy in patients presenting with acute dyspnea, its precision in critically
ill patients is unknown. We investigated common areas of agreement and
disagreement by studying 6 experts as they interpreted lung US studies in a
cohort of intensive care unit (ICU) patients. METHODS: A previous study by our
group asked experts to rate the quality of 150 lung US studies performed by 10
novices in a population of mechanically ventilated patients. For this study,
experts were asked to interpret them without the clinical context, reporting the
presence of pneumothorax, interstitial syndrome, consolidation, atelectasis, or
pleural effusion. RESULTS: The rate of expert agreement depended on how it was
defined, ranging from 51% (with a strict definition of agreement) to 57% (with a
more liberal definition). Removing cases involving lung consolidation (the most
common source of disagreement) improved the rates of agreement to 69% and 86%,
respectively. CONCLUSIONS: The frequency of agreement was lower than might have
been expected in this study. Several potential reasons are identified, chief
among them the fact that ICU patients often develop multiple pulmonary insults,
making agreement on a specific primary diagnosis challenging. This finding
suggests that the utility of lung US in identifying the main contributing lung
condition in ICU patients may be lower than in dyspneic patients encountered in
the emergency department. It also raises the possibility that the clinical
context is more important for lung US than other imaging modalities.
PMID- 29656608
TI - Combined therapy for critical limb ischaemia: Biomimetic PLGA microcarriers
potentiates the pro-angiogenic effect of adipose tissue stromal vascular fraction
cells.
AB - We propose a regenerative solution in the treatment of critical limb ischaemia
(CLI). Poly-lactic/glycolic acid microcarriers were prepared and coated with
laminin to be sterilized through gamma-irradiation of 25 kGy at low temperature.
Stromal vascular fraction (SVF) cells were extracted through enzymatic digestion
of adipose tissue. Streptozotocin-induced diabetic mice underwent arteriotomy and
received an administration of SVF cells combined or not with biomimetic
microcarriers. Functional evaluation of the ischaemic limb was then reported, and
tissue reperfusion was evaluated through fluorescence molecular tomography.
Microcarriers were stable and functional after gamma-irradiation until at least
12 months of storage. Mice that received an injection of SVF cells in the
ischaemic limb have 22% of supplementary blood supply within this limb 7 days
after surgery compared with vehicle, whereas no difference was observed at Day
14. With the combined therapy, the improvement of blood flow is significantly
higher compared with vehicle, of about 31% at Day 7 and of about 11% at Day 14.
Injection of SVF cells induces a significant 27% decrease of necrosis compared
with vehicle. This effect is more important when SVF cells were mixed with
biomimetic microcarriers: -37% compared with control. Although SVF cells
injection leads to a non-significant 22% proprioception recovery, the combined
therapy induces a significant recovery of about 27% compared with vehicle. We
show that the combination of SVF cells from adipose tissue with laminin-coated
poly-lactic/glycolic acid microcarriers is efficient for critical limb ischaemia
therapy in a diabetic mouse model.
PMID- 29656609
TI - Monocyte function in patients with myelodysplastic syndrome.
AB - Myelodysplastic syndrome (MDS) is a malignant hematopoietic stem cell disorder
that frequently evolves into acute myeloid leukemia (AML). Patients with MDS are
prone to infectious complications, in part due to the presence of severe
neutropenia and/or neutrophil dysfunction. However, not all patients with
neutropenia become infected, suggesting that other immune cells may compensate in
these patients. Monocytes are also integral to immunologic defense; however, much
less is known about monocyte function in patients with MDS. In the current study,
we monitor the composition of peripheral blood monocytes and several aspects of
monocyte function in MDS patients, including HLA-DR expression, LPS-induced
inflammatory cytokine production, and phagocytosis. We find that monocytes from
MDS patients exhibit relatively normal innate immune functions compared to
monocytes from healthy control subjects. We also find that HLA-DR expression is
moderately increased in monocytes from MDS patients. These results suggest that
monocytes could compensate for other immune deficits in MDS patients to help
fight infection. We also find that the range of immune functions in monocytes
from MDS patients correlates with several key clinical parameters, including
blast cell count, monocyte count, and revised International Prognostic Scoring
System score, suggesting that disease severity impacts monocyte function in MDS
patients.
PMID- 29656610
TI - Fipronil recognition by the FA1 site of human serum albumin.
AB - Fipronil is a broad-spectrum pesticide widely used in agriculture, horticulture,
and forestry. Because fipronil can cause a variety of toxic effects in animals
and humans, its use is authorized as a pesticide in veterinary medicinal products
for pets, but not for the treatment of livestock animals whose products are
intended for consumption. Recently, however, the presence of fipronil residues
has been detected in the eggs and meat of layer hens from farms located in
different European countries. Given the relevance of fipronil toxicity for human
health, it is important to gain information concerning its fate in the human
body, including its binding mode to human serum albumin (HSA), the most abundant
protein in plasma. Here, the inhibition of heme-Fe(III) binding to the fatty acid
site 1 (FA1) of HSA by fipronil is reported. Docking simulations support
functional data, indicating that the FA1 site is the preferential cleft for
fipronil recognition by HSA. The affinity of fipronil for HSA (Kf = 1.9 * 10-6
M, at pH 7.3, and 20.0 degrees C) may be relevant in vivo. Indeed, HSA could play
a pivotal role in fipronil transport and scavenging, thus reducing the pesticide
free plasmatic levels, with consequent reduced systemic toxicity. In turn,
fipronil binding to the FA1 site of HSA could impair the recognition of
endogenous and exogenous molecules.
PMID- 29656611
TI - Modified breath-hold compressed-sensing 3D MR cholangiopancreatography with a
small field-of-view and high resolution acquisition: Clinical feasibility in
biliary and pancreatic disorders.
AB - BACKGROUND: Compressed-sensing (CS) accelerated 3D MR cholangiopancreatography
(MRCP) could be acquired in both navigator-triggered (NT) and breath-hold (BH)
mode, but the latter has been considered inferior in depicting pancreatic duct
and diagnosing pancreatic duct-related diseases. PURPOSE: To prospectively
evaluate the clinical feasibility of a modified 3D BH-CS-MRCP prototype protocol
with small field-of-view (FOV) and higher spatial resolution, and to compare its
performance to the original BH-CS-MRCP and NT-CS-MRCP. STUDY TYPE: Prospective
cohort study. POPULATION: Eighty-two patients with suspected pancreaticobiliary
diseases (46 male, median age, 55 years, range, 16-79 years), including seven
noncooperative patients. FIELD STRENGTH/SEQUENCE: 3T, CS-MRCP. ASSESSMENT: Three
protocols were performed in random order in each patient. Acquisition time of
each protocol was recorded. Image quality, background suppression, duct
visibility, and diagnostic confidence with duct anatomic variations and duct
related pathologies were rated on a 5-point scale by two blinded radiologists
independently. STATISTICAL TESTS: The Wilcoxon signed-rank test was used to
compare the intraindividual difference. Interobserver agreement was determined
using kappa coefficients. The diagnostic performance was calculated using
receiver operating characteristic curves. RESULTS: Acquisition time was 17
seconds for both BH-CS-MRCP protocols, and 127.5 +/- 36.9 seconds for NT-CS-MRCP.
In 75 cooperative patients, the incidence of major artifacts was low for all
protocols (5.3-8.0%). Background suppression was similar with the two BH-CS-MRCP
protocols (3.67 +/- 0.77 for original BH-CS-MRCP and 3.70 +/- 0. 57 for modified
BH-CS-MRCP, respectively), both inferior to the NT-CS-MRCP protocol (4.41 +/-
0.68, P < 0.001 for both). Modified BH-CS-MRCP and NT-CS-MRCP depicted pancreatic
duct and second-level branches of biliary duct better than original BH-CS-MRCP
(all P < 0.01). The diagnostic performance for detecting bile duct abnormalities
was similar for all protocols (P = 0.53-0.87), whereas for detecting pancreatic
duct abnormalities, modified BH-CS-MRCP and NT-CS-MRCP had significantly better
performance compared to original BH-CS-MRCP (both P < 0.01). In seven
noncooperative patients, NT-CS-MRCP had superior image quality than both BH
protocols (both P < 0.01). DATA CONCLUSION: Modified BH-CS-MRCP is feasible for
pancreatic and biliary disorders. NT-CS-MRCP might be more useful in
noncooperative patients. LEVEL OF EVIDENCE: 2 Technical Efficacy: Stage 2 J.
Magn. Reson. Imaging 2018;47:1389-1399.
PMID- 29656612
TI - Counterintuitive effects of global warming-induced wind patterns on primary
production in the Northern Humboldt Current System.
AB - It has been hypothesized that global warming will strengthen upwelling-favorable
winds in the Northern Humboldt Current System (NHCS) as a consequence of the
increase of the land-sea thermal gradient along the Peruvian coast. The effect of
strengthened winds in this region is assessed with the use of a coupled physical
biogeochemical model forced with projected and climatological winds. Strengthened
winds induce an increase in primary production of 2% per latitudinal degree from
9.5 degrees S to 5 degrees S. In some important coastal upwelling sites primary
production is reduced. This is due to a complex balance between nutrient
availability, nutrient use efficiency, as well as eddy- and wind-driven factors.
Mesoscale activity induces a net offshore transport of inorganic nutrients, thus
reducing primary production in the coastal upwelling region. Wind mixing, in
general disadvantageous for primary producers, leads to shorter residence times
in the southern and central coastal zones. Overall, instead of a proportional
enhancement in primary production due to increased winds, the NHCS becomes only
5% more productive (+5 mol C m-2 year-1 ), 10% less limited by nutrients and 15%
less efficient due to eddy-driven effects. It is found that regions with a
initial strong nutrient limitation are more efficient in terms of nutrient
assimilation which makes them more resilient in face of the acceleration of the
upwelling circulation.
PMID- 29656613
TI - siRNA Modified with 2'-Deoxy-2'-C-methylpyrimidine Nucleosides.
AB - (2'S)-2'-Deoxy-2'-C-methyluridine and (2'R)-2'-deoxy-2'-C-methyluridine were
incorporated in the 3'-overhang region of the sense and antisense strands and in
positions 2 and 5 of the seed region of siRNA duplexes directed against Renilla
luciferase, whereas (2'S)-2'-deoxy-2'-C-methylcytidine was incorporated in the 6
position of the seed region of the same constructions. A dual luciferase reporter
assay in transfected HeLa cells was used as a model system to measure the IC50
values of 24 different modified duplexes. The best results were obtained by the
substitution of one thymidine unit in the antisense 3'-overhang region by (2'S)-
or (2'R)-2'-deoxy-2'-C-methyluridine, reducing IC50 to half of the value observed
for the natural control. The selectivity of the modified siRNA was measured, it
being found that modifications in positions 5 and 6 of the seed region had a
positive effect on the ON/OFF activity.
PMID- 29656614
TI - 6-Minute walk test predicts prolonged hospitalization in patients undergoing
transcatheter mitral valve repair by MitraClip.
AB - BACKGROUND: The 6-minute walk test (6MWT) is a simple functional test that can
predict exercise capacity and is widely employed to assess treatment outcomes.
Although mortality with transcatheter mitral valve repair (TMVr) using the
MitraClip (Abbott Vascular, Menlo Park, CA) is significantly less than for open
mitral valve surgery in high-risk patients, identifying which patient will
benefit the most from TMVr remains a concern. There are limited prognostic
metrics guiding patient selection and, no studies have reported relationship
between prolonged hospitalization and 6MWT. This study aimed to determine if the
6MWT can predict prolonged hospitalization in patients undergoing TMVr by
MitraClip. METHODS: We retrospectively reviewed 162 patients undergoing 6MWT
before TMVr. Patients were divided into three groups according to the 6MWT
distance (6MWTD) using the median (6MWTD >=219 m, 6MWTD <219 m, and Unable to
Walk). Multivariate logistic regression model was applied to select the
demographic characteristics that were associated with the prolonged
hospitalization defined as total length of stay >=4 days in the study. RESULTS:
We found that 6MWT (odds ratio 3.64, 95% confidence interval 2.03-6.52, P <
0.001) was independently associated with prolonged hospitalization after
adjustment in multivariate analysis. Area under the curve of 6MWT for predicting
prolonged hospitalization was 0.79 (95% confidence interval 0.72-0.85).
CONCLUSIONS: Our study demonstrates that 6MWT was independently associated with
prolonged hospitalization in patients with TMVr, and has a good discriminatory
performance for predicting prolonged hospitalization.
PMID- 29656615
TI - The Korean Spinal Neurosurgery Society: Let's Jump Into the World of Neurospine.
PMID- 29656616
TI - The Neurospinal Society of Japan: For a Start of Our New Journal.
PMID- 29656618
TI - Taiwan Neurosurgical Spine Society: Move Together in "Neurospine" Toward Clinical
and Academic Success.
PMID- 29656617
TI - Neurospine: Harmonious Launch of a New Intellectual Odyssey.
PMID- 29656619
TI - Impact of Resident Involvement in Neurosurgery: An American College of Surgeons'
National Surgical Quality Improvement Program Database Analysis of 33,977
Patients.
AB - OBJECTIVE: There is conflicting and limited literature on the effect of
intraoperative resident involvement on surgical outcomes. Our study assessed
effects of resident involvement on outcomes in patients undergoing neurosurgery.
METHODS: We identified 33,977 adult neurosurgical cases from 374 hospitals in the
2006-2012 National Surgical Quality Improvement Program, a prospectively
collected national database with established reproducibility and validity.
Outcomes were compared according to resident involvement before and after 1:1
matching on procedure and perioperative risk factors. RESULTS: Resident
involvement was documented in 13,654 cases. We matched 10,170 resident-involved
cases with 10,170 attending-alone. In the matched sample, resident involvement
was associated with increased surgery duration (average, 34 minutes) and slight
increases in odds for prolonged hospital stay (odds ratio, 1.2; 95% confidence
interval [CI], 1.2-1.3) and complications (odds ratio, 1.2; 95% CI, 1.1-1.3)
including infections (odds ratio, 1.4; 95% CI, 1.2-1.7). Increased risk for
infections persisted after controlling for surgery duration (odds ratio, 1.3; 95%
CI, 1.1-1.5). The majority of cases were spine surgeries, and resident
involvement was not associated with morbidity or mortality for malignant tumor
and aneurysm patients. Training level of residents was not associated with
differences in outcomes. CONCLUSION: Resident involvement was more common in
sicker patients undergoing complex procedures, consistent with academic centers
undertaking more complex cases. After controlling for patient and intraoperative
characteristics, resident involvement in neurosurgical cases continued to be
associated with longer surgical duration and slightly higher infection rates.
Longer surgery duration did not account for differences in infection rates.
PMID- 29656620
TI - The Effect of Recombinant Tyrosine Hydroxylase Expression on the Neurogenic
Differentiation Potency of Mesenchymal Stem Cells.
AB - OBJECTIVE: Tyrosine hydroxylase (TH) is a rate-limiting enzyme in dopamine
synthesis, making the enhancement of its activity a target for ensuring
sufficient dopamine levels. Rat bone marrow mesenchymal stem cells (rBM-MSCs) are
known to synthesize TH after differentiating into neuronal cells through chemical
induction, but the effect of its ectopic expression on these cells has not yet
been determined. This study investigated the effects of ectopic recombinant TH
expression on the stemness characteristics of rBM-MSCs. METHODS: After cloning, a
cell line with stable TH expression was maintained, and the proliferation, the
gene expression profile, and differentiation potential of rBM-MSCs were analyzed.
Analysis of the cells showed an increment in the proliferation rate that could be
reversed by the neutralization of TH. RESULTS: The constitutive expression of TH
in rBM-MSCs was successfully implemented, without significantly affecting their
osteogenic and adipogenic differentiation potential. TH expression improved the
expression of other neuronal markers, such as glial fibrillary acidic protein,
beta-tubulin, nestin, and c-Fos, confirming the neurogenic differentiation
capacity of the stem cells. The expression of brain-derived neurotrophic factor
(BDNF) and ciliary neurotrophic factor (CNTF) significantly increased after the
chemical induction of neurogenic differentiation. CONCLUSION: In this study, the
expression of recombinant TH improved the neuroprotective effect of MSCs by
upregulating the expression of BDNF and CNTF. Although the neuronal markers were
upregulated, the expression of recombinant TH alone in rBM-MSCs was not
sufficient for MSCs to differentiate into neurogenic cell lines.
PMID- 29656621
TI - Effect of Myoarchitectonic Spinolaminoplasty on Concurrent Hypertension in
Patients With Cervical Spondylotic Myelopathy.
AB - OBJECTIVE: When treating patients with cervical spondylotic myelopathy (CSM), we
often note amelioration in concomitant hypertension after surgery. To assess the
effects of surgery and the mechanisms thereof, blood pressure (BP) and
parasympathetic nervous activity were monitored prospectively in CSM patients
undergoing surgery. METHODS: Sixty-eight consecutive CSM patients who underwent
surgery with myoarchitectonic spinolaminoplasty were enrolled. BP and
electrocardiography were recorded preoperatively and at 1, 3, and 6 months
postoperatively. Forty-six patients completed the scheduled follow-ups and were
analyzed. Preoperatively, 17 had a mean BP higher than 100 mmHg (the HT group)
and 12 had hypertension despite taking medication (the HT-refractory group). To
evaluate alterations in parasympathetic function, the coefficient of variation of
the RR interval (CVRR) was evaluated. RESULTS: A significant BP reduction was
observed in the HT group 6 months after surgery, but not in the normotensive
group (n=29). The effect was more remarkable in the HT-refractory group. A
transient BP increase at 1 and 3 months after surgery was observed in all groups.
Comparisons were made between groups classified by age (over 65 years or younger
than 60 years) and the presence or absence of an intramedullary hyperintense T2
signal on magnetic resonance imaging, but no significant differences were
detected. Measurements of CVRR did not significantly differ between the groups
over the course of follow-up. CONCLUSION: Hypertension coexisting with CSM can be
ameliorated after surgical treatment. The effect is likely to be mediated by
moderation of sympathetic activity, rather than parasympathetic activation. We
believe that a combination of adequate decompression of the spinal cord and
relief from musculoskeletal stresses effectuate this moderation.
PMID- 29656622
TI - Minimally Invasive Spinal Surgery for Adult Spinal Deformity.
AB - The purpose of this review is to present the current techniques and outcomes of
adult spine deformity (ASD) surgery using the minimally invasive spine surgery
(MISS) approach. We performed a systemic search of PubMed for literature
published through January 2018 with the following terms: "minimally invasive
spine surgery," "adult spinal deformity," and "degenerative scoliosis." Of the
138 items that were found through this search, 57 English-language articles were
selected for full-text review. According to the severity of the deformity and the
symptoms, various types of MISS have been utilized, such as MISS decompression,
circumferential MISS, and hybrid surgery. With proper indications, the MISS
approach achieved satisfactory clinical and radiological outcomes for ASD, with
reduced complication rates. Future studies should aim to define clear indications
for the application of various surgical options.
PMID- 29656623
TI - Superior and Middle Cluneal Nerve Entrapment as a Cause of Low Back Pain.
AB - Low back pain (LBP) is encountered frequently in clinical practice. The superior
and the middle cluneal nerves (SCN and MCN) are cutaneous nerves that are purely
sensory. They dominate sensation in the lumbar area and the buttocks, and their
entrapment around the iliac crest can elicit LBP. The reported incidence of SCN
entrapment (SCN-E) in patients with LBP is 1.6%-14%. SCN-E and MCN entrapment
(MCN-E) produce leg symptoms in 47%-84% and 82% of LBP patients, respectively. In
such patients, pain is exacerbated by lumbar movements, and the symptoms mimic
radiculopathy due to lumbar disorder. As patients with failed back surgery or
Parkinson disease also report LBP, the differential diagnosis must include those
possibilities. The identification of the trigger point at the entrapment site and
the disappearance of symptoms after nerve block are diagnostically important. LBP
due to SCN-E or MCN-E can be treated less invasively by nerve block and
neurolysis. Spinal surgeons treating patients with LBP should consider SCN-E or
MCN-E.
PMID- 29656624
TI - Health Care Burden of Spinal Diseases in the Republic of Korea: Analysis of a
Nationwide Database From 2012 Through 2016.
AB - OBJECTIVE: This study aimed to determine the incidence and analyze trends related
to spinal diseases based on a national database in the Republic of Korea (ROK)
and to elucidate the healthcare burden that will serve as a useful resource for
researchers, clinicians, and patients. METHODS: This study was a retrospective
analysis of data obtained from Healthcare Bigdata Hub, the Korean Statistical
Information Service, and Open Data Portal from 2012 through 2016. The main
disease codes for spinal diseases (M40-M54) were used for identification of these
conditions. RESULTS: The overall annual incidence rates for spinal disease in the
ROK was median 15,877 (men, 13,181; women, 18,588) per 100,000 population, and
sex ratio was 1:1.41 (p<0.01). The incidence rate and annual costs per patient
increased by 7.6% and 14.7% over 5 years continuously, respectively. The age
adjusted incidence rate increased with age; the highest rates were 42.6% in the
75-79 years group. Patients older than 65 years old accounted for median 31.0% of
number of patients and 40.1% of medical expenses over 5 years. Lumbar disc
herniation (M51) and spinal stenosis (M48) might accounted for both the highest
incidence and medical expenses in patients under the age of 60 and over 60 years,
respectively. CONCLUSION: The incidence and medical expenditures of spinal
disease increased continuously. As the population of ROK in aging, the incidence
and medical expenditures due to spondylosis and stenosis (M48) for the old are
also increasing. The social burden of spinal diseases in elder patients needs to
be prudently considered in health policy makers.
PMID- 29656625
TI - Swiss-Korean Exchange Program in Neurosurgical Spine Surgery: Experience of the
First Year.
PMID- 29656626
TI - ASIA SPINE: The Past, Present, and Future.
AB - The sharing of international academic accomplishment and friendship is important;
furthermore, to better understand and anticipate the future, we should look back
and remember where we started. Regarding ASIA SPINE, the authors aimed to record
how the pioneers of Asian spinal surgery started this spine meeting series more
than 20 years ago and that later developed into the present state of the
conference. The authors will also explore the possible future of this conference.
In June 1996, when Professor Hiroshi Nakagawa organized the 11th Annual Meeting
of the Japanese Society of Spinal Surgery, spinal neurosurgeons from Korea and
Japan including Professor Young Soo Kim, Professor Jung Keun Suh, and Professor
Nakagawa discussed the establishment of a multinational conference on spinal
surgery via a partnership between the 2 countries. Finally, from September 18 to
20, 1997, the First Biennial Meeting of the Japan-Korea Conference on Spinal
Surgery was held in Nagoya, Japan, with Professor Hiroshi Nakagawa as the first
organizing President. From then, a biennial meeting was held every other year in
Korea or Japan until 2009. In September 2010, the next generation of spinal
neurosurgeons decided to organize the first meeting of ASIA SPINE in Incheon,
Korea, in order to represent all Asian spine specialists. This meeting has been
since held annually around the region including in Taiwan. Remembering the
pioneers in the field of spinal surgery is invaluable and extremely important.
The authors hope that interest in ASIA SPINE will further expand to other nations
in Asia who have advanced philosophies and refined technologies. We wish ASIA
SPINE continued success and the ability to promote prolonged international
friendship among the Asian countries .
PMID- 29656627
TI - Ossification of the Posterior Longitudinal Ligament in Cervical Spine:
Prevalence, Management, and Prognosis.
AB - Ossification of the posterior longitudinal ligament (OPLL) in the cervical spine
and related neurological complications are not uncommon in East Asian countries.
The estimated prevalence of cervical OPLL-related hospitalization is 7.7 per
100,000 person-years in Taiwan, and higher incidence rates have been observed in
elderly and male patients. Although cervical OPLL is frequently insidious, it can
eventually cause myelopathy and predispose patients to spinal cord injury (SCI).
There are multiple options for managing cervical OPLL, ranging from observation
to many kinds of surgical procedures, including posterior laminoplasty,
laminectomy with or without fusion, anterior corpectomy with or without
instrumentation, and circumferential decompression and fusion. None of these
surgical approaches is free of complications. However, to date, there is still a
lack of consensus regarding the choice of the surgical approach and the timing of
surgical intervention. Cervical SCI and related neurological disabilities are
more likely to occur in OPLL patients, who should therefore be cautioned
regarding the possibility of a subsequent SCI if treated without surgery. This
article aimed to review the prevalence, management strategies, and prognosis of
cervical OPLL.
PMID- 29656628
TI - Cerebral Thromboembolic Events During Anterior Cervical Spine Surgery:
Retrospective Case Series Study With Diffusion-Weighted Magnetic Resonance
Imaging Follow-up in the Immediate Postoperative Period.
AB - OBJECTIVE: We evaluated the efficacy of diffusion-weighted magnetic resonance
imaging (DW-MRI) in detecting perioperative cerebral thromboembolic events after
anterior cervical discectomy and fusion (ACDF). METHODS: This retrospective case
series included 51 consecutive patients that underwent ACDF. To assess baseline
presence of plaque or stenosis, all patients were assessed for risk factors of
cerebrovascular events and underwent carotid ultrasound preoperatively and
cerebral DW-MRI was performed in all patients postoperatively, with areas of high
signal intensity interpreted as a cerebral thromboembolic event. RESULTS: One
male patient who underwent a C4/5 ACDF had a focal diffusion abnormality on DW
MRI concerning for cerebral thromboembolic events in the right posterior cerebral
artery territory. He remained asymptomatic and did not display related
neurological symptoms, such as visual deficits. CONCLUSION: Asymptomatic cerebral
thromboembolic events can be detected by DW-MRI after ACDF. The incidence of such
events remains very rare despite the direct manipulation and associated
alteration of common carotid artery flow dynamics.
PMID- 29656629
TI - Differences in Cervical Sagittal Alignment Changes in Patients Undergoing
Laminoplasty and Anterior Cervical Discectomy and Fusion.
AB - OBJECTIVE: Anterior cervical discectomy and fusion (ACDF) and laminoplasty (LP)
are the most commonly performed procedures for degenerative cervical spondylosis.
Cervical sagittal alignment (CSA) has recently been studied as an important
predictor of clinical and radiological outcomes. The data from previous studies
are insufficient for analysis using the recently designed CSA parameters, T1
slope (T1s), and T1s minus cervical angle (T1sCA). METHODS: We retrospectively
collected data from patients who underwent ACDF and LP from January 2013 to May
2016. The CSA parameters included CA, sagittal vertical axis, T1s, and T1sCA.
T1sCA values were used to evaluate the preoperative cervical balance (T1sCA>20
degrees : imbalance). Clinical results were evaluated using the neck disability
index (NDI) and recovery rate (RR) according to the Japanese Orthopedic
Association scoring system. RESULTS: We analyzed the data of 72 patients (ACDF,
n=39; LP, n=33). Imbalance on ACDF was associated with an increase in CA
(balance: preoperative [PRE], 15.64 degrees -> follow-up [F/U], 15.74 degrees ,
p=0.953; imbalance: PRE, -1.14 degrees -> F/U, 8.045 degrees , p=0.008), whereas
balance on LP was associated with a significant decrease in CA (balance: PRE,
16.26 degrees -> F/U, 11.59 degrees , p=0.009; imbalance: PRE, 5.36 degrees ->
F/U, 2.38 degrees , p=0.249). No significant difference was found in the RR and
NDI changes in the ACDF group based on balance, but a significant difference was
found in RR in the LP group (balance: 61.65%+/-19.88%, imbalance: 46.90%+/
15.71%, p=0.046). CONCLUSION: We found a significant difference in postoperative
alignment in cases of ACDF and LP according to preoperative cervical sagittal
balance. The postoperative clinical results of the LP group were more affected by
F/U alignment than by the degree of alignment change.
PMID- 29656630
TI - Etiopathogenesis of Traumatic Spinal Epidural Hematoma.
AB - Spinal epidural hematoma (SEH) is a rare cause of nerve root or cord compression;
its pathogenesis is not always clearly recognizable. The aim of this paper was to
investigate possible etiopathological factors in a consecutive series of patients
affected by traumatic SEH treated at our institution. Seven patients with
neurologic impairment due to traumatic SEH were retrospectively analyzed after
diagnosis and surgical treatment. Thoracic localization was found in 5 cases, and
lumbar and cervical localization were found in 1 patient each. One patient was
affected by ankylosing spondylitis and one by diffuse idiopathic skeletal
hyperostosis. SEH was associated with spine fractures in 6 cases. Only 2 cases of
traumatic SEH resulted from high-energy trauma. All patients underwent surgical
decompression within 24 hours after admittance to the hospital. Three patients
recovered completely, 3 remained paraplegic, and 1 remained monoplegic. Several
concomitant conditions are suggested to be predisposing factors for the
development of SEH, although its inherent mechanism is still unknown. Two
patients in the present series were affected by rheumatologic disorders,
confirming the elevated incidence of hematomas in such patients compared to the
normal population. Three very unusual cases of SEH occurred in senile patients
affected by osteoporotic fractures. Early diagnosis and urgent decompression of
the hematoma remain mandatory.
PMID- 29656632
TI - Long-term Survival in Patients Treated with a Robotic Radiosurgical Device for
Liver Metastases.
AB - Purpose: The treatment of liver metastases with local procedures is a fast
progressing field. For the most, long-term survival data is missing raising
questions with regard to the efficacy of such modalities when compared to
surgical resection. Radiosurgery using the CyberKnife device enables the
treatment of liver lesions with a single-session approach. Here we present long
term survival data to explore the curative potential of this strategy. Materials
and Methods: Patients with oligo-metastatic disease limited to the liver have
been treated with single-session or hypo-fractioned radiosurgery in curative
intent and prospectively followed until death. Follow-up (FU) was performed using
magnetic resonance imaging (MRI) two months after radiation and at 3-month
intervals for the first 2 years. After that annual computed tomography or MRI
scans were performed until 5 years post-treatment. Local recurrence in the
radiated volume and recurrence outside the treated volume were used to define
local and distant progression. Survival times were censored at the time of the
last FU. Results: One hundred twenty-six patients treated between 2005 and 2015
with 194 lesions were in-cluded into this study. Median FU was 30.0 months.
According to Response Evaluation Crite-ria in Solid Tumors, 55.2% had a complete
remission and 11.3% a partial remission. Seventy-two point two percent recurred
outside the radiated lesion and median overall survival was 35.2 months with a 3
year survival rate of 47.7%. Conclusion: This is currently the largest cohort of
stereotactic body radiation therapy treated liver lesions with a median long-term
follow of 30 months. Robotic radiosurgery using a single session approach has a
high efficacy to control the radiated lesion with the potential to cure patients.
PMID- 29656631
TI - Asymptomatic Middle East Respiratory Syndrome coronavirus infection using a
serologic survey in Korea.
AB - OBJECTIVES: The rates of asymptomatic infection with Middle East Respiratory
Syndrome (MERS) coronavirus vary. A serologic study was conducted to determine
the asymptomatic MERS infection rate in healthcare workers and non-healthcare
workers by exposure status. METHODS: Study participants were selected from
contacts of MERS patients based on a priority system in 4 regions strongly
affected by the 2015 MERS outbreak. A sero-epidemiological survey was performed
in 1,610 contacts (average duration from exposure to test, 4.8 months), and the
collected sera were tested using an enzyme-linked immunespecific assay (ELISA),
immunofluorescence assay (IFA), and plaque reduction neutralization antibody test
(PRNT). Among the 1,610 contacts, there were 7 ELISA-positive cases, of which 1
exhibited positive IFA and PRNT results. RESULTS: The asymptomatic infection rate
was 0.060% (95% confidence interval, 0.002 to 0.346). The asymptomatic MERS case
was a patient who had been hospitalized with patient zero on the same floor of
the hospital at the same time. The case was quarantined at home for 2 weeks after
discharge, and had underlying diseases, including hypertension, angina, and
degenerative arthritis. CONCLUSIONS: The asymptomatic infection was acquired via
healthcare-associated transmission. Thus, it is necessary to extend serologic
studies to include inpatient contacts who have no symptoms.
PMID- 29656633
TI - Flavors of Fire: Assessing the Relative Toxicity of Smoke from Different Types of
Wildfires.
PMID- 29656634
TI - A Case of Bilateral External Auditory Canal Pyogenic Granuloma in a Pregnant
Woman.
AB - A pyogenic granuloma is one of the most common benign tumors in the head and
neck. However, it rarely occurs in the external auditory canal (EAC). We report a
case of a pyogenic granuloma that involved the both EAC in a pregnant woman. The
clinical manifestation is discussed with a literature review.
PMID- 29656635
TI - Clinical Characteristics of Dizziness Associated with Acute Peripheral Facial
Palsy.
AB - Background and Objectives: We aimed to assess the clinical significance of
dizziness associated with acute peripheral facial palsy (APFP). Subjects and
METHODS: Medical records of patients who visited an otorhinolaryngology clinic at
a university hospital and were admitted for treatment of APFP between 2014 and
2016 were thoroughly reviewed. RESULTS: In total, 15.3% (n=15) of patients had
dizziness. Continuous, rotatory dizziness without exacerbating factors was most
common and frequently accompanied by nausea/vomiting. Dizziness disappeared
within 1 week during the hospitalization period. Patients with Ramsay Hunt
syndrome (31.0%) had dizziness more frequently than those with Bell's palsy
(8.7%). In addition, higher hearing thresholds and pain around the ear was
reported more often in dizzy patients (p<0.05). Logistic regression analysis
revealed that the initial House-Brackmann grade of facial paralysis was solely
associated with final recovery, but dizziness was not associated with prognosis.
Conclusions: Patients with APFP may have transient dizziness in the early stage,
which may be more frequently accompanied by worse hearing thresholds and/or pain
around the ear. However, these symptoms including dizziness seem to be unrelated
to final prognosis.
PMID- 29656636
TI - Brainstem Correlates of Comodulation Masking Release for Speech in Normal Hearing
Adults.
AB - Background and Objectives: Weak signals embedded in fluctuating masker can be
perceived more efficiently than similar signals embedded in unmodulated masker.
This release from masking is known as comodulation masking release (CMR). In this
paper, we investigate, neural correlates of CMR in the human auditory brainstem.
Subjects and METHODS: A total of 26 normal hearing subjects aged 18-30 years
participated in this study. First, the impact of CMR was quantified by a
behavioral experiment. After that, the brainstem correlates of CMR was
investigated by the auditory brainstem response to complex sounds (cABR) in
comodulated (CM) and unmodulated (UM) masking conditions. RESULTS: The auditory
brainstem responses are less susceptible to degradation in response to the speech
syllable /da/ in the CM noise masker in comparison with the UM noise masker. In
the CM noise masker, frequency-following response (FFR) and fundamental frequency
(F0) were correlated with better behavioral CMR. Furthermore, the subcortical
response timing of subjects with higher CMR was less affected by the CM noise
masker, having higher stimulus-to-noise response correlations over the FFR range.
Conclusions: The results of the present study revealed a significant link between
brainstem auditory processes and CMR. The findings of the present study show that
cABR provides objective information about the neural correlates of CMR for speech
stimulus.
PMID- 29656637
TI - Dissecting Porosity in Molecular Crystals: Influence of Geometry, Hydrogen
Bonding, and [pi...pi] Stacking on the Solid-State Packing of Fluorinated
Aromatics.
AB - Porous molecular crystals are an emerging class of porous materials that is
unique in being built from discrete molecules rather than being polymeric in
nature. In this study, we examined the effects of molecular structure of the
precursors on the formation of porous solid-state structures with a series of 16
rigid aromatics. The majority of these precursors possess pyrazole groups capable
of hydrogen bonding, as well as electron-rich aromatics and electron-poor
tetrafluorobenzene rings. These precursors were prepared using a combination of
Pd- and Cu-catalyzed cross-couplings, careful manipulations of protecting groups
on the nitrogen atoms, and solvothermal syntheses. Our study varied the geometry
and dimensions of precursors, as well as the presence of groups capable of
hydrogen bonding and [pi...pi] stacking. Thirteen derivatives were
crystallographically characterized, and four of them were found to be porous with
surface areas between 283 and 1821 m2 g-1. Common to these four porous structures
were (a) rigid trigonal geometry, (b) [pi...pi] stacking of electron-poor
tetrafluorobenzenes with electron-rich pyrazoles or tetrazoles, and
PMID- 29656638
TI - Chronic Toxicity of Binary Mixtures of Six Metals (Ag, Cd, Cu, Ni, Pb, and Zn) to
the Great Pond Snail Lymnaea stagnalis.
AB - Although metal-mixture toxicity has recently received increasing attention, there
is still insufficient knowledge on joint effects occurring in chronic exposures
to relatively low metal concentrations. We characterized the chronic toxicity of
binary mixtures of six metals (Ag, Cd, Cu, Ni, Pb, and Zn) in 14 day growth tests
with juveniles of the metal-sensitive freshwater snail Lymnaea stagnalis.
Observations were compared with predictions from individual metals and from the
two most frequently used mixture models: concentration addition (CA) and
independent action (IA). Predictions based on measured total dissolved
concentrations and on calculated free-ion activities did not differ greatly
because multimetal geochemical interactions in the tests were limited. In around
half of the tests, mixture toxicity was higher than the greatest effect caused by
the individual metals, arguing in favor of considering joint effects. When the
additive models were used, the great majority of interactions were either
additive or less than additive (i.e., antagonism). In general, the IA model was
the most accurate, while the CA model was the most conservative. Along with other
studies, these findings suggest that, at least for binary combinations, the
simple CA model may provide satisfactory protection from the chronic metal
toxicity of metal mixtures to aquatic organisms.
PMID- 29656639
TI - Mitigating the Expansion of Harmful Algal Blooms Across the Freshwater-to-Marine
Continuum.
AB - Anthropogenic nutrient overenrichment, coupled with rising temperatures, and an
increasing frequency of extreme hydrologic events (storms and droughts) are
accelerating eutrophication and promoting the expansion of harmful algal blooms
(HABs) across the freshwater-to-marine continuum. All HABs-with a focus here on
cyanobacterial blooms-pose serious consequences for water supplies, fisheries,
recreational uses, tourism, and property values. As nutrient loads grow in
watersheds, they begin to compound the effects of legacy stores. This has led to
a paradigm shift in our understanding of how nutrients control eutrophication and
blooms. Phosphorus (P) reductions have been traditionally prescribed exclusively
for freshwater systems, while nitrogen (N) reductions were mainly stressed for
brackish and coastal waters. However, because most systems are hydrologically
interconnected, single nutrient (e.g., P only) reductions upstream may not
necessarily reduce HAB impacts downstream. Reducing both N and P inputs is the
only viable nutrient management solution for long-term control of HABs along the
continuum. This article highlights where paired physical, chemical, or biological
controls may improve beneficial uses in the short term, and offers management
strategies that should be enacted across watershed scales to combat the global
expansion of HABs across geographically broad freshwater-to-marine continua.
PMID- 29656640
TI - Phase Equilibria of Polydisperse Square-Well Chain Fluid Confined in Random
Porous Media: TPT of Wertheim and Scaled Particle Theory.
AB - Extension of Wertheim's thermodynamic perturbation theory and its combination
with scaled particle theory is proposed and applied to study the liquid-gas phase
behavior of polydisperse hard-sphere square-well chain fluid confined in the
random porous media. Thermodynamic properties of the reference system,
represented by the hard-sphere square-well fluid in the matrix, are calculated
using corresponding extension of the second-order Barker-Henderson perturbation
theory. We study effects of polydispersity and confinement on the phase behavior
of the system. While polydispersity causes increase of the region of phase
coexistence due to the critical temperature increase, confinement decreases the
values of both critical temperature and critical density making the region of
phase coexistence smaller. This effect is enhanced with the increase of the size
ratio of the fluid and matrix particles. The increase of the average chain length
at fixed values of polydispersity and matrix density shifts the critical point to
a higher temperature and a slightly lower density.
PMID- 29656641
TI - Attachment of Alcanivorax borkumensis to Hexadecane-In-Artificial Sea Water
Emulsion Droplets.
AB - Alcanivorax borkumensis (AB) is a marine bacterium that dominates bacterial
communities around many oil spills because it enzymatically degrades the oil
while using it as a nutrient source. Several dispersants have been used to
produce oil-in-water emulsions following a spill. Compared to surface slicks, the
additional oil-water surface area produced by emulsification provides greater
access to the oil and accelerates its degradation. We deliberately cultured AB
cells using hexadecane as the only nutrient source. We then examined the first
critical step of the biodegradation process, the attachment of these AB cells to
hexadecane-water interfaces, using fluorescence microscopy and cryogenic scanning
electron microscopy. The hexadecane-in-artificial sea water (ASW) emulsions were
produced by gentle shaking and were stabilized either by AB alone, by Corexit
9500, by Tween 20, or by carbon black particles. When no dispersants were used,
AB stabilizes the emulsion, and bacterial cells attach to the hexadecane droplets
within the first 3 days. When Corexit 9500 was used as the dispersant, AB did not
attach to the hexadecane droplets over 3 days, and many AB cells in the aqueous
phase appeared dead. Only limited attachment was observed after 7 days. No AB
attachment was observed over 3 days when Tween 20 was used as the dispersant.
However, the bacteria used Tween 20 in the ASW as a nutrient. Large amounts of AB
attached to carbon black stabilized hexadecane droplets within 3 days. An
analysis that accounts for van der Waals and electrostatic interactions is unable
to predict all of these observations, indicating that the attachment of AB to the
hexadecane is a complex phenomenon that goes beyond simple physiochemical
effects. While these experiments do not mimic conditions in the open ocean where
the large amount of water dilutes any emulsion stabilizer, they provide important
insights on bacteria adhesion to oil, a critical step in the oil degradation
process following a marine spill.
PMID- 29656642
TI - (Semi)ladder-Type Bithiophene Imide-Based All-Acceptor Semiconductors: Synthesis,
Structure-Property Correlations, and Unipolar n-Type Transistor Performance.
AB - Development of high-performance unipolar n-type organic semiconductors still
remains as a great challenge. In this work, all-acceptor bithiophene imide-based
ladder-type small molecules BTI n and semiladder-type homopolymers PBTI n ( n = 1
5) were synthesized, and their structure-property correlations were studied in
depth. It was found that Pd-catalyzed Stille coupling is superior to Ni-mediated
Yamamoto coupling to produce polymers with higher molecular weight and improved
polymer quality, thus leading to greatly increased electron mobility (MUe). Due
to their all-acceptor backbone, these polymers all exhibit unipolar n-type
transport in organic thin-film transistors, accompanied by low off-currents (10
10-10-9 A), large on/off current ratios (106), and small threshold voltages (~15
25 V). The highest MUe, up to 3.71 cm2 V-1 s-1, is attained from PBTI1 with the
shortest monomer unit. As the monomer size is extended, the MUe drops by 2 orders
to 0.014 cm2 V-1 s-1 for PBTI5. This monotonic decrease of MUe was also observed
in their homologous BTI n small molecules. This trend of mobility decrease is in
good agreement with the evolvement of disordered phases within the film, as
revealed by Raman spectroscopy and X-ray diffraction measurements. The extension
of the ladder-type building blocks appears to have a large impact on the motion
freedom of the building blocks and the polymer chains during film formation, thus
negatively affecting film morphology and charge carrier mobility. The result
indicates that synthesizing building blocks with more extended ladder-type
backbone does not necessarily lead to improved mobilities. This study marks a
significant advance in the performance of all-acceptor-type polymers as unipolar
electron transporting materials and provides useful guidelines for further
development of (semi)ladder-type molecular and polymeric semiconductors for
applications in organic electronics.
PMID- 29656643
TI - Direct Experimental Characterization of Glycosyl Cations by Infrared Ion
Spectroscopy.
AB - Glycosyl cations are crucial intermediates formed during enzymatic and chemical
glycosylation. The intrinsic high reactivity and short lifetime of these reaction
intermediates make them very challenging to characterize using spectroscopic
techniques. Herein, we report the use of collision induced dissociation tandem
mass spectrometry to generate glycosyl cations in the gas phase followed by
infrared ion spectroscopy using the FELIX infrared free electron laser. The
experimentally observed IR spectra were compared to DFT calculated spectra
enabling the detailed structural elucidation of elusive glycosyl oxocarbenium and
dioxolenium ions.
PMID- 29656644
TI - Tuna Oil Alleviates d-Galactose Induced Aging in Mice Accompanied by Modulating
Gut Microbiota and Brain Protein Expression.
AB - To discern whether tuna oil modulates the expression of brain proteins and the
gut microbiota structure during aging induced by d-galactose, we generated an
aging mouse model with d-galactose treatment, and the mice showed aging and
memory deterioration symptoms according to physiological and biochemical indices.
Treatment with different doses of tuna oil alleviated the symptoms; the high dose
showed a better effect. Subsequently, brain proteomic analysis showed the
differentially expressed proteins were involved in damaged synaptic system
repairment and signal transduction system enhancement. In addition, tuna oil
treatment restored the diversity of gut microbiota, 27 key operational taxonomic
units, which were identified using a redundancy analysis and were significantly
correlated with at least one physiological index and three proteins or genes.
These findings suggest that the combination of proteomics and gut microbiota is
an effective strategy to gain novel insights regarding the effect of tuna oil
treatment on the microbiota-gut-brain axis.
PMID- 29656645
TI - Plant Uptake and Metabolism of 2,4-Dibromophenol in Carrot: In Vitro Enzymatic
Direct Conjugation.
AB - Plants can extensively uptake organic contaminants from soil and subsequently
transform them into various products. Those compounds containing hydroxyl may
undergo direct conjugation with endogenous biomolecules in plants, and
potentially be preserved as conjugates, thus enabling overlooked risk via
consumptions of food crops. In this study, we evaluated the uptake and metabolism
of 2,4-dibromophenol (DBP) by both carrot cells and whole plant. DBP was
completely removed from cell cultures with a half-life of 10.8 h. Four saccharide
conjugates, three amino acid conjugates, and one phase I metabolite were
identified via ultraperformance liquid chromatography quadrupole time-of-flight
mass spectrometry analysis. The dibromophenol glucopyranoside (glucose conjugate)
was quantitated by synthesized standard and accounted for 9.3% of the initial
spiked DBP at the end of incubation. The activity of glycosyltransferase was
positively related to the production of 2,4-dibromophenol glucopyranoside ( p =
0.02, R2 = 0.86), implying the role of enzymatic catalysis involved in phase II
metabolism.
PMID- 29656646
TI - Toward a New Brewing Control Chart for the 21st Century.
AB - This paper describes new results from a base model of brewing from a bed of
packed coffee grains. The model solves for the diffusion of soluble species out
of a distribution of particles into the flow through the bed pore space. It
requires a limited set of input parameters. It gives a simple picture of the
basic physics of coffee brewing and sets out a set of reduced variables for this
process. The importance of bed extraction efficiency is elucidated. A coffee
brewing control chart has been widely used to describe the region of ideal coffee
brewing for some 50 years. A new chart is needed, however, one that connects
actual brewing conditions (weight, flow rate, brew time, grind, etc.) to the
yield and strength of brews. The paper shows a new approach to brewing control
charts, including brew time and bed extraction efficiency as control parameters.
Using the base model, an example chart will be given for a particular grind ratio
of coarse to fine particles, and an "espresso regime" will be picked out. From
such a chart yield, volume and strength of a brew can be read off at will.
PMID- 29656647
TI - Tea Polysaccharides Inhibit Colitis-Associated Colorectal Cancer via Interleukin
6/STAT3 Pathway.
AB - The interleukin-6 (IL-6)/signal transducer and activator of transcription (STAT)
3 signaling pathway regulates proliferation and survival of intestinal epithelial
cells and has profound impact on the tumorigenesis of colitis-associated cancer
(CAC). Tea polysaccharides (TPS) are the major nutraceutical component isolated
from tea-leaves and are known to possess antioxidant, anti-inflammatory, and
antitumor bioactivities. Here, we investigated the antitumor activities of TPS on
CAC using the azoxymethane/dextran sulfate sodium (AOM/DSS) mouse model and IL-6
induced colorectal cancer cell line (CT26) and determined whether TPS exerted its
antitumor effects through the IL-6/STAT3 pathway. Results demonstrated that TPS
significantly decreased the tumor incidence, tumor size, and markedly inhibited
the infiltration of pro-inflammatory cells and the secretion of pro-inflammatory
cytokines via balancing cellular microenvironment. Furthermore, we found that TPS
suppressed the activation of STAT3 and transcriptionally regulated the
expressions of downstream genes including MMP2, cyclin Dl, survivin, and VEGF
both in vivo and in vitro. Thus, it was concluded that TPS attenuated the
progress of CAC via suppressing IL-6/STAT3 pathway and downstream genes'
expressions, which indicated that TPS may be a hopeful antitumor agent for the
prevention and treatment of colon cancer.
PMID- 29656648
TI - Germinated Brown Rice Attenuates Atherosclerosis and Vascular Inflammation in Low
Density Lipoprotein Receptor-Knockout Mice.
AB - The present study investigates the impact of germinated brown rice (GBR) on
atherosclerosis and the underlying mechanism in low-density lipoprotein receptor
knockout (LDLr-KO) mice. The intensity of atherosclerosis in aortas of LDLr-KO
mice receiving diet supplemented with 60% GBR (weight/weight) was significantly
less than that in mice fed with 60% white rice (WR) or control diet ( p < 0.05);
all diets contained 0.06% cholesterol. WR or GBR diet did not significantly alter
plasma total or LDL-cholesterol, fecal sterols, or glucose, or the activities of
antioxidant enzymes, compared to the control diet. The adhesion of monocytes to
aortas from LDLr-KO mice fed with WR diet was significantly more than that from
mice receiving the control diet ( p < 0.01). GBR diet decreased monocyte adhesion
to aortas compared to WR diet ( p < 0.01). GBR diet also reduced the levels of
plasminogen activator inhibitor-1 (PAI-1), monocyte chemotactic protein-1 (MCP
1), and tumor necrosis factor-alpha (TNF-alpha) in plasma, and the abundances of
MCP-1, PAI-1, TNF-alpha, intracellular cell adhesion molecule-1, toll-like
receptor-4, PAI-1, LDLr-like protein, and urokinase plasminogen activator and its
receptor in aortas or hearts from LDLr-KO mice in comparison to the WR diet ( p <
0.05, 0.01, respectively). The findings suggest that GBR administration
attenuated atherosclerosis and vascular inflammation in LDLr-KO mice compared to
WR. The anti-atherosclerotic effect of GBR in LDLr-KO mice at least in part
results from its anti-inflammatory activity.
PMID- 29656649
TI - Wetting Behavior of Metal-Catalyzed Chemical Vapor Deposition-Grown One
Dimensional Cubic-SiC Nanostructures.
AB - Superhydrophobic surfaces can be fabricated by using the self-assembled
nanoarchitecture of 3C-SiC one-dimensional (1D) nanostructures as they are
capable of forming a dense network of micro-nano air pockets without any help
from external sources. Herein, the metal-catalyzed growth of 3C-SiC
nanowires/nanorods on Si substrates via vapor-liquid-solid mechanism using five
different catalysts, that is, chemically synthesized Au nanoparticles and direct
current-sputtered thin films of Au, Cu, Ni, and Ti, is reported. Relatively new
or unexplored catalysts such as thin films of Cu and Ti, as well as drop-cast Au
nanoparticles, were used. An optimized and separate growth was carried out for
each catalyst in an inductively heated horizontal cold-wall atmospheric pressure
chemical vapor deposition reactor. An insight into the catalytic growth mechanism
of 3C-SiC 1D nanostructures has been presented. All of the bare samples exhibited
superhydrophilic behavior, whereas hierarchical Au/Pd nanostructure-coated 3C-SiC
nanorod samples grown using Au and Ni thin-film catalysts exhibited hydrophobic
and superhydrophobic behavior, respectively. As the better results were obtained
for Ni thin-film catalysts in terms of growth density and high water contact
angle (WCA ~ 160 degrees ), therefore, the growth temperature, as well as the
growth time-dependent wetting behavior, was also studied. It was found that the
WCA increased as the growth time and temperature increased because of the
increase in the growth density, and it finally reached to an optimum value at the
growth temperature of 1200 degrees C and the growth time of 1 h. Furthermore,
their wetting behavior was studied by using a variety of high surface tension
(water, milk, tea, and glycerin) and low surface tension (organic liquids such as
n-hexane, ethanol, etc.) liquids. High surface tension liquids exhibited
superhydrophobic behavior, whereas low surface tension liquids exhibited
superhydrophilic behavior. Hence, these fabricated nanostructured surfaces can be
exploited for oil-water separation, electrowetting, water harvesting, self
cleaning, lab on a chip, and micro-/nanofluidic device applications.
PMID- 29656650
TI - Discovery of Tetrahydroquinoxalines as Bromodomain and Extra-Terminal Domain
(BET) Inhibitors with Selectivity for the Second Bromodomain.
AB - The bromodomain and extra-terminal domain (BET) family of proteins bind
acetylated lysine residues on histone proteins. The four BET bromodomains-BRD2,
BRD3, BRD4, and BRDT-each contain two bromodomain modules. BET bromodomain
inhibition is a potential therapy for various cancers and immunoinflammatory
diseases, but few reported inhibitors show selectivity within the BET family.
Inhibitors with selectivity for the first or second bromodomain are desired to
aid investigation of the biological function of these domains. Focused library
screening identified a series of tetrahydroquinoxalines with selectivity for the
second bromodomains of the BET family (BD2). Structure-guided optimization of the
template improved potency, selectivity, and physicochemical properties,
culminating in potent BET inhibitors with BD2 selectivity.
PMID- 29656651
TI - TiO2 Photocatalysis in Aromatic "Redox Tag"-Guided Intermolecular Formal [2 + 2]
Cycloadditions.
AB - Since the pioneering work by Macmillan, Yoon, and Stephenson, homogeneous
photoredox catalysis has occupied a central place in new reaction development in
the field of organic chemistry. While heterogeneous semiconductor photocatalysis
has also been studied extensively, it has generally been recognized as a redox
option in inorganic chemistry where such "photocatalysis" is most often used to
catalyze carbon-carbon bond cleavage and not in organic chemistry where bond
formation is usually the focal point. Herein, we demonstrate that titanium
dioxide photocatalysis is a powerful redox option to construct carbon-carbon
bonds by using intermolecular formal [2 + 2] cycloadditions as models. Synergy
between excited electrons and holes generated upon irradiation is expected to
promote the overall net redox neutral process. Key for the successful application
is the use of a lithium perchlorate/nitromethane electrolyte solution, which
exhibits remarkable Lewis acidity to facilitate the reactions of carbon-centered
radical cations with carbon nucleophiles. The reaction mechanism is reasonably
understood based on both intermolecular and intramolecular single electron
transfer regulated by an aromatic "redox tag". Most of the reactions were
completed in less than 30 min even in aqueous and/or aerobic conditions without
the need for sacrificial reducing or oxidizing substrates generally required for
homogeneous photoredox catalysis.
PMID- 29656652
TI - Oral health disparities among adolescents from urban and rural communities of
central Chile.
AB - PURPOSE: Rural populations may be at increased risk for prevalent oral diseases.
The aim of this study was to compare oral health status of adolescents of rural
and urban areas from central Chile. METHODS: A representative sample of 552 and
486 adolescents aged 12 and 15 years, respectively, was examined using WHO
methods. Adolescents were chosen from schools belonging to urban and rural
districts of the region. Caries status was obtained by decayed, missing, filled
teeth (DMFT) and significant caries (SiC) indexes. The gingival exam included the
oral hygiene index (OHI) of Silness and Löe and the gingival index (GI) of
Löe and Silness. Clinical attachment loss and community periodontal index
(CPI) were restricted to 15-year-old adolescents. Statistical comparisons of
medians and means were performed with the Mann-Whitney U-test. To determine the
association between caries experience and oral hygiene and gingival indexes,
Spearman's correlation was used at p<0.05. RESULTS: Twelve-year-old children
from rural areas had caries prevalence of 67.50%, which was significantly higher
(p<0.05) than children from urban areas, who had 54.04%. Caries experience of 12
year-old rural children was significantly higher (DMFT 3.36; standard deviation
(SD) 2.71) than that of urban children (DMFT 2.29; SD 2.17) (p=0.0001). Rural
adolescents also showed increased caries severity (SiC 6.21; SD 2.44), whereas
urban children showed had a SiC of 4.71 (SD 1.74) (p=0.0001). For periodontal
indexes, the average GI for 12-year-olds was 1.51 (SD 0.33), which corresponds to
moderate inflammation, but rural subjects (GI 1.55; SD 0.34) had higher values
(p=0.002) than their urban counterparts (GI 1.45; SD 0.29). In 15-year-old
adolescents, caries prevalence was significantly higher in rural (73.58%) than in
urban (64.59%) individuals (p<0.05). Although not significant, caries experience
for 15-year-olds in rural areas, like in the other age group, was slightly higher
than for urban 15-year-olds (DMFT 5.03; SD 3.61 and DMFT 4.65; SD 3.58,
respectively) (p=0.238). The SiC in the rural subjects (9.16; SD 2.26) of this
age group was significantly higher than for urban adolescents (8.51; SD 3.00). No
significant differences either in the OHI (p=0.418) or in the GI (p=0.624) were
observed between rural and urban participants. CONCLUSIONS: Adolescents of
central Chile show clear disparities in oral health, with rural communities more
affected. Gingival health seems to be less impacted by rurality than caries
experience. Other social determinants of oral health may also explain these
results, and further research appears necessary.
PMID- 29656653
TI - Derivatization, molecular docking and in vitro acetylcholinesterase inhibitory
activity of glycyrrhizin as a selective anti-Alzheimer agent.
AB - Acetylcholinesterase inhibitors (AChE-Is) increase both level and duration of
action of acetylcholine (ACh); thus, alleviate symptoms of Alzheimer's disease
(AD). Glycyrrhizin, is the main active compound in liquorice root. Its aglycone,
glycyrrhetinic acid, has shown several beneficial pharmacological activities.
This study reports the synthesis and screening of a series of glycyrrhetinic acid
analogs as AChE-Is. Fourteen derivatives were prepared, of which five derivatives
are recorded as new viz., 3-phenyl-carbamoyl-18beta-glycyrrhetinic acid (J9), 3
acetyl-18beta-glycyrrhetinic-30-anilinamide (J10), 3-acetyl-18beta-glycyrrhetinic
30-ethanolamide (J11), 3-acetyl-18beta-glycyrrhetinic-30-n-butylamide (J12) and
18beta-glycyrrhetinic acid-30-prenyl ester (J14), in addition to nine known
derivatives (J1-J8 & J13). Compounds J12, J11, J0 and J3 showed remarkable AChE-I
activity with IC50 values of 3.43, 5.39, 6.27 and 8.68 MUM, respectively. These
results are in full agreement with the docking study. The active compounds were
non-cytotoxic to normal cells (WI-38).
PMID- 29656654
TI - A new galloyl glycoside from Lotus corniculatus.
AB - A new galloyl glucoside, 2,6-di-O-acetyl 1-O-galloyl beta-D-glucose (1), along
with five known phenolic compounds were isolated from the whole plants of Lotus
corniculatus. Their structures were elucidated by means of extensive
spectroscopic analysis. Compound 1 was assessed for its cytotoxicity against five
human tumour lines (HL-60, SMMC-7721, A-549, MCF-7 and SW-480), and the result
showed that it had no activity.
PMID- 29656655
TI - Mean Platelet Volume, Neutrophil-Lymphocyte Ratio, and Long-Term Major
Cardiovascular Events.
PMID- 29656656
TI - Effect of Coronary Collaterals on Prognosis in Patients Undergoing Primary
Percutaneous Coronary Intervention for Acute ST-Segment Elevation Myocardial
Infarction: A Meta-Analysis.
AB - The impact of coronary collaterals on the prognosis in patients with acute ST
segment elevation myocardial infarction (STEMI) in the era of coronary
revascularization remains controversial. The purpose of this meta-analysis was to
investigate the effect of coronary collateral on clinical outcomes, especially
mortality (>=6 months), in patients undergoing primary percutaneous coronary
intervention (PCI) for STEMI. Eligible observational studies were selected by
searching PubMed, EMBASE, and Cochrane Library up to August 9, 2017. Overall, 14
observational studies involving 10 411 patients were included. Coronary
collaterals were found to reduce the risk of long-term mortality (>=6 months;
risk ratio [RR]: 0.65, 95% confidence interval [CI]: 0.55-0.76) as well as in
hospital plus 30-day mortality (RR: 0.61, 95% CI: 0.47-0.78) in patients
undergoing PCI for STEMI. In addition, pooling the risk-adjusted or propensity
matched data showed a significant reduction in long-term mortality (RR: 0.68, 95%
CI: 0.49-0.95) and in-hospital plus 30-day mortality (RR: 0.27, 95% CI: 0.13
0.55) in patients with collateral circulation. However, no significant difference
was found in the risk of recurrent myocardial infarction and target vessel
revascularization between the 2 groups. Therefore, it was found that coronary
collaterals have a beneficial effect on long-term survival (>=6 months) as well
as in-hospital plus 30-day survival in patients undergoing primary PCI for STEMI.
PMID- 29656657
TI - Phytochemical profile and biological activities of Deverra tortuosa (Desf.)DC.: a
desert aromatic shrub widespread in Northern Region of Saudi Arabia.
AB - The present study describes the chemical composition of the essential oil of
different plant parts of Devrra tortuosa; in vivo and in vitro biological
activities of plant extract and essential oils. Apiol was found to be the major
component of the oil (between 65.73% and 74.41%). The best antioxidant activities
were observed for the oil of flowers (IC50 = 175 MUg/ml). The samples of stems
and roots exhibit lower antioxidant activity (IC50 = 201 MUg/ml and 182 MUg/ml,
respectively). The values of IC50 showed that the extracts of methanol exhibit
the highest antioxidants activities (IC50 = 64.8 102 MUg/ml). EOs showed
excellent antifungal activity against yeasts with low azole susceptibilities
(i.e. Malassezia spp. and Candida krusei). The MIC values of oils varied between
2.85 mg/mL and 27 mg/mL. The obtained results also showed that the plant extracts
inhibited the germination and the shoot and root growth of Triticum aestivum
seedlings.
PMID- 29656658
TI - Primary angiitis of the central nervous system mimicking a cerebellar tumor.
AB - Primary angiitis of the central nervous system (PACNS), is a rare and poorly
understood disease mainly characterized by multifocal segmental inflammation of
the small and medium vessels of the central nervous system. Most PACNS are
multiple lesions, occurring in the supratentorial subcortical and deep white
matter, and only a few cases present as a tumor-like mass lesion. Herein, we
describe an extremely rare case of PACNS occurred in the cerebellum, which
mimicked a cerebellar tumor. To the best of our knowledge, this is the first
reported case of cerebellar tumor-like PACNS proved by histopathological
examination.
PMID- 29656659
TI - Optimization of spray drying conditions to microencapsulate cupuassu (Theobroma
grandiflorum) seed by-product extract.
AB - Cupuassu (Theobroma grandiflorum Schum.) is a popular Amazonian fruit because of
its intense aroma and nutritional value, whose lipid fraction is alternatively
used in cosmetics. To preserve active principles and ensure their controlled
release, extract was microencapsulated by spray drying. Influence of spray-drying
conditions on microencapsulation of cupuassu seed by-product extract was
investigated according to a 33-Box Behnken factorial design, selecting inlet
temperature, maltodextrin concentration and feed flowrate as independent
variables, and total polyphenol and flavonoid contents, antiradical power, yields
of drying and microencapsulation as responses. Fitting the results by second
order equations and modelling by Response Surface Methodology allowed predicting
optimum conditions. Epicatechin and glycosylated quercetin were the major
microencapsulated flavonoids. Microparticles showed satisfactory antiradical
power and stability at 5 degrees C or under simulated gastrointestinal
conditions, thus they may be used to formulate new foods or pharmaceuticals.
PMID- 29656660
TI - Cytotoxic flavonoids from two Lonchocarpus species.
AB - A new isoflavone, 4'-prenyloxyvigvexin A (1) and a new pterocarpan, (6aR,11aR)
3,8-dimethoxybitucarpin B (2) were isolated from the leaves of Lonchocarpus
bussei and the stem bark of Lonchocarpus eriocalyx, respectively. The extract of
L. bussei also gave four known isoflavones, maximaisoflavone H, 7,2'-dimethoxy
3',4'-methylenedioxyisoflavone, 6,7,3'-trimethoxy-4',5'-methylenedioxyisoflavone,
durmillone; a chalcone, 4-hydroxylonchocarpin; a geranylated phenylpropanol,
colenemol; and two known pterocarpans, (6aR,11aR)-maackiain and (6aR,11aR)
edunol. (6aR,11aR)-Edunol was also isolated from the stem bark of L. eriocalyx.
The structures of the isolated compounds were elucidated by spectroscopy. The
cytotoxicity of the compounds was tested by resazurin assay using drug-sensitive
and multidrug-resistant cancer cell lines. Significant antiproliferative effects
with IC50 values below 10 MUM were observed for the isoflavones 6,7,3'-trimethoxy
4',5'-methylenedioxyisoflavone and durmillone against leukemia CCRF-CEM cells;
for the chalcone, 4-hydroxylonchocarpin and durmillone against its resistant
counterpart CEM/ADR5000 cells; as well as for durmillone against the resistant
breast adenocarcinoma MDA-MB231/BCRP cells and resistant gliobastoma
U87MG.DeltaEGFR cells.
PMID- 29656661
TI - High Oct4 predicted worse prognosis of right-sided colon cancer patients.
AB - AIM: This present study was aimed to compare the role of Oct4 in left-sided colon
cancer (LCC) with right-sided colon cancer (RCC). PATIENTS & METHODS: One hundred
and fifty one pathology specimens, 68 frozen-thawed tumors and cell lines were
used to evaluate the role of Oct4 in LCC and RCC through immunohistochemistry,
western blot and real-time quantitative PCR. RESULTS: In LCC, positive expression
of Oct4 was positively related to differentiation and Dukes stage (p < 0.01).
Only in RCC, Oct4 expression was also positively related to lymphatic invasion
and survival rates of 'negative group' were significantly higher. CONCLUSION: In
summary, Oct4 was related to tumor differentiation and later Dukes stage in colon
cancer, and was correlated with invasion of lymphatic only in RCC. In addition,
Oct4 was a potential prognostic indicator in RCC.
PMID- 29656662
TI - Beneficial effects of Salvia miltiorrhiza in the healing of burn wounds: an
experimental study in rats.
AB - INTRODUCTION: Burn healing is a complicated process and very few treatments can
positively alter its effects. The aim of this study was to investigate the
effects Salvia miltiorrhiza (SM -Danshen), a traditional Chinese medicine, on
burn wound healing. MATERIAL AND METHODS: Twenty rats were included in this study
and divided into two groups. 3 * 2 cm wide burn areas were created in the dorsal
skin of all the animals with thermal contact. Intraoral 1 ml/day saline and 1
g/kg/day SM were given in control and experiment groups, respectively. Fourteen
days following the burn injury burn zones were evaluated with indocyanine green
SPY imaging device, and multiple samples were collected for histopathological
evaluation. Standard photographs were taken for the evaluation of necrotic skin
areas. RESULTS: Neovascularization was increased in the SM group when compared
with the control group (p = 0.0406). SPY studies revealed a meaningful increase
in the tissue perfusion in the SM group (p = 0.0286). The average amount of
necrotic area in the control and experiment group on the postoperative 14th day
was 71.6% (+/-16.51) and 42.5% (+/-10.64) respectively (p = 0.0002). CONCLUSION:
Our study shows that SM can decrease the amount of necrosis in burn wounds by
increasing tissue perfusion and neovascularization.
PMID- 29656663
TI - Efficacy and Safety of Intranasal Esketamine for the Rapid Reduction of Symptoms
of Depression and Suicidality in Patients at Imminent Risk for Suicide: Results
of a Double-Blind, Randomized, Placebo-Controlled Study.
AB - OBJECTIVE: The authors compared the efficacy of standard-of-care treatment plus
intranasal esketamine or placebo for rapid reduction of symptoms of major
depression, including suicidality, among individuals at imminent suicide risk.
METHOD: In a double-blind, multicenter, proof-of-concept study, 68 participants
were randomly assigned to receive esketamine (84 mg) or placebo twice weekly for
4 weeks, in addition to comprehensive standard-of-care treatment. The primary
efficacy endpoint was change in score from baseline to 4 hours after initial dose
on the Montgomery-Asberg Depression Rating Scale (MADRS). Clinician global
judgment of suicide risk (from the Suicide Ideation and Behavior Assessment Tool)
was also assessed. Secondary endpoints included these measures at 24 hours and
double-blind endpoint at day 25. RESULTS: A significantly greater improvement in
MADRS score was observed in the esketamine group compared with the placebo group
at 4 hours (least-square mean difference=-5.3, SE=2.10; effect size=0.61) and at
~24 hours (least-square mean difference=-7.2, SE=2.85; effect size=0.65), but not
at day 25 (least-square mean difference=-4.5, SE=3.14; effect size=0.35).
Significantly greater improvement was also observed in the esketamine group on
the MADRS suicidal thoughts item score at 4 hours (effect size=0.67), but not at
24 hours (effect size=0.35) or at day 25 (effect size=0.29). Between-group
reductions in clinician global judgment of suicide risk scores were not
statistically different at any time point. The most common adverse events among
participants in the esketamine group were nausea, dizziness, dissociation,
unpleasant taste, and headache. CONCLUSIONS: These preliminary findings indicate
that intranasal esketamine compared with placebo, given in addition to
comprehensive standard-of-care treatment, may result in significantly rapid
improvement in depressive symptoms, including some measures of suicidal ideation,
among depressed patients at imminent risk for suicide.
PMID- 29656664
TI - Epigenetic Aging in Major Depressive Disorder.
AB - OBJECTIVE: Major depressive disorder is associated with an increased risk of
mortality and aging-related diseases. The authors examined whether major
depression is associated with higher epigenetic aging in blood as measured by DNA
methylation (DNAm) patterns, whether clinical characteristics of major depression
have a further impact on these patterns, and whether the findings replicate in
brain tissue. METHOD: DNAm age was estimated using all methylation sites in blood
of 811 depressed patients and 319 control subjects with no lifetime psychiatric
disorders and low depressive symptoms from the Netherlands Study of Depression
and Anxiety. The residuals of the DNAm age estimates regressed on chronological
age were calculated to indicate epigenetic aging. Major depression diagnosis and
clinical characteristics were assessed with questionnaires and psychiatric
interviews. Analyses were adjusted for sociodemographic characteristics,
lifestyle, and health status. Postmortem brain samples of 74 depressed patients
and 64 control subjects were used for replication. Pathway enrichment analysis
was conducted using ConsensusPathDB to gain insight into the biological processes
underlying epigenetic aging in blood and brain. RESULTS: Significantly higher
epigenetic aging was observed in patients with major depression compared with
control subjects (Cohen's d=0.18), with a significant dose effect with increasing
symptom severity in the overall sample. In the depression group, epigenetic aging
was positively and significantly associated with childhood trauma score. The case
control difference was replicated in an independent data set of postmortem brain
samples. The top significantly enriched Gene Ontology terms included neuronal
processes. CONCLUSIONS: As compared with control subjects, patients with major
depression exhibited higher epigenetic aging in blood and brain tissue,
suggesting that they are biologically older than their corresponding
chronological age. This effect was even more profound in the presence of
childhood trauma.
PMID- 29656665
TI - Prevalence and Correlates of Prescription Stimulant Use, Misuse, Use Disorders,
and Motivations for Misuse Among Adults in the United States.
AB - OBJECTIVE: The authors sought to simultaneously examine the prevalence and
correlates of prescription stimulant use, misuse, use disorders, and motivations
for misuse in the U.S. adult population. METHOD: This was a nationally
representative household population study of adults age 18 or older from the 2015
and 2016 National Surveys on Drug Use and Health (N=102,000). Measurements
included prescription stimulant use, use without misuse, misuse without use
disorders, and misuse with use disorders, as well as sociodemographic
characteristics, health conditions, and mental health factors. RESULTS: Among
U.S. adults, 6.6% (annual average) used prescription stimulants overall; 4.5%
used without misuse, 1.9% misused without use disorders, and 0.2% had use
disorders. Adults with past-year prescription stimulant use disorders did not
differ from those with misuse without use disorders in any of the examined
sociodemographic characteristics and in many of the examined substance use
problems. The most commonly reported motivations for misuse were to help be alert
or concentrate (56.3%). The most likely source of misused prescription stimulants
was by obtaining them free from friends or relatives (56.9%). More frequent
prescription stimulant misuse and use disorder were associated with an increased
likelihood of obtaining medications from physicians or from drug dealers or
strangers and less likelihood of obtaining them from friends or relatives.
CONCLUSIONS: Approximately 16.0 million U.S. adults used prescription stimulants
in the preceding year (annual average), 5.0 million misused prescription
stimulants, and 0.4 million had use disorders. Cognitive enhancement was the most
commonly reported reason for misusing prescription stimulants. Patients who are
using their medication for cognitive enhancement or diverting their medication to
others present a high risk.
PMID- 29656666
TI - Can a Framework Be Established for the Safe Use of Ketamine?
PMID- 29656667
TI - Haemodialysis at home: review of current dialysis machines.
AB - INTRODUCTION: Only a minority of patients with chronic kidney disease treated by
hemodialysis are currently treated at home. Until relatively recently, the only
type of hemodialysis machine available for these patients was a slightly smaller
version of the standard machines used for in-center dialysis treatments. Areas
covered: There are now an alternative generation of dialysis machines
specifically designed for home hemodialysis. The home dialysis patient wants a
smaller machine, which is intuitive to use, easy to trouble shoot, robust and
reliable, quick to setup and put away, requiring minimal waste disposal. The
machines designed for home dialysis have some similarities in terms of touch
screen patient interfaces, and using pre-prepared cartridges to speed up setting
up the machine. On the other hand, they differ in terms of whether they use
slower or standard dialysate flows, prepare batches of dialysis fluid, require
separate water purification equipment, or whether this is integrated, or use pre
prepared sterile bags of dialysis fluid. Expert commentary: Dialysis machine
complexity is one of the hurdles reducing the number of patients opting for home
hemodialysis and the introduction of the newer generation of dialysis machines
designed for ease of use will hopefully increase the number of patients opting
for home hemodialysis.
PMID- 29656668
TI - Effect of smoking on gene expression profile - overall mechanism, impact on
respiratory system function, and reference to electronic cigarettes.
AB - Cigarette smoke has a crucial impact on transcriptome alteration by its effect on
chromatin remodeling and DNA methylation status. The first mechanism is
associated with the histone acetylation/deacetylation balance damage as a result
of increased activity of NFKB and lipid peroxidation products, which lead to an
increased activity of HATs and DNMTs and reduced HDACs. The second mechanism is
connected with direct damaging of DNA by smoke components, activation of
downstream repair mechanism and recruitment of DNMTs into the breakage site,
'nicotine effect' and carbon monoxide (CO) activity on gene transcription and DNA
methylation reduction. Cigarette smoking activates oxidative and inflammatory
response and leads to uncontrolled structural changes in airways and alters gene
expression. Such changes have a characteristic similar to that for COPD patients.
Therefore, smoking is determined as a key risk factor for chronic respiratory
disease development. Furthermore, electronic cigarettes, an alternative of
tobacco cigarettes, also affect gene expression profile, which suggests some
similarities in action mechanisms for both conventional and electronic
cigarettes. However, there is only a limited number of trials discussing this
issue and future investigations are needed.
PMID- 29656669
TI - The effect of the facilitated tucking position on pain intensity during heel
stick blood sampling in premature infants: a surprising result.
AB - BACKGROUND: According to research findings, pain experience in neonatal period
leads to short- and long-term complications that could be prevented by means of
neonatal pain relief. Therefore, this study aimed to investigate the effect of
facilitated tucking position on neonatal pain during heel prick blood sampling.
METHODS: This is a cross over clinical trial was done on 40 premature neonates.
During blood sampling, neonates were put in either facilitated tucking or routine
positions randomly and Premature Infant Pain Profile (PIPP) was completed for
each neonate. In the next sampling time, positions were changed and the process
repeated. Data were analyzed using descriptive statistics, repeated measure
ANOVA, and independent t-test. RESULTS: Results showed that the mean pain
intensity in each position was increased during sampling (p = .0001) and after
that was decreased significantly (p = .001), but before, during, and after
sampling there was no significant difference between the two positions (p > .05).
CONCLUSIONS: Overall findings showed that comparing neonates in the two
positions; there was no significant difference in their pain intensity. These
results are almost in contrast with many researches findings in this area. It
seems there is a need to further investigation regarding this subject.
PMID- 29656670
TI - Pain and Hardship Among Older Men: Examining the Buffering Effect of Medicare
Insurance Coverage.
AB - To better understand the health status of men in the United States, this study
aimed to assess the association of hardship on the presence of and pain severity
among men 50 years of age and older. Cross-sectional multivariate logistic
regression analyses were conducted using the 2010 wave of the Health and
Retirement Study ( N = 3,174) to assess the association between four hardship
indicators and the presence of pain and pain severity among this sample of older
men. Results suggest that the association between the presence of pain and
hardship was statistically significant across all four indicators: ongoing
financial hardship (CI [1.05, 1.63], p < .05), difficulty paying bills (CI [1.42,
3.02], p < .001), food insecurity (CI [1.46, 3.15], p < .001), and not taking
medication due to cost (CI [1.06, 1.66], p < .05), even after adjusting for all
demographic factors. The associations between pain severity and ongoing financial
strain (CI [1.23, 2.83], p < .01) and difficulty paying bills (CI [1.02, 3.18], p
< .05) were statistically significant. Results also indicate that education was a
buffer at all levels. In addition, the interactive effect of hardship and
Medicare insurance coverage on pain severity was significant only for ongoing
financial strain (CI [1.74, 14.33], p > .001) and difficulty paying bills (CI
[1.26, 7.05], p < .05). The evidence is clear that each hardship indicators is
associated with the presence of pain and across some of the indicators in pain
severity among men aged 50 and older. In addition, these findings stress the
importance that Medicare insurance plays in acting as a buffer to alleviate some
of the hardships experienced by older men. These findings also highlight the
association between the presence of pain and pain severity for the overall
quality of life, health outcomes, and financial position of men in later life.
PMID- 29656671
TI - The Reliable Change Index (RCI) of the WHO-5 in primary prevention of mental
disorders. A measurement-based pilot study in positive psychiatry.
AB - INTRODUCTION: Primary prevention of mental disorders is a major issue in positive
psychiatry. Adjustment disorder is one of the very few discrete mental disorders
linked to an etiological factor, namely psychosocial stressors given rise to a
maladaptive reaction with a course of symptoms vanishing with the removal of the
stressor. We have focused on a measurement-based method to prevent the
development of an adjustment disorder. AIM: The aim of this study has been to
analyze from an ongoing Worklife Barometer Survey in which the World Health
Organization Well-Being Scale (WHO-5) has been applied to prevent distress
leading to an adjustment disorder. METHODS: Persons identified with a decrease of
15 points in their repeatedly WHO-5 ratings over three months were through a
brief psychological intervention by experienced psychologists. The Reliable
Change Index (RCI) was used to determine the clinically meaningful change in the
WHO-5 ratings. RESULTS: Within the group who received the psychological
intervention (N = 1338), 35% of the persons were identified by the RCI analysis
to have developed a clinically reliable change in the WHO-5 at the time of the
intervention. The remaining 65% of the persons obtained changes in the WHO-5
which might be considered as spontaneous fluctuations. In the month after the
intervention, the persons with a clinically reliable change in the WHO-5 were
restored. CONCLUSION: In this measurement-based pilot study, the repeatedly WHO-5
ratings identified a group of persons with a clinically reliable change in WHO-5
and a clinically significant improvement after a brief psychological
intervention.
PMID- 29656673
TI - We should focus more on finding therapeutic targets for the non-inflammatory
damage in MS - Yes.
PMID- 29656672
TI - Screening of in vitro antimicrobial activity of plants used in traditional
Indonesian medicine.
AB - CONTEXT: In many regions of Indonesia, there are numerous traditional herbal
preparations for treatment of infectious diseases. However, their antimicrobial
potential has been poorly studied by modern laboratory methods. OBJECTIVE: This
study investigates in vitro antimicrobial activity of 49 ethanol extracts from 37
plant species used in Indonesian traditional medicine for treatment against
Candida albicans, Enterococcus faecalis, Escherichia coli, Pseudomonas aeruginosa
and Staphylococcus aureus. MATERIALS AND METHODS: The plants were collected from
the Biopharmaca collection garden, Bogor, Indonesia. The plant material was
dried, finely grounded, extracted using ethanol, concentrated, and the dried
residue was dissolved in 100% DMSO. Antimicrobial activity was determined in
terms of a minimum inhibitory concentration (MIC) using a broth microdilution
method in 96-well microplates. RESULTS: The extract of Orthosiphon aristatus
(Blume) Miq. (Lamiaceae) leaf produced the strongest antimicrobial effect,
inhibiting the growth of C. albicans (MIC 128 MUg/mL), S. aureus (MIC 256
MUg/mL), E. faecalis (MIC 256 MUg/mL) and P. aeruginosa (MIC 256 MUg/mL). The
leaf extract of Woodfordia floribunda Salisb. (Lythraceae) also exhibited
significant effect against C. albicans (MIC 128 MUg/mL), S. aureus (MIC 256
MUg/mL) and E. faecalis (MIC 256 MUg/mL). Rotheca serrata (L.) Steane & Mabb.
(Lamiaceae) leaf extract inhibited the growth of S. aureus (MIC 256 ug/mL) and C.
albicans (MIC 256 ug/mL). DISCUSSION AND CONCLUSIONS: The leaf extract of O.
aristatus and W. floribunda exhibited a significant anti-candidal effect.
Therefore, both of these plants can serve as prospective source materials for the
development of new anti-candidal agents.
PMID- 29656674
TI - Psycho-social approach of perinatal palliative care.
PMID- 29656675
TI - Professional burnout among medical students: Systematic literature review and
meta-analysis.
AB - BACKGROUND: This systematic review and meta-analysis aim to summarize the
available evidence on the prevalence of professional burnout among medical
students. METHODS: The review was performed according to the PRISMA guidelines.
Databases were systematically searched for peer-reviewed articles, reporting
burnout among medical students published between 2000 and 2017. The meta-analysis
was conducted on the available data on burnout rates in medical students measured
with the Maslach Burnout Inventory (MBI-HSS). RESULTS: Fifty-eight out of 3006
studies were found eligible for inclusion. Twelve of these studies met the
criteria for meta-analysis. Weighted mean values for the three sub-dimensions of
the MBI-HSS were M = 22.93 (SD = 10.25) for Emotional Exhaustion, M = 8.88 (SD =
5.64) for Depersonalization, and M = 35.11 (SD = 8.03) for Personal
Accomplishment. Prevalence rates for professional burnout ranged from 7.0% to
75.2%, depending on country-specific factors, applied instruments, cutoff
criteria for burnout symptomatology. CONCLUSION: This review underlines the
burden of burnout among medical students. Future research should explicitly focus
on specific context factors and student group under investigation. Such efforts
are necessary to control for context-dependent confounders in research on medical
students' mental health impairment to enable more meaningful comparisons and
adequate prevention strategies.
PMID- 29656677
TI - Elevated levels of the circulatory ischemia-modified albumin in patients with
polycystic ovary syndrome: a meta-analysis.
AB - Oxidative stress (OS) has been reported to be associated with the pathogenesis of
polycystic ovary syndrome (PCOS). Ischemia-modified albumin (IMA) levels in the
circulation have been recently studied as a novel marker of OS. The studies in
the literature on IMA levels in PCOS are inconsistent. This meta-analysis was
conducted to compare circulatory IMA levels between PCOS patients and non-PCOS
controls. Relevant studies were retrieved by online database and manual
searching. The standardized mean differences (SMDs) with 95% confidence intervals
(CIs) were obtained by a random-effects meta-analysis. The funnel plot analysis
with Begg's and Egger's tests was used for publication bias. A total of nine
studies were included in this meta-analysis. The results indicated that the serum
IMA levels were significantly elevated in PCOS patients as compared to non-PCOS
controls (SMD = 0.49, 95% CI = 0.23-0.75, Z = 3.75, p = .0002). A one-study leave
out sensitivity analysis indicated that no single study had a significant
influence on the overall outcome, suggesting the good validity and stability of
these meta-analytic results. There was no evidence of publication bias as
evidenced by the Egger (p = .28) and Begg's tests (p = .21). The present meta
analysis suggests that IMA might be considered as a reliable and novel marker
reflecting increased OS in PCOS.
PMID- 29656676
TI - Cell penetrating peptides: a comparative transport analysis for 474 sequence
motifs.
AB - Delivering reagents into cells is a key demand in molecular medicine. The vehicle
of choice is often cell penetrating peptides (CPPs), which can ferry conjugated
cargo across membranes. Although numerous peptides have been shown to promote
such uptake events, there has been no comprehensive comparison of individual
performance under standardized conditions. We have devised a method to rapidly
analyze the ability of a multitude of CPP conjugates to carry a model cargo into
HeLa cells. Sequence information for 474 CPPs was collected from literature
sources, and the respective peptides were synthesized and modified with
carboxyfluorescein (FAM) as model cargo. All candidates were evaluated in an
identical uptake test, and transport was quantified using cellular fluorescence
intensities. Substantial differences in the ability to carry the fluorophore into
the cells were observed, with transport performance differing by a factor of 70
between the best CPP investigated and cargo alone. Strong correlations were
observed between uptake efficiency and both sequence length and the presence of
positive net charge. A compilation of the 20 top performers with regard to cargo
delivery performance and cell compatibility is provided.
PMID- 29656678
TI - Validation of the MedUseQ: A Self-Administered Screener for Older Adults to
Assess Medication Use Problems.
AB - BACKGROUND: Older adults taking multiple prescription and nonprescription drugs
are at risk for medication use problems, yet there are few brief, self
administered screening tools designed specifically for them. OBJECTIVE: The study
objective was to develop and validate a patient-centered screener for community
dwelling older adults. METHODS: In phase 1, a convenience sample of 57
stakeholders (older adults, pharmacists, nurses, and physicians) participated in
concept mapping, using Concept System(r) Global MAXTM, to identify items for a
questionnaire. In phase 2, a 40-item questionnaire was tested with a convenience
sample of 377 adults and a 24-item version was tested with 306 older adults, aged
55 and older, using Rasch methodology. In phase 3, stakeholder focus groups
provided feedback on the format of questionnaire materials and recommended
strategies for addressing problems. RESULTS: The concept map contained 72
statements organized into 6 conceptual clusters or domains. The 24-item screener
was unidimensional. Cronbach's alpha was .87, person reliability was acceptable
(.74), and item reliability was high (.96). CONCLUSION: The MedUseQ is a
validated, patient-centered tool targeting older adults that can be used to
assess a wide range of medication use problems in clinical and community settings
and to identify areas for education, intervention, or further assessment.
PMID- 29656679
TI - Three-dimensional reconstruction of fetal abnormalities using ultrasonography and
magnetic resonance imaging.
AB - OBJECTIVE: We aimed to compare three-dimensional (3D) fetal malformation images
obtained using ultrasonography (US) and magnetic resonance imaging (MRI) on the
same day during the third trimester of pregnancy. METHODS: Total 33 fetuses were
selected from cases evaluated for malformations. Morphological abnormalities were
first scanned using 3DUS. MRI was used to confirm the previous preliminary 3DUS
findings, and diagnoses were confirmed postnatally. 3DUS scans were performed
transabdominally using an Rab (4-8 L) probe, Voluson 730 Pro/Expert and E8
(General Electric, Healthcare, Zipf, Austria). MRI was performed using a 1.5-T
scanner (Magneton Avanto, Siemens, Erlangen, Germany) with a body coil. The 3D
reconstruction of the structure of interest was manually performed from a True
FISP sequence using an interactive pen tablet (Syngo multimodality 2009B,
Siemens, Erlangen, Germany). RESULTS: Despite recent advancements in 3DUS, the
quality of 3D images obtained from MRI was superior during the third trimester.
3DUS had certain limitations, such as being influenced by the fetal position, the
volume of amniotic fluid, and maternal obesity. Fetal movements during image
acquisition were one of the main challenges for MRI. CONCLUSION: The quality of
the 3D images obtained using MRI was superior to that of images obtained using US
during the third trimester of pregnancy.
PMID- 29656680
TI - Contraction frequency after administration of misoprostol in obese versus
nonobese women.
AB - OBJECTIVE: To examine impact of obesity on contraction frequency following
misoprostol. Our hypothesis is that an increased volume of distribution reduces
the bioavailability of misoprostol and may be an explanation for reduced
efficacy. We examined the contraction frequency as a surrogate marker for
bioavailability of misoprostol. STUDY DESIGN: We compared the rate of
contractions at five time intervals in 313 subjects: prior to administration, and
at four intervals post administration. We compared number of contractions in
obese versus nonobese. As a planned secondary analysis, we then compared the rate
of change in contractions per hour at four time intervals: a repeated measures
analysis to compare the rate of change in contractions per hour over the 5-hour
window controlling for race (White versus non-White) and parity (primiparous
versus multiparous). General linear model and repeated measures analysis were
conducted to report the parameter estimates, least square means, difference of
least square means, and p values. RESULTS: Nonobese women presented with more
contractions at baseline, 7 +/- 5 versus 4 +/- 5 c/h, p < .001. At all four time
intervals after misoprostol administration obese women had fewer contractions per
hour. The rate of change in contraction frequency after administration found
obese women had a lower rate of increase in contraction frequency over the course
of all four hours. We found a least squares means estimate (c/h): first hour (
0.87), p = .08, second hour (-2.43), p = .01, third hour (-1.80), p = .96, and
fourth hour (-2.98), p = .007. CONCLUSIONS: Obese women have a lower rate of
contractions per hour at baseline and at four intervals after misoprostol
administration. In addition, the rate of change in the increase in
contractions/hour also was reduced in obese women versus nonobese women. This
suggests a lower bioavailability of misoprostol in women with a larger volume of
distribution which would likely impact the efficacy of misoprostol in obese women
when given the same dose of misoprostol. It is unknown if higher misoprostol
dosing would increase efficacy of misoprostol in obese women.
PMID- 29656681
TI - Progress with modeling activity landscapes in drug discovery.
AB - INTRODUCTION: Activity landscapes (ALs) are representations and models of
compound data sets annotated with a target-specific activity. In contrast to
quantitative structure-activity relationship (QSAR) models, ALs aim at
characterizing structure-activity relationships (SARs) on a large-scale level
encompassing all active compounds for specific targets. The popularity of AL
modeling has grown substantially with the public availability of large activity
annotated compound data sets. AL modeling crucially depends on molecular
representations and similarity metrics used to assess structural similarity.
Areas covered: The concepts of AL modeling are introduced and its basis in
quantitatively assessing molecular similarity is discussed. The different types
of AL modeling approaches are introduced. AL designs can broadly be divided into
three categories: compound-pair based, dimensionality reduction, and network
approaches. Recent developments for each of these categories are discussed
focusing on the application of mathematical, statistical, and machine learning
tools for AL modeling. AL modeling using chemical space networks is covered in
more detail. Expert opinion: AL modeling has remained a largely descriptive
approach for the analysis of SARs. Beyond mere visualization, the application of
analytical tools from statistics, machine learning and network theory has aided
in the sophistication of AL designs and provides a step forward in transforming
ALs from descriptive to predictive tools. To this end, optimizing representations
that encode activity relevant features of molecules might prove to be a crucial
step.
PMID- 29656682
TI - Potential utility of core needle biopsy in the diagnosis of IgG4-related
dacryoadenitis and sialadenitis.
PMID- 29656683
TI - Clinicopathologic analysis of 2736 salivary gland cases over a 11-year period in
Southwest China.
AB - OBJECTIVE: To investigate the epidemiological and clinicopathological
characteristics of salivary gland tumors in southwest China in order to provide
data for clinical diagnosis and other similar research. METHODS: Between March
2007 and December 2017, 2736 patients with salivary gland tumors were recruited,
the clinical and pathological data were retrospectively analyzed. RESULTS: A
total of 2736 patients had a ratio of males to females of about 1.02:1. The ratio
of benign to malignant tumors was 3.46:1. Pleomorphic adenoma and adenoid cystic
carcinoma had 50.8% and 7.2%, respectively. About 65.4% tumors occurred in the
parotid gland. There was no significant difference between the tumor in the left
or right parotid and the use of cell phones. There were significant differences
between gender and both the characteristics and locations of salivary gland
tumors (p < .05). There were also significant differences between the
pathological characteristics and location of the salivary gland (p < .05).
CONCLUSIONS: The salivary gland benign and malignant tumors were more common in
pleomorphic adenoma and adenoid cystic carcinoma, most occurred in the parotid
gland. The minor gland tumors are lower than other parts of China. The incidence
of parotid gland tumors is not related to the use of cell phones.
PMID- 29656684
TI - Nationwide survey of severe postpartum hemorrhage in Japan: an exploratory study
using the national database of health insurance claims.
AB - AIM: The aim of this study was to investigate epidemiological and clinical
aspects of severe postpartum hemorrhage (PPH) in Japan. METHODS: We used national
health insurance claims from 2011 to 2014 provided by the Ministry of Health,
Labour and Welfare. The data included randomly selected claims that covered 10%
of all inpatients in October, a so-called sampling dataset (covering 1/120
inpatients per year). We extracted claims for transfused blood, and further
narrowed down the claims by names of diseases linked to PPH. As most referral
obstetric facilities have adopted the diagnosis procedure combination (DPC)-based
payment system while small-scale obstetric facilities have not (non-DPC
facilities), the claims were also analyzed separately for DPC and non-DPC
facilities. We assessed the incidence and causes of PPH, transfusion volume of
red blood cells (RBC) and fresh frozen plasma (FFP), and surgical hemostatic
management. RESULTS: The number of PPH cases that required blood transfusion in
the sampling dataset was 29, 29, 32, and 36 in 2011, 2012, 2013, and 2014,
respectively. The leading cause of PPH was uterine atony followed by placental
abruption. Although no specific trends were observed for the volume of transfused
RBC (1467 +/- 234 ml in 2014), there was a steady increase in the rate of FFP
utilization in non-DPC facilities from 37% to 79% over the 4-year sampling
period. Intrauterine balloon tamponade emerged in 2014. CONCLUSION: This
nationwide survey indicates that the annual incidence of severe PPH is
increasing. Furthermore, FFP has become more prevalent in small-scale obstetric
facilities.
PMID- 29656685
TI - Maternal hepatitis C (HCV) infection and Anti-D immunoglobulin therapy: study
testing antibodies, RNA and Genotype of HCV in Baghdad.
AB - INTRODUCTION: Hepatitis C virus (HCV) infection is a serious health problem. It
is a major contributor to end-stage liver disease. Worldwide, 1-8% of all
pregnant women were infected. Women with viral hepatitis may be at an increased
risk of pregnancy complications. There are several obstetrics intervention acts
as risk factors, which are specific to women pertaining the HCV infection; anti-D
immunoglobulin (Ig) therapy may be one of them. Our objectives were to estimate
the prevalence of HCV antibodies (anti-HCV), RNA, and genotype distribution among
women with anti-D Ig therapy. MATERIALS AND METHODS: A cross sectional study was
conducted. A sample of 154 Rhesus negative (Rh - ve) pregnant women regardless of
the anti-D Ig therapy was collected. Anti-HCV were tested using third generation
enzyme immunoassay (EIA-3) and immunoblot assay (Lia Tek-111), subsequently. In
addition, 89 serum samples were subjected to molecular analysis using RT-PCR and
DNA enzyme immunoassay (DEIA) method for the detection of HCV-RNA and genotypes.
RESULTS: Anti-HCV, and HCV-RNA seroprevalence were significantly higher (17.1,
35.5%) among women with anti-D Ig than their counter group (6.4, 13.16%), p =
.038, .018, respectively. Significant direct positive dose response correlation
(r = 0.78, p = .005) had been seen between number of anti-D Ig therapy and anti
HCV seropositive rate. Anti-D Ig therapy act as a risk factor (odds ratio (OR) =
3.01, 95%CI: 1.01-8.9) especially from the third dose onward. Women with anti-D
Ig therapy were at higher risk (3.6 times more) of positive HCV-RNA (OR =3.6,
95%CI =1.19-10.837). Genotype HCV-1b showed higher prevalent (52.9%) among the
recipients of anti-D Ig therapy while genotype HCV-3a (6.6%) was the lowest.
CONCLUSIONS: Our study showed that Anti-D immunoglobulin therapy acts as a risk
factor for acquiring HCV infection. Screening for HCV should be recommended for
all recipients of anti-D Ig. Not only HCV antibodies but HCV-RNA detection being
recommended for the diagnosis of HCV infection. A brief rational: Pregnant women
with HCV infection are at risk of adverse obstetric outcome. Anti-D Ig therapy
may be a risk factor for HCV infection. Hence, we conducted a cross sectional
study with the objectives to estimate the prevalence of HCV antibodies (anti
HCV), RNA, and genotype distribution among women with anti-D Ig therapy. We found
that anti-HCV and HCV-RNA seroprevalence were significantly higher in women with
anti-D Ig. In addition, women with anti-D Ig therapy were 3.6 times more at risk
of positive HCV-RNA with genotype HCV-1b showed higher prevalence. Therefore,
anti-D Ig therapy is a risk factor for acquiring HCV infection and we recommend
screening for HCV for all recipients of anti-D Ig. In addition, the diagnosis of
HCV infection, should be made with HCV antibodies and HCV-RNA detection.
PMID- 29656687
TI - Longitudinal evaluation of ventricular ejection fraction and NT-proBNP across
heart failure subgroups.
AB - OBJECTIVES: Left ventricular ejection fraction (EF) and N-terminal pro-B-type
natriuretic peptide (NT-proBNP) are important surrogate markers of cardiac
function and wall stress. Randomized trials of heart failure (HF) have shown
improvements in survival in patients with reduced EF (<40%, HFrEF) but not with
preserved EF (>=50%, HFpEF) or mid-range EF (40-49%, HFmrEF). Limited information
is available on the trajectory of EF in contemporary heart failure management
programs (HFMPs). DESIGN: 201 HF patients consecutively enrolled 2010-2011 in the
outpatient-based HFMP of Skane University Hospital in Lund were included in the
study. Probable etiology, EF, NT-proBNP and medications were assessed at baseline
and 1 year after enrollment. RESULTS: HFrEF was the most common heart failure
subgroup (78.1% of patients) in this HFMP, followed by HFmrEF (14.9%) and HFpEF
(7.0%). The most common etiology was ischemic heart disease (IHD, 40.8%).
Complete recovery of EF (>50%) was rare (14.1% of patients with HFrEF and 26.7%
with HFmrEF), some degree of improvement was observed in 57.7% and 46.7% of
patients. LVEF improved on average 9.1% in patients with HFrEF (p < .001) and NT
proBNP decreased from 4,202 to 2,030 pg/ml (p < .001). A similar trend was
noticed for the HFmrEF group but was not statistically significant. The
improvement in LVEF was consistent across subgroups with HF attributable to IHD
(6.2%), idiopathic dilated cardiomyopathy (7.1%) and tachycardia-induced HF
(17.5%). CONCLUSIONS: This study provides estimates of the improvement in LVEF
and NT-proBNP that can be expected with contemporary management across subgroups
of HF and different etiologies in a contemporary HFMP.
PMID- 29656688
TI - Sensory preservation in neck dissection: outcomes of a sub-sternocleidomastoid
approach.
AB - OBJECTIVE: Cutaneous anesthesia in early postoperative period is common after
neck dissection even if the cervical nerve (CN) rootlets are preserved. The aim
of this study was to evaluate if the preservation of the terminal branches of CNs
using sub-sternocleidomastoid (SCM) approach combined with medially placed skin
incision can prevent early postoperative anesthesia. MATERIAL AND METHODS: A
retrospective chart review was performed on 129 neck dissections in 87 head and
neck cancer patients. RESULTS: The early postoperative sensory preservation rates
for the ear tab, submandibular, lateral neck, and sub-clavicular areas of CN
rootlet-preserved necks (n = 86) were 75.6%, 20.9%, 74.4%, and 86.0%,
respectively, compared with 37.2%, 2.3%, 2.3%, and 4.7%, respectively, in CN
rootlet-resected necks (n = 43). In CN rootlet-preserved necks, the sub-SCM
approach (n = 54) showed 81.5%, 27.8%, 92.6%, and 94.4% preservation rates,
respectively, compared with 65.6%, 9.4%, 43.8%, and 71.9%, respectively, using
the conventional subplatysmal approach (n = 32). The rates were significantly
better in the submandibular, lateral neck, and sub-clavicular areas after sub-SCM
approach. CONCLUSIONS: Preservation of CN rootlets is a required element for
sensory preservation in neck dissection. The sub-SCM approach can effectively
prevent early postoperative cutaneous anesthesia following CN-preserving neck
dissection.
PMID- 29656686
TI - Lipopolysaccharide from Rhodobacter sphaeroides (TLR4 antagonist) attenuates
hypersensitivity and modulates nociceptive factors.
AB - CONTEXT: Accumulating evidence has demonstrated that Toll-like receptors (TLRs),
especially TLR4 localized on microglia/macrophages, may play a significant role
in nociception. OBJECTIVE: We examine the role of TLR4 in a neuropathic pain
model. Using behavioural/biochemical methods, we examined the influence of TLR4
antagonist on levels of hypersensitivity and nociceptive factors whose
contribution to neuropathy development has been confirmed. MATERIALS AND METHODS:
Behavioural (von Frey's/cold plate) tests were performed with Wistar male rats
after intrathecal administration of a TLR4 antagonist (LPS-RS ULTRAPURE (LPS
RSU), 20 MUG: lipopolysaccharide from Rhodobacter sphaeroides, InvivoGen, San
Diego, CA) 16 H and 1 h before chronic constriction injury (cci) to the sciatic
nerve and then daily for 7 d. three groups were used: an intact group and two cci
exposed groups that received vehicle or LPS-RSU. tissue [spinal cord/dorsal root
ganglia (DRG)] for western blot analysis was collected on day 7. RESULTS: The
pharmacological blockade of TLR4 diminished mechanical (from ca. 40% to 16% that
in the INTACT group) and thermal (from ca. 51% to 32% that in the INTACT group)
hypersensitivity despite the enhanced activation of IBA-1-positive cells in DRG.
Moreover, LPS-RSU changed the ratio between IL-18/IL-18BP and MMP-9/TIMP-1 in
favour of the increase of antinociceptive factors IL-18BP (25%-spinal; 96%-DRG)
and TIMP-1 (15%-spinal; 50%-DRG) and additionally led to an increased IL-6 (40%
spinal; 161%-DRG), which is known to have analgesic properties in neuropathy.
CONCLUSIONS: Our results provide evidence that LPS-RSU influences pain through
the expression of TLR4. TLR4 blockade has analgesic properties and restores the
balance between nociceptive factors, which indicates its engagement in neuropathy
development.
PMID- 29656689
TI - Risk factors for postpartum urinary retention after vaginal delivery at term
without epidural anesthesia.
AB - OBJECTIVE: We performed a retrospective case-control study of vaginal delivery at
term without epidural anesthesia to identify clinical predictions of postpartum
urinary retention (PUR). METHODS: We reviewed the obstetric records of all
singleton vaginal deliveries at Japanese Red Cross, Katsushika Maternity Hospital
form January 2016 through December 2017. RESULTS: There were 58 women (2.4%)
complicated by PUR and 2391 women without PUR. A multivariate analysis revealed
nulliparity, instrumental delivery, and episiotomy as independent risk factors
for PUR (nulliparity: adjusted OR 2.39, 95%CI 1.2-4.8, p = 0.01; instrumental
delivery: 3.53, 95%CI 1.9-6.7, p < .01, episiotomy: adjusted OR 1.96, 95%CI 1.0
3.8, p = .04). While, urination (or urethral catheterization) within 1 hour
before delivery revealed as independent prevention factor for PUR (adjusted OR
0.54, 95%CI 0.30-0.99, p = .048). CONCLUSIONS: The risk factors identified in our
institute seemed to approximately similar to those observed in the institutes
capable of performing epidural anesthesia. In addition, urination just before
delivery seemed to be an independent prevention factor for PUR.
PMID- 29656690
TI - Maternal blood endotoxin activity in pregnancies complicated by preterm premature
rupture of membranes.
AB - OBJECTIVE: To compare maternal blood endotoxin activity (EA) in women with
preterm premature rupture of membranes (PPROM) with gestational age (GA) matched
controls; to evaluate serial EA till birth in PPROM and its correlation with
latency to delivery. METHODS: We followed singleton preterm pregnancies from
admission with PPROM until birth. Uncomplicated, GA-matched pregnancies served as
controls. Demographics, birth and neonatal outcomes were collected. EA (EAATM)
was assessed serially in PPROM and at study entry in controls. EA was compared
using Mann Whitney and Wilcoxon tests, p value <.05 was considered significant.
RESULTS: We recruited 20 cases of PPROM and 20 controls. Demographics were
similar between groups. Mean GA of PPROM was 29.0 +/- 2.2 weeks and median
latency was 7.5 (IQR 14.1) weeks. Median EA at admission following PPROM was
significantly elevated over controls (0.43 (0.18) versus 0.36 (0.2); p < .02).
Overall there was no difference in median EA at admission and in labor (0.43
(0.18) versus 0.33 (0.21); p = .2) following PPROM. However, on comparing cases
with latency to delivery <=7 days (n = 10) versus >7 days (n = 10), there was a
significant drop in EA in the latter group (0.44 (0.2) versus 0.34 (0.2); p <
.004). CONCLUSIONS: EA in PPROM represents a promising biomarker in predicting
the clinical evolution of preterm birth.
PMID- 29656691
TI - We should focus more on finding therapeutic targets for the non-inflammatory
damage in MS - No.
PMID- 29656692
TI - General practitioners' prescriptions of benzodiazepines, Z-hypnotics and opioid
analgesics for elderly patients during direct and indirect contacts. A cross
sectional, observational study.
AB - OBJECTIVE: To describe Norwegian general practitioners' (GPs') prescription
patterns of benzodiazepines, Z-hypnotics and opioid analgesics (BZO-drugs) to
elderly (>=70 years) patients. DESIGN, SUBJECTS AND SETTING: Cross sectional,
observational study. Contact- and prescription data from 148 Norwegian GPs,
issued for elderly patients during eight months in 2008. GP-patient contacts were
categorized as direct contacts (DC: face-to-face consultations) or indirect
contacts (IC: via third party, phone or mail). Explanatory variables were
characteristics linked to the GPs, patients, and practices. During analyses, GPs'
number of listed patients, share of which for elderly patients, and total number
of patient consultations during the period (proxy for practice activity), were
categorized in quintiles (Q1-5) by number of GPs. MAIN OUTCOME MEASURES: Number
of BZO-drug prescriptions and quantities issued during direct- and indirect GP
patient contacts. RESULTS: In total, 62% of BZO-prescriptions were issued during
ICs. Of all prescriptions, 66% were large quantum packages (50 tablets or more),
62% out of which were prescribed during ICs. During the study period, 50% of the
patients received repeat prescriptions. Prescribing during ICs was associated
with low over all practice activity (Q1) and many (Q5) older patients on the GP's
lists. CONCLUSION: GPs' BZO-drug prescribing to elderly occur more frequently
during ICs than within DCs, and are more commonly issued as large quantity
packages. This indicates that regular- or long-term use among elderly is common,
contrasting with previous and current national guidelines, which recommend
regular clinical assessments and short time or intermittent use of BZO-drugs. Key
Points GPs frequently prescribe benzodiazepines, Z-hypnotics and opioid (BZO)
drugs for elderly people. BZO-drugs are frequently issued during indirect GP
patient contacts and in relatively large quantities, indicating regular or long
term use. GPs' BZO-drug prescribing patterns contrast with national guidelines
recommending clinical assessment and short time or intermittent use of BZO-drugs.
PMID- 29656693
TI - The effect of first clinical assignments on prelicensure nursing students'
attitudes toward older people: an observational study.
AB - BACKGROUND: With the global population growth of older people, health care needs
nurses interested in caring for this population. Studies have found a
relationship between attitudes toward older people and career choices. AIM: The
aim of this study was to determine the effect first clinical experiences had on
nursing students' attitudes toward older people. DESIGN/METHOD: Using an
observational research design, first-semester nursing students (n = 53) from the
United States were assigned to one of two groups based on sequencing of clinical
assignments. Participants completed the Refined Aging Semantic Differential scale
three times their first semester. RESULTS: Students with exposure to long-term
and then the acute care setting showed linear improvement in their attitudes
toward older people. In addition, a significant improvement in attitudes over
time was found (F[2, 96] = 3.56, p = .032) at two time measurements. CONCLUSION:
This study showed that novice students may not recognize the differences in acute
and long-term nursing care. Results may assist nursing educators designing
curricula.
PMID- 29656694
TI - Pre-specialization - Considerations for more focused and personalized educational
modules in the twenty-first century.
AB - BACKGROUND: This essay provides a multidisciplinary discussion of the current
medical education curriculum and the increasing need to adapt it to our rapidly
evolving and expanding healthcare environment. METHODS: Thorough literature
search on the topic of medical school curriculum, ranging from its historical
origins to contemporary practice as well as statistics, was conducted. RESULTS:
The authors give a brief historical overview of and rationale behind the current
structure of the medical education system in America. The recent trends towards
information overload and rapid evolution of the evidence-base are discussed.
Specialization, as a means of responding to the burgeoning abundance of
information in medicine, is described. The authors further provide current as
well as foreseeable limitations of today's medical training paradigm as the trend
towards specialization continues. The potential negative influences of a
specialist-focused training paradigm on the overall length of training and the
degree of autonomy exercised by generalists are described. A proposal toward pre
specialization at the level of medical school is introduced and elaborated upon.
The authors incorporate social psychology principles and analyze trends toward
career specialization, highlighting potential benefits to a different-size-for
all approach. The importance of optionality and flexibility of such a curriculum
is emphasized. CONCLUSIONS: The authors describe the inevitable trend toward
specialization, and the need to fundamentally re-configure American medical
education system to behoove trainees' experiences and quality of training during
the 21st century.
PMID- 29656695
TI - ?
PMID- 29656697
TI - We should focus more on finding therapeutic targets for the non-inflammatory
damage in MS - Commentary.
PMID- 29656696
TI - The impact of changes in leisure time physical activity on changes in
cardiovascular risk factors: results from The Finnmark 3 Study and SAMINOR 1,
1987-2003.
AB - OBJECTIVE: The aim of this study was to examine the associations between changes
in leisure time physical activity and changes in cardiovascular risk factors over
16 years and whether they differ between two ethnic groups in Norway. METHODS:
Data were extracted from two population-based studies. Altogether, 3671 men and
women participated in both surveys, and 30% reported being of Sami ethnicity.
Leisure time physical activity was self-reported, and cardiovascular risk factors
were measured. ANCOVA analysis was used to examine associations between changes
in physical activity and changes in cardiovascular risk factors. RESULTS: After
adjustment for age, sex, smoking, ethnicity and respective baseline values,
favourable changes in body mass index (BMI) and levels of triglycerides were most
pronounced in those who were active in both surveys (p < 0.05) whereas the
opposite was the situation for cholesterol levels (p = 0.003). Changes in
systolic blood pressure, diastolic blood pressure and resting heart rate were not
significantly associated with change in physical activity. Ethnicity did not
influence the associations between physical activity and cardiovascular risk
factors. CONCLUSION: Traditional cardiovascular risk factors were to a small
extent associated with change in leisure time physical activity. Persistent
physical activity was associated with beneficial changes in BMI and
triglycerides.
PMID- 29656698
TI - Association Between a Melatonin Receptor 1B Genetic Polymorphism and Its Protein
Expression in Gestational Diabetes Mellitus.
AB - AIMS: This study was conducted to investigate the relationship between a genetic
polymorphism and the expression of melatonin receptor 1B (MTNR1B) in the placenta
of Han Chinese women with gestational diabetes mellitus (GDM). METHODS: In this
study, 215 patients with GDM and 243 healthy controls were genotyped using direct
sequencing for the MTNR1B single-nucleotide polymorphism rs10830963. The
expression of MTNR1B in placenta was detected by immunohistochemistry and Western
blotting. The association of rs10830963 with the expression of MTNR1B, plasma
glucose, and insulin levels as well as blood lipid levels was investigated.
RESULTS: The genotype and allele frequencies of rs10830963 were significantly
different between women with GDM and controls ( P < .05). Fasting blood glucose,
fasting insulin, and homeostasis model assessment for insulin resistance in women
with GDM with the GG and GC genotypes were significantly higher than those with
the CC genotype ( P < .05). The expression level of MTNR1B in placenta was
significantly higher in the GDM group than in the control group ( P < .05). The
expression of MTNR1B was significantly higher in all participants with the GG and
GC genotypes (1.31 [0.74]) than in pregnant women with the CC genotype (0.92
[0.52], P < .05). CONCLUSIONS: The genetic polymorphism rs10830963 in MTNR1B and
its protein expression levels in placenta are associated with an increased risk
of developing GDM. Furthermore, rs10830963 may tag a molecular mechanism leading
to insulin resistance in Han Chinese women with GDM.
PMID- 29656699
TI - Hybrid polycaprolactone/polyethylene oxide scaffolds with tunable fiber surface
morphology, improved hydrophilicity and biodegradability for bone tissue
engineering applications.
AB - In the present study, we attempt to modify Polycaprolactone (PCL) by blending it
with a water soluble polymer Polyethyleneoxide (PEO) having two different
molecular weights (Mv ~1,00,000 and 6,00,000) using electrospinning technique.
The effect of PEO molecular weight and blend ratio on fiber morphology, porosity,
surface wettability, static and dynamic mechanical properties of PCL was
investigated. In vitro degradation studies in phosphate buffer saline (PBS) at 37
degrees C demonstrated formation of pores on fiber surface especially in blend
scaffolds with 50:50 ratios. In vitro studies using human osteoblast sarcoma
(hOS) cell lines on blend scaffolds showed improved cellular response with good
cell adhesion, viability and proliferation. The study revealed that incorporation
of PEO on PCL scaffolds complemented the properties of PCL and facilitated
fabrication of scaffolds with improved hydrophilicity, mechanical property and
tunable degradation profile with better cell viability which makes it an ideal
candidate for bone tissue engineering applications.
PMID- 29656700
TI - HIF-2alpha-ILK Is Involved in Mesenchymal Stromal Cell Angiogenesis in Multiple
Myeloma Under Hypoxic Conditions.
AB - Mesenchymal stromal cells are proven to be likely induce the angiogenic response
in multiple myeloma and thus represent an enticing target for antiangiogenesis
therapies for multiple myeloma. Substantial evidence indicates that angiogenesis
in multiple myeloma is complex and involves direct production of angiogenic
cytokines by abnormal plasma cells and these B-cell neoplasia generated
pathophysiology change within the microenvironment. In this study, we
demonstrated that mesenchymal stromal cells cultured with U266/Lp-1 under hypoxic
conditions resulted in an increased alpha-smooth muscle actin expression and high
productive levels of both hypoxia-inducible factor-2alpha and integrin-linked
kinase proteins. Moreover, inhibition of hypoxia-inducible factor-2alpha by Small
interfering RNA (siRNA) in mesenchymal stromal cells decreased the protein levels
of both alpha-smooth muscle actin and integrin-linked kinase after mesenchymal
stromal cells cultured with U266 under hypoxic conditions. We further
demonstrated that transfection of integrin-linked kinase-siRNA reduced the
protein level of alpha-smooth muscle actin and attenuated angiogenesis in vitro
by decreasing the attachment of Q-dot labeled cells and secretion of angiogenic
factors. In conclusion, our research showed that mesenchymal stromal cells
cultured with myeloma cells under hypoxia participated in the angiogenesis of
multiple myeloma, which is regulated by the hypoxia-inducible factor-2alpha
integrin-linked kinase pathway. Thus, targeting integrin-linked kinase may
represent an effective strategy to block hypoxia-inducible factor-2alpha-induced
angiogenesis in the treatment of multiple myeloma.
PMID- 29656702
TI - Kainic acid in the seaweed Palmaria palmata (dulse).
AB - Twenty samples of the seaweed Palmaria palmata (dulse) purchased mainly from
commercial Internet shops on the European market were analysed by a liquid
chromatograph coupled with a tandem mass spectrometer method for the content of
kainic acid, a naturally occurring neurotoxic compound in P. palmata. Kainic acid
levels in the samples ranged widely from trace levels to approximately 560 MUg g
1 dry weight.
PMID- 29656701
TI - Estimated Cost to a Restaurant of a Foodborne Illness Outbreak.
AB - OBJECTIVES: Although outbreaks of restaurant-associated foodborne illness occur
periodically and make the news, a restaurant may not be aware of the cost of an
outbreak. We estimated this cost under varying circumstances. METHODS: We
developed a computational simulation model; scenarios varied outbreak size (5 to
250 people affected), pathogen (n = 15), type of dining establishment (fast food,
fast casual, casual dining, and fine dining), lost revenue (ie, meals lost per
illness), cost of lawsuits and legal fees, fines, and insurance premium
increases. RESULTS: We estimated that the cost of a single foodborne illness
outbreak ranged from $3968 to $1.9 million for a fast-food restaurant, $6330 to
$2.1 million for a fast-casual restaurant, $8030 to $2.2 million for a casual
dining restaurant, and $8273 to $2.6 million for a fine-dining restaurant,
varying from a 5-person outbreak, with no lost revenue, lawsuits, legal fees, or
fines, to a 250-person outbreak, with high lost revenue (100 meals lost per
illness), and a high amount of lawsuits and legal fees ($1 656 569) and fines
($100 000). This cost amounts to 10% to 5790% of a restaurant's annual marketing
costs and 0.3% to 101% of annual profits and revenue. The biggest cost drivers
were lawsuits and legal fees, outbreak size, and lost revenue. Pathogen type
affected the cost by a maximum of $337 000, the difference between a Bacillus
cereus outbreak (least costly) and a listeria outbreak (most costly).
CONCLUSIONS: The cost of a single foodborne illness outbreak to a restaurant can
be substantial and outweigh the typical costs of prevention and control measures.
Our study can help decision makers determine investment and motivate research for
infection-control measures in restaurant settings.
PMID- 29656703
TI - The ethics of concurrent care for children: A social justice perspective.
AB - Recent estimates indicate that over 40,000 children die annually in the United
States and a majority have life-limiting conditions. Children at end of life
require extensive healthcare resources, including multiple hospital readmissions
and emergency room visits. Yet, many children still suffer from symptoms at end
of life-including fatigue, pain, dyspnea, and anxiety-with less than 10% of these
children utilizing hospice care services. A critical barrier to pediatric hospice
use was the original federal regulations associated with the hospice care that
required a diagnosis of 6 months to live and the discontinuation of all curative
treatments. The Concurrent Care Provision of the United States' Affordable Care
Act eliminated the need to forgo curative therapies in order to enroll in hospice
for children in Medicaid or Children's Health Insurance Program. Concurrent care
for children can help mitigate the tension families experience in choosing
between essential forms of care, as well as contribute to improved end-of-life
outcomes for the child and possibly bereavement outcomes for the family.
Understanding concurrent care for children from a social justice perspective has
important advocacy and research implications for hospice and palliative care
clinicians providing care for children and their families. We apply Powers and
Faden's theory of social justice "as the moral foundation of public health and
health policy" to the provision of concurrent care to children near end of life
and families in the United States. The goals of applying this theory are to
explore additional insights and perspectives into concurrent care policy may
provide and to assess the usefulness of this theory when applied to end-of-life
health policy. We argue that concurrent care policy is socially just since it has
potential to promote well-being in vulnerable children and families and can limit
the inequity children at end-of-life experience in access to high-quality hospice
care.
PMID- 29656704
TI - Phenomenon of moral distress through the aspect of interpretive interactionism.
AB - BACKGROUND: Most previous studies on moral distress focused on the factors that
cause moral distress, paying inadequate attention to the moral conflict of
nurses' values, the physician-nurse power hierarchy, and the influence of the
culture. RESEARCH OBJECTIVE: To analyze the main causes for moral distress with
interpretive interactionism. RESEARCH DESIGN: A qualitative study was adopted.
PARTICIPANTS: Through purposeful sampling, 32 nurses from 12 different
departments were chosen as the samples. Ethical considerations: Approval from the
Institutional Review Board of the Kaohsiung Medical University Hospital.
FINDINGS: Moral distress is likely to occur in the following clinical situations:
patients have no idea about their diseases; the medical decisions fail to meet
the optimum benefit of patients; and patients with terminal cancers are not given
a proper death. The reason why nurses become trapped in moral distress is that
they fail to achieve moral goodness. Inadequate confidence, the physician-nurse
power hierarchy, and the Oriental culture affect nurses' goodness-based intention
for patients, which deteriorates moral distress. DISCUSSION: The main cause for
moral distress is the moral goodness of nurses. If nurses' goodness-based
intention for patients is inconsistent with the moral objective of achieving
optimum benefit for patients, it leads to moral distress. Culture is an essential
background factor of care for patients. In the Oriental culture, family members
influence patients' right to know about their diseases, the choice of treatment,
and patients' autonomy of not receiving cardio-pulmonary resuscitation. This
results in moral distress in medical care. CONCLUSION: The occurrence of moral
distress demonstrates that nurses have moral characteristics such as goodness and
caring. It is suggested that appropriate educational strategies can be adopted to
weaken the power hierarchy between physicians and nurses and enhance nurses'
confidence and cultural sensitivity, so as to reduce the moral distress of
nurses.
PMID- 29656705
TI - Suppressive effects of neonatal bisphenol A on the neuroendocrine system.
AB - The aim of this study was to assess the effects of neonatal bisphenol A (BPA)
administration on neuroendocrine features (the thyroid-brain axis). BPA (20 or 40
ug/kg) was orally administered to juvenile male albino rats ( Rattus norvegicus)
from postnatal days (PNDs) 15 to 30. Both doses resulted in lower serum thyroxine
(T4), triiodothyronine (T3), and growth hormone levels and higher thyrotropin
level than the control levels at PND 30. In the neonatal cerebellum and cerebrum,
vacuolation, pyknosis, edema, degenerative changes, and reductions in the size
and number of the cells were observed in both treated groups. Alternatively,
elevations in oxidative markers (lipid peroxidation, nitric oxide, and hydrogen
peroxide [H2O2]) at both dose levels were recorded at PND 30, along with
decreased activities of antioxidant markers (ascorbic acid, total thiol [t-SH],
glutathione, glutathione peroxidase, glutathione reductase, glutathione-S
transferase, and catalase) with respect to control levels. Thus, the BPA-induced
hypothyroid state may disturb the neonatal thyroid-brain axis via production of
free radicals, and this could damage the plasma membrane and cellular components,
delaying cerebrum and cerebellum development.
PMID- 29656706
TI - Relationship Between Self-Assessed Fidelity and Self-Reported Employment in the
Individual Placement and Support Model of Supported Employment.
AB - OBJECTIVE: A growing body of literature demonstrates that high-fidelity
implementation of the individual placement and support (IPS) model of supported
employment increases the chances of achieving desired outcomes. This study
examined the relationship between IPS fidelity, as self-reported by program
sites, and employment outcomes and determined whether this relationship was
maintained over time. METHODS: A total of 78 outpatient programs in New York
State provided data on self-reported IPS fidelity and employment outcomes.
Pearson correlations were used to determine the relationship between fidelity
scores and competitive employment rates. A mixed-effects model examined the
relationship between repeated fidelity and employment measures over time.
RESULTS: A significant positive relationship was found between better self
reported IPS fidelity and greater employment. The relationship between IPS
fidelity and employment was sustained over time (up to one year). CONCLUSIONS:
Higher-fidelity implementation of the IPS model, as self-assessed by program
sites, was associated with higher employment rates, which were sustained over
time.
PMID- 29656707
TI - Three-Year Retention in Buprenorphine Treatment for Opioid Use Disorder Among
Privately Insured Adults.
AB - OBJECTIVE: This study examined factors related to retention in buprenorphine
treatment for opioid use disorder (OUD) among privately insured patients.
METHODS: Patients with OUD who were newly started on buprenorphine during federal
fiscal year (FY) 2011 were identified in a national private insurance claims
database (MarketScan), and treatment retention (filled buprenorphine
prescriptions) was evaluated through FY 2014. Proportional hazards models were
used to examine demographic, clinical, and service use characteristics in FY
2011, including ongoing insurance coverage, associated with discontinuation of
treatment. RESULTS: Of 16,190 patients with OUD newly started on buprenorphine in
FY 2011, 45.0% were retained in treatment for more than one year, and 13.7% for
more than three years (mean+/-SD duration of retention=1.23+/-1.16 years). During
the first three years after buprenorphine initiation, 49.3% (N=7,988) disenrolled
from their insurance plan. Cox proportional hazards models showed that for every
30 days of enrollment, the risk of discontinuation declined by 10% (hazard ratio
[HR]=.90, 95% confidence interval [CI]=.90-.91). FY 2011 factors reducing
discontinuation risk were age greater than the median (HR=.90, CI=.87-.93) and
receipt of outpatient psychotherapy (HR=.90, CI=.86-.92); increased risk was
associated with psychiatric hospitalization (HR=1.30, CI=1.24-1.36), emergency
department visits (HR=1.07, CI=1.04-1.14), and additional substance use disorders
(HR=1.05, CI=1.01-1.10). CONCLUSIONS: Buprenorphine treatment retention declined
markedly in the first year and was substantially lower than in comparable studies
from publicly funded health care systems, apparently largely due to
disenrollment. The association of psychotherapy with greater retention suggests
that it may be an important complement to opioid agonist treatment.
PMID- 29656708
TI - Outcomes of a Peer Mentor Intervention for Persons With Recurrent Psychiatric
Hospitalization.
AB - OBJECTIVE: This article presents findings from a randomized controlled trial of a
peer support mentorship intervention designed for individuals with serious mental
illness and frequent, recurrent psychiatric hospitalizations. METHODS: Seventy
six individuals who were diagnosed as having a major psychotic or mood disorder
and who had at least two psychiatric hospitalizations or more than three
emergency department visits within the 18 months prior to the index
hospitalization participated in this trial. Participants were randomly assigned
to one of two conditions: standard care or a peer mentor plus standard care.
Substance use, psychiatric symptoms, psychosocial functioning, and hope were
assessed at baseline and at three and nine months after hospital discharge.
RESULTS: Participants assigned to the peer mentor condition reported
significantly greater reductions in substance use and psychiatric symptoms and
greater improvements in functioning compared with participants assigned to
standard care. Moreover, participants in the peer mentor program remained out of
the hospital for significantly longer periods of time compared with those
assigned to standard care. CONCLUSIONS: Peer services for those who are
hospitalized recurrently hold promise as an effective component of behavioral
health care for persons with serious mental illnesses.
PMID- 29656709
TI - Envisioning Transformation in VA Mental Health Services Through Collaborative
Site Visits.
AB - This column reviews the unique contributions of multiple partners in establishing
a standardized site visit process to promote quality improvement in mental health
care at the Veterans Health Administration. Working as a team, leaders in policy
and operations, staff of research centers, and regional- and facility-level
mental health leaders developed a standardized protocol for evaluating mental
health services at each site and using the data to help implement policy goals.
The authors discuss the challenges experienced and lessons learned in this
systemwide process and how this information can be part of a framework for
improving mental health services on a national level.
PMID- 29656710
TI - Contact With Mental Health Services Prior to Suicide: A Systematic Review and
Meta-Analysis.
AB - OBJECTIVE: Access to mental health care is regarded as a central suicide
prevention strategy. This is the first systematic review and meta-analysis of the
prevalence of contact with mental health services preceding suicide. METHODS: A
systematic search for articles reporting prevalence of contact with mental health
services before suicide was conducted in MEDLINE and PsycINFO, restricted to
studies published from January 1, 2000, to January 12, 2017. A random-effects
meta-analysis with double arcsine transformations was conducted, with meta
regression used to explore heterogeneity. RESULTS: Thirty-five studies were
included in the systematic review, and 20 were included in the meta-analysis.
Among suicide decedents in the population, 3.7% (95% confidence interval
[CI]=2.6%-4.8%) were inpatients at the time of death. In the year before death,
18.3% (CI=14.6%-22.4%) of suicide decedents had contact with inpatient mental
health services, 26.1% (CI=16.5%-37.0%) had contact with outpatient mental health
services, and 25.7% (CI=22.7%-28.9%) had contact with inpatient or outpatient
mental health services. Meta-regression showed that women had significantly
higher levels of contact compared with men and that the prevalence of contact
with inpatient or outpatient services increased according to the sample year.
CONCLUSIONS: Contact with services prior to suicide was found to be common and
contact with inpatient or outpatient mental health services before suicide seems
to be increasing. However, the reviewed studies were mainly conducted in Western
European and North American countries, and most studies focused on psychiatric
hospitalization, which resulted in limited data on contact with outpatient
services. Better monitoring and data on suicides that occur during and after
treatment seem warranted.
PMID- 29656711
TI - The Fierce Urgency of Now: Improving Outcomes for Justice-Involved People With
Serious Mental Illness and Substance Misuse.
AB - People with serious mental illness are more likely to be arrested multiple times
for the same crime, spend more time in jail before adjudication, serve longer
sentences, and have higher recidivism rates than those without mental illness.
Several conceptual foundations, such as the sequential intercept model and the
risk-needs-responsivity model, have been developed to help communities address
the overrepresentation of people with mental illness in the criminal justice
system. The Stepping Up Initiative is a national effort to enlist counties to
commit to reduce the number of people with mental illness in their jails. The
21st Century Cures Act has created opportunities to fund community treatment and
help people with serious mental illness live a productive life in their
community. Public officials may need to be shown the substantial cost savings
likely to accrue from an investment in effective community treatment. The time to
act is now.
PMID- 29656712
TI - Isolated splenic lymphangioma presenting as a huge mass causing anemia and
abdominal distension in an adult patient: a case report.
AB - BACKGROUND: Lymphangiomas are uncommon benign lesions of lymphatic vessels very
rarely affecting the spleen. Isolated involvement of the spleen in adult patients
is rarely reported. CASE PRESENTATION: We report a case of a 40-year-old Arabic
woman who presented with a 25-cm abdominal mass, fatigue, and anemia evolving for
6 months. Her physical examination revealed anemic syndrome and an enormous
splenomegaly extending beyond the umbilical area. An abdominal computed
tomographic scan showed a 25-cm splenic mass with multiple hypodense nodules
without enhancement after contrast injection. A surgical total splenectomy was
performed. Histopathological analysis led to the diagnosis of cystic splenic
lymphangioma. The patient's postoperative course was uneventful, and she was
discharged from the hospital. CONCLUSIONS: Isolated splenic lymphangioma in adult
patients is very rare. The preoperative diagnosis is challenging because imaging
techniques are not specific. Pathological analysis of the resected specimen is
the only effective way to render the definitive diagnosis. Splenic lymphangiomas
have a benign course after complete surgical resection.
PMID- 29656713
TI - Symptom management, nutrition and hydration at end-of-life: a qualitative
exploration of patients', carers' and health professionals' experiences and
further research questions.
AB - BACKGROUND: Symptom management is an essential aspect of palliative and end-of
life care, but evidence suggests that patients' symptoms may not always be
relieved, causing significant harm to patients and magnifying their relatives'
distress. A growing body of evidence focuses on symptom management at the end-of
life, but research funding for palliative care remains disproportionately low. It
is therefore crucial that research funding is targeted at areas of importance to
patients and relatives. The Palliative and end-of-life care Priority Setting
Partnership (PeolcPSP) undertook a UK-wide free-text survey to establish research
priorities within palliative and end-of-life care and disseminated its results in
2015. Much of the data were related more broadly to personal perceptions and
experiences rather than specific research questions. The aim of this article is
to report on a supplementary analysis exploring the experiences and questions of
PeolcPSP survey respondents regarding symptoms, hydration and nutrition. METHODS:
The PeolcPSP data (n = 1403) were coded by a team of qualitative researchers in a
supplementary analysis. There were 190 responses that related to symptoms,
nutrition and hydration. The data were analysed thematically using Braun and
Clarke's approach. RESULTS: Five themes were identified: pain, breathlessness,
agitation, nutrition and hydration. The majority of responses related to symptoms
that were sub-optimally managed, in particular pain. Nutrition and hydration were
of significant concern, particularly for carers. Overall, respondents
consistently asked about the most effective, evidence-based methods for managing
symptoms and suggested areas where further research is necessary. CONCLUSIONS:
This study highlights the perceptions and experiences of patients, families and
professionals within palliative care, highlighting the need for improved care,
communication and further research to establish which treatments are most
effective within a palliative care population. This is essential to reduce harm
and distress for patients and families.
PMID- 29656716
TI - Assessing food security using household consumption expenditure surveys (HCES): a
scoping literature review.
AB - OBJECTIVE: To meet some of the UN's seventeen Sustainable Development Goals by
2030, there is a need for more effective policy to reduce food insecurity in low
income and lower-middle-income countries (LMIC). Measuring progress towards these
goals requires reliable indicators of food security in these countries. Routinely
conducted household consumption and expenditure surveys (HCES) provide
potentially valuable and nationally representative data sets for this purpose.
The present study aimed to assess methods used to determine national food
security status using proxy measures from HCES data in LMIC globally. DESIGN: A
scoping literature review was conducted using electronic databases. Of the 929
abstracts identified, a total of twenty articles were reviewed against strict
inclusion and exclusion criteria and included for further analysis. RESULTS:
Fourteen LMIC globally were represented in the twenty articles. The simplest
metric used to indicate food insecurity compared household food expenditure
against a level of expenditure considered to be below the poverty line. Data on
acquisition of food was commonly converted to available energy for the household
using local food composition tables and expressed as a proportion of household
total energy requirements. Dietary diversity was also assessed in some studies as
well as experience of food insecurity. CONCLUSIONS: The review demonstrated that
routinely collected HCES data sets provide a useful resource for the measurement
of household food security in often resource-limited LMIC. Standardisation of
methods used to assess food security is needed to allow for more useful
comparisons between countries, as well as to assess temporal trends.
PMID- 29656715
TI - Quantitative assessment of the association between IL-10 -592 A/C polymorphism
and Kawasaki disease risk in Chinese population: evidence from a meta-analysis.
AB - BACKGROUND: IL-10, as a proinflammatory and anti-inflammatory cytokine, has been
thought to have an important role in the development of Kawasaki disease.
Variation in the IL-10 gene might lead to altered protein production, which may
result in Kawasaki disease. Several studies have been performed to investigate
the IL-10 -592 A/C polymorphism and Kawasaki disease risk. Unfortunately, the
results of previous studies were inconsistent. Therefore, we performed a meta
analysis to derive a more precise estimation of the association between the IL-10
-592 A/C polymorphism and Kawasaki disease risk. METHOD: The association between
the IL-10 -592 A/C polymorphism and Kawasaki disease risk was assessed by odds
ratios (ORs) together with their 95% confidence intervals (CIs). Six studies were
enrolled in the present meta-analysis. RESULTS: Overall, no significant
association between IL-10 -592 A/C polymorphism and Kawasaki disease risk was
found under allele contrast (A versus C: OR=0.95, 95% CI=0.77-1.18, p=0.668),
homozygote comparison (AA versus CC: OR=0.86, 95% CI=0.56-1.31, p=0.475),
heterozygote comparison (CA versus CC: OR=0.88, 95% CI=0.65-1.19, p=0.479),
recessive genetic model (AA versus CA/CC: OR=0.96, 95% CI=0.73-1.28, p=0.801), or
dominant genetic model (AA/CA versus CC: OR=0.85, 95% CI=0.64-1.13, p=0.275).
CONCLUSIONS: We conclude that IL-10 -592 A/C polymorphism was not associated with
Kawasaki disease risk in the Chinese population. However, more primary large
scale and well-designed studies are still required to further evaluate the
interaction of IL-10 -592 A/C polymorphism with Kawasaki disease risk.
PMID- 29656717
TI - Correlates of fruit and vegetable intake among parents and adolescents: findings
from the Family Life, Activity, Sun, Health, and Eating (FLASHE) study.
AB - OBJECTIVE: The present study aimed to examine the correlates of fruit and
vegetable intake (FVI) separately among parents and their adolescents. DESIGN:
Cross-sectional surveys. SETTING: Online survey. SUBJECTS: Parents and
adolescents completed the Family Life, Activity, Sun, Health, and Eating (FLASHE)
survey through the National Cancer Institute. The survey assessed daily intake
frequencies of food/beverage groups, psychosocial, parenting and sociodemographic
factors. Generalized linear models were run for both parents and adolescents, for
a total of six models (three each): (i) sociodemographic characteristics; (ii)
psychosocial factors; (iii) parent/caregiver factors. RESULTS: Parent
participants (n 1542) were predominantly 35-59 years old (86 %), female (73 %),
non-Hispanic White (71 %) or non-Hispanic Black (17 %), with household income
<$US 100 000 (79 %). Adolescents (n 805) were aged 12-14 years (50 %), non
Hispanic White (66 %) and non-Hispanic Black (15 %). Parents consumed 2.9 cups
fruits and vegetables (F&V) daily, while adolescents consumed 2.2 cups daily.
Educational attainment (higher education had greater FVI) and sex (men consumed
more than women; all P<0.001) were significant FVI predictors. Parents with
greater autonomous and controlled motivation, self-efficacy and preferences for
fruit reported higher FVI (all P<0.001). Similarly, adolescents with greater
autonomous and controlled motivation, self-efficacy and knowledge reported higher
FVI (all P<0.001). Parenting factors of importance were co-deciding how many F&V
teens should have, rules, having F&V in the home and cooking meals from scratch
(all P<0.05). CONCLUSIONS: Findings suggest factors that impact FVI among parents
and their adolescent(s), which highlight the importance of the role of parent
behaviour and can inform tailored approaches for increasing FVI in various
settings.
PMID- 29656719
TI - Development of equations, based on milk intake, to predict starter feed intake of
preweaned dairy calves.
AB - There is a lack of studies that provide models or equations capable of predicting
starter feed intake (SFI) for milk-fed dairy calves. Therefore, a multi-study
analysis was conducted to identify variables that influence SFI, and to develop
equations to predict SFI in milk-fed dairy calves up to 64 days of age. The
database was composed of individual data of 176 calves from eight experiments,
totaling 6426 daily observations of intake. The information collected from the
studies were: birth BW (kg), SFI (kg/day), fluid milk or milk replacer intake
(MI; l/day), sex (male or female), breed (Holstein or Holstein*Gyr crossbred) and
age (days). Correlations between SFI and the quantitative variables MI, birth BW,
metabolic birth BW, fat intake, CP intake, metabolizable energy intake, and age
were calculated. Subsequently, data were graphed, and based on a visual appraisal
of the pattern of the data, an exponential function was chosen. Data were
evaluated using a meta-analysis approach to estimate fixed and random effects of
the experiments using nonlinear mixed coefficient statistical models. A negative
correlation between SFI and MI was observed (r=-0.39), but age was positively
correlated with SFI (r=0.66). No effect of liquid feed source (milk or milk
replacer) was observed in developing the equation. Two equations, significantly
different for all parameters, were fit to predict SFI for calves that consume
less than 5 (SFI5) l/day of milk or milk replacer: ${?rm SFI}_{{?,?lt?,5}}
{?equals}0.1839_{{?,?pm?,0.0581}} {?times}{?rm MI}{?times}{?rm exp}^{{?left(
{?left( {0.0333_{{?,?pm?,0.0021 }} {?minus}0.0040_{{?,?pm?,0.0011}} {?times}{?rm
MI}} ?right){?times}?left( {{?rm A}{?minus}{?rm }?left( {0.8302_{{?,?pm?,0.5092}}
{?plus}6.0332_{{?,?pm?,0.3583}} {?times}{?rm MI}} ?right)} ?right)} ?right)}}
{?minus}?left( {0.12{?times}{?rm MI}} ?right)$ ; ${?rm SFI}_{{?,?gt?,5}}
{?equals}0.1225_{{?,?pm?,0.0005 }} {?times}{?rm MI}{?times}{?rm exp}^{{?left(
{?left( {0.0217_{{?,?pm?,0.0006 }} {?minus}0.0015_{{?,?pm?,0.0001}} {?times}{?rm
MI}} ?right){?times}?left( {{?rm A}{?minus}?left( {3.5382_{{?,?pm?,1.3140 }}
{?plus}1.9508_{{?,?pm?,0.1710}} {?times}{?rm MI}} ?right)} ?right)} ?right)}}
{?minus}?left( {0.12{?times}{?rm MI}} ?right)$ where MI is the milk or milk
replacer intake (l/day) and A the age (days). Cross-validation and bootstrap
analyses demonstrated that these equations had high accuracy and moderate
precision. In conclusion, the use of milk or milk replacer as liquid feed did not
affect SFI, or development of SFI over time, which increased exponentially with
calf age. Because SFI of calves receiving more than 5 l/day of milk/milk replacer
had a different pattern over time than those receiving <5 l/day, separate
prediction equations are recommended.
PMID- 29656720
TI - The Risk of Cross Infection in the Emergency Department: A Simulation Study.
AB - OBJECTIVESThe risk of cross infection in a busy emergency department (ED) is a
serious public health concern, especially in times of pandemic threats. We
simulated cross infections due to respiratory diseases spread by large droplets
using empirical data on contacts (ie, close-proximity interactions of <=1m) in an
ED to quantify risks due to contact and to examine factors with differential
risks associated with them.DESIGNProspective study.PARTICIPANTSHealth workers
(HCWs) and patients.SETTINGA busy ED.METHODSData on contacts between participants
were collected over 6 months by observing two 12-hour shifts per week using a
radiofrequency identification proximity detection system. We simulated cross
infection due to a novel agent across these contacts to determine risks
associated with HCW role, chief complaint category, arrival mode, and ED
disposition status.RESULTSCross-infection risk between HCWs was substantially
greater than between patients or between patients and HCWs. Providers had the
least risk, followed by nurses, and nonpatient care staff had the most risk.
There were no differences by patient chief complaint category. We detected
differential risk patterns by arrival mode and by HCW role. Although no
differential risk was associated with ED disposition status, 0.1 infections were
expected per shift among patients admitted to hospital.CONCLUSIONThese
simulations demonstrate that, on average, 11 patients who were infected in the ED
will be admitted to the hospital over the course of an 8-week local influenza
outbreak. These patients are a source of further cross-infection risk once in the
hospital.Infect Control Hosp Epidemiol 2018;39:688-693.
PMID- 29656714
TI - Epidemiology of sepsis in intensive care units in Turkey: a multicenter, point
prevalence study.
AB - BACKGROUND: The prevalence and mortality of sepsis are largely unknown in Turkey,
a country with high antibiotic resistance. A national, multicenter, point
prevalence study was conducted to determine the prevalence, causative
microorganisms, and outcome of sepsis in intensive care units (ICUs) in Turkey.
METHODS: A total of 132 ICUs from 94 hospitals participated. All patients (aged >
18 years) present at the participating ICUs or admitted for any duration within a
24-h period (08:00 on January 27, 2016 to 08:00 on January 28, 2016) were
included. The presence of systemic inflammatory response syndrome (SIRS), severe
sepsis, and septic shock were assessed and documented based on the consensus
criteria of the American College of Chest Physicians and Society of Critical Care
Medicine (SEPSIS-I) in infected patients. Patients with septic shock were also
assessed using the SEPSIS-III definitions. Data regarding demographics, illness
severity, comorbidities, microbiology, therapies, length of stay, and outcomes
(dead/alive during 30 days) were recorded. RESULTS: Of the 1499 patients included
in the analysis, 237 (15.8%) had infection without SIRS, 163 (10.8%) had
infection with SIRS, 260 (17.3%) had severe sepsis without shock, and 203 (13.5%)
had septic shock. The mortality rates were higher in patients with severe sepsis
(55.7%) and septic shock (70.4%) than those with infection alone (24.8%) and
infection + SIRS (31.2%) (p < 0.001). According to SEPSIS-III, 104 (6.9%)
patients had septic shock (mortality rate, 75.9%). The respiratory system (71.6%)
was the most common site of infection, and Acinetobacter spp. (33.7%) were the
most common isolated pathogen. Approximately, 74.9%, 39.1%, and 26.5% of
Acinetobacter, Klebsiella, and Pseudomonas spp. isolates, respectively, were
carbapenem-resistant, which was not associated with a higher mortality risk. Age,
acute physiology and chronic health evaluation II score at ICU admission,
sequential organ failure assessment score on study day, solid organ malignancy,
presence of severe sepsis or shock, Candida spp. infection, renal replacement
treatment, and a nurse-to-patient ratio of 1:4 (compared with a nurse-to-patient
ratio of 1:2) were independent predictors of mortality in infected patients.
CONCLUSIONS: A high prevalence of sepsis and an unacceptably high mortality rate
were observed in Turkish ICUs. Although the prevalence of carbapenem resistance
was high in Turkish ICUs, it was not associated with a higher risk for mortality.
TRIAL REGISTRATION: ClinicalTrials.gov ID NCT03249246 . Date: August 15, 2017.
Retrospectively registered.
PMID- 29656718
TI - The Pediatric Heart Network Scholar Award programme: a unique mentored award
embedded within a multicentre network.
AB - BACKGROUND: The Pediatric Heart Network designed a career development award to
train the next generation of clinician scientists in paediatric-cardiology
related research, a historically underfunded area. We sought to identify the
strengths/weaknesses of the programme and describe the scholars' academic
achievements and the network's return on investment. METHODS: Survey questions
designed to evaluate the programme were sent to applicants - 13 funded and 19
unfunded applicants - and 20 mentors and/or principal investigators. Response
distributions were calculated. chi2 tests of association assessed differences in
ratings of the application/selection processes among funded scholars, unfunded
applicants, and mentors/principal investigators. Scholars reported post-funding
academic achievements. RESULTS: Survey response rates were 88% for applicants and
100% for mentor/principal investigators. Clarity and fairness of the review were
rated as "clear/fair" or "very clear/very fair" by 98% of respondents, but the
responses varied among funded scholars, unfunded applicants, and
mentors/principal investigators (clarity chi2=10.85, p=0.03; fairness chi2=16.97,
p=0.002). Nearly half of the unfunded applicants rated feedback as "not useful"
(47%). "Expanding their collaborative network" and "increasing publication
potential" were the highest-rated benefits for scholars. Mentors/principal
investigators found the programme "very" valuable for the scholars (100%) and the
network (75%). The 13 scholars were first/senior authors for 97 abstracts and 109
manuscripts, served on 22 Pediatric Heart Network committees, and were awarded
$9,673,660 in subsequent extramural funding for a return of ~$10 for every
scholar dollar spent. CONCLUSIONS: Overall, patient satisfaction with the Scholar
Award was high and scholars met many academic markers of success. Despite this,
programme challenges were identified and improvement strategies were developed.
PMID- 29656721
TI - Radiofrequency catheter ablation for ventricular tachycardia in ischaemic
cardiomyopathy due to Kawasaki disease.
AB - In some patients with Kawasaki disease, a prior myocardial infarction causes
ventricular tachycardia in the chronic post-myocardial infarction phase. We
report the case of a 41-year-old man with symptomatic and haemodynamically
unstable ventricular tachycardia in whom substrate ablation was performed for the
ventricular tachycardia before insertion of an implantable cardioverter
defibrillator.
PMID- 29656723
TI - Gastroenteritis outbreak at a health function caused by an emerging recombinant
strain of Norovirus GII.P16/GII.4 Sydney 2012, Australia.
AB - An emerging recombinant norovirus GII.P16/GII.4 Sydney 2012 strain caused a
gastroenteritis outbreak amongst attendees at a large health function in regional
New South Wales, Australia. This was the third outbreak caused by the recombinant
GII.P16/GII.4 Sydney 2012 strain in this region in 2017, which appears to be
emerging as a common strain in the Hunter New England region.
PMID- 29656722
TI - TOWARD A CONTINGENCY MODEL FOR HOSPITAL-BASED HEALTH TECHNOLOGY ASSESSMENT:
EVIDENCE FROM ADHOPHTA PROJECT.
AB - OBJECTIVES: Hospital-based health technology assessment (HB-HTA) is becoming
increasingly relevant because of its role in managing the introduction and
withdrawal of health technologies. The organizational arrangement in which HB-HTA
activities are conducted depends on several contextual factors, although the
dominant models have several similarities. The aims of this study were to
explore, describe, interpret, and explain seven cases of the application of HB
HTA logic and to propose a classification for HB-HTA organizational models which
may be beneficial for policy makers and HTA professionals. METHODS: The study was
part of the AdHopHTA Project, granted under the European 7th Framework Research
Programme. A case study methodology was applied to analyze seven HB-HTA
initiatives in seven countries, with collection of qualitative and quantitative
data. Cross-case analysis was performed within the framework of contingent
organizational theory. RESULTS: Evidence showed that some organizational or
"structural" variables, namely the level of procedure formalization/structuration
and the level of integration with other HTA bodies at the national, regional, and
provincial levels, predominantly shape the HB-HTA approach, determining a
contingency model of HB-HTA. Crossing the two variables, four options have
emerged: integrated specialized HTA unit, stand-alone HTA unit, integrated
essential HTA, independent group unit. CONCLUSIONS: No one-best-way approach can
be used for HTA at the hospital level. Rather, the characteristics of HTA models
depend on many contextual factors. Such conceptualization may aid the diffusion
of HB-HTA to inform managerial decision making and clinical practice.
PMID- 29656724
TI - Large right ventricular sinusoids in an infant with aorta-left ventricular tunnel
and proximal right coronary artery atresia.
AB - We report a 1-month-old infant diagnosed with an aorta-left ventricular tunnel,
ventricular septal defect, and right coronary atresia with right ventricular
sinusoids. The patient's anatomy and physiology did not indicate right
ventricular-dependent coronary circulation, and therefore right ventricular
decompression could be performed without compromising coronary perfusion during
surgical correction. A detailed understanding of the coronary anatomy is critical
in managing this defect when coronary anomalies are present.
PMID- 29656725
TI - Force of infection of Helicobacter pylori in Mexico: evidence from a national
survey using a hierarchical Bayesian model.
AB - Helicobacter pylori (H. pylori) is present in the stomach of half of the world's
population. The force of infection describes the rate at which susceptibles
acquire infection. In this article, we estimated the age-specific force of
infection of H. pylori in Mexico. Data came from a national H. pylori
seroepidemiology survey collected in Mexico in 1987-88. We modelled the number of
individuals with H. pylori at a given age as a binomial random variable. We
assumed that the cumulative risk of infection by a given age follows a modified
exponential catalytic model, allowing some fraction of the population to remain
uninfected. The cumulative risk of infection was modelled for each state in
Mexico and were shrunk towards the overall national cumulative risk curve using
Bayesian hierarchical models. The proportion of the population that can be
infected (i.e. susceptible population) is 85.9% (95% credible interval (CR) 84.3%
87.5%). The constant rate of infection per year of age among the susceptible
population is 0.092 (95% CR 0.084-0.100). The estimated force of infection was
highest at birth 0.079 (95% CR 0.071-0.087) decreasing to zero as age increases.
This Bayesian hierarchical model allows stable estimation of state-specific force
of infection by pooling information between the states, resulting in more
realistic estimates.
PMID- 29656726
TI - The mental health consumer movement and peer providers in Israel.
AB - Self-help peer-support groups in Israel emerged in the 1980s and, over time,
dynamically interacted and co-developed with the statutory mental health (MH)
system. In this editorial, I outline historical milestones of how the evolution
of the Israeli mental health system was influenced by the consumer movement. A
brief depiction of the consumer movement history. At first, consumers operated
outside of the mainstream MH system. Gradually, consumer groups and institutional
personnel joined efforts towards community integration and enhancement of quality
of life, pushing forward a person-centered recovery orientation. In turn, some
administrators and key stakeholders in rehabilitation community services grew to
value the impact of knowledge-by-experience in contemporary mental health care.
In this context, over the past decade, peer roles were developed in the mental
health system, including consumer-providers in community services and peer
specialists in inpatient psychiatric hospitals. The insertion of peer roles into
the mainstream MH system is far-reaching, including the placement of a peer
project coordinator within the ministry of health. I describe the unique
contribution of peers, as experts-by-experience, to mainstream professional
knowledge and practice. I also highlight the potential challenges involved when
peer models of care are added to traditional medical models of care. The Israeli
case demonstrates how the consumer movement can play an active role in MH systems
and be acknowledged and recognised as a partner for changing policy, practice and
reshaping formal institutions. In addition, they play a vital role in the
development of peer-support services.
PMID- 29656727
TI - Outcomes in Hospitalized Ischemic Stroke Patients with Dementia on Admission: A
Population-Based Cohort Study.
AB - BACKGROUND: Dementia prevalence is rising, and it will double in the next 20
years. This study sought to understand the prevalence of dementia in hospitalized
patients with ischemic stroke, and its impact on outcomes. METHODS: Using the
Canadian Institute of Health Information's (CIHI) Discharge Abstract Database
(DAD), all acute ischemic stroke admissions from April 2003 to March 2015 in
Canada (excluding Quebec) were analyzed. Concurrent dementia at the time of
admission was assessed based on hospital diagnostic codes. Characteristics and in
hospital outcomes were compared in patients with and without dementia using chi 2
and negative binomial, as well as Poisson regression analysis. RESULTS: During
the observed period, 313,138 people were admitted to a hospital in Canada for an
ischemic stroke. Of those, 21,788 (7.0%) had a concurrent diagnosis of dementia.
People with dementia had older median age (84 vs. 76 years; p<0.0001), were more
often female (59.6% vs. 48.4%; p<0.0001) and more often had Charlson-Deyo
Comorbidity Index >=2 (64.5% vs. 43.5%; p<0.0001). Patients with dementia were
less likely to be discharged to a rehabilitation facility (adjusted risk ratio
[RR] 3.089, 95% confidence interval [CI] 2.992-3.188, p<0.0001) or home
independently (adjusted RR 0.756, 95% CI 0.737-0.776,
p<0.0001).InterpretationApproximately 1 in 13 hospitalized ischemic stroke
patients has coded dementia. Patients with ischemic stroke and concurrent
dementia have higher mortality, face significantly more dependence after stroke
and utilize greater healthcare resources than stroke patients without dementia.
Causative conclusions are limited by the administrative data source. Early care
planning and coordination could potentially optimize outcomes.
PMID- 29656728
TI - Relationship between mean platelet volume-to-lymphocyte ratio and coronary artery
abnormalities in Kawasaki disease.
AB - OBJECTIVES: Recently, mean platelet volume-to-lymphocyte ratio has emerged as a
novel parameter of inflammation. No study has investigated the role of mean
platelet volume-to-lymphocyte ratio in children with Kawasaki disease. We aimed
to evaluate the relationship between mean platelet volume-to-lymphocyte ratio and
coronary artery abnormalities in Kawasaki disease. METHODS: Between January 2008
and January 2017, a total of 58 children with Kawasaki disease and 42 healthy
subjects matched for sex and age were enrolled. Before the treatment,
transthoracic echocardiography for all children was performed. Clinical and
laboratory results including mean platelet volume, platelet distribution width,
red blood cell distribution width, and counts of platelets, neutrophils,
lymphocytes, and white blood cells, erythrocyte sedimentation rate, and C
reactive protein levels were measured. Mean platelet volume-to-lymphocyte ratio
was calculated as mean platelet volume divided by lymphocyte count. RESULTS:
Compared with healthy controls, mean platelet volume-to-lymphocyte ratio was
significantly lower in the children with Kawasaki disease (p<0.01). A total of 14
patients (24.1%) had incomplete Kawasaki disease and 15 (25.8%) children with
Kawasaki disease had coronary involvement. Mean platelet volume-to-lymphocyte
ratio was significantly lower in patients with coronary artery abnormalities
(p<0.01). According to receiver operating characteristic curve analysis performed
for the prediction of coronary artery abnormalities, the best cut-off point for
mean platelet volume-to-lymphocyte ratio was 2.5 (area under curve=0.593,
sensitivity 53.3%, specificity 51.1%). CONCLUSION: It was first shown that the
children with Kawasaki disease have lower mean platelet volume-to-lymphocyte
ratio compared with control subjects. Mean platelet volume-to-lymphocyte ratio
may be helpful in predicting coronary artery lesions in patients with Kawasaki
disease.
PMID- 29656729
TI - 'False-positive' self-reported psychotic experiences in the general population:
an investigation of outcome, predictive factors and clinical relevance.
AB - AIMS: Self-reported psychotic experiences (SRPE) by individuals from the general
population are often unconfirmed by clinical interview and referred to as 'false
positive' (FP) SRPE. FP SRPE have been suggested to represent the mildest form of
risk along the extended psychosis continuum. However, little is known about their
(clinical) outcome and evolution over time. Aims of this study were to
prospectively examine, in individuals with FP SRPE, (1) the prevalence of
remission, persistence and transition to validated PE at 3-year follow-up; (2)
potential baseline psychopathological and psychosocial predictors of persistence
of FP SRPE and transition to validated PE; and (3) whether those with persistent
FP SRPE and validated PE already differed on psychopathology and psychosocial
factors at baseline. We tested the hypotheses that (i) individuals with FP SRPE
would be more likely to have SRPE and validated PE at follow-up; and (ii) that FP
SRPE would be predictive of lower functioning and more psychopathology and help
seeking behaviour at follow-up. METHODS: Baseline (n = 6646) and 3-year follow-up
(n = 5303) data of the second the Netherlands Mental Health Survey and Incidence
Study (NEMESIS-2), a general population research project on prevalence,
incidence, course and consequences of psychiatric disorders was used. Self-report
of PE was followed by clinical interview to determine clinical validity. The
presence of mood, anxiety and substance use disorders, childhood adversity, help
seeking and functioning as well as PE characteristics (number, frequency,
distress and impact) were used in the analyses which included only individuals
with complete data for both assessments waves (n = 4683). RESULTS: At baseline,
454 participants had any FP SRPE; of these 372 participants had complete follow
up data available. Those with baseline FP SRPE were significantly more likely to
report SRPE (OR = 3.58; 95% CI 2.38-5.40, p < 0.001) and validated PE (OR = 6.26;
95% CI 3.91-10.02, p < 0.001) at follow-up. Baseline FP SRPE also predicted the
presence of mood and anxiety disorders, reduced functioning and help-seeking at
follow-up. Several baseline psychopathological, psychosocial and PE
characteristics were predictive for the persistence of SRPE. These factors also
differentiated groups with FP SRPE or validated PE from those with remitted FP
SRPE at follow-up.Conclusions.'FP SRPE' are not truly 'false' as they index risk
for the development of clinically relevant psychotic symptoms, development of
mood and anxiety disorders and reduced functioning. Self-reported PE, even
unconfirmed, warrant 'watchful waiting' and follow-up over time, especially when
they are reported by individuals with reduced psychosocial functioning and
general psychiatric problems.
PMID- 29656730
TI - EXPLORING MEDICAL DEVICES: THE USE OF RISK ASSESSMENT TOOLS AND THEIR LINK WITH
TRAINING IN HOSPITALS.
AB - OBJECTIVES: The aim of this study was to explore the risk assessment tools and
criteria used to assess the risk of medical devices in hospitals, and to explore
the link between the risk of a medical device and how those risks impact or alter
the training of staff. METHODS: Within a broader questionnaire on implementation
of a national guideline, we collected quantitative data regarding the types of
risk assessment tools used in hospitals and the training of healthcare staff.
RESULTS: The response rate for the questionnaire was 81 percent; a total of sixty
five of eighty Dutch hospitals. All hospitals use a risk assessment tool and the
biggest cluster (40 percent) use a tool developed internally. The criteria used
to assess risk most often are: the function of the device (92 percent), the
severity of adverse events (88 percent) and the frequency of use (77 percent).
Forty-seven of fifty-six hospitals (84 percent) base their training on the risk
associated with a medical device. For medium- and high-risk devices, the main
method is practical training. As risk increases, the amount and type of training
and examination increases. CONCLUSIONS: Dutch hospitals use a wide range of tools
to assess the risk of medical devices. These tools are often based on the same
criteria: the function of the device, the potential severity of adverse events,
and the frequency of use. Furthermore, these tools are used to determine the
amount and type of training required for staff. If the risk of a device is
higher, then the training and examination is more extensive.
PMID- 29656732
TI - The role of community mental health services in supporting oral health outcomes
among consumers.
AB - People with a lived experience of mental illness are at a higher risk for
developing oral diseases and having poorer oral health than the broader
population. This paper explores the role of Australian community mental health
services in supporting the prevention and management of poor oral health among
people living with mental illness. Through focus groups and semi-structured
interviews, participants identified the value of receiving oral health support
within a community mental health setting, in particular the delivery of basic
education, preventive strategies, assistance with making or attending
appointments and obtaining priority access to oral health services. Engagement
with Community Health Services and referrals generated through the priority
access system were identified as key enablers to addressing oral health issues.
This study provides new insight into the importance of undertaking an integrated
approach to reducing the oral health disparities experienced by those living with
mental illness.
PMID- 29656733
TI - Hope and foresight for your patients with ALS.
PMID- 29656731
TI - Validity of an FFQ to measure nutrient and food intakes in Tanzania.
AB - OBJECTIVE: FFQ are often used to estimate food and nutrient intakes to rank
individuals by their level of intake. We evaluated the relative validity of a
semi-quantitative FFQ created for use in Tanzania by comparing it with two 24 h
diet recalls. DESIGN: We measured relative validity of the FFQ with deattenuated
energy-adjusted rank correlations for nutrients, deattenuated rank correlations
for food groups, and performed a cross-classification analysis of energy-adjusted
nutrient quartiles using percentage of agreement and Bland-Altman analysis.
SETTING: Interviews were conducted in 2014 in participants' homes in Ukonga, Dar
es Salaam, Tanzania. SUBJECTS: We surveyed 317 adults aged 40 years or older from
the general public. RESULTS: Deattenuated energy-adjusted rank correlation
coefficients of nutrients ranged from -0.03 for riboflavin to 0.41 for percentage
of energy from carbohydrates, with a median correlation of 0.21. Coefficients for
food groups ranged from 0.00 for root vegetables to 0.51 for alcohol, with a
median of 0.35. Relative to the average of the two 24 h diet recalls, the FFQ
overestimated energy intake and intakes of all nutrients and food groups, other
than tea, with ratios among nutrients ranging from 1.34 for SFA to 7.08 for
vitamin A; and among food groups from 0.92 for tea to 9.00 for fruit. The
percentage of participants classified into the same nutrient intake quartile
ranged from 23 % for SFA to 32 % for both niacin and pantothenic acid, with a
median of 28 %. CONCLUSIONS: The FFQ performed moderately well in urban Tanzanian
adults.
PMID- 29656734
TI - Prediction to prevention in Alzheimer's disease and dementia.
PMID- 29656735
TI - Evidence-based care in Duchenne muscular dystrophy.
PMID- 29656736
TI - Understanding risk of PML through multiple sclerosis.
PMID- 29656737
TI - Intravenous versus subcutaneous immunoglobulin.
PMID- 29656739
TI - Classification of epilepsies in the 18th century.
PMID- 29656740
TI - Sven van der Lee.
PMID- 29656741
TI - Impairment of creativity by anaesthesia.
PMID- 29656738
TI - Intravenous versus subcutaneous immunoglobulin - Authors' reply.
PMID- 29656742
TI - Pathogenesis of progressive multifocal leukoencephalopathy and risks associated
with treatments for multiple sclerosis: a decade of lessons learned.
AB - Progressive multifocal leukoencephalopathy (PML) is a rare, devastating
demyelinating disease of the CNS caused by the JC virus (JCV) that occurs in
patients with compromised immune systems. Detection of PML in systemically
immunocompetent patients with multiple sclerosis treated with natalizumab points
to a role for this drug in the pathophysiology of PML. Emerging knowledge of the
cellular and molecular biology of JCV infection and the pathogenesis of PML
including interplay of this common virus with the human immune system and
features of natalizumab that might contribute to PML pathogenesis-provides new
opportunities to monitor viral status and predict risk of JCV-associated disease.
In the absence of an effective treatment for PML, early detection of the disease
in patients with multiple sclerosis who are receiving natalizumab or other
immunomodulatory treatments is vital to minimize CNS injury and avoid severe
disability. Frequent MRI, stratified along a clinical and virus-specific immune
risk profile, can be used to detect presymptomatic PML. Improved approaches to
PML risk stratification are needed to guide treatment choices and surveillance of
patients with multiple sclerosis.
PMID- 29656743
TI - Prognostic stratification model for patients with stage I non-small cell lung
cancer adenocarcinoma treated with surgical resection without adjuvant therapies
using metabolic features measured on F-18 FDG PET and postoperative pathologic
factors.
AB - PURPOSE: In the management of non-small cell lung cancer (NSCLC), the prognostic
stratification of stage I tumors without indication of adjuvant therapy, remains
to be elucidated in order to better select patients who can benefit from
additional therapies. We aimed to stratify the prognosis of patients with stage I
NSCLC adenocarcinoma using clinicopathologic factors and F-18 FDG PET. MATERIALS
AND METHODS: We retrospectively enrolled 128 patients with stage I NSCLC without
any high-risk factors, who underwent curative surgical resection without adjuvant
therapies. Preoperative clinical and postoperative pathologic factors were
evaluated by medical record review. Standardized uptake value corrected with lean
body mass (SULmax) was measured on F-18 FDG PET. Among the factors, independent
predictors for recurrence-free survival (RFS) were selected using univariate and
stepwise multivariate survival analyses. A prognostic stratification model for
RFS was designed using the selected factors. RESULTS: Tumors recurred in nineteen
patients (14.8%). Among the investigated clinicopathologic and FDG PET factors,
SULmax on PET and spread through air spaces (STAS) on pathologic review were
determined to be independent prognostic factors for RFS. A prognostic model was
designed using these two factors in the following manner: (1) Low-risk: SULmax <=
1.9 and no STAS, (2) intermediate-risk: neither low-risk nor high-risk, (3) high
risk: SULmax>1.9 and observed STAS. This model exhibited significant predictive
power for RFS. CONCLUSION: We showed that FDG uptake and STAS are significant
prognostic markers in stage I NSCLC adenocarcinoma treated with surgical
resection without adjuvant therapies.
PMID- 29656744
TI - Economic impact of preventing brain metastases with alectinib in ALK-positive non
small cell lung cancer.
AB - OBJECTIVES: Despite improved progression-free survival, most patients treated
with the first generation ALK inhibitor crizotinib ultimately experience central
nervous system (CNS) progression. Brain metastases (BM) are associated with high
clinical burden in patients with advanced anaplastic lymphoma kinase positive
(ALK+) non-small cell lung cancer (NSCLC). In this study we estimate the real
world economic burden of BM in newly diagnosed ALK+ NSCLC patients and
investigate whether alectinib, a second generation ALK inhibitor that delays CNS
progression, may help reduce healthcare costs in patients with ALK+ NSCLC.
MATERIALS AND METHODS: Cost of BM was measured in ALK+ NSCLC patients identified
from a stacked PharMetrics Plus and MarketScan claims database from January 2008
to March 2016 and December 2015, respectively. Per patient per month (PPPM) cost
of BM was calculated as the difference in baseline-adjusted total costs in
patients with and without BM over a variable follow-up period of up to 24 months.
Cumulative incidence of new BM was derived from 88 alectinib-treated and 93
crizotinib-treated patients without baseline BM in a randomized phase III
clinical trial, ALEX (NCT02075840). Costs of BM per patient were then calculated
by applying the PPPM BM cost to the number of incident BM patients in each
treatment cohort. RESULTS: 207 patients with no BM and 198 with BM were selected
from the claims database. Total cost of BM was estimated at $6,029 PPPM. 24-month
cumulative incidence rates of BM from the clinical trial were 7.2% and 45.3% for
alectinib and crizotinib, respectively. Over follow-up, alectinib was estimated
to reduce BM-related costs by $41,434 per patient compared to crizotinib.
CONCLUSION: BM is associated with substantial economic burden. Alectinib was
estimated to reduce BM-related costs by preventing or delaying the occurrence of
BM compared to crizotinib.
PMID- 29656745
TI - Severe adverse events impact overall survival and costs in elderly patients with
advanced non-small cell lung cancer on second-line therapy.
AB - OBJECTIVES: Elderly patients with advanced non-small lung cancer (aNSCLC)
represent a high-risk patient population due to disease burden, comorbidities,
and performance status, particularly after progressing on first-line therapy.
Among elderly patients who receive second-line therapy, treatment related
toxicities can have substantial impact on both clinical and economic outcomes.
This study assessed the impact of severe adverse events (AEs) during second-line
therapy on overall survival (OS) and all-cause heathcare costs in elderly with
aNSCLC. MATERIALS AND METHODS: Patients with aNSCLC aged >=65 years who initiated
second-line chemotherapy/targeted therapy were identified in the SEER-Medicare
database (2007-2011). Fifty-seven AEs were identified by literature review and
consultation with two oncologists. Severe AEs were defined as AEs that required a
hospitalization and were operationalized based on AE diagnosis(es) recorded
during hospitalizations. OS post-second-line initiation and healthcare costs
during second-line were compared between patients with and without severe AEs.
RESULTS: Among 3967 patients initiating second-line therapy, 1624 (41%) had >=1
severe AE, where hypertension (26%), anemia (24%), and pneumonia (23%) were most
commonly reported. Patients with and without severe AEs had similar demographic
and cancer characteristics at diagnosis and similar second-line treatment
regimens, but patients with severe AEs had more comorbidities at second-line
initiation. Median OS was lower in patients with versus without severe AEs (6 vs.
11 months). After multivariate adjustment, hazard of death was more than twice
higher in patients with versus without severe AEs (adjusted hazard ratio [HR]
2.31, 95% CI 2.16-2.47). Healthcare costs were more than twice higher in patients
with versus without severe AEs ($16,135 vs. $7559 per-patient-per-month).
CONCLUSION: Severe AEs among elderly patients with aNSCLC treated with second
line chemotherapy/targeted therapy were found to be associated with decreased OS
and increased healthcare costs. Results suggest a potential link between severe
AEs in second-line treated aNSCLC elderly and patient survival and economic
burden to the healthcare system.
PMID- 29656746
TI - Impacts of EGFR-mutation status and EGFR-TKI on the efficacy of stereotactic
radiosurgery for brain metastases from non-small cell lung adenocarcinoma: A
retrospective analysis of 133 consecutive patients.
AB - OBJECTIVES: Recent advances in target therapies have prolonged overall survival
(OS) for patients with epidermal growth factor receptor (EGFR)-mutant lung
cancer. The impact of EGFR mutations on stereotactic radiosurgery (SRS) for brain
metastases (BM) has yet to be determined. The present study sought to evaluate
the efficacy and limitations of SRS, administered with EGFR-tyrosine kinase
inhibitors (TKI), for BM from EGFR-mutant lung adenocarcinoma. MATERIALS AND
METHODS: This retrospective observational study analyzed data from patients with
BM arising from EGFR-mutant lung adenocarcinoma who received upfront Gamma Knife
SRS between December 2010 and April 2016. OS and distant and local intracranial
disease control rates were calculated. The prognostic factors for each event were
also determined. RESULTS: One hundred thirty-three consecutive patients (47
males/86 females) were eligible. The median age was 69 years, and the median
Karnofsky performance status (KPS) was 90. Sixty-six patients (50%) had no
history of EGFR-TKI use at the time of SRS. EGFR-TKI were administered to 85% of
EGFR-TKI naive patients after SRS. One- and 2-year OS rates were 74% and 52%,
respectively. One- and 2-year distant BM recurrence rates (per patient) after SRS
were 34% and 53%, respectively. One- and 2-year rates of local tumor control (per
lesion) were 97% and 95%, respectively. Multivariate proportional hazards
analyses showed that being EGFR-TKI naive was associated with longer OS (HR:
0.42, P < 0.001), a lower distant intracranial recurrence rate (HR: 0.61, P =
0.037) and a higher local tumor control rate (HR: 0.28, P = 0.001). CONCLUSIONS:
The present study demonstrated the upfront SRS strategy to offer a minimally
invasive and effective treatment option for EGFR-mutant lung adenocarcinoma
patients with limited BM. EGFR-TKI naive patients were found to be a distinct
subgroup for which a longer survival time and durable intracranial disease
control can be expected.
PMID- 29656747
TI - Efficacy and safety of nivolumab in previously treated patients with non-small
cell lung cancer: A multicenter retrospective cohort study.
AB - INTRODUCTION: Nivolumab has been shown to be effective and safe in previously
treated patients with advanced non-small cell lung cancer (NSCLC). However,
little is known regarding its performance in real-world (i.e., non-trial)
settings. Furthermore, nivolumab efficacy is unknown in patients who are
ineligible for clinical trials or who are categorized into small subgroups in
such trials. METHODS: We conducted a 15-center, observational, retrospective
cohort study of patients with advanced NSCLC who received nivolumab monotherapy
between January and December 2016. RESULTS: Of 613 patients included in our
study, 141 had poor performance status (PS) and 106 were EGFR mutation - or ALK
rearrangement-positive. The response and disease control rates were 20% and 44%,
respectively; the estimated 1-year progression-free survival (PFS) was 18%.
Multivariate analysis identified never smoking, poor PS, and EGFR mutation/ALK
rearrangement as independent negative predictors of PFS. The most frequently
reported grade >=3 adverse event was pneumonitis (5% of patients). Severe
pneumonitis (grade >=3) occurred significantly earlier than mild pneumonitis (1.6
vs. 2.3 months, P = 0.031). Patients with pneumonitis achieved higher response
rates and longer PFS than those without (37% vs. 18%, and 5.8 vs. 2.1 months,
respectively; P = 0.002). CONCLUSIONS: Smoking status, PS, and EGFR mutation/ALK
rearrangement were independent predictors of PFS. Our study elucidated
nivolumab's efficacy in previously underreported patient populations; i.e., those
with poor PS and/or with driver oncogenes. We also found that pneumonitis is not
infrequent, and carries key implications for outcomes. These data should be
useful for improving the clinical courses of nivolumab-treated patients with
NSCLC.
PMID- 29656748
TI - Nivolumab-induced severe pancytopenia in a patient with lung adenocarcinoma.
AB - Severe leukopenia, thrombocytopenia, and bi-cytopenia due to nivolumab have been
reported. In this report, we present the first case of nivolumab-induced severe
pancytopenia in a patient with lung adenocarcinoma. A 56-year-old Japanese man
with lung adenocarcinoma received nivolumab therapy as second-line treatment.
After 3 cycles of this therapy, although computed tomography (CT) showed a
reduced tumor size, laboratory findings revealed pancytopenia and a bone marrow
biopsy showed a severely hypoplastic marrow. The pancytopenia was diagnosed as an
adverse effect of nivolumab; filgrastim (75 MUg/day), steroid-pulse therapy
(intravenous methylprednisolone: 500 mg/day), and subsequently intravenous
prednisolone (50 mg/day) were administered. Furthermore, intravenous
administration of immunoglobulins was also performed. However, these treatments
were ineffective. He was further diagnosed with fungal pneumonia and a catheter
related bloodstream infection. Anti-bacterial chemotherapy was administered. Two
months after hospitalization, the neutrophil count improved to 1000/MUL, but
multiple red blood cell and platelet transfusions were needed. Therefore, further
chemotherapy for lung adenocarcinoma could not be initiated, and the patient died
due to progression of lung cancer 118 days after the onset of pancytopenia. The
possibility of severe pancytopenia as an immune-related adverse event should be
considered as a mandatory prerequisite for nivolumab therapy.
PMID- 29656749
TI - Tumor associated macrophages support the growth of FGF9-induced lung
adenocarcinoma by multiple mechanisms.
AB - OBJECTIVES: Tumor-associated macrophages (TAMs) are known to promote
tumorigenesis but the mechanism(s) remain elusive. We have developed a mouse
model of lung cancer that is initiated through an inducible overexpression of
fibroblast growth factor 9 (FGF9) in type-2 pneumocytes. Expression of FGF9 in
adult lungs resulted in a rapid development of multiple adenocarcinoma-like tumor
nodules, and is associated with an intense immunological reaction. The purpose of
this study is to characterize the immune response to the FGF9-induced lung
adenocarcinoma and to determine the contribution of TAMs to growth and survival
of these tumors. MATERIALS AND METHODS: We used flow cytometry, immunostaining,
RT-PCR and in vitro culture system on various cell populations isolated from the
FGF9-induced adenocarcinoma mouse lungs. RESULTS: Immunostaining demonstrated
that the majority of the inflammatory cells recruited to FGF9-induced lung tumors
were macrophages. These TAMs were enriched for the alternatively activated (M2)
macrophage subtype. TAMs performed a significantly high immune suppressive
function on T-cells and displayed high levels of arginase-1 expression and
activity. The growth and colony forming potential of tumor cells was induced by
co-culture with TAMs. Additionally, TAMs were shown to promote fibroblast
proliferation and angiogenesis. TAMs had high expression of Tgf-beta, Vegf, Fgf2,
Fgf10, Fgfr2 and several matrix metalloproteinases; factors that play multiple
roles in supporting tumor growth, immune protection, fibroblast activation and
angiogenesis. CONCLUSION: Our results provide evidence that the Fgf9-induced lung
adenocarcinoma is associated with recruitment and activation of M2-biased TAMs,
which provided multiple means of support to the tumor. This model represents an
excellent means to further study the complex interactions between TAMs, their
related chemokines, and progression of lung adenocarcinoma, and adds further
evidence to support the importance of TAMs in tumorigenesis.
PMID- 29656750
TI - Afatinib in heavily pretreated advanced NSCLC patients who progressed following
prior gefitinib or erlotinib: Compassionate use program in Korea.
AB - INTRODUCTION: Afatinib, an irreversible ErbB family blocker, approved for first
line treatment of epidermal growth factor receptor (EGFR) mutated advanced non
small cell lung cancer (NSCLC). This study investigated experience of afatinib
within a compassionate use program (CUP). METHODS: The afatinib CUP was an open
label, multicenter, single-arm program in Korea. We enrolled patients with stage
IV NSCLC and who had received at least one line of previous cytotoxic
chemotherapy and previous EGFR TKI treatment with either an EGFR mutation or
documented clinical benefit. The starting dose of afatinib was 50 mg once daily.
RESULTS: From August 2011 to September 2014, 332 patients received at least one
dose of afatinib. Most patients were registered in the CUP for fourth- or fifth
line treatment with afatinib. Adverse events (AEs) occurred in 98.1% of patients,
including 29.8% with serious AEs. The most common AEs (all grades) were diarrhea
(90.1%) and skin rash (62.0%). Dose reductions occurred in 60.5% of patients and
discontinuations due to AEs were reported in 11.1% of patients. The response rate
and median time to treatment failure (TTF) were 27.4% and 3.3 months (CI 95%, 2.8
3.8 months), respectively, in this highly pretreated population. In subgroup
analysis, ECOG PS 0 or 1 and immediate pretreatment with pemetrexed monotherapy
or a platinum doublet were associated with a longer TTF for afatinib.
CONCLUSIONS: No additional or unexpected safety concerns were observed, and
afatinib demonstrated moderate antitumor activity in advanced NSCLC patients with
acquired resistance to gefitinib or erlotinib in a real-world setting.
PMID- 29656751
TI - Circulating tumor DNA testing in advanced non-small cell lung cancer.
AB - Circulating tumor DNA (ctDNA) shed from cancer cells into the peripheral blood
can be non-invasively collected and tested for the presence of tumor-specific
mutations. Mutations identified in ctDNA can predict responses to targeted
therapies and emerging evidence suggests that changes in ctDNA levels over time
can be used to monitor response to therapy and detect disease recurrence. Given
the emergence of targeted therapies in advanced non-small cell lung cancer
(NSCLC), liquid biopsies utilizing ctDNA testing represent a powerful approach to
genotype tumors and monitor for the development of resistance. Here, we review
current and potential future clinical applications of ctDNA testing for patients
with advanced NSCLC.
PMID- 29656752
TI - Large cell neuroendocrine lung carcinoma induces peripheral T-cell repertoire
alterations with predictive and prognostic significance.
AB - OBJECTIVES: This study was performed to evaluate for a potentially important role
of T cells in the pathophysiology and treatment sensitivity of large cell
neuroendocrine lung carcinoma (LCNEC), an orphan disease with poor prognosis and
scarce data to guide novel therapeutic strategies. MATERIALS AND METHODS: We
performed T-cell receptor (TCR) beta-chain spectratyping on blood samples of
patients treated within the CRAD001KDE37 trial (n = 35) using age-matched current
or former (n = 11) and never smokers (n = 10) as controls. The data were analyzed
in conjunction with the complete blood counts of the probands as well as the data
about response to treatment and overall survival in the clinical trial. RESULTS
AND CONCLUSION: Untreated stage IV LCNEC patients had significant T-cell
repertoire alterations (p < 0.001) compared to age-matched smokers. These changes
correlated positively with blood lymphocyte counts (r = 0.49, p < 0.01),
suggesting antigen-induced T-cell proliferation as the causative mechanism. At
the same time, LCNEC patients showed mild lymphopenia (1.54 vs. 2.51/nl in
median, p < 0.01), which reveals a second, antigen-independent mechanism of
systemic immune dysregulation. More pronounced T-cell repertoire alterations and
higher blood lymphocyte counts at diagnosis were associated with a better
treatment response by RECIST and with a longer overall survival (441 vs. 157 days
in median, p = 0.019). A higher degree of T-cell repertoire normalization after 3
months of therapy also distinguished a patient group with more favourable
prognosis (median overall survival 617 vs. 316 days, p = 0.036) independent of
radiological response. Thus, LCNEC induces clinically relevant changes of the T
cell repertoire, which are measurable in the blood and could be exploited for
prognostic, predictive and therapeutic purposes. Their pathogenesis appears to
involve antigen-induced oligoclonal T-cell expansions superimposed on TCR
independent lymphopenia.
PMID- 29656753
TI - Extraction of radiomic values from lung adenocarcinoma with near-pure subtypes in
the International Association for the Study of Lung Cancer/the American Thoracic
Society/the European Respiratory Society (IASLC/ATS/ERS) classification.
AB - INTRODUCTION: Histological subtypes of lung adenocarcinomas (ADCs) classified by
the International Association for the Study of Lung Cancer/American Thoracic
Society/European Respiratory Society (IASLC/ATS/ERS) system have been
investigated using radiomic approaches. However, the results have had limitations
since <80% of invasive lung ADCs were heterogeneous, with two or more subtypes.
To reduce the influence of heterogeneity during radiomic analysis, computed
tomography (CT) images of lung ADCs with near-pure ADC subtypes were analyzed to
extract representative radiomic features of different subtypes. METHODS: We
enrolled 95 patients who underwent complete resection for lung ADC and a
pathological diagnosis of a "near-pure" (>=70%) IASLC/ATS/ERS histological
subtype. Conventional histogram/morphological features and complex radiomic
features (grey-level-based statistical features and component variance-based
features) of thin-cut CT data of tumor regions were analyzed. A prediction model
based on leave-one-out cross-validation (LOOCV) and logistic regression (LR) was
used to classify all five subtypes and three pathologic grades (lepidic,
acinar/papillary, micropapillary/solid) of ADCs. The validation was performed
using 36 near-pure ADCs in a later cohort. RESULTS: A total of 31 lepidic, 14
papillary, 32 acinar, 10 micropapillary, and 8 solid ADCs were analyzed. With 21
conventional and complex radiomic features, for 5 subtypes and 3 pathological
grades, the prediction models achieved accuracy rates of 84.2% (80/95) and 91.6%
(87/95), respectively, while accuracy was 71.6% and 85.3%, respectively, if only
conventional features were used. The accuracy rate for the validation set (n =
36) was 83.3% (30/36) and 94.4% (34/36) in 5 subtypes and 3 pathological grades,
respectively, using conventional and complex features, while it was 66.7% and
77.8% only using conventional features, respectively. CONCLUSION: Lung ADC with
high purity pathological subtypes demonstrates strong stratification of radiomic
values, which provide basic information for accurate pathological subtyping and
image parcellation of tumor sub-regions.
PMID- 29656754
TI - Malignant cells from pleural fluids in malignant mesothelioma patients reveal
novel mutations.
AB - OBJECTIVES: Malignant mesothelioma (MM) is an asbestos related tumour affecting
cells of serosal cavities. More than 70% of MM patients develop pleural effusions
which contain tumour cells, representing a readily accessible source of malignant
cells for genetic analysis. Although common somatic mutations and losses have
been identified in solid MM tumours, the characterization of tumour cells within
pleural effusions could provide novel insights but is little studied. MATERIALS
AND METHODS: DNA and RNA were extracted from cells from short term cultures of 27
human MM pleural effusion samples. Whole exome and transcriptome sequencing was
performed using the Ion Torrent platform. Somatic mutations were identified using
VarScan2 and SomaticSniper. Copy number alterations were identified using
ExomeCNV in R. Significant copy number alterations were identified across all
samples using GISTIC2.0. The association between tumour intrinsic properties and
survival was analyzed using the Cox proportional hazards regression model.
RESULTS: We identified BAP1, CDKN2A and NF2 alterations in the cells from MM
pleural effusions at a higher frequency than what is typically seen in MM tumours
from surgical series. The median mutation rate was 1.09 mutations/Mb. TRAF7 and
LATS2 alterations were also identified at a high frequency (66% and 59%
respectively). Novel regions of interest were identified, including alterations
in FGFR3, and the regions 19p13.3, 8p23.1 and 1p36.32. CONCLUSION: Short term
cultures of tumour cells from MM pleural effusions offer an accessible
alternative to surgical tumour biopsies in the study of MM genomics and reveal
novel mutations of interest. Pleural effusion tumour cells provide an opportunity
for the monitoring of tumour dynamics, treatment response and the clonal
evolution of MM tumours.
PMID- 29656755
TI - The burden of lung cancer in Latin-America and challenges in the access to
genomic profiling, immunotherapy and targeted treatments.
AB - Lung cancer is a public health problem worldwide and Latin America (LATAM) cannot
escape this reality. This malignant disease has not only a high prevalence in the
region, but is also the main cause of cancer related deaths, and in other
emerging countries, the incidence rates are still on the rise. Interestingly in
most LATAM countries, lung cancer mortality has been decreasing in men but not in
women, reflecting smoking patterns in countries such as Chile, Bolivia, and
Brazil. Despite the fact that these issues are well known to government agencies,
physicians and patients in the region, current efforts still fall behind those
needed in order to face this problem of epidemic proportions. Tobacco control and
smoking cessation are the most important interventions against lung cancer, but
even with their optimal implementation (which is far from reality at this time)
the number of cases in the foreseeable future would still be significant. Beyond
tobacco control, advances in our understanding of the molecular component of lung
cancer have resulted in new targeted therapies and immune check point inhibitors,
which have improved clinical outcomes but at a considerably higher financial
cost. LATAM has not widely and speedily adopted these strategies, including new
technology and approved novel drugs, due to a number of facts, and therefore only
a dismal proportion of LATAMs patient population have benefited from these new
advances. A keen focus on a heterogeneous education system for caregivers in lung
cancer treatment would likely help standardize care and improve future potential
gains from domestic research. In this review we discuss the challenges of
treatment implementation, focusing on new technologies.
PMID- 29656756
TI - Correlation of tumor-related immunity with 18F-FDG-PET in pulmonary squamous-cell
carcinoma.
AB - OBJECTIVES: 2-Deoxy-2-[fluorine-18] fluoro-d-glucose with positron emission
tomography (18F-FDG-PET) is a clinically useful tool for cancer evaluation. 18F
FDG accumulation in tumor cells is known to be correlated with the presence of
glucose transporter 1 (GLUT1) and hypoxia-inducible factor-1alpha (HIF-1alpha).
Although anti-programmed death-1 (PD-1) antibody treatments have been approved,
no suitable predictor of significant responders has been identified. Based on the
existing information, we investigated the relationship between tumor immunity
(including PD-L1) and 18F-FDG uptake in patients with surgically resected
pulmonary squamous-cell carcinoma (SQC). MATERIALS AND METHODS: This study
included 167 patients (153 men and 14 women) with SQC who underwent 18F-FDG PET.
Tumor sections were stained by immunohistochemistry for GLUT1, HIF-1alpha, PD-L1,
CD4, CD8, and Foxp3. The relationship between clinicopathological features and
18F-FDG uptake was analyzed. Student's t-test, the chi2 test, non-parametric
Spearman's rank test and the Kaplan-Meier method were used to show associations
between variables. RESULTS: The rate of positive PD-L1 expression was 79%
(132/167), and PD-L1 expression was significantly associated with GLUT1 (P <
0.01), HIF-1alpha (P < 10-4), and CD8 (P < 1 * 10-3) expression. The SUVmax of
18F-FDG was significantly correlated with PD-L1 (P = 0.02) and GLUT1 (P < 0.01)
expression. Multivariate analysis demonstrated that advanced stage, elevated PD
L1 expression, and elevated SUVmax were independent prognostic factors for
predicting poor OS. Among patients with a high SUVmax, multivariate analysis
confirmed that advanced stage and high PD-L1 expression were independent
prognostic factors for poor OS; however, there was no significant difference
among patients with a low SUVmax. CONCLUSION: High SUVmax on 18F-FDG-PET is
associated with PD-L1 expression but is an independent prognostic factor for OS
in our population of surgically resected pulmonary squamous-cell carcinoma.
PMID- 29656757
TI - Associations of objectively assessed physical activity and sedentary time with
health-related quality of life among lung cancer survivors: A quantile regression
approach.
AB - OBJECTIVES: No studies have examined objectively assessed physical activity,
sedentary time, and patient-reported outcomes among lung cancer survivors. The
objective of this study was to determine associations of objectively assessed
moderate-to-vigorous intensity physical activity (MVPA) and sedentary time with
health-related quality of life (HRQoL) and fatigue among lung cancer survivors.
MATERIALS AND METHOD: Lung cancer survivors in Southern Alberta (N = 540) were
invited to complete a mailed survey that assessed HRQoL [Functional Assessment of
Cancer Therapy-Lung (FACT-L)], physical and functional well-being [Trial Outcome
Index (TOI)], and fatigue [Fatigue Scale (FS)]. Physical activity and sedentary
time data was collected using an Actigraph(r) GT3X+ accelerometer that was worn
on the hip for seven consecutive days. Quantile regression was used to examine
associations of HRQoL and fatigue with physical activity and sedentary time at
the 25th, 50th, and 75th HRQoL and fatigue percentiles. RESULTS: A total of 127
lung cancer survivors participated for a 24% response rate (Mean age = 71 years;
Mean time since diagnosis = 75 months). Total MVPA minutes was positively
associated with fewer fatigue symptoms at the 25th percentile (beta = 0.16, p =
0.046). Total sedentary time was inversely associated with HRQoL at the 75th
percentile (beta = -0.07, p = 0.014) and inversely associated with fatigue
symptoms at the 50th percentile (beta = -0.04, p = 0.009). Total sedentary time
was also inversely associated with physical and functional well-being scores at
the 25th (beta = -0.07, p = 0.045), 50th (beta = -0.07, p = 0.004) and 75th (beta
= -0.04, p = 0.035) percentiles. CONCLUSION: Across the HRQoL, fatigue, and
physical and functional well-being distributions, sedentary time was inversely
associated with HRQoL, fatigue, and physical and functional well-being in lung
cancer survivors. Small associations were observed between MVPA and fatigue, but
no associations emerged with HRQoL or physical and functional well-being.
PMID- 29656759
TI - Physical behavior and associations with health outcomes in operable NSCLC
patients: A prospective study.
AB - OBJECTIVES: Our objectives were to 1) characterize daily physical behavior of
operable non-small cell lung cancer (NSCLC) patients, from preoperative to six
months postoperative using accelerometry, and explore if physical behavior
preoperative or one month postoperative is associated with better health outcomes
at six months postoperative. METHODS: A prospective study with 23 patients (13
female) diagnosed with primary NSCLC and scheduled for curative lung resection
was performed. Outcome measures were assessed two weeks preoperative, and one,
three and six months postoperative, and included accelerometer-derived physical
behavior measures and the following health outcomes: six minute walking distance
(6MWD), questionnaires concerning health-related quality of life (HRQOL), fatigue
and distress. RESULTS: On group average, physical behavior showed significant
changes over time. Physical behavior worsened following surgery, but improved
between one and six months postoperative, almost reaching preoperative levels.
However, physical behavior showed high variability between patients in both
amount as well as change over time. More time in moderate-to-vigorous physical
activity in bouts of 10 min or longer in the first month postoperative was
significantly associated with better 6MWD, HRQOL, distress, and fatigue at six
months postoperative. CONCLUSION: As expected, curative lung resection impacts
physical behavior. Patients who were more active in the first month following
surgery reported better health outcome six months postoperative. The large
variability in activity patterns over time observed between patients, suggests
that physical behavior 'profiling' through detailed monitoring of physical
behavior could facilitate tailored goal setting in interventions that target
change in physical behavior.
PMID- 29656758
TI - Feasibility of endobronchial ultrasound transbronchial needle aspiration for
massively parallel next-generation sequencing in thoracic cancer patients.
AB - INTRODUCTION: Next-generation sequencing (NGS) allows for the identification of a
growing number of therapeutic and prognostic molecular targets. However, NGS
typically requires greater quantities of DNA than traditional molecular testing
does. Endobronchial ultrasound transbronchial needle aspiration (EBUS-TBNA) is a
minimally invasive procedure used to sample central thoracic lesions, but it is
not well established whether this technique provides sufficient material for NGS.
METHODS: We performed a retrospective review of EBUS-TBNA at our institution
(3/1/14-9/28/16). NGS was performed using a comprehensive hybrid-capture based
assay (MSK-IMPACT) that detects >340 gene mutations. Samples found to be
diagnostic for malignancy and for which MSK-IMPACT had been attempted were
identified. Pathologic and clinical data were obtained from the medical record,
and the results of MSK-IMPACT were examined. RESULTS: In total, 784 EBUS-TBNA
procedures were performed during the study period. MSK-IMPACT was requested for
115 malignant samples and was successful for 99 (86.1%), identifying an average
of 12.7 mutations at a mean coverage depth of 806X. NGS was performed on paraffin
embedded cell blocks in 93 cases (93.9%) and on cell-free DNA in needle rinse
fluid in 6 cases. The success rate of the assay improved significantly from the
first third of cases (76.3%), to 92.3% for the final one-third of cases (p <
0.05). CONCLUSIONS: EBUS-TBNA reliably provided adequate tissue for hybrid
capture NGS, and is a suitable option for comprehensive NGS testing in patients
with thoracic malignancies.
PMID- 29656760
TI - Successful treatment with brigatinib in a patient with ALK-rearranged lung
adenocarcinoma who developed crizotinib-induced interstitial lung disease.
AB - We present a 45-year-old patient diagnosed with anaplastic lymphoma kinase (ALK)
rearranged metastatic lung cancer who developed grade 4 interstitial lung disease
(ILD) while on crizotinib treatment and was lately treated with brigatinib with
no reappearance of ILD. To our knowledge, this is the first case report of
successful treatment with brigatinib after crizotinib-induced ILD. Even though
ILD secondary to brigatinib has been reported in clinical trials, no pulmonary
toxicity has been seen in our patient, suggesting no crosslink lung toxicity
between crizotinib and brigatinib.
PMID- 29656761
TI - Adsorption of organic molecules on mineral surfaces studied by first-principle
calculations: A review.
AB - First-principle calculations, especially by the density functional theory (DFT)
methods, are becoming a power technique to study molecular structure and
properties of organic/inorganic interfaces. This review introduces some recent
examples on the study of adsorption models of organic molecules or oligomers on
mineral surfaces and interfacial properties obtained from first-principles
calculations. The aim of this contribution is to inspire scientists to benefit
from first-principle calculations and to apply the similar strategies when
studying and tailoring interfacial properties at the atomistic scale, especially
for those interested in the design and development of new molecules and new
products.
PMID- 29656762
TI - Clinical guideline on bone conduction implants.
AB - INTRODUCTION AND GOALS: During the last decade there have been multiple and
relevant advances in conduction and mixed hearing loss treatment. These advances
and the appearance of new devices have extended the indications for bone
conduction implants. The Scientific Committee of Audiology of the Sociedad
Espanola de Otorrinolaringologia y Cirugia de Cabeza y Cuello SEORL-CCC (Spanish
Society of Otolaryngology and Head and Neck Surgery), together with the Otology
and Otoneurology Committees, have undertaken a review of the current state of
bone-conduction devices with updated information, to provide a clinical guideline
on bone-conduction implants for otorhinolaryngology specialists, health
professionals, health authorities and society in general. METHODS: This clinical
guideline on bone-conduction implants contains information on the following: 1)
Definition and description of bone-conduction devices; 2) Current and upcoming
indications for bone conduction devices: Magnetic resonance compatibility; 3)
Organization requirements for a bone-conduction implant programme. RESULTS AND
CONCLUSIONS: The purpose of this guideline is to describe the different bone
conduction implants, their characteristics and their indications, and to provide
coordinated instructions for all the above-mentioned agents for decision making
within their specific work areas.
PMID- 29656763
TI - WITHDRAWN: Combination of NSAID and Antitussives in Treatment of URTI Related
Cough: An Observational Study.
AB - The Publisher regrets that this article is an accidental duplication of an
article that has already been published in Eur Respir J. 46 (2015) PA3852,
http://dx.doi.org/10.1183/13993003.congress-2015.PA3852. The duplicate article
has therefore been withdrawn. The full Elsevier Policy on Article Withdrawal can
be found at https://www.elsevier.com/about/our-business/policies/article
withdrawal.
PMID- 29656765
TI - Telltale Hairs.
PMID- 29656764
TI - Prescribing Habits for Androgenic Alopecia Among Dermatologists in Spain in 2017:
A Cross-Sectional Study.
AB - BACKGROUND: Topical minoxidil and oral finasteride are the only drugs approved
for the treatment of androgenetic alopecia (AGA) in Spain. However, the
management of this condition is highly variable because numerous treatments are
used off-label. The main aim of this study was to describe the prescribing habits
of dermatologists in Spain for male AGA (MAGA) and female AGA (FAGA). MATERIAL
AND METHODS: Descriptive cross-sectional study using online questionnaires
completed by dermatologists working in Spain. RESULTS: The responses of 241
dermatologists were analyzed. The most common treatments prescribed for MAGA were
minoxidil (98%), oral finasteride (96%), nutricosmetics (44%), topical
finasteride (37%), oral dutasteride (33%), platelet-rich plasma (14%), and low
level laser therapy (8%). For premenopausal FAGA, the most common treatments were
topical minoxidil (98%), oral contraceptives (81%), nutricosmetics (72%),
cyproterone acetate (58%), oral finasteride (39%), topical finasteride (39%),
spironolactone (27%), platelet-rich plasma (20%), oral dutasteride (20%), oral
flutamide (18%), and low-level laser therapy (7%). Finally, for postmenopausal
FAGA, the most common treatments prescribed were topical minoxidil (98%), oral
finasteride (84%), nutricosmetics (68%), topical finasteride (50%), oral
dutasteride (35%), platelet-rich plasma (21%), spironolactone (16%), cyproterone
acetate (16%), oral flutamide (9%), and low-level laser therapy (9%). A
limitation of our study is that we did not analyze novel AGA treatments such as
oral minoxidil and dutasteride mesotherapy. CONCLUSIONS: The most common
treatments prescribed for AGA by dermatologists in Spain are topical minoxidil,
oral finasteride, and nutricosmetics for MAGA and postmenopausal FAGA and topical
minoxidil, oral contraceptives, and nutricosmetics for premenopausal FAGA.
PMID- 29656766
TI - Hereditary paraganglioma-pheochromocytoma syndrome.
PMID- 29656767
TI - Restrictions of nitrofurantoin: Lights and shadows.
PMID- 29656769
TI - Population Control in Small Animals.
AB - Optimal age for ovariohysterectomy or castration has not been defined in the
scientific literature. Bitches and queens are significantly less likely to
develop mammary neoplasia, which has a high incidence and potentially high
morbidity and mortality, if spayed when young. Tom cats exhibit undesirable
behaviors that preclude them being good pets and should be castrated young. There
is no compelling reason to castrate male dogs when young unless it is needed to
control reproductive behaviors or prevent indiscriminate breeding. Alternatives
to surgical sterilization that may be available in the future include
intratesticular injection and immunization against gonadotropin-releasing
hormone.
PMID- 29656770
TI - Assisted Reproduction in the Female Cat.
AB - Assisted reproduction in the queen can range from simple ovulation induction to
more advanced techniques such as in vitro fertilization. This article describes
techniques available and the success associated with each.
PMID- 29656768
TI - A rare variant in MLKL confers susceptibility to ApoE E4-negative Alzheimer's
disease in Hong Kong Chinese population.
AB - Alzheimer's disease (AD) is the most common neurodegenerative disorders in the
elderly. To identify rare genetic factors other than apolipoprotein E E4 allele
(ApoE E4) contributing to the pathogenesis of late-onset AD (LOAD), we conducted
a whole-exome analysis of 246 ApoE E4-negative LOAD cases and 172 matched
controls in Hong Kong Chinese population. LOAD patients showed a significantly
higher burden of rare loss-of-function variants in genes related to immune
function than healthy controls. Among the genes involved in immune function, we
identified a rare stop-gain variant (p.Q48X) in mixed lineage kinase domain like
pseudokinase (MLKL) gene present exclusively in 6 LOAD cases. MLKL is expressed
in neurons, and the its expression levels in the p.Q48X carriers were
significantly lower than that in age-matched wild-type controls. The ratio of
Abeta42 to Abeta40 significantly increased in MLKL knockdown cells compared to
scramble controls. MLKL loss-of-function mutation might contribute to late-onset
ApoE E4-negative AD in the Hong Kong Chinese population.
PMID- 29656771
TI - Been There, Done That: A Practical Primer for Veterinarians Considering Inclusion
of Small Animal Theriogenology Services in Their Practice.
AB - Broadening your scope of practice to include theriogenology services offers a
myriad of advantages. Theriogenology services are profitable, offer new revenue
streams, and optimize the use of support staff and hospital. Offering
reproductive services sets your practice apart from competitor practices. Breeder
clients are demanding but loyal and return for repeat services; they also request
and follow recommendations for "high-end" services. Your theriogenology clients
often refer locally placed puppies and kittens to you for primary care and you
gain new general practice clients. And it is fun!
PMID- 29656772
TI - Mismating Diagnosis and Protocols.
AB - Mismating, or termination of pregnancy, is a commonly requested reproductive
procedure for bitches and queens. Surgical treatment via ovariohysterectomy is
the preferred choice when bitches or queens are not desired for future breeding
purposes. Animals that are reproductively valuable can be treated with a variety
of drugs to terminate the pregnancy. The choice of specific medical therapy is
based on safety, efficacy, availability of the drug, and gestational age of the
pregnancy. Currently, there is no US Food and Drug Administration-approved
treatment of mismating in North America for dogs or cats.
PMID- 29656774
TI - Connecting the dots in mental illness: The synapse as the intersection of brain
function and disease.
PMID- 29656773
TI - Assisted Reproduction in the Male Cat.
AB - The demand for feline semen collection, evaluation, and subsequent use is growing
as a way to preserve important genetic materials. This article describes semen
collection methods using a variety of techniques that can be applicable in almost
any setting. Also discussed are cryopreservation methods that optimize sperm
survival.
PMID- 29656775
TI - The NCI Physical Sciences - Oncology Network.
AB - Nastaran Zahir is Associate Director of the Physical Sciences - Oncology Network
in the Division of Cancer Biology at the National Cancer Institute. Dr. Zahir
coordinates cross-cutting efforts to integrate physical sciences perspectives
with cancer research by fostering transdisciplinary research collaborations,
supporting education and outreach programs, and promoting resources for data
sharing and biospecimen standards.
PMID- 29656776
TI - Purulenta pericarditis and Pasteurella multocida: an extremely rare entity.
AB - Purulent pericarditis is a rare entity, defined as the presence of neutrophilic
pericardial effusion which is infected by a bacterial, fungus or parasite agent.
The diagnosis can be challenging, especially if patients have taken previous
antibiotic therapy; on the other hand the recognition of this pathology is often
made late, with the onset of severe symptoms or signs of cardiac tamponade or
even only at the autopsy. The authors describe the case of a 82-year-old woman
with history of extensive laceration of the right lower limb from a dog bite in
July 2016, admitted to the Cardiology Department one month later for Acute
Pericarditis. During hospitalization she maintained recurrent fever peaks despite
the treatment with non-steroidal anti-inflammatory drugs and colchicine. She
collected blood cultures and repeated echocardiogram showed increased pericardial
effusion with no signs of hemodynamic compromise. Blood cultures revealed the
presence of Pasteurella multocida. Due to clinical suspicion of purulent
pericarditis, pericardiocentesis was performed with drainage of liquid compatible
with exudate and the patient was presented to the Cardiothoracic Surgery
Department for pericardiotomy and adequate drainage of the liquid. Histological
examination confirmed the diagnosis of Acute Pericarditis. It should be noted
that Pasteurella is a very frequent agent (50-90%) in the gastrointestinal tract
and nasopharynx of many domestic animals, namely dogs. The authors emphasize the
need to aggressively treat this pathology, since untreated death is inevitable.
PMID- 29656779
TI - Erratum to "microRNAs as mediators of insect host-pathogen interactions and
immunity" [Journal of Insect Physiology 70 (2014) 151-158].
PMID- 29656780
TI - Abnormal P-Wave Axis and Risk of Atrial Fibrillation: Not Just the Left Atrial
Enlargement but Other Factors Also Play a Significant Role.
PMID- 29656781
TI - Observational and Genetic Associations of Resting Heart Rate With Aortic Valve
Calcium.
AB - It is unknown if lifelong exposure to increased hemodynamic stress from an
elevated resting heart rate (HR) may contribute to aortic valve calcium (AVC). We
performed multivariate regression analyses using data from 1,266 Framingham Heart
Study (FHS) Offspring cohort participants and 6,764 Multi-Ethnic Study of
Atherosclerosis (MESA) participants. We constructed a genetic risk score (GRS)
for HR using summary-level data in the Cohorts for Heart and Aging Research in
Genomic Epidemiology (CHARGE) AVC Consortium to investigate if there was evidence
in favor of a causal relation. AVC was present in 39% of FHS Offspring cohort
participants and in 13% of MESA cohort participants. In multivariate adjusted
models, participants in the highest resting HR quartiles had significantly
greater prevalence of AVC, with a prevalence ratio of 1.19 (95% confidence
interval [CI] 0.99 to 1.44) for the FHS Offspring cohort and 1.32 (95% CI 1.12 to
1.63) for the MESA cohort, compared with those in the lowest quartile. There was
a similar increase in the prevalence of AVC per standard deviation increase in
resting HR in both FHS Offspring (prevalence ratio 1.08, 95% CI 1.01 to 1.15) and
MESA (1.10, 95% CI 1.03 to 1.17). In contrast with these observational findings,
a HR associated GRS was not significantly associated with AVC. Although our
observational analysis indicates that a higher resting HR is associated with AVC,
our genetic results do not support a causal relation. Unmeasured environmental
and/or lifestyle factors associated with both increased resting HR and AVC that
are not fully explained by covariates in our observational models may account for
the association between resting HR and AVC.
PMID- 29656782
TI - Response to: evaluation of sarcopenia in children.
PMID- 29656783
TI - Nationwide overview of survival and management of appendiceal tumors in children.
AB - INTRODUCTION: There remains a paucity of literature on survival related to
pediatric appendiceal tumors. The purpose of this study was to determine the
incidence, surgical management, and survival outcomes of appendiceal tumors in
pediatric patients. METHODS: The Surveillance, Epidemiology, and End Results
(SEER) Registry was analyzed for pediatric appendiceal tumors from 1973 to 2011.
Parameters analyzed were: tumor type, surgical management (appendectomy vs.
extensive resection), tumor size, and lymph node sampling. Chi-square analysis
for categorical and Student's t test for continuous data were used. RESULTS:
Overall, 209 patients had an appendiceal tumor, including carcinoid (72%),
appendiceal adenocarcinoma (16%), and lymphoma (12%). Patients undergoing
appendectomy vs. extensive resection had similar 15-year survival rates (98% vs.
97%; p=0.875). Appendectomy vs. extensive resection conferred no 15-year survival
advantage when patients were stratified by tumor type, including adenocarcinoma
(87% vs. 89%; p=0.791), carcinoid (100% vs. 100%; p=0.863), and lymphoma (94% vs.
100%; p=0.639). There was no significant difference in 15-year survival between
tumor size groups >=2 and <2cm (both 100%) and presence or absence of lymph node
sampling (96% and 97%; p=0.833) for all patients with a carcinoid tumor.
CONCLUSION: Appendectomy may be adequate for pediatric appendiceal tumors.
Extensive resection may be of limited utility for optimizing patient survival,
placing patient at greater operative risk. TYPE OF STUDY: Retrospective
Prognostic Study. LEVEL OF EVIDENCE: III.
PMID- 29656784
TI - Combined Contribution of Reduced Functional Mobility, Muscle Weakness, and Low
Serum Albumin in Prediction of All-Cause Mortality in Hemodialysis Patients: A
Retrospective Cohort Study.
AB - OBJECTIVES: The combined effects of reduced functional mobility, muscle weakness,
and low serum albumin on mortality in hemodialysis patients have not been
clarified. Here, we examined the associations of reduced functional mobility,
muscle weakness, and low serum albumin-both alone and in combination-with all
cause mortality in hemodialysis patients. METHODS: A total of 490 patients
treated between July 2010 and October 2016 were enrolled retrospectively in this
study. The independent prognostic effect of the combination of reduced functional
mobility, muscle weakness, and low serum albumin on survival was estimated by Cox
proportional hazard regression analysis. We calculated the increases in
predictive capacity by combining the associations of reduced functional mobility,
muscle weakness, and low serum albumin in comparison to each component alone
based on the receiver-operating characteristic curves, continuous net
reclassification improvement (NRI), and integrated discrimination improvement
(IDI). RESULTS: The final study population consisted of 314 hemodialysis
patients, and 56 patients died during the 6.5-year follow-up period. The high
Combined score group showed a significantly lower cumulative survival rate than
the low Combined score group (hazard ratio, 3.30; 95% confidence interval, 1.59
6.87; P = .001). Both NRI and IDI suggested that the addition of Combined score
to patient characteristics improved discrimination of patients at high risk of
mortality (NRI, 0.038 95% CI: 0.096 - 0.064, P < .001 IDI, 0.029 95% CI: 0.004 -
0.055, P = .025). CONCLUSIONS: The combined assessment of reduced functional
mobility, muscle weakness, and low serum albumin was associated with poorer
prognosis in patients on hemodialysis. The results presented here indicated that
the combination of reduced functional mobility, muscle weakness, and low serum
albumin is useful for accurate prediction of prognosis in hemodialysis patients.
PMID- 29656785
TI - Cancer Immunotherapy 2017 (Paris, France). Progress and challenges.
PMID- 29656786
TI - Numerical investigation of band spreading generated by flow-through needle and
fixed loop sample injectors.
AB - The present study reports on a computational fluid dynamics study of the band
broadening occurring in injector systems frequently used in contemporary liquid
chromatography instruments. The aim of this work is to determine band broadening
originating purely from the injection volume in absence of any other possible
contribution (e.g. band broadening due to the injection valve) and to unravel the
mechanism behind it. Simulations of the dispersion process in flow through needle
injectors were performed. In addition, fixed loop injectors were also simulated
and comparison with flow through needle injectors was made. The results are also
represented in a dimensionless form, allowing to generalize them to different
experimental conditions. It was shown that two different injection regimes exist
(the convection regime and the diffusion regime), both leading to narrow
injection bands, while operating the injection needle in between the two regimes
leads to broad injections bands. It was also found that the band broadening in
the flow-through needle injector is strongly affected by the holding time between
sample uptake and the actual injection. As a result, fixed, full loop injectors
produced narrower injection bands compared to flow-through needle injectors
operated with a realistic holding time.
PMID- 29656787
TI - Fifty-Year Incidence of Waldenstrom Macroglobulinemia in Olmsted County,
Minnesota, From 1961 Through 2010: A Population-Based Study With Complete Case
Capture and Hematopathologic Review.
AB - OBJECTIVE: To determine the incidence of Waldenstrom macroglobulinemia (WM) in a
strictly defined geographic area over a 50-year period. PATIENTS AND METHODS: All
residents of Olmsted County with a diagnosis of WM, consisting of a monoclonal
IgM protein of any size and/or 10% or more lymphoplasmacytic infiltration of the
bone marrow along with anemia, constitutional symptoms, hyperviscosity,
lymphadenopathy, or hepatosplenomegaly requiring therapy, were identified from
January 1, 1961, to December 31, 2010. Patients with smoldering WM,
lymphoplasmacytic lymphoma with an IgG or IgA monoclonal protein, and those with
an IgM monoclonal gammopathy of undetermined significance were excluded. The
peripheral blood smears, bone marrow aspirates, and biopsy specimens were
reviewed by an experienced hematopathologist. RESULTS: Twenty-two patients were
identified as having WM. The age-adjusted incidence rate for males was 0.92 per
100,000 person-years (95% CI, 0.44-1.39 per 100,000 person-years) and for females
was 0.30 per 100,000 person-years (95% CI, 0.08-0.53 per 100,000 person-years)
with an age- and sex-adjusted incidence of 0.57 per 100,000 person-years (95% CI,
0.33-0.81 per 100,000 person-years). When evaluated using a smoothing spline,
there was no convincing evidence for a change in the incidence of WM over the
past 50 years. Patients diagnosed with WM after 2000 had an approximately 2-fold
excess mortality compared with the expected population mortality (standardized
mortality ratio, 2.4; 95% CI, 0.64-6.0). CONCLUSION: Waldenstrom
macroglobulinemia is a rare malignancy, and the incidence in Olmsted County,
Minnesota, has shown virtually no change over the past 50 years.
PMID- 29656788
TI - Overweight Without Central Obesity, Cardiovascular Risk, and All-Cause Mortality.
AB - OBJECTIVE: To assess the association of overweight without central obesity with
risks of mortality. PATIENTS AND METHODS: We included 14,299 participants in the
Third National Health and Nutrition Examination Survey (from October 18, 1988,
through October 15, 1994). According to their body mass index and waist
circumference, participants were categorized into 7 anthropometric groups.
Logistic regression models were used to assess the relation of cardiovascular
risk factors (hypertension, diabetes, or hypercholesterolemia) and 10-year
cardiovascular risk to anthropometric groups. Cox proportional hazards models
were used to assess the risk of all-cause mortality, and competing-risks
regression models were used for calculating cardiovascular and noncardiovascular
mortality. RESULTS: Compared with those with normal body mass index and waist
circumference, overweight men without central obesity were more likely to have
all 3 cardiovascular risk factors and a high cardiovascular risk, whereas women
in this anthropometric group were more likely to have hypercholesterolemia. In
proportional hazards models, overweight without central obesity was associated
with lower all-cause mortality among men in the population with cardiovascular
risk factors (hazard ratio, 0.71; 95% CI, 0.56-0.89; P=.004) and the general
population (hazard ratio, 0.72; 95% CI, 0.60-0.87; P=.001), whereas results of
these comparisons among women were not significant (P>.05). In competing risk
analyses, overweight men without central obesity had a lower risk of
noncardiovascular mortality, but not cardiovascular mortality. CONCLUSION:
Although overweight without central obesity was associated with cardiovascular
risk factors and a high cardiovascular risk among men, men in this anthropometric
group had a lower mortality risk.
PMID- 29656789
TI - Voice Signal Characteristics Are Independently Associated With Coronary Artery
Disease.
AB - OBJECTIVE: Voice signal analysis is an emerging noninvasive diagnostic tool. The
current study tested the hypothesis that patient voice signal characteristics are
associated with the presence of coronary artery disease (CAD). METHODS: The study
population included 138 patients who were enrolled between January 1, 2015, and
February 28, 2017: 37 control subjects and 101 subjects who underwent planned
coronary angiogram. All subjects had their voice signal recorded to their
smartphone 3 times: reading a text, describing a positive emotional experience,
and describing a negative emotional experience. The Mel Frequency Cepstral
Coefficients were used to extract prespecified voice features from all 3
recordings. Voice was recorded before the angiogram and analysis was blinded with
respect to patient data. RESULTS: Final study cohort included 101 patients, of
whom 71 (71%) had CAD. Compared with subjects without CAD, patients with CAD were
older (median, 63 years; interquartile range [IQR], 55-68 years vs median, 53
years; IQR, 42-66 years; P=.003) and had a higher 10-year atherosclerotic
cardiovascular disease (ASCVD) risk score (9.4%; IQR, 5.0-18.7 vs 2.7%; IQR, 1.6
11.8; P=.005). Univariate binary logistic regression analysis identified 5 voice
features that were associated with CAD (P<.05 for all). Multivariate binary
logistic regression with adjustment for ASCVD risk score identified 2 voice
features that were independently associated with CAD (odds ratio [OR], 0.37; 95%
CI, 0.18-0.79; and 4.01; 95% CI, 1.25-12.84; P=.009 and P=.02, respectively).
Both features were more strongly associated with CAD when patients were asked to
describe an emotionally significant experience. CONCLUSION: This study suggests a
potential relationship between voice characteristics and CAD, with clinical
implications for telemedicine-when clinical health care is provided at a
distance.
PMID- 29656790
TI - Re: 'Killing two birds with one stone? Association between tobacco and alcohol
consumption.'
PMID- 29656791
TI - Cardiovascular risk factors predicting cardiac events are different in patients
with rheumatoid arthritis, psoriatic arthritis, and psoriasis.
AB - OBJECTIVES: Increased cardiovascular risk in rheumatoid arthritis (RA) is well
established. Examining traditional cardiovascular risk factors alone
underestimates cardiovascular risk in RA. Systematic inflammation, measured by
erythrocyte sedimentation rate or C-reactive protein is also a major risk factor.
However, the contribution of traditional cardiovascular risk factors (such as
obesity and hyperlipidaemia) compared to inflammation is uncertain in psoriatic
arthritis (PsA) and RA. We examine the incidence of major adverse cardiac events
(MACE) among patients with RA, PsA psoriasis, and controls adjusting for risk
factors, inflammation and disease modifying anti-rheumatic drug treatment, to
better define cardiovascular risk. METHODS: Using the Secure Anonymised
Information Linkage databank, comprising routinely collected Welsh health data
from 1999 to 2013, the incidence and first occurrence of a MACE in individuals
with RA (n = 8650), PsA (n = 2128) and psoriasis (n = 24,630) compared to
controls (n = 11,87,706) was investigated. RESULTS: Traditional cardiovascular
risk factors are higher in RA, PsA and psoriasis than controls. After adjusting
for these factors, additional cardiovascular risk was only significantly
increased in female RA patients (HR = 1.3; 95% CI: 1.0-1.7; p = 0.05) and
psoriasis (HR = 1.2; 95% CI: 1.0-1.4; p = 0.02) but not statistically significant
for PsA (HR = 1.5; 95% CI: 0.9-2.5; p = 0.13). ESR and CRP were increased in
patients with RA but not in patients with psoriasis. CONCLUSION: Additional
increased cardiovascular risk was observed in female RA and psoriasis but not
PsA. Systematic inflammation is higher in RA but not psoriasis, indicating that
there are varying mediators of cardiovascular risk across these conditions.
PMID- 29656792
TI - Training children aged 5-10 years in manual compliance control to improve drawing
and handwriting.
AB - A large proportion of school-aged children exhibit poor drawing and handwriting.
This prevalence limits the availability of therapy. We developed an automated
method for training improved manual compliance control and relatedly, prospective
control of a stylus. The approach included a difficult training task, while
providing parametrically modifiable support that enables the children to perform
successfully while developing good compliance control. The task was to use a
stylus to push a bead along a 3D wire path. Support was provided by making the
wire magnetically attractive to the stylus. Support was progressively reduced as
3D tracing performance improved. We report studies that (1) compared performance
of Typically Developing (TD) children and children with Developmental
Coordination Disorder (DCD), (2) tested training with active versus passive
movement, (3) tested progressively reduced versus constant or no support during
training, (4) tested children of different ages, (5) tested the transfer of
training to a drawing task, (6) tested the specificity of training in respect to
the size, shape and dimensionality of figures, and (7) investigated the relevance
of the training task to the Beery VMI, an inventory used to diagnose DCD. The
findings were as follows. (1) Pre-training performance of TD and DCD children was
the same and good with high support but distinct and poor with low support.
Support yielded good self-efficacy that motivated training. Post training
performance with no support was improved and the same for TD and DCD children.
(2) Actively controlled movements were required for improved performance. (3)
Progressively reduced support was required for good performance during and after
training. (4) Age differences in performance during pre-training were eliminated
post-training. (5) Improvements transferred to drawing. (6) There was no evidence
of specificity of training in transfer. (7) Disparate Beery scores were reflected
in pre-training but not post-training performance. We conclude that the method
improves manual compliance control, and more generally, prospective control of
movements used in drawing performance.
PMID- 29656793
TI - Long noncoding RNA VIM-AS1 promotes colorectal cancer progression and metastasis
by inducing EMT.
AB - Emerging evidence indicates that lncRNAs play crucial roles in the initiation and
progression of various malignant tumors. VIM-AS1 RNA is an lncRNA that
transcribes from a shared bidirectional promoter with vimentin mRNA and its
function in cancer cells is largely unknown. This study assessed the clinical
significance of VIM-AS1 expression in colorectal cancer (CRC). We found that the
VIM-AS1 transcript was significantly upregulated in high-grade, lymph node
metastasis and vascular invasion tumors. Loss-of-function experiments revealed
that the downregulation of VIM-AS1 could inhibit tumor cell proliferation by
inducing apoptosis, cellular senescence and arresting the cell cycle. Moreover,
the obtained data demonstrated that VIM-AS1 might play a crucial role in cell
migration as well as the epithelial to mesenchymal transition (EMT) of CRC cells.
Collectively, for the first time, our data provide novel evidence for the
biological and clinical significance of VIM-AS1 expression in CRC. Further, the
findings of this study suggest that VIM-AS1 promotes tumor growth and metastasis
by inducing EMT in CRC cells and could be considered as a novel tumor marker with
probable value in diagnosis and CRC treatment.
PMID- 29656794
TI - Immunohistochemistry for mismatch repair protein deficiency in endometrioid
endometrial carcinoma yields equivalent results when performed on endometrial
biopsy/curettage or hysterectomy specimens.
AB - OBJECTIVE: Universal screening of endometrial cancer (EC) for Lynch syndrome (LS)
has been increasingly implemented in the past five to ten years. Most
pathologists initiate screening with immunohistochemistry (IHC) for mismatch
repair proteins (MMRPs), using either pre-surgical samplings (endometrial biopsy
or curettage, EMB/C) or hysterectomy specimens. We report a systematic assessment
of the equivalence of IHC for LS screening on EMB/C versus hysterectomy
specimens. METHODS: We identified 99 patients diagnosed with endometrioid EC and
performed IHC for MMRPs MLH1, MSH2, MSH6, and PMS2 on their diagnostic EMB/C and
paired hysterectomy specimen. Each specimen was scored as MMRP-retained or MMRP
deficient. RESULTS: Ninety-one EMB/Cs had carcinoma, while 8 EMB/Cs showed only
complex atypical hyperplasia (CAH). Carcinoma was identified in all 99
hysterectomy specimens. Considering all 99 patients tested, concordance of MMRP
expression pattern between EMB/C and paired hysterectomy specimen was 100%. Sixty
nine cases retained all four MMRPs, while 30 were MMRP deficient (26 MLH1- and
PMS2-deficient, 3 MSH2- and MSH6-deficient, 1 PMS2-deficient). CONCLUSIONS: In
screening for LS in EC, IHC for MMRPs can be performed with identical accuracy on
either EMB/C or hysterectomy specimens. Routine testing of diagnostic EMB/Cs may
lead to earlier detection of MMRP deficiency, with improved patient uptake of
genetic counseling and potential for earlier identification of immunotherapy
candidates. Furthermore, reliable IHC-based LS screening performed on EMB/C can
guide patient management and genetic counseling in patients unable to undergo
hysterectomy.
PMID- 29656795
TI - Single-Cell Microgels: Technology, Challenges, and Applications.
AB - Single-cell-laden microgels effectively act as the engineered counterpart of the
smallest living building block of life: a cell within its pericellular matrix.
Recent breakthroughs have enabled the encapsulation of single cells in sub-100
MUm microgels to provide physiologically relevant microniches with minimal mass
transport limitations and favorable pharmacokinetic properties. Single-cell-laden
microgels offer additional unprecedented advantages, including facile
manipulation, culture, and analysis of individual cell within 3D
microenvironments. Therefore, single-cell microgel technology is expected to be
instrumental in many life science applications, including pharmacological
screenings, regenerative medicine, and fundamental biological research. In this
review, we discuss the latest trends, technical challenges, and breakthroughs,
and present our vision of the future of single-cell microgel technology and its
applications.
PMID- 29656796
TI - [Effect of influenza vaccination in Primary Healthcare workers and the general
population in Gran Canaria: A cross-sectional study].
AB - OBJECTIVE: To estimate the effect of the influenza vaccination in Primary
Healthcare workers and the general population vaccinated during the 2015/2016
campaign. DESIGN: Cross-sectional study. SETTING: All the Primary Healthcare
centres within the Gran Canaria healthcare region. PARTICIPANTS: A total of 1,868
Primary Healthcare workers (33.5% men; 66.5% women) and 795,605 individuals from
the general population (49.4% men; 50.6% women). PRINCIPAL MEASUREMENTS: The
outcome variables in Primary Healthcare workers were: influenza cases reported to
the Epidemiological Surveillance System, and the sick leave days due to illness.
In the general population: reported flu cases and vaccination coverage in
connection with the vaccination status of the healthcare professional. The
magnitude of association between vaccination and morbidity was estimated applying
logistic regression models. RESULTS: Although not statistically significant,
healthcare professionals that were not vaccinated had 1.7-fold increase in the
risk of having influenza than those vaccinated. In the general population the
association was significant in the female population (OR: 1.3; 95%CI: 1.1-1.5).
Population coverage was significantly higher when both the doctor and nurse were
vaccinated (OR: 1.3; 95%CI: 1.3-1.3), and reported flu cases decreased when the
nurse was vaccinated (OR: 0.9; 95%CI: 0.9-0.9). CONCLUSION: A possible protective
effect of influenza vaccination was observed in the general population, as well
as an influence of Primary Healthcare workers on the patients regarding this.
Even so, the low coverages registered point to a need to implement measures that
may lead to a more favourable attitude towards influenza vaccination.
PMID- 29656797
TI - Approach and Management of Traumatic Retroperitoneal Injuries.
AB - Traumatic retroperitoneal injuries constitute a challenge for trauma surgeons.
They usually occur in the context of a trauma patient with multiple associated
injuries, in whom invasive procedures have an important role in the diagnosis of
these injuries. The retroperitoneum is the anatomical region with the highest
mortality rates, therefore early diagnosis and treatment of these lesions acquire
special relevance. The aim of this study is to present current published
scientific evidence regarding incidence, mechanism of injury, diagnostic methods
and treatment through a review of the international literature from the last 70
years. In conclusion, this systematic review showed an increasing trend towards
non-surgical management of retroperitoneal injuries.
PMID- 29656798
TI - A Comparative study of two types of organ-sparing surgeries for early stage
penile cancer: Wide local excision vs partial penectomy.
AB - INTRODUCTION: Till date, there have been few reports of comparative studies on
the outcomes of these different treatment modalities. In this study, we have
aimed to comparatively evaluate the quality-of-life parameters, including sexual
function, urinary function, and health-related quality of life (HRQOL), in
patients with early stage penile cancers who underwent two different organ
sparing surgeries. MATERIAL AND METHODS: From March 2012 to March 2015, we
enrolled 15 patients with early stage penile cancers who underwent either wide
local excision or partial penectomy as organ-sparing surgical treatments. We
assessed their sexual and urinary functions and their HRQOL, using the
International Index of Erectile Function (IIEF-15), Self-Esteem and Relationship
(SEAR), and Erectile Dysfunction Inventory of Treatment Satisfaction (EDITS)
questionnaires and urodynamic determinations, and comparatively analyzed the
outcomes of these patients who underwent either type of surgery. RESULTS: All
patients who underwent these two types of surgeries experienced satisfactory
outcomes. The patients who underwent wide local excision performed relatively
better, in terms of their sexual functions, urinary functions, and HRQOL, but no
statistically significant differences were observed in the data collected via the
IIEF-15, SEAR, EDITS, and EORTC-QLQ-C30 questionnaires and urodynamic
determinations (p > 0.05), except in the data corresponding to the orgasmic
function (p = 0.033). CONCLUSION: Both types of organ-sparing surgeries assessed
in this study achieved good outcomes, in terms of the aesthetics, sexual
functions, urinary functions, and HRQOL in patients, without compromising the
therapeutic effects of these surgeries. However, the observed decreases in
orgasmic function will need to be addressed further.
PMID- 29656799
TI - Long-term outcomes of laparoscopic versus open D3 dissection for stage II/III
colon cancer: Results of propensity score analyses.
AB - BACKGROUND: Non-inferiority of the laparoscopic approach for stage II/III colon
cancer has not been clearly established. This study aimed to evaluate the long
term outcomes of laparoscopic versus open D3 surgery. METHODS: Subjects were 1230
consecutive patients with stage II/III colon cancer, who were referred to the
National Cancer Center Hospital from 2004 to 2013. Open surgery was performed in
821 (67%) patients, and laparoscopic surgery was performed in 409 (33%).
Propensity score analyses with overall survival as the primary endpoint were
performed in three different propensity score methods. RESULTS: Regression
adjustment using the propensity score as a linear predictor in the model showed
similar overall survival between laparoscopic and open surgeries [hazard ratio
(HR), 0.98 (95% CI [0.64-1.46]; p = 0.916)]. Stratification analysis of the
entire cohort revealed that, among five strata, only the highest stratum
(clinical T2/T3, clinical N0/N1, tumor size <6 cm, and body mass index (BMI) <
28) had an HR of <1 (0.37). In the other four strata, open surgery was favored as
reflected by HRs of >1 (1.13-1.26). The propensity score-matched cohort (365
matched pairs), from which patients with advanced disease and high BMI were
excluded, yielded an HR of 0.93 (95% CI [0.57-1.52]; p = 0.772). CONCLUSIONS:
Laparoscopic surgery appeared to be a safe and reasonable option for patients
with stage II/III colon cancer in general. Patients with high BMI, clinical N2
and T4 disease, and tumor size >=6 cm might require prudent selection of surgical
approach.
PMID- 29656800
TI - Dopaminergic Disturbances in Tourette Syndrome: An Integrative Account.
AB - Tourette syndrome (TS) is thought to involve dopaminergic disturbances, but the
nature of those disturbances remains controversial. Existing hypotheses suggest
that TS involves 1) supersensitive dopamine receptors, 2) overactive dopamine
transporters that cause low tonic but high phasic dopamine, 3) presynaptic
dysfunction in dopamine neurons, or 4) dopaminergic hyperinnervation. We review
evidence that contradicts the first two hypotheses; we also note that the last
two hypotheses have traditionally been considered too narrowly, explaining only
small subsets of findings. We review all studies that have used positron emission
tomography and single-photon emission computerized tomography to investigate the
dopaminergic system in TS. The seemingly diverse findings from those studies have
typically been interpreted as pointing to distinct mechanisms, as evidenced by
the various hypotheses concerning the nature of dopaminergic disturbances in TS.
We show, however, that the hyperinnervation hypothesis provides a simple,
parsimonious explanation for all such seemingly diverse findings. Dopaminergic
hyperinnervation likely causes increased tonic and phasic dopamine. We have
previously shown, using a computational model of the role of dopamine in basal
ganglia, that increased tonic dopamine and increased phasic dopamine likely
increase the propensities to express and learn tics, respectively. There is
therefore a plausible mechanistic link between dopaminergic hyperinnervation and
TS via increased tonic and phasic dopamine. To further bolster this argument, we
review evidence showing that all medications that are effective for TS reduce
signaling by tonic dopamine, phasic dopamine, or both.
PMID- 29656801
TI - [The law of March 5, 2007 and the care of persons placed under guardianship].
AB - The care of persons placed under guardianship has changed considerably since the
law of March 5, 2007, as greater autonomy is granted to them. By advocating
greater autonomy of protected adults, the French Civil Code is in opposition with
the French Public Health Code since the latter states that the systematic consent
of the guardian is required in addition to that of the protected adult for so
called general care. In cases of serious injury to bodily integrity, the
guardianship judge's opinion must be sought by the guardian. For some specific
medical procedures (medically assisted procreation, abortion, etc.), the consent
of the guardian does not seem necessary. A protected adult can now, if he/she is
able to understand the information, with the agreement of the guardianship judge
or the family council, appoint a trusted person and draft advance directives
without possible representation by the guardian. The legislator promotes greater
autonomy while not neglecting their protection. It also helps relieve the
guardian, who is generally trained in asset management, of sometimes difficult
medical decisions. Harmony between the Civil Code and the Public Health Code
seems essential so as to move towards greater autonomy of the person under
guardianship in the care relationship.
PMID- 29656802
TI - Impact on the brain of the inflammatory response to surgery.
AB - The brain is both the orchestrator as well as the target of the innate immune
system's response to the aseptic trauma of surgery. When trauma-induced
inflammation is not appropriately regulated persistent neuro-inflammation
interferes with the synaptic plasticity that underlies the learning and memory
aspects of cognition. The complications that ensue, include postoperative
delirium (POD) and postoperative cognitive dysfunction (POCD) at two poles of a
constellation that is now termed perioperative neurocognitive disorders. While
the relationship of acute POD to the more indolent POCD is not completely
understood both can be further complicated by earlier-onset of dementia and
higher mortality. How and why these disorders occur is the focus of this report.
The innate immune system response to peripheral trauma signals to the brain
through a regulated cascade of cellular and molecular actors producing a
teleological defense mechanism, "sickness behavior," to curtail further injury
and initiate repair. Sickness behavior, including disordered cognition, is
terminated by neural and humoral pathways that restore homeostasis and launch the
organism on a path to good health. With so many "moving parts" the innate immune
system is vulnerable in clinical settings that include advanced age and lifestyle
induced diseases such as "unhealthy" obesity and the inevitable insulin
resistance. Under these conditions, inflammation may become exaggerated and long
lived. Consideration is provided how to identify the high-risk surgical patient
and both pharmacological (including biological compounds) and non-pharmacological
strategies to customize care.
PMID- 29656803
TI - ?
PMID- 29656804
TI - Tools to screen and measure cognitive impairment after surgery and anesthesia.
AB - Cognition is essential to all aspects of our everyday life. Although we take our
cognitive function for granted, the perioperative period is prone to several
aggressions that might impair it. Postoperative cognitive dysfunction, has been
the aim of many studies recently, and was shown to be very common with an
incidence that can reach 40%, yielding not only impairment in cognition, but also
longer hospital stays, higher costs and greater mortality. While several studies
have revealed some of the mechanisms contributing to postoperative cognitive
dysfunction, the search for the perfect instrument to screen and measure
cognitive (dys)function has proven more elusive. The present paper aims to review
several cognitive evaluation methods, discussing their advantages and
disadvantages as well as their potential clinical applications in evaluating the
dynamics of the recovery of cognitive function after anesthesia and surgery. The
current availability of easy to use computerized tests might provide the tools
necessary to identify patients at risk, and promptly provide them with the
adequate course of action.
PMID- 29656805
TI - Multiple opioid prescriptions among privately insured dental patients in the
United States: Evidence from claims data.
AB - BACKGROUND: Multiple or high dosage opioid prescriptions increase the risk of
experiencing drug misuse and overdose. The authors examine index (first) and
follow-up opioid prescriptions for 1 year among privately insured dental patients
in the United States from 2010 through 2015. METHODS: The authors used the 2010
through 2015 Truven Health MarketScan Research databases and the Prescription
Drug Monitoring Program Training Technical Assistance Center conversion data set.
The authors analyzed index prescriptions, repeat prescriptions over 1 year, same
day multiple prescriptions, and concurrent prescriptions among dental patients.
Descriptive analyses were conducted for days' supply, quantity of opioids, daily
morphine milligram equivalent (MME) dose, and total MME dose. RESULTS:
Approximately 17.27% of all index prescriptions were dental related. The
percentage of dental-related index prescriptions for age groups 11 through 18
years and 19 through 25 years was 23.51% and 23.41%, respectively. Approximately
80.87% of repeat prescriptions within 30 days of dental-related index
prescriptions were dental related. In 39.07% of dental-related same-day multiple
prescription incidents, the daily dose was greater than or equal to 50 MME.
Approximately 58.28% of dental-related concurrent prescriptions were dispensed
when an existing dental-related opioid prescription was available. CONCLUSIONS:
Dental-related index prescriptions were highest for the age groups 11 through 18
years and 19 through 25 years. The frequency of dental-related repeat
prescriptions was the highest within 30 days of a dental-related index
prescription. PRACTICAL IMPLICATIONS: Low dosages of opioids and the use of
prescription drug monitoring programs before prescribing opioids may reduce the
potential for drug misuse or overdose.
PMID- 29656806
TI - Is social media the way to empower patients to share their experiences of dental
care?
AB - BACKGROUND: Social media present opportunities to understand patient experience
and information needs. In this study, the authors use hypodontia as an example to
explore social media use by dental patients and how this provides for
understanding patient experience. METHODS: The cross-sectional survey design
involved systematic search of 6 social media online environments with hypodontia
related terms. The authors categorized records by using a coding system for user,
type and theme of post, and target audience. The authors used a thematic
framework approach to analyze qualitatively the word content of posts from people
affected by hypodontia (nondentists). RESULTS: The authors searched and
identified 571 records and included 467 of them in their study. The authors
analyzed the content of records from people affected by hypodontia (n = 176).
Themes emerged about the experience of untreated hypodontia, treatment experience
and outcomes, and decision making. Content analysis provided evidence about peer
to-peer communication, areas of information need, and desire for peer support.
CONCLUSIONS: Social media communities have responded to the need for information
exchange and peer support by the public. Analysis of communications helped
identify a need for dissemination of understandable information to patients and
improved dentist awareness of patient needs. PRACTICAL IMPLICATIONS: Clinicians
should reassess understanding and information needs actively throughout treatment
and identify support needs. Clinicians should refer patients to quality
information sources and peer support groups.
PMID- 29656807
TI - The importance of the history and clinical examination.
PMID- 29656808
TI - Injections for Knee Osteoarthritis: Corticosteroids, Viscosupplementation,
Platelet-Rich Plasma, and Autologous Stem Cells.
AB - This article reviews the benefits of corticosteroid, viscosupplementation,
platelet-rich plasma, and autologous mesenchymal stem cell injections for the
treatment of patients with knee osteoarthritis. Integrating injections into both
clinical and surgical practices is complicated given existing health insurance
reimbursement policies. This review describes the outcomes associated with these
interventions and appropriate methods of navigating the existing reimbursement
pathways to help providers implement these treatments into their practices.
PMID- 29656809
TI - Lateral Knee Compartment Portals: A Cadaveric Study Defining a Posterolateral
Viewing Safety Zone.
AB - PURPOSE: This study attempted to define a reproducible "safe zone" based on extra
and intra-articular knee anatomy for placing one or 2 accessory portals in the
lateral tibiofemoral compartment for posterolateral region viewing. METHODS:
Standard portals were created in 10 cadaveric knees to enable posterolateral
region arthroscopic lateral tibiofemoral joint compartment viewing. After
identifying the lateral knee surface tissue "soft spot," an accessory
posterolateral portal (A) was created using an 18-gauge spinal needle and 4-mm
cannula under direct visualization of a 70 degrees arthroscope through the
anteromedial portal. A second accessory portal (B) was then created 1 cm
posterior and 1 cm superior to portal A. Accessory portal locations were measured
relative to capsular fold and popliteus tendon locations. Distances from the
peroneal nerve, lateral collateral ligament, popliteus tendon, and the biceps
tendon were determined. Statistical analysis compared portal location differences
from key anatomical structures (P < .05). RESULTS: Accessory portal A (mean +/-
95% confidence interval) was located 8.8 +/- 2.7 mm from the popliteus tendon,
11.6 +/- 2.7 mm from the lateral collateral ligament (LCL), 26.8 +/- 2.3 mm from
the peroneal nerve, and 4.9 +/- 2.5 mm from the biceps tendon. Accessory portal B
was located 17.3 +/- 2.8 mm from the popliteus tendon, 20 +/- 2.8 mm from the
LCL, 30.3 +/- 3.3 mm from the peroneal nerve, and 7.0 +/- 4.8 mm from the biceps
tendon. Accessory portal B was located a greater distance from the LCL and the
popliteus tendon than portal A (P < .0001). CONCLUSIONS: Using intra- and extra
articular anatomic landmarks, both accessory portals could be safely placed in
the lateral tibiofemoral joint compartment to enable posterolateral region
viewing. Accessory portals used individually or in combination may enable easier
posterolateral region viewing for arthroscopic repair of lateral tibiofemoral
compartment structures. CLINICAL RELEVANCE: Lateral tibiofemoral compartment
portals can be safely created to enable improved visibility for complex
arthroscopic procedures in the posterolateral viewing region.
PMID- 29656810
TI - The optimal sternal closure technique: Still elusive.
PMID- 29656811
TI - Discussion.
PMID- 29656812
TI - Seeking the greatest value of our action.
PMID- 29656813
TI - Ask not what your Fontan can do for you, ask what you can do for your Fontan!
PMID- 29656814
TI - Flow equals grow? Dunno!
PMID- 29656815
TI - Adherence to lung cancer surgical quality standards is critical for the survival
of both our patients and our specialty.
PMID- 29656816
TI - The devil is in the details.
PMID- 29656817
TI - Saving the esophagus: At what cost?
PMID- 29656818
TI - The aortic root does not dilate over time after replacement of the aortic valve
and ascending aorta in patients with bicuspid or tricuspid aortic valves.
AB - OBJECTIVE: Whether the aortopathy associated with bicuspid aortic valve (BAV)
disease occurs secondary to genetic or hemodynamic factors remains controversial.
In this article we describe the natural history of the aortic root in patients
with bicuspid versus tricuspid aortic valves (TAVs) after replacement of the
aortic valve and ascending aorta. METHODS: From 1990 to 2010, 406 patients (269
BAV, 137 TAV) underwent aortic valve and ascending aorta replacement at a single
institution. Patients with aortic dissection, endocarditis, previous aortic
surgery, or Marfan syndrome were excluded. All available follow-up imaging was
reviewed. RESULTS: Mean imaging follow-up was 5.5 (+/-5.3) years. Of all
patients, 66.5% had at least 1 aortic root measurement after the index operation.
Baseline aortic diameter was comparable between groups. In patients with BAV,
aortic root diameter increased at a clinically negligible rate over time (0.654
mm per year; 95% confidence interval, 0.291-1.016; P < .001), similar to patients
with TAV (P = .92). Mean clinical follow-up was 8.1 (+/-5.4) years. During follow
up, 18 patients underwent reoperation, 89% for a degenerated bioprosthetic aortic
valve. Only 1 patient underwent reoperation for a primary indication of aortic
aneurysmal disease, 22 years after the index operation. There were no differences
in cumulative incidence rates of aortic reoperation (P = .14) between patients
with BAV and TAV. CONCLUSIONS: Mid-term imaging after aortic valve and ascending
aorta replacement indicates that if the aortic root is not dilated at the time of
surgery, the risk of enlargement over time is minimal, negating the need for
prophylactic root replacement in patients with BAV or TAV.
PMID- 29656819
TI - The search for reliable markers of ground glass opacity prognosis: The truth
remains largely unknown.
PMID- 29656820
TI - Wall stress on ascending thoracic aortic aneurysms with bicuspid compared with
tricuspid aortic valve.
AB - OBJECTIVE: Guidelines for repair of bicuspid aortic valve-associated ascending
thoracic aortic aneurysms have been changing, most recently to the same criteria
as tricuspid aortic valve-ascending thoracic aortic aneurysms. Rupture/dissection
occurs when wall stress exceeds wall strength. Recent studies suggest similar
strength of bicuspid aortic valve versus tricuspid aortic valve-ascending
thoracic aortic aneurysms; thus, comparative wall stress may better predict
dissection in bicuspid aortic valve versus tricuspid aortic valve-ascending
thoracic aortic aneurysms. Our aim was to determine whether bicuspid aortic valve
ascending thoracic aortic aneurysms had higher wall stresses than their tricuspid
aortic valve counterparts. METHODS: Patients with bicuspid aortic valve- and
tricuspid aortic valve-ascending thoracic aortic aneurysms (bicuspid aortic valve
= 17, tricuspid aortic valve = 19) greater than 4.5 cm underwent
electrocardiogram-gated computed tomography angiography. Patient-specific 3
dimensional geometry was reconstructed and loaded to systemic pressure after
accounting for prestress geometry. Finite element analyses were performed using
the LS-DYNA solver (LSTC Inc, Livermore, Calif) with user-defined fiber-embedded
material model to determine ascending thoracic aortic aneurysm wall stress.
RESULTS: Bicuspid aortic valve-ascending thoracic aortic aneurysms 99th
percentile longitudinal stresses were 280 kPa versus 242 kPa (P = .028) for
tricuspid aortic valve-ascending thoracic aortic aneurysms in systole. These
stresses did not correlate to diameter for bicuspid aortic valve-ascending
thoracic aortic aneurysms (r = -0.004) but had better correlation to tricuspid
aortic valve-ascending thoracic aortic aneurysms diameter (r = 0.677).
Longitudinal stresses on sinotubular junction were significantly higher in
bicuspid aortic valve-ascending thoracic aortic aneurysms than in tricuspid
aortic valve-ascending thoracic aortic aneurysms (405 vs 329 kPa, P = .023).
Bicuspid aortic valve-ascending thoracic aortic aneurysm 99th-percentile
circumferential stresses were 548 kPa versus 462 kPa (P = .033) for tricuspid
aortic valve-ascending thoracic aortic aneurysms, which also did not correlate to
bicuspid aortic valve-ascending thoracic aortic aneurysm diameter (r = 0.007).
CONCLUSIONS: Circumferential and longitudinal stresses were greater in bicuspid
aortic valve- than tricuspid aortic valve-ascending thoracic aortic aneurysms and
were more pronounced in the sinotubular junction. Peak wall stress did not
correlate with bicuspid aortic valve-ascending thoracic aortic aneurysm diameter,
suggesting diameter alone in this population may be a poor predictor of
dissection risk. Our results highlight the need for patient-specific aneurysm
wall stress analysis for accurate dissection risk prediction.
PMID- 29656821
TI - Is less really more? Endoscopic mucosal resection versus esophagectomy for
submucosal esophageal adenocarcinoma.
PMID- 29656822
TI - Metabolite Depletion Affects Flux Profiling of Cell Lines.
AB - Quantifying the rate of consumption and release of metabolites (i.e., flux
profiling) has become integral to the study of cancer. The fluxes as well as the
growth of the cells may be affected by metabolite depletion during cultivation.
PMID- 29656823
TI - "Association splitting" versus cognitive remediation in obsessive-compulsive
disorder: A randomized controlled trial.
AB - Studies have confirmed the efficacy of the cognitive intervention Association
Splitting (AS) in obsessive-compulsive disorder (OCD) when applied as a self-help
technique. AS aims to alter symptom-provoking automated cognitive networks of OC
related stimuli by building new or strengthening established but weak neutral
associations. The aim of this study was to investigate the acceptance and
benefits of therapist-assisted AS as an add-on to cognitive behavioral therapy
(CBT). One hundred and nine patients with OCD who were undergoing CBT were
randomly assigned to either AS or cognitive remediation (CR). Both groups were
assessed at baseline, 4 weeks and 6 months later. The primary measure was the
Yale-Brown Obsessive Compulsive Scale. Although patients' acceptance of AS was
good, AS was not better than CR regarding overall symptom severity. However, a
larger decrease was found from baseline to 6 months follow-up in AS regarding
avoidance. Moreover, subsidiary analyses excluding control patients who had
obtained information about AS indicated its superiority. Because superiority of
AS was found in post hoc analyses excluding control patients who had obtained
information on AS, we suggest that contagion effects deserve consideration.
PMID- 29656824
TI - Ductus arteriosus aneurysm: Case report and review of the literature.
AB - We report the case of an infant boy born at 39 weeks and 5 days who presented a
cleft lip and palate. During the assessment to detect associated malformations,
cardiac auscultation revealed a systolic heart murmur. Echocardiography and
subsequent thoracic computed tomography angiography identified an aneurysm of the
ductus arteriosus. Ductus arteriosus aneurysm, a complicated form of patent
ductus arteriosus, may not be as rare as once considered. A clear majority of
ductus arteriosus aneurysms are asymptomatic and physiologically resolve after
birth. Nevertheless, severe complications can occur, primarily during the
postnatal period.
PMID- 29656825
TI - Parenteral nutrition for preterm infants: Issues and strategy.
AB - Due to transient gut immaturity, most very preterm infants receive parenteral
nutrition (PN) in the first few weeks of life. Yet providing enough protein and
energy to sustain optimal growth in such infants remains a challenge.
Extrauterine growth restriction is frequently observed in very preterm infants at
the time of discharge from hospital, and has been found to be associated with
later impaired neurodevelopment. A few recent randomized trials suggest that
intensified PN can improve early growth; whether or not such early PN improves
long-term neurological outcome is still unclear. Several other questions
regarding what is optimal PN for very preterm infants remain unanswered. Amino
acid mixtures designed for infants contain large amounts of branched-chain amino
acids and taurine, but there is no consensus on the need for some nonessential
amino acids such as glutamine, arginine, and cysteine. Whether excess growth in
the first few weeks of life, at a time when very preterm infants receive PN, has
an imprinting effect, increasing the risk of metabolic or vascular disease at
adulthood continues to be debated. Even though uncertainty remains regarding the
long-term effect of early PN, it appears reasonable to propose intensified
initial PN. The aim of the current position paper is to review the evidence
supporting such a strategy with regards to the early phase of nutrition, which is
mainly covered by parenteral nutrition. More randomized trials are, however,
needed to further support this type of approach and to demonstrate that this
strategy improves short- and long-term outcome.
PMID- 29656827
TI - [Primary congenital glaucoma neglected: Still a cause of low vision in children
in Morocco].
PMID- 29656826
TI - Changes in conditions at birth in France from 1995 to 2016: Results of the
National Perinatal Surveys.
PMID- 29656828
TI - [Ophthalmological presentation of hypertensive crisis in the context of Berger's
disease: Recommendation for a multidisciplinary follow-up of IgA nephropathies].
PMID- 29656829
TI - [Uveitis treated with biotherapy and/or DMARD: Analysis from the French
Pharmacovigilance Study Base].
AB - PURPOSE: To report the characteristics of uveitis cases occurring while on
biologic therapy or disease-modifying antirheumatic drugs (DMARDs) reported to
the French national pharmacovigilance database. METHODS: All the uveitis cases
occurring in patients with chronic rheumatologic diseases, chronic inflammatory
intestinal diseases or connective tissue diseases, while treated with DMARDs
and/or biologic therapies between 2000 and 2015 and reported to the French
National Pharmacovigilance Database were collected. RESULTS: During the study
period, 32 cases of uveitis were reported (15 men, 17 women). Two patients were
treated with one DMARD alone, 24 with biologic therapy alone, and six with both
treatments. Anterior uveitis was diagnosed in 19 patients (8 cases were
bilateral); intermediate uveitis was found (unilaterally) in one patient;
posterior and diffuse uveitis occurred in 5 and 2 cases respectively. Five cases
were inconclusive with regard to the anatomical type of uveitis. The uveitis was
of infectious origin in 5 cases: 2 toxoplasmosis, 2 herpes virus and 1
tuberculosis. In the 27 other cases, it was not possible to state whether the
uveitis was associated with the underlying disease (uncontrolled) or a side
effect of the biologic/DMARD treatments. The occurrence of the uveitis led to 9
switches in biologic therapy and 13 discontinuations of treatment (8 complete
discontinuations, 5 discontinuations only until uveitis remission was obtained).
In 4 cases, the treatments were not modified. The database does not specify the
ultimate course or rheumatologic disease activity at the time of the uveitis.
CONCLUSIONS: The presence of uveitis while on biologic therapy must not be taken
to indicate a therapeutic failure, especially if the ocular manifestation is
isolated. In the case of uveitis occurring in patients treated with biologic
therapies and/or DMARDs, infectious complications should be ruled out.
PMID- 29656830
TI - Cairo consensus on the IVF laboratory environment and air quality: report of an
expert meeting.
AB - This proceedings report presents the outcomes from an international Expert
Meeting to establish a consensus on the recommended technical and operational
requirements for air quality within modern assisted reproduction technology (ART)
laboratories. Topics considered included design and construction of the facility,
as well as its heating, ventilation and air conditioning system; control of
particulates, micro-organisms (bacteria, fungi and viruses) and volatile organic
compounds (VOCs) within critical areas; safe cleaning practices; operational
practices to optimize air quality while minimizing physicochemical risks to
gametes and embryos (temperature control versus air flow); and appropriate
infection-control practices that minimize exposure to VOC. More than 50 consensus
points were established under the general headings of assessing site suitability,
basic design criteria for new construction, and laboratory commissioning and
ongoing VOC management. These consensus points should be considered as
aspirational benchmarks for existing ART laboratories, and as guidelines for the
construction of new ART laboratories.
PMID- 29656831
TI - Corrigendum to miR144-3p inhibits PMVECs excessive proliferation in angiogenesis
of hepatopulmonary syndrome via Tie2 [Exp. Cell Res. 365 (2018) 24-32].
PMID- 29656832
TI - Is there a role for iron supplementation in critically ill patients?
PMID- 29656833
TI - A Highly Sensitive and Robust Method for Hepatitis B Virus Covalently Closed
Circular DNA Detection in Single Cells and Serum.
AB - Despite implications of persistence of hepatitis B virus (HBV) covalently closed
circular DNA (cccDNA) in the development of hepatocellular carcinoma (HCC),
little is known about serum cccDNA in HBV-infected diseases. We developed a
cccDNA-selective droplet digital PCR (ddPCR) to assess cccDNA content and
dynamics across different stages of HCC development. One hundred forty-seven
serum samples and 35 formalin-fixed, paraffin-embedded tumor tissues were derived
from patients with HCC or HBV hepatitis/cirrhosis. After specific amplification
and selective digestion, probe-based ddPCR was used to quantify cccDNA copy
numbers in single cells and clinical samples. The cccDNA in single HepG2.2.15
cells ranged from 0 to 10.8 copies/cell. Compared with non-HCC patients, HCC
patients showed a higher cccDNA-positive rate (89.9% versus 53.2%; P = 4.22 * 10
6) and increased serum cccDNA contents (P = 0.002 and P = 0.041 for hepatitis and
cirrhosis patients, respectively). Serum cccDNA ranged from 84 to 1.07 * 105
copies/mL. Quantification of serum cccDNA and HBV-DNA was an effective way to
discriminate HCC patients from non-HCC patients, with areas under the curve of
receiver operating characteristic of 0.847 (95% CI, 0.759-0.935; sensitivity,
74.5%; specificity, 93.7%). cccDNA-selective ddPCR is sensitive to detect cccDNA
in single cells and different clinical samples. Combined analysis of serum cccDNA
and HBV-DNA may be a promising strategy for HBV-induced HCC surveillance and
antiviral therapy evaluation.
PMID- 29656834
TI - Controlling ticks and tick-borne diseases...looking forward.
AB - Tick-borne diseases (TBDs) represent a growing burden for human and animal health
worldwide. Several approaches including the use of chemicals with repellency and
parasiticidal activity, habitat management, genetic selection of hosts with
higher resistance to ticks, and vaccines have been implemented for reducing the
risk of TBDs. However, the application of latest gene editing technologies in
combination with vaccines likely combining tick and pathogen derived antigens and
other control measures should result in the development of effective, safe, and
environmentally sound integrated control programs for the prevention and control
of TBDs. This paper is not a review of current approaches for the control of
ticks and TBDs, but an opinion about future directions in this area.
PMID- 29656835
TI - Trauma Quality Improvement: Reducing Triage Errors by Automating the Level
Assignment Process.
AB - BACKGROUND: Trauma patients are triaged by the severity of their injury or need
for intervention while en route to the trauma center according to trauma
activation protocols that are institution specific. Significant research has been
aimed at improving these protocols in order to optimize patient outcomes while
striving for efficiency in care. However, it is known that patients are often
undertriaged or overtriaged because protocol adherence remains imperfect. The
goal of this quality improvement (QI) project was to improve this adherence, and
thereby reduce the triage error. It was conducted as part of the formal
undergraduate medical education curriculum at this institution. STUDY DESIGN: A
QI team was assembled and baseline data were collected, then 2 Plan-Do-Study-Act
(PDSA) cycles were implemented sequentially. During the first cycle, a novel web
tool was developed and implemented in order to automate the level assignment
process (it takes EMS-provided data and automatically determines the level); the
tool was based on the existing trauma activation protocol. The second PDSA cycle
focused on improving triage accuracy in isolated, less than 10% total body
surface area burns, which we identified to be a point of common error. Traumas
were reviewed and tabulated at the end of each PDSA cycle, and triage accuracy
was followed with a run chart. SETTING: This study was performed at Vanderbilt
University Medical Center and Medical School, which has a large level 1 trauma
center covering over 75,000 square miles, and which sees urban, suburban, and
rural trauma. PARTICIPANTS: The baseline assessment period and each PDSA cycle
lasted 2 weeks. During this time, all activated, adult, direct traumas were
reviewed. There were 180 patients during the baseline period, 189 after the first
test of change, and 150 after the second test of change. All were included in
analysis. RESULTS: Of 180 patients, 30 were inappropriately triaged during
baseline analysis (3 undertriaged and 27 overtriaged) versus 16 of 189 (3
undertriaged and 13 overtriaged) following implementation of the web tool (p =
0.017 for combined errors). Overtriage dropped further from baseline to 10/150
after the second test of change (p = 0.005). The total number of triaged patients
dropped from 92.3/week to 75.5/week after the second test of change. There was no
statistically significant change in the undertriage rate. CONCLUSION: The
combination of web tool implementation and protocol refinement decreased the
combined triage error rate by over 50% (from 16.7%-7.9%). We developed and tested
a web tool that improved triage accuracy, and provided a sustainable method to
enact future quality improvement. This web tool and QI framework would be easily
expandable to other hospitals.
PMID- 29656836
TI - Modulating Effect of Contextual Factors on Factor Structure and Reliability of
SARC-F.
PMID- 29656837
TI - Long-term Care Status in Centenarians and Younger Cohorts of Oldest Old in the
Last 6 Years of Life: Trajectories and Potential Mechanisms.
AB - OBJECTIVES: A large proportion of the oldest old and centenarians live in long
term care facilities. Although there may be distinct care patterns in
centenarians compared with other cohorts of oldest old, the exact development
concerning prevalence, length of stay, and factors that are associated with long
term care status in the last years before death is unknown. DESIGN: Longitudinal
analyses of health insurance data across 6 years before death. SETTING AND
PARTICIPANTS: In all, 1398 institutionalized and noninstitutionalized oldest old
[deceased at 80-89 (octogenarians), 90-99 (nonagenarians), or over 100 years of
age (centenarians)] from Germany were included. Long-term care status and
transition from home care into long-term care over 6 years (34,740 person
quarters). MEASUREMENTS: Dementia, musculoskeletal diseases, multimorbidity,
hospital admission, gender, and age at death were derived from administrative
data and analyzed using binary generalized estimating equations. RESULTS:
Although the initial level of long-term care (6 years before death) was higher
among centenarians (65.1% vs 53.6% in nonagenarians; 36.2% in octogenarians), the
rate of increase was stronger in the younger cohorts. Distinguishing between long
term care escapers, delayers, and survivors, the proportion of those who escaped,
delayed, or survived the entire 6 years of observation in long-term care was
33.4%/40.4%/26.2% in centenarians, 45.0%/45.1%/9.9% in nonagenarians, and
62.7%/33.7%/3.6% in octogenarians. Age, hospital admissions, and dementia were
positively associated with being in long-term care, whereas musculoskeletal
disorders were negatively associated with long-term care. The association with
dementia was significantly weaker in centenarians. CONCLUSIONS: For centenarians,
although they are more often in long-term care, the transition rate to long-term
care progressed more slowly than the rates of the younger comparison cohorts of
oldest old. The high proportion of long stays of centenarians in long-term care
facilities require different concepts of long-term care.
PMID- 29656838
TI - Does Cognitive Impairment and Agitation in Dementia Influence Intervention
Effectiveness? Findings From a Cluster-Randomized-Controlled Trial With the
Therapeutic Robot, PARO.
AB - OBJECTIVES: To explore whether severity of cognitive impairment and agitation of
older people with dementia predict outcomes in engagement, mood states, and
agitation after a 10-week intervention with the robotic seal, PARO. DESIGN: Data
from the PARO intervention-arm of a cluster-randomized controlled trial was used,
which involved individual, nonfacilitated, 15-minute sessions with PARO 3
afternoons per week for 10 weeks. SAMPLE AND PARTICIPANTS: One hundred thirty
eight residents-aged >=60 years, with dementia-from 9 long-term care facilities.
MEASURES: A series of stepwise multiple linear regressions were conducted.
Dependent variables were participants' levels of engagement, mood states, and
agitation at week 10 [assessed by video observation and Cohen Mansfield Agitation
Inventory-Short Form (CMAI-SF)]. Predictor variables were baseline levels of
cognitive impairment [assessed by Rowland Universal Dementia Assessment Scale
(RUDAS)] and agitation (CMAI-SF). RESULTS: Five models were produced. The
strongest finding was that participants with more severe agitation at baseline
had higher levels of agitation at week 10 (R2 = .82, P < .001). Predictors of
positive response were less significant. Low levels of agitation at baseline
predicted greater positive behavioral engagement with PARO (R2 = .054, P = .009)
and fewer observed instances of agitation (R2 = .033, P = .045) at week 10,
whereas greater visual engagement was predicted by both lower levels of agitation
and cognitive impairment (R2 = .082, P = .006). Less severe cognitive impairment
predicted greater pleasure at week 10 (R2 = .067, P = .004).
CONCLUSIONS/IMPLICATIONS: Participants with severe agitation had poor response to
PARO. Lower levels of agitation and higher cognitive functioning were associated
with better responses. In clinical practice, we recommend PARO should be
restricted to people with low-moderate severity of agitation. Further research is
needed to determine the optimal participant characteristics for response to PARO.
PMID- 29656839
TI - Identifying and Supporting Nonpharmacological Dementia Interventions Ready for
Pragmatic Trials: Results From an Expert Workshop.
PMID- 29656840
TI - An unforeseen complication of a folk remedy for joint pain.
PMID- 29656841
TI - From phaeohyphomycosis to disseminated chromoblastomycosis: A retrospective study
of infections caused by dematiaceous fungi.
AB - OBJECTIVE: Infections caused by dematiaceous fungi are more common in tropical
and subtropical areas. We aimed to describe the clinical, microbiological and
therapeutic aspects of case patients diagnosed at a University Hospital located
on an Indian Ocean island. PATIENTS AND METHODS: We performed an observational
retrospective study of infections caused by dematiaceous fungi diagnosed at the
University Hospital of Saint-Pierre, Reunion, from 2000 to 2015. Mycological
identifications were performed at the National Reference Center for Invasive
Mycosis and Antifungal Agents (Paris). RESULTS: The review of clinical and
microbiological data of 11 patients identified revealed that five were infected
by dematiaceous fungi. Two had cutaneous phaeohyphomycosis, two had cerebral
phaeohyphomycosis and one had cutaneous chromoblastomycosis with brain and
potentially medullary dissemination. Skin lesions and cerebral abscesses were
quite varied. CONCLUSION: Infections caused by dematiaceous fungi are rare.
Medullary and brain localizations are extremely rare, especially for
chromoblastomycosis. Cutaneous manifestations of phaeohyphomycosis are varied;
diagnosis is thus more difficult. It is therefore important, when confronted with
a chronic tumor-like lesion in endemic areas, to perform a biopsy for pathology
and fungal culture. While surgical excision is not always sufficient, medical
treatment of these infections is not standardized, but relies on an azole, which
can be associated with another antifungal agent.
PMID- 29656842
TI - Incidence of all-cause adult community-acquired pneumonia in primary care
settings in France.
AB - OBJECTIVES: To estimate the incidence of all-cause outpatient community-acquired
pneumonia (CAP) in adults in France from a national prospective observational
study of CAP management in general practice (CAPA). METHODS: Patients aged over
18 years presenting with signs or symptoms indicative of CAP associated with
recent onset of unilateral crackles on auscultation and/or a new opacity on chest
X-ray were included in the CAPA study. An ancillary survey (AIMSIS) aiming at
identifying family physicians' difficulties in including patients and at
collecting their opinion on the use of an electronic case report form, determined
the number of non-included eligible patients. A three-step analysis was then
performed, including computation of the total number of eligible patients,
adjustment for seasonality, and extrapolation to the French FP population using
indirect standardization to adjust for differences in characteristics between
CAPA FPs and French FPs. RESULTS: Between September 2011 and July 2012, 267 (63%)
CAPA investigators included 886 CAP patients. Most patients presented with mild
CAP. The rates of hospitalization and one-month case fatality were 7% and 0.3%,
respectively. Data from 336 (79%) AIMSIS investigators identified 641 additional
patients and estimated at 234,023 the number of CAP patients per year (incidence
of 4.7 per 1000 persons per year). CONCLUSIONS: Using a pragmatic case definition
of CAP patients, this study estimated an incidence of 4.7 per 1000 persons per
year that is in the lower half of the range of estimated incidences reported in
primary care settings in industrialized countries.
PMID- 29656843
TI - Erysipelothrix rhusiopathiae knee prosthesis infection.
PMID- 29656844
TI - Association between cholesterol gallstones and testosterone replacement therapy
in a patient with primary hypogonadism.
AB - A 16-year-old boy had a past medical history of primary hypogonadism, due to
bilateral anorchia. He presented with gallstones located in the gallbladder and a
mild dilatation of the intrahepatic biliary tree. The histology study reported
cholesterol gallstones. The patient had been treated with testosterone
replacement therapy since infancy. We suggest a possible correlation between
testosterone replacement therapy and the presence of cholesterol gallstones.
PMID- 29656845
TI - Access to direct-acting antivirals for the treatment of hepatitis C in a country
with limited resources.
AB - AIMS: To estimate the number of patients that have access to treatment of
hepatitis C with direct-acting antivirals in Argentina and evaluate the factors
associated with the lack of access. MATERIALS AND METHODS: A cross-sectional
cohort study was conducted that included all the consecutive prescriptions of
direct-acting antivirals issued at health centers that participated in the ECHOTM
telemedicine project directed by the Hospital Italiano de Buenos Aires, within
the time frame of January 2016 and February 2017. RESULTS: A total of 143
treatment prescriptions were included and overall access was 70% (95% CI 62-77%).
The only independent factor associated with a lack of treatment access was
coverage by a public healthcare system (OR 4.98 [95% CI 2.05- 12.09]).
CONCLUSION: Patients with hepatitis C that were covered by a public healthcare
system had a 4 times higher chance of not having access to treatment with direct
acting antivirals than patients covered by other healthcare systems (private
insurance or the social welfare system).
PMID- 29656846
TI - Development and validation of a self-administered neck mobility assessment tool
(S-ROM-Neck) in chronic neck pain patients.
AB - BACKGROUND: Cervical spine (CS) range of motion (ROM) is commonly used to assess
neck pain. However, this measurement is often limited by the clinician's
experience and perception. Therefore, the integration of perceptual feedback of
the patient can optimize and personalize treatment. OBJECTIVE: Develop and
validate a questionnaire (S-ROM-Neck) to evaluate ROM of the CS from the
patient's perspective. DESIGN: Validation study. METHODS: The assessment tool was
developed and optimized during pretest sessions. Reliability and construct
validity of the questionnaire were tested. 50 participants (age >18 years) with
neck pain for >90 days, able to fluently speak, read, and write in German were
included. Exclusion criteria included any condition that limited manual therapy
to the CS. Participants completed S-ROM-Neck twice within seven days, along with
the visual analogue scale (VAS) for pain intensity and the German version of the
Neck Disability Index (NDI-G). The relative reliability, internal consistency,
and absolute reliability were analyzed, and Bland-Altman plots were generated.
Construct validity was established by correlating the total score of S-ROM-Neck
with VAS and NDI-G based on pre-set hypotheses. RESULTS: S-ROM-Neck demonstrated
moderate reliability with an intraclass correlation coefficient of 0.718
(Cronbach's alpha of 0.83). There was a medium negative correlation between VAS
and S-ROM-Neck [Spearman's rank correlation coefficient (rs) = -0.30, p = 0.031]
and the NDI-G and S-ROM-Neck (rs = -0.40, p = 0.002). CONCLUSIONS: These results
indicate the reliability and internal consistency of S-ROM-Neck. This scale
provides patient feedback and perspectives to optimize assessment of neck pain.
PMID- 29656848
TI - The discipline of epidemiology: engaging in the full breadth of population health
science.
AB - This address was delivered by Harold I. Feldman, MD, MSCE, at the Annual Meeting
of the American College of Epidemiology in New Orleans, Louisiana, on September
26, 2017. Dr. Feldman is George S. Pepper Professor of Public Health and
Preventive Medicine, Professor of Epidemiology and Medicine, and Chair,
Department of Biostatistics, Epidemiology, and Informatics at the Perelman School
of Medicine at the University of Pennsylvania. He is recognized nationally and
internationally for his expertise in kidney disease epidemiology. Dr. Feldman
pioneered the integration of epidemiology and renal medicine with his first
studies of dialyzer reuse and hemodialysis vascular access morbidity. He is
internationally renowned in nephrology and clinical epidemiology and an architect
of one of the largest and most successful epidemiological research programs in
chronic kidney disease. For over 15 years, he has led NIH's Chronic Renal
Insufficiency Cohort Study, placing him at the helm of the "Framingham Study of
Renal Disease". It has elucidated the chronic kidney disease-specific
relationships of mineral dysmetabolism and cardiovascular complications; dietary
sodium and heart failure; novel vascular stiffness metrics and renal disease
progression; cardiovascular biomarkers and heart failure; and cognitive decline
and renal dysfunction, among others. He has also led the nation's largest trials
targeting hemodialysis vascular access morbidity, discovered the benefits of
transplantation before dialysis dependence, elucidated racial disparities and the
role of genetics in kidney failure, and shaped our understanding of the toxicity
from unregulated reuse of medical devices. He is the past President of the
American College of Epidemiology and directs one of the nation's largest clinical
epidemiology and biostatistics programs. Dr. Feldman has an MD from Boston
University and an MSCE from the University of Pennsylvania. He is an elected
member of the American Society of Clinical Investigation, the Association of
American Physicians, and the American Epidemiological Society.
PMID- 29656847
TI - The association between hardship and self-rated health: does the choice of
indicator matter?
AB - PURPOSE: The purpose of this study was to investigate the association between
four specific forms of hardship (difficulty paying bills, ongoing financial
stress, medication reduction due to cost, and food insecurity) and self-rated
health among older men and women. METHODS: Cross-sectional logistic regression
analysis was conducted using the 2010 wave of the Health and Retirement Study
Leave-Behind Questionnaire (N = 7619) to determine the association between four
hardship indicators and self-rated health. Hardship indicators (difficulty paying
bills, ongoing financial stress, medication reduction due to cost, and food
insecurity) were dichotomized (0 = no hardship, 1 = yes hardship) for this
analysis. RESULTS: After adjusting for sociodemographic factors, participants
reporting difficulty paying bills had an 1.8 higher odds of reporting poor self
rated health (95% confidence intervals [CI]: 1.57, 2.15) and those reporting
taking less medication due to cost had a 2.5 times higher odds of poor self-rated
health (95% CI: 1.97, 3.09) compared to those not reporting these hardships. When
stratified by gender, and adjusting for sociodemographic factors, men who took
less medication due to cost had a 1.93 higher odds of low self-rated health (95%
CI: 1.39, 2.67) and women who took less medications due to cost had a 2.9 higher
odds of reporting poor self-rated health (95% CI: 2.23, 2.70) compared to women
not reporting these hardships. CONCLUSIONS: Research in this area can provide
greater conceptual and measurement clarity on the hardship experience and further
elucidate the pathway between specific hardships and poor health outcomes to
inform intervention development.
PMID- 29656849
TI - Association of highly active antiretroviral treatment with incident tuberculosis
in people living with HIV/AIDS.
AB - PURPOSE: To determine the short-term and long-term effects of highly active
antiretroviral therapy (HAART) on incident tuberculosis (TB) in people living
with HIV/AIDS (PLWHA). METHODS: From 2000 to 2012, we identified adult PLWHA from
Taiwan Centers for Disease Control HIV Surveillance System. All PLWHA were
followed up until December 31, 2012, and observed for TB occurrence. Time
dependent Cox proportional hazards models were used to determine the short-term
and long-term effects of HAART on incident TB. RESULTS: Of 20,072 PLWHA, 628
(3.13%) had incident TB, corresponding to an incident rate of 701/100,000 person
years. After adjusting for potential confounders, PLWHA receiving HAART were more
likely to develop TB than those not receiving the drugs (adjusted hazard ratio
[AHR] 1.56; 95% confidence interval [CI] 1.18-2.05). While the short-term and
long-term effects of HAART on incident TB were considered, HAART was a risk
factor for TB development within the first 90 days (AHR 6.06; 95% CI 4.58-8.01)
and between 90 and 180 days of treatment (AHR 1.80; 95% CI 1.11-2.94) but was a
protective factor after 180 days of HAART use (AHR 0.51; 95% CI 0.39-0.66).
CONCLUSIONS: HAART is a risk factor for the development of TB in the short term
but a protective factor in the long term.
PMID- 29656850
TI - Bradyrhizobium algeriense sp. nov., a novel species isolated from effective
nodules of Retama sphaerocarpa from Northeastern Algeria.
AB - We have characterized genetic, phenotypic and symbiotic properties of bacterial
strains previously isolated from nitrogen-fixing nodules of Retama sphaerocarpa
from Northern Algeria. Phylogenetic analyses of 16S rRNA genes and three
concatenated housekeeping genes, recA, atpD and glnII, placed them in a new
divergent group that is proposed to form a new Bradyrhizobium species,
Bradyrhizobium algeriense sp. nov. (type strain RST89T, LMG 27618 and CECT 8363).
Based on these phylogenetic markers and on genomic identity data derived from
draft genomic sequences, Bradyrhizobium valentinum LmjM3T, Bradyrhizobium lablabi
CCBAU 23086T, Bradyrhizobium retamae Ro19T, and Bradyrhizobium jicamae PAC68T are
the closest relatives of B. algeriense RST89T, with sequence identities of 92-94%
and Average Nucleotide Identities (ANIm) under 90%, well below the 95-96% species
circumscription threshold. Likewise, a comparison of whole-cell proteomic
patterns, estimated by Matrix-Assisted Laser Desorption/Ionization-Time-of-Flight
(MALDI-TOF) mass spectrometric analysis, yielded almost identical spectra between
B. algeriense strains but significant differences with B. valentinum,
Bradyrhizobium paxllaeri, Bradyrhizobium icense, B. lablabi, B. jicamae and B.
retamae. A phylogenetic tree based on symbiotic gene nodC revealed that the B.
algeriense sequences cluster with sequences from the Bradyrhizobium symbiovar
retamae, previously defined with B. retamae strains isolated from Retama
monosperma. B. algeriense strains were able to establish effective symbioses with
Retama raetam, Lupinus micranthus, Lupinus albus and Genista numidica, but not
with Lupinus angustifolius or Glycine max.
PMID- 29656852
TI - The New Standard: Personalised Information about the Risks and Benefits of
Treatment Strategies for Localised Prostate Cancer.
PMID- 29656851
TI - First-line Systemic Therapy for Metastatic Renal Cell Carcinoma: A Systematic
Review and Network Meta-analysis.
AB - CONTEXT: In the last decade, there has been a proliferation of treatment options
for metastatic renal cell carcinoma (mRCC). However, direct comparative data are
lacking for most of these agents. OBJECTIVE: To indirectly compare the efficacy
and safety of systemic therapies used in the first-line treatment of mRCC.
EVIDENCE ACQUISITION: Medline, EMBASE, Web of Science, and Scopus databases were
searched using the OvidSP platform for studies indexed from database inception to
October 23, 2017. Abstracts of conferences of relevant medical societies were
included, and the systematic search was supplemented by hand search. For the
systematic review, we identified any parallel-group randomized controlled trials
assessing first-line systemic therapy. For network meta-analysis, we limited
these to a clinically-relevant network based on standard practice patterns.
Progression-free survival (PFS) was the primary outcome. Overall survival (OS)
and grade 3 and 4 adverse events (AEs) were secondary outcomes. EVIDENCE
SYNTHESIS: In total, 37 trials reporting on 13 128 patients were included in the
systematic review. The network meta-analysis comprised 10 trials reporting on
4819 patients. For PFS (10 trials, 4819 patients), there was a high likelihood
(SUCRA 91%) that cabozantinib was the preferred treatment. For OS (5 trials, 3379
patients), there was a 48% chance that nivolumab plus ipilimumab was the
preferred option. There was a 67% likelihood that nivolumab plus ipilimumab was
the best tolerated regime with respect to AEs. CONCLUSIONS: Cabozantinib and
nivolumab plus ipilimumab are likely to be the preferred first-line agents for
treating mRCC; however, direct comparative studies are warranted. These findings
may provide guidance to patients and clinicians when making treatment decisions
and may help inform future direct comparative trials. PATIENT SUMMARY: There are
many treatment options for patients diagnosed with metastatic renal cell
carcinoma. We indirectly compared the available options and found that
cabozantinib and nivolumab plus ipilimumab are likely to be preferable choices as
the first-line treatment in this situation.
PMID- 29656853
TI - Re: Role of Genetic Testing for Inherited Prostate Cancer Risk: Philadelphia
Prostate Cancer Consensus Conference 2017.
PMID- 29656854
TI - Radical Prostatectomy in Metastatic Castration-resistant Prostate Cancer:
Feasibility, Safety, and Quality of Life Outcomes.
AB - : Ongoing prospective studies are evaluating treatment of the primary tumor in
men with de novo metastatic prostate cancer (PCa). One potential benefit is
prevention of morbidity from local progression. Thus, local therapy may be best
applied selectively to men with local progression once resistance to first-line
therapies has occurred. Here, we gather support for the hypothesis that radical
prostatectomy (RP) is safe and preserves quality of life (QOL) when applied in
men with metastatic castration-resistant PCa (mCRPC). We analyzed 14 patients who
underwent RP in the setting of mCRPC from 2008 to 2016. Median time from mCRPC to
RP was 5.1 mo (interquartile range [IQR] 1.4-12.0). Median preoperative and <3 mo
postoperative Expanded Prostate Cancer Index Composite urinary function QOL
scores were 84 (IQR 70-95) and 78 (IQR 62-81), respectively. There were one
Clavien Grade III, three Grade II, and one Grade I complications postoperatively.
In these patients with mCRPC, RP was feasible with limited minor complications.
PATIENT SUMMARY: We report on a select group of men with metastatic castration
resistant prostate cancer who had prostatectomy. Prostatectomy is highly
investigational in this setting and should not be used outside of a clinical
trial other than for symptom relief.
PMID- 29656855
TI - Re: Jose Luis Perez-Gracia, Yohann Loriot, Jonathan E. Rosenberg, et al.
Atezolizumab in Platinum-treated Locally Advanced or Metastatic Urothelial
Carcinoma: Outcomes by Prior Number of Regimens. Eur Urol 2018;73:462-8.
PMID- 29656856
TI - Reply to Francesco Massari and Vincenzo Di Nunno's Letter to the Editor re: Jose
Luis Perez-Gracia, Yohann Loriot, Jonathan E. Rosenberg, et al. Atezolizumab in
Platinum-treated Locally Advanced or Metastatic Urothelial Carcinoma: Outcomes by
Prior Number of Regimens. Eur Urol 2018;73:462-8.
PMID- 29656857
TI - Legacy Effect of Intensive Blood Glucose Control on Cardiovascular Outcomes in
Patients With Type 2 Diabetes and Very High Risk or Secondary Prevention of
Cardiovascular Disease: A Meta-analysis of Randomized Controlled Trials.
AB - PURPOSE: We performed a meta-analysis to investigate the legacy effect of >5
years of intensive blood glucose lowering on cardiovascular outcomes in patients
with type 2 diabetes and very high risk or secondary prevention of cardiovascular
disease (CVD). METHODS: We mainly searched PubMed, Embase, and the Cochrane
Library for relevant randomized controlled trials. Patients in the included
studies had intensive glucose lowering for >5 years and posttrial follow-up for
at least 5 years. Primary end points were all-cause mortality and cardiovascular
death. Secondary end points were major macrovascular events, myocardial
infarction, and stroke. We used risk ratios (RRs) with 95% CIs as summary
statistics. FINDINGS: We included 3 trials that involved 13,684 patients, of whom
6805 received intensive glucose-lowering treatment and 6879 received standard
treatment. The mean total follow-up duration was 10.3 years, which included 5.4
years of in-trial intervention and 5.5 years of posttrial follow-up. Intensive
glucose control treatment did not significantly reduce all-cause mortality (RR =
0.98; 95% CI, 0.87-1.10) or cardiovascular death (RR = 0.97; 95% CI, 0.87-1.09).
No significant risk reduction was found for stroke (RR = 1.02; 95% CI, 0.92
1.14), myocardial infarction (RR = 0.91; 95% CI, 0.75-1.09), or major
macrovascular events (RR = 0.99; 95% CI, 0.93-1.06). IMPLICATIONS: A legacy
effect of >5-year intensive blood glucose control on cardiovascular outcomes in
patients with type 2 diabetes and very high risk or secondary prevention of CVD
was not detected, although this effect might be applicable in patients with
diabetes and primary prevention of CVD. Further investigation of the legacy
effect in different CVD risk populations should therefore be performed.
PMID- 29656858
TI - A Recurrent De Novo PACS2 Heterozygous Missense Variant Causes Neonatal-Onset
Developmental Epileptic Encephalopathy, Facial Dysmorphism, and Cerebellar
Dysgenesis.
AB - Developmental and epileptic encephalopathies (DEEs) represent a large clinical
and genetic heterogeneous group of neurodevelopmental diseases. The
identification of pathogenic genetic variants in DEEs remains crucial for
deciphering this complex group and for accurately caring for affected individuals
(clinical diagnosis, genetic counseling, impacting medical, precision therapy,
clinical trials, etc.). Whole-exome sequencing and intensive data sharing
identified a recurrent de novo PACS2 heterozygous missense variant in 14
unrelated individuals. Their phenotype was characterized by epilepsy, global
developmental delay with or without autism, common cerebellar dysgenesis, and
facial dysmorphism. Mixed focal and generalized epilepsy occurred in the neonatal
period, controlled with difficulty in the first year, but many improved in early
childhood. PACS2 is an important PACS1 paralog and encodes a multifunctional
sorting protein involved in nuclear gene expression and pathway traffic
regulation. Both proteins harbor cargo(furin)-binding regions (FBRs) that bind
cargo proteins, sorting adaptors, and cellular kinase. Compared to the defined
PACS1 recurrent variant series, individuals with PACS2 variant have more
consistently neonatal/early-infantile-onset epilepsy that can be challenging to
control. Cerebellar abnormalities may be similar but PACS2 individuals exhibit a
pattern of clear dysgenesis ranging from mild to severe. Functional studies
demonstrated that the PACS2 recurrent variant reduces the ability of the
predicted autoregulatory domain to modulate the interaction between the PACS2 FBR
and client proteins, which may disturb cellular function. These findings support
the causality of this recurrent de novo PACS2 heterozygous missense in DEEs with
facial dysmorphim and cerebellar dysgenesis.
PMID- 29656861
TI - Efficacy of Growth Hormone Treatment in Children with Type 1 Diabetes Mellitus
and Growth Hormone Deficiency-An Analysis of KIGS Data.
AB - OBJECTIVE: To analyze first-year treatment growth response and growth hormone
(GH) dosage in prepubertal patients with the combination of type 1 diabetes
mellitus (T1DM) and growth hormone deficiency (GHD). STUDY DESIGN: A total of 69
patients with T1DM and GHD treated with GH have been enrolled in KIGS (Pfizer
International Growth Database). Of these, 24 prepubertal patients had developed
T1DM before GHD and were included in this analysis. Of 30 570 patients with GHD
without T1DM, 15 024 were prepubertal and served as controls. Values are
expressed as mean +/- SD. RESULTS: Patients with T1DM and GHD had similar
characteristics compared with the GHD-alone group. Neither age (10.2 +/- 3.13 vs
8.42 +/- 3.46 years, P = .14), height SDS corrected for midparental height SDS at
start of treatment (-1.62 +/- 1.38 vs -1.61 +/- 1.51, P = .80), nor GH dosage
(0.24 +/- 0.08 mg/kg/wk vs 0.20 +/- 0.04 mg/kg/wk, P = .09) were different
between those with and without T1DM. First-year catch-up growth was comparable
between the 2 patient groups (first treatment year height velocity 7.54 +/- 3.11
cm/year compared with 8.35 +/- 2.54 cm/year in control patients, P = .38). Height
SDS of children with T1DM and GHD improved from -2.62 +/- 1.04 to -1.88 +/- 1.11
over 1 year of GH treatment. CONCLUSION: Short-term response to GH therapy
appeared similar in subjects with T1DM who then developed GHD and in those with
GHD alone. Thus, T1DM does not appear to compromise GH response in children with
GHD and should not exclude GH treatment in these children. GH treatment was safe
in both subgroups of patients.
PMID- 29656859
TI - Dual Molecular Effects of Dominant RORA Mutations Cause Two Variants of Syndromic
Intellectual Disability with Either Autism or Cerebellar Ataxia.
AB - RORalpha, the RAR-related orphan nuclear receptor alpha, is essential for
cerebellar development. The spontaneous mutant mouse staggerer, with an ataxic
gait caused by neurodegeneration of cerebellar Purkinje cells, was discovered two
decades ago to result from homozygous intragenic Rora deletions. However, RORA
mutations were hitherto undocumented in humans. Through a multi-centric
collaboration, we identified three copy-number variant deletions (two de novo and
one dominantly inherited in three generations), one de novo disrupting
duplication, and nine de novo point mutations (three truncating, one canonical
splice site, and five missense mutations) involving RORA in 16 individuals from
13 families with variable neurodevelopmental delay and intellectual disability
(ID)-associated autistic features, cerebellar ataxia, and epilepsy. Consistent
with the human and mouse data, disruption of the D. rerio ortholog, roraa, causes
significant reduction in the size of the developing cerebellum. Systematic in
vivo complementation studies showed that, whereas wild-type human RORA mRNA could
complement the cerebellar pathology, missense variants had two distinct
pathogenic mechanisms of either haploinsufficiency or a dominant toxic effect
according to their localization in the ligand-binding or DNA-binding domains,
respectively. This dichotomous direction of effect is likely relevant to the
phenotype in humans: individuals with loss-of-function variants leading to
haploinsufficiency show ID with autistic features, while individuals with de novo
dominant toxic variants present with ID, ataxia, and cerebellar atrophy. Our
combined genetic and functional data highlight the complex mutational landscape
at the human RORA locus and suggest that dual mutational effects likely determine
phenotypic outcome.
PMID- 29656862
TI - "Toxicohistrionics": Flint, Michigan and the Lead Crisis.
PMID- 29656863
TI - Prevalence of Functional Defecation Disorders in Children: A Systematic Review
and Meta-Analysis.
AB - OBJECTIVE: To systematically review the literature regarding the epidemiology of
functional constipation and functional nonretentive fecal incontinence (FNRFI) in
children. Secondary objectives were to assess the geographical, age, and sex
distribution of functional constipation and FNRFI and to evaluate associated
factors. STUDY DESIGN: The Cochrane Library, PubMed, and Embase databases were
searched from 2006 until September 2017. The following inclusion criteria were
applied: (1) prospective studies of population-based samples; (2) reporting on
the prevalence of functional constipation or FNRFI according to the Rome III/IV
criteria; (3) in children aged 0-18 years; and (4) published in full manuscript
form. A quality assessment of included studies was conducted. Random effect meta
analyses with meta-regression analyses of study characteristics were performed.
RESULTS: Thirty-seven studies were included, of which 35 reported on the
prevalence of functional constipation and 15 of FNRFI. The reported prevalence of
functional constipation ranged from 0.5% to 32.2%, with a pooled prevalence of
9.5% (95% CI 7.5-12.1). The prevalence of FRNFI ranged from 0.0% to 1.8%, with a
pooled prevalence of 0.4% (95% CI 0.2-0.7). The prevalence of functional
constipation was 8.6% in boys compared with 8.9% in girls (OR 0.99, 95% CI 0.9
1.4). Geographical location, dietary habits, and exposure to stressful life
events were reported to be associated with the prevalence of functional
constipation. Data on FNRFI were scarce and no associated factors were
identified. CONCLUSION: Functional constipation is common in childhood and is
associated with geographical location, lifestyle factors, and stressful life
events. FNRFI is rare, and no associated factors were identified.
PMID- 29656864
TI - Long-Term Outcome of Sacrococcygeal Teratoma: A Controlled Cohort Study of
Urinary Tract and Bowel Dysfunction and Predictors of Poor Outcome.
AB - OBJECTIVE: To evaluate urinary tract and bowel function in children with
sacrococcygeal teratoma, compare the findings with healthy children, and assess
predictors of poor outcome. STUDY DESIGN: This was a controlled cohort study of
all patients operated for sacrococcygeal teratoma at a tertiary pediatric surgery
center, 2000-2013. Urinary and bowel function were compared with healthy control
patients matched for age and sex. Perioperative and histopathologic risk factors
were analyzed. RESULTS: In total, 17 patients with sacrococcygeal teratoma and 85
healthy control patients were included in the study. Patients with sacrococcygeal
teratoma more often were reported to have uncontrolled voiding (12% vs 0%, P <
.01), difficulty in bladder emptying (24% vs 0%, P < .001), and pyelonephritis
(18% vs 1%, P < .05). Constipation was more common in patients with
sacrococcygeal teratoma (47 % vs 14%, P < .05), but the overall bowel function
score was equal in the 2 groups. Children with large tumors and immature
histology were more likely to have a dysfunctional outcome (P < .05).
CONCLUSIONS: Uncontrolled voiding, difficulty in bladder emptying,
pyelonephritis, and constipation were more common in patients with sacrococcygeal
teratoma than in healthy children. Dysfunctional outcome was more prevalent in
children with large and immature teratomas.
PMID- 29656865
TI - When and How Do Brief Alcohol Interventions in Primary Care Reduce Alcohol Use
and Alcohol-Related Consequences among Adolescents?
AB - OBJECTIVE: To systematically describe when and how brief alcohol interventions
delivered to adolescents in primary care settings reduce alcohol use and alcohol
related consequences among adolescents, using realist review methodology. STUDY
DESIGN: Eleven electronic databases, gray literature, and reference screening
were searched up to June 2016; 11 brief interventions published in 13 studies met
inclusion criteria. Intervention design components (delivery context and
intervention mechanisms) underlying brief alcohol interventions for adolescents
were extracted and linked to alcohol use and related consequences. RESULTS: Brief
interventions had either an indicated context of delivery (provided to adolescent
patients with low-to-moderate risk for alcohol problems) or universal context of
delivery (provided to general adolescent patient population). Interventions that
used motivational interviewing in an indicated delivery context had 2 potential
mechanisms-eliciting and strengthening motivation to change and providing
direction through interpretation. These interventions resulted in clinically
significant reductions in alcohol use and associated consequences. Peer risk also
was identified among universal and indicated brief interventions as a potential
mechanism for changing alcohol-related outcomes among adolescents who received
the intervention. None of the studies tested the processes by which interventions
were expected to work. CONCLUSIONS: The current evidence base suggests that both
indicated and universal delivery of brief alcohol interventions to adolescents in
primary care settings can result in clinically important changes in alcohol
related outcomes. Studies that test brief intervention processes are now
necessary to better understand how brief interventions work with adolescents in
primary care settings.
PMID- 29656860
TI - Truncating Variants in NAA15 Are Associated with Variable Levels of Intellectual
Disability, Autism Spectrum Disorder, and Congenital Anomalies.
AB - N-alpha-acetylation is a common co-translational protein modification that is
essential for normal cell function in humans. We previously identified the
genetic basis of an X-linked infantile lethal Mendelian disorder involving a
c.109T>C (p.Ser37Pro) missense variant in NAA10, which encodes the catalytic
subunit of the N-terminal acetyltransferase A (NatA) complex. The auxiliary
subunit of the NatA complex, NAA15, is the dimeric binding partner for NAA10.
Through a genotype-first approach with whole-exome or genome sequencing (WES/WGS)
and targeted sequencing analysis, we identified and phenotypically characterized
38 individuals from 33 unrelated families with 25 different de novo or inherited,
dominantly acting likely gene disrupting (LGD) variants in NAA15. Clinical
features of affected individuals with LGD variants in NAA15 include variable
levels of intellectual disability, delayed speech and motor milestones, and
autism spectrum disorder. Additionally, mild craniofacial dysmorphology,
congenital cardiac anomalies, and seizures are present in some subjects. RNA
analysis in cell lines from two individuals showed degradation of the transcripts
with LGD variants, probably as a result of nonsense-mediated decay. Functional
assays in yeast confirmed a deleterious effect for two of the LGD variants in
NAA15. Further supporting a mechanism of haploinsufficiency, individuals with
copy-number variant (CNV) deletions involving NAA15 and surrounding genes can
present with mild intellectual disability, mild dysmorphic features, motor
delays, and decreased growth. We propose that defects in NatA-mediated N-terminal
acetylation (NTA) lead to variable levels of neurodevelopmental disorders in
humans, supporting the importance of the NatA complex in normal human
development.
PMID- 29656866
TI - Corrigendum to "An association study of established breast cancer reproductive
and lifestyle risk factors with tumour subtype defined by the prognostic 70-gene
expression signature (MammaPrint(r))" [Eur J Cancer 75 (April 2017) 5-13].
PMID- 29656867
TI - Surgical treatment of metachronous rectal liver and lung metastases: A combined
videolaparoscopic and videothoracoscopic approach.
PMID- 29656868
TI - Dynamics of EGFR Mutation Load in Plasma for Prediction of Treatment Response and
Disease Progression in Patients With EGFR-Mutant Lung Adenocarcinoma.
AB - BACKGROUND: The assessment of epidermal growth factor receptor (EGFR) mutations
is crucial for the management of patients with lung adenocarcinoma. Circulating
tumor DNA (ctDNA)-based assessment offers advantages over tumor as a minimally
invasive method able to capture tumor heterogeneity. PATIENTS AND METHODS:
Consecutive patients diagnosed with EGFR-mutant lung adenocarcinoma in tumor
biopsy were included in this study. Plasma samples were obtained at different
time points during the course of the disease. EGFR mutations in plasma were
quantified using BEAMing (beads, emulsions, amplification, and magnetics) or
digital PCR and were correlated with mutations in tumor and with radiologic
response and progression. RESULTS: Two hundred twenty-one plasma samples from 33
patients were analyzed. EGFR mutations in plasma were detected in 83% of all
patients and 100% of those with extrathoracic metastases. The dynamics of the
EGFR mutation load predicted response in 93% and progression in 89% of cases well
in advance of radiologic evaluation. Progression-free survival for patients in
whom ctDNA was not detected in plasma during treatment was significantly longer
than for those in whom ctDNA remained detectable (295 vs. 55 days; hazard ratio,
17.1; P < .001). CONCLUSION: The detection of EGFR mutations in ctDNA showed good
correlation with that in tumor biopsy and predicted tumor response and
progression in most patients. The liquid biopsy for ctDNA-based assessment of
EGFR mutations is a reliable technique for diagnosis and follow-up in patients
with EGFR-mutant lung adenocarcinoma in routine clinical practice.
PMID- 29656869
TI - Comparing Treatment Strategies for Stage I Small-cell lung Cancer.
AB - INTRODUCTION: The diagnosis of stage I small-cell lung cancer (SCLC) is
increasing in incidence with the advent of low-dose screening computed
tomography. Surgery is considered the standard of care but there are very few
data to guide clinical decision-making. The purpose of this study was to compare
outcomes for patients receiving definitive surgery, stereotactic body radiation
therapy (SBRT), or external beam radiation therapy (EBRT) for stage I SCLC.
PATIENTS AND METHODS: Patients with a primary diagnosis of stage I SCLC were
identified in the National Cancer Database. Patients were defined as having a
first course of treatment of either surgery, EBRT, or SBRT. Overall survival (OS)
was determined using the Kaplan-Meier method and Cox proportional hazards
regression methods were used to estimate risk of overall mortality. RESULTS: A
total of 2678 patients were included in the analysis. The 2- and 3-year OS for
the whole cohort was 62% and 50%. Comparing treatment strategies in a
multivariate model, surgical resection showed improved OS over EBRT (P < .001)
and SBRT (P < .001), however, the OS benefit over SBRT did not persist for
patients who underwent limited resection. When excluding patients who underwent
surgery, SBRT showed improved OS compared with EBRT (P = .04). Additional use of
chemotherapy with any treatment modality resulted in improved OS (P < .001).
CONCLUSION: In this hospital-based registry study, definitive surgical resection
and use of chemotherapy resulted in improved survival for patients with early
stage SCLC. For patients who are not candidates for surgery, SBRT may offer a
survival benefit compared with standard EBRT.
PMID- 29656870
TI - Distinct Accumbens Shell Output Pathways Promote versus Prevent Relapse to
Alcohol Seeking.
AB - Contexts exert bi-directional control over relapse to drug seeking. Contexts
associated with drug self-administration promote relapse, whereas contexts
associated with the absence of self-administration protect against relapse. The
nucleus accumbens shell (AcbSh) is a key brain region determining these roles of
context. However, the specific cell types, and projections, by which AcbSh serves
these dual roles are unknown. Here, we show that contextual control over relapse
and abstinence is embedded within distinct output circuits of dopamine 1 receptor
(Drd1) expressing AcbSh neurons. We report anatomical and functional segregation
of Drd1 AcbSh output pathways during context-induced reinstatement and extinction
of alcohol seeking. The AcbSh->ventral tegmental area (VTA) pathway promotes
relapse via projections to VTA Gad1 neurons. The AcbSh->lateral hypothalamus (LH)
pathway promotes extinction via projections to LH Gad1 neurons. Targeting these
opposing AcbSh circuit contributions may reduce propensity to relapse to, and
promote abstinence from, drug use.
PMID- 29656871
TI - Activation of Galphaq Signaling Enhances Memory Consolidation and Slows Cognitive
Decline.
AB - Perhaps the most devastating decline with age is the loss of memory. Therefore,
identifying mechanisms to restore memory function with age is critical. Using C.
elegans associative learning and memory assays, we identified a gain-of-function
Galphaq signaling pathway mutant that forms a long-term (cAMP response element
binding protein [CREB]-dependent) memory following one conditioned stimulus
unconditioned stimulus (CS-US) pairing, which usually requires seven CS-US
pairings. Increased CREB activity in AIM interneurons reduces the threshold for
memory consolidation through transcription of a set of previously identified
"long-term memory" genes. Enhanced Galphaq signaling in the AWC sensory neuron is
both necessary and sufficient for improved memory and increased AIM CREB
activity, and activation of Galphaq specifically in aged animals rescues the
ability to form memory. Activation of Galphaq in AWC sensory neurons non-cell
autonomously induces consolidation after one CS-US pairing, enabling both
cognitive function maintenance with age and restoration of memory function in
animals with impaired memory performance without decreased longevity.
PMID- 29656872
TI - The Medial Prefrontal Cortex Shapes Dopamine Reward Prediction Errors under State
Uncertainty.
AB - Animals make predictions based on currently available information. In natural
settings, sensory cues may not reveal complete information, requiring the animal
to infer the "hidden state" of the environment. The brain structures important in
hidden state inference remain unknown. A previous study showed that midbrain
dopamine neurons exhibit distinct response patterns depending on whether reward
is delivered in 100% (task 1) or 90% of trials (task 2) in a classical
conditioning task. Here we found that inactivation of the medial prefrontal
cortex (mPFC) affected dopaminergic signaling in task 2, in which the hidden
state must be inferred ("will reward come or not?"), but not in task 1, where the
state was known with certainty. Computational modeling suggests that the effects
of inactivation are best explained by a circuit in which the mPFC conveys
inference over hidden states to the dopamine system. VIDEO ABSTRACT.
PMID- 29656873
TI - Vision and Locomotion Shape the Interactions between Neuron Types in Mouse Visual
Cortex.
AB - Cortical computation arises from the interaction of multiple neuronal types,
including pyramidal (Pyr) cells and interneurons expressing Sst, Vip, or Pvalb.
To study the circuit underlying such interactions, we imaged these four types of
cells in mouse primary visual cortex (V1). Our recordings in darkness were
consistent with a "disinhibitory" model in which locomotion activates Vip cells,
thus inhibiting Sst cells and disinhibiting Pyr cells. However, the disinhibitory
model failed when visual stimuli were present: locomotion increased Sst cell
responses to large stimuli and Vip cell responses to small stimuli. A recurrent
network model successfully predicted each cell type's activity from the measured
activity of other types. Capturing the effects of locomotion, however, required
allowing it to increase feedforward synaptic weights and modulate recurrent
weights. This network model summarizes interneuron interactions and suggests that
locomotion may alter cortical computation by changing effective synaptic
connectivity.
PMID- 29656874
TI - Regional Heterogeneity of D2-Receptor Signaling in the Dorsal Striatum and
Nucleus Accumbens.
AB - Dopamine input to the dorsal and ventral striatum originates from separate
populations of midbrain neurons. Despite differences in afferent inputs and
behavioral output, little is known about how dopamine release is encoded by
dopamine receptors on medium spiny neurons (MSNs) across striatal subregions.
Here we examined the activation of D2 receptors following the synaptic release of
dopamine in the dorsal striatum (DStr) and nucleus accumbens (NAc) shell. We
found that D2 receptor-mediated synaptic currents were slower in the NAc and this
difference occurred at the level of D2-receptor signaling. As a result of
preferential coupling to Galphao, we also found that D2 receptors in MSNs
demonstrated higher sensitivity for dopamine in the NAc. The higher sensitivity
in the NAc was eliminated following cocaine exposure. These results identify
differences in the sensitivity and timing of D2-receptor signaling across the
striatum that influence how nigrostriatal and mesolimbic signals are encoded
across these circuits.
PMID- 29656875
TI - Structural Mechanism of Functional Modulation by Gene Splicing in NMDA Receptors.
AB - Alternative gene splicing gives rise to N-methyl-D-aspartate (NMDA) receptor ion
channels with defined functional properties and unique contributions to calcium
signaling in a given chemical environment in the mammalian brain. Splice variants
possessing the exon-5-encoded motif at the amino-terminal domain (ATD) of the
GluN1 subunit are known to display robustly altered deactivation rates and pH
sensitivity, but the underlying mechanism for this functional modification is
largely unknown. Here, we show through cryoelectron microscopy (cryo-EM) that the
presence of the exon 5 motif in GluN1 alters the local architecture of
heterotetrameric GluN1-GluN2 NMDA receptors and creates contacts with the ligand
binding domains (LBDs) of the GluN1 and GluN2 subunits, which are absent in NMDA
receptors lacking the exon 5 motif. The unique interactions established by the
exon 5 motif are essential to the stability of the ATD/LBD and LBD/LBD interfaces
that are critically involved in controlling proton sensitivity and deactivation.
PMID- 29656876
TI - Alternative 3' UTRs Modify the Localization, Regulatory Potential, Stability, and
Plasticity of mRNAs in Neuronal Compartments.
AB - Neurons localize mRNAs near synapses where their translation can be regulated by
synaptic demand and activity. Differences in the 3' UTRs of mRNAs can change
their localization, stability, and translational regulation. Using 3' end RNA
sequencing of microdissected rat brain slices, we discovered a huge diversity in
mRNA 3' UTRs, with many transcripts showing enrichment for a particular 3' UTR
isoform in either somata or the neuropil. The 3' UTR isoforms of localized
transcripts are significantly longer than the 3' UTRs of non-localized
transcripts and often code for proteins associated with axons, dendrites, and
synapses. Surprisingly, long 3' UTRs add not only new, but also duplicate
regulatory elements. The neuropil-enriched 3' UTR isoforms have significantly
longer half-lives than somata-enriched isoforms. Finally, the 3' UTR isoforms can
be significantly altered by enhanced activity. Most of the 3' UTR plasticity is
transcription dependent, but intriguing examples of changes that are consistent
with altered stability, trafficking between compartments, or local "remodeling"
remain.
PMID- 29656877
TI - Corrigendum to "ERK1/2/mTOR/Stat3 pathway-mediated autophagy alleviates traumatic
brain injury-induced acute lung injury" [Biochim. Biophys. Acta 1864/5PA(2018)
1663-1674].
PMID- 29656878
TI - Postoperative headache following treatment of vestibular schwannoma: A literature
review.
AB - Vestibular schwannoma (VS) is a brain tumour arising from Schwann cells that is
typically closely associated with the vestibulocochlear nerve. Post-operative
headaches (POH) are a potentially common complication of surgery for VS. Greatly
differing rates of POH have previously been reported, particularly with different
surgical approaches. The aim of this review is to identify and summarise the
available peer-reviewed evidence on rates of POH following operative (or
radiosurgery) treatment for VS, in addition to information about the treatment
and prognosis of POH in these patients. A systematic search was conducted of
Pubmed, Medline, Scopus and EMBASE in April 2017 using the medical subject
headings (acoustic neuroma OR vestibular schwannoma) AND headache. Eligibility
determination and data extraction were performed in duplicate with standardised
forms. POH is common following surgery for VS. Differing rates of POH have been
reported with different management approaches, patient age and tumour size. There
are relatively few studies that have directly compared the rates of POH with
different surgical approaches. The retrosigmoid approach with craniotomy appears
to have lower rates of POH than when the retrosigmoid approach is performed with
craniectomy. Patients under the age of 65 and with tumours <1.5 cm in size appear
to have a higher risk of POH. The most commonly documented management of POH
involves simple analgesia, although the majority of patients report this
treatment is of only minimal benefit. Further prospective studies comparing rates
of POH following different surgical approaches and radiosurgery are required.
PMID- 29656879
TI - Analysis of changes in cervical spinal curvature and intervertebral disk space
height following ACDF surgery in a group of 100 patients followed up for 12
months.
AB - We analysed 100 patients following anterior cervical discectomy and fusion with
interbody stabilisation with PEEK cages. Radiographs obtained preoperatively and
during the 12-month follow-up were compared to track changes in overall and local
cervical lordosis and disk space height. Subsidence was defined as cage migration
>= 3 mm into the adjacent endplates. Mean change in operated disk space height
was 1.13 +/- 1.33 mm. Subsidence was detected in 10.23% of the operated spaces.
Mean change in overall cervical lordosis was 1.31 +/- 5.71 degrees, and mean
change in local lordosis was 0.19 +/- 4.71 degrees. Change in overall cervical
lordosis correlated with change in local lordosis (r = 0.61, p < 0.01). The
greatest changes in lordosis and disk space height were noted immediately post
surgery. Baseline values were approximated gradually over time, but the post
operative values at 12 months were still higher than baseline. Disk space height
change did not correlate with changes in patient-reported pain intensity at
baseline (VAS 0) vs. at 12 months post-operatively (VAS 12) (r = 0.12, p < 0.05)
or changes in the Neck Disability Index (NDI) at baseline (NDI 0) vs. at 12
months post-operatively (NDI 12) (r = -0.02, p = 0.05). Changes in overall
cervical lordosis did not directly influence treatment outcomes assessed by
comparing VAS 0 vs. VAS 12 (r = 0.13, p = 0.24) or NDI 0 vs. NDI 12 (r = -0.0005,
p = 0.96). Surgical outcomes depend primarily on adequate decompression of the
spinal cord and nerve roots. Post-operative radiological changes did not directly
influence patients' pain level or quality of life.
PMID- 29656880
TI - Fundamentals of functional imaging II: emerging MR techniques and new methods of
analysis.
AB - Current multiparameter MRI protocols integrate structural, physiological, and
metabolic information about cancer. Emerging techniques such as arterial spin
labeling (ASL), blood oxygen level dependent (BOLD), MR elastography, chemical
exchange saturation transfer (CEST), and hyperpolarization provide new
information and will likely be integrated into daily clinical practice in the
near future. Furthermore, there is great interest in the study of tumor
heterogeneity as a prognostic factor and in relation to resistance to treatment,
and this interest is leading to the application of new methods of analysis of
multiparametric protocols. In parallel, new oncologic biomarkers that integrate
the information from MR with clinical, laboratory, genetic, and histologic
findings are being developed, thanks to the application of big data and
artificial intelligence. This review analyzes different emerging MR techniques
that are able to evaluate the physiological, metabolic, and mechanical
characteristics of cancer, as well as the main clinical applications of these
techniques. In addition, it summarizes the most novel methods of analysis of
functional radiologic information in oncology.
PMID- 29656881
TI - Fundamentals of functional imaging I: current clinical techniques.
AB - Imaging techniques can establish a structural, physiological, and molecular
phenotype for cancer, which helps enable accurate diagnosis and personalized
treatment. In recent years, various imaging techniques that make it possible to
study the functional characteristics of tumors quantitatively and reproducibly
have been introduced and have become established in routine clinical practice.
Perfusion studies enable us to estimate the microcirculation as well as tumor
angiogenesis and permeability using ultrafast dynamic acquisitions with
ultrasound, computed tomography, or magnetic resonance (MR) imaging. Diffusion
weighted sequences now form part of state-of-the-art MR imaging protocols to
evaluate oncologic lesions in any anatomic location. Diffusion-weighted imaging
provides information about the occupation of the extracellular and extravascular
space and indirectly estimates the cellularity and apoptosis of tumors, having
demonstrated its relation with biologic aggressiveness in various tumor lines and
its usefulness in the evaluation of the early response to systemic and local
targeted therapies. Another tool is hydrogen proton MR spectroscopy, which is
used mainly in the study of the metabolic characteristics of brain tumors.
However, the complexity of the technique and its lack of reproducibility have
limited its clinical use in other anatomic areas, although much experience with
the use of this technique in the assessment of prostate and breast cancers as
well as liver lesions has also accumulated. This review analyzes the imaging
techniques that make it possible to evaluate the physiological and molecular
characteristics of cancer that have already been introduced into clinical
practice, such as techniques that evaluate angiogenesis through dynamic
acquisitions after the administration of contrast material, diffusion-weighted
imaging, or hydrogen proton MR spectroscopy, as well as their principal
applications in oncology.
PMID- 29656882
TI - Factors Influencing Choice of Radiology and Relationship to Resident Job
Satisfaction.
AB - PURPOSE: Identify when current radiology residents initially became interested in
radiology, which factors influenced their decision to pursue a career in
radiology, and which factors correlate with job satisfaction. METHODS: An online
survey was distributed to United States radiology residents between December 7,
2016 and March 31, 2017. Respondents identified the most appealing aspects of
radiology during medical school, identified experiences most influential in
choosing radiology, and scored job satisfaction on visual analog scales. Relative
importance was compared with descriptive statistics. Satisfaction scores were
compared across factors with analysis of variance and post-hoc Tukey tests.
RESULTS: 488 radiology residents responded (age 30.8 +/- 3.2 years; 358 male, 129
female, 1 unknown; 144 PGY2, 123 PGY3, 103 PGY4, 118 PGY5). The most influential
aspects in choosing radiology were the intellectual (n=187, 38%), imaging (n=100,
20%), and procedural (n=96, 20%) components and potential lifestyle (n=69, 14%).
Radiology clerkship reading room shadowing (n=143, 29%), radiologist mentor
(n=98, 20%), non-radiology clerkship imaging exposure (n=77, 16%), and radiology
clerkship interventions exposure (n=75, 15%) were most influential. Choosing
radiology because of potential lifestyle correlated with less job satisfaction
than choosing radiology for intellectual (p=0.0004) and imaging (p=0.0003)
components. CONCLUSION: Recruitment of medical students into radiology may be
most effective when radiology clerkships emphasize the intellectual and imaging
components of radiology through reading room shadowing and exposure to
interventions. Choosing radiology for lifestyle correlates with less job
satisfaction, at least during residency.
PMID- 29656883
TI - Variability in the Use of Simulation for Procedural Training in Radiology
Residency: Opportunities for Improvement.
AB - OBJECTIVE: Increased attention to quality and safety has led to a re-evaluation
of the classic apprenticeship model for procedural training. Many have proposed
simulation as a supplementary teaching tool. The purpose of this study was to
assess radiology resident exposure to procedural training and procedural
simulation. MATERIALS AND METHODS: An IRB-exempt online survey was distributed to
current radiology residents in the United States by e-mail. Survey results were
summarized using frequency and percentages. Chi-square tests were used for
statistical analysis where appropriate. RESULTS: A total of 353 current residents
completed the survey. 37% (n = 129/353) of respondents had never used procedure
simulation. Of the residents who had used simulation, most did not do so until
after having already performed procedures on patients (59%, n = 132/223). The
presence of a dedicated simulation center was reported by over half of residents
(56%, n = 196/353) and was associated with prior simulation experience (P =
0.007). Residents who had not had procedural simulation were somewhat likely or
highly likely (3 and 4 on a 4-point Likert-scale) to participate if it were
available (81%, n = 104/129). Simulation training was associated with higher
comfort levels in performing procedures (P < 0.001). CONCLUSIONS: Although
procedural simulation training is associated with higher comfort levels when
performing procedures, there is variable use in radiology resident training and
its use is not currently optimized. Given the increased emphasis on patient
safety, these results suggest the need to increase procedural simulation use
during residency, including an earlier introduction to simulation before patient
exposure.
PMID- 29656884
TI - Editorial Comment.
PMID- 29656885
TI - Editorial Comment.
PMID- 29656886
TI - Oscillatory squeeze film analysis of soft contact lenses.
AB - The complex modulus of a soft contact lens affects the optical performance,
fitting, on-eye movement, wettability, physiological impact and overall comfort
of the lens. However, despite acknowledgement that the mechanical behaviour of
contact lenses is time-dependent, the rheological characteristics of contact
lenses remain under-defined. While existing studies have focussed on elasticity
to describe lens behaviour, this paper proposes using oscillatory squeeze film
analysis to evaluate the complex modulus. The effects of excitation amplitude,
repeatability and surface wetness are examined for four commercially available
lenses. Slip at the lens/platen interface is considered along with bias
introduced by pre-compressing the lens between platens. Test results when
compared to results reported from other test methods indicate that a high degree
of slip occurs at the lens platen interface suggesting that deformation is
primarily due to biaxial extension.
PMID- 29656887
TI - Sarcopenia and adiposity linked to overall survival.
PMID- 29656888
TI - Animal models of acute otitis media - A review with practical implications for
laboratory research.
AB - Considerable animal research has focused on developing new strategies for the
prevention and treatment of acute otitis media (AOM). Several experimental models
of AOM have thus been developed. A PubMed search of the English literature was
conducted from 1975 to July 2016 using the search terms "animal model" and
"otitis media" from which 91 published studies were included for analysis,
yielding 123 animal models. The rat, mouse and chinchilla are the preferred
animals for experimental AOM models with their individual advantages and
disadvantages. The most common pathogens used to create AOM are Streptococcus
pneumoniae, Haemophilus influenzae and Moraxella catarrhalis. Streptococcus
pneumoniae (types 3, 23 and 6A) and non-typeable Haemophilus influenzae (NTHi)
are best options for inoculation into rat and mouse models. Adding viral
pathogens such as RSV and Influenza A virus, along with creating ET dysfunction,
are useful adjuncts in animal models of AOM. Antibiotic prophylaxis may interfere
with the inflammatory response without a significant reduction in animal
mortality.
PMID- 29656889
TI - 3-D treatment planning system-Leksell Gamma Knife treatment planning system.
AB - Leksell Gamma Plan (LGP), the treatment planning system for the Leksell Gamma
Knife (LGK) stereotactic radiosurgery, has evolved from the primitive KULA system
to the present-day powerful version of image-guided stereotactic radiosurgery.
Through many years of development, LGP has improved on its dose calculation
accuracy, speed, and user interface. This allows more accurate irradiation of the
target while sparing the nearby normal tissues and critical structures better.
PMID- 29656890
TI - Indolyl-isoxazolidines attenuate LPS-stimulated pro-inflammatory cytokines and
increase survival in a mouse model of sepsis: Identification of potent lead.
AB - A library of indolyl-isoxazolidines (6-9) has been synthesized by regio- and
stereoselective microwave irradiated 1,3-dipolar cycloadditions of C-(3-indolyl)
N-phenylnitrone (2') with variedly substituted dipolarophiles (3'-5') and
screened for their anti-inflammatory activities through inhibition of pro
inflammatory cytokines such as TNF-alpha and IL-6. Amongst the evaluated
compounds (6-9), bicyclic isoxazolidine (9a) was found to exhibit significant
inhibitory potential against LPS induced human IL-6 and TNF-alpha in THP-1 cells.
Compound 9a was further assessed for in vivo analgesic and anti-inflammatory
activities via acetic acid induced writhing and carrageenan induced paw edema
models in mice, respectively. The results showed that compound possesses potent
anti-inflammatory-analgesic activity comparable to indomethacin and did not show
toxicity up to a 2000 mg kg-1 dose as evidenced by histopathological studies.
Consequently, the most active compound 9a was also evaluated against LPS-induced
septic death and exhibited a significant protection in in vivo mouse model. Taken
all together, the results suggest that the compound 9a is able to attenuate pro
inflammatory cytokines such as IL-6 and TNF-alpha; accelerate resolution of
inflammation, and also increased survival rate of septic mice. Therefore, these
"lead" isoxazolidines can be used as promising candidate for further
analgesic/anti-inflammatory drug design and development.
PMID- 29656892
TI - Cancer-Germline Antigen Expression Discriminates Clinical Outcome to CTLA-4
Blockade.
AB - CTLA-4 immune checkpoint blockade is clinically effective in a subset of patients
with metastatic melanoma. We identify a subcluster of MAGE-A cancer-germline
antigens, located within a narrow 75 kb region of chromosome Xq28, that predicts
resistance uniquely to blockade of CTLA-4, but not PD-1. We validate this gene
expression signature in an independent anti-CTLA-4-treated cohort and show its
specificity to the CTLA-4 pathway with two independent anti-PD-1-treated cohorts.
Autophagy, a process critical for optimal anti-cancer immunity, has previously
been shown to be suppressed by the MAGE-TRIM28 ubiquitin ligase in vitro. We now
show that the expression of the key autophagosome component LC3B and other
activators of autophagy are negatively associated with MAGE-A protein levels in
human melanomas, including samples from patients with resistance to CTLA-4
blockade. Our findings implicate autophagy suppression in resistance to CTLA-4
blockade in melanoma, suggesting exploitation of autophagy induction for
potential therapeutic synergy with CTLA-4 inhibitors.
PMID- 29656891
TI - Timing the Landmark Events in the Evolution of Clear Cell Renal Cell Cancer:
TRACERx Renal.
AB - Clear cell renal cell carcinoma (ccRCC) is characterized by near-universal loss
of the short arm of chromosome 3, deleting several tumor suppressor genes. We
analyzed whole genomes from 95 biopsies across 33 patients with clear cell renal
cell carcinoma. We find hotspots of point mutations in the 5' UTR of TERT,
targeting a MYC-MAX-MAD1 repressor associated with telomere lengthening. The most
common structural abnormality generates simultaneous 3p loss and 5q gain (36%
patients), typically through chromothripsis. This event occurs in childhood or
adolescence, generally as the initiating event that precedes emergence of the
tumor's most recent common ancestor by years to decades. Similar genomic changes
drive inherited ccRCC. Modeling differences in age incidence between inherited
and sporadic cancers suggests that the number of cells with 3p loss capable of
initiating sporadic tumors is no more than a few hundred. Early development of
ccRCC follows well-defined evolutionary trajectories, offering opportunity for
early intervention.
PMID- 29656893
TI - DNA Repair Network Analysis Reveals Shieldin as a Key Regulator of NHEJ and PARP
Inhibitor Sensitivity.
AB - Repair of damaged DNA is essential for maintaining genome integrity and for
preventing genome-instability-associated diseases, such as cancer. By combining
proximity labeling with quantitative mass spectrometry, we generated high
resolution interaction neighborhood maps of the endogenously expressed DNA repair
factors 53BP1, BRCA1, and MDC1. Our spatially resolved interaction maps reveal
rich network intricacies, identify shared and bait-specific interaction modules,
and implicate previously concealed regulators in this process. We identified a
novel vertebrate-specific protein complex, shieldin, comprising REV7 plus three
previously uncharacterized proteins, RINN1 (CTC-534A2.2), RINN2 (FAM35A), and
RINN3 (C20ORF196). Recruitment of shieldin to DSBs, via the ATM-RNF8-RNF168-53BP1
RIF1 axis, promotes NHEJ-dependent repair of intrachromosomal breaks,
immunoglobulin class-switch recombination (CSR), and fusion of unprotected
telomeres. Shieldin functions as a downstream effector of 53BP1-RIF1 in
restraining DNA end resection and in sensitizing BRCA1-deficient cells to PARP
inhibitors. These findings have implications for understanding cancer-associated
PARPi resistance and the evolution of antibody CSR in higher vertebrates.
PMID- 29656896
TI - Opposite Roles of Salicylic Acid Receptors NPR1 and NPR3/NPR4 in Transcriptional
Regulation of Plant Immunity.
AB - Salicylic acid (SA) is a plant defense hormone required for immunity. Arabidopsis
NPR1 and NPR3/NPR4 were previously shown to bind SA and all three proteins were
proposed as SA receptors. NPR1 functions as a transcriptional co-activator,
whereas NPR3/NPR4 were suggested to function as E3 ligases that promote NPR1
degradation. Here we report that NPR3/NPR4 function as transcriptional co
repressors and SA inhibits their activities to promote the expression of
downstream immune regulators. npr4-4D, a gain-of-function npr4 allele that
renders NPR4 unable to bind SA, constitutively represses SA-induced immune
responses. In contrast, the equivalent mutation in NPR1 abolishes its ability to
bind SA and promote SA-induced defense gene expression. Further analysis revealed
that NPR3/NPR4 and NPR1 function independently to regulate SA-induced immune
responses. Our study indicates that both NPR1 and NPR3/NPR4 are bona fide SA
receptors, but play opposite roles in transcriptional regulation of SA-induced
defense gene expression.
PMID- 29656894
TI - Deterministic Evolutionary Trajectories Influence Primary Tumor Growth: TRACERx
Renal.
AB - The evolutionary features of clear-cell renal cell carcinoma (ccRCC) have not
been systematically studied to date. We analyzed 1,206 primary tumor regions from
101 patients recruited into the multi-center prospective study, TRACERx Renal. We
observe up to 30 driver events per tumor and show that subclonal diversification
is associated with known prognostic parameters. By resolving the patterns of
driver event ordering, co-occurrence, and mutual exclusivity at clone level, we
show the deterministic nature of clonal evolution. ccRCC can be grouped into
seven evolutionary subtypes, ranging from tumors characterized by early fixation
of multiple mutational and copy number drivers and rapid metastases to highly
branched tumors with >10 subclonal drivers and extensive parallel evolution
associated with attenuated progression. We identify genetic diversity and
chromosomal complexity as determinants of patient outcome. Our insights reconcile
the variable clinical behavior of ccRCC and suggest evolutionary potential as a
biomarker for both intervention and surveillance.
PMID- 29656895
TI - Tracking Cancer Evolution Reveals Constrained Routes to Metastases: TRACERx
Renal.
AB - Clear-cell renal cell carcinoma (ccRCC) exhibits a broad range of metastatic
phenotypes that have not been systematically studied to date. Here, we analyzed
575 primary and 335 metastatic biopsies across 100 patients with metastatic
ccRCC, including two cases sampledat post-mortem. Metastatic competence was
afforded by chromosome complexity, and we identify 9p loss as a highly selected
event driving metastasis and ccRCC-related mortality (p = 0.0014). Distinct
patterns of metastatic dissemination were observed, including rapid progression
to multiple tissue sites seeded by primary tumors of monoclonal structure. By
contrast, we observed attenuated progression in cases characterized by high
primary tumor heterogeneity, with metastatic competence acquired gradually and
initial progression to solitary metastasis. Finally, we observed early divergence
of primitive ancestral clones and protracted latency of up to two decades as a
feature of pancreatic metastases.
PMID- 29656897
TI - In Silico Labeling: Predicting Fluorescent Labels in Unlabeled Images.
AB - Microscopy is a central method in life sciences. Many popular methods, such as
antibody labeling, are used to add physical fluorescent labels to specific
cellular constituents. However, these approaches have significant drawbacks,
including inconsistency; limitations in the number of simultaneous labels because
of spectral overlap; and necessary perturbations of the experiment, such as
fixing the cells, to generate the measurement. Here, we show that a computational
machine-learning approach, which we call "in silico labeling" (ISL), reliably
predicts some fluorescent labels from transmitted-light images of unlabeled fixed
or live biological samples. ISL predicts a range of labels, such as those for
nuclei, cell type (e.g., neural), and cell state (e.g., cell death). Because
prediction happens in silico, the method is consistent, is not limited by
spectral overlap, and does not disturb the experiment. ISL generates biological
measurements that would otherwise be problematic or impossible to acquire.
PMID- 29656898
TI - How to decide which patient-reported outcome measure to use? A practical guide
for plastic surgeons.
AB - The use of patient-reported outcome measures (PROMs) is increasing across all
medical specialties, as their importance to patient care is validated. They are
likely to play a particularly important role in plastic and reconstructive
surgery where outcomes are often subjective, and the recent guidance from the
Royal College of Surgeons of England advising their use in cosmetic surgery
highlights this. To drive their routine use across our specialty, it is important
that clinicians are capable of understanding the often complex and confusing
language that surrounds their design and validation. In this article, we describe
the process of PROM design and validation, and we attempt to 'demystify' the
language used in the health outcome literature. We present the important steps
that a well-designed PROM must go through and suggest a straightforward guide for
selecting the most appropriate PROMs for use in clinical practice. We hope that
this will encourage greater use of PROM data across plastic and reconstructive
surgery and ultimately help improve outcomes for our patients.
PMID- 29656899
TI - Long-term outcome of patients with or without osseointegrated implants after
resection of mandibular ameloblastoma and reconstruction with vascularized bone
graft: Functional assessment and quality of life.
AB - BACKGROUND: The optimal management after the resection of mandibular
ameloblastoma has been very challenging to surgeons. The aim of this study is to
evaluate the functional, aesthetic, and quality of life outcomes of patients who
had a segmental mandibular resection and immediate reconstruction with or without
osseointegrated implants. METHOD: Patients' demographics, tumor characteristics,
treatment, and complications were retrieved. Patients were divided into two
groups: dental rehabilitated vs. nondental rehabilitated depending on the
placement of osseointegrated implants followed by an implanted-retained
prosthesis. Functional outcomes and quality of life were assessed using the
Performance Status Scale, the University of Washington Quality of Life (UW-QOL)
scale, and the 14-item Oral Health Impact Profile (OHIP-14). Aesthetic outcome
was evaluated by patients using a Visual Analog Scale. RESULTS: Thirty-four
patients were reviewed. Twenty-two patients were included in the dental
rehabilitated group and 12 in the nondental rehabilitated group. No recurrence of
the tumor was found during the average follow-up period of 7.4 years. Although
both groups reported a similar satisfaction in appearance, patients in the dental
rehabilitated group scored significantly higher in masticatory function and
"eating in public" (p < 0.01). There were significant differences (p < 0.05)
regarding "chewing," "activity," and "anxiety" when assessed using the UW-QOL
scale. Indeed, patients in the dental rehabilitated group showed a definitively
better outcome in "physical disability" and "psychological discomfort" dimensions
when assessed using the OHIP-14. CONCLUSION: Vascularized bone graft
reconstruction followed by immediate or delayed placement of osseointegrated
implants showed as an ideal and predictable treatment modality for patients with
ameloblastoma. The results suggested that patients with osseointegrated implants
had a significantly better masticatory function, improved quality of life, and
less psychological consequences.
PMID- 29656900
TI - Risk factors for fecal carriage of IMP-6-producing Enterobacteriaceae at a long
term care hospital in Japan: A follow-up report from the northern Osaka
multicentre study group.
AB - The prevalence of carbapenem-resistant Enterobacteriaceae (CRE) has been
increasing at medical institutions in Japan without even noticing. Recently, we
performed a point prevalence survey for CRE carriage at a medical facility in
northern Osaka that demonstrated an unexpectedly high prevalence of blaIMP-6
positive CRE, particularly at long-term care hospitals (LTCH). To identify the
risk factors for CRE carriage, we collected clinical data of patients at a
representative LTCH. Of 140 patients who were included in this study, 27 (19.3%)
were colonized with metallo-beta-lactamase (IMP-6) producers. Pulsed-field gel
electrophoresis of the IMP-6 producing Enterobacteriaceae suggested a non-clonal
transmission of Escherichia coli, while a clonal spread was shown for Klebsiella
pneumoniae. Risk factors for CRE colonization were a longer stay at the hospital
stay and a lower independence state, as measured by Norton scales. We propose
that a paradigm shift in infection control, inciting a coordinated regional
effort to involve LTCHs, should be discussed in the aging society of Japan.
PMID- 29656901
TI - Different rates of progression and mortality in patients with chronic kidney
disease at outpatient nephrology clinics across Europe.
AB - The incidence of renal replacement therapy varies across countries. However,
little is known about the epidemiology of chronic kidney disease (CKD) outcomes.
Here we describe progression and mortality risk of patients with CKD but not on
renal replacement therapy at outpatient nephrology clinics across Europe using
individual data from nine CKD cohorts participating in the European CKD Burden
Consortium. A joint model assessed the mean change in estimated glomerular
filtration rate (eGFR) and mortality risk simultaneously, thereby accounting for
mortality risk when estimating eGFR decline and vice versa, while also correcting
for the measurement error in eGFR. Results were adjusted for important risk
factors (baseline eGFR, age, sex, albuminuria, primary renal disease, diabetes,
hypertension, obesity and smoking) in 27,771 patients from five countries. The
adjusted mean annual eGFR decline varied from 0.77 (95% confidence interval 0.45,
1.08) ml/min/1.73m2 in the Belgium cohort to 2.43 (2.11, 2.75) ml/min/1.73m2 in
the Spanish cohort. As compared to the Italian PIRP cohort, the adjusted
mortality hazard ratio varied from 0.22 (0.11, 0.43) in the London LACKABO cohort
to 1.30 (1.13, 1.49) in the English CRISIS cohort. These results suggest that the
eGFR decline showed minor variation but mortality showed the most variation.
Thus, different health care organization systems are potentially associated with
differences in outcome of patients with CKD within Europe. These results can be
used by policy makers to plan resources on a regional, national and European
level.
PMID- 29656902
TI - Legumain, an asparaginyl endopeptidase, mediates the effect of M2 macrophages on
attenuating renal interstitial fibrosis in obstructive nephropathy.
AB - Two distinct macrophage phenotypes contribute to kidney injury and repair during
the progression of renal interstitial fibrosis; proinflammatory (M1) and
antiinflammatory (M2) macrophages. Legumain, an asparaginyl endopeptidase of the
cysteine protease family, is overexpressed in macrophages in some pathological
conditions. However, the macrophage subtype and function of macrophage-derived
legumain remains unclear. To resolve this we tested whether M2 macrophages
contribute to the accumulation of legumain in the unilateral ureteral obstruction
model. Legumain-null mice exhibited more severe fibrotic lesions after
obstruction compared with wild-type control. In vitro, IL4-stimulated M2
polarization led to the overexpression and secretion of legumain. The levels of
fibronectin and collagen I/III, major components of the extracellular matrix,
were reduced in the conditioned medium of TGF-beta1-stimulated tubular epithelial
cells or fibroblasts after treatment with legumain or conditioned medium from IL4
stimulated macrophages. Administration of the legumain inhibitor RR-11a
exacerbated fibrotic lesions following obstruction. Therapeutically, adoptive
transfer of legumain-overexpressing macrophages or IL4-stimulated macrophages
ameliorated the deposition of collagen and fibronectin induced by ureteral
obstruction, either in the wild-type mice or in lgmn-/- mice. Thus, M2
macrophages overexpress and secret legumain and legumain mediates the anti
fibrotic effect of M2 macrophages in obstructive nephropathy.
PMID- 29656904
TI - Donor-specific hypo-responsiveness occurs in simultaneous liver-kidney transplant
recipients after the first year.
AB - Kidney allografts of patients who undergo simultaneous liver-kidney
transplantation incur less immune-mediated injury, and retain better function
compared to other kidney allografts. To characterize the host alloimmune
responses in 28 of these patients, we measured the donor-specific
alloresponsiveness and phenotypes of peripheral blood cells after the first year.
These values were then compared to those of 61 similarly immunosuppressed
recipients of a solitary kidney or 31 recipients of liver allografts. Four
multicolor, non-overlapping flow cytometry protocols were used to assess the
immunophenotypes. Mixed cell cultures with donor or third party cells were used
to measure cell proliferation and interferon gamma production. Despite a
significant overlap, simultaneous liver-kidney transplant recipients had a lower
overall frequency of circulating CD8+, activated CD4+ and effector memory T
cells, compared to solitary kidney transplant recipients. Simultaneous liver
kidney transplant recipient T cells had a significantly lower proliferative
response to the donor cells compared to solitary kidney recipients (11.9 vs.
42.9%), although their response to third party cells was unaltered. The frequency
of interferon gamma producing alloreactive T cells in simultaneous liver-kidney
transplant recipients was significantly lower than that of solitary kidney
transplant recipients. Flow cytometric analysis of the mixed cultures
demonstrated that both alloreactive CD4+ and CD8+ compartments of the
simultaneous liver-kidney transplant recipient circulating blood cells were
smaller. Thus, the phenotypic and functional characteristics of the circulating
blood cells of the simultaneous liver-kidney transplant recipients resembled
those of solitary liver transplant recipients, and appear to be associated with
donor-specific hypo-alloresponsiveness.
PMID- 29656905
TI - Transcranial direct current stimulation improves long-term memory deficits in an
animal model of attention-deficit/hyperactivity disorder and modulates oxidative
and inflammatory parameters.
AB - BACKGROUND: Transcranial direct current stimulation (tDCS) is a technique that
modulates neuronal activity and has been proposed as a potential therapeutic tool
for attention-deficit/hyperactivity disorder (ADHD) symptoms. Although pilot
studies have shown evidence of efficacy, its mechanism of action remains unclear.
OBJECTIVE/HYPOTHESIS: We evaluated the effects of tDCS on behavioral (working and
long-term memory) and neurochemical (oxidative and inflammatory parameters)
outcomes related to ADHD pathophysiology. We used the most widely accepted animal
model of ADHD: spontaneously hypertensive rats (SHR). The selected behavioral
outcomes have been shown to be altered in both ADHD patients and animal models,
and were chosen for their relation to the proposed mechanistic action of tDCS.
METHODS: Adult male SHR and their control, the Wistar Kyoto rats (WKY), were
subjected to 20 min of bicephalic tDCS or sham stimulation for 8 consecutive
days. Working memory, long-term memory, and neurochemical outcomes were
evaluated. RESULTS: TDCS improved long-term memory deficits presented by the SHR.
No change in working memory performance was observed. In the hippocampus, tDCS
increased both the production of reactive oxygen species in SHR and the levels of
the antioxidant molecule glutathione in both strains. TDCS also modulated
inflammatory response in the brains of WKY by downregulating pro-inflammatory
cytokines. CONCLUSION: TDCS had significant effects that were specific for
strain, type of behavioral and neurochemical outcomes. The long-term memory
improvement in the SHR may point to a possible therapeutic role of tDCS in ADHD
that does not seem to be mediated by inflammatory markers. Additionally, the anti
inflammatory effects observed in the brain of WKY after tDCS needs to be further
explored.
PMID- 29656903
TI - Improving the prognosis of patients with severely decreased glomerular filtration
rate (CKD G4+): conclusions from a Kidney Disease: Improving Global Outcomes
(KDIGO) Controversies Conference.
AB - Patients with severely decreased glomerular filtration rate (GFR) (i.e., chronic
kidney disease [CKD] G4+) are at increased risk for kidney failure,
cardiovascular disease (CVD) events (including heart failure), and death.
However, little is known about the variability of outcomes and optimal
therapeutic strategies, including initiation of kidney replacement therapy (KRT).
Kidney Disease: Improving Global Outcomes (KDIGO) organized a Controversies
Conference with an international expert group in December 2016 to address this
gap in knowledge. In collaboration with the CKD Prognosis Consortium (CKD-PC) a
global meta-analysis of cohort studies (n = 264,515 individuals with CKD G4+) was
conducted to better understand the timing of clinical outcomes in patients with
CKD G4+ and risk factors for different outcomes. The results confirmed the
prognostic value of traditional CVD risk factors in individuals with severely
decreased GFR, although the risk estimates vary for kidney and CVD outcomes. A 2-
and 4-year model of the probability and timing of kidney failure requiring KRT
was also developed. The implications of these findings for patient management
were discussed in the context of published evidence under 4 key themes:
management of CKD G4+, diagnostic and therapeutic challenges of heart failure,
shared decision-making, and optimization of clinical trials in CKD G4+ patients.
Participants concluded that variable prognosis of patients with advanced CKD
mandates individualized, risk-based management, factoring in competing risks and
patient preferences.
PMID- 29656906
TI - Exhibition of stochastic resonance in vestibular tilt motion perception.
AB - BACKGROUND: Stochastic Resonance (SR) is a phenomenon broadly described as "noise
benefit". The application of subsensory electrical Stochastic Vestibular
Stimulation (SVS) via electrodes behind each ear has been used to improve human
balance and gait, but its effect on motion perception thresholds has not been
examined. OBJECTIVE: This study investigated the capability of subsensory SVS to
reduce vestibular motion perception thresholds in a manner consistent with a
characteristic bell-shaped SR curve. METHODS: We measured upright, head-centered,
roll tilt Direction Recognition (DR) thresholds in the dark in 12 human subjects
with the application of wideband 0-30 Hz SVS ranging from +/-0-700 MUA. To
conservatively assess if SR was exhibited, we compared the proportions of both
subjective and statistical SR exhibition in our experimental data to proportions
of SR exhibition in multiple simulation cases with varying underlying SR
behavior. Analysis included individual and group statistics. RESULTS: As there is
not an established mathematical definition, three humans subjectively judged that
SR was exhibited in 78% of subjects. "Statistically significant SR exhibition",
which additionally required that a subject's DR threshold with SVS be
significantly lower than baseline (no SVS), was present in 50% of subjects. Both
percentages were higher than simulations suggested could occur simply by chance.
For SR exhibitors, defined by subjective or statistically significant criteria,
the mean DR threshold improved by -30% and -39%, respectively. The largest
individual improvement was -47%. CONCLUSION: At least half of the subjects were
better able to perceive passive body motion with the application of subsensory
SVS. This study presents the first conclusive demonstration of SR in vestibular
motion perception.
PMID- 29656907
TI - Opposite effects of lateralised transcranial alpha versus gamma stimulation on
auditory spatial attention.
AB - BACKGROUND: Spatial attention relatively increases the power of neural 10-Hz
alpha oscillations in the hemisphere ipsilateral to attention, and decreases
alpha power in the contralateral hemisphere. For gamma oscillations (>40 Hz), the
opposite effect has been observed. The functional roles of lateralised
oscillations for attention are currently unclear. HYPOTHESIS: If lateralised
oscillations are functionally relevant for attention, transcranial stimulation of
alpha versus gamma oscillations in one hemisphere should differentially modulate
the accuracy of spatial attention to the ipsi-versus contralateral side. METHODS:
20 human participants performed a dichotic listening task under continuous
transcranial alternating current stimulation (tACS, vs sham) at alpha (10 Hz) or
gamma (47 Hz) frequency. On each trial, participants attended to four spoken
numbers on the left or right ear, while ignoring numbers on the other ear. In
order to stimulate a left temporo-parietal cortex region, which is known to show
marked modulations of alpha power during auditory spatial attention, tACS (1 mA
peak-to-peak amplitude) was applied at electrode positions TP7 and FC5 over the
left hemisphere. RESULTS: As predicted, unihemispheric alpha-tACS relatively
decreased the recall of targets contralateral to stimulation, but increased
recall of ipsilateral targets. Importantly, this spatial pattern of results was
reversed for gamma-tACS. CONCLUSIONS: Results provide a proof of concept that
transcranially stimulated oscillations can enhance spatial attention and
facilitate attentional selection of speech. Furthermore, opposite effects of
alpha versus gamma stimulation support the view that states of high alpha are
incommensurate with active neural processing as reflected by states of high
gamma.
PMID- 29656908
TI - Factors motivating spontaneous exercise in individuals with schizophrenia
spectrum disorders.
PMID- 29656909
TI - Corpus callosum shape and morphology in youth across the psychosis Spectrum.
AB - The corpus callosum is the largest white matter tract in the human brain
connecting and coordinating homologous regions of the right and left hemispheres
and has been strongly implicated in the pathogenesis of psychosis. We
investigated corpus callosum morphology in a large community cohort of 917
individuals (aged 8-21), including 267 endorsing subsyndromal or threshold
psychotic symptoms (207 on the psychosis spectrum and 60 with limited psychosis
based on previously published criteria) and 650 non-psychotic volunteers. We used
a highly reliable and previously published algorithm to automatically identify
the midsagittal plane and to align the corpus callosum along the anterior and
posterior commissures for segmentation, thereby eliminating these sources of
error variance in dependent measures, which included perimeter, length, mean
thickness and shape (circularity). The parcellation scheme divided the corpus
callosum into 7 subregions that consisted of the rostrum, genu, rostral body,
anterior midbody, posterior midbody, isthmus, and splenium. Both individuals
endorsing psychotic symptoms and those with limited psychosis had significantly
(p<.05) smaller area and lower thickness measures compared to healthy volunteers,
but did not differ significantly from each other. Findings were relatively
widespread indicating a relatively global effect not circumscribed to any
particular corpus callosum subregion. These data are consistent with the
hypothesis that corpus callosum abnormalities may be evident early in the course
of illness and predate the onset of frank psychosis. Given that these measures
can be easily obtained and are highly reliable they may assist in the
identification of individuals at future risk for psychosis.
PMID- 29656910
TI - Glycemic control is an important modifiable risk factor for uveitis in patients
with diabetes: A retrospective cohort study establishing clinical risk and
ophthalmic disease burden.
AB - AIM: To characterize the risk uveitis, scleritis or episcleritis in relation to
diabetes, glycaemic control, and co-existence of retinopathy. METHODS: Using the
Royal College of General Practitioners Research and Surveillance Centre database,
we established the prevalence of acute uveitis and scleritis or episcleritis over
a six-year period among populations without(n = 889,856) and with diabetes(n =
48,584). We evaluated the impact of glycaemic control on disease risk. Regression
modeling was used to identify associations, adjusting for clinical and
demographic confounders. RESULTS: Incidence of acute uveitis was higher among
patients with diabetes; Type 1 OR:2.01 (95% CI 1.18-3.41; p = 0.009), and Type 2
OR:1.23 (1.05-1.44; p = 0.01). Glycaemic control was established as an important
effect modifier for uveitis risk, whereby those with poorer control suffered
higher disease burden. Results confirmed a dose-response relationship such that
very poor glycaemic control OR:4.72 (2.58-8.65; p < 0.001), poor control OR:1.57
(1.05-2.33; p = 0.03) and moderate control OR:1.20 (0.86-1.68; p = 0.29) were
predictive of uveitis. Similar results were observed when evaluating retinopathy
staging: proliferative retinopathy OR:2.42 (1.25-4.69; p = 0.01). These results
were not maintained for scleritis or episcleritis. CONCLUSION: Acute uveitis is
more common in patients with diabetes; at highest risk are those with type 1
disease with poor glycaemic control. Glycaemic improvements may prevent
recurrence.
PMID- 29656912
TI - The Voices for Healthy Kids and State Legislation to Prevent Childhood Obesity:
An Update.
AB - INTRODUCTION: The purpose of this study is to examine general time trends in
childhood obesity legislative activity in all 50 states (overall and by health
equity focus) and whether the Voices for Healthy Kids Campaign (Voices) was
associated with increased legislative activity. METHODS: LexisNexis State Capital
was used to identify bills related to childhood obesity from 2012 to 2016. Linear
and linear probability models were used to assess general time trends and
regression-based difference-in-difference models to assess whether time trends
differed for states that received a Voices grant. The data were analyzed in 2017.
RESULTS: A total of 989 bills were introduced (Year 1=304, Year 2=364; Year
3=321), and a total of 93 bills were enacted (Year 1=34, Year 2=24, Year 3=35)
after baseline. The mean number of bills introduced (baseline=4.3, Year 1=6.6,
Year 2=7.3, Year 3=7.0, p=0.007), and the average state enactment rate
(baseline=11%, Year 1=16%, Year 2=8%, Year 3=27%, p-trend=0.02) increased
significantly. States with Voices grantees introduced 2.1 more bills than non
grantee states (p=0.04). The estimated difference over time in bill enactment and
health equity focus did not differ by Voices grantee status. CONCLUSIONS:
Childhood obesity bill introduction and enactment increased between 2013 and
2016. The evidence-based advocacy supported by Voices appears to be significantly
associated with greater increases in state-level bill introduction, but not
enactment of legislation to address childhood obesity.
PMID- 29656911
TI - Healthcare Empowerment and HIV Viral Control: Mediating Roles of Adherence and
Retention in Care.
AB - INTRODUCTION: This study assessed longitudinal relationships between patient
healthcare empowerment, engagement in care, and viral control in the Women's
Interagency HIV Study, a prospective cohort study of U.S. women living with HIV.
METHODS: From April 2014 to March 2016, four consecutive 6-month visits were
analyzed among 973 women to assess the impact of Time 1 healthcare empowerment
variables (Tolerance for Uncertainty and the state of Informed Collaboration
Committed Engagement) on Time 2 reports of >=95% HIV medication adherence and not
missing an HIV primary care appointment since last visit; and on HIV RNA viral
control across Times 3 and 4, controlling for illicit drug use, heavy drinking,
depression symptoms, age, and income. Data were analyzed in 2017. RESULTS:
Adherence of >=95% was reported by 83% of women, 90% reported not missing an
appointment since the last study visit, and 80% were categorized as having viral
control. Logistic regression analyses revealed a significant association between
the Informed Collaboration Committed Engagement subscale and viral control,
controlling for model covariates (AOR=1.08, p=0.04), but not for the Tolerance
for Uncertainty subscale and viral control (AOR=0.99, p=0.68). In separate
mediation analyses, the indirect effect of Informed Collaboration Committed
Engagement on viral control through adherence (beta=0.04, SE=0.02, 95% CI=0.02,
0.08), and the indirect effect of Informed Collaboration Committed Engagement on
viral control through retention (beta=0.01, SE=0.008, 95% CI=0.001, 0.030) were
significant. Mediation analyses with Tolerance for Uncertainty as the predictor
did not yield significant indirect effects. CONCLUSIONS: The Informed
Collaboration Committed Engagement healthcare empowerment component is a
promising pathway through which to promote engagement in care among women living
with HIV.
PMID- 29656913
TI - Faith, Activity, and Nutrition Randomized Dissemination and Implementation Study:
Countywide Adoption, Reach, and Effectiveness.
AB - INTRODUCTION: Faith-based organizations can contribute to improving population
health, but few dissemination and implementation studies exist. This paper
reports countywide adoption, reach, and effectiveness from the Faith, Activity,
and Nutrition dissemination and implementation study. DESIGN: This was a group
randomized trial. Data were collected in 2016. Statistical analyses were
conducted in 2017. SETTING/PARTICIPANTS: Churches in a rural, medically
underserved county in South Carolina were invited to enroll, and attendees of
enrolled churches were invited to complete questionnaires (n=1,308 participated).
INTERVENTION: Churches (n=59) were randomized to an intervention or control
(delayed intervention) condition. Church committees attended training focused on
creating opportunities, setting guidelines/policies, sharing messages, and
engaging pastors for physical activity (PA) and healthy eating (HE). Churches
also received 12 months of telephone-based technical assistance. Community health
advisors provided the training and technical assistance. MAIN OUTCOMES MEASURES:
The Reach, Efficacy/Effectiveness, Adoption, Implementation, Maintenance (RE-AIM)
framework guided measurement of adoption and reach. To assess effectiveness,
church attendees completed post-test only questionnaires of perceptions of church
environment, PA and fruit and vegetable (FV) self-efficacy, FV intake, and PA.
Regression models controlled for church clustering and predominant race of
congregation, as well as member age, gender, education, and self-reported cancer
diagnosis. RESULTS: Church adoption was 42% (55/132). Estimated reach was 3,527,
representing 42% of regular church attendees and 15% of county residents.
Intervention church attendees reported greater church-level PA opportunities, PA
and HE messages, and PA and HE pastor support (p<0.0001), but not FV
opportunities (p=0.07). PA self-efficacy (p=0.07) and FV self-efficacy (p=0.21)
were not significantly higher in attendees of intervention versus control
churches. The proportion of inactive attendees was lower in intervention versus
control churches (p=0.02). The proportion meeting FV (p=0.27) and PA guidelines
(p=0.32) did not differ by group. CONCLUSIONS: This innovative dissemination and
implementation study had high adoption and reach with favorable environmental
impacts, positioning it for broader dissemination. TRIAL REGISTRATION: This study
is registered at www.clinicaltrials.gov NCT02868866.
PMID- 29656914
TI - Results of an RCT in Two Pediatric Emergency Departments to Evaluate the Efficacy
of an m-Health Educational App on Car Seat Use.
AB - INTRODUCTION: The growing interest in incorporating prevention into emergency
health care make it timely to examine the use of computer technology to
efficiently deliver effective education in this setting. STUDY DESIGN: This RCT
compared results from an intervention group (n=367) that received child passenger
safety information, to an attention-matched control (n=375). A baseline survey
and two follow-up surveys at 3 and 6 months were conducted. SETTING/PARTICIPANTS:
Data were collected from June 2014 to September 2016 from a sample of parents
with children aged 4-7 years recruited from a pediatric emergency department in
an East Coast urban area and one in a Midwest semi-rural area. INTERVENTION: A
theory-based, stage-tailored educational program, Safety in Seconds v2.0TM,
delivered on a mobile app. MAIN OUTCOME MEASURES: Four car seat behaviors: (1)
having the correct restraint for the child's age and weight; (2) having the child
ride in the backseat all the time; (3) buckling up the child all the time; and
(4) having the child's restraint inspected by a child passenger safety
technician. RESULTS: At 3 months, adjusting for baseline behaviors and attrition,
the odds of reporting the correct behavior by the intervention group relative to
the control group was 2.07 (p<0.01) for using the correct car seat; 2.37 (p<0.05)
times for having the child ride in the back seat; 1.04 (nonsignificant) for
riding buckled up all the time; and 1.99 (p<0.01) times for having the car seat
inspected. At 6 months, there were statistically significant effects for
reporting use of the correct car seat (OR=1.84, p<0.01) and having the car seat
inspected (OR=1.73, p<0.01). CONCLUSIONS: Mobile apps hold promise for reaching
large populations with individually tailored child passenger safety education.
TRIAL REGISTRATION: Clinical Trial Registration # NCT02345941.
PMID- 29656915
TI - Substance Use and Suicide in Pacific Islander, American Indian, and Multiracial
Youth.
AB - INTRODUCTION: National estimates of U.S. Native Hawaiian and other Pacific
Islander (NHPI), American Indian/Alaskan Native (AIAN), and multiracial
adolescent substance use and suicidality are scarce because of their small
population sizes. The aim was to estimate the national prevalence of, and
disparities in, substance use and suicidality among these understudied
adolescents. METHODS: Analyses conducted in 2017 of U.S. adolescents (grades
ninth to 12th) from the 1991-2015 Combined National Youth Behavioral Risk Surveys
estimated (1) prevalence of lifetime and current (past 30-day) substance use,
past 12-month depressed mood, and suicidality by racial group; and (2) AORs for
depressed mood and suicidality regressed on current alcohol, cigarette, and
marijuana use. RESULTS: Among 184,494 U.S. adolescents, alcohol, cigarettes, and
marijuana were commonly used with lifetime prevalence of 75.32%, 58.11%, and
40.55%, respectively, and current prevalence of 44.51%, 24.58%, and 22.01%,
respectively. Past 12-month prevalence of suicidal thoughts, suicide planning,
and attempted suicide were 18.87%, 14.75%, and 7.98%, respectively. Relative to
non-Hispanic whites, NHPI, AIAN, and multiracial adolescents had higher
prevalence of using many illicit substances (e.g., marijuana, heroin), depressed
mood, and suicidal thoughts, planning, and attempts (p<0.05). Except for NHPIs
and current alcohol use, current alcohol and cigarette use were independently
associated with 2.0-2.3 times greater AORs (p<0.05) for attempted suicide among
the target adolescents. CONCLUSIONS: U.S. NHPI, AIAN, and multiracial adolescents
are disproportionately burdened by illicit substance use, depressed mood, and
suicidality. Current alcohol and cigarette use may predispose these adolescents
toward suicidality, offering potential pathways to alleviate suicide risk.
PMID- 29656916
TI - Tobacco Use and Sexual Orientation in a National Cross-sectional Study: Age,
Race/Ethnicity, and Sexual Identity-Attraction Differences.
AB - INTRODUCTION: The purpose of this study is to determine the past-year prevalence
estimates of any nicotine/tobacco use, cigarette smoking, and DSM-5 tobacco use
disorder based on sexual identity among U.S. adults, and to examine potential
variations in these estimates by age, race/ethnicity, and sexual identity
attraction concordance/discordance. METHODS: The 2012-2013 National Epidemiologic
Survey on Alcohol and Related Conditions collected data via in-person interviews
with a cross-sectional nationally representative sample of non-institutionalized
adults (response rate=60.1%) and analyses for the present study were conducted in
2017. RESULTS: Any past-year nicotine/tobacco use, cigarette smoking, and DSM-5
tobacco use disorder were most prevalent among sexual minority-identified adults
compared with heterosexual-identified adults, with notable variations based on
sex, age, race/ethnicity, and sexual identity-attraction discordance. Elevated
rates of any nicotine/tobacco use, cigarette smoking, and DSM-5 tobacco use
disorder among sexual minorities were most prevalent among younger lesbian women
and gay men, and all age groups of bisexual men and women. The odds of any
nicotine/tobacco use, cigarette smoking, and DSM-5 tobacco use disorder were
significantly greater among sexual identity-attraction discordant women and
significantly lower among sexual identity-attraction discordant men. CONCLUSIONS:
These findings provide valuable new information about sexual minority subgroups,
such as self-identified bisexual older adults and sexual identity-attraction
discordant women, that appear to be at higher risk for adverse smoking-related
health consequences as a result of their elevated rates of cigarette smoking.
Additional attention is warranted to examine these high-risk subpopulations
prospectively and, if the results are replicated with larger samples, this
information can be used to target smoking-cessation and lung cancer screening
efforts.
PMID- 29656917
TI - The Short-Term Impacts of the Philadelphia Beverage Tax on Beverage Consumption.
AB - INTRODUCTION: On January 1, 2017, Philadelphia implemented a beverage tax of
$0.015/ounce on sugar ("regular") and sugar-substitute ("diet") beverages. The
purpose of this study was to evaluate the immediate impact of the tax on
residents' consumption of soda, fruit drinks, energy drinks, and bottled water.
METHODS: A repeat cross-sectional study design used data from a random-digit
dialing phone survey during a no-tax period (December 6-31, 2016) and a tax
period (January 15-February 31, 2017) among 899 respondents in Philadelphia,
Pennsylvania, and 878 respondents in three nearby comparison cities. Survey
questions included frequency and volume of bottled water and beverages. Outcomes
were daily consumption, and 30-day consumption frequency and volume. Propensity
score-weighted difference-in-differences regression was used to control for
secular time trend and confounding. Covariates were sociodemographics, BMI,
health status, smoking, and alcohol use. Analyses were conducted in 2017.
RESULTS: Within the first 2 months of tax implementation, relative to the
comparison cities, in Philadelphia the odds of daily consumption of regular soda
was 40% lower (OR=0.6, 95% CI=0.37, 0.97); energy drink was 64% lower (OR=0.36,
95% CI=0.17, 0.76); bottled water was 58% higher (OR=1.58, 95% CI=1.13, 2.20);
and the 30-day regular soda consumption frequency was 38% lower (ratio of
consumption frequency=0.62, 95% CI=0.40, 0.98). CONCLUSIONS: Early results
suggest that the tax influenced daily consumption of regular soda, energy drinks,
and bottled water. Future studies are needed to evaluate longer-term impact of
the tax on sugared beverage consumption and substitutions.
PMID- 29656918
TI - Proximity to Liquor Stores and Adolescent Alcohol Intake: A Prospective Study.
AB - INTRODUCTION: Cross-sectional studies have reported associations between liquor
store availability and alcohol use among adolescents, but few prospective studies
have confirmed this association. The aim of this study was to examine whether
proximity to liquor stores at age 14 years was associated with alcohol intake at
ages 14, 17, and 20 years. METHODS: Participants of the Western Australian
Pregnancy Cohort (Raine) Study (n=999) self-reported alcohol intake at age 14
years (early adolescence, 2003-2005); age 17 years (middle adolescence, 2006
2008); and age 20 years (late adolescence, 2009-2011). A GIS measured proximity
to the closest liquor store from participants' home and school addresses at age
14 years. Regression analyses in 2017 assessed the relationship between distance
to the closest liquor store around home, school, or both (<=800 m versus >800 m)
and alcohol intake. RESULTS: In cross-sectional analyses (age 14 years), having a
liquor store within 800 m of school was associated with ever having part of an
alcoholic drink (OR=2.34, p=0.003). Also, having a liquor store within 800 m of
home or school was associated with ever having part of an alcoholic drink
(OR=1.49, p=0.029) and ever having engaged in heavy drinking (OR=1.79, p=0.023).
In prospective analyses, liquor store proximity at age 14 years was a significant
predictor of alcohol intake at age 17 years (OR=2.34, p=0.032) but not at age 20
years. CONCLUSIONS: Liquor store availability in early adolescence may be a risk
factor for alcohol intake in early and middle, but not late, adolescence.
Improved understanding of the longer-term impacts of liquor store exposure on
sensitive populations could help inform future licensing regulations.
PMID- 29656919
TI - Trends and Gaps in Awareness of Direct-to-Consumer Genetic Tests From 2007 to
2014.
AB - INTRODUCTION: Direct-to-consumer genetic tests for inherited disease risks have
gained recent approvals from the Food and Drug Administration, and interest in
these tests has continued to grow. Broad use of these tests coupled with planning
and discussion with health providers regarding genetic risks and potential
protective behavior changes have been proposed as preventive tools to reduce
health disparities and improve equity in health outcomes. However, awareness of
direct-to-consumer genetic testing has historically demonstrated differences by
education, income, and race; these disparities could jeopardize potential
benefits by limiting access and use. METHODS: The national survey data from the
Health Information National Trends Survey was analyzed to understand how overall
awareness of direct-to-consumer genetic testing and disparities in awareness
across sociodemographic groups have changed since 2007. RESULTS: The findings
showed persistent disparities, as well as a widening gap in awareness between
Hispanics and non-Hispanic whites (OR2007 =1.52, OR2014 =0.58, pchange =0.0056),
despite overall increases in awareness over time. CONCLUSIONS: Given these
findings, policies regulating direct-to-consumer genetic tests should prioritize
equitable distribution of benefits by including provisions that counteract
prevailing disparities in awareness.
PMID- 29656920
TI - Effect of Smoking on Periodontitis: A Systematic Review and Meta-regression.
AB - CONTEXT: The study systematically reviewed articles on the association between
tobacco smoking and periodontitis, as it has been hypothesized that smoking
affects the course of periodontitis through impairment of immunological and
vascular mechanisms. EVIDENCE ACQUISITION: Searches of articles indexed in
PubMed, Scopus, and Embase were performed up to and including May 2017. Search
strategy included MeSH and free terms: periodontitis, periodontal diseases,
smoking, tobacco use, tobacco, tobacco products, cigarette, pipe, and cigar. Only
original prospective longitudinal studies that investigated the association
between smoking and periodontitis incidence or progression were included. Results
were shown as combined risk ratio. Meta-regression and subgroup analyses were
used to explore potential sources of heterogeneity. Analyses were conducted in
August 2017. EVIDENCE SYNTHESIS: Twenty-eight studies were included in the
review; of these, only 14 presented data that could be included in the meta
analysis. Pooled adjusted risk ratios estimate that smoking increases the risk of
periodontitis by 85% (risk ratio=1.85, 95% CI=1.5, 2.2). Meta-regression
demonstrated that age explained 54.2% of the variability between studies, time of
follow-up explained 13.5%, loss to follow-up 10.7%, criteria used to assess the
periodontal status explained 2.1%, and severity of periodontitis explained 16.9%.
CONCLUSIONS: Smoking has a detrimental effect on the incidence and progression of
periodontitis. Tobacco smoking, therefore, is important information that should
be assessed along with other risk factors for periodontitis.
PMID- 29656921
TI - Controversy: Pros and cons screening of corticotropic deficiency after exogenous
glucocorticoid therapy.
PMID- 29656922
TI - Complete and thorough evaluation is critical for the initiation of surgery in the
management of women with pelvic floor disorders.
PMID- 29656923
TI - Structural Basis of Splicing Modulation by Antitumor Macrolide Compounds.
AB - SF3B is a multi-protein complex essential for branch site (BS) recognition and
selection during pre-mRNA splicing. Several splicing modulators with antitumor
activity bind SF3B and thereby modulate splicing. Here we report the crystal
structure of a human SF3B core in complex with pladienolide B (PB), a macrocyclic
splicing modulator and potent inhibitor of tumor cell proliferation. PB stalls
SF3B in an open conformation by acting like a wedge within a hinge, modulating
SF3B's transition to the closed conformation needed to form the BS adenosine
binding pocket and stably accommodate the BS/U2 duplex. This work explains the
structural basis for the splicing modulation activity of PB and related
compounds, and reveals key interactions between SF3B and a common pharmacophore,
providing a framework for future structure-based drug design.
PMID- 29656924
TI - Cell-Cycle Modulation of Transcription Termination Factor Sen1.
AB - Many non-coding transcripts (ncRNA) generated by RNA polymerase II in S.
cerevisiae are terminated by the Nrd1-Nab3-Sen1 complex. However, Sen1 helicase
levels are surprisingly low compared with Nrd1 and Nab3, raising questions
regarding how ncRNA can be terminated in an efficient and timely manner. We show
that Sen1 levels increase during the S and G2 phases of the cell cycle, leading
to increased termination activity of NNS. Overexpression of Sen1 or failure to
modulate its abundance by ubiquitin-proteasome-mediated degradation greatly
decreases cell fitness. Sen1 toxicity is suppressed by mutations in other
termination factors, and NET-seq analysis shows that its overexpression leads to
a decrease in ncRNA production and altered mRNA termination. We conclude that
Sen1 levels are carefully regulated to prevent aberrant termination. We suggest
that ncRNA levels and coding gene transcription termination are modulated by Sen1
to fulfill critical cell cycle-specific functions.
PMID- 29656925
TI - Dynamics of PARKIN-Dependent Mitochondrial Ubiquitylation in Induced Neurons and
Model Systems Revealed by Digital Snapshot Proteomics.
AB - Flux through kinase and ubiquitin-driven signaling systems depends on the
modification kinetics, stoichiometry, primary site specificity, and target
abundance within the pathway, yet we rarely understand these parameters and their
spatial organization within cells. Here we develop temporal digital snapshots of
ubiquitin signaling on the mitochondrial outer membrane in embryonic stem cell
derived neurons, and we model HeLa cell systems upon activation of the PINK1
kinase and PARKIN ubiquitin ligase by proteomic counting of ubiquitylation and
phosphorylation events. We define the kinetics and site specificity of PARKIN
dependent target ubiquitylation, and we demonstrate the power of this approach to
quantify pathway modulators and to mechanistically define the role of PARKIN UBL
phosphorylation in pathway activation in induced neurons. Finally, through
modulation of pS65-Ub on mitochondria, we demonstrate that Ub hyper
phosphorylation is inhibitory to mitophagy receptor recruitment, indicating that
pS65-Ub stoichiometry in vivo is optimized to coordinate PARKIN recruitment via
pS65-Ub and mitophagy receptors via unphosphorylated chains.
PMID- 29656926
TI - Resting state cerebral blood flow with arterial spin labeling MRI in developing
human brains.
AB - The development of brain circuits is coupled with changes in neurovascular
coupling, which refers to the close relationship between neural activity and
cerebral blood flow (CBF). Studying the characteristics of CBF during resting
state in developing brain can be a complementary way to understand the functional
connectivity of the developing brain. Arterial spin labeling (ASL), as a
noninvasive MR technique, is particularly attractive for studying cerebral
perfusion in children and even newborns. We have collected pulsed ASL data in
resting state for 47 healthy subjects from young children to adolescence (aged
from 6 to 20 years old). In addition to studying the developmental change of
static CBF maps during resting state, we also analyzed the CBF time series to
reveal the dynamic characteristics of CBF in differing age groups. We used the
seed-based correlation analysis to examine the temporal relationship of CBF time
series between the selected ROIs and other brain regions. We have shown the
developmental patterns in both static CBF maps and dynamic characteristics of
CBF. While higher CBF of default mode network (DMN) in all age groups supports
that DMN is the prominent active network during the resting state, the CBF
connectivity patterns of some typical resting state networks show distinct
patterns of metabolic activity during the resting state in the developing brains.
PMID- 29656927
TI - Pontocerebellar hypoplasia type 1 for the neuropediatrician: Genotype-phenotype
correlations and diagnostic guidelines based on new cases and overview of the
literature.
AB - Pontocerebellar hypoplasia type 1 (PCH1) is a major cause of non-5q spinal
muscular atrophy (SMA). We screened 128 SMN1-negative SMA patients from Bulgaria
for a frequent mutation -p.G31A in EXOSC3, and performed a literature review of
all genetically verified PCH1 cases. Homozygous p.G31A/EXOSC3 mutation was
identified in 14 Roma patients, representing three fourths of all our SMN1
negative Roma SMA cases. The phenotype of the p.G31A/EXOSC3 homozygotes was
compared to the clinical presentation of all reported to date genetically
verified PCH1 cases. Signs of antenatal onset of disease present at birth were
common in all PCH1 sub-types except in the homozygous p.D132A/EXOSC3 patients.
The PCH1sub-types with early death (between ages 1 day and 17 months), seen in
patients with p.G31A/EXOSC3 or SLC25A46 mutations have a SMA type 1-like clinical
presentation but with global developmental delay, visual and hearing impairment,
with or without microcephaly, nystagmus and optic atrophy. Mutations with milder
presentation (homozygous p.D132A/EXOSC3 or VRK1) may display additionally signs
of upper motor neuron impairment, dystonia or ataxia and die at age between 5 and
18 years. Other EXOSC3 mutations and EXOSC8 cases are intermediate - SMA type 1
like presentation, spasticity (mostly in EXOSC8) and death between 3 months and 5
years. There is no correlation between neurological onset and duration of life.
We add marble-like skin and congenital laryngeal stridor as features of PCH1. We
show that imaging signs of cerebellar and pontine hypoplasia may be missing early
in infancy. EMG signs of anterior horn neuronopathy may be missing in PCH1
patients with SLC25A46 mutations. Thus, there is considerable phenotypic
variability in PCH1, with some cases being more SMA-like, than PCH-like. Detailed
clinical evaluation and ethnicity background may guide genetic testing and
subsequent genetic counseling.
PMID- 29656928
TI - Benign paroxysmal migraine variants of infancy and childhood: Transitions and
clinical features.
AB - INTRODUCTION: Migraine variant disorders of childhood include benign paroxysmal
torticollis of infancy (BPTI) and benign paroxysmal vertigo of childhood (BPVC).
This study aimed to review our experience with BPTI and BPVC and determine the
incidence of children transitioning between each of these disorders and to
vestibular migraine (VM). METHODS: We retrospectively reviewed the medical
records of patients seen at the Balance and Vestibular Program at Boston
Children's Hospital between January 2012 and December 2016 who were diagnosed
with BPTI, BPVC, and/or VM. RESULTS: Fourteen patients were diagnosed with BPTI,
39 with BPVC, and 100 with VM. Abnormal rotary chair testing was associated with
progression from BPTI to BPVC (n = 8, p = 0.045). Eight (57.1%) patients with
BPTI and 11 (28.2%) with BPVC had motor delay. Eleven (78.6%) patients with BPTI
and 21 (53.8%) with BPVC had balance impairment. Six BPTI patients developed BPVC
(42.9%), six BPVC patients developed VM (15.4%), and two patients progressed
through all three disorders (2%). One BPTI patient progressed directly to VM.
DISCUSSION: Most patients with BPTI will experience complete resolution in early
childhood, but some will progress to BPVC, and similarly many patients with BPVC
will progress to VM. Parents of children with these disorders should be made
aware of this phenomenon, which we refer to as "the vestibular march." Children
with BPTI and BPVC should also be screened for hearing loss, otitis media, and
motor delay.
PMID- 29656929
TI - Tephrochronology of Bed II, Olduvai Gorge, Tanzania, and placement of the Oldowan
Acheulean transition.
AB - Tuffaceous marker beds, derived from volcanic products from the Ngorongoro
Volcanic Highlands, help define a stratigraphic framework for the world-renowned
fossil and stone tool record exposed at Olduvai Gorge, Tanzania. However,
previous efforts to constrain this tuff record, especially for Olduvai Bed II,
have been limited because of erosion, contamination, reworking, and the
alteration of volcanic glass under saline-alkaline conditions. This paper applies
previously defined geochemical and mineralogical "fingerprints" for several major
Bed II marker tuffs, based on glass (where available) and phenocrysts more
resistant to alteration (feldspar, hornblende, augite, and titanomagnetite), to
tuffs from stratigraphic sections in the Olduvai Junction Area, including
previously and recently excavated Acheulean and Oldowan sites (HWK EE (Locality
(Loc) 42), EF-HR (Loc 12a), FLK (Loc 45), and MNK (Loc 88)). The Middle Bed II
Bird Print Tuff (BPT) is found to be more compositionally variable than
previously reported but is still valuable as a stratigraphic marker over short
distances. The confirmation of blocks of Tuff IID in conglomerate helps constrain
Upper Bed II stratigraphy at sites where in-situ tuffs are absent. This paper
also compiles the results of published geochronological research, providing
stratigraphic context and updating previously reported dates using a consistent
40Ar/39Ar reference standard age. The results of this work support the following
paleoanthropologically relevant conclusions: 1) the early Acheulean site EF-HR
(Loc 12a) is situated above the level of Hay's Tuff IIC, and thus sits in Upper
rather than Middle Bed II, (2) the HWK EE (Loc 42) Oldowan site is constrained
between Tuff IIA and Tuff IIB, just above the boundary between Lower and Middle
Bed II, and 3) the Acheulean site at FLK W most likely lies within the Middle
Augitic Sandstone, above Tuff IIB, similar to the placements by Leakey and Hay
for the earliest Acheulean at Olduvai.
PMID- 29656930
TI - DNA N6-Adenine Methylation in Arabidopsis thaliana.
AB - DNA methylation on N6-adenine (6mA) has recently been found to be a potentially
epigenetic mark in several unicellular and multicellular eukaryotes. However, its
distribution patterns and potential functions in land plants, which are primary
producers for most ecosystems, remain largely unknown. Here we report global
profiling of 6mA sites at single-nucleotide resolution in the genome of
Arabidopsis thaliana at different developmental stages using single-molecule real
time sequencing. 6mA sites are widely distributed across the Arabidopsis genome
and enriched over the pericentromeric heterochromatin regions. 6mA occurs more
frequently in gene bodies than intergenic regions. Analysis of 6mA methylomes and
RNA sequencing data demonstrates that 6mA frequency positively correlates with
the gene expression level and the transition from vegetative to reproductive
growth in Arabidopsis. Our results uncover 6mA as a DNA mark associated with
actively expressed genes in Arabidopsis, suggesting that 6mA serves as a hitherto
unknown epigenetic mark in land plants.
PMID- 29656932
TI - A Higher-Calorie Refeeding Protocol Does Not Increase Adverse Outcomes in Adult
Patients with Eating Disorders.
AB - BACKGROUND: Patients with eating disorders (EDs) are often considered a high-risk
population to refeed. Current research advises using "start low, go slow"
refeeding methods (~1,000 kcal/day, advancing ~500 kcal/day every 3 to 4 days) in
adult patients with severe EDs to prevent the development of refeeding syndrome
(RFS), typically characterized by decreases in serum electrolyte levels and fluid
shifts. OBJECTIVE: To compare the incidence of RFS and related outcomes using a
low-calorie protocol (LC) (1,000 kcal) or a higher-calorie protocol (HC) (1,500
kcal) in medically compromised adult patients with EDs. DESIGN: This was a
retrospective pre-test-post-test study. PARTICIPANTS/SETTING: One hundred and
nineteen participants with EDs, medically admitted to a tertiary hospital in
Brisbane, Australia, between December 2010 and January 2017, were included (LC:
n=26, HC: n=93). The HC refeeding protocol was implemented in September 2013.
MAIN OUTCOME MEASURES: Differences in prevalence of electrolyte disturbances,
hypoglycemia, edema, and RFS diagnoses were examined. STATISTICAL ANALYSIS
PERFORMED: chi2 tests, Kruskal-Wallis H test, analysis of variance, and
independent t tests were used to compare data between the two protocols. RESULTS:
Descriptors were similar between groups (LC: 28+/-9 years, 96% female, 85% with
anorexia nervosa, 31% admitted primarily because of clinical symptoms of
exacerbated ED vs HC: 27+/-9 years, 97% female, 84% with anorexia nervosa, 44%
admitted primarily because of clinical symptoms of exacerbated ED, P>0.05).
Participants refed using the LC protocol had higher incidence rates of
hypoglycemia (LC: 31% vs HC: 10%, P=0.012), with no statistical or clinical
differences in electrolyte disturbances (LC: 65% vs HC: 45%, P=0.079), edema (LC:
8% vs HC: 6%, P=0.722) or diagnosed RFS (LC: 4% vs HC: 1%, P=0.391). CONCLUSIONS:
A higher-calorie refeeding protocol appears to be safe, with no differences in
rates of electrolyte disturbances or clinically diagnosed RFS and a lower
incidence of hypoglycemia. Future research examining higher-calorie intakes,
similar to those studied in adolescent patients, may be beneficial.
PMID- 29656933
TI - Body Composition Measurement in Bronchiectasis: Comparison between Bioelectrical
Impedance Analysis, Skinfold Thickness Measurement, and Dual-Energy X-ray
Absorptiometry before and after Pulmonary Rehabilitation.
AB - BACKGROUND: In individuals with bronchiectasis, fat-free mass depletion may be
common despite a low prevalence of underweight and is considered a risk factor
for increased morbidity and mortality. Techniques to adequately estimate fat-free
mass and its changes over time are needed. OBJECTIVE: The purpose of this study
was to assess agreement among values obtained with three different body
composition techniques: skinfold thickness measurement (STM), bioelectrical
impedance analysis (BIA), and dual-energy x-ray absorptiometry (DXA). DESIGN: The
study was a secondary analysis of data from a randomized controlled trial.
PARTICIPANTS/SETTING: A respiratory rehabilitation program was administered for 3
months to individuals with bronchiectasis from the bronchiectasis unit of the
Regional University Hospital in Malaga, Spain, from September 2013 to September
2014. Individuals with a body mass index (calculated as kg/m2) >18.5 who were
aged 65 years or younger and those with a body mass index >20 who were older than
65 years were included. MAIN OUTCOME MEASURES: At baseline and at 3 and 6 months,
body composition was determined by DXA and STM. STATISTICAL ANALYSES PERFORMED:
Statistical concordance was assessed with the intraclass correlation coefficient
(ICC), kappa coefficient, and the degree of agreement using the Bland Altman
method. For comparison of the quantitative variables at baseline vs at 3 months
and 6 months, the paired sample t test (or the Wilcoxon test) was used. RESULTS:
Thirty participants were included. Strong agreement was observed between body
composition values determined by BIA and DXA in fat mass (ICC: 0.92) and fat-free
mass (ICC: 0.87). Strong agreement was observed between STM and DXA in the values
for fat-free mass (ICC: 0.91) and fat mass (ICC: 0.94), and lower agreement was
observed for the longitudinal data and in the regional values. The mean
difference between fat-free mass determined by BIA and DXA was + 4.7 with a
standard deviation of 2.4 kg in favor of BIA. The mean difference between fat
free mass determined by STM and DXA was +2.3 with a standard deviation of 2.7 kg
in favor of STM. Six individuals were classified as having a low fat-free mass
index (20%) by DXA vs four by STM (13%; kappa: 0.76) and only two by BIA (6.6%;
kappa: 0.44) compared with DXA. CONCLUSIONS: Despite good statistical agreement
among values obtained with DXA, STM, and BIA, the study findings indicate that
STM and BIA, above all, tended to overestimate fat-free mass compared with DXA.
PMID- 29656931
TI - Synaptotagmin 4 Regulates Pancreatic beta Cell Maturation by Modulating the Ca2+
Sensitivity of Insulin Secretion Vesicles.
AB - Islet beta cells from newborn mammals exhibit high basal insulin secretion and
poor glucose-stimulated insulin secretion (GSIS). Here we show that beta cells of
newborns secrete more insulin than adults in response to similar intracellular
Ca2+ concentrations, suggesting differences in the Ca2+ sensitivity of insulin
secretion. Synaptotagmin 4 (Syt4), a non-Ca2+ binding paralog of the beta cell
Ca2+ sensor Syt7, increased by ~8-fold during beta cell maturation. Syt4 ablation
increased basal insulin secretion and compromised GSIS. Precocious Syt4
expression repressed basal insulin secretion but also impaired islet
morphogenesis and GSIS. Syt4 was localized on insulin granules and Syt4 levels
inversely related to the number of readily releasable vesicles. Thus,
transcriptional regulation of Syt4 affects insulin secretion; Syt4 expression is
regulated in part by Myt transcription factors, which repress Syt4 transcription.
Finally, human SYT4 regulated GSIS in EndoC-betaH1 cells, a human beta cell line.
These findings reveal the role that altered Ca2+ sensing plays in regulating beta
cell maturation.
PMID- 29656934
TI - Reliability and Validity of Digital Imagery Methodology for Measuring Starting
Portions and Plate Waste from School Salad Bars.
AB - BACKGROUND: Scientifically sound methods for investigating dietary consumption
patterns from self-serve salad bars are needed to inform school policies and
programs. OBJECTIVE: To examine the reliability and validity of digital imagery
for determining starting portions and plate waste of self-serve salad bar
vegetables (which have variable starting portions) compared with manual weights.
DESIGN/METHODS: In a laboratory setting, 30 mock salads with 73 vegetables were
made, and consumption was simulated. Each component (initial and removed portion)
was weighed; photographs of weighed reference portions and pre- and post
consumption mock salads were taken. Seven trained independent raters visually
assessed images to estimate starting portions to the nearest 1/4 cup and
percentage consumed in 20% increments. These values were converted to grams for
comparison with weighed values. STATISTICAL ANALYSES: Intraclass correlations
between weighed and digital imagery-assessed portions and plate waste were used
to assess interrater reliability and validity. Pearson's correlations between
weights and digital imagery assessments were also examined. Paired samples t
tests were used to evaluate mean differences (in grams) between digital imagery
assessed portions and measured weights. RESULTS: Interrater reliabilities were
excellent for starting portions and plate waste with digital imagery. For
accuracy, intraclass correlations were moderate, with lower accuracy for
determining starting portions of leafy greens compared with other vegetables.
However, accuracy of digital imagery-assessed plate waste was excellent. Digital
imagery assessments were not significantly different from measured weights for
estimating overall vegetable starting portions or waste; however, digital imagery
assessments slightly underestimated starting portions (by 3.5 g) and waste (by
2.1 g) of leafy greens. CONCLUSIONS: This investigation provides preliminary
support for use of digital imagery in estimating starting portions and plate
waste from school salad bars. Results might inform methods used in empirical
investigations of dietary intake in schools with self-serve salad bars.
PMID- 29656935
TI - A review of interventions >= 6 months by pharmacists on adherence to medicines in
cardiovascular disease; characteristics of what works and what doesn't.
AB - BACKGROUND: Nonadherence to cardiovascular medicines occurs in 60% of subjects
with chronic cardiovascular disease and leads to poor outcomes. In an attempt to
improve adherence and cardiovascular outcomes, interventions are often used.
Interventions may involve a pharmacist, but it is not always clear whether these
are effective. OBJECTIVES: The primary objective of this review is to determine
whether interventions by pharmacists, alone, discussing adherence to medicines,
improve adherence to medicines for cardiovascular disease. Subsequently, the
review links the characteristics of the individual studies with effectiveness or
lack of effect. The second objective of this review is to consider whether any
improvement in adherence with interventions by pharmacist is associated with
better clinical outcomes. METHODS: A literature search of PubMed and CINAHL for
'pharmacist', 'medicine' with 'adherence' or 'compliance' or 'persistence' was
undertaken. To be included in this review, papers had to be of a pharmacist
working alone and in person in an intervention of subjects with hypertension,
hyperlipidemia (prior to or after a coronary artery event) or heart failure. The
paper had to be published in a peer review journal, with a measure of adherence
to medicines. The effectiveness of the intervention had to be evaluated after >=6
months. RESULTS: Only 3 out of 8 interventions by pharmacists in hypertension,
and 5 out of 12 interventions in subjects with hyperlipidemia led to improved
adherence to medicines. In contrast, all 6 interventions by a pharmacist in
subjects with heart failure were successful in improving adherence. One
characteristic of successful interventions by pharmacists to improve adherence to
cardiovascular medicines is that they must be more than brief/single
interventions. A second characteristic is that the intervention should not
involve subjects who are already highly adherent, as it is unlikely adherence can
be improved in this population. Only 2 of 3 successful interventions in
hypertension were associated with small reductions in blood pressure, and only
one intervention in hyperlipidemia was shown to decrease LDL-cholesterol to a
small extent. In subjects with heart failure, 5 of the 6 successful studies of
the successful interventions by pharmacists to increase adherence also showed
improved clinical outcomes. CONCLUSIONS: When planning an intervention to improve
adherence to medicines and cardiovascular outcomes in subjects with hypertension
or hyperlipidemic, by a pharmacist alone, or as part of a multi-faceted
interventions, it is essential to use an intervention that has been shown to be
effective, as most interventions are not effective at improving adherence or only
improve adherence and clinical outcomes to a small extent. In heart failure,
there is well documented evidence of interventions by pharmacists that do improve
clinical outcomes, which should be adopted widely.
PMID- 29656936
TI - How patients should be counseled on adverse drug reactions: Avoiding the nocebo
effect.
PMID- 29656937
TI - MynxGrip(r) vascular closure device versus manual compression for hemostasis of
percutaneous transfemoral venous access closure: Results from a prospective
multicenter randomized study.
AB - PURPOSE: Evaluate the safety of MynxGrip(r) for common femoral vein closure.
METHODS AND MATERIALS: This is a multicenter, randomized, prospective study of
208 patients who were slated to undergo diagnostic/interventional procedures via
femoral venous access. Patients were randomized 1:1 to receive venous hemostasis
via MynxGrip(r) (n = 104) or manual compression (n = 104) utilizing 5, 6, and 7
Fr sheaths. Bilateral calf and thigh circumferences were measured serially.
Patients were followed up through hospital discharge. There were no differences
in the baseline characteristics between the two groups. RESULTS: There was no
difference between the groups for venous thrombosis, (0%, p = 1). Overall, there
was no significant change in access site calf (-0.18 +/- 1.38 cm, p = 0.18) or
thigh diameter (0.33 +/- 2.86, p = 0.81). In both groups, none of the patients
had major or minor vascular complications, access site infection, nerve injury,
or access site bleeding requiring transfusion. The pre- to post-procedure
hemoglobin drop was -0.51 +/- 1.1 vs. -0.64 +/- 1.3 g/dL, p = 0.59 in the manual
compression group and MynxGrip(r) group, respectively. Time to hemostasis, was
significantly lower in the MynxGrip(r) group compared to the manual compression
group with 0.12 +/- 0.89 vs. 7.6 +/- 5.7 min, respectively (p < 0.001).
CONCLUSIONS: The MynxGrip(r) extravascular sealant is safe and effective for
femoral venous access site closure.
PMID- 29656938
TI - Vitamin D deficiency and periprocedural myocardial infarction in patients
undergoing percutaneous coronary interventions.
AB - Vitamin D deficiency has been implicated in the progression of atherosclerosis
and acute thrombotic events. We aimed at evaluating the impact of vitamin D
deficiency on periprocedural myocardial infarction (PMI) in patients undergoing
percutaneous coronary interventions (PCI). We included 934 patients undergoing
non-urgent PCI. Assessment of myocardial biomarkers was performed from 6 to 48 h
after PCI. PMI was defined as Creatine Kinase-MB increase by 3 times the Upper
Limit Normal or by 50% of an elevated baseline value, periprocedural myocardial
damage as Troponin I increase by 3 * ULN or 50% of baseline. Patients were
divided according to vitamin D tertiles values (<10.2 ng/ml; 10.2-18.7 ng/ml;
>=18.8 ng/ml). Lower tertiles values of vitamin D were associated with age (p =
0.04), female gender, (p = 0.001), and a higher cardiovascular risk profile.
Lower vitamin D levels related with PCI of descending anterior coronary artery or
bypass vein grafts (p = 0.03), treatment of bifurcations (p = 0.05) and side
branch loss (p = 0.05) and inversely with direct stenting (p = 0.002). However,
lower vitamin D levels did not influence the risk of PMI (adjusted OR [95% CI] =
0.81[0.65,1.18], p = 0.09) or periprocedural myocardial damage (adjusted OR [95%
CI] = 0.93[0.77,1.13], p = 0.48). Similar results were achieved when considering
the severity of vitamin D deficiency. Therefore, in patients undergoing PCI, no
association was observed between vitamin D deficiency and the risk of
periprocedural MI and myocardial damage.
PMID- 29656939
TI - Management of Metastatic Collecting Duct Carcinoma: An Encouraging Result in a
Patient Treated With Cabozantinib.
PMID- 29656940
TI - Somatostatin Receptor Expression in Renal Cell Carcinoma-A New Front in the
Diagnostics and Treatment of Renal Cell Carcinoma.
PMID- 29656942
TI - Evolving Industry Partnerships and Investments in Cell and Gene Therapies.
AB - Cell and gene therapies hold the promise of providing significant and durable
health gains to patients in many disease states and have recently elicited
significant investor and partner interest. We cover the current state of industry
partnerships and investments, highlight what makes a partnership advantageous,
and discuss implications for stem cell therapies.
PMID- 29656941
TI - Submucosal Gland Myoepithelial Cells Are Reserve Stem Cells That Can Regenerate
Mouse Tracheal Epithelium.
AB - The mouse trachea is thought to contain two distinct stem cell compartments that
contribute to airway repair-basal cells in the surface airway epithelium (SAE)
and an unknown submucosal gland (SMG) cell type. Whether a lineage relationship
exists between these two stem cell compartments remains unclear. Using lineage
tracing of glandular myoepithelial cells (MECs), we demonstrate that MECs can
give rise to seven cell types of the SAE and SMGs following severe airway injury.
MECs progressively adopted a basal cell phenotype on the SAE and established
lasting progenitors capable of further regeneration following reinjury. MECs
activate Wnt-regulated transcription factors (Lef-1/TCF7) following injury and
Lef-1 induction in cultured MECs promoted transition to a basal cell phenotype.
Surprisingly, dose-dependent MEC conditional activation of Lef-1 in vivo promoted
self-limited airway regeneration in the absence of injury. Thus, modulating the
Lef-1 transcriptional program in MEC-derived progenitors may have regenerative
medicine applications for lung diseases.
PMID- 29656944
TI - Amyloidosis as a Cause of Cystic Pulmonary Fibrosis Associated With Pulmonary
Nodules.
PMID- 29656943
TI - Myoepithelial Cells of Submucosal Glands Can Function as Reserve Stem Cells to
Regenerate Airways after Injury.
AB - Cells demonstrate plasticity following injury, but the extent of this phenomenon
and the cellular mechanisms involved remain underexplored. Using single-cell RNA
sequencing (scRNA-seq) and lineage tracing, we uncover that myoepithelial cells
(MECs) of the submucosal glands (SMGs) proliferate and migrate to repopulate the
airway surface epithelium (SE) in multiple injury models. Specifically, SMG
derived cells display multipotency and contribute to basal and luminal cell types
of the SMGs and SE. Ex vivo expanded MECs have the potential to repopulate and
differentiate into SE cells when grafted onto denuded airway scaffolds.
Significantly, we find that SMG-like cells appear on the SE of both extra- and
intra-lobular airways of large animal lungs following severe injury. We find that
the transcription factor SOX9 is necessary for MEC plasticity in airway
regeneration. Because SMGs are abundant and present deep within airways, they may
serve as a reserve cell source for enhancing human airway regeneration.
PMID- 29656945
TI - Ketamine versus ketamine pluses atropine for pediatric sedation: A meta-analysis.
AB - OBJECTIVES: The application of atropine for pediatric sedation in the emergency
department remains controversial. Our objective was to perform a comprehensive
review of the literature and assess the clinical indexes in groups with and
without atropine use. METHODS: PubMed, EMBASE, and the Cochrane Library were
searched for randomized and non-randomized studies that compared ketamine and
ketamine plus atropine for pediatric sedation. The risk ratio with 95% confidence
interval was calculated using either a fixed- or random-effects model according
to the value of I2. RESULTS: One retrospective study and four randomized
controlled trials were identified to compare the clinical indexes. For the
clinical indexes, the ketamine plus atropine group had better outcomes than the
ketamine group in hypersalivation (P<0.05), but indexes of rash and tachycardia
were worse. The two methods of sedation were comparable for nausea, vomiting,
desaturation, agitation and laryngospasm (P>0.05). CONCLUSIONS: Based on the
current evidence, the group receiving atropine had reduced hypersalivation and
increased rash and tachycardia; no differences were observed in nausea, vomiting,
desaturation, agitation and laryngospasm between the two groups. Given that some
of the studies were of low quality, additional high-quality randomized controlled
trials should be conducted to further verify these findings.
PMID- 29656946
TI - Impact of rapid response car system on ECMO in out-of-hospital cardiac arrest.
PMID- 29656947
TI - Effectiveness of interventions to improve the efficiency of emergency department
operations: An evidence map.
PMID- 29656948
TI - Management update of potentially premalignant oral epithelial lesions.
AB - The term oral potentially malignant disorders, proposed at the World Health
Organization workshop in 2005, has now been renamed potentially premalignant oral
epithelial lesions (PPOELs). It is important to differentiate among PPOELs, which
is a broad term to define a wide variety of clinical lesions, and oral epithelial
dysplasia, which should be reserved specifically for lesions with biopsy-proven
foci of dysplasia. PPOELs encompass lesions that include leukoplakia,
erythroplakia, erythroleukoplakia, lichen planus, and oral submucous fibrosis.
The primary goal of management of dysplasia includes prevention, early detection,
and treatment before malignant transformation. The aim of this article is to
inform the clinician about management of PPOELs.
PMID- 29656949
TI - Beginning the CAR T cell therapy revolution in the US and EU.
PMID- 29656950
TI - The Elicitation of Relaxation and Interoceptive Awareness Using Floatation
Therapy in Individuals With High Anxiety Sensitivity.
AB - BACKGROUND: Floatation-REST (Reduced Environmental Stimulation Therapy), an
intervention that attenuates exteroceptive sensory input to the nervous system,
has recently been found to reduce state anxiety across a diverse clinical sample
with high levels of anxiety sensitivity (AS). To further examine this anxiolytic
effect, the present study investigated the affective and physiological changes
induced by Floatation-REST and assessed whether individuals with high AS
experienced any alterations in their awareness for interoceptive sensation while
immersed in an environment lacking exteroceptive sensation. METHODS: Using a
within-subject crossover design, 31 participants with high AS were randomly
assigned to undergo a 90-minute session of Floatation-REST or an exteroceptive
comparison condition. Measures of self-reported affect and interoceptive
awareness were collected before and after each session, and blood pressure was
measured during each session. RESULTS: Relative to the comparison condition,
Floatation-REST generated a significant anxiolytic effect characterized by
reductions in state anxiety and muscle tension and increases in feelings of
relaxation and serenity (p < .001 for all variables). Significant blood pressure
reductions were evident throughout the float session and reached the lowest point
during the diastole phase (average reduction >12 mm Hg). The float environment
also significantly enhanced awareness and attention for cardiorespiratory
sensations. CONCLUSIONS: Floatation-REST induced a state of relaxation and
heightened interoceptive awareness in a clinical sample with high AS. The
paradoxical nature of the anxiolytic effect in this sample is discussed in
relation to Wolpe's theory of reciprocal inhibition and the regulation of
distress via sustained attention to present moment visceral sensations such as
the breath.
PMID- 29656952
TI - [To the question of elective induction of labor at 39 weeks of gestation, the
answer lies in the question].
AB - The goal of induction of labor is to achieve vaginal delivery when the benefits
of expeditious delivery outweigh the risks of continuing the pregnancy. In order
to correctly understand the problematic of the elective induction of labor at 39
weeks of gestation (WG), two questions must be raised. (i) What is the perinatal
mortality evolution according the gestational age at delivery? All the most
recent and methodologically well-conducted studies are convergent: they show that
the fetal mortality risk exceeds the perinatal/infant (during the first year of
life) mortality risk from 39 WG. The benefit/risk balance related to the
expectant management is therefore reversed from 39 WG in favor of the elective
induction of labor when the considered issue is the perinatal mortality. (ii)
What are the associated risks with elective induction of labor? While some
observational studies suggested that the elective induction of labor after 37 WG
was associated with an increased risk of cesarean sections, these studies
presented a major methodological bias: an error in the control group selection.
Indeed, the control group consisted of women in spontaneous labor, whereas the
appropriate comparison group must be an expectant management group. Several large
cohort studies using a rigorous methodology have shown that elective induction of
labor at 39 WG reduces the cesarean section risk compared to an expectant
management. Three systematic reviews with meta-analysis of randomized controlled
trials comparing induction of labor with expectant management were published: two
showed that the cesarean section risk was lowered with the induction of labor
compared to an expectant management and the third that the cesarean section rates
were similar. Finally, the most recent randomized controlled trial, published in
2016, showed no significant difference between the 2 arms in the cesarean section
rate. In all, the most recent literature data, free from comparative bias, show
that elective induction of labor at term is associated with a significant
reduction in the cesarean section risk and perinatal morbidity and mortality
compared to an expectant management.
PMID- 29656953
TI - [Cesarean after labor induction: Risk factors and prediction score].
AB - OBJECTIVES: The objective of the study is to determine the risk factors for
caesarean section at the time of labor induction, to establish a prediction
algorithm, to evaluate its relevance and to compare the results with observation.
METHODS: A retrospective study was carried out over a year at Nantes University
Hospital with 941 cervical ripening and labor inductions (24.1%) terminated by
167 caesarean sections (17.8%). Within the cohort, a case-control study was
conducted with 147 caesarean sections and 148 vaginal deliveries. A multivariate
analysis was carried out with a logistic regression allowing the elaboration of
an equation of prediction and an ROC curve and the confrontation between the
prediction and the reality. RESULTS: In univariate analysis, six variables were
significant: nulliparity, small size of the mother, history of scarried uterus,
use of prostaglandins as a mode of induction, unfavorable Bishop score<6, variety
of posterior release. In multivariate analysis, five variables were significant:
nulliparity, maternal size, maternal BMI, scar uterus and Bishop score. The most
predictive model corresponded to an area under the curve of 0.86 (0.82-0.90) with
a correct prediction percentage ("well classified") of 67.6% for a caesarean
section risk of 80%. CONCLUSION: The prediction criteria would make it possible
to inform the woman and the couple about the potential risk of Caesarean section
in urgency or to favor a planned Caesarean section or a low-lying attempt on more
objective, repeatable and transposable arguments in a medical team.
PMID- 29656954
TI - Medical device innovation and the value analysis process.
AB - Heidi A. Krantz, RN, BSN is the Director of Value Analysis at Johns Hopkins
Bayview Medical Center in the Johns Hopkins Health System. Barbara Strain, MA,
CVAHP is the Director of Value Management at the University of Virginia Health
System. Jane Torzewski RN, MAN, MBA is a Senior Category Manager for the Mayo
Clinic Physician Preference Contracting team. She previously was a Senior
Clinical Value Analyst on the Mayo Clinic Value Analysis team.
PMID- 29656951
TI - Auditory System Target Engagement During Plasticity-Based Interventions in
Schizophrenia: A Focus on Modulation of N-Methyl-D-Aspartate-Type Glutamate
Receptor Function.
AB - Cognitive deficits are predictive of long-term social and occupational functional
deficits in schizophrenia but are currently without gold-standard treatments. In
particular, augmentation of auditory cortical neuroplasticity may represent a
rate-limiting first step before addressing higher-order cognitive deficits. We
review the rationale for N-methyl-d-aspartate-type glutamate receptor (NMDAR)
modulators as treatments for auditory plasticity deficits in schizophrenia, along
with potential serum and electroencephalographic target engagement biomarkers for
NMDAR function. Several recently published NMDAR-modulating treatment studies are
covered, involving D-serine, memantine, and transcranial direct current
stimulation. While all three interventions appear to modulate auditory
plasticity, direct agonists (D-serine) appear to have the largest and most
consistent effects on plasticity, at least acutely. We hypothesize that there may
be synergistic effects of combining procognitive NMDAR-modulating approaches with
auditory cortical neuroplasticity cognitive training interventions. Future
studies should assess biomarkers for target engagement and patient
stratification, along with head-to-head studies comparing putative interventions
and potential long-term versus acute effects.
PMID- 29656955
TI - From innovation to market adoption in the operating room: The "CFO as customer".
AB - Dr Egeland is senior director of business development and licensing in the Early
Technologies business unit of the Minimally Invasive Therapies Group at
Medtronic. Mr Rapp is an associate consultant at Pharmagellan, a biotech
consultancy. Dr David is the founder and managing director of Pharmagellan.
PMID- 29656956
TI - Ultrasound-assessed visceral fat and associations with glucose homeostasis and
cardiovascular risk in clinical practice.
AB - BACKGROUND AND AIMS: Despite the lack of evidence that assessing the global
cardiovascular risk leads to a decreased incidence of cardiovascular events,
accurate patient profiling is paramount in preventive medicine. An excess of
visceral fat (VF) is associated with an enhanced cardiovascular risk;
importantly, VF is quantifiable rapidly, cheaply and safely by ultrasound, which
makes it suitable for use in clinical practice. In the present study, we aimed to
evaluate if US-measured VF (USVF) could be a better predictor of glucose
homeostasis and cardiovascular risk than simple anthropometric measures. METHODS
AND RESULTS: One-hundred sixty-two patients attending a Metabolic Disorders
Clinic underwent a cross-sectional study for which USVF, anthropometric measures,
a standard oral glucose tolerance test (OGTT), and calculation of cardiovascular
Framingham score and vascular age were obtained. USVF was directly correlated
with fasting and 2-h plasma glucose (respectively: r = 0.26, p < 0.001; r = 0.28,
p < 0.0001), fasting and 2-h plasma insulin (for both: r = 0.41, p < 0.0001),
homeostatic model assessment of insulin resistance (HOMA-IR; r = 0.42, p <
0.0001), cardiovascular Framingham score (r = 0.44 p < 0.0001) and vascular age
(r = 0.30 p < 0.001). In receiver operator characteristic curves USVF had good
diagnostic abilities for type 2 diabetes mellitus, fatty liver and metabolic
syndrome, in both genders. At multivariate analysis, body mass index (BMI)
outperformed USVF in the prediction of HOMA-IR; neverthless, USVF, not BMI, was
an independent predictor of cardiovascular risk. Finally, models including USVF
were the most parssimonious to predict Framingham score, vascular age and HOMA
IR. CONCLUSION: In overweight and obese subjects, USVF could usefully complement
other parameters for cardiovascular risk stratification.
PMID- 29656957
TI - Muramyl dipeptide potentiates a Bacillus anthracis poly-gamma-d-glutamic acid
capsule surrogate that induces maturation and activation of mouse dendritic
cells.
AB - Poly-gamma-d-glutamic acid (PGA) of anthrax is an important pathogenic factor due
to its anti-phagocytic activity. Additionally, PGA has the ability to activate
mouse macrophages for the secretion of cytokines through Toll-like receptor (TLR)
2. Peptidoglycan (PGN), a major bacterial cell-wall component, induces
inflammatory responses in the host. We assessed whether PGA can induce maturation
and cytokine expression in immature mouse dendritic cells (DCs) in the existence
of muramyl dipeptide (MDP), the minimum motif of PGN with immunostimulatory
activity. Stimulation of immature DCs with PGA or MDP alone augmented expression
of costimulatory molecules and MHC class II proteins, which are all cell surface
markers indicative of maturation. The observed effects were further enhanced by
costimulation of PGA and MDP. PGA alone was sufficient to induce expression of
TNF-alpha, IL-6, MCP-1, and MIP1-alpha, whereas MDP alone did not under the same
conditions. Treatment with MDP enhanced PGA-induced expression of the tested
inflammatory mediators; however, the synergistic effect found for PGA and MDP was
not observed in TLR2- or nucleotide-binding oligomerization domain (NOD) 2
knockout DCs. Additionally, MDP augmented PGA-induced MAP kinases and NF-kappaB
activation, which is crucial for expression of cytokines. Furthermore, MAP kinase
and NF-kappaB inhibitors attenuated MDP enhancement of PGA-induced cytokine
production. In addition, co-culture of splenocytes and PGA/MDP-matured DCs
induced higher expression of IL-2 and IFN-gamma compared to that of splenocytes
and PGA-matured DCs. Collectively, our results suggest that PGA and MDP
cooperatively induce inflammatory responses in mouse DCs through TLR2 and NOD2
via MAP kinase and NF-kappaB pathways, subsequently leading to lymphocyte
activation.
PMID- 29656958
TI - Polymorphisms in TLRs influence circulating cytokines production in Plasmodium
vivax malaria: TLR polymorphisms influence cytokine productions in malaria-vivax.
AB - The efficiency of the immune system has been shaped throughout the evolutionary
process allowing adaptations. In a Plasmodium vivax infection, the host attempts
to develop an innate immune response to keep in check the parasite that is
associated with inflammatory and regulatory processes. Production of pro
inflammatory and regulatory cytokines simultaneously appears to be a balancing
mechanism for the host to prevent the onset of severe disease. Changes in the
dynamics of circulating cytokines production can influence the pathogenesis,
severity of the disease and episodes of recurrent Plasmodium vivax malaria (Pv
malaria). A cross-sectional study was conducted in endemic areas for Pv-malaria
in the Amazonas State, Brazil. Several SNPs in TLR genes were genotyped by PCR
RFLP in 137 patients infected with P. vivax. Circulating cytokines IL-6, TNF, IL
2, IL-10, IFN-gamma and IL-4 were measured by CBA. Influence of the studied SNPs
on circulating cytokines was investigated by applying the Kruskal-Wallis test
followed by Dunns' multiple comparison post-test. A Spearman correlation test
also was performed to elaborate circulating cytokine networks and to demonstrate
the level of interaction between each molecule. Individuals with genotypes A/G
(TLR4 A299G), C/C (TLR6 S249P) and T/T (TLR9 -1486C/T) appear to produce
less/gain IL-6, IFN-gamma, IL-10, IL-2 and IL-4 compared to patients with wild
type and heterozygous genotypes. In addition, these genotypes seem to influence
the interaction network between the molecules studied, causing a lower
interaction, absence or even negative interaction between the cytokines. Data
presented in this study suggests the influence of polymorphisms TLR4 (A299G),
TLR6 (S249P) and TLR9 (-1486C/T) on the production of circulating cytokines
during Pv-malaria.
PMID- 29656959
TI - Genetic variants in IL-33/ST2 pathway with the susceptibility to hepatocellular
carcinoma in a Chinese population.
AB - Interleukin (IL)-33/ST2 pathway plays a pivotal role in tumorigenesis through
influencing cancer stemness, tumor growth, metastasis, angiogenesis, and
accumulation of regulatory T cells in tumor microenvironments. The aim of this
study was to investigate the association of IL-33 rs7025417 and ST2 rs3821204
with the risk of hepatocellular carcinoma (HCC). Genotyping of IL-33 rs7025417
and ST2 rs3821204 was carried out using a Taqman assay. IL-33 and ST2 mRNA was
examined using real-time PCR and plasma IL-33 and sST2 levels were measured using
enzyme-linked immunosorbent assay. The ST2 rs3821204 CC genotype was associated
with a significantly increased risk of HCC (CC vs. GG: adjusted OR = 2.29, 95%
CI, 1.39-3.78; dominant model: adjusted OR = 1.58, 95% CI, 1.12-2.23; recessive
model: adjusted OR = 1.88, 95% CI, 1.21-2.93; C vs. G: adjusted OR = 1.53, 95%
CI, 1.20-1.95). Gene-environment interaction analysis showed that the risk effect
of rs3821204 CG/CC genotypes was more evident in smokers (adjusted OR = 1.70, 95%
CI, 1.13-2.55) and drinkers (adjusted OR = 1.57, 95% CI, 1.04-2.37). The
increased risk was also observed in combined analysis. Moreover, HCC patients
with ST2 rs3821204 CC genotype had higher levels of mRNA and protein expression
(P < 0.05). These findings suggest that ST2 rs3821204 CC genotype may contribute
to hepatocarcinogenesis by enhancing ST2 production at the transcriptional and
translational level.
PMID- 29656960
TI - Circulating Vascular Basement Membrane Fragments are Associated with the Diameter
of the Abdominal Aorta and Their Expression Pattern is Altered in AAA Tissue.
AB - OBJECTIVE: Abdominal aortic aneurysm (AAA) is characterised by enhanced
proteolytic activity, and extracellular matrix (ECM) remodelling in the vascular
wall. Type IV and XVIII collagen/endostatin are structural proteins in vascular
basement membrane (VBM), a specialised ECM structure. Here the association
between plasma levels of these collagens with the aortic diameter and expansion
rate is studied, and their expression in aortic tissue characterised. METHODS:
This was a retrospective population based cohort study. Type IV and XVIII
collagen/endostatin were analysed in plasma by ELISA assay in 615 men, divided
into three groups based on the aortic diameter: 1) normal aorta <= 25 mm, 2) sub
aneurysmal aorta (SAA) 26-29 mm, and 3) AAA >= 30 mm. Follow up data were
available for 159 men. The association between collagen levels and aortic
diameter at baseline, and with the expansion rate at follow up were analysed in
ordinal logistic regression and linear regression models, controlling for common
confounding factors. Tissue expression of the collagens was analysed in normal
aorta (n = 6) and AAA (n = 6) by immunofluorescence. RESULTS: Plasma levels of
type XVIII collagen/endostatin (136 ng/mL [SD 29] in individuals with a normal
aorta diameter, 154 ng/ml [SD 45] in SAA, and 162 ng/ml [SD 46] in AAA; p = .001)
and type IV collagen (105 ng/mL [SD 42] normal aorta, 124 ng/ml [SD 46] SAA, and
127 ng/ml [SD 47] AAA; p = .037) were associated with a larger aortic diameter. A
significant association was found between the baseline levels of type
XVIII/endostatin and the aortic expansion rate (p = .035), but in the
multivariable model, only the initial aortic diameter remained significantly
associated with expansion (p = .005). Altered expression patterns of both
collagens were observed in AAA tissue. CONCLUSION: Plasma levels of circulating
type IV and XVIII collagen/endostatin increase with AAA diameter. The expression
pattern of VBM proteins is altered in the aneurysm wall.
PMID- 29656961
TI - In-hospital outcomes of percutaneous ventricular assist devices versus intra
aortic balloon pumps in non-ischemia related cardiogenic shock.
AB - INTRODUCTION: This study compared inpatient outcomes related to the use of these
two devices among patients who developed cardiogenic shock not due to acute
myocardial infarction or coronary revascularization. METHODS: We extracted
admission-level records of patients with a diagnosis of cardiogenic shock who
underwent either PVAD or IABP implantation from the National Inpatient Sample
(NIS) database from 2010 to 2014. Our outcomes of interest were mortality and
length of stay. RESULTS: Inpatient mortality was significantly higher in the PVAD
cohort. In multivariate analysis, PVAD use in these patients was associated with
higher mortality. There was no difference in the length of stay between both
groups among patients that survived to discharge. CONCLUSION: In our analysis of
the NIS database, the use of PVADs in patients with cardiogenic shock of non
ischemic origin was associated with higher mortality when compared to IABP use.
PMID- 29656962
TI - Treatment of platelet concentrates and plasma with riboflavin and UV light:
Impact in bacterial reduction.
AB - OBJECTIVES: Transfusion of hemocomponents is essential for clinical and surgical
procedures and therefore their safety has increased. An option for pathogen
reduction includes the combination of riboflavin and UV light. To our knowledge,
there are no studies in Latin America that demonstrate the effectiveness of the
pathogen reduction in hemocomponents. The objective of this work was to evaluate
the efficiency of a pathogens reduction system in platelets concentrates (PC) and
plasma. MATERIALS AND METHODS: PC and plasma were contaminated with Escherichia
coli, Klebsiella pneumoniae, Streptococcus pyogenes and Staphylococcus
epidermidis at 104 to 106 CFU and subjected to bacterial reduction. After
bacterial reduction, hemocomponents were subjected to cultivation of surviving
bacteria by automated method and classical colonies quantification. Additionally,
quality control testing was performed in order to confirm the integrity of
platelets and coagulation laboratory values in plasma before and after bacterial
reduction. RESULTS: The bacterial death in PC/plasma was expressed by Logarithmic
Reduction Value as follows: for both strains (E. coli and S. pyogenes) 4/4, 5/5
and 6/6; for K. pneumoniae 2.54/2.23, 2.94/2.22 and 3.44/2.98, for S. epidermidis
4/4, 3.11/5 and 3.23/4.19, for 104, 105 and 106 CFU, respectively. In PC and
plasma, platelet count, pH (at 22 degrees C), activated partial thromboplastin
time (aPTT), prothrombin time (PT), fibrinogen, factor VIII and total proteins
(TP) were slightly modified. CONCLUSIONS: UV light with riboflavin is able to
reduce an important number of pathogens in hemocomponents; however, the bacterial
reduction is influenced by the nature and quantity of the pathogen.
PMID- 29656963
TI - Rapid Discharge in Total Hip Arthroplasty: Utility of the Outpatient Arthroplasty
Risk Assessment Tool in Predicting Same-Day and Next-Day Discharge.
AB - BACKGROUND: Hospital length of stay is a major driver of cost in the total hip
arthroplasty (THA) episode of care, and as a result, significant efforts are
being made to minimize it. This study aims to assess the utility of the
Outpatient Arthroplasty Risk Assessment (OARA) screening tool in accurately
identifying patients for safe and early discharge after THA. METHODS: A
retrospective review was conducted on 332 consecutive patients who underwent
primary THA at a single tertiary academic center. Patients were evaluated using
the OARA score, a tool that has been proposed to identify patients who can safely
undergo early discharge after THA. The validity of these claims was assessed by
analyzing the OARA score's positive and negative predictive values for high vs
low OARA scores between patients enrolled in our (1) same-day discharge (SDD) and
2) next-day discharge (NDD) pathways. RESULTS: When comparing the utility of the
OARA score in accurately predicting length of stay, the OARA score demonstrated a
(1) higher, but constant, positive predictive value for discharge on
postoperative day (POD) 0 for SDD (86.1%) than POD1 for NDD (35.5%) and (2) lower
negative predictive value for discharge on POD0 (23.1%) for SDD than POD1 for NDD
(86.1%). CONCLUSION: The OARA score was developed to risk-stratify patients who
can safely undergo SDD or NDD after THA. In this study, the OARA score was a
highly predictive tool in identifying NDD patients at risk for failure of
discharge by POD1.
PMID- 29656964
TI - Artificial Intelligence, Machine Learning, Deep Learning, and Cognitive
Computing: What Do These Terms Mean and How Will They Impact Health Care?
AB - This article was presented at the 2017 annual meeting of the American Association
of Hip and Knee Surgeons to introduce the members gathered as the audience to the
concepts behind artificial intelligence (AI) and the applications that AI can
have in the world of health care today. We discuss the origin of AI, progress to
machine learning, and then discuss how the limits of machine learning lead data
scientists to develop artificial neural networks and deep learning algorithms
through biomimicry. We will place all these technologies in the context of
practical clinical examples and show how AI can act as a tool to support and
amplify human cognitive functions for physicians delivering care to increasingly
complex patients. The aim of this article is to provide the reader with a basic
understanding of the fundamentals of AI. Its purpose is to demystify this
technology for practicing surgeons so they can better understand how and where to
apply it.
PMID- 29656965
TI - Total Hip Arthroplasty for Periacetabular Metastatic Disease. An Original
Technique of Reconstruction According to the Harrington Classification.
AB - BACKGROUND: Periacetabular metastatic disease requires complex acetabular
reconstruction. The complication rate for these frail patients is high. Various
cement-rebar reinforced techniques allowing cemented total hip arthroplasty (THA)
have been described. The optimal procedure has not yet been identified. METHODS:
A continuous series of 131 THAs performed in 126 patients with periacetabular
metastatic disease was prospectively included in this study. After bone
metastasis curettage and cementation, an original technique of acetabular
reconstruction was performed using a dual mobility cup cemented into an
acetabular reinforcement device (ie, Kerboull cross-plate or Burch-Schneider
antiprotrusio cage) according to the Harrington classification. Functional
outcome for independent ambulation in the community, pain relief, and occurrence
of dislocation or mechanical failure of the acetabular reconstruction were
assessed. RESULTS: At a mean follow-up of 33 +/- 17 months, the improvement in
the preoperative to postoperative functional outcome and pain relief was
significant (P < .001). The dislocation rate was 2%. Two of the 3 cases of
dislocation occurred in acetabular reconstructions associated with a proximal
femoral arthroplasty. No mechanical failure or aseptic loosening of the
acetabular reconstruction was observed. CONCLUSION: This study emphasized that
our original technique combining bone metastasis curettage and cementation,
acetabular reinforcement device and cemented dual mobility cup was effective to
restore a painless functional independence and ensure a durable acetabular
reconstruction able to face to adjuvant radiation therapy and mechanical
solicitations for long survivors. In addition, dual mobility cup limited the risk
of dislocation in patients undergoing THA for periacetabular metastatic disease.
PMID- 29656966
TI - Corrigendum to 'Modular Neck vs Nonmodular Femoral Stems in Total Hip
Arthroplasty - Clinical Outcome, Metal Ion Levels, and Radiologic Findings'
[Journal of Arthroplasty 32 (2017) 2774-2778].
PMID- 29656968
TI - Anatomical Features of the Descending Genicular Artery to Facilitate Surgical
Exposure for the Subvastus Approach-A Cadaveric Study.
AB - BACKGROUND: The purpose of this cadaveric study was to clarify the proximal limit
for the subvastus approach (SVA) in total knee arthroplasty to decrease potential
vascular injury. METHODS: Seventy embalmed knees underwent a modified SVA using a
14-cm oblique medial incision. Anatomical features of the descending genicular
artery (DGA) were investigated with regard to variation, distance of the vessels
from surgical landmarks, and sex differences. RESULTS: The DGA was identified in
62 knees (89%), while it was absent in 8 knees (11%); in the latter, the
articular, saphenous, and muscular branches arose separately from the femoral
artery. The mean distances from the tibial tuberosity and medial joint line to
the origin of the DGA were 15.5 +/- 1.6 cm and 12.6 +/- 1.6 cm, respectively.
Both distances were significantly longer in males than in females (P < .01,
respectively). A strong positive correlation was found between the distance from
the tibial tuberosity to the origin of the DGA and the distance from the medial
joint line to the origin of the DGA (Spearman's correlation coefficient, R2 =
0.72, P < .01). A weak positive correlation was found between the distance from
the tibial tuberosity to the origin of the DGA and lower leg length (R2 = 0.13, P
< .01). No vascular injuries were observed in this surgical exposure. CONCLUSION:
The DGA showed several variations and was absent 11% of the time. An oblique
medial incision within 14 cm from the tibial tuberosity followed by arthrotomy is
considered a safe zone for the SVA.
PMID- 29656967
TI - Conversion vs Primary Total Hip Arthroplasty: Increased Cost of Care and
Perioperative Complications.
AB - BACKGROUND: With the increasing incidence of hip fractures and hip preservation
surgeries, there has been a concomitant rise in the number of conversion total
hip arthroplasties (THAs) performed. Prior studies have shown higher complication
rates in conversion THA. However, there is a paucity of data showing differences
in cost between these 2 procedures. Currently, the Center for Medicare and
Medicaid Services bundles primary and conversion THA in the same Medicare
Severity-Diagnosis Related Group for hospital reimbursement. More evidence is
needed to support the reclassification of conversion THA. METHODS: The cohort
provided by the institutional database included 163 conversion THAs between
January 1, 2012 and December 31, 2015. Intraoperative complications, estimated
blood loss, operative time, postoperative complications, and perioperative cost
data were analyzed for 163 primary THA patients matched to the conversion THA
cohort. RESULTS: Compared with primary THA, conversion THA had significantly (P <
.05) greater cost for direct labor, other direct costs, intermediate nursing
services, other diagnostic/therapy, surgery services,
physical/occupational/speech therapy, radiology, laboratories, blood,
medical/surgical supply, and total direct costs. In addition, the conversion THA
group had significantly greater operative times, estimated blood loss, length of
stay, intraoperative complications, and postoperative complications. CONCLUSION:
Conversion THA, as compared with primary THA, is associated with greater costs
(approximately 19% greater), increased surgical times, and perioperative
complications. To prevent these additional expenses from creating patient
selection bias and a barrier to care, the conversion THA Medicare Severity
Diagnosis Related Group should be reclassified, or modifiers created.
PMID- 29656969
TI - The Leukocyte Esterase Test Strip Is a Poor Rule-Out Test for Periprosthetic
Joint Infection.
AB - BACKGROUND: The urinary leukocyte esterase (LE) test strip has been suggested as
a good screening test for periprosthetic joint infection (PJI). The purpose of
this study is to compare the diagnostic profile of LE assays from different
manufacturers and determine whether the LE test strip is a good rule-out test.
METHODS: Synovial fluid samples (N = 344), sent to 1 laboratory for PJI testing,
were used in this prospective study. Four different tests for synovial fluid LE
were simultaneously evaluated for their performance in detecting white blood cell
(WBC) positive samples (>3000 cells/uL). RESULTS: Both neutrophil elastase
immunoassays demonstrated greater sensitivity than urinary LE test strips (92.0%
and 90.8% vs 72.4% and 80.3%; all P < 0.011). Fifty-three percent of false
negative urinary LE test strip results clearly missed the presence of elevated
levels of synovial fluid LE. Invalid urinary LE test strip results were 4-fold
more likely among WBC (+) compared with WBC (-) samples (27.0% vs 6.8%; P <
0.0001). The combined failure to detect an elevated WBC count, because of either
false-negative or invalid results, was 47.1% and 41.4% for the Roche and Siemens
test strips, respectively. CONCLUSIONS: This study agrees with the existing
literature demonstrating that the LE test strips are among the lowest sensitivity
tests for PJI. The urinary LE tests strips should not be used to rule-out PJI, as
they often fail to detect abundant levels of LE in synovial fluid. Instead, it is
more appropriate to use the (++) LE test strip result as a secondary confirmatory
rule-in test for PJI because of its high specificity.
PMID- 29656970
TI - Predictability of Pelvic Tilt During Total Hip Arthroplasty Using a Traction
Table.
AB - BACKGROUND: Pelvic positioning during total hip arthroplasty (THA) affects
functional position of the acetabular component. We sought to evaluate whether
preoperative pelvic tilt correlated with intraoperative pelvic tilt while
positioned on a traction table for direct anterior THA and furthermore to
evaluate whether there was a consistent and predictable effect on pelvic tilt
while positioned for surgery. METHODS: We evaluated the sagittal spinopelvic
preoperative standing and supine pelvic tilt radiographic measurements as
compared with intraoperative measurements of 25 patients. Changes in pelvic tilt
were analyzed for statistical significance and interobserver reliability.
RESULTS: The mean standing pelvic tilt was 13.5 degrees +/- 5.7 degrees . The
mean supine pelvic tilt was 13.3 degrees +/- 6.1 degrees . There was no
statistically significant difference between standing and supine pelvic tilt (P =
.866). The mean intraoperative pelvic tilt was 3.0 degrees +/- 6.2 degrees .
There was a statistically significant decrease in pelvic tilt between both
standing to intraoperative comparison and supine to intraoperative comparison (P
< .0001 for both). Difference in mean between these comparisons was 10.5 degrees
+/- 4.6 degrees (95% confidence interval, 8.7 degrees -12.3 degrees ) and 10.3
degrees +/- 6.3 degrees (95% confidence interval, 7.8 degrees -12.8 degrees ),
respectively. CONCLUSION: Patient positioning on a traction table for direct
anterior THA has a reliable effect on pelvic tilt in the magnitude of
approximately 10 degrees decreased pelvic tilt. This effect on pelvic tilt
correlates to approximately 7.4 degrees and 3 degrees altered anteversion and
inclination, respectively. Taking into account this change in pelvic tilt at the
time of surgery will allow the hip arthroplasty surgeon to more accurately place
acetabular components in the desired functional position.
PMID- 29656971
TI - Long-Term Outcomes After Metal-on-Metal Total Hip Arthroplasty With a 28-mm Head:
A 17- to 23-Year Follow-Up Study of a Previous Report.
AB - BACKGROUND: Second-generation, metal-on-metal total hip arthroplasty (MoM THA)
using a 28-mm head has shown favorable results compared with large head MoM THA.
The purpose of this study is to evaluate the long-term outcomes of cementless
primary MoM THA with a 28-mm head and the incidence of osteolysis using computed
tomography. METHODS: A total of 92 patients (53 men and 39 women) who underwent
primary cementless MoM THA (114 hips) with a 28-mm head were enrolled in this
study. Their mean age was 46.2 years at the time of surgery. The mean follow-up
duration was 20 years. The Harris hip score, presence of thigh or groin pain,
radiographic results, presence of peri-implant osteolysis, histologic analysis,
and Kaplan-Meier survival curves were evaluated. RESULTS: The mean preoperative
Harris hip score of 50.5 improved to 85.1 at the final follow-up. Eight patients
(8 hips) experienced groin pain, but none had thigh pain. Twelve revisions (6.2%)
were performed including 10 hips for aseptic loosening with osteolysis and 2 hips
for periprosthetic fracture around the stem. At 23 years, 91% of patients were
free from revision of the acetabular component due to aseptic loosening and 90.1%
were free from revision of both femoral and acetabular components due to any
reason. Osteolysis was identified around the cup in 12 cases (10.5%) and around
the stem in 7 cases (6.1%). CONCLUSION: MoM THA with a 28-mm head showed a
relatively low rate of aseptic implant loosening at a mean follow-up of 20 years.
PMID- 29656972
TI - Clinical Outcomes of Gap Balancing vs Measured Resection in Total Knee
Arthroplasty: A Systematic Review and Meta-Analysis Involving 2259 Subjects.
AB - BACKGROUND: The argument on the clinical effects between gap balancing (GB) and
measured resection (MR) in total knee arthroplasty remains to be resolved. A
systematic review and meta-analysis was performed to investigate which technique
in total knee arthroplasty has better clinical effect. METHODS: A total of 20
studies involving 2259 cases were included in the meta-analysis. The primary
outcome measure was Knee Society Score (KSS), whereas the secondary outcomes
included other function assessment systems (eg, range of motion, Western Ontario
and McMaster University Osteoarthritis Index), radiological outcomes (eg, femoral
component rotation, total outliers), revision rate, complications (eg, infection,
loosening, instability), and surgical time. RESULTS: The GB technique was
associated with statistically significant increases in the primary outcomes of
KSS-function in 1 year. However, a mean difference of 2.12 points was below the
minimal clinically important difference of 6 points. No differences were found in
the analyses of KSS-knee and KSS-function in any other follow-up periods.
Secondary outcome assessments showed significant decreased surgical time (mean
difference, 16.18; P < .00001) for MR. Although statistically significant
difference in favor of GB was identified in total outliers (risk ratio, 1.72, P =
.0004), the 2 techniques were comparable in range of motion, Western Ontario and
McMaster University Osteoarthritis Index, femoral component rotation,
complications, and revision rate. CONCLUSION: We conclude that both techniques
can result in equivalent results when done properly, and each surgeon must
understand the strengths and weaknesses of each technique.
PMID- 29656973
TI - How Fast Should a Total Knee Arthroplasty Be Performed? An Analysis of 140,199
Surgeries.
AB - BACKGROUND: Although previous studies have shown that prolonged operative times
can lead to an increased risk of complications after total knee arthroplasty
(TKA), they only evaluated a few complications. It is also unclear whether a
distinctive operative time exists after which complications increase. Therefore,
this study was performed to (1) assess whether higher operative time increases
the risk of complications within 30 days of TKA and (2) explore the relationship
between operative time and various complications to identify possible operative
times where complication rates increase. METHODS: The National Surgical Quality
Improvement Project database was queried from 2011 to 2015 to identify 140,199
primary TKAs. The effect of operative time (skin-to-skin) on various medical and
surgical complications within 30 days was evaluated using multivariable logistic
regression models. Spline regression models were created to further study the
relationship between operative time and complications. RESULTS: After adjusting
for confounding factors, longer operative times were associated with higher risks
of readmission (P < .001), reoperation (P < .001), surgical site infection (P <
.001), wound dehiscence (P < .001), and transfusion (P < .001). The majority of
the complications demonstrated an increase throughout the range of operative
time, with a slightly pronounced increase in the risk of complications when the
operative time was longer than 80 minutes. CONCLUSION: Prolonged operative times
were associated with an increased risk of a number of important complications
such as readmissions, reoperations, surgical site infections, and wound
complications. Based on our results, an operative time goal of less than 80
minutes is helpful for minimizing these complications after TKA.
PMID- 29656974
TI - Low-Dose Aspirin Is Safe and Effective for Venous Thromboembolism Prophylaxis
Following Total Knee Arthroplasty.
AB - BACKGROUND: Aspirin is an effective prophylaxis for venous thromboembolism (VTE)
after total knee arthroplasty (TKA). The optimal prophylactic aspirin dose has
not been established. The study aims to compare 2 aspirin regimens with regard to
the incidence of (1) symptomatic deep venous thrombosis (DVT), (2) pulmonary
embolism (PE), (3) bleeding, and (4) mortality within 90 days after TKA. METHODS:
We retrospectively identified 5666 patients who received aspirin twice daily for
4 to 6 weeks after TKA. A total of 1327 patients received 81-mg BID and 4339
patients received 325-mg BID aspirin. Postoperative complications collected were
VTEs (DVT and PE), bleeding (gastrointestinal or wound bleeding), and mortality.
RESULTS: The incidence of VTE was 1.5% in the 325-mg group and 0.7% in the 81-mg
group (P = .02). Symptomatic DVT was 1.4% in the 325-mg aspirin compared with
0.3% for the 81-mg aspirin (P = .0009). Regression model showed no correlation
between aspirin dose and VTE incidence (odds ratio [OR] = 1.03; 95% confidence
interval [95% CI], 0.45-2.36; P = .94) or DVT (OR = 0.50; 95% CI, 0.16-1.55; P =
.20). The incidence of PE was 0.2% in the high-aspirin group compared with 0.4%
in the low-aspirin group (P = .13). Bleeding was 0.2% in the 325-mg aspirin group
and 0.2% in the 81-mg aspirin group (P = .62), and 90-day mortality was similar
(0.1%) between the groups (P = .56). CONCLUSION: Low-dose aspirin was not
inferior to high-dose aspirin for the prevention of VTE after TKA. Low-dose
aspirin can be considered a safe and effective agent in the prevention of VTE
after TKA.
PMID- 29656975
TI - Response to Letter to the Editor on "Local Infiltration Analgesia With Liposomal
Bupivacaine Improves Pain Scores and Reduces Opioid Use After Total Knee
Arthroplasty: Results of a Randomized Controlled Trial".
PMID- 29656976
TI - The Influence of Postoperative Knee Stability on Patient Satisfaction in Cruciate
Retaining Total Knee Arthroplasty.
AB - BACKGROUND: Although knee stability is well known as an important element for the
success of total knee arthroplasty (TKA), the direct relationship between
clinical outcomes and knee stability is still unknown. The purpose of this study
was to determine if postoperative knee stability and soft-tissue balance affect
the functional outcomes and patient satisfaction after cruciate-retaining (CR)
TKA. METHODS: Fifty-five patients with varus osteoarthritis of the knee who
underwent CR TKA were included in this study, and their postoperative knee
stability was assessed by stress radiography at extension and flexion 1 month
postoperatively. Timed Up and Go test, patient-derived clinical scores using the
2011 Knee Society Score, and Forgotten Joint Score-12 were also assessed at 1
year postoperatively. The effects of stability parameters on clinical outcomes
were analyzed using Spearman's rank correlation. RESULTS: Medial stability at
both knee extension and flexion had significant correlations with the shorter
Timed Up and Go test and the higher patient satisfaction. Moreover, lateral
laxity at extension was significantly correlated with the better patient
satisfaction and Forgotten Joint Score-12. However, these correlation
coefficients in this study were low in the range of 0.32-0.51. CONCLUSION: Medial
stability and lateral laxity play an important role in influencing 1-year
postoperative clinical outcomes after CR TKA. However, we should keep in mind
that these correlations are weak with coefficients at 0.50 or less and the
clinical results are also affected by various other factors.
PMID- 29656977
TI - Liposomal Bupivacaine vs Plain Bupivacaine in Periarticular Injection for Control
of Pain and Early Motion in Total Knee Arthroplasty: A Randomized, Prospective
Study.
AB - BACKGROUND: The use of multimodal pain regimens has been shown to be an effective
technique for the treatment of postoperative pain after total knee arthroplasty.
Periarticular injections, of both short-acting and long-acting anesthetics, have
emerged as an additional method of providing significant improvement in
postoperative pain relief. The purpose of this study is to compare the efficacy
of periarticular injection using long-acting vs short-acting preparations.
METHODS: A randomized, prospective study of 80 consecutive patients was performed
comparing liposomal bupivacaine vs plain bupivacaine periarticular injection. The
primary outcomes included pain relief, total narcotic usage, and completion of
physical therapy goals, specifically range of motion. RESULTS: No significant
improvements were noted between liposomal bupivacaine and plain bupivacaine
injection groups in overall pain reduction, range of motion, or total narcotic
usage. At 24 hours, small statistically significant differences in physical
therapy pain scores were noted with liposomal bupivacaine vs plain bupivacaine
and control patients, but these differences did not persist at later time points.
Both preparations demonstrated statistically significant improvements in range of
motion when compared to historical controls, but no differences were noted
between preparations. CONCLUSION: Overall, minimal significant differences were
noted between liposomal bupivacaine and plain bupivacaine at early and late time
points. Both preparations of periarticular injection demonstrated superiority
over control pain regimens but were relatively equivalent to one another in
direct comparison.
PMID- 29656978
TI - High Rate of Early Revision After Custom-Made Unicondylar Knee Arthroplasty.
AB - BACKGROUND: There has been a recent interest in custom-made partial knee
arthroplasties to provide patient-specific instrumentation and better fit of the
prosthesis. While unicondylar knee arthroplasties (UKAs) have demonstrated good
outcomes and durable results in many studies, there is little evidence on
outcomes of these custom-made implants. METHODS: We performed a retrospective
review of all custom-made UKAs performed at our institution by one surgeon from
2008 to 2015. We analyzed preoperative demographics, clinical follow-up
evaluations, and radiographs and performed an analysis of risk factors including
age, gender, height, weight, body mass index, and tibial insert thickness. The
incidence of revision surgery, radiographic failures indicating component
loosening, and symptomatic clinically failed implants was calculated at an
average of 54.0 months of follow-up. RESULTS: We analyzed 115 consecutive custom
made medial UKAs from a single surgeon at our institution and found 29 (25.2%)
UKAs had failed at an average of 33.1 months after surgery. Reasons for failure
included aseptic femoral loosening (10), aseptic tibial loosening (8), loosening
of both components (4), infection (3), progression of osteoarthritis (2), pain
(1), and dislodged polyethylene insert (1). We found a significant relationship
between implant failure and body mass index; no other study variables were
statistically significant. CONCLUSION: We found a relatively high rate of aseptic
loosening and particularly femoral component loosening in the short- to
intermediate-term follow-up period. While further study of larger numbers of
custom-made UKA from multiple institutions may help verify these findings, we
recommend careful consideration of the use of this implant.
PMID- 29656979
TI - Reducing Length of Stay Does Not Increase Emergency Room Visits or Readmissions
in Patients Undergoing Primary Hip and Knee Arthroplasties.
AB - BACKGROUND: Total hip and total knee arthroplasty (total joint arthroplasty
[TJA]) are 2 of the most common elective surgeries. Identifying which patients
are at highest risk for emergency room (ER) visits or readmissions within 90 days
of surgery and the reasons for return are crucial to formulate ways to decrease
these visits and improve patient outcomes. METHODS: This is a retrospective
review of a consecutive series of 7466 unilateral primary TJA performed from July
2013 to June 2017; any patients who had an ER visit or readmission in the first
90 days after surgery were identified, and a detailed chart review was performed.
Patients discharged home or to rehab were analyzed separately. RESULTS: Three
hundred thirty-six (4.5%) patients had 380 ER visits and 250 (3.3%) patients had
291 readmissions in the first 90 days after TJA. Patients returning to the ER
were equivalent to those who did not. Patients who went to a rehab facility on
discharge were significantly more likely to be readmitted (P = .000). Patients
who were readmitted had a higher American Society of Anesthesiologists score (P =
.000). Length of stay decreased over the study period from 2.66 days to 1.63
days, while the number of unplanned interventions remained steady. Pain and
swelling was the most common reason for return for ER visits (33.2%) and
readmissions (14.1%). CONCLUSION: The overall number of unplanned interventions
after TJA in this population was low and remained consistent over time despite
decreasing length of stay. Patients who went to rehab were more likely to
experience readmission. The majority of unplanned interventions occurred in the
first 4 weeks after surgery.
PMID- 29656980
TI - The Recovery Curve for the Patient-Reported Outcomes Measurement Information
System Patient-Reported Physical Function and Pain Interference Computerized
Adaptive Tests After Primary Total Knee Arthroplasty.
AB - BACKGROUND: We sought to characterize the typical recovery in physical function
(PF) and pain interference (PI) after TKA using Patient-Reported Outcomes
Measurement Information System (PROMIS) patient-reported outcome (PRO) measures.
METHODS: Ninety-one patients were enrolled into an institutional review board
approved prospective observational study. PROs were obtained preoperatively and
postoperatively at 6 weeks, 3 months, 6 months, and 1 year. PROs included the
PROMIS PF computerized adaptive test (CAT) and the PROMIS PI CAT. Generalized
estimating equations were used to evaluate outcomes over time. RESULTS: There was
no difference in the preoperative and 6-week postoperative T-scores for the PF
CAT (P = .410). However, all subsequent postoperative T-scores were greater than
the preoperative T-score (all, P < 0.05). There was a significant reduction in PI
CAT T-scores between the preoperative and all subsequent postoperative T-scores
(all, P < .05). A clinically important difference in PF CAT T-scores (beta =
5.44, 95% confidence interval 4.10-6.80; P < .001) and PI CAT T-scores (beta =
7.46, 95% confidence interval -9.52 to -5.40; P < 0.001) was seen between the
preoperative and 3-month postoperative visits. Sixty-three percent of the
improvement in PF occurred by 3 months, and 89% had occurred by 6 months. The
majority of reduction in PI (68%) occurred by 3 months and 90% had occurred by 6
months. CONCLUSION: The greatest magnitude of improvement in both PF and PI
occurred within the first 3 months. After 6 months, patients might expect modest
improvements in PF and mild reductions of PI. Patients and surgeons should use
this information for setting expectations, planning for recovery, and improving
care.
PMID- 29656981
TI - Dual-Agent Antibiotic Prophylaxis Using a Single Preoperative Vancomycin Dose
Effectively Reduces Prosthetic Joint Infection Rates With Minimal Renal Toxicity
Risk.
AB - BACKGROUND: We performed this study to compare prosthetic joint infection (PJI)
and renal toxicity rates following hip and knee total joint arthroplasty (TJA)
when a first-generation cephalosporin was administered either alone or in
combination with a single preoperative vancomycin dose, whether vancomycin
administration timing potentially influenced dual-antibiotic PJI prophylaxis
approach effectiveness, and whether single-dose vancomycin use increased risk of
renal impairment. METHODS: This was a retrospective study of 1997 consecutive
primary TJAs (1871 patients) treated with cefazolin alone (1044 TJAs) or
cefazolin with single-dose vancomycin (953 TJAs). The vancomycin group included
476 TJAs (450 patients) with infusion started at least 45 minutes before the skin
incision and 477 TJAs (464 patients) with infusion started less than 45 minutes
before the skin incision. RESULTS: The addition of a single dose of vancomycin
did not significantly reduce PJI rates when compared with cefazolin alone (1.6%
vs 2.1%, P = .32). However, the PJI rate was significantly lower following
primary TJA when vancomycin administration was initiated at least 45 minutes
before incision (0.2%) when compared with other TJA procedures performed using
cefazolin and vancomycin (2.9%, P < .01) or cefazolin alone (2.1%, P < .01). We
observed no difference in renal toxicity between treatment groups. CONCLUSION: In
our institution, the addition of vancomycin to cefazolin at least 45 minutes
before incision reduced PJI infection rates in primary hip and knee TJA with a
low risk of renal impairment.
PMID- 29656982
TI - Erratum to "Whole brain neuronal abnormalities in focal epilepsy quantified with
proton MR spectroscopy" [Epilepsy Res. 139 (2018) 85-91].
PMID- 29656983
TI - Prophylaxis and treatment of foetal growth restriction.
AB - Foetal growth restriction (FGR) and associated placental pathologies such as pre
eclampsia and stillbirth arise in early pregnancy when inadequate remodelling of
maternal spiral arteries leads to persistent high-resistance low-flow
uteroplacental circulation. Current interventions concentrate on targeting the
placental ischaemia-reperfusion injury and oxidative stress associated with an
imbalance in angiogenic/anti-angiogenic factors. Recent meta-analyses confirm
that aspirin modestly reduces the risk for small-for-gestational-age pregnancy in
high-risk women. A dose of >=100 mg starting by 16 weeks of gestation is
recommended. In vitro and in vivo studies suggest that low-molecular-weight
heparin may prevent FGR; further research is needed to confirm efficacy. Once FGR
is diagnosed, no treatment will improve foetal growth. Potential FGR therapies
such as phosphodiesterase type-5 inhibitors or maternal VEGF gene therapy aim to
improve poor placentation and/or uterine blood flow. Melatonin, creatine and N
acetyl cysteine have potential as novel neuroprotective and cardioprotective
agents in FGR.
PMID- 29656984
TI - Corrigendum to "Benign and malignant pathology of the uterus" [Best Pract Res
Clin Obstet Gynaecol 46 (2018) 12-30].
PMID- 29656985
TI - In silico analysis of the potential mechanism of telocinobufagin on breast cancer
MCF-7 cells.
AB - BACKGROUNDS AND AIMS: The extractives from a ChanSu, traditional Chinese
medicine, have been discovered to possess anti-inflammatory and tumor-suppressing
abilities. However, the molecular mechanism of telocinobufagin, a compound
extracted from ChanSu, on breast cancer cells has not been clarified. The aim of
this study is to investigate the underlying mechanism of telocinobufagin on
breast cancer cells. METHODS AND MATERIALS: The differentially expressed genes
after telocinobufagin treatment on breast cancer cells were searched and
downloaded from Gene Expression Omnibus (GEO), ArrayExpress and literatures.
Bioinformatics tools were applied to further explore the potential mechanism of
telocinobufagin in breast cancer using the Kyoto Encyclopedia of genes and
genomes (KEGG) pathway, Gene ontology (GO) enrichment, panther, and protein
protein interaction analyses. To better comprehend the role of telocinobufagin in
breast cancer, we also queried the Connectivity Map using the gene expression
profiles of telocinobufagin treatment. RESULTS: One GEO accession (GSE85871)
provided 1251 differentially expressed genes after telocinobufagin treatment on
MCF-7 cells. The pathway of neuroactive ligand-receptor interaction, cell
adhesion molecules (CAMs), intestinal immune network for IgA production,
hematopoietic cell lineage and calcium signaling pathway were the key pathways
from KEGG analysis. IGF1 and KSR1, owning to higher protein levels in breast
cancer tissues, IGF1 and KSR1 could be the hub genes related to telocinobufagin
treatment. It was indicated that the molecular mechanism of telocinobufagin
resembled that of fenspiride. CONCLUSIONS: Telocinobufagin might regulate
neuroactive ligand-receptor interaction pathway to exert its influences in breast
cancer MCF-7 cells, and its molecular mechanism might share some similarities
with fenspiride. This study only presented a comprehensive picture of the role of
telocinobufagin in breast cancer MCF-7 cells using big data. However, more
thorough and deeper researches are required to add to the validity of this study.
PMID- 29656986
TI - Eight-and-a-Half Syndrome: A Combination of Intracranial Capillary Telangiectasia
and Hematencephalon.
AB - Eight-and-a-half syndrome, a combination of one-and-a-half syndrome and
ipsilateral facial palsy, was first described by Eggenberger in 1998.
Intracranial capillary telangiectasia (ICT) is a rare type of latent cerebral
vascular malformation characterized by a number of small, dilated, and thin
walled blood capillaries with normal brain tissues between them. Susceptibility
weighted imaging is the recommended diagnostic method to detect ICT. Oommen once
reported 1 case about pontine hemorrhage causing Fisher one-and-a-half syndrome
with facial paralysis. Here, we report a case with regard to pontine tegmentum
ICT and hematencephalon presenting as eight-and-a-half syndrome.
PMID- 29656987
TI - Differential Prognostic Impact on Mortality of Myocardial Infarction Compared
With Bleeding Severity in Contemporary Acute Coronary Syndrome Patients.
AB - INTRODUCTION AND OBJECTIVES: The impact on mortality of myocardial infarction
(MI) compared with the specific degree of bleeding severity occurring after
discharge in acute coronary syndrome is poorly characterized. Defining this
relationship may help to achieve a favorable therapeutic risk-benefit balance.
METHODS: Using Cox-based shared frailty models, we assessed the relationship
between mortality and postdischarge MI and bleeding severity-graded according to
Bleeding Academic Research Consortium (BARC)-in 4229 acute coronary syndrome
patients undergoing in-hospital coronary arteriography between January 2012 and
December 2015. RESULTS: Both MI (HR, 5.8; 95%CI, 3.7-9.8) and bleeding (HR, 5.1;
95%CI, 3.6-7.7) were associated with mortality. Myocardial infarction had a
stronger impact on mortality than BARC type 2 and 3a bleedings: (RRr, 3.8 and
1.9; P < .05), respectively, but was equivalent to BARC type 3b (RRr, 0.9; P =
.88). Mortality risk after MI was significantly lower than after BARC type 3c
bleeding (RRr, 0.25; P < .001). Mortality was higher after an MI in patients on
dual antiplatelet therapy (DAPT) at the time of the event (HR, 2.9; 95%CI, 1.8
4.5) than in those off-DAPT (HR, 1.5; 95%CI, 0.7-3.4). In contrast, mortality was
lower after a bleeding event in patients on-DAPT (HR, 1.6; 95%CI, 1.1-2.6) than
in those off-DAPT (HR, 3.2; 95%CI, 1.7-5.8). CONCLUSIONS: The differential effect
on mortality of a postdischarge MI vs bleeding largely depends on bleeding
severity. The DAPT status at the time of MI or bleeding is a modifier of
subsequent mortality risk.
PMID- 29656988
TI - Cationic nucleopeptides as novel non-covalent carriers for the delivery of
peptide nucleic acid (PNA) and RNA oligomers.
AB - Cationic nucleopeptides belong to a family of synthetic oligomers composed by
amino acids and nucleobases. Their capability to recognize nucleic acid targets
and to cross cellular membranes provided the basis for considering them as novel
non-covalent delivery agents for nucleic acid pharmaceuticals. Herein, starting
from a 12-mer nucleopeptide model, the number of cationic residues was modulated
in order to obtain new nucleopeptides endowed with high solubility in acqueous
medium, acceptable bio-stability, low cytotoxicity and good capability to bind
nucleic acid. Two candidates were selected to further investigate their potential
as nucleic acid carriers, showing higher efficiency to deliver PNA in comparison
with RNA. Noteworthy, this study encourages the development of nucleopeptides as
new carriers to extend the known strategies for those nucleic acid analogues,
especially PNA, that still remain difficult to drive into the cells.
PMID- 29656989
TI - Substituent effect of N-benzylated gramine derivatives that prevent the PP2A
inhibition and dissipate the neuronal Ca2+ overload, as a multitarget strategy
for the treatment of Alzheimer's disease.
AB - Following the premises of the multitarget-directed ligands approach for the drug
R&D against neurodegenerative diseases, where Alzheimer's disease (AD) outstands,
we have synthesized and evaluated analogues of the gramine derivative ITH12657 (1
benzyl-5-methyl-3-(piperidin-1-ylmethyl-1H-indole, 2), which had shown important
neuroprotective properties, such as blocking effect of voltage-gated Ca2+
channels (VGCC), and prevention of phosphoprotein phosphatase 2A (PP2A)
inhibition. The new analogues present different substitutions at the pending
phenyl ring, what slightly modified their pharmacological characteristics. The
VGCC blockade was enhanced in derivatives possessing nitro groups, while the pro
PP2A feature was ameliorated by the presence of fluorine. Chlorine atoms supplied
good activities over the two biological targets aimed; nevertheless that
substitution provoked loss of viability at 100-fold higher concentrations (10
MUM), what discards them for a deeper pharmacological study. Overall, the para
fluorine derivative of ITH12657 was the most promising candidate for further
preclinical assays.
PMID- 29656991
TI - Model predicting the ypN0 status after good response to chemoradiotherapy in
rectal cancer.
AB - BACKGROUND: The purpose of this study was to identify the predictive factors for
ypN0 status in tumors with good pathologic response to chemoradiotherapy (CRT).
METHODS: A retrospective chart review was conducted on patients at two tertiary
cancer center who underwent rectal resection after good response to CRT between
2000 and 2013. RESULTS: No preoperative treatment (oxaliplatin use, radiotherapy
boost of 5,4 Gy, delay CRT-surgery) impacted on the ypN status. In the
multivariate analysis, only a ypT<3 (HR 7.5 [2,9-19.5]) was significant and no
lymphovascular invasion (HR 8,9 [1.6-49.8]) was limited to significance.The best
model predicting the ypN0 status used only the ypT status<3. The major part
(92.2%) of patients with ypT0-2 tumors had no LN invasion. CONCLUSION: The risk
of lymph node involvement metastases was only 7.8% for the patients with an ypT0
2 status. A fullthickness transanal resection coud be the futur treatment of
these patients.
PMID- 29656990
TI - Synthesis and antiproliferative activity of derivatives of the phyllanthusmin
class of arylnaphthalene lignan lactones.
AB - A series of arylnaphthalene lignan lactones based on the structure of the
phyllanthusmins, a class of potent natural products possessing diphyllin as the
aglycone, has been synthesized and screened for activity against multiple cancer
cell lines. SAR exploration was performed on both the carbohydrate and lactone
moieties of this structural class. These studies have revealed the importance of
functionalization of the carbohydrate hydroxy groups with both acetylated and
methylated analogues showing increased potency relative to those with
unsubstituted sugar moieties. In addition, the requirement for the presence and
position of the C-ring lactone has been demonstrated through reduction and
selective re-oxidation of the lactone ring. The most potent compound in this
study displayed an IC50 value of 18 nM in an HT-29 assay with several others
ranging from 50 to 200 nM. In an effort to elucidate their potential mechanism(s)
of action, the DNA topoisomerase IIa inhibitory activity of the most potent
compounds was examined based on previous reports of structurally similar
compounds, but does not appear to contribute significantly to their
antiproliferative effects.
PMID- 29656992
TI - Cryptosporidium species in post-weaned and adult sheep and goats from N.W. Spain:
Public and animal health significance.
AB - Application of molecular approaches has led to a significant progress on the
knowledge of the epidemiology of Cryptosporidium spp. Nevertheless, molecular
information on the occurrence of cryptosporidiosis in domestic small ruminants,
especially in goats, are limited and restricted to the study of a modest number
of isolates, mainly from diarrhoeic neonates. In order to determine the
Cryptosporidium species present in healthy post-weaned and adult small ruminants
from north-western Spain and to analyse a possible age-related distribution of
species, faecal specimens were collected in sheep and goat farms without neonatal
diarrhoea outbreaks the year before the sampling. Cryptosporidium spp. DNA was
detected by SSU-rRNA PCR-RFLP, using restriction enzymes SspI, VspI and MboII. C.
parvum and C. ubiquitum isolates were further characterized at the GP60 locus.
Our results reveal that Cryptosporidium spp. is widely distributed in small
ruminant farms (47.4-50.0%), although its prevalence is low in both hosts (5.9
6.0%). No significant differences in individual prevalence were detected between
age groups. C. xiaoi and the zoonotic C. parvum and C. ubiquitum were identified.
In sheep, C. parvum was the predominant species and its prevalence increased with
age, in contrast to C. xiaoi; C. ubiquitum was an occasional finding in adults.
In goats, C. xiaoi and C. ubiquitum were the most frequent species and slightly
more prevalent in adults than in post-weaned kids, in contrast to C. parvum.
Subtyping analysis of C. parvum isolates revealed the presence of IIaA15G2R1 and
IIaA14G2R1 in sheep, whereas IIaA13G1R1 and IIdA17G1 were restricted to goats;
only the C. ubiquitum XIIa subtype 3 was found. Although the prevalences detected
are low, these values are probably underestimated due to, amongst others, the
cross-sectional design of the study and the intermittent oocyst-excretion of post
weaned and adult small ruminants. Thus, these animals may play an important role
in the appearance of cryptosporidiosis outbreaks in humans and domestic ruminant
neonates and therefore should be considered as a potential threat to animal
production and human health.
PMID- 29656993
TI - Anthelmintic resistance in goat herds-In vivo versus in vitro detection methods.
AB - Anthelmintic resistance (AR) is a serious threat to animal health and has a major
economic impact worldwide due to production and financial losses. The aim of this
study was to determine the occurrence of AR on 30 goat farms in Slovakia during
the pasturing seasons and to compare three widely used in vitro and in vivo
methods for detecting AR in field conditions. A three-year survey was conducted
during the pasturing seasons of 2014-2016. Goats on each farm were split into
treated and control groups and were treated by recommended (5 mg/kg body weight)
and double doses (10 mg/kg b.w.) of albendazole. Comparisons between percent
reduction in a faecal egg count reduction test (FECRT) and an egg hatch test
(EHT) and the presence of L3 larvae in a larval development test (LDT) using
resistant concentrations of benzimidazole (BZ) were monitored after treatment.
The FECRT indicated percent reductions of 69.2-86.2% for the single dose and of
36.3-45.4% for the double dose. The EHT indicated that all farms had BZ-resistant
nematodes. Low (<15% hatching) and high (>15% hatching) levels of resistance were
detected on 13 and 17 farms, respectively. The LDT failed to detect resistant
larvae on seven farms but detected low and high levels of resistance on seven and
14 farms, respectively. The data indicate a moderate correlation between in vitro
and in vivo tests for detecting BZ resistance among the 30 goat farms. The
hatching detected by the EHT and the presence of L3 larvae by the LDT at
resistant BZ concentrations provided reasonable identification of low levels of
resistance in the parasite populations, but the use of a double dose for a
treatment may underestimate the real occurrence of low levels of resistant
parasites on goat farms.
PMID- 29656994
TI - An ex vivo abomasal ovine model to study the immediate immune response in the
context of Haemonchus contortus larval-stage.
AB - We have set up an ex vivo ovine abomasal model, which can mimic the multicellular
process to explore the early steps in haemonchine nematode infection using RNA
seq technology. Ovine abomasal explants were collected for histological and
transcriptional analysis and supernatants collected to quantitate lactate
dehydrogenase (LDH) enzymes. Atotal of 233 were substantially induced genes
between L4-inoculated and uninoculated-control tissues, respectively. However, a
total of 14 were considerably down-regulated genes between the 51 aforementioned
tissues. Fifteen pathways were annotated by Kyoto Encyclopedia of Genes, and
Genomes pathway analysis accounted for the significant percentage in immediate
response to larval-stage of H. contortus. Key genes upregulated in response to
the addition of L4-inoculum of H. contortus were IL-6, IL-8, C1q, Atypical
chemokine receptor-3, chemokine ligand-2, manganese superoxide dismutase,
integrin alpha-7, -8, -9, integrin subunit beta-1, integrin subunit beta 6,
intercellular adhesion molecule-1 and actin alpha-1. This study shows for the
first time that galectin-1 is up-regulated in an ex vivo abomasal segment model
exposed to L4-inoculum of H. contortus following 6 h of incubation. The abomasal
segment model has been shown to be a suitable tool to study the haemonchine
larval-stage effects on the ovine abomasal tissues prior to in vivo assessment.
PMID- 29656995
TI - First detection of Trichinella pseudospiralis infection in raccoon (Procyon
lotor) in Central Europe.
AB - The raccoon (Procyon lotor) is a North American carnivore introduced to Europe in
the 20th Century. Raccoons are believed to be the potential hosts of many
parasites, or to be involved in their transmission to other animals. Nematodes of
the genus Trichinella can infect many carnivorous and omnivorous animals
worldwide. The aim of the present study was to determine the occurrence of
Trichinella spp. infection in raccoons in Central Europe. Muscle samples were
collected from various regions of Poland, the Czech Republic and Germany during
the years 2012-2016. The larvae of Trichinella spp. were detected in 11 raccoons,
and these were identified as T. spiralis and T. pseudospiralis by multiplex PCR
(89.9% and 9.1%, respectively). No mixed infection was observed. This is the
first report describing the occurrence of T. spiralis and T. pseudospiralis in P.
lotor in Central Europe. Our findings also show that the raccoon population acts
as a reservoir of Trichinella pseudospiralis.
PMID- 29656997
TI - Veterinary parasitology teaching at London - Meeting the 'Day-One Competency'
needs of new veterinarians.
AB - Over the past four decades, there has been an exponential increase in veterinary
parasitology knowledge, coinciding with the advent of molecular biology in
research. Therefore, it is unrealistic for teachers to expect students to
graduate with an encyclopaedic knowledge of the subject. As a result, a new
curriculum was introduced at The Royal Veterinary College (University of London)
in 2007, designed to meet the needs of our new graduates, i.e. RCVS Day-One
Competences. The aims of this curriculum are, inter alia, to ensure that new
graduates have an up-to-date body of core knowledge and are able to apply such
knowledge and newly-acquired information to scientific and clinical problem
solving. Veterinary parasitology is taught primarily in Year 2, following a brief
introduction in Year 1; clinical aspects are covered in Year 3, with original
research projects undertaken in Years 4 and 5. Parasitology is taught in parallel
with other subjects, enabling both horizontal and vertical integration. Core
material is provided in lectures supplemented by directed learning (DL) in small
groups and interactive, clinical scenario-based practical classes. Student
learning is supported by Moodle 3.2 (Virtual Learning Environment [VLE], RVC
Learn) which provides access to an on-line study guide (annotated using Adobe
Reader), PowerPoint presentations with synchronized lecturer commentary (Echo
Active Learning Platform [ALP]), detailed feedback for DL and practical classes,
parasite 'potcasts' and CAL packages, and a Clinical Skills Centre. A
parasitology textbook has also been published recently to support courses taught
at the College. Assessment of student learning is achieved using a variety of
written formats (essay, problem-solving questions [PSQ], multiple choice
questions [MCQ] and extended matching questions [EMQ]), integrated oral
examinations and objective structured clinical examinations (OSCEs).
PMID- 29656996
TI - Prevalence of Angiostrongylus vasorum, Aelurostrongylus abstrusus and Crenosoma
vulpis larvae in native slug populations in Germany.
AB - Metastrongyloid parasites represent sparsely studied parasites of dogs and cats
in Germany. Recent European surveys indicate that these parasites are spreading
in Europe. Actual data on prevalence of Angiostrongylus vasorum in dogs and foxes
reveal several endemic foci in Germany. However, actual data on the prevalence of
A. vasorum and other metastrongyloid lungworm larvae in a wide range of slug and
snail intermediate hosts, such as Arion lusitanicus, are missing for Germany. To
fill this gap, we conducted an epidemiological survey on native German slugs in
selected regions of Hesse and Rhineland-Palatinate. The focus was on slugs,
because in study areas slugs appear to be more abundant than snails. Slugs were
collected throughout different seasons of the year in areas that were previously
proven to be hyperendemic for A. vasorum fox infections. Overall, a total of 2701
slugs were collected and examined for lungworm larvae via artificial digestion.
The number of A. vasorum larvae per slug varied considerably (1-546 larvae per
specimen). Some hotspot areas with high A. vasorum prevalence in slugs (up to
19.4%) were identified. The overall A. vasorum prevalence varied with season with
largest number of slugs infected in summer (9.1%) and lowest number in winter
(0.8%). The current study revealed a total A. vasorum prevalence of 4.7% in slugs
based on microscopic analyses. Confirmation of lungworm species was made by
specific duplex-real-time PCRs. Hence, these data demonstrate that final hosts
are at a permanent risk for A. vasorum infections during all seasons when living
in investigated areas. Besides A. vasorum, other lungworm larvae were also
detected, such as Crenosoma vulpis (the fox lungworm, 2.3%) and Aelurostrongylus
abstrusus (feline lungworm, 0.2%).
PMID- 29656998
TI - Changes in the concentration of anti-Leishmania antibodies in saliva of dogs with
clinical leishmaniosis after short-term treatment.
AB - The aim of this study was to evaluate the possible changes in the concentration
of anti-Leishmania antibodies in saliva samples from dogs with clinical
leishmaniosis after short-term treatment. Twenty dogs with clinical signs and
laboratory abnormalities compatible with canine leishmaniosis (CanL) were
diagnosed and treated with a standard antimonial plus allopurinol therapy. The
concentration of anti-Leishmania IgG2 and IgA antibodies in saliva was measured
at the time of diagnosis (day 0) and after treatment (day 30) by time-resolved
immunofluorometric assays (TR-IFMAs) and results were compared with those of
serum. In addition, correlations between antibody concentrations in saliva and
serum, clinical scores and selected laboratory analytes were calculated. TR-IFMA
results were expressed as Units of Fluorometry for Leishmania (UFL). Most dogs
that adequately responded to treatment (n = 17) showed a reduction of anti
Leishmania antibodies in saliva [median IgG2: from 678.0 (day 0) to 201.1 UFL
(day 30), p < 0.0001; median IgA: from 91.3 (day 0) to 60.2 UFL (day 30), p <
0.01] in accordance with clinical improvement (p < 0.0001). However, two of these
dogs showed an increase of anti-Leishmania antibodies in saliva. Among dogs that
did not improve after one month of treatment (n = 3), two showed a reduction in
serum and saliva antibodies. In these two dogs, clinical recovery was achieved
after one additional month of treatment with allopurinol. The other dog that did
not respond to treatment showed increases in the concentration of anti-Leishmania
antibodies, both in saliva and serum, and did not adequately respond to an
additional month of treatment with allopurinol. From this pilot study, it could
be concluded that, despite the low number of dogs used, the measurement of anti
Leishmania IgG2 and IgA antibodies in saliva could have a potential use for
treatment monitoring of CanL, provided that a sufficient amount of specific
antibodies is present at diagnosis. This is because, especially in the case of
IgG2, there is a high correlation between the saliva and serum concentrations,
and the reduction of antibodies is generally in accordance with the clinical
improvement. Further long-term studies with a larger population should be
undertaken to confirm this potential.
PMID- 29656999
TI - Estimating prevalence and diagnostic test characteristics of bovine cysticercosis
in Belgium in the absence of a 'gold standard' reference test using a Bayesian
approach.
AB - A Bayesian model was developed to estimate values for the prevalence and
diagnostic test characteristics of bovine cysticercosis (Taenia saginata) by
combining results of four imperfect tests. Samples of 612 bovine carcases that
were found negative for cysticercosis during routine meat inspection collected at
three Belgian slaughterhouses, underwent enhanced meat inspection (additional
incisions in the heart), dissection of the predilection sites, B158/B60 Ag-ELISA
and ES Ab-ELISA. This Bayesian approach allows for the combination of prior
expert opinion with experimental data to estimate the true prevalence of bovine
cysticercosis in the absence of a gold standard test. A first model (based on a
multinomial distribution and including all possible interactions between the
individual tests) required estimation of 31 parameters, while only allowing for
15 parameters to be estimated. Including prior expert information about
specificity and sensitivity resulted in an optimal model with a reduction of the
number of parameters to be estimated to 8. The estimated bovine cysticercosis
prevalence was 33.9% (95% credibility interval: 27.7-44.4%), while apparent
prevalence based on meat inspection is only 0.23%. The test performances were
estimated as follows (sensitivity (Se) - specificity (Sp)): enhanced meat
inspection (Se 2.87% - Sp 100%), dissection of predilection sites (Se 69.8% - Sp
100%), Ag-ELISA (Se 26.9% - Sp 99.4%), Ab-ELISA (Se 13.8% - Sp 92.9%).
PMID- 29657000
TI - Activity of the extract of Acmella oleracea on immature stages of Amblyomma
sculptum (Acari: Ixodidae).
AB - This study evaluated the acaricidal activity of the methanol extract of Acmella
oleracea with 0.187% of spilanthol against immature stages of Amblyomma sculptum.
The packet test was used to evaluate the extract's activity on unengorged larvae
and nymphs, testing concentrations of 0.4 to 50 mg/mL for larvae and 12.5 to
200.0 mg/mL for nymphs. For the engorged stages, the immersion test was used, at
concentrations of 0.4 to 50 mg/mL for larvae and 12.5 to 200.0 mg/mL for nymphs.
The methanol extract caused 100% mortality of the unengorged larvae and nymphs
starting at concentrations of 12.5 and 200.0 mg/mL, respectively. For engorged
larvae and nymphs, the mortality was 100% starting from concentrations of 12.5
and 150.0 mg/mL, respectively. The LC50 for unengorged larvae was 3.2 mg/mL,
while for engorged larvae it was 6.6 mg/mL. For unengorged nymphs, the LC50 was
38.5 mg/mL, but it was not possible to calculate the corresponding value for
engorged nymphs because the data did not fit the probit model. These results
demonstrate that the methanol extract of A. oleracea has acaricidal activity
against different immature stages of A. sculptum.
PMID- 29657001
TI - Accuracy of a diagnostic model based on serum biochemical parameters in detecting
cows at an increased risk of chronic fascioliasis.
AB - In adult cattle Fasciola hepatica infection usually follows a chronic subclinical
course, and reduces both the milk yield and milk quality, resulting in
considerable financial losses. Effective control of the disease is based on
reliable identification of asymptomatically infected individuals, which now
requires special parasitological or serological diagnostic tests. It is also
known that F. hepatica infection induces alterations in some serum biochemical
parameters. Therefore, the study was conducted to develop a model based on serum
biochemical parameters allowing to identify cows at higher risk of chronic
fascioliasis. Six hundred sixty eight adult dairy cows from 97 herds located in
central and northeastern Poland were clinically examined, and blood and fecal
samples from them were collected for a routine monitoring of fascioliasis and
metabolic profile. Using the combination of fecal sedimentation test and indirect
ELISA based on excretory/secretory products 203 cows, apparently healthy in
clinical examination, were definitively classed as affected by (47 cows, 23.2%)
or free from (156 cows, 76.8%) chronic fascioliasis. Their serum was screened for
the activity of 4 enzymes (gamma-glutamyl transpeptidase, glutamate
dehydrogenase, aspartate aminotransferase, creatine kinase) and concentration of
18 other components (total bilirubin, total protein, albumin, globulin, urea,
glucose, non-esterified fatty acids, beta-hydroxybutyrate, sodium, potassium,
chloride, calcium, magnesium, copper, zinc, iron, selenium, and haptoglobin).
Logistic regression analysis was used to build 4 multivariable models allowing
for identification of cows at risk of chronic fascioliasis. Then, the accuracy
was compared between the models using the area under the receiver operating
characteristic curve (AUROC), and an optimal cut-off value was determined for the
most accurate model using Youden J index. The most accurate proved to be the
model based on glutamate dehydrogenase activity and globulin, urea (all three
positively linked with risk of chronic fascioliasis), and selenium concentration
(negatively linked) adjusted by the access to pasture and cow's age. At the
optimal cut-off of 0.37 this model had sensitivity of 85.1% (CI 95%:72.3%,
92.6%), specificity of 90.4% (CI 95%:84.7%, 94.1%), positive likelihood ratio of
8.8 (CI 95%:5.4, 14.5), and negative likelihood ratio of 0.16 (CI 95%:0.08,
0.33). This model performed significantly better than model including only gamma
glutamyl transpeptidase or model including both gamma-glutamyl transpeptidase and
aspartate aminotransferase (both also adjusted by the access to pasture and cow's
age), and was the only model which performed significantly better than the basic
model based solely on the access to pasture and cow's age.
PMID- 29657002
TI - Clinical management of canine leishmaniosis versus human leishmaniasis due to
Leishmania infantum: Putting "One Health" principles into practice.
AB - The initiative One World, "One Health" tries to rapidly detect emerging or
reemerging human and animal infectious diseases and prevent epidemiological
situations such as deforestation, some agricultural practices or the appearance
of new foci of leishmaniosis due to Leishmania infantum with alternative
reservoirs. With this objective in mind, we here consider leishmaniosis in the
Mediterranean basin and compare its current clinical management from two
perspectives: that of a veterinarian specialized in infectious and parasitic
diseases, and that of a physician specialized in infectious tropical diseases. We
thus prepared a list of 10 key questions from epidemiology to control of the
disease in both species: dogs and humans. This issue requires a concise and clear
response to help animal and human health clinicians to improve their clinical
management and understanding of this important zoonosis. Our ultimate aim is to
update and bring together the information available backed by sound scientific
evidence.
PMID- 29657003
TI - In vitro bioassays used in evaluating plant extracts for tick repellent and
acaricidal properties: A critical review.
AB - Ticks are haematophagous arthropods which rank closely with mosquitoes in their
capacity to transmit disease pathogens of importance to animals and humans.
Current control of ticks is based on the routine use of synthetic chemicals
administered to animals or their environment. However, years of use and overuse
of these chemicals have resulted in the development of resistance in these
parasites and negative environmental impacts, hence the need for cheaper, safer
and more environmentally friendly alternatives with alternate modes of action.
There has been a large interest in using plants for these purposes. Peer-reviewed
articles on plants evaluated for their tick repellent and/or acaricidal
activities against immature and adult stages of ticks were collected from nine
scientific databases with the aim of reviewing the bioassays employed. Search
words included "acaricidal", "tick repellent", "antitick assays" and
"phytomedicine". Many different methods were used to determine repellency and
acaricidal activity. These include, among a few others, petri dish, tick
climbing, olfactometer, larval packet and immersion bioassays. Tick climbing
repellency and adult immersion bioassays were most commonly used. Ethanol was the
most widely used solvent and Rhipicephalus (Boophilus) microplus was the most
commonly studied tick across all the reviewed papers. It is unclear whether the
outcome of these experiments on a one-host tick can be applied to other species
of ticks that infest animals and humans. Also, most of the assays on repellency
did not discriminate between olfaction and tactile chemoreception-based
repellency and though some of the observed methods were similar, results differ
significantly. These aspects will need further evaluation. Standardized
laboratory methods are required to enable the valid comparisons between results
from different laboratories.
PMID- 29657004
TI - Artemisinin and Artemisia annua leaves alleviate Eimeria tenella infection by
facilitating apoptosis of host cells and suppressing inflammatory response.
AB - Evasion strategies of intracellular parasites by hijacking cellular pathways, are
necessary to ensure successful survival and replication. Eimeria tenella (E.
tenella) has the ability to circumvent apoptosis of infected cells through
increased expression of the transcriptional factor NF-kappaB and the anti
apoptotic factor Bcl-xL during the development of second generation schizonts.
Artemisinin (ART) and its original plant, the dried leaves of Artemisia annua
(LAA) have been shown to be effective against avian coccidiosis, however, the
underlying mechanism remains unclear. We showed that E. tenella infection
promoted the expression of anti-apoptotic protein Bcl-2 and inhibited the
expression of pro-apoptotic proteins Bax and cleaved caspase-3 at 60 h post
infection (PI), with a higher ratio of Bcl-2 to Bax. Nevertheless, the expression
trends of Bcl-2, Bax and caspase-3 were reversed at 120 h and 192 h PI. ART
treatment significantly abrogated Bcl-2 expression, whereas it promoted the
expression levels of Bax and cleaved caspase-3 at the three time points above.
Additionally, ART remarkably suppressed the increased mRNA expressions of NF
kappaB and interleukin-17A in ceca during infection by E. tenella. Compared with
the ART treatment, LAA treatment exerted more improvements in clinical symptoms,
promoting apoptosis and suppressing inflammatory response. These alterations
caused by ART and LAA treatments were consistent with the reduced clinical
diarrhea and pathological improvements in chicken ceca. Collectively, these
results indicate that the inhibitory effects of ART or LAA on E. tenella
infection may work through facilitating the apoptosis of infected host cells and
inhibiting the inflammatory response.
PMID- 29657005
TI - Comparison of six commercial antigen kits for detection of Dirofilaria immitis
infections in canines with necropsy-confirmed heartworm status.
AB - Patient-side test kits for detecting antigenemia in dogs associated with sexually
mature female heartworms (Dirofilaria immitis) have been available for three
decades, and these tests are continually updated and improved. To define the
sensitivity (Se) and specificity (Sp) of contemporary antigen detection tests
against cardiopulmonary D. immitis burden, we evaluated five patient-side kits
Anigen Rapid One Step(r) (Bio note), SNAP(r) 4Dx Plus Test Kit (IDEXX),
WITNESS(r) Heartworm Canine Heartworm Antigen Test Kit (Zoetis), VetScan(r)
Canine Heartworm Rapid Test (Abaxis), and Solo Step(r) CH Canine Heartworm
Antigen Test (Heska), and one microplate ELISA (DiroCHEK(r); Zoetis), using
archived canine sera divided into five subclasses of female worms (0, 1-5, 6-20,
21-40, and >40). The patient-side tests were performed once, side-by-side
according to each manufacturer's protocol by personnel blinded to the D. immitis
status of each dog. The overall Se and Sp of the patient-side kits was >=97.5 and
=94.0%, respectively. For samples from dogs with 1-5, 6-20, and 21-40 D. immitis,
the Se was between 96 and 100%, with a slight increase in Se in dogs with >=41
worms. The agreement between tests for all subclasses of D. immitis burden was
between 99 and 100%. The Se and Sp for the ELISA compared with the necropsy
results of dogs was 99 and 96%, respectively. Agreement between each patient-side
test and the ELISA was between 97 and 100%. All commercially available tests can
give practitioners excellent patient-side information, allowing them to make
informed decisions on the need for additional diagnostic work-up before
instituting new or continuing D. immitis prophylaxis.
PMID- 29657006
TI - Comparison between McMaster and Mini-FLOTAC methods for the enumeration of
Eimeria maxima oocysts in poultry excreta.
AB - Monitoring Eimeria shedding has become more important due to the recent
restrictions to the use of antibiotics within the poultry industry. Therefore,
there is a need for the implementation of more precise and accurate quantitative
diagnostic techniques. The objective of this study was to compare the precision
and accuracy between the Mini-FLOTAC and the McMaster techniques for quantitative
diagnosis of Eimeria maxima oocyst in poultry. Twelve pools of excreta samples of
broiler chickens experimentally infected with E. maxima were analyzed for the
comparison between Mini-FLOTAC and McMaster technique using, the detection limits
(dl) of 23 and 25, respectively. Additionally, six excreta samples were used to
compare the precision of different dl (5, 10, 23, and 46) using the Mini-FLOTAC
technique. For precision comparisons, five technical replicates of each sample
(five replicate slides on one excreta slurry) were read for calculating the mean
oocyst per gram of excreta (OPG) count, standard deviation (SD), coefficient of
variation (CV), and precision of both aforementioned comparisons. To compare
accuracy between the methods (McMaster, and Mini-FLOTAC dl 5 and 23), excreta
from uninfected chickens was spiked with 100, 500, 1,000, 5,000, or 10,000 OPG;
additional samples remained unspiked (negative control). For each spiking level,
three samples were read in triplicate, totaling nine reads per spiking level per
technique. Data were transformed using log10 to obtain normality and homogeneity
of variances. A significant correlation (R = 0.74; p = 0.006) was observed
between the mean OPG of the McMaster dl 25 and the Mini-FLOTAC dl 23. Mean OPG,
CV, SD, and precision were not statistically different between the McMaster dl 25
and Mini-FLOTAC dl 23. Despite the absence of statistical difference (p > 0.05),
Mini-FLOTAC dl 5 showed a numerically lower SD and CV than Mini-FLOTAC dl 23. The
Pearson correlation coefficient revealed significant and positive correlation
among the four dl (p <= 0.05). In the accuracy study, it was observed that the
Mini-FLOTAC dl 5 and 23 were more accurate than the McMaster for 100 OPG, and the
Mini-FLOTAC dl 23 had the highest accuracy for 500 OPG. The McMaster and Mini
FLOTAC dl 23 techniques were more accurate than the Mini-FLOTAC dl 5 for 5,000
OPG, and both dl of the Mini-FLOTAC were less accurate for 10,000 OPG counts than
the McMaster technique. However, the overall accuracy of the Mini-FLOTAC dl 23
was higher than the McMaster and Mini-FLOTAC dl 5 techniques.
PMID- 29657007
TI - Update on flea and tick associated diseases of cats.
AB - There are multiple vector-borne diseases in cats, the most prominent being those
transmitted by mosquitos, sand flies, fleas, and ticks. Many of the agents
vectored by fleas or ticks have been grown or amplified from blood or have
induced antibodies in the serum of normal cats or those with clinical signs like
fever and will be the focus of this manuscript. As high as 80% of fleas collected
from cats contain at least one organism that could induce illness in cats or
people. Anaplasma phagocytophilum, Bartonella spp., Borrelia spp., Ehrlichia
spp., hemoplasmas, and Rickettsia spp. infect cats and can be associated with
clinical illness. Anaplasma phagocytophilum and B. burgdorferi are transmitted by
Ixodes spp., Ehrlichia spp. and A. platys are transmitted by Rhipicephalus
sanguineus. Fleas vector Bartonella spp., hemoplasmas, and R. felis. Recently, R.
typhus was detected in cats in Spain. Lethargy and fever are common findings in
cats with flea and tick borne diseases. Hemoplasmas are associated with hemolytic
anemia. Moderate thrombocytopenia is associated with the tick-vectored agents.
Polymerase chain reaction assays performed on blood collected in the acute phase
of infection can be used to prove presence of the organisms. Doxycycline at 5
mg/kg, PO, twice daily or 10 mg/kg, PO, daily can be effective for resolving the
clinical signs. If doxycycline is ineffective or not tolerated, fluoroquinolones
can be effective for treatment of clinical illness associated with Bartonella
spp., hemoplasmas, and Rickettsia spp. Use of flea and tick control products is
effective for blocking transmission of many of the agents.
PMID- 29657008
TI - Investigations into the host specificity of Theileria taurotragi.
AB - All Theileria parasites have definitive natural hosts that act as carriers.
Incidental infections of uncommon hosts do occur raising questions regarding host
specificity and its drivers. Reported hosts for Theileria taurotragi include
bushbuck, cattle and eland. More recently T. taurotragi was detected in African
buffalo, which may have implications for accurate diagnostics of T. parva. The
current study therefore investigated the host specificity of T. taurotragi by
developing a specific and sensitive real-time Taqman PCR assay. Animals were
screened from areas where Rhipicephalus appendiculatus, the tick vector for both
T. parva and T. taurotragi was present. While T. taurotragi was detected in
cattle, eland, kudu and nyala, African buffalo (n = 352) was negative.
Conversely, these same buffalo showed a prevalence of 72-100% for T. parva. While
transmission of T. taurotragi to cattle was successful using the same infected
tick batch, transmission to African buffalo did not occur. The results suggest
that African buffalo is not a natural host of T. taurotragi and would probably
not harbor anti-schizont antibodies against T. taurotragi. This would preclude T.
taurotragi as possible source of cross-reactivity in the T. parva
immunofluorescent antibody test. Host specificity of T. taurotragi for members of
the Tragelaphini, but not buffalo also suggests that host specificity may have
been an important driver in the speciation of the T. taurotragi clade. Different
scenarios for co-evolution of host and parasite are discussed with implications
for time of divergence for this Theileria clade.
PMID- 29657009
TI - Molecular and biochemical characterization of Taenia solium alpha-enolase.
AB - Enolase (EC 4.2.1.11) acts as a multifunctional enzyme in many organisms, being
involved in metabolism, transcription regulation and pathogenesis. In the current
study, the recombinant alpha-enolase from Taenia solium (His-Tseno) was prepared
and antiserum against His-Tseno was generated in rabbits. Consequently, we
analyzed the enzymatic characteristics, plasminogen binding activity, tissue
localization and expression patterns of Tseno. The study demonstrated that the
enzymatic activity of His-Tseno was enhanced at pH around 7.0-7.5 and affected by
addition of metal ions. Kinetic measurements using 2-phospho-d-glycerate (2-PGA)
substrates gave a specific activity of 60.72 +/- 0.84 U/mg and 1.1 mM of Km2-PGA
value. Plasminogen binding assay showed that His-Tseno could bind to human
plasminogen and generate plasmin activated by a tissue-type plasminogen activator
(t-PA). In addition, the lysine analogue 6-aminocaproic acid (epsilon-ACA) could
inhibit the binding of plasminogen to His-Tseno. Quantitative real-time PCR
confirmed that Tseno was expressed 2.38 folds higher in the adult worms (p <
0.05) than in the cysticerci. Further, an immunolocalization assay indicated that
native Tseno was mainly distributed in the tegument and eggs of gravid proglottis
from adult T. solium. In conclusion, Tseno executes the innate glycolytic
function to supply energy for the growth, egg production, and even invasion of T.
solium.
PMID- 29657010
TI - Anthelmintic resistance: Management of parasite refugia for Haemonchus contortus
through the replacement of resistant with susceptible populations.
AB - Sheep production in tropical and temperate regions is hampered by the presence of
Haemonchus contortus, the blood-sucking nematode that is the major cause of
economic losses in small ruminant enterprises. The most limiting factor in the
control of this parasitic disease is the steady progress of anthelmintic
resistance worldwide. The search for control strategies that minimise the use of
anthelmintics is therefore central to various efforts worldwide. One strategy is
the introduction of susceptible parasites in refugia when these refugia are at
low levels. This strategy could lead to a renewed possibility anthelmintics being
effective. At farm level, this management practice could recover the use of
anthelmintics in flocks with high levels of resistance. This review explores the
possibility of replacing resistant H. contortus populations with susceptible ones
through refugia management and. highlights the experiences of on-farm research
attempts carried out in different geographical areas, reaching various degrees of
success.
PMID- 29657011
TI - Molecular differentiation and species composition of genus Culicoides biting
midges (Diptera: Ceratopogonidae) in different habitats in southern China.
AB - Culicoides biting midges (Diptera:Ceratopogonidae) cause a significant biting
nuisance to humans, livestock, which are the biological vectors of a range of
risky pathogens. Accurate illustration of vector play a key role in arthropod
borne diseases surveillance. However, few studies have focused on the Culicoides,
which caused bluetongue disease in 29 provinces of China since 1979. In this
study, we assessed cytochrome oxidase subunit I (COI) mtDNA molecular marker for
identification of ten major vector species and analyzed the Culicoides species
community and diversity in different habitats. A total of 20,795 Culicoides
samples collected from 11 sample sites were identified as 23 species belonging to
7 subgenera. Sequences of COI gene worked well as barcodes for identifying all
the determined specimen in this study and were comparable with the existing
sequence data from GenBank. We first reported COI barcode sequences of C.
morisitai, C. insignipennis and C. homotomus. Morphological identification of
Culicoides spp. samples within southern China appears relatively robust and some
unidentified species were required further study. Our study shows that the COI
sequence data can be used as a tool to identify species of Culicoides in Jiangxi
Province. In our sampled area, the most abundant species was C. arakawae
(61.89%), followed by C. oxystoma (13.77%), C. punctatus (10.10%), C. nipponensis
(8.82%), C. homotomus (3.19%) and C.morisitai (1.17%) in this study. C. punctatus
was the dominant species of Park habitat (62.22%), C. arakawae was the
predominant species of Chicken habitat (96.66%), Vegetable plot habitat (92.0%),
and Peasant household habitat (83.21%), respectively. C. oxystoma was the
abundant species of Residential area habitat (40.11%), Hospital habitat (56.65%),
and Pig & Cow habitat (48.77%), respectively. Results also show that the
potential Bluetongue virus vectors belong to the Obsoletus and Pulicaris groups,
are also included and relatively abundant, notably: Culicoides punctatus. These
findings expand the current knowledge of Culicoides population composition in the
southern part of China.
PMID- 29657012
TI - Antiprotozoal treatment of canine babesiosis.
AB - Canine babesiosis is a tick-borne disease caused by several Babesia spp. which
have different susceptebility to anti-protozoal drugs. A few drugs and drug
combinations are used in the treatment of canine babesiosis often without
complete parasite elimination leaving treated dogs as carriers which could
relapse with clinical disease and also transmit infection further. Although the
large form canine babesial species Babesia canis, Babesia vogeli and Babesia
rossi are sensitive to the aromatic diamidines imidocarb dipropionate and
diminazene aceturate, small form species such as Babesia gibsoni, Babesia
conradae and Babesia vulpes (Theileria annae) are relatively resistant to these
drugs and are treated with the combination of the hydroxynaphthoquinone
atovaquone and the antibiotic azithromycin. Azithromycin and other antibiotics
that have anti-protozoal properties target the apicoplast, a relict plastid found
in protozoa, and exert a delayed death effect. The triple combination of
clindamycin, diminazene aceturate and imidocarb dipropionate is also effective
against B. gibsoni and used to treat atovaquone-resistant strains of this
species. Novel drugs and the synergistic effects of drug combinations against
Babesia infection should be explored further to find new treatments for canine
babesiosis.
PMID- 29657013
TI - In vitro and in vivo activity of hydrolyzed Saccharomyces cerevisiae against goat
nematodes.
AB - Haemonchus contortus is the most prevalent nematode in tropical and subtropical
regions and is responsible for significant losses in small ruminant production
systems. Control of this parasite is based on the use of synthetic anthelmintics;
although effective, prolonged and indiscriminate use can select for populations
of resistant nematodes. Hydrolyzed yeast can help prevent populations of
nematodes and is low in cost. The present study aimed to evaluate the preventive
effect of hydrolyzed yeast on H. contortus through in vitro and in vivo assays.
In in vitro experiments, the yeast preparation was tested at different stages of
the H. contortus life cycle via tests of egg hatchability, larval development,
larval exsheathment and larval migration. Both eggs and L3 larvae were obtained
from sheep artificially infected with a monospecific strain of H. contortus. In
in vivo experiments, animals were treated with hydrolyzed yeast (53.4 mg/kg/BW),
and fecal egg counts (FECs), feces culture and Famacha were performed. The yeast
preparation inhibited larval exsheathment in a dose-dependent manner (IC95 of
0.46 mg/mL), though no activity at the other stages of the H. contortus life
cycle was observed. The hydrolyzed yeast preparation was able to reduce FECs in
treated animals from D40 to D54 (p < 0.05), showing an average efficiency of 60%.
The yeast exhibited preventive properties, and the IC95 value suggests that H.
contortus is highly susceptible. Our results indicate that administration of
hydrolyzed yeast, which is already used commercially in ruminant nutrition, is an
alternative method for the control of nematodes, mainly H. contortus.
PMID- 29657014
TI - Resistance delaying strategies on UK sheep farms: A cost benefit analysis.
AB - UK guidelines for the sustainable control of parasites in sheep (SCOPS) were
formulated with the primary aim of delaying development of anthelmintic
resistance (AR) on UK sheep farms. Promoting their use requires the engagement
and commitment of stakeholders. An important driver for behavioural change in
sheep farmers is evidence of economic benefits. A recent evaluation of SCOPS
guidance in practice demonstrated a significant reduction in anthelmintic use,
suggesting economic benefits through a direct reduction in product and labour
costs. However, in order to maintain production, a range of alternative control
strategies are advised, resulting in additional costs to farmers and so a full
cost benefit analysis of best practice management was undertaken. We allocated
financial values to the management recommendations described in the SCOPS
technical manual. Benefits were calculated using data for production variables
and anthelmintic use measured during studies to evaluate the effect of SCOPS
recommendations on 16 UK sheep farms and from other published work. As SCOPS
control is not prescriptive and a range of different diagnostics are available,
best and worst case scenarios were presented, comparing the cheapest methods
(e.g. egg counts without larval culture) and management situations (e.g closed
flocks not requiring quarantine treatments) with the most laborious and
expensive. Simulations were run for farms with a small, medium or large flock
(300; 1000; 1900 ewes) as well as comparing scenarios with and without potential
production benefits from using effective wormers. Analysis demonstrated a
moderate cost for all farms under both scenarios when production benefits were
not included. A cost benefit was demonstrated for medium and large farms when
production benefits were included and the benefit could be perceived as
significant in the case of the large farms for the best case scenario (>L5000 per
annum). Despite a significant potential reduction in anthelmintic use by farmers
employing SCOPS guidance, the very low price of the older anthelmintic classes
meant that the benefit did not always outweigh the additional
management/diagnostic costs unless an increase in production was also achieved.
This is an important finding. Focussing research on key innovations that will
improve the cost effectiveness of diagnostic assays in a diagnostic driven
control strategy, as well as designing treatment options that can improve
production outcomes, and presenting them in a clear and transparent way, must be
high priority goals. Coupling targeted research with improvements in the delivery
of messages to the end user is important in the light of increasing global
concerns over drug resistance.
PMID- 29657015
TI - Are recreational areas a risk factor for tick paralysis in urban environments?
AB - In Australia, tick paralysis in dogs (caused by a toxin in the saliva of Ixodes
species during feeding) is a serious, distressing condition, and untreated it is
often fatal. The aim of this study was to quantify the association between
parkland (recreational or natural) in an urban area and the occurrence of canine
tick paralysis. Brisbane, as a large urban centre located within the zone of
paralysis tick habitat along the east coast of Australia, was selected as the
study area. Postcodes selected for inclusion were those defined as being of an
urban character (Australian Bureau of Statistics). The number of natural and
recreational parkland polygons and total land area per postcode were derived.
Tick paralysis case data for the selected postcodes were extracted from a
national companion animal disease surveillance database. Between October 2010 and
January 2017, 1650 cases of tick paralysis in dogs were reported and included in
this study. Significant correlations were found between the number of reported
cases per postcode and parklands: natural counts, 0.584 (P < 0.0001); natural
area, 0.293 (P = 0.0075); recreational counts, 0.297 (P = 0.0151); and
recreational area, 0.241 (P = 0.0286). Four disease clusters were also detected
within the study area. All of these were located on the edges of the study area -
either coastal or on the urban fringe; no clusters were identified within the
core urban zone of the study area. Of the disease cases included in this study,
strong seasonality was evidence: 68% of all cases were identified in spring.
Within urban environments, areas of natural vegetation in particular appear to
pose a risk for tick paralysis in dogs. This evidence can be used by
veterinarians and dog owners to reduce the impact of tick paralysis by raising
awareness of risk areas so as to enhance prevention via chemoprophylaxis and
targeted searches of pet dogs for attached ticks.
PMID- 29657016
TI - A behavioral evaluation of the effects of ingestion of Linguatula serrata nymphs
in rats.
AB - Nasopharyngeal linguatuliasis known as Halzoun or Marrara syndrome occurs
following ingestion of raw or undercooked viscera, including lymph nodes, liver
and lung of infected animals. The present study was aimed to investigate the
behavioral changes induced by ingestion of Linguatula serrata nymphs in rats. For
this purpose, 24 rats were divided into four groups and orally ingested with 0
(control), 15, 30 and 60 L. serrata nymphs, respectively. Sneezing, mouth and
tongue movements and mouth opening numbers were counted and the duration of mouth
and nose grooming was measured at 10-min blocks for 30 min. Ingestion of 0
(normal saline) number of nymph produced negligible behaviors, whereas 15, 30 and
60 numbers of nymphs increased the above-mentioned behaviors when compared to
normal saline (0 nymph) group. In this context, 60 number of nymphs produced more
behavioral changes than 15 nymphs. We concluded that ingestion of L. serrata
nymphs can produce behavioral changes in orofacial area in rats.
PMID- 29657017
TI - Assessment of the antigenic and neuroprotective activity of the subunit anti
Toxoplasma vaccine in T. gondii experimentally infected mice.
AB - The aim of this study was to evaluate the immunogenic and immunoprotective
activities and to determine the neuroprotective capacity of the tetravalent
vaccine containing selected recombinant T. gondii antigens (ROP2 + ROP4 + SAG1 +
MAG1) administered with safe adjuvants (MPL and alum) using male and female
inbred mice. The tested antigenic combination provided partial protection against
brain cyst formation, especially in males (reduction in cyst burden by 72%). The
decrease in cyst burden was observed for the whole brain as well as for specified
brain regions associated with natural defensive behaviors, emotion processing and
integration of motor and sensory stimuli. The vaccine triggered a strong,
specific immune response, regardless of sex, which was characterized by the
antigen-specific in vitro synthesis of cytokines (IL-2, IFN-gamma and IL-10) and
in vivo production of systemic IgG1 and IgG2a immunoglobulins. Immunization prior
to the parasite challenge seemed to influence T. gondii - associated behavioral
and neurochemical changes, although the impact of vaccination strongly depended
on sex and time post-infection. Interestingly, in the vaccinated and T. gondii
infected mice there was a significant delay in the parasite-induced loss of
aversion toward cat smell (cats are the definitive hosts of the parasite). The
regained attraction toward feline scent in vaccinated males, observed during
chronic parasite invasion, correlated with the increase in the dopamine
metabolism.
PMID- 29657018
TI - Wolbachia, doxycycline and macrocyclic lactones: New prospects in the treatment
of canine heartworm disease.
AB - Melarsomine dihydrochloride (Immiticide(r), Merial) is the only approved
adulticidal drug for the treatment of canine heartworm disease (HWD). However, in
cases where arsenical therapy is not possible or is contraindicated, a monthly
heartworm preventive along with doxycycline for a 4-week period, which targets
the bacterial endosymbiont Wolbachia, might be considered. There are published
reports on the efficacy of ivermectin and doxycycline in both experimentally and
naturally infected dogs, but no data on the use of other macrocyclic lactones
(MLs) with a similar treatment regime. Preliminary results of studies in dogs
show that a topical formulation of moxidectin, the only ML currently registered
as a microfilaricide, is also adulticidal when combined with doxycycline. It is
not yet known if the efficacy of these combination therapies is due to
pharmacokinetic synergism. A recent study showed that serum levels of doxycycline
in dogs treated with the combination protocol were not statistically different
compared to dogs treated with doxycycline alone. However, lungs from dogs treated
with the combination therapy showed a marked reduction in T regulatory cells,
indicating that treatment efficacy may be due to a heightened immune response
against the parasite. Further studies are necessary to evaluate the long-term
clinical outcome of combination protocols and to establish the most efficient
treatment for HWD in dogs.
PMID- 29657019
TI - Therapeutic vaccine of killed Leishmania amazonensis plus saponin reduced
parasite burden in dogs naturally infected with Leishmania infantum.
AB - A key goal in the control of canine visceral leishmaniosis (CVL) has been the
development of vaccines with a highly protective capability to interrupt the
parasite transmission cycle. However, in addition to promising vaccine searches,
researchers have sought to develop new drugs capable of eliminating parasites in
humans and dogs. With that in mind, this study analyzed an immunotherapeutic
approach in dogs naturally infected with Leishmania infantum. Fourteen dogs were
divided into two groups and received a protocol of immunotherapeutic treatment
with five doses of total antigens of Leishmania amazonensis or total antigens of
L. amazonensis plus saponin (LaSap). All the animals were evaluated before and 90
and 180 days after treatment, hematology, liver and renal biochemical analyzes,
serology, lymphoproliferation, and parasite load by qPCR. The results of
immunotherapy with the LaSap vaccine were promising since it was able to preserve
hematological and biochemical parameters, as well as improve the clinical status,
reduce serum levels of IgG, induce a lymphoproliferative capacity against soluble
antigens of L. infantum, and provide a marked reduction in the parasite load
after LaSap immunotherapeutic treatment. The immunotherapy data demonstrated that
LaSap offered the best formulation to induce clinical cure associated with a
parasite load reduction in the skin. However, after 180 days of treatment, the
animals again showed a slight increase in parasitism, indicating that
immunotherapy does not promote sterilizing cure and a new immunotherapeutic
intervention would be necessary to maintain low parasitism in dogs.
PMID- 29657020
TI - Helicobacter pylori infection aggravates diet-induced nonalcoholic fatty liver in
mice.
AB - BACKGROUND: Previous epidemiological studies have suggested a link between
Helicobacter pylori (H. pylori) infection and nonalcoholic fatty liver disease
(NAFLD), yet animal studies are lacking to elucidate this association. In this
study, we evaluated the potential effects of H. pylori infection on NAFLD in
mice. METHODS: We first established two strains of H. pylori infected mice model
with either chow diet or high fat diet (HFD). The body and liver weight, blood
glucose, serum transaminases and lipid levels and markers of hepatic inflammation
were measured. Histological analyses were also performed on liver tissue.
Expressions of fat synthesis genes as well as insulin signaling proteins were
also determined. RESULTS: After 24 weeks of treatment, the abdominal
circumference, fasting blood glucose, low-density cholesterol and alanine
transaminase were significantly increased in HFD feeding mice infected with H.
pylori SS1 compared to HFD controls. Moreover, HFD fed mice infected with H.
pylori SS1 showed significantly more liver steatosis. H. pylori SS1 infection
inhibited phosphorylation of IRS1 and Akt and trended to increase the expression
of IL-1beta and TNF-alpha in the liver. CONCLUSION: H. pylori infection is
associated with NAFLD in C57BL/6 mice which depends on the bacterial strain and
diet structure. The infection of H. pylori SS1 instead of NCTC11637 in
combination with HFD induced more severe liver steatosis. H. pylori infection may
play a role in NAFLD development and further studies are needed to determine
whether H. pylori eradication can improve NAFLD risk.
PMID- 29657021
TI - Corrigendum to "HDL-C, triglycerides and carotid IMT: A meta-analysis of 21,000
patients with automated edge detection IMT measurement" [Atherosclerosis 232
(2014) 65-71].
PMID- 29657022
TI - Female epispadias: Single-stage approach - A technique to achieve continence.
AB - This video provides a case report of a 3 year old girl with epispadia and the
highlights of the surgery. A cystoscopic guided bladder neck plication was
performed to achieve continence. Key points include: (1) Skin incision planning;
(2) Cutaneous flap liberation to create a new urethra; (3) Complete bladder neck
release to allow a controlled plication; (4) Use of cystoscopy to achieve the
ideal bladder neck closure; (5) Bladder neck manipulation to achieve continence.
PMID- 29657023
TI - Evolutionary Origins of the Differences in Osteoporosis Risk in US Populations.
AB - Over the past 50 years, it has been increasingly evident that there are
population differences in bone mass and the risk of osteoporosis. In the United
States, many studies have reported a lower prevalence of osteoporosis in African
Americans compared with people of European descent. If we trace the trajectory of
changes in lifeways from the earliest migrations of early Homo out of Africa over
the past two million years or so, to include lower vitamin D levels in higher
latitudes; more meat in the diet; increasing sedentism; and a longer
lifespan/longer postmenopausal period, it is not surprising that osteoporosis
occurs more frequently in populations of European descent. While many scholars
have explored the apparent "paradox" of higher bone mass, lower vitamin D levels,
and higher parathyroid hormone levels among African Americans, this brief review
of evolutionary shifts that affected our species may change the approach to
understanding the current population differences in the United States.
PMID- 29657024
TI - Vitamin D and Trabecular Bone Score in a Group of Young Lebanese Adults.
AB - The relationship between vitamin D and trabecular bone score (TBS) in young
adults remains unclear. The aim of this study was to explore the relationship
between 25-hydroxyvitamin D [25(OH)D] serum levels and TBS in a healthy adult
population. A total of 54 men and 61 women whose ages range from 18 to 35
participated in the present study. Participants with 25(OH)D insufficiency
(between 21 and 29 ng/mL) were 55.7%, and those with 25(OH)D deficiency (<=20
ng/mL) were 11.4%. TBS positively correlated with 25(OH)D in men (r = 0.393; p
<0.05) and women (r = 0.324; p < 0.05). In both genders, TBS was significantly
higher in 25(OH)D-sufficient participants (>=30 ng/mL). The present study
provides evidence that vitamin D positively affects bone health and suggests that
maintaining adequate vitamin D status may be essential for optimal TBS values.
PMID- 29657025
TI - Physical Fitness, Adiposity, and Diets as Surrogate Measures of Bone Health in
Schoolchildren: A Biochemical and Cross-Sectional Survey Analysis.
AB - This study aimed to investigate the associations between adiposity, muscular
fitness (MF), diet, sun exposure, and physical activity profiles as surrogate
measures with bone health status in a sample of schoolchildren aged 8-18 yr old.
A total of 250 Egyptian schoolchildren aged 8-18 yr were randomly invited to
participate in these cross-sectional survey analyses. Calcaneal broadband
ultrasound attenuation (c-BUA), bone mineral density (BMD), and bone formation
markers (total calcium, serum bone alkaline phosphatase, and osteocalcin) were
measured as markers of bone health. Adiposity profile, MF, physical activity
(PA), sun exposure, Ca, and vitamin D dietary intake as related cofactors of bone
health were measured by using prevalidated questionnaires and standard analytical
techniques. A total of 85% (n = 213) of the study population showed normal bone
health and 14.8% (n = 37) had abnormal bone health; most of them are girls
(67.6%) classified according to BMD and c-BUA Z-scores into osteopenia (9.6%) and
osteoporosis (5.2%). Compared with boys, higher correlations between c-BUA, bone
mineral content, and BMD measures in the femoral neck, lumbar spine, whole body,
and bone markers were reported in girls with lower bone mass. There was a
positive significant correlation between body mass index, adiposity, sun
exposure, MF, PA status, Ca and vitamin D intake, and c-BUA and BMD score
analyses. These parameters were shown to be associated with about ~57.3%-88.4% of
bone health characteristics of children and adolescents with osteopenia and
osteoporosis. In children and adolescents, sun exposure, Ca and vitamin D diets,
adiposity, PA, and changes in the levels of Ca, osteocalcin, and serum bone
alkaline phosphatase were shown to be associated with bone health. Also, a
significant correlation was reported between c-BUA score, dual-energy X-ray
absorptiometry-BMD measures, and bone markers at clinically important bone sites
of girls and boys. However, further clinical trials should be studied to consider
c-BUA and bone markers as the benchmark estimates of bone mass for diagnostic
purposes in young ages.
PMID- 29657026
TI - Muscular Maximal Strength Indices and Bone Variables in a Group of Elderly Women.
AB - The aim of the present study was to explore the relations between muscular
maximal strength indices and bone parameters (bone mineral density [BMD], hip
geometry indices, and trabecular bone score [TBS]) in a group of elderly women.
This study included 35 healthy elderly women whose ages range between 65 and 75
yr (68.1 +/- 3.1 yr). BMD (in gram per square centimeter) was determined for each
individual by dual-energy X-ray absorptiometry at the whole body, lumbar spine
(L1-L4), total hip (TH), and femoral neck (FN). L1-L4 TBS and hip geometry
indices were also evaluated by dual-energy X-ray absorptiometry. Maximal muscle
strength of bench press (1-repetition maximum [RM] bench press), maximal muscle
strength of leg press (1-RM leg press), and handgrip were measured using
validated methods. 1-RM bench press was positively correlated to TH BMD (r =
0.40; p < 0.05), FN BMD (r = 0.41; p < 0.05), FN section modulus (r = 0.33; p <
0.05), and FN cross-sectional moment of inertia (r = 0.35; p < 0.05). 1-RM leg
press was positively correlated to TH BMD (r = 0.50; p < 0.01), FN BMD (r = 0.35;
p < 0.05), FN cross-sectional area (r = 0.38; p < 0.05), and TBS (r = 0.37; p <
0.05). Handgrip was correlated only to FN cross-sectional moment of inertia (r =
0.43; p < 0.01). This study suggests that 1-RM bench press and 1-RM leg press are
positive determinants of BMD in elderly women.
PMID- 29657027
TI - February 2018 (vol. 218, no. 2, page 244).
PMID- 29657028
TI - Monosodium urate crystals induced ICAM-1 expression and cell-cell adhesion in
renal mesangial cells: Implications for the pathogenesis of gouty nephropathy.
AB - BACKGROUND: Renal disease is prevalent in gouty patients and monosodium urate
(MSU) crystal deposition in the kidney can be detected in some gouty nephropathy
patients. MSU crystals can induce inflammatory events, we investigated the MSU
induced expression of intercellular adhesion molecule (ICAM)-1 on human renal
mesangial cells (HRMCs) and the involved signal transduction mechanisms. METHODS:
The HRMCs cell line was purchased from ScienCell Research Laboratories. MSU
crystals were made by dissolving uric acid in sodium hydroxide (NaOH) solution.
The involvement of MAPKs, apoptosis-associated speck-like protein containing a
CARD domain (ASC), and Toll-like receptor (TLR) was investigated using
pharmacological inhibitors, transfection with short hairpin RNA (shRNA), or
monoclonal antibodies. Protein expression was evaluated by Western blotting. The
functional activity of ICAM-1 was evaluated with cell-cell adhesion assay and
immunofluorescence analysis. RESULTS: MSU stimulation increased expression of
ICAM-1 and adhesion between HRMCs and human monocytic THP-1 cells. The
interaction between HRMCs and THP-1 was suppressed by ICAM-1 neutralizing
antibodies. MSU stimulation induced activation of mitogen-activated protein
kinases, including c-Jun N-terminal kinase (JNK), p38, and extracellular signal
regulated kinase (ERK), but only p38 was responsible for MSU-induced expression
of ICAM-1 and cell-cell adhesion. ASC also play a role in MSU-induced effects.
Pretreatment with monoclonal antibodies against toll-like receptor (TLR)2 or TLR4
reduced MSU-induced ICAM-1 expression, cell-cell adhesion, p38 phosphorylation
but the reduction of ASC activation is insignificant. CONCLUSION: The MSU induced
ICAM-1 expression on HRMCs and cell-cell adhesion involved TLR2/4-p38-ICAM1
pathway and TLR2/4 independent ASC-p38-ICAM1 axis. These findings might partly
explain the mechanisms underlying gouty nephropathy.
PMID- 29657029
TI - The Hormone FGF21 Stimulates Water Drinking in Response to Ketogenic Diet and
Alcohol.
AB - Alcohol and ketogenic diets increase water consumption. Here, we show that the
hormone FGF21 is required for this drinking response in mice. Circulating levels
of FGF21 are increased by alcohol consumption in humans and by both alcohol and
ketogenic diets in mice. Pharmacologic administration of FGF21 stimulates water
drinking behavior in mice within 2 hr. Concordantly, mice lacking FGF21 fail to
increase water intake in response to either alcohol or a ketogenic diet. The
effect of FGF21 on drinking is mediated in part by SIM1-positive neurons of the
hypothalamus and is inhibited by beta-adrenergic receptor antagonists. Given that
FGF21 also is known to suppress alcohol intake in favor of pure water, this work
identifies FGF21 as a fundamental neurotropic hormone that governs water balance
in response to specific nutrient stresses that can cause dehydration.
PMID- 29657030
TI - Rewiring of Glutamine Metabolism Is a Bioenergetic Adaptation of Human Cells with
Mitochondrial DNA Mutations.
AB - Using molecular, biochemical, and untargeted stable isotope tracing approaches,
we identify a previously unappreciated glutamine-derived alpha-ketoglutarate
(alphaKG) energy-generating anaplerotic flux to be critical in mitochondrial DNA
(mtDNA) mutant cells that harbor human disease-associated oxidative
phosphorylation defects. Stimulating this flux with alphaKG supplementation
enables the survival of diverse mtDNA mutant cells under otherwise lethal
obligatory oxidative conditions. Strikingly, we demonstrate that when residual
mitochondrial respiration in mtDNA mutant cells exceeds 45% of control levels,
alphaKG oxidative flux prevails over reductive carboxylation. Furthermore, in a
mouse model of mitochondrial myopathy, we show that increased oxidative alphaKG
flux in muscle arises from enhanced alanine synthesis and release into blood,
concomitant with accelerated amino acid catabolism from protein breakdown.
Importantly, in this mouse model of mitochondriopathy, muscle amino acid
imbalance is normalized by alphaKG supplementation. Taken together, our findings
provide a rationale for alphaKG supplementation as a therapeutic strategy for
mitochondrial myopathies.
PMID- 29657033
TI - What to do when patients having a high risk of new-onset atrial fibrillation are
identified?
PMID- 29657032
TI - Referral for cardiac rehabilitation after acute myocardial infarction: Insights
from nationwide AMIS Plus registry 2005-2017.
AB - BACKGROUND: Referral rates for cardiac rehabilitation (CR) after an acute
myocardial infarction (AMI) are low despite a Class I recommendation in the
present guidelines. Therefore, we aimed to identify predictors for referral and
patient characteristics from the national Swiss AMIS Plus registry. DESIGN AND
METHODS: Data were extracted from the Swiss AMIS Plus registry between 2005 and
2017, which included patients with ST-elevation myocardial infarction (STEMI) and
Non-ST-elevation myocardial infarction (NSTEMI). For 32,416 patient (93.2%) data
about destination at discharge were available with 10,940 (33.7%) having a
recommendation for CR while 12,282 (37.9%) went home. 9194 (28.4%) were
transferred to another hospital after index hospitalisation and were excluded.
RESULTS: Patients referred to CR were younger (62.6 vs. 68.2 years) and had a
higher prevalence of obesity (22.0% vs. 20.4%). Except for smoking (44.0% vs
34.9%), they had less risk factors such as dyslipidemia (55.0% vs. 60.1%),
hypertension (55.6% vs. 65.3%) and diabetes (16.7% vs. 21.5%). Patients with in
hospital complications were more likely being referred for CR. Furthermore, STEMI
(OR 1.61; CI 1.52-1.71), performed PCI (OR 2.65; CI 2.42-2.90) and Killip class
>2 (OR 1.58; CI 1.36-1.84) favoured referral for CR, while age > 65 years,
previous myocardial infarction, cerebrovascular disease or peripheral artery
disease had a negative impact on referral for CR. CONCLUSIONS: Our data from
23,222 patients after AMI demonstrate that in Switzerland patients referred for
CR are younger, more obese with more STEMI. In-hospital complications were strong
predictors for CR recommendation. Unlike anticipated, other risk factors were
less present in CR patients.
PMID- 29657031
TI - Warming Induces Significant Reprogramming of Beige, but Not Brown, Adipocyte
Cellular Identity.
AB - Beige and brown adipocytes generate heat in response to reductions in ambient
temperature. When warmed, both beige and brown adipocytes exhibit morphological
"whitening," but it is unknown whether or to what extent this represents a true
shift in cellular identity. Using cell-type-specific profiling in vivo, we
uncover a unique paradigm of temperature-dependent epigenomic plasticity of
beige, but not brown, adipocytes, with conversion from a brown to a white
chromatin state. Despite this profound shift in cellular identity, warm whitened
beige adipocytes retain an epigenomic memory of prior cold exposure defined by an
array of poised enhancers that prime thermogenic genes for rapid response during
a second bout of cold exposure. We further show that a transcriptional cascade
involving glucocorticoid receptor and Zfp423 can drive warm-induced whitening of
beige adipocytes. These studies identify the epigenomic and transcriptional bases
of an extraordinary example of cellular plasticity in response to environmental
signals.
PMID- 29657034
TI - Cost comparison across heart failure patients with reduced and preserved ejection
fractions: Analyses of inpatient decompensated heart failure admissions.
AB - BACKGROUND: Heart failure (HF) is the leading cause of inpatient admissions in
the US for adults aged over 65 years and accounts for more than $17 billion in
Medicare expenditures annually. There are limited published data on factors
influencing expenditure and the relationship between cost and hospital length of
stay. We sought to describe institutional costs of HF hospitalization, as well as
demographic and clinical predictors of higher hospitalization costs in an
academic hospital setting. METHODS AND RESULTS: Demographic and clinical
information was collected retrospectively for 564 unique consecutive patients
with a decompensated HF admission during 2010-2013. Forty-six percent had a
baseline LVEF >40%, categorized as HF with preserved ejection fraction (HFpEF).
Forty-three percent were female and the mean age was 71 years. Patients with
reduced ejection fraction (HFrEF) were predominantly male, younger and had a
lower burden of baseline comorbidities than HFpEF patients. Length of stay was
longer for HFrEF (median 4 days) than HFpEF (median 3 days, p = 0.01). Mean total
hospitalization cost was $9521. Mean costs trended higher for HFrEF patients than
for HFpEF patients ($10,286 versus $8858, p = 0.07). Room and board contributed
more than half of all costs. CONCLUSIONS: In this single-center study, we
observed a trend towards higher HF hospitalization costs for patients with HFrEF,
compared to HFpEF, even though patients with HFpEF are older and had more
comorbid conditions. Costs were largely driven by length of stay, with higher
heart rate at admission, lower systolic blood pressure, and higher creatinine
associated with higher inpatient costs.
PMID- 29657035
TI - Cardiac resynchronization therapy: How did consensus guidelines from Europe and
the United States evolve in the last 15 years?
AB - Cardiac resynchronization therapy (CRT) was proposed around 20 years ago, and its
clinical use rapidly moved from pioneering experiences to randomized controlled
trials (RCT). Since 2002 recommendations for CRT have been included in
international consensus guidelines that even in an early phase recommended CRT as
an effective treatment for improving symptoms, reducing hospitalizations and
mortality in well-selected patients with wide QRS, left ventricular dysfunction
and moderate to severe heart failure (NYHA classes III-IV), on optimal medical
therapy. Subsequently the indications were extended to mild (NYHA class II) heart
failure (associated with left ventricular dysfunction and wide QRS) and more
recently also to appropriately selected patients with conventional indications
for pacing having a left ventricular ejection fraction of 50% or less and NYHA
class I-III. While all the guidelines strongly recommend CRT in case of LBBB with
QRS duration >150 ms, lower strength of recommendations, with some heterogeneity,
appears when QRS duration is 130-150 ms, especially if not associated with LBBB.
Of note, according to recent guidelines, CRT is not recommended in case of QRS
duration <130 ms, which is now the lower limit for candidacy to CRT, differently
from the 120 ms limit used before. Despite consensus guidelines, many data
indicate that CRT is still underused, with great heterogeneity in its
implementation, both in North America and Europe, thus requiring a more organized
patient referral.
PMID- 29657036
TI - Serum irisin levels are associated with adverse cardiovascular outcomes in
patients with acute myocardial infarction.
AB - Irisin, a recently identified myokine, regulates mitochondrial function and
energy expenditure. The concentration of irisin is significantly altered after ST
elevation myocardial infarction (STEMI). We hypothesized that serum irisin
concentration is associated with adverse cardiovascular outcomes after myocardial
infarction. Serum irisin concentrations were measured using enzyme-linked
immunosorbent assay (ELISA) in 399 patients 28d after the onset of STEMI in a
prospective single-center cohort study. We assessed the association between
irisin concentrations and adverse cardiovascular events during a 3-year follow
up. The excess risks of cardiovascular mortality, stroke, heart failure, and
revascularization were predominantly seen among those with the highest
concentrations of irisin, with concentrations higher than 75th percentile of the
overall distribution had a ~4-fold increase in risk (hazard ratio=3.96, 95%
confidence interval 1.55 to 10.11, P<0.01). Our findings showed that serum
concentrations of irisin are elevated in post-STEMI patients with increased risk
for adverse cardiovascular events. Novel therapies targeting irisin may represent
a new direction in the treatment of STEMI.
PMID- 29657037
TI - Cardiac resynchronization therapy consensus guidelines: Evolution or revolution?
PMID- 29657038
TI - Effect of exercise training on exercise capacity and quality of life in patients
with heart failure.
PMID- 29657039
TI - Should the distal tears of aortic dissection be treated? The risk of distal tears
after proximal repair of aortic dissection.
AB - BACKGROUND: Patients with distal residual after proximal repair of aortic
dissection (AD) have shown unsatisfactory long-term prognosis. However, possible
mechanisms and risk factors for distal aortic segmental enlargement (DSAE) have
been poorly understood. METHODS: We analyzed 962 AD patients repaired to the
descending aorta between 1999 and 2014. Aortic morphological characteristics of
419 patients (including 75 DSAE and 344 non-DSAE patients) were investigated and
compared. Potential risk factors associated with DSAE were explored using
logistic regression analysis or natural logarithmic transformation. Logistic
multi regress equations were performed to identify independent risk factors.
RESULTS: Independent risk factors of DSAE are listed as follow: more tears in the
thoracic descending aorta (odds ratio [OR], 1.65; 95% confidence interval
[CI],1.24 to 2.19; P = .0005); fewer tears in the infra-renal abdominal aorta
(OR, 3.00; 95% CI,2.04 to 4.55; P < .0001); closer distance of the first intimal
tear to the left subclavian artery (OR, 1.51; 95% CI,1.28 to 1.69; P < .0001);
larger average distance between tears (OR, 11.81; 95% CI,3.39 to 41.08; P =
.0001); larger maximum distance between two tears (OR, 1.79; 95% CI,1.48 to 2.16;
P < .0001), and larger area of remained tears (OR, 1.56; 95% CI, 1.38 to 1.76; P
< .0001). CONCLUSIONS: The location and size of remained tears are the key risk
factors of DSAE patients. Long-segment aortic repair and aggressive exclusion of
all distal tears located on the thoracic descending aorta in their initial
therapy will be an optimal strategy.
PMID- 29657040
TI - Left ventricular volume and wall stress are linked to lung function impairment in
COPD.
AB - BACKGROUND: Cardiovascular comorbidities are common in chronic obstructive
pulmonary disease (COPD). We examined the association between airflow limitation,
hyperinflation and the left ventricle (LV). METHODS: Patients from the COPD
cohort COSYCONET underwent evaluations including forced expiratory volume in 1 s
(FEV1), forced vital capacity (FVC), effective airway resistance (Reff),
intrathoracic gas volume (ITGV), and echocardiographic LV end-diastolic volume
(LVEDV), stroke volume (LVSV), end-systolic volume (LVESV), and end-diastolic and
end-systolic LV wall stress. Data from Visit 1 (baseline) and Visit 3 (18 months
later) were used. In addition to comparisons of both visits, multivariate
regression analysis was conducted, followed by structural equation modelling
(SEM) with latent variables "Lung" and "Left heart". RESULTS: A total of 641
participants were included in this analysis. From Visit 1 to Visit 3, there were
significant declines in FEV1 and FEV1/FVC, and increases in Reff, ITGV and LV end
diastolic wall stress, and a borderline significant decrease in LV mass. There
were significant correlations of: FEV1% predicted with LVEDV and LVSV; Reff with
LVSV; and ITGV with LV mass and LV end-diastolic wall stress. The SEM fitted the
data of both visits well (comparative fit index: 0.978, 0.962), with strong
correlation between "Lung" and "Left heart". CONCLUSIONS: We demonstrated a
relationship between lung function impairment and LV wall stress in patients with
COPD. This supports the hypothesis that LV impairment in COPD could be initiated
or promoted, at least partly, by mechanical factors exerted by the lung disorder.
PMID- 29657041
TI - The rule is: There are no rules.
PMID- 29657042
TI - COPD - You'll never walk alone.
PMID- 29657044
TI - Endothelial dysfunction as a common soil of lower urinary tract symptoms and
cardiovascular disease.
PMID- 29657043
TI - Endothelial dysfunction, abnormal vascular structure and lower urinary tract
symptoms in men and women.
AB - BACKGROUND: Lower urinary tract symptoms (LUTS) is not only common symptoms in
elderly men and women but also risk of future cardiovascular events. The purpose
of this study was to evaluate the relationships of vascular function and
structure with LUTS in men and women. METHODS: We investigated flow-mediated
vasodilation (FMD) and nitroglycerine-induced vasodilation (NID) as vascular
function, brachial-ankle pulse wave velocity (baPWV) as vascular structure, and
LUTS assessed by International Prostate Symptom Score (IPSS) in 287 men and 147
women. RESULTS: IPSS was significantly correlated with traditional cardiovascular
risk factors, Framingham risk score, FMD, NID and baPWV. Moderate to severe LUTS
was associated with the prevalence of coronary heart disease in men but not in
women. In men, FMD and NID were significantly lower in the moderate to severe
LUTS group than in the none to mild LUTS group (2.1 +/- 2.0% vs. 4.0 +/- 3.0% and
9.3 +/- 6.1% vs. 12.8 +/- 6.6%, P < 0.001, respectively). baPWV was significantly
higher in the moderate to severe LUTS group than in the none to mild LUTS group
(1722 +/- 386 cm/s vs. 1509 +/- 309 cm/s, P < 0.001). In multivariate analysis,
FMD was independently associated with a decrease in the odds ratio of moderate to
severe LUTS in men (OR: 0.83, 95% CI, 0.72-0.95; P = 0.008) but not in women. NID
and baPWV were not independently associated with moderate to severe LUTS either
in men or women. CONCLUSIONS: These findings suggest that endothelial dysfunction
is associated with LUTS in men. LUTS in men may be useful for a predictor of
cardiovascular events. CLINICAL TRIAL REGISTRATION INFORMATION: URL for Clinical
Trial: http://UMIN; Registration Number for Clinical Trial: UMIN000003409.
PMID- 29657045
TI - The relationship between left ventricular structure and function in the elite
rugby football league athlete as determined by conventional echocardiography and
myocardial strain imaging.
AB - AIMS: The aims of this study were to establish the left ventricular (LV)
phenotype in rugby football league (RFL) athletes and to mathematically model the
association between LV size, strain (E) and ejection fraction (EF). METHODS AND
RESULTS: 139 male athletes underwent echocardiographic LV evaluation including E
imaging. Non-athletic males were used for comparison. All absolute and scaled
structural indices were significantly larger (P < 0.05) in athletes with a
predominance for normal LV geometry. EF and global E were similar between groups
but strain rates (SR) were significantly lower (P < 0.05) in athletes. Lower
apical rotation (P < 0.001) and twist (P = 0.010) were exhibited in athletes.
CONCLUSION: Normal EF is explained by divergent effects of LV internal diastolic
dimension (LVIDd) and mean wall thickness (MWT) on LV function. Reductions in SR
and twist may be part of normal physiological LV adaptation in RFL athletes.
PMID- 29657046
TI - Impact of cardiac hybrid imaging-guided patient management on clinical long-term
outcome.
AB - BACKGROUND: Although randomized trials have provided evidence for invasive
fractional flow reserve to guide revascularization, evidence for non-invasive
imaging is less well established. The present study investigated whether hybrid
coronary computed tomography (CCTA)/single photon emission computed tomography
(SPECT) myocardial perfusion imaging (MPI) can identify patients who benefit from
early revascularization compared to medical therapy. METHODS: This retrospective
study consists of 414 patients referred for evaluation of known or suspected
coronary artery disease (CAD) with CCTA/SPECT hybrid imaging. CCTA categorized
patients into no CAD, non-high-risk CAD and high-risk CAD. In patients with CAD
(n = 329), a matched finding (n = 75) was defined as a reversible perfusion
defect in a territory subtended by a coronary artery with CAD. All other
combinations of pathologic findings were classified as unmatched (n = 254).
Death, myocardial infarction, unstable angina requiring hospitalization, and late
coronary revascularization were defined as major adverse cardiac events (MACE).
Cox hazards models included covariates age, male gender, more than two risk
factors, previous CABG, high-risk CAD and early revascularization. RESULTS:
During median follow-up of 6.0 years, 112 patients experienced a MACE (27%).
Early revascularization (n = 50) was independently associated with improved
outcome among patients with a matched finding (p < 0.001). There was no benefit
among patients with an unmatched finding (p = 0.787), irrespective of presence (p
= 0.505) or absence of high-risk CAD (p = 0.631). CONCLUSIONS: Early
revascularization is associated with an outcome benefit in CAD patients with a
matched finding documented by cardiac hybrid imaging while no benefit of
revascularization was observed in patients with an unmatched finding.
PMID- 29657047
TI - Is there cardiac safety for the elite athletes?
PMID- 29657048
TI - Cardiac hybrid imaging for the management of patients with known or suspected
coronary artery disease.
PMID- 29657049
TI - Prognostic implication of thermodilution coronary flow reserve in patients with
indeterminate pressure-bounded coronary flow reserve.
AB - BACKGROUND: Recently, the concept of pressure-bounded coronary flow reserve (PB
CFR) has been introduced. However, using pressure-only data, a substantial
proportion of patients could not be classified into high or low PB-CFR and
remained as indeterminate PB-CFR. The current study evaluated the prognostic
implication of thermodilution-based CFR (thermo-CFR) in patients with
indeterminate PB-CFR. METHODS: Among 199 patients (211 lesions) with
indeterminate PB-CFR, 170 patients (179 lesions) with deferral of
revascularization were analyzed for the current study. The rates of patient
oriented composite outcomes (POCO, a composite of all-cause mortality, any
myocardial infarction, and any ischemia-driven revascularization) were compared
according to thermo-CFR. All patients underwent fractional flow reserve (FFR) and
thermo-CFR measurements. Thermo-CFR <= 2.0 was classified as low thermo-CFR. The
median follow-up duration was 1350.0 (Q1-Q3 1252.0-1468.0) days. RESULTS: Mean
angiographic percent diameter stenosis, FFR, and thermo-CFR were 42.3 +/- 13.9,
0.84 +/- 0.06, and 3.10 +/- 1.15, respectively. Among 170 patients, 36 patients
(21.2%) showed low thermo-CFR. Patients with low thermo-CFR showed significantly
higher rate of POCO compared to those with high thermo-CFR (30.6% vs. 3.0%, HR
12.117, 95% CI 3.854-38.091, p < 0.001). Adding thermo-CFR to a prediction model
with FFR significantly increased discrimination and reclassification index for
the risk of POCO (c-index 0.545 vs. 0.766, p = 0.002, category-free net
reclassification index 1.169, p < 0.001, relative integrated discrimination index
31.828, p < 0.001). CONCLUSIONS: Patients with low thermo-CFR showed a
significantly higher risk of POCO compared to those with high thermo-CFR among
patients with indeterminate PB-CFR. Thermo-CFR showed additional prognostic
implication, in addition to FFR, in patients with indeterminate PB-CFR.
PMID- 29657050
TI - Cardiac rehabilitation after acute myocardial infarction: Still insufficient
referral!....
PMID- 29657051
TI - Scoring atherosclerosis in the search of evidence-based personalized medicine.
PMID- 29657052
TI - Coronary physiology and prognosis - What does pressure-bounded coronary flow
reserve add?
PMID- 29657053
TI - Takotsubo: One, no one and one hundred thousand diseases.
PMID- 29657054
TI - Under which cardiac disease spectrum should takotsubo syndrome be classified?
PMID- 29657055
TI - Totally endoscopic coronary artery bypass surgery: A meta-analysis of the current
evidence.
AB - BACKGROUND: Totally endoscopic coronary artery bypass (TECAB) has emerged as an
alternative to other minimally invasive techniques. However, limited TECAB
results are available to date. The purpose of this systematic review is to
examine the existing literature to give an objective estimate of the outcomes of
TECAB using a meta-analytical approach. METHODS: A comprehensive online review
was performed in Ovid MEDLINE(r), Ovid EMBASE and The Cochrane Library from 2000
to July 2017. Eligible studies included single arm TECAB studies as well as
comparative studies (TECAB vs minimally invasive direct coronary artery bypass
(MIDCAB)). Pooled event rates and odds ratios (ORs) for operative mortality,
perioperative myocardial infarction (MI), perioperative stroke, graft patency and
repeat revascularization were estimated. Single arm and pairwise comparisons were
performed. RESULTS: Seventeen single arm TECAB articles (3721 patients, weighted
mean follow-up 3.3years) were included. The pooled event rate was 0.80% (95%CI:
0.60-1.2%) for operative mortality, 2.28% (95%CI: 1.7-3%) for perioperative MI,
1.50% (95%CI: 1.1-2.0%) for perioperative stroke, 2.99% (95%CI: 1.6-5.4%) for
repeat revascularization and 94.8% (95%CI: 89.3-97.5%) for early graft patency
(weighted mean follow-up 10.1months). On pairwise meta-analysis 376 patients (263
TECAB and 113 MIDCAB) were included. No difference in operative mortality
(OR=0.25, 95%CI: 0.02-2.83), perioperative MI (OR=3.09, 95%CI: 0.37-26.12) or
perioperative stroke (OR=1.33, 95%CI: 0.17-10.26) was found between the two
techniques. CONCLUSIONS: TECAB has an acceptably low operative risk and a good
early patency rate. The incidence of perioperative MI requires further
investigation. The dearth of data comparing TECAB to open approaches compels the
need for future comparative trials.
PMID- 29657056
TI - Totally endoscopic coronary artery bypass grafting, the new frontier.
PMID- 29657057
TI - Ventricular efficiency in pregnant women with congenital heart disease.
AB - BACKGROUNDS: Pregnant women with congenital heart disease (CHD) are at risk of
cardiovascular events during pregnancy as well as postpartum. The aim of our
study is to address the feasibility of echocardiography-derived ventricular
arterial coupling during pregnancy and postpartum among women with CHD. METHODS:
In 31 pregnant women with CHD, we performed serial echocardiography at the first
and third trimesters, early and late postpartum. The indices of contractility
(single-beat determined end-systolic elastance, Eesab) and afterload (effective
arterial elastance, Ea) were approximated on the basis of the systemic blood
pressure and systemic ventricular volume. The ratio of stroke work and pressure
volume area (SW/PVA) representing ventricular efficiency was also calculated.
RESULTS: Age at the delivery was 28 (24-31) years. ZAHARA score was 0.75 (0.75
1.50). Gestational age and birth weight of newborns were 38 (37-39) weeks and
2.73 (2.42-2.92) kg, respectively. Heart rate, systemic ventricular end-diastolic
volume and stroke volume significantly increased from the first trimester to the
third trimester and reversed postpartum to the values of the first trimester.
Eesab and Ea significantly decreased from the first trimester to the third
trimester (Eesab; 4.90 [2.86-7.14] vs 3.41 [2.53-4.61] mm Hg/ml, p = 0.0001, Ea;
2.83 [1.74-3.30] vs 2.18 [1.67-2.68] mm Hg/ml, p = 0.0012), and reversed early
postpartum parallelly. Ejection fraction and SW/PVA remained unchanged throughout
pregnancy and postpartum. CONCLUSIONS: Echocardiography-derived ventricular
arterial coupling is feasible to understand ventricular function in pregnant
women with CHD.
PMID- 29657058
TI - Incremental prognostic value of coronary and systemic atherosclerosis after
myocardial infarction.
AB - BACKGROUND: The role of systemic atherosclerosis in myocardial infarction (MI)
patients is not fully understood. We investigated the incremental prognostic
value of coronary and systemic atherosclerosis after acute MI by estimating extra
cardiac artery disease (ECAD) and extent of coronary atherosclerosis. METHODS AND
RESULTS: The study included 544 prospective MI patients undergoing coronary
angiography. For all patients, the longitudinal coronary atherosclerotic extent,
expressed as Sullivan extent score (SES) was calculated. In addition, the
patients underwent non-invasive screening for ECAD in the carotid, aortic, renal
and lower limb. SES was found to be associated with ECAD independent of baseline
clinical parameters [adjusted odds ratio (OR) 1.04 95% confidence interval (CI)
1.02-1.06, P < 0.001]. Extensive systemic atherosclerosis, defined as the
combination of extensive coronary disease (SES >= 17) and ECAD, was associated
with higher risk for all-cause mortality compared to limited systemic
atherosclerosis (SES < 17 and no ECAD) (hazard ratio [HR] 2.9 95% CI 1.9-4.5, P <
0.001, adjusted for Global Registry of Acute Coronary Events risk score
parameters 1.8, 95% CI 1.1-3.0, P = 0.019). The risk for the composite endpoint
of cardiovascular death or hospitalization was significantly higher in patients
with extensive systemic atherosclerosis compared to patients with limited
systemic atherosclerosis (HR 3.1, 95% CI 2.1-4.7, P < 0.001, adjusted HR 1.9, 95%
CI 1.2-3.1, P < 0.004). CONCLUSIONS: Visual estimation of the longitudinal
coronary atherosclerotic extent at the time of MI predicts ECAD. Coexistence of
extensive coronary disease and ECAD defines a group with particularly poor
prognosis after MI.
PMID- 29657059
TI - Pregnancy in women with congenital heart disease: Need for new techniques in
hemodynamic monitoring.
PMID- 29657060
TI - Characteristics and outcomes of ischemic stroke in patients with known atrial
fibrillation or atrial fibrillation diagnosed after stroke.
AB - BACKGROUND: It is unclear whether ischemic stroke patients with known atrial
fibrillation (KAF) had different outcomes than those with atrial fibrillation
diagnosed after stroke (AFDAS). We aimed to explore the characteristics and
outcomes in ischemic stroke patients with KAF or AFDAS. METHODS: Consecutive
patients hospitalized between 2000 and 2012 for first-ever stroke along with
atrial fibrillation, either diagnosed before or during the stroke
hospitalization, were identified from a nationwide claims database in Taiwan. The
outcome of interest was a composite outcome of ischemic stroke, intracranial
hemorrhage, or death within one year. Univariable and multivariable Cox
regression analyses were used to determine the effect of KAF versus AFDAS on the
composite outcome. RESULTS: We identified 1161 patients, of whom 481 (41.4%) had
KAF and 680 (58.6%) had AFDAS. Age, sex, and stroke severity were similar between
groups. However, patients with KAF had a higher prevalence of underlying heart
diseases than those with AFDAS (67.2% versus 39.0%, p<0.001). In univariable
analysis, patients with KAF had a higher risk of the composite outcome than those
with AFDAS (hazard ratio [HR]: 1.42, 95% confidence interval [CI]: 1.13-1.79,
p=0.003). In multivariable analysis, KAF was no longer independently associated
with the composite outcome. CONCLUSIONS: As compared to ischemic stroke patients
with AFDAS, those with KAF had a higher prevalence of underlying heart diseases.
Whether AF was known before or diagnosed after stroke was not an independent
predictor of the composite outcome.
PMID- 29657061
TI - Risk factors for new-onset atrial fibrillation: A focus on Asian populations.
AB - The incidence of new-onset atrial fibrillation (NOAF) is increasing both in the
Asian populations and Western countries. Several demographic and clinical risk
factors were independently associated with NOAF, including ageing, male sex,
obesity, obstructive sleep apnea syndrome, hypertension, coronary artery disease,
renal dysfunction and heart failure. However, some differences in the incidence
of NOAF, the prevalence of some risk factors and lifestyle or environmental
conditions may exist between Asian and Western countries. Early recognition and
holistic management of risk factors in an integrated manner may help reduce the
burden of NOAF and its complications. While some risk scores have been developed
to predict the risk of NOAF, thus far none are currently recommended or
adequately validated to be used as a screening tool especially in the Asian
population. The present semi-systematic review article aims to provide a
comprehensive overview on the risk factors associated to NOAF, focusing on those
explored in the Asian populations.
PMID- 29657062
TI - Disentangling the risk of atrial fibrillation detected after ischemic stroke
(AFDAS): A real challenge in clinical practice.
PMID- 29657063
TI - Does previous transanal excision for early rectal cancer impair surgical outcomes
and pathologic findings of completion total mesorectal excision? Results of a
systematic review of the literature.
AB - BACKGROUND: Transanal excision (TAE) is increasingly used in the treatment of
early rectal cancer because of lower rate of both postoperative complications and
postsurgical functional disorders as compared with total mesorectal excision
(TME) OBJECTIVE: To compare in a meta-analysis surgical outcomes and pathologic
findings between patients who underwent TAE followed by completion proctectomy
with TME (TAE group) for early rectal cancer with unfavorable histology or
incomplete resection, and those who underwent primary TME (TME group). METHODS:
The Medline and Cochrane Trials Register databases were searched for studies
comparing short-term outcomes between patients who underwent TAE followed by
completion TME versus primary TME. Studies published until December 2016 were
included. The meta-analysis was performed using Review Manager 5.0 (Cochrane
Collaboration, Oxford, UK). RESULTS: Meta-analysis showed that completion TME
after TAE was significantly associated with increased reintervention rate
(OR=4.28; 95% CI, 1.10-16.76; P<=0.04) and incomplete mesorectal excision rate
(OR=5.74; 95% CI, 2.24-14.75; P<=0.0003), as compared with primary TME. However
there both abdominoperineal amputation and circumferential margin invasion rates
were comparable between TAE and TME groups. CONCLUSIONS: This meta-analysis
suggests that previous TAE impaired significantly surgical outcomes and
pathologic findings of completion TME as compared with primary TME. First
transanal approach during completion TME might be evaluated in order to decrease
technical difficulties.
PMID- 29657064
TI - [Selective left mainstem bronchial intubation in the neonatal intensive care
unit].
AB - BACKGROUND: Selective neonatal left mainstem bronchial intubation to treat right
lung disease is typically achieved with elaborate maneuvers, instrumentation and
devices. This is often attributed to bronchial geometry which favors right
mainstem entry of an endotracheal tube deliberately advanced beyond the carina.
CASE SUMMARY: A neonate with severe bullous emphysema affecting the right lung
required urgent non-ventilation of that lung. We achieved left mainstem bronchial
intubation by turning the endotracheal tube 180 degrees such that the Murphy's
eye faced the left instead of the right, and simulated a left-handed intubation
by slightly orientating the endotracheal tube such that its concavity faced the
left instead of the right as in a conventional right-handed intubation.
CONCLUSION: Urgent intubation of the left mainstem bronchus with an endotracheal
tube can be easily achieved by recognizing that it is the position of the
endotracheal tube tip and the direction of its concavity that are the chief
determinants of which bronchus an endotracheal tube goes when advanced. This is
important in critically ill neonates as the margin of safety and time window are
small, and the absence of double-lumen tubes. Use of fiberoptic bronchoscope and
blockers should be reserved as backup plans.
PMID- 29657065
TI - Transfusion thresholds and red blood cells transfusion focused on tissue
oxygenation.
PMID- 29657066
TI - Hypoglycemia caused by insulinoma. A review of a case series treated at a
tertiary hospital.
PMID- 29657067
TI - Three ways for the same symptom: Syncope caused by myxoma.
PMID- 29657068
TI - Safety and Efficacy of Day-case Percutaneous Nephrolithotomy: A Systematic Review
from European Society of Uro-technology.
AB - CONTEXT: Day case or ambulatory percutaneous nephrolithotomy (PCNL) has risen
over the last few years with the aim of discharging patients within 24h.
OBJECTIVE: We perform a systematic review of literature to evaluate the outcomes
of day-case PCNL surgery. EVIDENCE ACQUISITION: A Cochrane style search was
performed and the following bibliographic databases were accessed: PubMed,
Science Direct, Scopus, and Web of Science. This was carried out in accordance
with the Preferred Reporting Items for Systematic reviews and Meta-analyses
(PRISMA) guidelines. All studies in the English language reporting on PCNL
patients discharged within 24h were included. EVIDENCE SYNTHESIS: Based on the
literature search of 97 articles, nine (502 patients) met the inclusion criteria
(mean age: 47 yr), with a mean stone size of 20.5mm. The mean operating time was
66min, and over a mean hospital stay of 17.5h, the stone-free rate was 95%. The
overall complication rate was 13.5%; the vast majority of these complications
were Clavien I-II complications, with a readmission rate of 3%. CONCLUSIONS: Day
case PCNL is a safe and feasible strategy in carefully selected cases. However,
for its success, detailed planning and adherence to surgical protocol are
paramount with strict criteria for inpatient admission and a thorough follow-up
plan. PATIENT SUMMARY: Day-case percutaneous nephrolithotomy procedure seems to
be a safe procedure with good outcomes, and low risk of complications and
readmissions. Detailed preoperative protocol and planning are paramount, with
indications for inpatient admission as well as a thorough follow-up plan.
PMID- 29657069
TI - Not all errors are the same: ERP sensitivity to error typicality in foreign
accented speech perception.
AB - Intercultural communication has become more and more frequent in the recent
globalized society. When native listeners try to understand non-native speakers,
they have to deal with different types of grammatical errors, some being
frequently encountered and others being less common. The present Event-Related
Potential (ERP) study investigated how native listeners process different types
of morphosyntactic errors in foreign accented speech and whether they are
sensitive to error typicality. Spanish natives listened to Spanish sentences in
native and foreign (English) accent. ERPs were recorded in response to
morphosyntactic violations that were commonly (gender errors) encountered in
English accented Spanish or not (number errors). Although sentence comprehension
accuracy did not differ across accents, the ERP responses changed as a function
of accent and error type. In line with previous studies, gender and number
violations in native accented speech elicited LAN-P600 responses. When speech was
uttered by foreign speakers, number violations (uncommon errors) showed a P600
effect, while gender violations (common errors) did not elicit late repair
processes (reflected by the P600) but an N400 effect. The present results provide
evidence that the neural time course of parsing depends not only on speaker's
accent, but also on input error typicality.
PMID- 29657071
TI - Re: Is a fractured mandible an emergency?
PMID- 29657070
TI - Inclusion of the murine IgGkappa signal peptide increases the cellular
immunogenicity of a simian adenoviral vectored Plasmodium vivax multistage
vaccine.
AB - INTRODUCTION: Cellular and humoral immune responses are both involved in
protection against Plasmodium infections. The only malaria vaccine available,
RTS,S, primarily induces short-lived antibodies and targets only a pre
erythrocytic stage antigen. Inclusion of erythrocytic stage targets and enhancing
cellular immunogenicity are likely necessary for developing an effective second
generation malaria vaccine. Adenovirus vectors have been used to improve the
immunogenicity of protein-based vaccines. However, the clinical assessment of
adenoviral-vectored malaria vaccines candidates has shown the induction of robust
Plasmodium-specific CD8+ but not CD4+ T cells. Signal peptides (SP) have been
used to enhance the immunogenicity of DNA vaccines, but have not been tested in
viral vector vaccine platforms. OBJECTIVES: The objective of this study was to
determine if the addition of the SP derived from the murine IgGkappa light chain
within a recombinant adenovirus vector encoding a multistage P. vivax vaccine
candidate could improve the CD4+ T cell response. METHODS: In this proof-of
concept study, we immunized CB6F1/J mice with either the recombinant simian
adenovirus 36 vector containing the SP (SP-SAd36) upstream from a transgene
encoding a chimeric P. vivax multistage protein or the same SAd36 vector without
the SP. Mice were subsequently boosted twice with the corresponding recombinant
proteins emulsified in Montanide ISA 51 VG. Immunogenicity was assessed by
measurement of antibody quantity and quality, and cytokine production by T cells
after the final immunization. RESULTS: The SP-SAd36 immunization regimen induced
significantly higher antibody avidity against the chimeric P. vivax proteins
tested and higher frequencies of IFN-gamma and IL-2 CD4+ and CD8+ secreting T
cells, when compared to the unmodified SAd36 vector. CONCLUSIONS: The addition of
the murine IgGkappa signal peptide significantly enhances the immunogenicity of a
SAd36 vectored P. vivax multi-stage vaccine candidate in mice. The potential of
this approach to improve upon existing viral vector vaccine platforms warrants
further investigation.
PMID- 29657072
TI - Appearance on face reading (cheek line) after orthognathic surgery.
AB - The cheek line (face reading) is an aesthetic element of the facial profile. The
purpose of our study was to investigate the changes in the cheek line after
mandibular setback surgery. Forty patients (20 female and 20 male, mean (SD) age
22 (5) years) were diagnosed with mandibular prognathism and treated by intraoral
vertical ramus osteotomy alone. Cephalograms were obtained before operation (T1),
at least a year postoperatively (T2), and final surgical changes over a year (T2
T1). The cheek line and landmarks (soft and hard tissues) were compared using the
paired t test. The hypothesis was that the cheek line did not change
significantly after mandibular setback. At the time of the final follow-up (T2
T1), the mean (SD) horizontal setback of pogonion (Pog) was 12.3 (3.5) mm for
women and 11.7 (4.3) mm for men. The ratios of soft:hard tissue, labrale
inferius:incisor inferius, labiomental sulcus:point B, soft tissue Pog:Pog, and
cheek point:Pog in women were 0.96, 0.98, 0.98, and 0.08, and in men 0.91, 1.01,
0.94, and 0.13, respectively. The nasolabial and cervicomental angles in women
were significantly increased by 11.1 degrees and 11.4 degrees , respectively,
and in men the nasolabial angle was significantly increased by 11.1 degrees and
the mentolabial angle reduced by 9.9 degrees . The cheek line (T2-T1) was moved
significantly forwards. The hypothesis was therefore rejected. In conclusion, the
cheek line was advanced significantly after isolated mandibular setback.
PMID- 29657073
TI - [Treatment of severe cutaneous adverse drug reactions].
PMID- 29657074
TI - Nucleotide variability of protamine genes influencing bull sperm motility
variables.
AB - Protamines (PRMs), important proteins of chromatin condensation in
spermiogenesis, are promising candidate genes to explore markers of sperm
motility. The coding and in-silico predicted promoter regions of these genes were
investigated in 102 crossbred and 32 purebred cattle. Also, mRNA quantification
was done to explore its possibility as diagnostic tool of infertility. The PCR
SSCP analysis indicated there were two band patterns only in fragment I of the
PRM1 and fragment II of the PRM2 gene. The sequence analysis revealed A152G and
G179A transitions in the PRM1 gene. Similarly, G35A, A49G and A64G transitions
were identified in the PRM2 gene which resulted in altered amino acid sequences
from arginine (R) to glutamine (Q), from arginine (R) to glycine (G) and from
arginine (R) to glycine (G), respectively. This caused the reduction in molecular
weight of PRM2 from 2157.66 to 1931.33 Da due to reduction in the number of basic
amino acids. These altered properties of the PRM2 protein led to the reduction in
Mass Motility (MM: P < 0.01), Initial Progressive Motility (IPM; P < 0.05) and
Post Thaw Motility (PTM; P < 0.05) in crossbred bulls. The least squares analysis
of variance indicated there was an effect of PRM2 haplotypes on MM (P = 0.0069),
IPM (P = 0.0306) and PTM (P = 0.0500) in crossbred cattle and on PTM (P = 0.0408)
in the overall cattle population. Based on the RT-qPCR analysis, however, there
was not any significant variation of PRM1 and PRM2 gene expression among sperm of
Vrindavani bulls with relatively lesser and greater sperm motility.
PMID- 29657075
TI - Seminal plasma proteomes and sperm fertility.
AB - During ejaculation, the spermatozoa are transported by the seminal plasma, a
fluid resulting from secretions originating mainly from the prostate and the
seminal vesicles in mammals. The interaction of the seminal plasma with
spermatozoa induces binding of seminal proteins onto the sperm surface and
membrane remodeling potentially impacting the sperm transport, survival and
fertilizing ability in the female genital tract. The seminal plasma also contains
peptides and proteins involved in the inflammatory and immune response of the
female tract. Therefore the seminal plasma proteome has been investigated in a
large range of taxa, including mammals, birds, fishes and insect species. The
association of the seminal plasma with semen preservation or fertility identified
proteic markers of seminal plasma function in domestic species. This review
summarizes the current knowledge in seminal plasma proteomes and proteic markers
of sperm preservation in animal species.
PMID- 29657076
TI - Gasping for a Diagnosis: Pediatric Vocal Cord Dysfunction.
AB - Vocal cord dysfunction is an obstruction of the upper airway, primarily on
inspiration, due to the paradoxical adduction of the vocal cords. Vocal cord
dysfunction continues to be underdiagnosed as its own entity. The lack of
diagnosis can be attributed to the overlap of symptoms between asthma and
exercise-induced bronchospasm. It is possible for patients diagnosed with asthma
and/or exercise-induced bronchospasm to have underlying vocal cord dysfunction,
which needs to be considered when prescribing asthma medications. This article
will review the history of vocal cord dysfunction, the differential diagnosis,
diagnostic testing, and the role of the nurse practitioner in caring for these
patients.
PMID- 29657077
TI - Reprint of: Marine OMEGA-3 fatty acids in the prevention of cardiovascular
disease.
AB - Omega-6 (omega6) and omega-3 (omega3) fatty acids are two classes of dietary
polyunsaturated fatty acids derived from linoleic acid (18:2omega6) and alpha
linolenic acid (18:3omega3), respectively. Enzymatic metabolism of linoleic and
alpha-linolenic acids generates arachidonic acid (20:4omega6) and
eicosapentaenoic acid (20:5omega3; EPA), respectively, both of which are
substrates for enzymes that yield eicosanoids with multiple and varying
physiological functions. Further elongation and desaturation of EPA yields the 22
carbon fatty acid docosahexaenoic acid (22:6omega3; DHA). The main dietary source
of EPA and DHA for human consumption is fish, especially oily fish. There is
considerable evidence that EPA and DHA are protective against cardiovascular
disease (heart disease and stroke), particularly in individuals with pre-existing
disease. omega3 Fatty acids benefit multiple risk factors including blood
pressure, blood vessel function, heart function and blood lipids, and they have
antithrombotic, anti-inflammatory and anti-oxidative actions. omega3 Fatty acids
do not adversely interact with medications. Supplementation with omega3 fatty
acids is recommended in individuals with elevated blood triglyceride levels and
patients with coronary heart disease. A practical recommendation for the general
population is to increase omega3 fatty acid intake by incorporating fish as part
of a healthy diet that includes increased fruits and vegetables, and moderation
of salt intake. Health authorities recommend the general population should
consume at least two oily fish meals per week.
PMID- 29657078
TI - Reprint of: Antiproliferative activity of the Antrodia camphorata secondary
metabolite 4,7-dimethoxy-5-methylbenzo[d][1,3]dioxole and analogues.
AB - Both the traditional Chinese medicinal fungus, Antrodia camphorata, and its
secondary metabolite, 4,7-dimethoxy-5-methylbenzo[d][1,3]dioxole, have been
reported to possess promising anticancer activity. In this work the natural
product and analogues bearing more polar substituents were synthesised and
assessed for antiproliferative activity in the NCI-60 screen. Although each
compound inhibited the growth of some cell lines at 10MUM, none had sufficient
activity to warrant further investigation.
PMID- 29657079
TI - Functional selectivity of cardiac preganglionic sympathetic neurones in the
rabbit heart.
AB - BACKGROUND: Studies have shown regional and functional selectivity of cardiac
postganglionic neurones indicating there might exist a similar heterogeneity in
spinal segmental preganglionic neurones, which requires further investigation.
METHODS: Right and left sympathetic chains were electrically stimulated from T6
to T1 in the innervated isolated rabbit heart preparation (n = 18). Sinus rate,
left ventricular pressure, retrograde ventriculo-atrial conduction, monophasic
action potential duration, effective refractory period, ventricular fibrillation
threshold and electrical restitution were measured. RESULTS: Right sympathetic
stimulation had a greater influence on heart rate (T1-T2: right; 59.9 +/- 6.0%,
left; 41.1 +/- 5.6% P < 0.001) and left stimulation had greater effects on left
ventricular pressure (T1-T2: right; 20.7 +/- 3.2%, left; 40.3 +/- 5.4%, P < 0.01)
and ventriculo-atrial conduction (T1-T2: right; -6.8 +/- 1.1%, left; -15.5 +/-
0.2%) at all levels, with greater effects at rostral levels (T1-T3). Left
sympathetic stimulation caused shorter monophasic action potentials at the base
(T4-T5: right; 119.3 +/- 2.7 ms, left; 114.7 +/- 2.5 ms. P < 0.05) and apex (T4
T5: right; 118.8 +/- 1.2 ms, left; 114.6 +/- 2.6 ms. P < 0.05), greater
shortening of effective refractory period (T4-T5: right; -3.6 +/- 1.3%, left;
7.7 +/- 1.8%. P < 0.05), a steeper maximum slope of restitution (T4-T5 base:
right; 1.3 +/- 0.2, left; 1.8 +/- 0.2. P < 0.01. T4-T5 apex: right; 1.0 +/- 0.2,
left; 1.6 +/- 0.3. P < 0.05) and a greater decrease in ventricular fibrillation
threshold (T4-T5: right; -22.3 +/- 6.8%, left;-39.0 +/- 1.7%), with dominant
effects at caudal levels (T4-T6). CONCLUSIONS: The preganglionic sympathetic
efferent axons show functionally distinct pathways to the heart. The caudal
segments (T4-T6) of the left sympathetic chain had a greater potential for
arrhythmia generation and hence could pose a target for more focused clinical
treatments for impairments in cardiac function.
PMID- 29657080
TI - Right ventricular energetics and power in pulmonary regurgitation vs. stenosis
using four dimensional phase contrast magnetic resonance.
AB - OBJECTIVE: We investigated a full energetic profile of pressure and volume loaded
right ventricle (RV) in porcine models by evaluating kinetic energy (KE), stroke
power, power output and power loss across pulmonary valves with stenosis (PS) or
with regurgitation (PR). METHODS: Fifteen pigs (6 PS and 6 PR, 3 unoperated
controls) were studied. Phase-contrast 4D-flow MRI was performed in models of PS
and PR at baseline and at 10-12 weeks, in conjunction with cardiac
catheterization. Phase contrast velocities over 1 cardiac cycle were registered
with a dynamic mask of the RV segmented from cine images. Mean KE and KE curve
profiles were measured, normalized for RV volumes and compared between groups.
Right heart catheterization pressures were used to calculate RV stroke power and
power output, from which pulmonary valve power loss and RV power output ratio
were calculated, and compared between groups. RESULTS: PS and PR groups had
similar KE pre procedure but significant changes in KE post procedure. The PR
group had higher RV power output ratio and KE (72.1% +/- 11.4%; 20.6 +/- 6.1)
than PS group (25.6% +/- 4.7%; 13.8 +/- 5.0) post procedure. Volume loaded RV
from PR had higher KE and power output ratio compared to pressure load from PS.
CONCLUSIONS: In porcine models of PS and PR, the RV presents altered systolic and
diastolic energetic profiles. Pulmonary valve efficiency appeared to decrease in
the medium term with somatic growth, with increased power loss in all groups
studied, and greatly within the PS group.
PMID- 29657081
TI - Effect of chemical and physical heterogeneities on colloid-facilitated cesium
transport.
AB - A set of column experiments was conducted to investigate the chemical and
physical heterogeneity effect on colloid facilitated transport under slow pore
velocity conditions. Pore velocities were kept below 100 cm d-1 for all
experiments. Glass beads were packed into columns establishing four different
conditions: 1) homogeneous, 2) mixed physical heterogeneity, 3) sequentially
layered physical heterogeneity, and 4) chemical heterogeneity. The homogeneous
column was packed with glass beads (diameter 500-600 MUm), and physical
heterogeneities were created by sequential layering or mixing two sizes of glass
bead (500-600 MUm and 300-400 MUm). A chemical heterogeneity was created using
25% of the glass beads coated with hydrophobic molecules (1H-1H-2H-2H
perfluorooctyltrichlorosilane) mixed with 75% pristine glass beads (all 500-600
MUm). Input solution with 0.5 mM CsI and 50 mg L-1 colloids (1-MUm diameter SiO2)
was pulsed into columns under saturated conditions. The physical heterogeneity in
the packed glass beads retarded the transport of colloids compared to homogeneous
(R = 25.0), but showed only slight differences between sequentially layered (R =
60.7) and mixed heterogeneity(R = 62.4). The column with the chemical,
hydrophobic/hydrophilic, heterogeneity removed most of the colloids from the
input solution. All column conditions stripped Cs from colloids onto the column
matrix of packed glass beads.
PMID- 29657082
TI - Horner's syndrome following obstetric neuraxial blockade - a systematic review of
the literature.
AB - Horner's syndrome is a rarely reported complication of neuraxial blockade. In
obstetric practice, the neurological signs of Horner's syndrome may cause anxiety
amongst patients and healthcare staff, but more importantly may herald the onset
of maternal hypotension. Medline, CINAHL, and EMBASE databases were searched to
identify cases of Horner's syndrome following obstetric neuraxial blockade.
Anaesthetic technique, clinical features, anaesthetic management of the Horner's
syndrome and time to resolution were assessed. Seventy-eight case reports of
Horner's syndrome following obstetric neuraxial blockade were identified. Nine
cases also had trigeminal nerve palsy and one case had hypoglossal nerve palsy.
Amongst the 78 cases, 74% developed Horner's syndrome within one hour of a local
anaesthetic bolus. The median time for resolution of Horner's syndrome was two
hours, though one case was permanent. One case of Horner's syndrome was found to
be due to an internal carotid artery dissection. Some cases of Horner's syndrome
resolved spontaneously despite ongoing administration of epidural local
anaesthetic. Hypotension was reported in 13%. Horner's syndrome is usually a
benign phenomenon, the consequence of high cephalad spread of local anaesthetic,
that resolves spontaneously within a few hours. Patients with a persistent
Horner's syndrome, or one associated with atypical features such as neck pain,
should undergo a diagnostic workup including magnetic resonance angiography of
the neck. The dermatomal level of neuraxial blockade, maternal and fetal well
being should be taken into account when making decisions regarding neuraxial
blockade. The presence of Horner's syndrome alone should not lead to
discontinuation of neuraxial blockade.
PMID- 29657084
TI - Aggregated Abeta1-42 Is Selectively Toxic for Neurons, Whereas Glial Cells
Produce Mature Fibrils with Low Toxicity in Drosophila.
AB - The basis for selective vulnerability of certain cell types for misfolded
proteins (MPs) in neurodegenerative diseases is largely unknown. This knowledge
is crucial for understanding disease progression in relation to MPs spreading in
the CNS. We assessed this issue in Drosophila by cell-specific expression of
human Abeta1-42 associated with Alzheimer's disease. Expression of Abeta1-42 in
various neurons resulted in concentration-dependent severe neurodegenerative
phenotypes, and intraneuronal ring-tangle-like aggregates with immature fibril
properties when analyzed by aggregate-specific ligands. Unexpectedly, expression
of Abeta1-42 from a pan-glial driver produced a mild phenotype despite massive
brain load of Abeta1-42 aggregates, even higher than in the strongest neuronal
driver. Glial cells formed more mature fibrous aggregates, morphologically
distinct from aggregates found in neurons, and was mainly extracellular. Our
findings implicate that Abeta1-42 cytotoxicity is both cell and aggregate
morphotype dependent.
PMID- 29657083
TI - The course of awake breathing disturbances across the lifespan in Rett syndrome.
AB - Rett syndrome (RTT), an X-linked dominant neurodevelopmental disorder caused by
mutations in MECP2, is associated with a peculiar breathing disturbance
exclusively during wakefulness that is distressing, and can even prompt emergency
resuscitation. Through the RTT Natural History Study, we characterized cross
sectional and longitudinal characteristics of awake breathing abnormalities in
RTT and identified associated clinical features. Participants were recruited from
2006 to 2015, and cumulative lifetime prevalence of breathing dysfunction was
determined using the Kaplan-Meier estimator. Risk factors were assessed using
logistic regression. Of 1205 participants, 1185 had sufficient data for analysis,
including 922 females with classic RTT, 778 of whom were followed longitudinally
for up to 9.0 years, for a total of 3944 person-years. Participants with classic
or atypical severe RTT were more likely to have breathing dysfunction (nearly
100% over the lifespan) compared to those with atypical mild RTT (60-70%).
Remission was common, lasting 1 year on average, with 15% ending the study in
terminal remission. Factors associated with higher odds of severe breathing
dysfunction included poor gross and fine motor function, frequency of
stereotypical hand movements, seizure frequency, prolonged corrected QT interval
on EKG, and two quality of life metrics: caregiver concern about physical health
and contracting illness. Factors associated with lower prevalence of severe
breathing dysfunction included higher body mass index and head circumference Z
scores, advanced age, and severe scoliosis or contractures. Awake breathing
dysfunction is common in RTT, more so than seizures, and is associated with
function, quality of life and risk for cardiac dysrhythmia.
PMID- 29657085
TI - Structural Determinants for Small-Molecule Activation of Skeletal Muscle AMPK
alpha2beta2gamma1 by the Glucose Importagog SC4.
AB - The AMP-activated protein kinase (AMPK) alphabetagamma heterotrimer regulates
cellular energy homeostasis with tissue-specific isoform distribution. Small
molecule activation of skeletal muscle alpha2beta2 AMPK complexes may prove a
valuable treatment strategy for type 2 diabetes and insulin resistance. Herein,
we report the small-molecule SC4 is a potent, direct AMPK activator that
preferentially activates alpha2 complexes and stimulates skeletal muscle glucose
uptake. In parallel with the term secretagog, we propose "importagog" to define a
substance that induces or augments cellular uptake of another substance. Three
dimensional structures of the glucose importagog SC4 bound to activated
alpha2beta2gamma1 and alpha2beta1gamma1 complexes reveal binding determinants, in
particular a key interaction between the SC4 imidazopyridine 4'-nitrogen and
beta2-Asp111, which provide a design paradigm for beta2-AMPK therapeutics. The
alpha2beta2gamma1/SC4 structure reveals an interaction between a beta2 N-terminal
alpha helix and the alpha2 autoinhibitory domain. Our results provide a structure
function guide to accelerate development of potent, but importantly tissue
specific, beta2-AMPK therapeutics.
PMID- 29657086
TI - Structural Insights into a Flavin-Dependent [4 + 2] Cyclase that Catalyzes trans
Decalin Formation in Pyrroindomycin Biosynthesis.
AB - Here, we provide structural insights into PyrE3, a flavin-dependent [4 + 2]
cyclase that catalyzes trans-decalin formation in the biosynthesis of
pyrroindomycins. PyrE3 shares an architecture/domain organization head-to-tail
similarity with the members of the family of para-hydroxybenzoate hydroxylase
(pHBH)-fold monooxygenases, and possesses a flavin adenine dinucleotide (FAD)
binding domain, a middle domain, and a C-terminal thioredoxin-like domain. The
FAD-binding domain forms a central hub of the protein structure, and binds with
FAD in a "closed" conformation of pHBH-fold family monooxygenases known for their
highly dynamic catalytic processes. FAD plays an essential structural role in
PyrE3, where it is amenable to redox change; however, redox change has little
effect on [4 + 2] cyclization activity. PyrE3 appears to selectively accommodate
a tetramate-containing, linear polyene intermediate in a highly positively
charged pocket, which is located at the interface between the FAD-binding domain
and the middle domain, and can accelerate trans-decalin formation likely through
an endo-selective [4 + 2] transition state.
PMID- 29657087
TI - The Pediatric Aerodigestive Center as a Tertiary Care-Based Medical Home: A
Proposed Model.
AB - Children with special healthcare needs have been identified nationally as a
population whose health care is associated with unmet needs; increased morbidity;
fragmentation of care and medical errors; caregiver dissatisfaction; and
disproportionately high costs. A subset of these children are medically fragile,
with medical complexity that requires a reliance on tertiary care-based services
including subspecialty appointments, surgical procedures, and care coordination
resources. For medically complex patients affected by upper and lower respiratory
tract and gastrointestinal disorders, multidisciplinary aerodigestive centers
have emerged at tertiary care centers across the United States to facilitate
coordinated, high-quality, and high value care. We propose that the aerodigestive
center is an effective vehicle for a tertiary care-based medical home. Within
this model, the integration of a general pediatrician will help promote holistic,
patient-centered care, and the general pediatrician can serve to both support and
provide continuity with the primary care medical home.
PMID- 29657089
TI - Mechanistic target of rapamycin (MTOR) protein expression in the tumor and its
microenvironment correlates with more aggressive pathology at cystectomy.
AB - BACKGROUND: The mechanistic target of rapamycin (mTOR) has been implicated in
driving tumor biology in multiple malignancies, including urothelial carcinoma
(UC). We investigate how mTOR and phosphorylated mTOR (pmTOR) protein expression
correlate with chemoresponsiveness in the tumor and its microenvironment at final
pathologic staging after neoadjuvant chemotherapy (NAC). METHODS: A single
institution retrospective analysis was performed on 62 patients with cT2-4Nany UC
undergoing NAC followed by radical cystectomy. Diagnostic (transurethral
resection specimens, TURBT) and postchemotherapy radical cystectomy specimens
were evaluated for mTOR and pmTOR protein expression using immunohistochemistry
of the tumor, peritumoral stroma, and normal surrounding stroma. Protein
expression levels were compared between clinical and pathologic stage. Whole
transcriptome analysis was performed to evaluate mRNA expression relative to mTOR
pathway activation. RESULTS: Baseline levels of mTOR and pmTOR within TURBT
specimens were not associated with clinical stage and response to chemotherapy
overall. Nonresponders with advanced pathologic stage at cystectomy (ypT2
4/ypTanyN+) had significantly elevated mTOR tumor staining (P = 0.006) and a
sustained mTOR and pmTOR staining in the peritumoral and surrounding normal
stroma (NS). Several genes relevant to mTOR activity were found to be up
regulated in the tumors of nonresponders. Remarkably, complete responders at
cystectomy (ypT0) had significant decreases in both mTOR and pmTOR protein
expression in the peritumoral and normal stroma (P = 0.01-0.03). CONCLUSIONS: Our
results suggest that mTOR pathway activity is increased in tumor and sustained in
its microenvironment in patients with adverse pathologic findings at cystectomy.
These findings suggest the relevance of targeting this pathway in bladder cancer.
PMID- 29657090
TI - Stauffer's syndrome: A comprehensive review and proposed updated diagnostic
criteria.
AB - BACKGROUND: Stauffer's syndrome corresponds to a set of clinical and analytical
changes of paraneoplastic nature firstly recognized more than 50 years ago, in
association to renal cell carcinoma. A definitive review including universal
diagnostic criteria and updated knowledge since the original description is
lacking. BASIC PROCEDURES: The authors conducted a comprehensive bibliographical
review and propose updated diagnostic criteria to standardize diagnosis for
clinical practice purposes and avoid misclassification. MAIN FINDINGS: Although
having been described in association with renal cell carcinoma, the syndrome has
been reported in correlation with other malignancies-either solid or
hematological tumors. Additionally, a variant syndrome presenting with jaundice
has also been characterized, but appears to have a similar clinical course to
that of the classical Stauffer's syndrome. Although often described as rare, it
may be more frequent than previously recognized. Stauffer's syndrome
etiopathogenesis is still poorly understood, but immune mechanisms seem to play a
role underscored by the malignancies to which the syndrome is associated, several
of which having immunotherapy drugs approved for their treatment. PRINCIPAL
CONCLUSIONS: A set of diagnostic criteria should be used to simplify, broaden and
standardized diagnosis, under the entity characterized by reversible
paraneoplastic intrahepatic cholestasis. Clinicians should be aware of the
syndrome, namely consider further investigation if a plausible cause for
unexplained intrahepatic cholestasis in an otherwise healthy patient is not
found. Even though no universal approach is available, investigation should be
considered regarding metastatic disease after resection of a primary tumor which
has revealed persistence or recurrence of symptoms.
PMID- 29657088
TI - Monocarboxylate transporters MCT1 and MCT4 are independent prognostic biomarkers
for the survival of patients with clear cell renal cell carcinoma and those
receiving therapy targeting angiogenesis.
AB - BACKGROUND: Prognostic biomarkers for patients with clear cell renal cell
carcinoma (ccRCC), particularly those receiving therapy targeting angiogenesis,
are not well established. In this study, we examined the correlations of
monocarboxylate transporter 1 (MCT1) and MCT4, 2 critical transporters for
glycolytic metabolism, with various clinicopathological parameters as well as
survival of patients with ccRCC and those treated with vascular endothelial
growth factor receptor (VEGFR) inhibitors. METHODS: A cohort of 150 ccRCC
patients were recruited into this study. All patients underwent radical or
partial nephrectomy as the first-line treatment, and 38 received targeted therapy
(sorafenib or sunitinib) after the surgery. Expression levels of MCT1, MCT4, and
CD34 were examined by immunohistochemistry. Correlations between MCT1 or MCT4
expression and different clinicopathological parameters or patient survival were
analyzed among all as well as patients receiving targeted therapy. RESULTS: MCT1
or MCT4 expression did not significantly correlate with sex, age, tumor diameter,
microvascular density, tumor staging, pathological Furmann grade, or MSKCC
(P>0.05). High expression of either MCT1 or MCT4 significantly correlated with
reduced overall survival (OS) and progression-free survival (PFS) among the total
cohort of ccRCC patients. For patients receiving targeted therapy, high
expression of either MCT1 or MCT4 significantly correlated with reduced PFS, but
not OS. Both conditions were independent prognostic biomarkers for reduced PFS
among all patients or those receiving targeted therapy. CONCLUSION: MCT1 and MCT4
are prognostic biomarkers for patients with ccRCC or those receiving targeted
therapy. High expression of these 2 proteins predicts reduced PFS in these
patients.
PMID- 29657091
TI - Injectable polypeptide hydrogels via methionine modification for neural stem cell
delivery.
AB - Injectable hydrogels with tunable physiochemical and biological properties are
potential tools for improving neural stem/progenitor cell (NSPC) transplantation
to treat central nervous system (CNS) injury and disease. Here, we developed
injectable diblock copolypeptide hydrogels (DCH) for NSPC transplantation that
contain hydrophilic segments of modified l-methionine (Met). Multiple Met-based
DCH were fabricated by post-polymerization modification of Met to various
functional derivatives, and incorporation of different amino acid comonomers into
hydrophilic segments. Met-based DCH assembled into self-healing hydrogels with
concentration and composition dependent mechanical properties. Mechanical
properties of non-ionic Met-sulfoxide formulations (DCHMO) were stable across
diverse aqueous media while cationic formulations showed salt ion dependent
stiffness reduction. Murine NSPC survival in DCHMO was equivalent to that of
standard culture conditions, and sulfoxide functionality imparted cell non
fouling character. Within serum rich environments in vitro, DCHMO was superior at
preserving NSPC stemness and multipotency compared to cell adhesive materials.
NSPC in DCHMO injected into uninjured forebrain remained local and, after 4
weeks, exhibited an immature astroglial phenotype that integrated with host
neural tissue and acted as cellular substrates that supported growth of host
derived axons. These findings demonstrate that Met-based DCH are suitable
vehicles for further study of NSPC transplantation in CNS injury and disease
models.
PMID- 29657092
TI - The critical chemical and mechanical regulation of folic acid on neural
engineering.
AB - The mandate of folic acid supplementation in grained products has reduced the
occurrence of neural tube defects by one third in the U.S since its introduction
by the Food and Drug Administration in 1998. However, the advantages and possible
mechanisms of action of using folic acid for peripheral nerve engineering and
neurological diseases still remain largely elusive. Herein, folic acid is
described as an inexpensive and multifunctional niche component that modulates
behaviors in different cells in the nervous system. The multiple benefits of
modulation include: 1) generating chemotactic responses on glial cells, 2)
inducing neurotrophin release, and 3) stimulating neuronal differentiation of a
PC-12 cell system. For the first time, folic acid is also shown to enhance
cellular force generation and global methylation in the PC-12 cells, thereby
enabling both biomechanical and biochemical pathways to regulate neuron
differentiation. These findings are evaluated in vivo for clinical translation.
Our results suggest that folic acid-nerve guidance conduits may offer significant
benefits as a low-cost, off-the-shelf product for reaching the functional
recovery seen with autografts in large sciatic nerve defects. Consequently, folic
acid holds great potential as a critical and convenient therapeutic intervention
for neural engineering, regenerative medicine, medical prosthetics, and drug
delivery.
PMID- 29657094
TI - Advancing towards precision medicine in ARDS.
PMID- 29657093
TI - Advances in transformable drug delivery systems.
AB - These years, transformable drug delivery systems (DDSs), which hold the
capability of changing formulation morphology and subsequent functionality at the
desired disease site, have shown great promise in control of spatio-temporal drug
delivery/release manner and enhanced treatment efficacy. Equipped with
controllability and design flexibility, the transformable DDSs are being
increasingly pursued for the development of precision drug delivery platforms for
biomedical applications. In this review, we describe the recently developed
intracelluarly and extracellularly transformable DDSs, especially associated with
assembly or disassociation of the original formulation units, for achieving
various functionalities, including prolonged retention time, inhibited
endocytosis and enhanced cytotoxicity. Furthermore, the different stimuli, such
as pH, enzyme, light, temperature, redox and mechanical force that trigger the
transformation process are also introduced. The future outlook and challenges are
discussed in the end.
PMID- 29657095
TI - Epidemiology of bus fires in mainland China from 2006 to 2015.
AB - OBJECTIVE: This study analyses the epidemiological characteristics of bus fires
in mainland China over the past 10 years to develop prevention strategies and
emergency procedures for such incidence and the resulting casualties. METHODS: We
collected reports on bus fires from the media and news websites and looked up on
Medline, PubMed, and Chinese National Knowledge Infrastructure databases for
relevant publications in English or Chinese from January 1, 2006 to December 31,
2015. RESULTS: In the past 10 years, there were 382 bus fires in mainland China.
The frequency of fires was markedly higher in 2013 and 2014. The vast majority
(89.1%) of the fires were caused by spontaneous combustion, followed by arson
(5.0%). There were reports of casualties in 41 (10.7%) of the bus fires,
including 144 deaths and 567 injuries. The fires leading to casualties resulted
from spontaneous combustion in 22 (53.7%) incidents, arson in 12 (29.3%)
incidents, and traffic accidents in 7 (17.1%) incidents. Arson caused the most
casualties, including 91 deaths and 323 injuries. CONCLUSIONS: This
epidemiological study presents characteristic findings related to bus fires in
China mainland. The general trend of bus fires showed a gradual increase but with
a fluctuation in several years. The regional distribution of bus fires revealed
some specific characteristics, and most of bus fires happened in those regions
locating in the eastern area of China mainland. The largest number of bus fires
were caused by spontaneous combustion. Bus fires caused by arson accounting for
only 5% of the total bus fires resulted in the most severe casualties. Most of
bus arson occurred in the morning and evening rush hours.
PMID- 29657096
TI - Mother, father and child traumatic stress reactions after paediatric burn: Within
family co-occurrence and parent-child discrepancies in appraisals of child
stress.
AB - AIM: The current study examined occurrence and within-family associations of
traumatic stress reactions after child burn injury, while in the same model
addressing the role of parents' own symptoms in their reports of child symptoms.
METHODS: One-hundred children (8-18 years old), and their mothers (n=90) and
fathers (n=74) were assessed within the first month (T1) and three months (T2)
after burn. Parents and children rated child traumatic stress reactions on the
Children's Responses to Trauma Inventory (CRTI) and parents rated their own
reactions on the Impact of Event Scale (IES). Cross-sectional associations at the
two occasions were examined using a structural equation model. RESULTS:
Occurrence of traumatic stress symptoms in the clinical range was higher in
parents (T1: 24-50%; T2: 14-31%) than children (T1: 0-11%; T2: 3-5%, depending on
whether children, mothers or fathers reported on symptoms). Traumatic stress
symptoms of mothers at T1 and of both parents at T2 were significantly related to
child self-reported symptoms. Moreover, mothers who experienced higher stress
symptoms themselves gave higher ratings of their child's symptoms at both time
points, while for fathers, this was only found at T2. CONCLUSIONS: The current
study demonstrates the impact of pediatric burn injury on the family level, and
shows simultaneous existence of within-family interrelatedness of traumatic
stress and an influence of parents' own symptoms on their perception of child
symptoms. Findings highlight the need for trauma symptom screening in all family
members and for considering informants' symptoms to understand the child's
functioning in particular.
PMID- 29657097
TI - Single-Cell Transcriptomic Profiling of Pluripotent Stem Cell-Derived SCGB3A2+
Airway Epithelium.
AB - Lung epithelial lineages have been difficult to maintain in pure form in vitro,
and lineage-specific reporters have proven invaluable for monitoring their
emergence from cultured pluripotent stem cells (PSCs). However, reporter
constructs for tracking proximal airway lineages generated from PSCs have not
been previously available, limiting the characterization of these cells. Here, we
engineer mouse and human PSC lines carrying airway secretory lineage reporters
that facilitate the tracking, purification, and profiling of this lung subtype.
Through bulk and single-cell-based global transcriptomic profiling, we find PSC
derived airway secretory cells are susceptible to phenotypic plasticity
exemplified by the tendency to co-express both a proximal airway secretory
program as well as an alveolar type 2 cell program, which can be minimized by
inhibiting endogenous Wnt signaling. Our results provide global profiles of
engineered lung cell fates, a guide for improving their directed differentiation,
and a human model of the developing airway.
PMID- 29657098
TI - Inflammatory Responses and Barrier Function of Endothelial Cells Derived from
Human Induced Pluripotent Stem Cells.
AB - Several studies have reported endothelial cell (EC) derivation from human induced
pluripotent stem cells (hiPSCs). However, few have explored their functional
properties in depth with respect to line-to-line and batch-to-batch variability
and how they relate to primary ECs. We therefore carried out accurate
characterization of hiPSC-derived ECs (hiPSC-ECs) from multiple (non-integrating)
hiPSC lines and compared them with primary ECs in various functional assays,
which included barrier function using real-time impedance spectroscopy with an
integrated assay of electric wound healing, endothelia-leukocyte interaction
under physiological flow to mimic inflammation and angiogenic responses in in
vitro and in vivo assays. Overall, we found many similarities but also some
important differences between hiPSC-derived and primary ECs. Assessment of
vasculogenic responses in vivo showed little difference between primary ECs and
hiPSC-ECs with regard to functional blood vessel formation, which may be
important in future regenerative medicine applications requiring vascularization.
PMID- 29657099
TI - Design, synthesis and biological evaluation of novel 4-phenylisoquinolinone BET
bromodomain inhibitors.
AB - The bromodomain and extra-terminal (BET) family of epigenetic proteins has
attracted considerable attention in drug discovery given its involvement in
regulating gene transcription. Screening a focused small molecule library based
on the bromodomain pharmacophore resulted in the identification of 2
methylisoquinoline-1-one as a novel BET bromodomain-binding motif. Structure
guided SAR exploration resulted in >10,000-fold potency improvement for the BRD4
BD1 bromodomain. Lead compounds exhibited excellent potencies in both biochemical
and cellular assays in MYC-dependent cell lines. Compound 36 demonstrated good
physicochemical properties and promising exposure levels in exploratory PK
studies.
PMID- 29657100
TI - Synthesis of 1,2,4-triazole-linked urea/thiourea conjugates as cytotoxic and
apoptosis inducing agents.
AB - A new series of 1,2,4-triazole-linked urea and thiourea conjugates have been
synthesized and evaluated for their in vitro cytotoxicity against selected human
cancer cell lines namely, breast (MCF-7, MDA-MB-231), lung (A549) prostate
(DU145) and one mouse melanoma (B16-F10) cell line and compared with reference
drug. The compound 5t showed significant cytotoxicity on MCF-7 breast cancer cell
line with a IC50 value of 7.22 +/- 0.47 uM among all the tested compounds.
Notably, induction of apoptosis by compound 5t on MCF-7 cells was evaluated using
different staining techniques such as acridine orange/ethidium bromide (AO/EB),
annexin V-FITC/PI, and DAPI. Further, clonogenic assay indicates the inhibition
of colony formation on MCF-7 cells by compound 5t. Moreover, the flow-cytometric
analysis also revealed that compound 5t caused the arrest of cells at G0/G1 phase
of cell cycle. In addition, the compounds when tested on normal human cells (L
132) were found to be safer with low cytotoxicity profile.
PMID- 29657101
TI - Novel 5-methyl-2-phenylphenanthridium derivatives as FtsZ-targeting antibacterial
agents from structural simplification of natural product sanguinarine.
AB - A novel series of 5-methyl-2-phenylphenanthridium derivatives were displayed
outstanding activity against a panel of antibiotic-sensitive and -resistant
bacteria strains compared with their precursor sanguinarine, ciprofloxacin and
oxacillin sodium. Compounds 7 l, 7m and 7n were found to display the most
effective activity against five sensitive strains (0.06-2 MUg/mL) and three
resistant strains (0.25-4 MUg/mL). The kinetic profiles indicated that compound
7l possessed the strongest bactericidal effect on S. aureus ATCC25923, with the
MBC value of 16 MUg/mL. The cell morphology and the FtsZ polymerization assays
indicated that these compounds inhibited the bacterial proliferation by
interfering the function of bacterial FtsZ. The SARs showed that all the 4-methyl
substituted 5-methyl-2-phenylphenanthridium subseries could be further
investigated as the FtsZ-targeting antibacterial agents.
PMID- 29657102
TI - Agonists of the gamma-aminobutyric acid type B (GABAB) receptor derived from beta
hydroxy and beta-amino difluoromethyl ketones.
AB - beta-Hydroxy difluoromethyl ketones represent the newest class of agonists of the
GABA-B receptor, and they are structurally distinct from all other known agonists
at this receptor because they do not display the carboxylic acid or amino group
of gamma-aminobutyric acid (GABA). In this report, the design, synthesis, and
biological evaluation of additional analogues of beta-hydroxy difluoromethyl
ketones characterized the critical nature of the substituted aromatic group on
the lead compound. The importance of these new data is interpreted by docking
studies using the X-ray structure of the GABA-B receptor. Moreover, we also
report that the synthesis and biological evaluation of beta-amino difluoromethyl
ketones provided the most potent compound across these two series.
PMID- 29657103
TI - Evaluation of simultaneous binding of Chromomycin A3 to the multiple sites of DNA
by the new restriction enzyme assay.
AB - Chromomycin A3 (CMA3) is an aureolic acid-type antitumor antibiotic. CMA3 forms
dimeric complexes with divalent cations, such as Mg2+, which strongly binds to
the GC rich sequence of DNA to inhibit DNA replication and transcription. In this
study, the binding property of CMA3 to the DNA sequence containing multiple GC
rich binding sites was investigated by measuring the protection from hydrolysis
by the restriction enzymes, AccII and Fnu4HI, for the center of the CGCG site and
the 5'-GC?GGC site, respectively. In contrast to the standard DNase I
footprinting method, the DNA substrates are fully hydrolyzed by the restriction
enzymes, therefore, the full protection of DNA at all the cleavable sites
indicates that CMA3 simultaneously binds to all the binding sites. The
restriction enzyme assay has suggested that CMA3 has a high tendency to bind the
successive CGCG sites and the CGG repeat.
PMID- 29657104
TI - Inhibitory effects of methyl-3,5-di-O-caffeoyl-epi-quinate on RANKL-induced
osteoclast differentiation.
AB - In this study, we have shown that methyl-3,5-di-O-caffeoyl-epi-quinate, a
naturally occurring compound isolated from Ainsliaea acerifolia, inhibits
receptor activator of nuclear factor-kappaB ligand (RANKL)-induced formation of
multinucleated tartrate-resistant acid phosphatase (TRAP)-positive osteoclasts
and the expression of osteoclast marker genes. Methyl-3,5-di-O-caffeoyl-epi
quinate also inhibited RANKL-induced activation of p38, Akt and extracellular
signal-regulated kinase (ERK) as well as the expression of nuclear factor of
activated T-cell (NFATc1), the key regulator of osteoclast differentiation.
Negative regulators for osteoclast differentiation was upregulated by methyl-3,5
di-O-caffeoyl-epi-quinate. Collectively, our results suggested that methyl-3,5-di
O-caffeoyl-epi-quinate suppresses osteoclast differentiation via downregulation
of RANK signaling pathways and NFATc1.
PMID- 29657105
TI - Initial stability of a highly porous titanium cup in an acetabular bone defect
model.
AB - BACKGROUNDS: The purpose of this study was to quantify the initial stability of a
highly porous titanium cup using an acetabular bone defect model. METHODS: The
maximum torque of a highly porous titanium cup, with a pore size of 640 MUm and
porosity of 60%, was measured using rotational and lever-out torque testing and
compared to that of a titanium-sprayed cup. The bone models were prepared using a
polyurethane foam block and had three levels of bone coverage: 100, 70, and 50%.
RESULTS: The highly porous titanium cup demonstrated significantly higher maximum
torque than the titanium-sprayed cups in the three levels of bone defects. On
rotational torque testing, it was found to be 1.5, 1.3, and 1.3 times stronger
than the titanium-sprayed cups with 100, 70 and 50% bone coverage, respectively.
Furthermore, it was found to be 2.2, 2.3, and 1.5 times stronger on lever-out
testing than the titanium-sprayed cup. No breakage in the porous layers was noted
during the testing. CONCLUSION: This study provides additional evidence of the
initial stability of highly porous titanium cup, even in the presence of
acetabular bone defects.
PMID- 29657106
TI - Hyponatraemia is independently associated with in-hospital mortality in patients
with pneumonia.
AB - BACKGROUND: Hyponatraemia on hospital admission has been shown to be a risk
factor for illness severity in critically ill patients. The aim of the present
study was to investigate whether hyponatraemia on emergency department (ED)
admission independently influences in-hospital mortality, ICU admission, and/or
length of hospitalisation in patients with pneumonia. METHODS: 610 patients
(64.4% male, median 66 years) diagnosed with pneumonia were identified by
retrospective screening of electronic admission data (06/2011-06/2013). Patients
were admitted to the ED of Bern University Hospital, Switzerland. Patient
characteristics, potential confounders, and patient-centred clinical outcomes,
including mortality, ICU admission, and length of hospitalisation, were analysed.
Multivariate logistic analysis adjusted for typical confounders was performed to
analyse the association of hyponatraemia with clinical outcomes. RESULTS: In a
large cohort of consecutive acutely admitted patients with pneumonia, the overall
in-hospital mortality rate was 12.5%; 21.2% of patients required primary or
secondary ICU admission, and the median length of hospital stay was 8 (IQR 5-13)
days. At baseline, 47 patients (7.7%) were found to have concomitant
hyponatraemia. Multivariate regression revealed a significant association between
hyponatraemia and in-hospital mortality (adjusted OR: 2.7, 95% CI: 1.3-5.9, p =
0.010), but not with ICU admission (adjusted OR: 1.8, 95% CI: 0.9-3.6, p = 0.103)
or length of hospitalisation (p = 0.493) after adjustment for age, neoplasia,
COPD, suspected sepsis, and cardiac disease. The association was robust if
controlled for other covariates, e.g. CRB-65 score. CONCLUSIONS: Hyponatraemia on
admission predicts poor outcome and is an independent risk factor for in-hospital
mortality in admitted patients diagnosed with pneumonia.
PMID- 29657107
TI - Cardiovascular implications of Zika virus infection.
PMID- 29657109
TI - Relevance of the GOLD 2017 recommendations in habitual clinical practice.
PMID- 29657110
TI - Symbiotic relationship between hydrothermal carbonization technology and
anaerobic digestion for food waste in China.
AB - Food waste (FW) is traditionally disposed through landfills and incineration in
China. Nowadays, there are some promising methods, such as anaerobic digestion
(AD) or feeding and composting, which are being applied in pilot cities. However,
the inherent characteristics of Chinese FW may be regarded as a double-edged
sword in the practical applications of these disposal methods. To overcome these
challenges, two modes of the hydrothermal carbonization (HTC) process were
reviewed as innovative strategies in this article. Meanwhile, the "symbiotic
relationship" between Chinese FW and HTC technologies was highlighted. To improve
treatment efficiency of FW, we should not only try different methods and develop
existing technologies, but also pay more attention to the utilization and "1 + 1
> 2" synergistic effect of their combinations, such as the combination of HTC and
AD as a co-treatment method for saving on the construction cost and avoiding
redistribution of social resources.
PMID- 29657111
TI - Nudging in screening: Literature review and ethical guidance.
AB - OBJECTIVES: Nudging is the purposeful alteration of choices presented to people
that aims to make them choose in predicted ways. While nudging has been used to
assure high uptake and good outcome of screening programs, it has been criticized
for being paternalistic, undermining free choice, and shared decision making.
Accordingly, the objective of this study is to explore a) nudging strategies
identified in screening, b) arguments for and against nudging; and on basis of
this, to c) suggest a tentative conclusion on how to handle nudging in screening.
METHODS: Literature searches in Ovid MEDLINE and PsycINFO for combinations of
screening and nudging. Screening based on content analysis of titles, abstracts,
and articles. RESULTS: 239 references were identified and 109 were included.
Several forms of nudging were identified: framed information, default bias, or
authority bias. Uptake and public health outcome were the most important goals.
Arguments for nudging were bounded rationality, unavoidability, and beneficence,
while lack of transparency, crowding out of intrinsic values, and paternalism
were arguments against it. The analysis indicates that nudging can be acceptable
for screenings with (high quality) evidence for high benefit-harm ratio
(beneficence), where nudging does not infringe other ethical principles, such as
justice and non-maleficence. In particular, nudging should not only focus on
attendance rates, but also on making people "better choosers." PRACTICE
IMPLICATIONS: Four specific recommendations follow from the review and the
analysis: 1) Nudging should be addressed in an explicit and transparent manner.
2) The means of nudging have to be in proportion to the benefit-harm ratio. 3)
Disagreement on the evidence for either benefits or harms warrants special care.
4) Assessing and assuring the intended outcome of nudging appears to be crucial,
as it can be context dependent.
PMID- 29657108
TI - Use of oral anticoagulant drugs in older patients with atrial fibrillation in
internal medicine wards.
PMID- 29657112
TI - High expression of CPT1b in skeletal muscle in metabolically healthy older
subjects.
AB - AIM: Ageing is often associated with metabolic abnormalities such as insulin
resistance, although some people remain metabolically healthy throughout their
lives. The aim of this study was to gain more insight into metabolic health with
increasing age. METHODS: Two groups of robust and of frail subjects,
respectively, were identified based on a composite ageing indicator and recruited
from the French SU.VI.MAX 2 cohort of older disease-free subjects. In all, 14 men
and 12 women, aged 67+/-4 years, with similar anthropometric and metabolic
characteristics at baseline (BMI: 24.5+/-2.9kg.m-2) were included in the
Compaliclamp study. Skeletal muscle biopsy was performed to assess expression of
a set of metabolic and sirtuin (SIRT) genes. Also, whole-body substrate oxidation
and insulin sensitivity were determined using the euglycaemic-hyperinsulinaemic
clamp and indirect calorimetry techniques. RESULTS: Robust subjects were more
insulin-sensitive, oxidized more lipid in a fasting state and stored more glucose
during the euglycaemic - hyperinsulinaemic clamp than did frail subjects. At the
gene-expression level in skeletal muscle, carnitine palmitoyltransferase 1b
(CPT1b) messenger RNA (mRNA) levels were around four times higher in the robust
compared with frail counterparts. Moreover, both SIRT2 and SIRT6 expression was
lower in robust subjects and correlated with CPT1b expression. CONCLUSION: CPT1b
overexpression could be helping to maintain metabolic health with increasing age.
Thus, it is suggested that targeting CPT1b expression might be an interesting
strategy to counteract frailty at an early stage. In addition, future studies
should examine the role of sirtuin in CPT1b expression regulation.
PMID- 29657113
TI - Strategic Acoustic Control of a Hummingbird Courtship Dive.
AB - Male hummingbirds court females with a high-speed dive in which they "sing" with
their tail feathers. The male's choice of trajectory provides him strategic
control over acoustic frequency and pressure levels heard by the female. Unlike
related species, male Costa's hummingbirds (Calypte costae) choose to place their
dives to the side of females. Here we show that this minimizes an audible Doppler
curve in their dive sound, thereby depriving females of an acoustic indicator
that would otherwise reveal male dive speed. Wind-tunnel experiments indicate
that the sounds produced by their feathers are directional; thus, males should
aim their tail toward females. High-speed video of dives reveal that males twist
half of their tail vertically during the dive, which acoustic-camera video shows
effectively aims this sound sideways, toward the female. Our results demonstrate
that male animals can strategically modulate female perception of dynamic aspects
of athletic motor displays, such as their speed.
PMID- 29657114
TI - Augmin Antagonizes Katanin at Microtubule Crossovers to Control the Dynamic
Organization of Plant Cortical Arrays.
AB - Plant cells do not possess centrosomes, which serve as the microtubule organizing
center in animal cells; how plant cell microtubule arrays are established and
maintain their dynamics remain poorly understood [1]. The gamma-tubulin complex
and the katanin complex play central roles in the organization of plant cortical
microtubules [2-6]. Previously, we reported that the augmin complex recruits the
gamma-tubulin complex to preexisting microtubules and initiates microtubule
nucleation [7]. Moreover, we described how an intricate interaction between the
katanin p60 subunit KTN1 and the p80 subunit KTN80 confers precise microtubule
severing at either microtubule branching nucleation sites or crossovers [8].
Here, we observed that augmin preferentially localizes to microtubule crossovers.
Live-cell observations and analyses revealed that, whereas a small portion of
crossover-localized augmin complexes act to trigger nascent microtubule
nucleation, the majority function in stabilizing the architecture of microtubule
crossovers. Finally, genetic analyses and computational modeling confirmed that
suppression of augmin activity elevates microtubule severing frequency and
facilitates the formation of aligned microtubule arrays. Combined, our findings
reveal an unexpected role of augmin and demonstrate that augmin antagonizes
katanin-mediated microtubule severing. Furthermore, we propose a novel mechanism
for how augmin determines self-organization of plant cortical microtubules by
preventing microtubule severing at crossovers in addition to triggering
microtubule nucleation.
PMID- 29657115
TI - Novelty-Sensitive Dopaminergic Neurons in the Human Substantia Nigra Predict
Success of Declarative Memory Formation.
AB - The encoding of information into long-term declarative memory is facilitated by
dopamine. This process depends on hippocampal novelty signals, but it remains
unknown how midbrain dopaminergic neurons are modulated by declarative-memory
based information. We recorded individual substantia nigra (SN) neurons and
cortical field potentials in human patients performing a recognition memory task.
We found that 25% of SN neurons were modulated by stimulus novelty. Extracellular
waveform shape and anatomical location indicated that these memory-selective
neurons were putatively dopaminergic. The responses of memory-selective neurons
appeared 527 ms after stimulus onset, changed after a single trial, and were
indicative of recognition accuracy. SN neurons phase locked to frontal cortical
theta-frequency oscillations, and the extent of this coordination predicted
successful memory formation. These data reveal that dopaminergic neurons in the
human SN are modulated by memory signals and demonstrate a progression of
information flow in the hippocampal-basal ganglia-frontal cortex loop for memory
encoding.
PMID- 29657117
TI - Evidence that Magnetic Navigation and Geomagnetic Imprinting Shape Spatial
Genetic Variation in Sea Turtles.
AB - The canonical drivers of population genetic structure, or spatial genetic
variation, are isolation by distance and isolation by environment. Isolation by
distance predicts that neighboring populations will be genetically similar and
geographically distant populations will be genetically distinct [1]. Numerous
examples also exist of isolation by environment, a phenomenon in which
populations that inhabit similar environments (e.g., same elevation, temperature,
or vegetation) are genetically similar even if they are distant, whereas
populations that inhabit different environments are genetically distinct even
when geographically close [2-4]. These dual models provide a widely accepted
conceptual framework for understanding population structure [5-8]. Here, we
present evidence for an additional, novel process that we call isolation by
navigation, in which the navigational mechanism used by a long-distance migrant
influences population structure independently of isolation by either distance or
environment. Specifically, we investigated the population structure of loggerhead
sea turtles (Caretta caretta) [9], which return to nest on their natal beaches by
seeking out unique magnetic signatures along the coast-a behavior known as
geomagnetic imprinting [10-12]. Results reveal that spatial variation in Earth's
magnetic field strongly predicts genetic differentiation between nesting beaches,
even when environmental similarities and geographic proximity are taken into
account. The findings provide genetic corroboration of geomagnetic imprinting
[10, 13]. Moreover, they provide strong evidence that geomagnetic imprinting and
magnetic navigation help shape the population structure of sea turtles and
perhaps numerous other long-distance migrants that return to their natal areas to
reproduce [13-17].
PMID- 29657116
TI - Gaze and the Control of Foot Placement When Walking in Natural Terrain.
AB - Human locomotion through natural environments requires precise coordination
between the biomechanics of the bipedal gait cycle and the eye movements that
gather the information needed to guide foot placement. However, little is known
about how the visual and locomotor systems work together to support movement
through the world. We developed a system to simultaneously record gaze and full
body kinematics during locomotion over different outdoor terrains. We found that
not only do walkers tune their gaze behavior to the specific information needed
to traverse paths of varying complexity but that they do so while maintaining a
constant temporal look-ahead window across all terrains. This strategy allows
walkers to use gaze to tailor their energetically optimal preferred gait cycle to
the upcoming path in order to balance between the drive to move efficiently and
the need to place the feet in stable locations. Eye movements and locomotion are
intimately linked in a way that reflects the integration of energetic costs,
environmental uncertainty, and momentary informational demands of the locomotor
task. Thus, the relationship between gaze and gait reveals the structure of the
sensorimotor decisions that support successful performance in the face of the
varying demands of the natural world. VIDEO ABSTRACT.
PMID- 29657118
TI - Functional Maps of Mechanosensory Features in the Drosophila Brain.
AB - Johnston's organ is the largest mechanosensory organ in Drosophila. It
contributes to hearing, touch, vestibular sensing, proprioception, and wind
sensing. In this study, we used in vivo 2-photon calcium imaging and unsupervised
image segmentation to map the tuning properties of Johnston's organ neurons
(JONs) at the site where their axons enter the brain. We then applied the same
methodology to study two key brain regions that process signals from JONs: the
antennal mechanosensory and motor center (AMMC) and the wedge, which is
downstream of the AMMC. First, we identified a diversity of JON response types
that tile frequency space and form a rough tonotopic map. Some JON response types
are direction selective; others are specialized to encode amplitude modulations
over a specific range (dynamic range fractionation). Next, we discovered that
both the AMMC and the wedge contain a tonotopic map, with a significant increase
in tonotopy-and a narrowing of frequency tuning-at the level of the wedge.
Whereas the AMMC tonotopic map is unilateral, the wedge tonotopic map is
bilateral. Finally, we identified a subregion of the AMMC/wedge that responds
preferentially to the coherent rotation of the two mechanical organs in the same
angular direction, indicative of oriented steady air flow (directional wind).
Together, these maps reveal the broad organization of the primary and secondary
mechanosensory regions of the brain. They provide a framework for future efforts
to identify the specific cell types and mechanisms that underlie the hierarchical
re-mapping of mechanosensory information in this system.
PMID- 29657119
TI - Reconciling Conflicting Phylogenies in the Origin of Sweet Potato and Dispersal
to Polynesia.
AB - The sweet potato is one of the world's most widely consumed crops, yet its
evolutionary history is poorly understood. In this paper, we present a
comprehensive phylogenetic study of all species closely related to the sweet
potato and address several questions pertaining to the sweet potato that remained
unanswered. Our research combined genome skimming and target DNA capture to
sequence whole chloroplasts and 605 single-copy nuclear regions from 199
specimens representing the sweet potato and all of its crop wild relatives
(CWRs). We present strongly supported nuclear and chloroplast phylogenies
demonstrating that the sweet potato had an autopolyploid origin and that Ipomoea
trifida is its closest relative, confirming that no other extant species were
involved in its origin. Phylogenetic analysis of nuclear and chloroplast genomes
shows conflicting topologies regarding the monophyly of the sweet potato. The
process of chloroplast capture explains these conflicting patterns, showing that
I. trifida had a dual role in the origin of the sweet potato, first as its
progenitor and second as the species with which the sweet potato introgressed so
one of its lineages could capture an I. trifida chloroplast. In addition, we
provide evidence that the sweet potato was present in Polynesia in pre-human
times. This, together with several other examples of long-distance dispersal in
Ipomoea, negates the need to invoke ancient human-mediated transport as an
explanation for its presence in Polynesia. These results have important
implications for understanding the origin and evolution of a major global food
crop and question the existence of pre-Columbian contacts between Polynesia and
the American continent.
PMID- 29657120
TI - Breast lymphoma occurring after an invasive ductal breast carcinoma developed in
the same area: A case report and literature review.
AB - Chemo- and radiotherapy are treatments very helpful to cure cancers but are also
well known for adverse effects such as secondary cancers. Breast cancers
following Hodgkin lymphoma have been relatively well studied. Breast cancers
after radiotherapy covering or nearby breasts or nipples are usually carcinomas
or secondary sarcomas. Among the big cohort of patients treated for breast
carcinomas, breast lymphomas developed in the same area are not usual.
Nevertheless, published studies described a significant increased risk of non
Hodgkin lymphoma after initial radiotherapy for a solid cancer. Here, we report a
case of a secondary breast lymphoma observed in a 53-year-old woman treated 13
years before for a ductal carcinoma and analyse such second tumors with a review
of the literature. This case report emphasizes the importance of the biopsy in
case of recurrence in breast cancer to give the appropriate treatment.
PMID- 29657121
TI - The experimental determination of DNA energetics: Comment on "DNA melting and
energetics of the double helix" by Alexander Vologodskii and Maxim D. Frank
Kamenetskii.
PMID- 29657122
TI - Silent Cardiac Haemangioma of Left Ventricle Coexisting with Vertebral
Haemangioma: Diagnosis by Cardiac Magnetic Resonance Imaging.
PMID- 29657123
TI - Transcriptome of the bivalve Limecola balthica L. from Western Pacific: A new
resource for studies of European populations.
AB - The Baltic clam Limecola balthica L. (Tellinidae) is broadly used in
ecophysiological, toxicological, evolutionary and environmental monitoring
studies. However, it is poorly studied in respect of genome and gene functions.
We obtained a transcriptome of Limecola b. balthica from Kamchatka (Western
Pacific) generated with the use of Illumina high-throughput sequencing. We
annotated 11,374 proteins, including 53 from the oxidative phosphorylation
pathway and a number of pollution-stress biomarkers, recovered 254,540 single
nucleotide variants within two annotated transcriptomes including 25,330 scorable
in the previously published European data. Our results confirmed the available
allozyme data indicating that nuclear genomes of the clams from the Baltic Sea
were intermediate in their genetic composition between the Pacific (L. b.
balthica) and the Atlantic (L. b. rubra) subspecies. At the same time, the
mitochondrial genomes of Limecola from Kamchatka were nearly identical to the
single published genome from the Baltic. The genomic diversity in Limecola was
found to be high and comparable with that of other marine mollusks (0.0138 and
0.0142 heterozygous positions in the two studied transcriptomes). The data
obtained in our study are a valuable resource for further development of genomic
markers for evolutionary genetic and ecophysiological studies of L. balthica
complex.
PMID- 29657124
TI - Dynamic bacterial community changes in the autothermal thermophilic aerobic
digestion process with cell lysis activities, shaking and temperature increase.
AB - Autothermal thermophilic aerobic digestion (ATAD) is conducted for stabilization
of sludge waste and is driven by the action of various microorganisms under
aerobic conditions. However, the mechanism controlling bacterial community
changes during ATAD via three (initial, middle and final) phases is currently
unclear. To investigate this mechanism, activity analysis and a microcosm assay
with shaking were performed on a bacterial community during the initial, middle,
and final phases of incubation. Cell lysis activities toward gram-negative
bacteria, but not gram-positive bacteria, were detected in the ATAD samples in
the middle and final phases. During shaking incubation in initial-phase samples
at 30 degrees C, major operational taxonomic units (OTUs) related to
Acinetobacter indicus and Arcobacter cibarius dramatically increased along with
decreases in several major OTUs. In middle-phase samples at 45 degrees C, we
observed a major alteration of OTUs related to Caldicellulosiruptor bescii and
Aciditerrimonas ferrireducens, together with distinct decreases in several other
OTUs. Final-phase samples maintained a stable bacterial community with major OTUs
showing limited similarities to Heliorestis baculata, Caldicellulosiruptor
bescii, and Ornatilinea apprima. In conclusion, the changes in the bacterial
community observed during ATAD could be partially attributed to the cell lysis
activity toward gram-negative bacteria in the middle and final phases. The
microcosm assay suggested that certain physical factors, such as a high oxygen
supply and shearing forces, also might contribute to bacterial community changes
in the initial and middle phases, and to the stable bacterial community in the
final phase of ATAD.
PMID- 29657125
TI - Rice straw pretreatment using deep eutectic solvents with different constituents
molar ratios: Biomass fractionation, polysaccharides enzymatic digestion and
solvent reuse.
AB - Lignocellulosic biomass pretreatment with deep eutectic solvents (DESs) is a
promising and challenging process for production of biofuels and valuable
platform chemicals. In this work, rice straw was mainly fractionated into
carbohydrate-rich materials (CRMs) and lignin-rich materials (LRMs) by 90% lactic
acid/choline chloride (LC)-water solution with different molar ratio of hydrogen
bond donor (HBD, lactic acid) and hydrogen bond acceptor (HBA, choline chloride).
It was found that high HBD/HBA molar ratio of DESs was favorable for achieving
CRMs and LRMs with high purity, and both HBD and HBA were responsible for
effective biomass fractionation possibly due to their synergistic effect on
highly efficient breakage of the linkage between hemicellulose and lignin and
thus lignin extraction. About 30%-35% of lignin in native rice straw was
fractionated as LRMs, and exceeding 70% of xylan were removed and fractionated
into the liquid stream as forms of xylose, furfural and humins after pretreatment
using aqueous LC (3:1, 5:1) solution. Consequently, polysaccharides enzymatic
hydrolysis of the CRMs were significantly enhanced. Moreover, all the DESs could
be recovered with high yields of around 90%, and 69% of the LC (3:1) was
recovered after 5 cycles reuse at 90 degrees C. Besides, the recycled DES
maintained a good pretreatment ability, and glucose yields of 60-70% were
achieved in the enzymatic hydrolysis of CRMs obtained in each cycle. The facile
process established in present work is promising for large scale production of
fermentable sugars and other chemicals.
PMID- 29657126
TI - Xylosylation of proteins by expression of human xylosyltransferase 2 in plants.
AB - Through the years, the post-translational modification of plant-made recombinant
proteins has been a considerable problem. Protein glycosylation is arguably the
most important post-translational modification; thus, for the humanization of
protein glycosylation in plants, the introduction, repression, and knockout of
many glycosylation-related genes has been carried out. In addition, plants lack
mammalian-type protein O-glycosylation pathways; thus, for the synthesis of
mammalian O-glycans in plants, the construction of these pathways is necessary.
In this study, we successfully xylosylated the recombinant human proteoglycan
core protein, serglycin, by transient expression of human xylosyltransferase 2 in
Nicotiana benthamiana plants. When human serglycin was co-expressed with human
xylosyltransferase 2 in plants, multiple serine residues of eight xylosylation
candidates were xylosylated. From the results of carbohydrate assays for total
soluble proteins, some endogenous plant proteins also appeared to be xylosylated,
likely through the actions of xylosyltransferase 2. The xylosylation of core
proteins is the initial step of the glycosaminoglycan part of the synthesis of
proteoglycans. In the future, these novel findings may lead to whole mammalian
proteoglycan synthesis in plants.
PMID- 29657127
TI - The Intestinal Microbiota in Colorectal Cancer.
AB - Experimental evidence from the past years highlights a key role for the
intestinal microbiota in inflammatory and malignant gastrointestinal diseases.
Diet exhibits a strong impact on microbial composition and provides risk for
developing colorectal carcinoma (CRC). Large metagenomic studies in human CRC
associated microbiome signatures with the colorectal adenoma-carcinoma sequence,
suggesting a fundamental role of the intestinal microbiota in the evolution of
gastrointestinal malignancy. Basic science established a critical function for
the intestinal microbiota in promoting tumorigenesis. Further studies are needed
to decipher the mechanisms of tumor promotion and microbial co-evolution in CRC,
which may be exploited therapeutically in the future.
PMID- 29657128
TI - Genomic Features of Response to Combination Immunotherapy in Patients with
Advanced Non-Small-Cell Lung Cancer.
AB - Combination immune checkpoint blockade has demonstrated promising benefit in lung
cancer, but predictors of response to combination therapy are unknown. Using
whole-exome sequencing to examine non-small-cell lung cancer (NSCLC) treated with
PD-1 plus CTLA-4 blockade, we found that high tumor mutation burden (TMB)
predicted improved objective response, durable benefit, and progression-free
survival. TMB was independent of PD-L1 expression and the strongest feature
associated with efficacy in multivariable analysis. The low response rate in TMB
low NSCLCs demonstrates that combination immunotherapy does not overcome the
negative predictive impact of low TMB. This study demonstrates the association
between TMB and benefit to combination immunotherapy in NSCLC. TMB should be
incorporated in future trials examining PD-(L)1 with CTLA-4 blockade in NSCLC.
PMID- 29657131
TI - Unusual Constriction Zones in the Major Porins OmpU and OmpT from Vibrio
cholerae.
AB - The outer membranes (OM) of many Gram-negative bacteria contain general porins,
which form nonspecific, large-diameter channels for the diffusional uptake of
small molecules required for cell growth and function. While the porins of
Enterobacteriaceae (e.g., E. coli OmpF and OmpC) have been extensively
characterized structurally and biochemically, much less is known about their
counterparts in Vibrionaceae. Vibrio cholerae, the causative agent of cholera,
has two major porins, OmpU and OmpT, for which no structural information is
available despite their importance for the bacterium. Here we report high
resolution X-ray crystal structures of V. cholerae OmpU and OmpT complemented
with molecular dynamics simulations. While similar overall to other general
porins, the channels of OmpU and OmpT have unusual constrictions that create
narrower barriers for small-molecule permeation and change the internal electric
fields of the channels. Together with electrophysiological and in vitro transport
data, our results illuminate small-molecule uptake within the Vibrionaceae.
PMID- 29657129
TI - Multi-stage Differentiation Defines Melanoma Subtypes with Differential
Vulnerability to Drug-Induced Iron-Dependent Oxidative Stress.
AB - Malignant transformation can result in melanoma cells that resemble different
stages of their embryonic development. Our gene expression analysis of human
melanoma cell lines and patient tumors revealed that melanoma follows a two
dimensional differentiation trajectory that can be subclassified into four
progressive subtypes. This differentiation model is associated with subtype
specific sensitivity to iron-dependent oxidative stress and cell death known as
ferroptosis. Receptor tyrosine kinase-mediated resistance to mitogen-activated
protein kinase targeted therapies and activation of the inflammatory signaling
associated with immune therapy involves transitions along this differentiation
trajectory, which lead to increased sensitivity to ferroptosis. Therefore,
ferroptosis-inducing drugs present an orthogonal therapeutic approach to target
the differentiation plasticity of melanoma cells to increase the efficacy of
targeted and immune therapies.
PMID- 29657132
TI - Structure of Radical-Induced Cell Death1 Hub Domain Reveals a Common alphaalpha
Scaffold for Disorder in Transcriptional Networks.
AB - Communication within cells relies on a few protein nodes called hubs, which
organize vast interactomes with many partners. Frequently, hub proteins are
intrinsically disordered conferring multi-specificity and dynamic communication.
Conversely, folded hub proteins may organize networks using disordered partners.
In this work, the structure of the RST domain, a unique folded hub, is solved by
nuclear magnetic resonance spectroscopy and small-angle X-ray scattering, and its
complex with a region of the transcription factor DREB2A is provided through data
driven HADDOCK modeling and mutagenesis analysis. The RST fold is unique, but
similar structures are identified in the PAH (paired amphipathic helix), TAFH
(TATA-box-associated factor homology), and NCBD (nuclear coactivator binding
domain) domains. We designate them as a group the alphaalpha hubs, as they share
an alphaalpha-hairpin super-secondary motif, which serves as an organizing
platform for malleable helices of varying topology. This allows for partner
adaptation, exclusion, and selection. Our findings provide valuable insights into
structural features enabling signaling fidelity.
PMID- 29657134
TI - Early mortality and morbidity following a type II odontoid fracture in the
elderly.
AB - BACKGROUND: We aimed to analyse the rates of early and causes of death in
patients aged over 65 years with a type II odontoid fracture. METHODS: A
consecutive series of 93 patients with a type II fracture of the odontoid process
was retrospectively identified. Data collected included patient demographics, co
morbidities, associated injuries, neurological injury, date of death and cause of
death. Mean patient age was 81. Five patients (5%) were treated operatively while
the rest were treated in a hard cervical collar. Five patients (5%) had an
incomplete cervical cord injury secondary to the fracture. RESULTS: The rate of
mortality at 30 days was 10% (9 patients) and at 90 days it was 16% (15
patients). Following multivariate analysis, the factors found to significantly
increase the risk of 30-day mortality included increasing age, increasing injury
severity score and leukaemia. Following univariate analysis the only factor found
to increase the risk of 90-day mortality was advancing age. The commonest causes
of death were pneumonia and ischaemic coronary disease. CONCLUSION: Our results
suggest that this patient cohort is frail and at risk of early mortality. We
suggest that their inpatient care be provided in close conjunction with elderly
care physicians.
PMID- 29657130
TI - The Platelet Lifeline to Cancer: Challenges and Opportunities.
AB - Besides their function in limiting blood loss and promoting wound healing,
experimental evidence has highlighted platelets as active players in all steps of
tumorigenesis including tumor growth, tumor cell extravasation, and metastasis.
Additionally, thrombocytosis in cancer patients is associated with adverse
patient survival. Due to the secretion of large amounts of microparticles and
exosomes, platelets are well positioned to coordinate both local and distant
tumor-host crosstalk. Here, we present a review of recent discoveries in the
field of platelet biology and the role of platelets in cancer progression as well
as challenges in targeting platelets for cancer treatment.
PMID- 29657133
TI - Development of a Prototype System for Archiving Integrative/Hybrid Structure
Models of Biological Macromolecules.
AB - Essential processes in biology are carried out by large macromolecular
assemblies, whose structures are often difficult to determine by traditional
methods. Increasingly, researchers combine measured data and computed information
from several complementary methods to obtain "hybrid" or "integrative" structural
models of macromolecules and their assemblies. These integrative/hybrid (I/H)
models are not archived in the PDB because of the absence of standard data
representations and processing mechanisms. Here we present the development of
data standards and a prototype system for archiving I/H models. The data
standards provide the definitions required for representing I/H models that span
multiple spatiotemporal scales and conformational states, as well as spatial
restraints derived from different experimental techniques. Based on these data
definitions, we have built a prototype system called PDB-Dev, which provides the
infrastructure necessary to archive I/H structural models. PDB-Dev is now
accepting structures and is open to the community for new submissions.
PMID- 29657136
TI - BLU-667 Targets RET-Altered Cancers.
AB - Findings from a phase I study indicate that the investigational RET inhibitor BLU
667 is safe and well tolerated, inducing good responses in patients with RET
altered medullary thyroid cancer or non-small cell lung cancer.
PMID- 29657137
TI - Adjuvant Therapy for Melanoma Prolongs RFS.
AB - The PD-1 inhibitor pembrolizumab may be an effective adjuvant therapy for
patients with stage III melanoma. In a clinical trial, patients who received the
drug had a 12-month recurrence-free survival rate of 75.4%, compared with 61% for
patients who received a placebo.
PMID- 29657135
TI - Precision Targeted Therapy with BLU-667 for RET-Driven Cancers.
AB - The receptor tyrosine kinase rearranged during transfection (RET) is an oncogenic
driver activated in multiple cancers, including non-small cell lung cancer
(NSCLC), medullary thyroid cancer (MTC), and papillary thyroid cancer. No
approved therapies have been designed to target RET; treatment has been limited
to multikinase inhibitors (MKI), which can have significant off-target toxicities
and limited efficacy. BLU-667 is a highly potent and selective RET inhibitor
designed to overcome these limitations. In vitro, BLU-667 demonstrated >=10-fold
increased potency over approved MKIs against oncogenic RET variants and
resistance mutants. In vivo, BLU-667 potently inhibited growth of NSCLC and
thyroid cancer xenografts driven by various RET mutations and fusions without
inhibiting VEGFR2. In first-in-human testing, BLU-667 significantly inhibited RET
signaling and induced durable clinical responses in patients with RET-altered
NSCLC and MTC without notable off-target toxicity, providing clinical validation
for selective RET targeting.Significance: Patients with RET-driven cancers derive
limited benefit from available MKIs. BLU-667 is a potent and selective RET
inhibitor that induces tumor regression in cancer models with RET mutations and
fusions. BLU-667 attenuated RET signaling and produced durable clinical responses
in patients with RET-altered tumors, clinically validating selective RET
targeting. Cancer Discov; 8(7); 836-49. (c)2018 AACR.See related commentary by
Iams and Lovly, p. 797This article is highlighted in the In This Issue feature,
p. 781.
PMID- 29657138
TI - NHS is harming patient confidentiality by sharing data for immigration purposes,
say MPs.
PMID- 29657139
TI - Power Doppler Ultrasound Evaluation of Peripheral Joint, Entheses, Tendon, and
Bursa Abnormalities in Psoriatic Patients: A Clinical Study.
AB - OBJECTIVE: To evaluate the prevalence rates of peripheral joint, enthesis,
tendon, and bursa abnormalities by power Doppler (PD) ultrasonic examination in
patients with psoriatic arthritis (PsA), psoriatic patients without clinical
signs of arthritis (non-PsA psoriasis group), and healthy individuals, to detect
subclinical PsA. METHODS: A total of 253 healthy volunteers, 242 non-PsA
psoriatic patients, and 86 patients with PsA were assessed by 2-dimensional and
power Doppler (PD) ultrasound. Peripheral joint, enthesis, tendon, and bursa
abnormalities were observed, characterizing abnormal PD. The affected patients
and sites with abnormalities in various ages were compared among groups; PD
signal grades for the abnormalities were also compared. RESULTS: In the PsA
group, significantly higher percentages of sites showing joint
effusion/synovitis, enthesitis, and tenosynovitis in all age groups, and markedly
higher rates of sites with bursitis were found in young and middle age groups,
compared with the non-PsA and control groups (all p < 0.01). Meanwhile, the non
PsA group showed significantly higher rates of joint effusion/synovitis and
enthesitis sites, and elevated PD signal grades of synovitis, enthesitis, and
tenosynovitis in comparison with the control group, both in young and middle age
groups (all p < 0.01). CONCLUSION: Patients with PsA have high percentages and PD
signal grades of peripheral joint, tendon, enthesis, and bursa involvement. Young
and middle-aged non-PsA patients have high synovitis and enthesitis percentages,
and elevated PD signal grades of synovitis, enthesitis, and tenosynovitis.
PMID- 29657141
TI - Association of Serum Soluble CD163 with Polymyositis and Dermatomyositis,
Especially in Anti-MDA5 Antibody-positive Cases.
AB - OBJECTIVE: We elucidated the association of serum soluble CD163 (sCD163) with
rapidly progressive interstitial lung disease (RP-ILD), autoantibody profiles,
and serum ferritin in patients with polymyositis (PM), classic dermatomyositis
(DM), and clinical amyopathic dermatomyositis (CADM). METHODS: Serum sCD163
levels were retrospectively measured by ELISA in patients with PM, classic DM,
and CADM, as well as in healthy controls (HC). Repeat sera samples were obtained
posttreatment from available patients. The associations between serum sCD163
levels and clinical information were analyzed. RESULTS: Serum sCD163 levels in
patients with PM/classic DM/CADM were significantly higher than those in HC (n =
72, 56, 34, and 68, respectively; p < 0.001 for all comparisons). No significant
difference was observed between serum sCD163 levels in patients with and without
ILD (p = 0.16) or between those with RP-ILD and chronic ILD (p = 0.21). Serum
sCD163 levels were significantly higher in patients with anti-MDA5 antibodies (n
= 27) than in those without (p = 0.001). Serum sCD163 levels were weakly
correlated with serum ferritin levels in the patients with PM, classic DM, and
CADM (r = 0.21). Serum sCD163 levels decreased significantly following treatment
in all patient groups (p = 0.003). CONCLUSION: The present results suggest an
association of serum sCD163 with PM, classic DM, and CADM, especially in anti
MDA5 antibody-positive cases. However, serum sCD163 levels were not specifically
associated with ILD or RP-ILD.
PMID- 29657140
TI - Longterm Safety and Efficacy of Adalimumab and Infliximab for Uveitis Associated
with Juvenile Idiopathic Arthritis.
AB - OBJECTIVE: Anti-TNF-alpha agents have significantly changed the management of
juvenile idiopathic arthritis (JIA). We evaluated the safety and efficacy of
adalimumab (ADA) and infliximab (IFX) for the treatment of JIA-associated uveitis
in patients treated for >= 2 years. METHODS: Patients with JIA-associated uveitis
treated with IFX and ADA were managed by a standardized protocol and data were
entered in the ORCHIDEA registry. At baseline, all patients were refractory to
standard immunosuppressive treatment or were corticosteroid-dependent. Data
recorded every 3 months were uveitis course, number/type of ocular flares and
complications, drug-related adverse events (AE), and treatment switch or
withdrawal. Data of patients treated for >= 2 years were analyzed by descriptive
statistics. RESULTS: Up to December 2014, 154 patients with >= 24 months followup
were included in the study. Fifty-nine patients were treated with IFX and 95 with
ADA. Clinical remission, defined as the absence of flares for > 6 months on
treatment, was achieved in 69 patients (44.8%), with a better remission rate for
ADA (60.0%) as compared to IFX (20.3%; p < 0.001). A significant reduction of
flares was observed in all patients without difference between the 2 treatment
modalities. The number of new ocular complications decreased in both groups but
was lower for ADA (p = 0.015). No serious AE were recorded; 16.4% of patients
experienced 35 minor AE and the incidence rate was lower with ADA than with IFX.
CONCLUSION: At the 2-year followup, ADA showed a better efficacy and safety
profile than IFX for the treatment of refractory JIA-associated uveitis.
PMID- 29657142
TI - Age- and Sex-dependent Frequency of Fat Metaplasia and Other Structural Changes
of the Sacroiliac Joints in Patients without Axial Spondyloarthritis: A
Retrospective, Cross-sectional MRI Study.
AB - OBJECTIVE: To determine the prevalence of fat metaplasia and other structural
lesions of the sacroiliac joints associated with axial spondyloarthritis in a
nonrheumatological patient population. METHODS: Magnetic resonance imaging
examinations that included the pelvis and were performed in patients without
known rheumatological disease were used for this retrospective cross-sectional
study. These images were evaluated for sacroiliac fat metaplasia, sclerosis,
osteophytes, and joint space alterations such as erosions or ankylosis. Patients
were divided into 7 age groups (15-24 to >= 75 yrs). Prevalence of lesions across
age groups was calculated. Possible clinical confounders (e.g., status post
radiation, suspected inflammatory bowel disease) were investigated regarding
their effect on lesion prevalence and extent, to exclude bias. RESULTS: A total
of 485 patients were enrolled. Fat metaplasia was very common and increased with
age, from a prevalence of 50.6% in the age groups < 45, to 94.4% in patients >=
75 years. Erosions were uncommon: 0.6% of patients < 45, and 2.6% of the entire
study population exhibited this feature, with no detectable age-dependent
increase. Sclerosis and osteophytes were detected in 13.7% and 37.0% of patients,
respectively. None of the investigated clinical confounders had a significant
effect on lesion prevalence. CONCLUSION: Our study shows a very high prevalence
of fat metaplasia adjacent to the sacroiliac joint in asymptomatic patients,
while erosions are extremely uncommon.
PMID- 29657143
TI - Clinical and Serological Associations with the Development of Incident
Proteinuria in Danish Patients with Systemic Lupus Erythematosus.
AB - OBJECTIVE: In a longitudinal cohort study, we investigated whether clinical and
serological manifestations at the time of classification of systemic lupus
erythematosus (SLE) were predictive of subsequent development of incident
proteinuria as a biomarker of incident lupus nephritis. METHODS: Patients
fulfilling SLE classification criteria but having no proteinuria prior to or at
the time of classification were included. Data on SLE manifestations, vital
status, criteria-related autoantibodies, and SLE-associated medications were
collected during clinical visits and supplemented by chart review. HR were
calculated by Cox regression analyses. RESULTS: Out of 850 patients with SLE, 604
had not developed proteinuria at the time of SLE classification. Of these 604
patients, 184 (30%) developed incident proteinuria following SLE classification.
The patients had a median followup of 11 years and 7 months. Younger age and
history of psychosis at the time of classification were associated with
development of incident proteinuria, just as were lymphopenia (HR 1.49, 95% CI
1.08-2.06), anti-dsDNA (HR 1.38, 95% CI 1.01-1.87), and a high number of
autoantibodies (HR 1.26, 95% CI 1.06-1.48). CONCLUSION: The risk of incident
proteinuria after onset of SLE was increased by the presence of lymphopenia, anti
dsDNA antibodies, psychosis, younger age, and a high number of autoantibodies at
onset.
PMID- 29657144
TI - Macrophage Activation Syndrome in Patients Affected by Adult-onset Still Disease:
Analysis of Survival Rates and Predictive Factors in the Gruppo Italiano di
Ricerca in Reumatologia Clinica e Sperimentale Cohort.
AB - OBJECTIVE: Macrophage activation syndrome (MAS) is a reactive form of
hemophagocytic lymphohistiocytosis, which can complicate adult-onset Still
disease (AOSD). We investigated AOSD clinical features at the time of diagnosis,
to assess predictors of MAS occurrence. Further, we analyzed the outcomes of
patients with AOSD who experience MAS. METHODS: Patients with AOSD admitted to
any Gruppo Italiano di Ricerca in Reumatologia Clinica e Sperimentale center were
retrospectively analyzed for features typical of AOSD, MAS occurrence, and their
survival rate. RESULTS: Of 119 patients with AOSD, 17 experienced MAS (12 at
admission and 5 during followup). Twelve patients with MAS at first admission
differed from the remaining 107 in prevalence of lymphadenopathy and liver
involvement at the time of diagnosis. In addition, serum ferritin levels and
systemic score values were significantly higher in the patients presenting with
MAS. At the time of diagnosis, the 5 patients who developed MAS differed from the
remaining 102 in the prevalence of abdominal pain, and they showed increased
systemic score values. In the multivariate analysis, lymphadenopathy (OR 7.22,
95% CI 1.49-34.97, p = 0.014) and abdominal pain (OR 4.36, 95% CI 1.24-15.39, p =
0.022) were predictive of MAS occurrence. Finally, MAS occurrence significantly
reduced the survival rate of patients with AOSD (p < 0.0001). CONCLUSION: MAS
occurrence significantly reduced the survival rate in patients with AOSD.
Patients with MAS at baseline presented an increased prevalence of
lymphadenopathy and liver involvement, as well as high serum ferritin levels and
systemic score values. The presence of lymphadenopathy and abdominal pain was
associated with MAS occurrence.
PMID- 29657145
TI - HLA-DRB1 Shared Epitope Alleles and Disease Activity Are Correlated with Reduced
T Cell Receptor Repertoire Diversity in CD4+ T Cells in Rheumatoid Arthritis.
AB - OBJECTIVE: Shared epitope (SE) alleles are the most significant genetic
susceptibility locus in rheumatoid arthritis (RA); however, their target
populations in CD4+ T cells are not well elucidated. We analyzed the association
between SE alleles and the T cell receptor (TCR) repertoire diversity of naive
and memory CD4+ T cells using next-generation sequencing (NGS). METHODS: The TCR
beta chains in naive and memory CD4+ T cells from the peripheral blood of 22
patients with RA and 18 age- and sex-matched healthy donors (HD) were analyzed by
NGS. The Renyi entropy was used to evaluate TCR repertoire diversity and its
correlations with SE alleles and other variables were examined. Serum cytokine
levels were measured by multiplex ELISA. RESULTS: The TCR repertoire diversity in
memory CD4+ T cells was reduced in SE allele-positive patients with RA compared
with HD, and showed a significant negative correlation with the SE allele dosage
in RA. The TCR repertoire diversity of naive and memory T cells was also
negatively correlated with disease activity, and the SE allele dosage and disease
activity were independently associated with reduced TCR repertoire diversity. TCR
repertoire diversity showed a significant positive correlation with the serum
interleukin 2 levels. CONCLUSION: SE alleles and disease activity were negatively
correlated with the TCR repertoire diversity of CD4+ T cells in RA. Considering
the pivotal role of CD4+ T cells in RA, restoring the altered TCR repertoire
diversity will provide a potential RA therapeutic target.
PMID- 29657146
TI - Absence of Fibrosis and Inflammation by Cardiac Magnetic Resonance Imaging in
Rheumatoid Arthritis Patients with Low to Moderate Disease Activity.
AB - OBJECTIVE: The prevalence of heart failure is increased 2-fold in patients with
rheumatoid arthritis (RA); this is not explained by ischemic heart disease or
other risk factors for heart failure. We hypothesized that in patients with RA
without known heart disease, cardiac magnetic resonance imaging (cMRI) would
detect altered cardiac structure, function, and fibrosis. METHODS: We performed
1.5-T cMRI in 59 patients with RA and 56 controls frequency-matched for age,
race, and sex, and compared cMRI indices of structure, function, and fibrosis
[late gadolinium enhancement (LGE), native T1 mapping, and extracellular volume
(ECV)] using Mann-Whitney U tests and linear regression, adjusting for age, race,
and sex. RESULTS: Most patients with RA had low to moderate disease activity [28
joint count Disease Activity Score-C-reactive protein median 3.16, interquartile
range (IQR) 2.03-4.05], and 49% were receiving anti-tumor necrosis factor agents.
Left ventricular (LV) mass, LV end-diastolic and -systolic volumes indexed to
body surface area, and LV ejection fraction and left atrial size were not altered
in RA compared to controls (all p > 0.05). Measures of fibrosis were not
increased in RA: LGE was present in 2 patients with RA and 1 control subject;
native T1 mapping was similar comparing RA and control subjects, and ECV (median,
IQR) was lower (26.6%, 24.7-28.5%) in patients with RA compared to control
subjects (27.5%, 25.4-30.4%, p = 0.03). CONCLUSION: cMRI measures of cardiac
structure and function were not significantly altered, and measures of fibrosis
were similar or lower in RA patients with low to moderate disease activity
compared to a matched control group.
PMID- 29657147
TI - Longterm Safety and Efficacy of Subcutaneous Abatacept in Patients with
Rheumatoid Arthritis: 5-year Results from a Phase IIIb Trial.
AB - OBJECTIVE: To assess 5-year safety, tolerability, and efficacy of subcutaneous
(SC) abatacept (ABA) in methotrexate (MTX)-refractory patients with rheumatoid
arthritis (RA). METHODS: The Abatacept Comparison of sub[QU]cutaneous versus
intravenous in Inadequate Responders to methotrexatE (ACQUIRE) phase IIIb,
randomized, double-dummy, multinational trial compared efficacy and safety of SC
and intravenous (IV) ABA in patients with RA. In the initial 6-month double-blind
(DB) period, patients received IV or SC ABA, plus MTX, and in the subsequent open
label longterm extension (LTE) period, all patients received SC ABA (125 mg/wk).
The final 5-year safety, tolerability, and efficacy analyses are reported.
RESULTS: Of 1385 patients who completed the DB period, 1372 entered LTE and 945
(68.8%) completed >= 5 years of treatment. During LTE, 97 (7.1%) patients
discontinued treatment because of an adverse event (AE). Incidence rate (IR;
event/100 patient-yrs of exposure; based on LTE data, 95% CI) for AE of interest
were the following: serious AE 7.73 (6.96-8.58), infection 38.60 (36.24-41.12),
serious infection 1.68 (1.35-2.07), malignancies 1.09 (0.84-1.42), and autoimmune
disorders 1.33 (1.05-1.69), and were stable over time. No association between
immunogenicity and either worsening of ABA safety or loss of efficacy was noted.
Efficacy in the LTE was consistent with the DB period and was maintained to the
end of the study. CONCLUSION: These 5-year data establish that SC ABA (125 mg/wk)
has a consistent safety profile and durable efficacy for longterm treatment of
patients with RA who had an inadequate response to MTX.
PMID- 29657148
TI - The Prevalence of Renal Impairment in Patients with Spondyloarthritis: Results
from the International ASAS-COMOSPA Study.
AB - OBJECTIVE: To assess the prevalence and association of renal dysfunction in
patients with spondyloarthritis (SpA). METHODS: The ASAS-COMOSPA (Assessment of
Spondyloarthritis international Society-COMOrbidities in SPondyloArthritis) was
an international study (22 participating countries from 4 continents)
investigating comorbidities in SpA. Renal function was assessed based on
estimated glomerular filtration rate (eGFR) calculated using the Modification of
Diet in Renal Disease equation. SpA characteristics and risk factors for renal
impairment were collected. Nonsteroidal antiinflammatory drug (NSAID) use was
assessed based on current intake (last 3 mos). RESULTS: Of the 3984 patients
recruited, 2098 (52.6%) were analyzed after excluding outliers and patients with
no available eGFR measurement [male sex: 63.5%; age: 45.3 yrs; disease duration:
8.6 years; HLA-B27+: 73.1%; Bath Ankylosing Spondylitis Activity Index (BASDAI):
3.6/10]. Overall, 153 patients (5.2%, mean age: 53.6 yrs) exhibited an eGFR < 60
ml/min/1.73 m2. In univariate analysis, renal impairment was associated with age
(p < 0.001), HLA-B27 positivity (p = 0.003), several cardiovascular (CV) risk
factors (history of hypertension, p < 0.001; systolic blood pressure, p = 0.009;
diabetes, p = 0.005; and Framingham risk score, p < 0.001), disease activity
scores [BASDAI, p = 0.001; Ankylosing Spondylitis Disease Activity Score-C
reactive protein (ASDAS-CRP), p < 0.001], functional variables (Bath Ankylosing
Spondylitis Functional Index, p < 0.001), inflammatory biomarkers (erythrocyte
and CRP, both p < 0.001), and NSAID intake since onset of disease (percentage of
days, p = 0.008). However, there was no association with disease duration,
disease severity, or ASAS-NSAID score. In multivariate analysis, age (45-59 yrs:
OR 1.9, > 60 yrs: OR 6.2), HLA-B27 positivity (OR 0.51), and CRP (OR 1.3)
remained significantly associated with eGFR < 60 ml/min/1.73 m2. CONCLUSION:
Renal impairment was associated with age, HLA-B27 positivity, and inflammation,
though not with CV risk factors, disease severity, or NSAID intake in patients
with SpA.
PMID- 29657149
TI - The Natural Course of Diffuse Idiopathic Skeletal Hyperostosis in the Thoracic
Spine of Adult Males.
AB - OBJECTIVE: Diffuse idiopathic skeletal hyperostosis (DISH) is characterized by
flowing bony bridges on the right side of the spine. Knowledge of the development
of these spinal bridges is limited. The current longitudinal computed tomography
(CT) study was designed to bridge this gap. METHODS: Chest CT scans from elderly
males with 2 scans (interval >= 2.5 yrs) were retrospectively included. Using the
Resnick criteria, a pre-DISH group and a definite DISH group were identified. A
scoring system based on the completeness of a bone bridge (score 0-3), extent of
fluency, and location of the new bone was created to evaluate the progression of
bone formation. RESULTS: In total, 145 of 1367 subjects were allocated to the
DISH groups with a mean followup period of 5 years. Overall prevalence of a
complete bone bridge increased in the pre-DISH group (11.3% to 31.0%) and in the
definite DISH group (45.0% to 55.8%). The mean bridge score increased
significantly in both the pre-DISH and definite DISH group (p < 0.001). The new
bone gradually became more flowing and expanded circumferentially. CONCLUSION:
Over the mean course of 5 years, the new bone developed from incomplete, pointy
bone bridges to more flowing complete bridges. This suggests an ongoing and
measurable bone-forming process that continues to progress, also in established
cases of DISH.
PMID- 29657150
TI - Causes and Predictors of Early Hospital Readmission in Systemic Lupus
Erythematosus.
AB - OBJECTIVE: We investigated characteristics of adult patients with systemic lupus
erythematosus (SLE) readmitted to the hospital within 30 days of discharge, in an
attempt to identify the causes of early readmission. METHODS: We performed a
retrospective case-control study examining all inpatient electronic health
records of patients with SLE at Cedars-Sinai Medical Center over a 2.5-year
period (2012-2014). Patients were included if they had an International
Classification of Diseases, 9th ed diagnosis of SLE and were readmitted within 30
days of their initial hospitalization. Patients with SLE not readmitted during
this time period were used as a control group. Demographic and clinical variables
for each patient were collected, and we used the Charlson Comorbidity Index to
characterize comorbidities. The Systemic Lupus International Collaborating
Clinics/American College of Rheumatology Damage Index (SDI) was used to assess
the chronic damage of SLE. Stepwise multivariable logistic regression analysis
was used to predict factors associated with readmission. RESULTS: In total, 570
hospitalizations representing 455 unique patients met our inclusion and exclusion
criteria. Of these, 154 patients (34%) underwent readmission within 30 days of
their initial hospitalization. Patients in the early readmission group were more
likely to have government-sponsored Medicaid insurance and were significantly
associated with an increased SDI (OR 1.27, 95% CI 1.1-1.48), lower serum
hemoglobin (OR 0.82, 95% CI 0.72-0.93), and lower serum albumin (OR 0.66, 95% CI
0.47-0.91). CONCLUSION: One-third of hospitalized patients with SLE were
readmitted within 30 days at our institution. We identified characteristics of
this at-risk population at time of discharge with high specificity, in hopes of
reducing this costly outcome.
PMID- 29657152
TI - Venous Thromboembolism in Systemic Sclerosis: Prevalence, Risk Factors, and
Effect on Survival.
AB - OBJECTIVE: Whether systemic sclerosis (SSc) confers increased risk of venous
thromboembolism (VTE) is uncertain. We evaluated the prevalence, risk factors,
and effect of VTE on SSc survival. METHODS: A cohort study was conducted of
subjects with SSc who fulfilled the American College of Rheumatology/European
League Against Rheumatism classification criteria between 1970 and 2017. Deep
vein thrombosis was defined as thrombus on extremity ultrasound. Pulmonary
embolism was defined as thrombus on thorax computed tomography angiogram. Risk
factors for VTE and time to all-cause mortality were evaluated. RESULTS: Of the
1181 subjects, 40 (3.4%) experienced VTE events. The cumulative incidence of VTE
was 2.7 (95% CI 1.9-3.7) per 1000 patient-years. Pulmonary arterial hypertension
(PAH; OR 3.77, 95% CI 1.83-8.17), peripheral arterial disease (OR 5.31, 95% CI
1.99-12.92), Scl-70 (OR 2.45, 95% CI 1.07-5.30), and anticardiolipin antibodies
(OR 5.70, 95% CI 1.16-21.17) were predictors of VTE. There were 440 deaths. There
was no difference in survival between those with and without VTE (HR 1.16, 95% CI
0.70-1.91). Interstitial lung disease (HR 1.54, 95% CI 1.27-1.88) and PAH (HR
1.35, 95% CI 1.10-1.65) were predictors of mortality. CONCLUSION: The risk of VTE
in SSc is comparable to the general population. The presence of PAH, peripheral
arterial disease, Scl-70, and anticardiolipin antibodies are risk factors for
VTE. VTE does not independently predict SSc survival.
PMID- 29657151
TI - Crystal-proven Gout and Characteristic Gout Severity Factors Are Associated with
Cardiovascular Disease.
AB - OBJECTIVE: Our aim was to examine the prevalence of cardiovascular disease (CVD)
in patients with crystal-proven gout compared to arthritis controls. Further, we
analyzed the association between characteristic gout severity factors and CVD to
provide further support for a pathogenetic relationship between gout and CVD.
METHODS: Patients with arthritis referred for diagnosis were consecutively
included in the Gout Arnhem-Liemers cohort. Joint fluid analysis was performed in
all referred patients; controls were negative for crystals. Patients'
characteristics and different manifestations of CVD and gout severity factors
(disease duration, attack frequency, tophi, affected joints, high serum urate
acid level, joint damage) were collected. Gout patients were compared with
controls for the prevalence of CVD. In addition, the association between
characteristic gout severity factors and presence of CVD was analyzed. RESULTS:
Data from 700 gout patients and 276 controls were collected. CVD was present in
47% (95% CI 44%-51%) and 24% (95% CI 19%-29%) of gout patients and controls,
respectively. Corrected for confounders, gout was still strongly associated with
an increased prevalence of CVD compared to controls (OR 3.39, 95% CI 2.37-4.84).
In patients with gout, disease duration >= 2 years, oligo- or polyarthritis,
serum urate acid > 0.55 mmol/l at presentation, and joint damage were
independently (p < 0.05) associated with prevalent CVD. CONCLUSION: Crystal
proven gout was strongly associated with an increased prevalence of CVD. In
patients with gout, characteristic gout severity factors were associated with
CVD.
PMID- 29657153
TI - Repeat Testing of Antibodies and Complements in Systemic Lupus Erythematosus:
When Is It Enough?
AB - OBJECTIVE: Patients with systemic lupus erythematosus (SLE) frequently undergo
repeat testing for antibodies against extractable nuclear antigens (anti-ENA),
but it is not known whether this is necessary or cost-effective. This study
characterized the frequencies of changes in anti-ENA, anti-dsDNA, and complement
C3 and C4 upon repeat testing. METHODS: Chart review was done at one site of 130
patients with SLE enrolled in the 1000 Canadian Faces of Lupus prospective
registry with annual antibody and complement testing. We determined the frequency
of seroconversion (changes) on the next test and over the entire followup given 1
or multiple consistent results, and the cost to detect these changes. RESULTS:
Overall, 89.4% of patients had no changes in anti-ENA screening results from the
first available test, 3.3% changed from negative to positive, and 7.3% from
positive to negative. Following a single anti-ENA test, 3.9% of negative tests
changed to positive and 4.2% of positive changed to negative on the next test.
After multiple consistent tests, the frequencies of changes progressively
declined. No changes from the first test were observed in anti-dsDNA, C3, and C4
in 60.8%, 83.3%, and 75.4% of patients, respectively. After 2 consistent anti-ENA
tests, the cost to detect 1 change was above US$2000. CONCLUSION: Anti-ENA
results change infrequently, especially following 1 or more negative tests. The
high cost and lack of evidence that changes affect management suggest that
repeating anti-ENA tests routinely is unnecessary. Anti-dsDNA and complements
change more frequently after an abnormal result, but less after a normal value.
PMID- 29657155
TI - Harmonisation of standards for permissible radionuclide activity concentrations
in foodstuffs in the long term after the Chernobyl accident.
AB - The article critically examines the practice of post-Chernobyl standardisation of
radionuclide concentrations (mainly 137Cs and 90Sr) in food products (FPs) in the
USSR and the successor countries of Belarus, Russia and Ukraine. Recommendations
are given on potential harmonisation of these standards of radionuclide
concentrations in FPs among the three countries, taking into account substantial
international experience. We propose to reduce the number of product groups for
standardisation purposes from the current amount of several dozens to three to
five groups to optimise radiation control and increase the transparency of the
process. We recommend five product groups for the standardisation of 137Cs and
three groups for 90Sr in food in radiocontaminated areas. The values of standards
for individual product groups are recommended to be set proportionally to the
measured specific activity in each of these groups, which will reduce
unreasonable food rejection. The standards might be set for the entire country,
and could be also used to control imports from other countries as well as exports
to other countries. The developed recommendations were transferred in 2015-2016
to the regulatory authorities of the three countries.
PMID- 29657154
TI - Two new species of Hepatozoon (Apicomplexa: Hepatozoidae) parasitising species of
Philothamnus (Ophidia: Colubridae) from South Africa.
AB - To date, only a few species of Hepatozoon Miller, 1908 have been described from
amphibians and reptiles of South Africa, including two species from anuran hosts,
three from saurians, one from chelonians, and two from ophidians. Hepatozoon
bitis (Fantham, 1925) and Hepatozoon refringens (Sambon et Seligmann, 1907),
parasitising Bitis arientans (Merrem) and Pseudoaspis cana (Linnaeus),
respectively, were described in the early 1900s and since then there have been no
further species of Hepatozoon described from snakes in South Africa. Blood
smears, used in peripheral blood haemogregarine stage morphometrics, and whole
blood used in molecular characterisation of haemogregarines were collected from
the caudal vein of six snakes of three species, namely Philothamnus hoplogaster
(Gunther), Philothamnus semivariegatus (Smith) and Philothamnus natalensis
natalensis (Smith). For comparison, a comprehensive table summarising available
information on species of Hepatozoon from African snakes is presented.
Haemogregarines found infecting the snakes from the present study were
morphologically and molecularly different from any previously described from
Africa and are thus here described as Hepatozoon angeladaviesae sp. n. and
Hepatozoon cecilhoarei sp. n. Both haemogregarine species were observed to cause
considerable dehaemoglobinisation of the host cell, in case of infection with H.
angeladaviesae resulting in a characteristic peripheral undulation of the host
cell membrane and karyorrhexis. To the authors' knowledge, these are the first
haemogregarines parasitising snakes of the genus Philothamnus Smith described
using both morphological and molecular characteristics in Africa.
PMID- 29657156
TI - Effects of titanium surface roughness on the mediation of osteogenesis via
modulating the immune response of macrophages.
AB - Osteoblastic lineage cells are commonly used to evaluate the in vitro osteogenic
ability of bone biomaterials. However, contradictory results obtained from in
vivo and in vitro studies are not uncommon. With the increasing understanding of
osteoimmunology, the immune response has been recognized as playing an important
role in bone regeneration. In this study, we examined the effect of submicron
scaled titanium surface roughness (ranging from approximately 100 to 400 nm) on
the response of osteoblasts and macrophages. The results showed that osteoblast
differentiation enhanced with increased surface roughness of titanium substrates.
The cytoskeleton of macrophages altered with the variation in titanium surface
roughness. The production of cytokines (TNF-alpha, IL-6, IL-4 and IL-10) could be
regulated by titanium surface roughness. Moreover, macrophages cultured on
titanium surfaces exhibited a tendency to polarize to M1 phenotype with the
increase of surface roughness. Material/macrophage conditioned medium tended to
promote osteoblast differentiation with the increase of surface roughness. The
results indicate that increasing surface roughness in the submicron range is
beneficial for osteogenesis via modulating the immune response of macrophages.
Modifying biomaterial surfaces based on their immunomodulatory effects is
considered as a novel strategy for the improvement of their biological
performance.
PMID- 29657157
TI - The Doctor in the Family.
PMID- 29657158
TI - Doctor in the Family or Family Doctor?
PMID- 29657159
TI - Moving Through and Moving Forward.
PMID- 29657161
TI - Everyone should have a physician in the family.
PMID- 29657160
TI - DNR for a Survivor.
PMID- 29657162
TI - Editors' Note.
PMID- 29657163
TI - Watershed and Intersections: The Doctor in the Family.
PMID- 29657164
TI - Doctor in the Family: Stories and Dilemmas Surrounding Illness in Relatives.
AB - This symposium includes twelve personal narratives from physicians with family
members or close personal friends with medical illnesses. This issue also
includes three commentaries on these narratives by scholars in the fields of
bioethics, sociology, and law. The aim of this issue is to explore the benefits
and potential harms that may arise when physicians are involved in the care of
relatives, a role often fraught with conflicting moral obligations.
PMID- 29657165
TI - Family Portrait.
PMID- 29657166
TI - Found in the Translation.
PMID- 29657167
TI - Let Me Hold the Wheel, Daddy!
PMID- 29657168
TI - Caution Ahead-Physician Parent.
PMID- 29657169
TI - A Family Affair.
PMID- 29657170
TI - PICU Doctor in the Family.
PMID- 29657171
TI - For the Love of Tomatoes and Movies: Lessons from a Grandfather's Passing.
PMID- 29657172
TI - A Family Physician: My Role and My Specialty.
PMID- 29657174
TI - Recognising Illness in a Loved One: The Obligation to Speak, the Pull of Silence.
PMID- 29657173
TI - My Father, My Patient.
PMID- 29657175
TI - Abdicating the Role of Physician, Losing the Role of Loved One.
PMID- 29657176
TI - Between a Father and a Son.
PMID- 29657177
TI - When Professional Rightness is Personal.
AB - This commentary discusses twelve stories in which physicians tell what happened
when they were involved in the care of a family member. The stories display
considerable differences in attitude toward the ethics and usefulness of
physicians treating members of their own families. But the more significant
tension is between the analytical or objective attitude that all the writers
presuppose as necessary for doing good medical work, opposed to the emotions
aroused by seeing a loved one suffer. The commentary explores the implications of
assuming this binary opposition, whether it is correct, and how far it is
actually necessary. Concluding comments discuss what kind of ethics is involved
in stories that are all about dilemmas and decisions, but rarely invoke concepts
from bioethics.
PMID- 29657178
TI - Doctor in, and for, the Family?: Physicians Reflect on Care for Loved Ones.
AB - This commentary examines themes identified in twelve physicians' narratives
recounting their experiences in caring for seriously ill family members. In these
narratives, physicians describe heavy emotional burdens and other impediments to
sound medical decision-making with and for their loved ones. The physicians
struggled to balance their roles as physicians and as loving family members, and
they employed their professional role in a variety of different ways. Several
physicians became personal physicians to their family members, and others
deliberately avoided that role. Serving as personal physician to a close family
member is widely viewed as a violation of a professional boundary, and adopting
that role may expose physicians to sanctions. These narratives offer lessons to
physicians regarding their roles in caring for family members and in supporting
family members of patients with grave illnesses.
PMID- 29657179
TI - The Dilemma of the "Doctor in the Family".
AB - The twelve narratives written by physicians for this symposium address the same
question: "What happens when I wear a white physician's coat at the bedside of an
ill or dying family member or friend?" This commentary addresses several key
themes, which emerged as the author reacted to these narratives: (1) the
physicians did not mention policy issues affecting the public inherent in a
health system regulated by statutes and paid for by insurers; (2) the physicians
did not follow the ethical rule against treating family members; (3) there was no
discussion of whether hospital ethics committees or similar advisory bodies might
have helped address conflicts as they arose; and (4) there was minimal discussion
of Advance Medical Directives and Do Not Resuscitate Orders. These narratives
show why individual experiences should have a strong voice in the debates over
health care and health policy.
PMID- 29657180
TI - Views of Low-Income Women of Color at Increased Risk for Breast Cancer.
AB - Individual risk assessment (IRA) for breast cancer may increase adherence to risk
appropriate screening and prevention measures. However, knowledge gaps exist
regarding how best to communicate IRA results and support women at increased risk
in future health care decisions, in part because patients conceptualize and make
meaning of risk differently from the medical community. Better understanding the
views of low-income women of color identified as being at increased risk for
breast cancer can inform efforts to conduct IRA in an ethical and respectful
manner. We conducted in-depth interviews with 13 low-income African American and
Latina women who receive care at a federally qualified health center (FQHC) and
had recently learned of their increased risk for breast cancer. These interviews
explored their experience of the IRA process, their interpretation of what being
at increased risk means, and their reactions to provider recommendations. Eight
key themes were identified. We conclude with recommendations for the
implementation of IRA for breast cancer in underserved primary care settings.
PMID- 29657182
TI - Editors' Note.
PMID- 29657181
TI - A Personal Narrative on Living and Dealing with Psychiatric Symptoms after DBS
Surgery.
AB - Although deep brain stimulation (DBS) may result in dramatic motor improvement in
people with Parkinson's disease (PD), it has been correlated with a number of
postoperative psychiatric side effects. We report a case of a person with PD
experiencing depression and hypomania following DBS surgery. We provide a
detailed report of the patient's personal experiences dealing with and managing
these psychiatric side effects for three years. Providing a personal narrative
focusing on detailed patient subjective experiences complements reports that give
insight into the short- and long-term effects of DBS on established psychiatric
measures and neurologic activity. But, most importantly, such a qualitative
approach provides prospective patients and clinicians with a broader ethical
picture of real-life challenges faced and coping strategies employed by PD
patients treated with DBS who are experiencing psychiatric adverse events. This
case study reinforces the ethical need to disclose the potential risk of harm to
prospective patients as well as the importance of establishing a
multidisciplinary postoperative supportive group.
PMID- 29657183
TI - Supplement: Veterans' Health Care on the Home Front.
PMID- 29657185
TI - Blindsided Abroad.
PMID- 29657184
TI - The Price of Dependence.
PMID- 29657186
TI - What We Miss, or Ignore, or Refuse to See.
PMID- 29657187
TI - Shitbag! An Autobigraphical Essay.
PMID- 29657189
TI - Limited patient choice within the Military Health System.
PMID- 29657188
TI - From Army service to physician to patient.
PMID- 29657190
TI - Fighting for Freedom by Killing the Pain.
AB - This symposium includes six personal narratives about military veterans'
experiences receiving health care through the Military Health System or the
Veterans' Health Administration. Five of the narratives were autobiographical
accounts of men's personal experience of care and one of the accounts was written
by a wife who watched her husband suffer with chronic pain after leaving the
military. There is a good deal of literature in military and veteran's medical
research pertaining to psychological and physical trauma rehabilitation; however,
there is little reflection on what it is like for injured military personnel or
veterans to overcome obstacles while in pain and attempting interface with these
governmental health care systems. This symposium provides a closer look at the
difficulties faced as these six men attempt to fight for personalized care in a
collective heath care system.
PMID- 29657191
TI - Erratum: Intracutaneous pharmacokinetics of oral antifungals and their relevance
in recalcitrant cutaneous dermatophytosis: Time to revisit basics.
AB - [This corrects the article DOI: 10.4103/ijdvl.IJDVL_1012_16].
PMID- 29657192
TI - What we do and do not know about women and kidney diseases; Questions unanswered
and answers unquestioned: Reflection on World Kidney Day and International
Woman's Day.
AB - Chronic Kidney Disease affects approximately 10% of the world's adult population:
it is within the top 20 causes of death worldwide, and its impact on patients and
their families can be devastating. World Kidney Day and International Women's Day
in 2018 coincide, thus offering an opportunity to reflect on the importance of
women's health and specifically their kidney health, on the community, and the
next generations, as well as to strive to be more curious about the unique
aspects of kidney disease in women so that we may apply those learnings more
broadly. Girls and women, who make up approximately 50% of the world's
population, are important contributors to society and their families. Gender
differences continue to exist around the world in access to education, medical
care, and participation in clinical studies. Pregnancy is a unique state for
women, offering an opportunity for diagnosis of kidney disease, but also a state
where acute and chronic kidney diseases may manifest, and which may impact future
generations with respect to kidney health. There are various autoimmune and other
conditions that are more likely to impact women with profound consequences for
child bearing, and on the fetus. Women have different complications on dialysis
than men, and are more likely to be donors than recipients of kidney transplants.
In this editorial, we focus on what we do and do not know about women, kidney
health, and kidney disease, and what we might learn in the future to improve
outcomes worldwide. Kidney Health and Women's Health: a case for optimizing
outcomes for present and future generations.
PMID- 29657193
TI - Atypical hemolytic uremic syndrome: Laboratory characteristics, complement
amplifying conditions, renal biopsy, and genetic mutations.
AB - Atypical hemolytic uremic syndrome (aHUS) is characterized by microangiopathic
hemolytic anemia, consumptive thrombocytopenia, and widespread damage to multiple
organs including the kidney. The syndrome has a high mortality necessitating the
need for an early diagnosis to limit target organ damage. Because thrombotic
microangiopathies present with similar clinical picture, accurate diagnosis of
aHUS continues to pose a diagnostic challenge. This article focuses on the role
of four distinct aspects of aHUS that assist clinicians in making an accurate
diagnosis of aHUS. First, because of the lack of a single specific laboratory
test for aHUS, other forms of thrombotic microangiopathies such as thrombotic
thrombocytopenic purpura and Shiga toxin-associated HUS must be excluded to
successfully establish the diagnosis of aHUS. Second, application of the
knowledge of complement-amplifying conditions is critically important in making
an accurate diagnosis. Third, when available, a renal biopsy can reveal changes
consistent with thrombotic microangiopathy. Fourth, genetic mutations are
increasingly clarifying the underlying complement dysfunction and gaining
importance in the diagnosis and management of patients with aHUS. This review
concentrates on the four aspects of aHUS and calls for heightened awareness in
making an accurate diagnosis of aHUS.
PMID- 29657194
TI - Association of brucellosis with renal tubular and glomerular damage in children
in Turkey.
AB - Brucellosis is a multisystem disease that may present with a broad spectrum of
clinical manifestations. Until now, no studies have been performed on renal
tubular disorders in patients with brucellosis. The present study aims to
investigate renal tubular disorders in patients with brucellosis. This
prospective case-control study includes a total of 31 brucellosis patients (Group
1) and 30 healthy controls (Group 2) matched for age and sex. Renal tubular
functions of children who were diagnosed as having brucellosis in outpatient
pediatric clinics were evaluated. First-morning urine samples were collected from
Group 1 and Group 2 at the same time. Urea, creatinine, potassium, sodium, and
phosphorus were determined in serum and urine by an autoanalyzer. Tubular
reabsorption and excretion of urine electrolytes were calculated using the
related formulas. Patients with brucellosis had significantly lower levels of
tubular reabsorption of phosphorus and serum phosphorus than those of the control
group. Furthermore, urine sodium and serum potassium levels and fractionated
sodium excretion of brucellosis patients were significantly higher than healthy
control group. Estimated glomerular filtration rate was remarkably higher in the
patient group (P < 0.001).We concluded that tubular and glomerular functional
parameters demonstrate deterioration in patients with brucellosis compared to
those in healthy participants.
PMID- 29657195
TI - Evaluation of serum soluble urokinase plasminogen activator receptor as a marker
for steroid-responsiveness in children with primary nephrotic syndrome.
AB - Nephrotic syndrome (NS) is the most common primary glomerular disease among
children. It runs a relapsing course involving prolonged periods of treatment
with corticosteroids and other immunosuppressive medications. Soluble urokinase
plasminogen activator receptor (suPAR) has been regarded as an inflammatory as
well as a permeability factor. The aim of our study was to evaluate serum suPAR
levels in children with NS and its relation to steroid responsiveness. Our study
was carried out on 75 children who were already diagnosed as having NS; they were
classified into three groups (steroid sensitive, steroid dependent, and steroid
resistant). Furthermore, 40 apparently healthy children, age and sex matched with
the NS patients, were enrolled as controls. All children had undergone assessment
of serum suPAR, renal function tests (urea and creatinine), serum albumin, C
reactive protein, and 24-h protein in urine. The study found that suPAR level was
significantly different between the studied groups (P <0.05), being highest in
steroid-resistant NS (66.52 +/- 9.7 ng/mL), followed by steroid dependent (56.82
+/- 11.09 ng/mL), and steroid-sensitive patients (26.22 +/- 3.86 ng/mL), and
lowest in the control group (20.29 +/- 0.69 ng/mL). When receiver operating
characteristics curves were plotted, suPAR had high sensitivities and
specificities in predicting steroid responsiveness, [area under the curve (AUC) =
0.99, 95% confidence interval (CI) = 0.911-1.000, P <0.001], steroid dependence
(AUC = 1.00, 95% CI = 0.929-1.000, P <0.001), and predicting steroid resistance.
Our study indicates that suPAR is significantly higher in children with primary
NS and varies according to their response to steroid therapy. It may act as a
marker for steroid responsiveness in these children.
PMID- 29657196
TI - Atypical hemolytic uremic syndrome: A monocentric adult Tunisian study and review
of literature.
AB - Atypical hemolytic uremic syndrome (aHUS) is characterized by microvascular
thrombosis resulting in thrombocytopenia, hemolytic anemia, and multiorgan
dysfunction. It is associated with genetic or acquired disorders of regulatory
components of the complement system. For our study, we collected data from 16
patients diagnosed with aHUS between January 2010 and January 2014. The mean age
was 33.6 years. The female-to-male ratio was 3. The median follow-up duration was
27 +/- 3.5 months. The most common clinical presentation was hypertension. Renal
involvement was noted in all cases. Ten patients had extrarenal manifestations.
Semi-quantitative dysfunction of the alternative pathway of complement was found
in all cases. A genetic study was not available for our patients. During the
acute stage, all patients received plasma therapy, and among them, seven required
dialysis and five were still on dialysis at the time of discharge. One patient
underwent renal transplantation. None of our patients received eculizumab
perfusion. The renal survival was inversely correlated to young age (<30 years)
(P = 0.001), presence of anti-factor H antibodies (P = 0.003) and serum
creatinine at diagnosis >5 mg/dL (P = 0.02). Mortality rate was significantly
correlated to young age (<30 years old) (P = 0.01). Collecting multicentric data
on adult patients with aHUS will enable better characterization of the spectrum
of adult aHUS in our country and the evaluation of current treatments and
different outcomes.
PMID- 29657197
TI - ADAMTS-13 level in children with severe diarrhea-associated hemolytic uremic
syndrome: Unmasking new association.
AB - Severe deficiency of ADAMTS-13 leads to thrombotic thrombocytopenic purpura. Few
studies have reported reduced activity of ADAMTS-13 in patients with atypical and
typical hemolytic uremic syndrome (HUS). We hypothesized that ADAMTS-13
deficiency might play a role in the pathogenesis of severe HUS. This study aimed
to evaluate the ADAMTS-13 level in severe typical HUS. This prospective case
control study was carried out in the Pediatric Nephrology Unit and Clinical
Pathology Department, Faculty of Medicine, Zagazig University from February 2013
to February 2014. The study included 15 consecutive children with typical HUS as
well as 15 healthy children as a control group. Routine laboratory investigations
were performed. Assessment of serum ADAMTS-13 level was performed using the
Quantikine human ADAMTS-13 ELISA kit. Data were analyzed using Statistical
Package for Social Sciences version 16. Nonparametric values were expressed as
median and range, and the median of two groups was tested by Mann-Whitney test.
The serum ADAMTS-13 level was significantly lower in HUS patients when compared
to the control group (P < 0.05). There were significant negative correlations
between ADAMTS-13 level and duration on dialysis, as well as serum urea and
creatinine. Furthermore, there were significant positive correlations between
serum ADAMTS-13 level and both hemoglobin level and platelet count. Our study
suggests that the ADAMTS-13 level was decreased in children with severe typical
HUS and its deficiency correlated with disease severity.
PMID- 29657198
TI - Scoring systems and outcome of chronic kidney disease patients admitted in
intensive care units.
AB - The outcome of chronic kidney disease (CKD) patients admitted to the Intensive
Care Unit (ICU) is difficult to predict. This study assessed the outcome of CKD
patients admitted to the ICU and evaluated prediction of 30-day mortality using
the Acute Physiology and Chronic Health Evaluation (APACHE II), Simplified Acute
Physiology Score (SAPS II), and Sequential Organ Failure Assessment (SOFA) score.
One hundred consecutive CKD patients admitted to the ICU at a tertiary care
hospital, Ahmedabad between 2011 and 2013 were included prospectively. Data on
demographics, indication for admission, cause of CKD, use of vasoactive drugs and
mechanical ventilation (MV), mode of renal replacement therapy (RRT), and 30-day
mortality were recorded. The APACHE II, SAPS II, and SOFA scores were calculated
based on the admission characteristics. The mean APACHE II, SAPS II, and SOFA
scores were 28.22 +/- 7.53, 43.04 +/- 16.40, and 10.39 +/- 5.20, respectively,
and area under receiver operating characteristics curve in predicting 30-day
mortality were 0.961, 0.994, and 0.950, respectively. The scores were
significantly higher in 30-day nonsurvivors as compared to survivors (P = 0.001).
During the ICU stay, MV and vasoactive drugs were required in 57% and 67% of the
patients, respectively, and the requirement was significantly greater in
nonsurvivors as compared to survivors (P = 0.001). About 85% of patients were on
intermittent hemodialysis and 15% of patients were on continuous venovenous
hemodiafiltration. Sepsis was the main reason for hospital admission, and the
mean length of stay in the ICU was 7.74 +/- 5.34 days. The study indicates that
all three scores (APACHE II, SAPS II, and SOFA) perform equally well and have
equal diagnostic utility in predicting 30-day mortality.
PMID- 29657199
TI - Impact of body mass index on progression of primary immunoglobulin a nephropathy.
AB - The role of obesity in the progression of primary glomerular diseases is
controversial. A few studies report overweight/obesity as a risk factor for
disease progression in immunoglobulin A nephropathy (IgAN), and the real impact
of it still remains unclear. The aim of this study was to elucidate the effect of
body mass index (BMI) on disease progression and proteinuria in patients with
IgAN in Indian population. A cohort of biopsy-proven primary IgAN patients
diagnosed between March 2010 and February 2015 who had a follow-up for a minimum
of 12 months were included in the study. We defined two groups of patients
according to the BMI value at diagnosis: non-obese group (Group N) with BMI <23
Kg/m2 and the overweight/obese group (Group O) with BMI >23 Kg/m2 as per Asia
Pacific task force criteria. Baseline characteristics were compared between the
groups. The estimated glomerular filtration rate (eGFR) and urine protein
creatinine ratio (UPCR) were followed up at entry time, 6 months, 12 months, and
at the end of follow-up. Outcomes studied were change in eGFR, proteinuria, and
progression to end-stage renal disease. Statistical analysis was done using the
Statistical Package for the Social Sciences version 15.0. Of 51 patients, 25
(49%) had BMI <23 kg/m2 (Group N) and 26 (51%) had BMI >23 kg/m2 (Group O) (P =
0.01). The baseline clinical, histopathological, and treatment characteristics of
both the groups were comparable. The BMI at the time of diagnosis did not have
any significant effect on eGFR (P = 0.41) or proteinuria (P = 0.99) at
presentation. At the end of follow-up, both the groups had a similar reduction of
proteinuria (UPCR) (P = 0.46) and eGFR (P = 0.20). Two patients in each group
have reached chronic kidney disease Stage 5. In the present study, BMI at
presentation did not have any impact on eGFR or proteinuria, either at diagnosis
or at follow-up. It needs further large multicenter randomized control studies to
see the effect of BMI on progression of IgAN.
PMID- 29657200
TI - Complementary bodybuilding: A potential risk for permanent kidney disease.
AB - We report our experience of renal disease associated with bodybuilders who had
been on high-protein diet, anabolic androgenic steroids (AASs), and growth
hormone (GH) for years. A total of 22 adult males who volunteered information
about use of high protein diet and AAS or GH were seen over a six-year period
with renal disease. Kidney biopsy revealed focal segmental glomerulosclerosis
(FSGS) in eight, nephroangiosclerosis in four, chronic interstitial nephritis in
three, acute interstitial nephritis in two, nephrocalcinosis with chronic
interstitial nephritis in two, and single patients with membranous
glomerulopathy, crescentic glomerulopathy, and sclerosing glomerulonephritis.
Patients with FSGS had a longer duration of exposure, late presentation, and
worse prognosis. Those with interstitial disease had shorter exposure time and
earlier presentation and had improved or stabilized after discontinuation of
their practice. There is a need for health education for athletes and
bodybuilders to inform them about the risks of renal disease involved with the
use of high-protein diet, AAS, and GH.
PMID- 29657201
TI - Prevalence of malnutrition in hemodialysis patients: A single-center study in
Palestine.
AB - Only a few studies have been published on the nutritional status of hemodialysis
(HD) patients in Arab countries. The aim of this study was to determine the
nutritional status and prevalence of malnutrition and its predictors among HD
patients at An-Najah National University Hospital, Nablus, Palestine. A cross
sectional study was carried out on HD patients in this hospital. Seven-Point
Subjective Global Assessment (7-point SGA) was used to assess the nutritional
state of HD patients. Biochemical tests were obtained during the study period
from medical files of the studied patients. A total of 106 HD patients were
recruited for this study and assessed for nutritional status. More than half (60,
56.6%) of the recruited patients were males. The majority of the patients (86.8%)
were above 45 years of age. Hypertension (65, 61.3%) was the most common comorbid
conditions followed by diabetes mellitus (51, 48.1%). The median SGA score was
5.57 (5-6). More than half of the HD patients (56; 52.8%) were well-nourished
while the remaining (50, 47.2%) had mild-to-moderate malnourishment. Univariate
analysis indicated that SGA score was significantly higher in HD patients with
college education (P = 0.026), nondiabetic (P = 0.044), nonhypertensive (P =
0.037), and those with current occupation (P = 0.025). No significant correlation
was found between SGA score and potassium level (P = 0.134), calcium level (P =
0.883), albumin (P = 0.282), and phosphate level (P = 0.419). However,
significant positive correlation was found between SGA core and hemoglobin level
(P = 0.019; r = 0.227). Multivariate analysis showed no significant predictors of
SGA score. In this pilot single-center study, malnutrition was detected in almost
half of HD patients using SGA scale. Development of nutritional assessment
protocols for HD is an important issue and needs to be followed up by health-care
teams in HD centers.
PMID- 29657202
TI - Outcomes of immunosuppression in IgA nephropathy based on the oxford
classification.
AB - Numerous studies have addressed the predictive value of pathology findings from
the Oxford Classification. Whether this influences treatment choice has not been
determined. We evaluated patients with IgA nephropathy who were immunosuppressed
and correlated our findings with both clinical and histological features as per
the Oxford Classification. This was a retrospective observational study of 45
patients who had biopsy-proven IgA nephropathy with a mean follow-up of 2.6
years. Primary outcomes were time to end-stage renal disease (ESRD) or a 50% rise
in serum creatinine. Immunosuppression was not associated with lower hazards for
both ESRD and 50% rise in serum creatinine. From the Oxford Classification, only
T0 was associated with significantly lower hazards for ESRD [hazard ratio (HR),
0.067; confidence interval (CI) 0.01-0.58]. Patients who had crescents and/or
necrotizing lesions on biopsy were more likely to be immunosuppressed (odds ratio
9.99; 95% CI 1.99-50.06, P = 0.005) but demonstrated a statistically
nonsignificant higher hazard for both renal end points (HR, 1.61; CI 0.19-13.89).
Such lesions were also associated with a higher incidence of hypertension (149
vs. 135 mm Hg) and greater proteinuria (2.7 vs. 1.9 g/day) at presentation. The
use of the Oxford Classification did not aid decision-making with regard to the
use of immunosuppression. Crescents and/or necrosis identified on histology were
associated with the use of immunosuppression. Hence, there is a need for these
lesions to be evaluated further in large cohorts and incorporated into future
disease classifications.
PMID- 29657203
TI - The development of malnutrition is not dependent on its traditional contributing
factors in patients on maintenance hemodialysis in developing countries.
AB - Malnutrition in dialysis population is associated with significant morbidity and
mortality. Nutritional assessment is a neglected area in hemodialysis (HD)
patients in developing countries. The aim of the study was to find out whether
any traditional parameters have statistically significant correlation with
malnutrition. All 58 end-stage renal disease patients on maintenance HD in our
dialysis unit were enrolled in this cross-sectional study. The nutritional status
was assessed by a predesigned questionnaire including subjective global
assessment (SGA). Anthropometric measurements, peripheral neuropathy, and
pertinent laboratory parameters were checked. The duration of HD ranged between
three months to 10 years (mean 4 +/- 1.5 years). Of these 49 patients, 26 (53%)
were males with a median age 45 (25-76) years. Fifteen patients (31%) were well
nourished and 34 (69%) were undernourished including nine (19%) patients
classified as severely malnourished according to SGA. Malnutrition appeared more
prevalent in males, however, statistically not significant (P = 0.063). On
univariate and multivariate analysis, no significance was found across well
nourished and malnourished patients in terms of age, body mass index, calorie
count, duration and frequency of dialysis, dry weight, interdialytic weight loss
or gain in the past six months, body fat percentage, serum albumin, blood
pressure, intradialytic hypotension, urea reduction ration, Kt/Vurea, peripheral
neuropathy, and comorbidities. Psychosocial factors were identified in 24 (49%)
patients with 19 (79%) having some degrees of malnutrition, but the finding did
not reach the statistical significance. Surprisingly, the traditional factors
studied in previous trials have not shown any significant association to
malnutrition in our study based on the statistical analysis.
PMID- 29657204
TI - Urinary tract infection in renal transplant recipients at a tertiary care center
in India.
AB - Our objective was to determine incidence, predisposing factors, and
microbiological profile of urinary tract infection (UTI) in renal transplant
recipients in our center. This was cross-sectional observational study, conducted
at the Department of Nephrology, Army Hospital Research and Referral, Delhi,
India. Two hundred and ten renal transplant recipients were studied over one
year. Out of 210 transplant recipients, 69 (32.86%) had UTI. Majority (59/69) had
undergone live renal transplantation and 10 cases had received cadaveric grafts.
Forty-nine patients had primary infection while 20 patients had recurrences. The
mean age of patients with UTI was 38.63 +/- 10 years. The incidence of UTI was
higher in females (42.25%) than males (28.06%, P = 0.038). Majority of patients
in younger age group (age <30 years) were female (58.82%). Males were
predominantly affected in higher (>30 years) age group (61.54%). Most common
causative agent was Escherichia coli (72.46%). Gram-negative bacilli accounted
for 94.20% (65/69) while Gram-positive cocci for 5.8% (4/69) of positive
cultures. Multidrug resistance was highest in Klebsiella pneumoniae (100%).
Fifteen cases with UTI were detected to have underlying urinary tract
abnormalities, most common being urethral stricture (60%). One patient was
detected to have broken double J stent in the renal pelvis which led to recurrent
E. coli infection. Forty-eight patients (69.57%) developed acute graft
dysfunction secondary to UTI. Female sex (P = 0.038), urinary tract abnormality
(P <0.01), prolonged Foley's catheterization (P <0.01), prolonged hospitalization
after transplantation (P <0.01), new-onset diabetes after transplantation (P
<0.01), and coexisting hepatitis C infection (P = 0.012) were statistically
significant predisposing factors for UTI in renal transplant recipients.
PMID- 29657205
TI - Evaluation and comparison of the effects of Xyla-P cream and cold compress on the
pain caused by the cannulation of arteriovenous fistula in hemodialysis patients.
AB - This study aimed to investigate and compare the effects of Xyla-P cream and cold
compress on the pain caused by the insertion of a needle into the arteriovenous
fistula (AVF) in hemodialysis (HD) patients. This clinical trial was conducted on
HD patients who were selected using simple random sampling method. Using a visual
analog scale, pain intensity was measured during two HD sessions in three stages
including after the application of a placebo, after application of Xyla-P cream,
and after the application of cold compress. The collected data were analyzed
using descriptive statistics and repeated measures analysis of variance. Our
results showed that there were statistically significant differences between the
pain scores of the control group and Xyla-P cream group (P <0.001) and cold
compress group (P <0.001) and between the pain scores of the Xyla-P cream group
and cold compress group (P <0.001). The cannulation of an AVF causes moderate
pain in HD patients. Cold compress is more effective than Xyla-P cream in
reducing the intensity of the pain. Therefore, the nurses are recommended to use
this pain relief method instead of medicinal methods.
PMID- 29657206
TI - Comparison of intradermal route and dose of Hepatitis B vaccine administration in
chronic dialysis patients: A pilot study.
AB - We aimed to study the seroconversion rate in two arms of intradermal (ID) route:
low dose with high-frequency and high dose with low-frequency hepatitis B (HB)
vaccination in dialysis patients. A total of 56 patients, on either hemodialysis
or peritoneal dialysis, were included. Patients were enrolled and randomized into
two groups. The first group was immunized through the ID route and received
weekly 10 MUg of vaccine at 0, 1, 2, 3, 4, 5, 6, and 7 weeks (low-dose with high
frequency). The second group was immunized through the ID route and received two
doses of 40 MUg at one-month interval (high dose with low frequency). Anti-HBs
antibody titers were measured at one month and one month after completion of the
vaccination, i.e., at three months in each group. At one month, each group had
received 40 MUg of vaccine. The seroconversion rate was 28.57% in each group. At
one month after completion of vaccination, seroconversion rate in low-dose ID and
high-dose ID was 60% and 58.33%, respectively (P = 0.911) at 80 MUgm of total
vaccine dose. The overall "good" responders in low-dose versus high-dose ID route
were 30% and 50%, respectively (P = 0.179). However, among responders, anti-HBs
antibody titers >=100 mIU/mL in low-dose and high-dose ID route were 50% and
85.7%, respectively (P = 0.049). The rate of seroconversion is comparable in both
low dose with high-frequency and high dose with low-frequency ID route.
PMID- 29657207
TI - Adoption of transposed basilic vein as access for hemodialysis.
AB - The fistula first initiative has rekindled interest in transposition of basilic
vein (TBV) in preference to arteriovenous grafts (AVG). TBV is considered to have
advantages over AVG. The aim of this study was to analyze the outcome of TBVs in
our center comparing them to a historically matched group of patients who had
AVG. Thirty-two patients who underwent TBV as a vascular access procedure in
Derriford Hospital between January 2010 and October 2014 were included in the
study. The historical control group comprised 31 patients who had AVG inserted in
the upper arm between January 1999 and December 2010. Patients who had looped AVG
were excluded from the study. The primary failure rates were 22% (7/32) and 16%
(5/31) for TBV and AVG, respectively (P = 0.7500). AVGs were associated with a
higher incidence of infection and steal syndrome, but the differences were not
statistically significant (P = 0.286 and P = 0.286, respectively). Twenty-two
interventions were undertaken in the TBV group compared to 18 in the AVG group.
This study shows that adoption of TBV reduces the need for AVG. To improve TBV
access maturation and survival, it is necessary to consider adopting a selection
criteria based on findings on vessel mapping.
PMID- 29657208
TI - Renal amyloidosis in ankylosing spondylitis: A monocentric study and review of
literature.
AB - Secondary renal amyloidosis (RA) is the most common type of renal involvement in
ankylosing spondylitis (AS). We assessed the epidemiologic and clinico-biological
profile of AS patients with RA, to analyze treatment modalities and prognostic
aspects, and to determine predictive factors of RA during AS. This was a
retrospective study including 13 cases of RA among 212 cases who presented with
AS, during the period from 1978 to 2006. The median age of the patients at the
time of diagnosing AS was 47 years (range: 19-67). There were 11 males and two
females. RA onset was diagnosed after a mean follow-up of 144.6 months (range: 10
505) from the AS diagnosis. We noted erosive peripheral arthritis, lumbar
stiffness with bamboo spine, and coxitis in 23.1%, 76.9%, and 30.8% of cases,
respectively. Nephrotic syndrome was found in eight patients (61.5%). At the time
of diagnosing RA, six patients had renal failure. Amyloid deposits were
histologically proven by salivary gland biopsy in six cases (46.1%) and by renal
biopsy in seven cases (53.8%). Four patients received a long-course treatment
with colchicine but with a good outcome only in two cases. In our series, AS was
more severe among patients with RA. Four predictive factors of RA were
identified: smoking (P = 0.04), erosive peripheral arthritis (P = 0.002), bamboo
spine (P = 0.001), and biologic indicators of inflammation (P = 0.0001). High
erythrocyte sedimentation rate was identified as the only independent risk factor
of RA during AS (P = 0.0001). Renal function as well as urinalysis should be
monitored at regular intervals to detect early renal involvement during AS.
PMID- 29657209
TI - Spectrum of biopsy-proven renal diseases: A single center experience.
AB - Kidney biopsy is one of the most important tools in the assessment of kidney
disease as histopathological diagnosis promotes evidence-based practice in
Nephrology. This study included 271 consecutive percutaneous kidney biopsies (145
males and 126 females) performed at EMS Memorial Cooperative Hospital,
Perinthalmanna, Kerala, India, from September 2009 to March 2016. Among the
biopsy-proven renal diseases (BPRD), primary glomerular diseases (PGD) were the
most common (77.78%) followed by secondary glomerular diseases (SGD) (12.22%) and
tubulointerstitial diseases (10%). The IgA nephropathy (IgAN) was the most common
PGD and majority had mesangial hypercellularity (M1) (93.54%), tubular atrophy
(T1 or T2 68.25%), and the most common pattern was M1, E0, S0, and T1, suggesting
that patients of Indian subcontinent have aggressive disease type, unlike western
literature. The focal segmental glomerulosclerosis (FSGS) was the second most
common PGD and the majority were of not otherwise specified type. FSGS,
membranous nephropathy and minimal change disease were the three most common
causes for PGD causing nephrotic syndrome. Diabetic nephropathy and lupus
nephritis were the two most common biopsy-proven SGDs. Among the patients of
diabetes mellitus who underwent renal biopsy with suspicion of nondiabetic renal
disease (NDRD), 58.33% had NDRD, 16.67% had DN+ NDRD, and 26.67% had DN alone.
This study shows the changing pattern BPRD in comparison to earlier studies. This
study also confirms the aggressive nature of IgAN in Indian patients and
underlines the importance of renal biopsy in patients of DM.
PMID- 29657210
TI - Refusal of hemodialysis by hospitalized chronic kidney disease patients in
Pakistan.
AB - In Pakistan, patients with chronic kidney disease (CKD) are commonly diagnosed at
a late stage. There is little information about the refusal of hemodialysis by
hospitalized CKD patients who need hemodialysis (HD) and reasons for acceptance
and refusal among these patients. All patients with Stage V CKD who had medical
indications to undergo HD and were hospitalized at a tertiary care facility over
a six-month period were invited to participate in this study. Patients were
surveyed regarding acceptance or refusing of HD and reasons for their decisions.
Demographic, socioeconomic, and clinical characteristics of patients were
compared between patients who accepted or refused HD. A total of 125 patients
were included in the study. The mean age of the patients was 47.9 +/-12.1 years.
The mean duration of diagnosis of CKD was 2.5 +/- 0.6 months. Of all patients, 72
(57.6%) agreed to do HD and 53 (42.4%) refused HD. Patients with arteriovenous
fistula in place (27.1 vs. 9.1%, P 0.02) and those in the middle- or higher
income group (64.4% vs. 38.6%, p = 0.03) were more willing to undergo HD. Trust
in doctor's advice (86.1%) was the most common reason for acceptance of HD.
Frequency of HD per week (52.8%), lifelong and permanent nature of HD (50.9%),
advice by family members or friends (37.7%), perception of poor quality of life
on HD (35.8%), and fear of HD needles and complications during HD (33.9%) were
the most common reasons for refusal. Refusal of HD is common among hospitalized
CKD patients with medical indications to undergo HD, especially in lower income
group.
PMID- 29657211
TI - Etiological profile of chronic kidney disease: A single-center retrospective
hospital-based study.
AB - Chronic kidney disease (CKD) is one of the leading causes of chronic diseases
globally, with rising incidence and prevalence. It is a major risk factor for
cerebrovascular disease and coronary artery disease, which are the main causes of
death in this population. The etiology of CKD is varied. This study was performed
to evaluate the various etiologies of CKD among patients presenting to the
Department of Nephrology, Guwahati Medical College, a tertiary referral center. A
total of 5718 CKD patients were evaluated to identify the cause of CKD. The most
common cause was found to be diabetes mellitus in 42.2%, followed by chronic
glomerulonephritis in 21.4%, hypertension in 19.5%, obstructive uropathy in 6.9%,
chronic interstitial nephritis in 3.6%, and autosomal dominant polycystic kidney
disease in 1.5% of the patients. Nearly 2.7% of the patients had CKD of unknown
etiology. Most of the common causes of CKD are potentially treatable and
initiating appropriate treatment early may prevent the development of CKD or
progression to end-stage renal disease.
PMID- 29657212
TI - Mortality in the elderly with acute kidney injury in an internal medicine
department in Abidjan, Cote D'Ivoire.
AB - Acute kidney injury (AKI) in the elderly is characterized by high mortality. The
objective of this study is to identify the causes of death in the elderly with
AKI. This is a prospective cohort study with a descriptive purpose which was
carried out during the period from January 2009 to December 2014 in the
Department of Nephrology-Internal Medicine of Treichville University Hospital.
The diagnosis of AKI was made on the basis of serum creatinine (SCr) values
determined during hospitalization, according to KDIGO classification. Our study
involved 107 elderly aged 65 and older with AKI. The hospital mortality rate was
46.7% (50/107). The average age of deceased patients was 71.8 +/- 7 years with
extremes of 65 and 90 years. We observed a male predominance with a sex ratio
(41/9) of 4.55. AKI on admission was in Stage 1 in 16% of cases, in Stage 2 in
24%, and in Stage 3 in 60% cases. SCr was normal in 8%, the AKI was in Stage 1 in
34% (P = 0.09), Stage 2 in 18% (P = 0.001), and Stage 3 in 40% (P = 0.0001). AKI
in deceased patients was organic in 36% of cases, obstructive in 30%, and
functional in 6%. The etiologies of AKI were infections (46%), cancer (18%),
benign tumors of the urinary tract (12%), malignant hypertension (6%),
decompensated heart disease (6%), and drugs (6%). The main causes of death were
sepsis (28%), cardiovascular disease (22%), severe renal failure (20%), pelvic
cancers (16%), and liver failure (12%). All patients with cancer (P = 0.001) or
HIV infected (P = 0.009) died. Sixty-eight percent of deaths occurred the 1st
week. Death was earlier by sepsis and cardiovascular disease than by cancer.
Mortality is high in the elderly. The main causes of death were sepsis,
cardiovascular disease, renal failure, pelvic cancer, and liver failure.
PMID- 29657213
TI - Reactive perforating collagenosis: An important differential diagnosis in
hemodialysis patients.
AB - This is a case report of a 68-year-old hypertensive, diabetic woman who was on
regular thrice weekly hemodialysis (HD). She presented with gradually worsening
left lower limb pain and swelling. Clinical examination revealed significant
edema over the left calf and ankle joint with significant calf tenderness.
Extensive workup including magnetic resonance imaging of the lower limb and
venous Doppler failed to show any significant abnormality. In view of developing
papular lesions over the area, skin biopsy was performed, which finally confirmed
reactive perforating collagenosis. This diagnosis ensured reassurance to the
patient with halting of further extensive and probably expensive testing. Simple
conservative management and symptomatic relief improved the pain over the next
few days. This case report highlights the importance of keeping a wide
differential for calf tenderness in diabetic HD patients and projects reactive
perforating collagenosis as one of the important but frequently missed entities
in such a scenario.
PMID- 29657215
TI - Acute gastric dilatation in a patient with lupus nephritis: An uncommon
presentation of lupus mesenteric vasculitis.
AB - Abdominal symptoms are common in patients with lupus nephritis and are often
attributed to drugs or uremia per se. Lupus mesenteric vasculitis (LMV) or lupus
enteritis is a rare entity reported in patients with active systemic lupus
erythematosus. It usually occurs in patients with a long-standing history of
lupus with high disease activity. Usually, small bowel is predominantly affected.
The stomach and rectum are spared in view of significant collateral circulation.
Here, we describe an 18-year-old boy who presented with nephrotic syndrome
without any extrarenal features of lupus. On subsequent evaluation, he was found
to have active lupus nephritis. He developed acute gastric dilatation secondary
to extensive LMV. Imaging showed an extensive involvement of gastrointestinal
tract from the stomach to the sigmoid colon, sparing the rectum. To the best of
our knowledge, this is the first report of LMV presenting as acute gastric
dilatation.
PMID- 29657214
TI - Kaposi's sarcoma in patients with membranous nephropathy.
AB - Although the relationship between Kaposi's sarcoma (KS) and renal transplant has
been well described, there are rare cases of KS concurrent with membranous
glomerulonephritis or other glomerular diseases. In this report, a patient with
membranous glomerulonephritis that accepted long-term prednisolone and
cyclosporine immunosuppressive therapy developed skin KS. Withdrawal of
immunosuppressive treatment resulted in the disappearance of KS.
PMID- 29657216
TI - Colistin-induced myasthenic syndrome in a patient with end-stage renal disease.
AB - With resurgence of multidrug resistance (MDR) bacteria and no new novel broad
spectrum antibiotic in research pipeline, usage of older generation antibiotics,
once discarded due to their toxicity profile are becoming popular again. Often
these drugs are the only option left in managing MDR bacteria-related sepsis.
Colistin is one of such antibiotic which is often used in recent times after
decades of its avoidance due to its diverse toxicity profile. In this case
report, we present a rare myasthenic syndrome like neuromuscular complication
developed in a patient after receiving colistin for treatment of MDR Klebsiella
related urosepsis.
PMID- 29657217
TI - Systemic lupus erythematosus with Sjogren's syndrome and renal tubular acidosis
presenting as nephrogenic diabetes insipidus.
AB - Systemic lupus erythematosus (SLE) presenting as diabetes insipidus (DI) is a
rare association; there is a case report of neurogenic DI in patients of SLE;
however, SLE and nephrogenic DI has not been reported in literature. We present a
case of SLE presenting as nephrogenic DI. We report a case who presented with DI
(nephrogenic) and fulfilled criteria for SLE and Sjogren's syndrome with renal
tubular acidosis.
PMID- 29657218
TI - Rapidly progressive glomerulonephritis: A wild card manifestation of lupus
nephritis.
AB - A significant proportion of individuals with systemic lupus erythematosus (SLE)
will have clinical or laboratory evidence of renal involvement at some point in
the course of their disease. Lupus nephritis (LN) is one of the more important
systemic manifestations of SLE and although progression to end-stage renal
disease (ESRD) is not usually the norm, a significant minority of patients will
do so, some in a rather dramatic fashion. It is in such instances that LN
constitutes a true nephrologic emergency. Gratifyingly, it is rarely a silent
emergency and heightened awareness amongst clinicians about its unpredictable
course should lead to earlier recognition and intervention. For this reason, a
case of LN presenting with historical and histologic evidence of chronic kidney
disease and superimposed, acute acceleration of renal function decline, leading
rapidly to ESRD is reported here. A brief review of the literature surrounding
the pathophysiologic mechanisms, clinicopathologic characteristics and current
therapeutic approaches to LN is subsequently explored.
PMID- 29657219
TI - Paraneoplastic glomerulopathies associated with hematologic malignancies.
AB - Paraneoplastic glomerulopathy (PG) includes a group of glomerular lesions that
are not directly related to tumor burden, invasion, or metastasis, but induced by
products from tumor cells such as hormones, growth factors, cytokines, and tumor
antigens. PG was first described by Galloway in 1922, in which nephrotic syndrome
(NS) secondary to Hodgkin's lymphoma was reported. They can present before, at
the time of diagnosis, during remission, or at relapse of the hematological
malignancies. We present three cases of paraneoplastic NS associated with
hematological malignancies. Early diagnosis and treatment can alter prognosis of
these patients. Paraneoplastic syndromes would remit only with proper treatment
of the neoplasia.
PMID- 29657220
TI - Complete remission of nephrotic syndrome secondary to amyloid a amyloidosis in
patient with inactive Crohn's disease after treatment by infliximab.
AB - Secondary amyloidosis Amyloid A (AA) is an infrequent but a severe complication
of Crohn's disease (CD). This complication results from the activity of the
underlying inflammation disease to form amyloid fibril deposits in tissues. We
present a case of a 34-year-old female patient with CD treated by azathioprine
with inactive disease for three years and who developed a nephrotic syndrome
secondary to AA amyloidosis. The treatment by infliximab for one year leads to a
complete remission of the nephrotic syndrome. In this case, this complication
occurred while the patient was clinically well, with biological and endoscopic
markers showing an inactive or only mildly active disease. Infliximab could be a
useful tool for a successful treatment of amyloidosis secondary to CD.
PMID- 29657221
TI - Unusual cause of crystalline nephropathy.
AB - Adenine phosphoribosyltransferase deficiency is a rare, inherited autosomal
recessive disease presenting with 2,8-dihydroxyadenine (DHA) urolithiasis, DHA
nephropathy, and chronic kidney disease. The presence of DHA crystals in urine
and renal biopsy is pathognomonic of the disease. We report a 23-year-old female
with acute renal failure and nephrotic proteinuria. Urinalysis showed reddish
brown, round crystals with dark outline, and central spicules consistent with 2,8
DHA crystals. Renal biopsy showed membranous nephropathy and 2,8-DHA nephropathy.
Our patient improved with liberal fluid intake, restriction of high adenine
content foods, and oral xanthine dehydrogenase inhibitor febuxostat. Early
diagnosis and initiation of treatment prevent renal complications.
PMID- 29657222
TI - Management of Salmonella peritonitis in a patient on peritoneal dialysis without
catheter removal.
AB - Peritoneal dialysis (PD)-associated peritonitis is an important contributor to
morbidity and mortality; Gram-positive bacteria constitute the most frequent
associated pathogens (45%-60%) followed by Gram-negative bacteria (25%-40%) and
fungal infection (3%-6%). Salmonella peritonitis is an extremely rare cause of
peritonitis (0.15%). Peritonitis attributed to Salmonella has been reported only
in four cases. Herewith, we report a 68-year-old Sudanese female who presented to
our PD unit with abdominal pain and diarrhea and was diagnosed to have Salmonella
peritonitis. She was managed without catheter removal. To our knowledge, the
association between Salmonella peritonitis due to Salmonella enteritidis in a
patient on PD managed without catheter removal has been reported in only one case
previously.
PMID- 29657223
TI - Distal renal tubular acidosis in Sjogren's syndrome.
AB - Interstitial nephritis and immune complex-mediated glomerulonephritis are the two
common renal manifestations of primary Sjogren's syndrome (SS). Here, we discuss
three cases of primary SS where presenting manifestation was distal renal tubular
acidosis. The possibility of an underlying autoimmune disorder should be
considered in a patient presenting with distal tubular acidosis or recurrent
hypokalemic periodic paralysis as treatment of primary disease improves the
outcome of illness.
PMID- 29657224
TI - Comparison between adjuvanted and nonadjuvanted influenza vaccination among
patients undergoing hemodialysis: A cost-effectiveness analysis from Thailand.
PMID- 29657225
TI - Cost-effectiveness analysis of common tuberculosis screening laboratory tests for
hemodialysis patients: An analysis from tropical endemic country, Thailand.
PMID- 29657226
TI - The association between apolipoprotein E polymorphism and diabetic nephropathy in
Iranian patients.
PMID- 29657227
TI - Author's reply.
PMID- 29657228
TI - Human babesiosis, renal failure, and dialysis: A summary and comment on forgotten
disease.
PMID- 29657229
TI - Sunitinib therapy as a cause of diffuse digestive tract hemorrhage in end-stage
renal disease patient.
PMID- 29657230
TI - Renal failure in advanced cholangiocarcinoma: Magnitude.
PMID- 29657231
TI - Tunnel use facilitates handling of ICR mice and decreases experimental variation.
AB - We evaluated a handling method using tunnels to tame laboratory mice (ICR) in the
context of animal welfare and ease of handling. During 1-week acclimation to
handling and subsequent 1-week oral administration (once per day), voluntary
interaction with the experimenter was much greater in mice handled by a tunnel
compared to those picked up by the tail. According to a rating of the ease of
handling laboratory mice, a tunnel facilitated mouse handling during acclimation
to handling and oral gavage of saline compared to tail handling. In addition,
mice handled by a tunnel showed less anxiety than mice handled by the tail in the
open field test, but not in elevated plus maze. Calculation of experimental
variation in behavioral tests, which were used to mimic pharmacological studies,
suggested that mice handled by a tunnel exhibited the tendency of less variation
compared to those picked up by the tail, in both groups that were
intraperitoneally administered saline as placebo and diazepam as an active drug.
Thus, tunnel use could be beneficial for improving animal welfare and facilitated
handling of ICR mice in mouse studies.
PMID- 29657232
TI - CRISPR/Cas9-mediated knock-in of the murine Y chromosomal Sry gene.
AB - Mammalian zygote-mediated genome editing via the clustered regularly interspaced
short palindromic repeats/CRISPR-associated endonuclease 9 (CRISPR/Cas9) system
is widely used to generate genome-modified animals. This system allows for the
production of loss-of-function mutations in various Y chromosome genes, including
Sry, in mice. Here, we report the establishment of a CRISPR-Cas9-mediated knock
in line of Flag-tag sequences into the Sry locus at the C-terminal coding end of
the Y chromosome (YSry-flag). In the F1 and successive generations, all male pups
carrying the YSry-flag chromosome had normal testis differentiation and proper
spermatogenesis at maturity, enabling complete fertility and the production of
viable offspring. To our knowledge, this study is the first to produce a stable
Sry knock-in line at the C-terminal region, highlighting a novel approach for
examining the significance of amino acid changes at the naive Sry locus in
mammals.
PMID- 29657234
TI - (+/-)-Terreinlactone A, a Pair of 3-Substituted delta-Lactone Enantiomers Derived
from Terrein from the Fungus Aspergillus terreus.
AB - Terreinlactone A (1a/1b), a pair of 3-substituted delta-lactone enantiomers, and
terreinlactone B (2), a new biosynthetic intermediate of 1a/1b, were isolated
from Aspergillus terreus, along with their biosynthetic precursor (+)-terrein (3)
and (+)-isoterrein (4). Compounds 1a and 1b were separated by using a Daicel
chiral-pak ASH column eluting with n-hexane-EtOH (80 : 20). The structures of
1a/1b with absolute configurations were determined by comprehensive spectroscopic
analyses and electronic circular dichroic (ECD) calculations. Terreinlactone A
(1) represents the first example of 1,5-seco-terrein and a biogenetic pathway is
proposed from the precursor terrein via the intermediated terreinlactone B (2).
PMID- 29657233
TI - Reproductive technologies for the generation and maintenance of valuable animal
strains.
AB - Many types of mutant and genetically engineered strains have been produced in
various animal species. Their numbers have dramatically increased in recent
years, with new strains being rapidly produced using genome editing techniques.
In the rat, it has been difficult to produce knockout and knock-in strains
because the establishment of stem cells has been insufficient. However, a large
number of knockout and knock-in strains can currently be produced using genome
editing techniques, including zinc-finger nuclease (ZFN), transcription activator
like effector nuclease (TALEN), and the clustered regularly interspaced short
palindromic repeats (CRISPR) and CRISPR-associated protein 9 (Cas9) system.
Microinjection technique has also contributed widely to the production of various
kinds of genome edited animal strains. A novel electroporation method, the
"Technique for Animal Knockout system by Electroporation (TAKE)" method, is a
simple and highly efficient tool that has accelerated the production of new
strains. Gamete preservation is extremely useful for maintaining large numbers of
these valuable strains as genetic resources in the long term. These reproductive
technologies, including microinjection, TAKE method, and gamete preservation,
strongly support biomedical research and the bio-resource banking of animal
models. In this review, we introduce the latest reproductive technologies used
for the production of genetically engineered animals, especially rats, using
genome editing techniques and the efficient maintenance of valuable strains as
genetic resources. These technologies can also be applied to other laboratory
animals, including mice, and domestic and wild animal species.
PMID- 29657235
TI - Reduction in Door-to-Balloon Time with Training for Effective and Efficient
Action in Medical Service-Better Process (TEAMS-BP) at a Community Hospital in
Japan.
AB - ST-elevation myocardial infarction (STEMI) is a fatal condition. Prompt primary
percutaneous coronary intervention is associated with lower mortality. However,
community hospitals in Japan lack human and medical resources, and implementation
of the same strategies as those used in the USA, such as an on-call cardiologist
at the hospital, to achieve a door-to-balloon time of <= 90 min appears
particularly challenging. Therefore, we used Training for Effective and Efficient
Action in Medical Service-Better Process (TEAMS-BP) to develop a new process and
assessed its effectiveness in reducing door-to-balloon time. TEAMS-BP can
optimize the process by making the best use of available materials, machines,
facilities and manpower. All processes conducted by physicians, nurses,
electrocardiogram technicians, radiological technologists, and clerical staff in
the emergency room were reviewed, documented, and standardized using the four
steps of TEAMS-BP. The following processes were implemented: setting time goals,
calling an electrocardiogram technician beforehand, minimizing tasks before
calling a cardiologist, confirming the checklist, and providing data feedback.
Forty-four STEMI patients who were treated after TEAMS-BP implementation were
compared with 58 who were treated before implementation. Median door-to-balloon,
door-to-electrocardiogram and door-to-laboratory times were significantly reduced
after TEAMS-BP implementation, decreasing from 106 to 82 min, 14 to 6 min, and 67
to 45 min, respectively. In conclusion, implementation of TEAMS-BP improved the
door-to-balloon time of STEMI cases without additional resources or costs
incurred by the hospital. TEAMS-BP can be implemented by any hospital wishing to
develop a new process that accommodates local working conditions.
PMID- 29657236
TI - Black soldier fly (Hermetia illucens) larvae enhances immune activities and
increases survivability of broiler chicks against experimental infection of
Salmonella Gallinarum.
AB - Black soldier fly (Hermetia illucens) larvae (BSFL) are rich in protein and have
the potential to be used in animal feed. The aim of the present study was to
determine the immunoprophylactic effect of BSFL against Salmonella Gallinarum in
broiler chicks as an alternative feed additive. Results showed that BSFL improved
body weight gain and increased frequency of CD4+ T lymphocyte, serum lysozyme
activity, and spleen lymphocyte proliferation. Moreover, BSFL reinforced
bacterial clearance and increased survivability of broiler chicks against S.
Gallinarum. These data suggested that BSFL has prophylactic properties with
stimulating non-specific immune responses, as well as reduced bacterial burden
against S. Gallinarum.
PMID- 29657237
TI - Management of sternal dislocation with and without surgery in cats: Owner
assessed long-term follow-up of two clinical cases.
AB - The aim of this paper is to report two cases of sternal dislocation (SD) in cats
and the long-term outcomes with and without surgery. In a cat with poly
traumatized SD (Case 1), mandibular, radial, and ulnar fractures were corrected
first, and the SD was allowed to heal without intervention for 14 months.
However, normal healing did not occur and sternal instability remained.
Therefore, the SD was corrected surgically, and the cat recovered fully within 4
weeks. In a cat with isolated SD (Case 2), surgery was performed, and normal
posture and gait were regained after 5 weeks. Furthermore, in both cases, no
postoperative complications were observed during follow-up. Therefore, surgical
correction of SD in cats is recommended.
PMID- 29657238
TI - The first report of terbinafine resistance Microsporum canis from a cat.
AB - A 2-year-old, exotic shorthair cat presented with baldness and mild scaling on
trunk that was confirmed as Microsporum canis (M. canis) infection by the
following methods. Wood's lamp and trichogram were used to demonstrate fungal
elements suggestive of dermatophytosis consistent with M. canis. Dermatophyte
test medium (DTM) and polymerase chain reaction (PCR) were used for
identification. E-test and broth microdilution test were then utilized to
estimate antifungal minimal inhibitory concentrations (MICs) towards ITZ and TRF
respectively. The strain was isolated from the patient and revealed TRF MIC >32
ug/ml and ITZ MIC 0.023 ug/ml. Patient was cured of dermatophytosis with systemic
ITZ.
PMID- 29657239
TI - Application of a Fresh Decellularized Pulmonary Allograft for Pulmonary Valve
Replacement in Japan.
AB - BACKGROUND: Tissue engineering has advanced the technique of decellularization of
the heart valve. The valve is reseeded with the patient's own cells after
implantation with suppression of immunologic reactions. The same advantage has
been reported for fresh decellularized heart valves, and more than 10 years of
excellent outcomes have been achieved. We began performing such heart valve
implantations in 2013 as part of a clinical study at Osaka University. We report
our evaluation of the safety and efficacy of heart valve implantation.Methods and
Results:Human pulmonary valves from the German Society for Tissue Transplantation
(n=2) or from Japanese heart transplant recipient heart (n=4) were used to make
decellularized heart valves; the decellularization process was the same as that
used in Europe. Valves were implanted in 5 adults with pulmonary valve
insufficiency after tetralogy of Fallot repair and in 1 infant with a double
outlet right ventricle with pulmonary stenosis. Postoperative echocardiography
and cardiac magnetic resonance imaging revealed that the valve and ventricular
function were significantly improved and maintained postoperatively. CONCLUSIONS:
Decellularized heart valves could be the new material used as artificial heart
valves. Pulmonary allografts derived from the hearts of heart transplant
recipients are considered to be useful material for decellularized heart valves.
The application of this valve to Japanese clinical circumstances and using the
hearts of heart transplant recipients is considered to be very significant.
PMID- 29657240
TI - Associations of non-standard employment with cardiovascular risk factors:
findings from nationwide cross-sectional studies in Japan.
AB - This study aimed to examine the cross-sectional association of non-standard
employment with cardiovascular disease risk factors. Five yr of data from the
Comprehensive Survey of Living Conditions and National Health and Nutritional
Survey were combined. We used logistic regression to examine the association of
employment contract (non-standard [part-time, dispatched, or contract] vs.
standard [full-time and permanent]) with eight cardiovascular disease risk
factors among 1,636 men and 2,067 women aged 40-60 yr. There were significant
associations between non-standard employment and cardiovascular disease risk
factors such as current smoking among men (odds ratio [OR] 1.39; 95% confidential
interval [CI], 1.13-1.86) and diabetes among women (OR 1.83; 95% CI, 1.10-3.09).
Non-standard employment was associated with a few cardiovascular disease risk
factors in this middle-aged cohort in Japan.
PMID- 29657241
TI - Expression dynamics of self-renewal factors for spermatogonial stem cells in the
mouse testis.
AB - Glial cell line-derived neurotrophic factor (GDNF) and fibroblast growth factor 2
(FGF2) are bona fide self-renewal factors for spermatogonial stem cells (SSCs).
Although GDNF is indispensable for the maintenance of SSCs, the role of FGF2 in
the testis remains to be elucidated. To clarify this, the expression dynamics and
regulatory mechanisms of Fgf2 and Gdnf in the mouse testes were analyzed. It is
well known that Sertoli cells express Gdnf, and its receptor is expressed in a
subset of undifferentiated spermatogonia, including SSCs. However, we found that
Fgf2 was mainly expressed in the germ cells and its receptors were expressed not
only in the cultured spermatogonial cell line, but also in testicular somatic
cells. Aging, hypophysectomy, retinoic acid treatment, and testicular injury
induced distinct Fgf2 and Gdnf expression dynamics, suggesting a difference in
the expression mechanism of Fgf2 and Gdnf in the testis. Such differences might
cause a dynamic fluctuation of Gdnf/Fgf2 ratio depending on the
intrinsic/extrinsic cues. Considering that FGF2-cultured spermatogonia exhibit
more differentiated phenotype than those cultured with GDNF, FGF2 might play a
role distinct from that of GDNF in the testis, despite the fact that both factors
are self-renewal factor for SSC in vitro.
PMID- 29657242
TI - Diagnosis-related group (DRG)-based case-mix funding system, a promising
alternative for fee for service payment in China.
AB - Fee for services (FFS) is the prevailing method of payment in most Chinese public
hospitals. Under this retrospective payment system, medical care providers are
paid based on medical services and tend to over-treat to maximize their income,
thereby contributing to rising medical costs and uncontrollable health
expenditures to a large extent. Payment reform needs to be promptly implemented
to move to a prospective payment plan. The diagnosis-related group (DRG)-based
case-mix payment system, with its superior efficiency and containment of costs,
has garnered increased attention and it represents a promising alternative. This
article briefly describes the DRG-based case-mix payment system, it comparatively
analyzes differences between FFS and case-mix funding systems, and it describes
the implementation of DRGs in China. China's social and economic conditions
differ across regions, so establishment of a national payment standard will take
time and involve difficulties. No single method of provider payment is perfect.
Measures to monitor and minimize the negative ethical implications and unintended
effects of a DRG-based case-mix payment system are essential to ensuring the
lasting social benefits of payment reform in Chinese public hospitals.
PMID- 29657243
TI - Distinct pattern of Th17/Treg cells in pregnant women with a history of
unexplained recurrent spontaneous abortion.
AB - The aim of the current study was to determine the pattern of immune cells and
related functional molecules in peripheral blood and at the maternal-fetal
interface in women with unexplained recurrent spontaneous abortion (URSA). In
part I, 155 women were included and divided into four groups: non-pregnant
controls with no history of URSA (NPCs), pregnant controls with no history of
URSA (PCs), non-pregnant women with a history of URSA (NPUs), and pregnant women
with a history of URSA (PUs). Venous blood samples were collected and analyzed.
In part II, 35 subjects with URSA and 40 subjects in the early stage of normal
pregnancy who chose to undergo an abortion were recruited. Samples of the decidua
were collected, and the proportion of immune cells and the expression of related
molecules were evaluated. Peripheral regulatory T cells (Treg cells) increased in
PCs compared to NPCs, but in women with URSA the flux of Treg cells disappeared
when pregnancy occurred. Levels of interleukin-10 (IL-10), cytotoxic T lymphocyte
associated antigen 4 (CTLA-4), and IL-17 and the ratio of Th17/Treg cells in
peripheral blood remained stable among the four groups. At the maternal-fetal
interface, the percentage of Treg cells, the level of CTLA-4 of CD4+CD25+CD127lo
cells and CD4+Foxp3+ cells were significantly lower in women with URSA compared
to controls, respectively. Levels of transforming growth factor-beta1 (TGF-beta1)
mRNA and protein in the decidua significantly decreased in URSA while levels of
IL-6 and tumor necrosis factor-alpha (TNF-alpha) and the Th17/Treg ratio
significantly increased. In conclusion, peripheral Treg cells did not increase in
pregnant women with URSA. The decrease in Treg cells and levels of CTLA-4 and TGF
beta1 and as well as the increase in levels of IL-6 and TNF-alpha, and the
Th17/Treg ratio at the maternal-fetal interface might contribute to inappropriate
maternal-fetal immune tolerance in URSA.
PMID- 29657244
TI - Infiltration characteristics and influencing factors of retroperitoneal
liposarcoma: Novel evidence for extended surgery and a tumor grading system.
AB - This study sought to evaluate the infiltration tendency of retroperitoneal
liposarcoma (RPLS) from a new pathological angle by exploring the infiltration
characteristics, which could provide helpful information to facilitate surgical
decision-making and prognosis prediction. Concurrently, we aim to identify
significant indicators of infiltration. A total of 61 consecutive patients with
RPLS at our institution were retrospectively analyzed. All patients received
extended surgery. The tumor infiltration characteristics and influencing factors
were studied based on the pathological diagnosis. Univariate and multivariate
analyses of organ infiltration (OI) and surrounding fat infiltration (SFI) were
performed. OI was found in 95 (28.5%) resected organs from 39 (60.7%) patients,
and SFI was found in 119 (35.7%) resected organs from 47 (77%) patients. The
tumor infiltrated the serosal layer of 13 organs (13/37, 35.1%), the muscularis
layer of 18 organs (18/37, 48.6%) and the submucosa of 6 organs (6/37, 16.2%).
The percentage of lipoblasts and the rates of necrosis and mitosis were all
significantly higher in high-grade tumors (dedifferentiated, round cell, and
pleomorphic). A high lipoblast percentage (>= 20%) was the only independent risk
factor for OI. A recurrent tumor and a high-grade tumor were independent risk
factors for SFI. In conclusion, RPLS has a high infiltration tendency, such that
it frequently infiltrates organs and surrounding fat tissue. Therefore, extended
resection of the tumor and the adjacent organs is recommended. The percentage of
lipoblasts was associated with the tumor grade and infiltration characteristics;
thus, lipoblast percentage may become a new grading factor for RPLS.
PMID- 29657245
TI - Strengthening maternal and child health in China: Lessons from transforming
policy proposals into action.
AB - China has made impressive achievements in improving maternal and child health
(MCH) over the past few decades. This paper uses a policy lens to examine reasons
for these achievements as well as barriers to further success. We found that
strong governmental commitment and leadership, effective coordination, proactive
participation of different stakeholders, and the provision of adequate resources
were associated with China's success in improving MCH outcomes. Other low- and
middle-income countries can learn valuable lessons from China's experience. These
lessons include i) prioritizing MCH on the national development agenda, ii)
keeping national ownership over health development cooperation, and iii)
establishing effective monitoring, evaluation and accountability mechanisms for
MCH programs.
PMID- 29657246
TI - Effects of three forms of local anesthesia on perioperative fentanyl-induced
hyperalgesia.
AB - Both local infiltration analgesia (LIA) and nerve block are common analgesic
modalities for pain relief after surgery. The aim of the current study was to
investigate the effects of those two modalities on pain behavior and the
expression of pro-inflammatory cytokines such as interleukin (IL)-1beta and IL-6
and tumor necrosis factor-alpha (TNF-alpha) in the spinal cord and dorsal root
ganglion (DRG) in a rat model of perioperative fentanyl induced hyperalgesia.
Rats were injected with fentanyl (60 MUg/kg) 4 times and received a plantar
incision after the second injection or they received pre-incision LIA and sciatic
nerve block (SNB) or post-incision LIA with levobupivacaine (0.5%, 0.2 mL).
Mechanical and thermal nociceptive thresholds were assessed using the tail
pressure test and paw withdrawal test on the day before drug injection, 1 and 4
hours after injection, and 1-7 days later. The lumbar spinal cord and dorsal root
ganglia were collected from rats in each group to measure IL-1beta, IL-6, and TNF
alpha on the day before drug injection, 4 hours after injection, and 1, 3, 5, and
7 days later. Fentanyl and an incision induced a significantly delayed mechanical
hyperalgesia in the tail and thermal hyperalgesia in both hind paws and up
regulation of pro-inflammatory cytokines in the spinal cord and dorsal root
ganglia. Rats treated with pre-incision LIA and SNB or post-incision LIA had
alleviated hyperalgesia and significantly reduced levels of IL-1beta, IL-6, and
TNF-alpha compared to the control group. LIA and SNB partly prevented
perioperative fentanyl-induced hyperalgesia and up-regulation of pro-inflammatory
cytokines in the spinal cord and dorsal root ganglia.
PMID- 29657247
TI - Protective Effect of Taohong Siwu Decoction on Abnormal Uterine Bleeding Induced
by Incomplete Medical Abortion in Rats during Early Pregnancy.
AB - Abnormal uterine bleeding (AUB) induced by incomplete abortion is a common
gynecological disease. Taohong Siwu decoction (TSD) is a traditional Chinese
medicine (TCM) formula, which has been developed to treat AUB for hundreds of
years. In this study, rats had incomplete abortion induced in early pregnancy
using mifepristone and misoprostol. The duration and quantity of uterine bleeding
were recorded and measured. The pathologic histologic grade was evaluated by
hematoxylin-eosin staining (HE). Estradiol (E2) and progesterone (P) levels were
measured by enzyme linked immunosorbent assays (ELISA). The expression levels of
estrogen receptor alpha (ERalpha) and progesterone receptor (PR) were detected by
immunohistochemistry and Western blotting analysis. We demonstrated that TSD
significantly reduced the duration and quantity of uterine bleeding. Meanwhile,
TSD promoted endometrial repair and significantly up-regulated the E2 levels and
the ERalpha expression. These results suggest that TSD have a protective effect
on the uteri; the mechanism may be concerned with up-regulation of the levels of
E2 and the ERalpha expression.
PMID- 29657248
TI - Association of fat mass and obesity-associated and retinitis pigmentosa guanosine
triphosphatase (GTPase) regulator-interacting protein-1 like polymorphisms with
body mass index in Chinese women.
AB - Body mass index (BMI) is the most commonly used quantitative measure of
adiposity. It is a kind of complex genetic diseases which are caused by multiple
susceptibility genes. The first intron of fat mass and obesity-associated (FTO)
has been widely discovered to be associated with BMI. Retinitis pigmentosa GTPase
regulator-interacting protein-1 like (RPGRIP1L) is located in the upstream region
of FTO and has been proved to be linked with obesity through functional tests. We
carried out a genetic association analysis to figure out the role of the FTO gene
and the RPGRIP1L gene in BMI. A quantitative traits study with 6,102 Chinese
female samples, adjusted for age, was performed during our project. Among the
twelve SNPs, rs1421085, rs1558902, rs17817449, rs8050136, rs9939609, rs7202296,
rs56137030, rs9930506 and rs12149832 in the FTO gene were significantly
associated with BMI after Bonferroni correction. Meanwhile, rs9934800 in the
RPGRIP1L gene showed significance with BMI before Bonferroni correction, but this
association was eliminated after Bonferroni correction. Our results suggested
that genetic variants in the FTO gene were strongly associated with BMI in
Chinese women, which may serve as targets of pharmaceutical research and
development concerning BMI. Meanwhile, we didn't found the significant
association between RPGRIP1L and BMI in Chinese women.
PMID- 29657250
TI - TGFbeta1-induced Amelotin gene expression is downregulated by Bax expression in
mouse gingival epithelial cells.
AB - Amelotin (AMTN) is induced upon initiation of apoptosis by transforming growth
factor beta1 (TGFbeta1) and is mediated by Smad3 in gingival epithelial cells
(GE1 cells). This upregulation of AMTN gene expression is temporary, and the
mechanism responsible is still unclear. The present study investigated the
transcriptional downregulation of TGFbeta1-induced AMTN gene expression in GE1
cells during the progression of apoptosis. To examine time-dependent changes in
the levels of AMTN, Smad3 and Bax mRNA induced by TGFbeta1, real-time PCR
analyses were performed. Immunocytochemistry was carried out to detect the
expression of Smad3 and Bax. Transient transfection analyses were performed using
mouse AMTN gene promoter constructs of various lengths including Smad response
elements (SBEs), in the presence or absence of TGFbeta1. Changes in Smad3 binding
to SBEs resulting from overexpression of Bax were examined using ChIP assays.
Overexpression of Bax dramatically downregulated the levels of TGFbeta1-induced
AMTN mRNA and transcription of the AMTN gene. Smad3 binding to SBEs in the mouse
AMTN gene promoter was induced by overexpression of Smad3 or TGFbeta1, and this
was inhibited by Bax overexpression. These results show that the levels of AMTN
mRNA induced by TGFbeta1 and Smad3 are decreased by robust expression of Bax in
gingival epithelial cells.
PMID- 29657249
TI - Microcomputed tomographic evaluation of techniques for warm gutta-percha
obturation.
AB - Transparent epoxy resin root canal models were used to evaluate vertical
condensation techniques for obturating lateral canals. The root canal model was
configured with a straight main root canal and four right-angled lateral canals
at 1.0 and 3.0 mm from the apex. Root canal obturation was performed with
Thermafil, Obtura II, or NT condenser. Obturation volume in lateral canals was
measured by three-dimensional microcomputed tomography, and one-way analysis of
variance was used to analyze differences between groups. Lateral canals at 1.0
and 3.0 mm were uniformly filled by all obturation methods. Among the three
obturation methods, Thermafil resulted in the highest obturation volumes for all
lateral canals.
PMID- 29657251
TI - Laminin-1 acts as an adhesive for odontoblast-like cells and promotes their
differentiation toward a hard tissue-forming phenotype.
AB - The present study was designed to investigate the effect of laminin-1 (LN-1 or LN
111) on an odontoblast-like cell line, MDPC-23. Wells of non-treated polystyrene
plates were coated with various concentrations of LN-1 (0.1, 1, 10, and 100
ug/mL) and left to dry for 2 days. Water-coated surfaces were used as controls.
MDPC-23 cell proliferation, differentiation and mineralization were evaluated in
terms of the CCK-8 assay, ALP activity, real-time RT-PCR and Alizarin red
staining. The data indicated that LN-1 promoted the proliferation of MDPC-23
cells in a concentration-dependent manner. Moreover, it enhanced ALP activity and
expression of key odontogenic genes (DMP-1 and DSPP) upon addition of
mineralization reagents, leading to significant promotion of calcification by the
cells. These results demonstrate that LN-1 acts as an adhesive for odontoblast
like cells, allowing up-regulation of odontogenic genes and accelerating matrix
mineralization. In the context of the present study, the optimal LN-1 coating
concentration for MDPC-23 cells was suggested to be 100 ug/mL.
PMID- 29657252
TI - Periprocedural Complications in Patients Undergoing Catheter Ablation of Atrial
Fibrillation Without Discontinuation of a Vitamin K Antagonist and Direct Oral
Anticoagulants.
AB - BACKGROUND: Periprocedural anticoagulation is important in catheter ablation (CA)
of atrial fibrillation (AF) and there is increasing evidence that uninterrupted
vitamin K antagonist (VKA) therapy is superior to interrupted anticoagulation
strategies. Since the emergence of direct oral anticoagulants (DOACs), numerous
studies have shown promising results for their use in uninterrupted strategies.
However, further studies are needed to further define the efficacy and safety of
performing AF ablation with uninterrupted factor XA inhibitors or direct thrombin
inhibitors.Methods and Results:We have performed CA of AF without discontinuation
of either VKA or DOAC therapy since April 2014. A total of 376 patients with AF
underwent CA including pulmonary vein isolation. All of the patients were divided
into 2 groups (uninterrupted VKA or uninterrupted DOACs). Anticoagulation with
DOACs was associated with fewer complications than uninterrupted VKA therapy
(P=0.04). There were significant differences between groups in the rates of
congestive heart failure, left ventricular ejection fraction, body weight, and
estimated glomerular filtration rate and of the CHADS2, CHA2DS2-VASc and HAS-BLED
scores. Therefore, we also analyzed the results using the propensity score
matching method. We found no significant difference in periprocedural
complications between uninterrupted VKA or DOACs therapy (P=0.65). CONCLUSIONS:
CA of AF without discontinuation of DOACs is not inferior to CA without
discontinuation of a VKA, with regard to ischemic or hemorrhagic complications.
PMID- 29657253
TI - Minimally Invasive Mitral Valve Repair Through Right Minithoracotomy - 11-Year
Single Institute Experience.
AB - BACKGROUND: Although minimally invasive mitral valve repair (MIMVR) is
increasingly being performed, only a few clinical studies from Japanese
institutions have been reported.Methods and Results:From 2006 to 2017, 387
consecutive patients (135 females, mean age 56+/-13 years) underwent an initial
isolated MIMVR through a right minithoracotomy. The mitral etiology was
degenerative in 348, functional in 22, and endocarditis in 13 cases. Repair
techniques included leaflet resection/plication in 280, chordal reconstruction in
109, and annuloplasty alone in 24 patients, and concomitant procedures included
tricuspid valve repair and atrial fibrillation ablation in 70 (18.1%) and 78
(20.2%), respectively. Hospital mortality rate was 0.26%; 2 patients (0.5%)
required intraoperative conversion to a median sternotomy. Perioperative
morbidity included stroke (1.3%), reoperation for bleeding (0.8%), prolonged
ventilation (0.5%), and permanent pacemaker implantation (2.1%). The transfusion
rate was 14.7% and median ventilation time was 4 hours. Overall 5-year survival
was 96.9%. For patients with degenerative mitral regurgitation (MR), the 5-year
freedom from reoperation or severe recurrent MR, and freedom from >=moderate MR
were 94.7% and 82.2%, respectively. Repair for anterior mitral leaflet prolapse
and the initial 30 cases were associated with higher occurrence of recurrent MR.
CONCLUSIONS: MIMVR can be performed safely with low levels of mortality and
morbidity, and provides sufficient repair durability. A learning curve exists in
terms of repair durability, especially for anterior mitral leaflet repair.
PMID- 29657254
TI - Are All New-Generation Drug-Eluting Stents Equal? - Long-Term Follow-up Is
Necessary.
PMID- 29657255
TI - Genome-wide siRNA screening in mouse bone marrow-derived macrophages revealed
that knockdown of ribosomal proteins suppresses IL-10 and enhances TNF-alpha
production.
AB - Macrophages play a central role in the immune response, and their diverse
functions are attributed to the spectrum of their functional states. To elucidate
molecules involved in modulating the balance between the anti-inflammatory
cytokine IL-10 and the pro-inflammatory cytokine TNF-alpha, we conducted genome
wide siRNA screening. First, we established an siRNA screening system using mouse
bone marrow-derived macrophages, which are a suitable model for studying
functional states of macrophages in vitro. In the primary screen and the
subsequent reproducibility assay, 112 siRNA pools demonstrated enhancement of IL
10 production and 497 siRNA pools suppressed IL-10 production. After a
deconvolution assay for IL-10-up-regulating siRNA pools, 8 genes were identified
as IL-10 repressors, including Cnot1 and Rc3h1, components of the CCR4-NOT
complex known to degrade cytokine mRNAs. On the other hand, siRNA pools targeting
ribosomal proteins were frequently found among those that down-regulated IL-10
production and up-regulated TNF-alpha production. Four pools were assayed using
deconvoluted siRNAs and identified as high-confidence hits. Thus, we found that
the genome-wide knockdown of 19 ribosomal proteins resulted in decreased IL-10
and increased TNF-alpha production.
PMID- 29657256
TI - Colonal monomorphic epitheliotropic intestinal T-cell lymphoma with novel
phenotype of cytoplasmic CD3 expression.
AB - Monomorphic epitheliotropic intestinal T-cell lymphoma (MEITL) is a new clinical
entity that was reclassified from enteropathy-associated T-cell lymphoma in the
2016 WHO classification. An 83-year-old man with fever and diarrhea was referred
to our hospital because of free air in the abdominal cavity and wall thickening
of the large intestine on CT. Colonofiberscopic examination revealed mucosal
edema and multiple ulcers at the sigmoid colon, splenic flexure, and transverse
colon. Histopathological examination of the mucosal biopsy specimen demonstrated
dense infiltration of small lymphocytes with nuclear atypia, some of which
exhibited intraepithelial invasion. Immunohistologically, these lymphocytes were
positive for CD3, CD56, and perforin. Regarding CD3 expression, the antigen was
found to only be expressed in the cytoplasm and not on the surface membrane on
flow cytometric analysis. PCR examination of the T-cell receptor (TCR) gene
revealed monoclonal gene rearrangements of TCR-gamma and TCR-beta. Based on these
findings, a diagnosis of colonal MEITL with cyCD3 expression at Lugano clinical
stage 1 was made. After conservative management of the peritonitis, we treated
the patient with CHOP and DeVIC regimens, but he developed progressive disease
and died. The cyCD3 expression in MEITL may be novel, suggesting a thymocyte
origin of the tumor cells.
PMID- 29657257
TI - The Gradual Shift of Overweight, Obesity, and Abdominal Obesity Towards the Poor
in a Multi-ethnic Developing Country: Findings From the Malaysian National Health
and Morbidity Surveys.
AB - BACKGROUND: Economic development is known to shift the distribution of obesity
from the socioeconomically more advantaged to the less advantaged. We assessed
the socioeconomic trends in overweight, obesity, and abdominal obesity across a
period of significant economic growth. METHODS: We used the Malaysian National
Health and Morbidity Survey data sets for the years 1996, 2006, and 2011 to
analyze the trends among adults aged 30 years and above. The World Health
Organization's Asian body mass index cut-off points of >=23.0 kg/m2 and >=27.5
kg/m2 were used to define overweight and obesity, respectively. Abdominal obesity
was defined as having a waist circumference of >=90 cm for men and >=80 cm for
women. Household per-capita income was used as a measure of socioeconomic
position. As a summary measure of inequality, we computed the concentration
index. RESULTS: Women in Peninsular Malaysia demonstrated patterns that were
similar to that of developed countries in which the distributions for overweight,
obesity, and abdominal obesity became concentrated among the poor. For women in
East Malaysia, distributions became neither concentrated among the rich nor poor,
while distributions for men were still concentrated among the rich. Chinese
women, particularly from the richest quintile, had the lowest rates and lowest
increase in overweight and obesity. All distributions of Chinese women were
concentrated among the poor. The distributions of Malay men were still
concentrated among the rich, while distributions for Chinese and Indian men and
Malay and Indian women were neither concentrated among the rich nor poor.
CONCLUSION: As the country continues to progress, increasing risks of overweight
and obesity among the socioeconomically less advantaged is expected.
PMID- 29657258
TI - Heat-Not-Burn Tobacco Products Are Getting Hot in Italy.
PMID- 29657259
TI - The Ins and Outs of miRNA-Mediated Gene Silencing during Neuronal Synaptic
Plasticity.
AB - Neuronal connections through specialized junctions, known as synapses, create
circuits that underlie brain function. Synaptic plasticity, i.e., structural and
functional changes to synapses, occurs in response to neuronal activity and is a
critical regulator of various nervous system functions, including long-term
memory formation. The discovery of mRNAs, miRNAs, ncRNAs, ribosomes,
translational repressors, and other RNA binding proteins in dendritic spines
allows individual synapses to alter their synaptic strength rapidly through
regulation of local protein synthesis in response to different physiological
stimuli. In this review, we discuss our understanding of a number of miRNAs,
ncRNAs, and RNA binding proteins that are emerging as important regulators of
synaptic plasticity, which play a critical role in memory, learning, and diseases
that arise when neuronal circuits are impaired.
PMID- 29657260
TI - Are We Eating Our Way to Prostate Cancer-A Hypothesis Based on the Evolution,
Bioaccumulation, and Interspecific Transfer of miR-150.
AB - MicroRNAs (miRNAs) are well established epigenetic modifiers. There is a lot of
work being done to identify the evolutionary transfer of miRNAs both at intra-
and interspecific levels. In this hypothesis-driven review, we have suggested a
possible reason as to why miR-150 can be a promising diagnostic biomarker for
prostate cancer using theories of evolution, bio-accumulation, and interspecific
transfer of miRNAs.
PMID- 29657261
TI - Micro-RNA Feedback Loops Modulating the Calcineurin/NFAT Signaling Pathway.
AB - Nuclear factor of activated T cells (NFAT) is a family of transcription factors
important for innate and adaptive immune responses. NFAT activation is tightly
regulated through the calcineurin/NFAT signaling pathway. There is increasing
evidence on non-coding RNAs such as miRNAs playing a crucial role in regulating
transcription factors and signaling pathways. However, not much is known about
microRNAs (miRNAs) targeting the calcineurin/NFAT signaling pathway involved in
immune response in human. In this study, a comprehensive pathway level analysis
has been carried out to identify miRNAs regulating the calcineurin/NFAT signaling
pathway. Firstly, by incorporating experimental data and computational
predictions, 191 unique miRNAs were identified to be targeting the
calcineurin/NFAT signaling pathway in humans. Secondly, combining miRNA
expression data from activated T cells and computational predictions, 32 miRNAs
were observed to be induced by NFAT transcription factors. Finally, 11 miRNAs
were identified to be involved in a feedback loop to modulate the
calcineurin/NFAT signaling pathway activity. This data demonstrate the potential
role of miRNAs as regulators of the calcineurin/NFAT signaling pathway. The
present study thus emphasizes the importance of pathway level analysis to
identify miRNAs and understands their role in modulating signaling pathways and
transcription factor activity.
PMID- 29657262
TI - HDAC Inhibition in Vascular Endothelial Cells Regulates the Expression of ncRNAs.
AB - While clinical and pre-clinical trials indicate efficacy of histone deacetylase
(HDAC) inhibitors in disease mediated by dynamic lysine modification, the impact
on the expression of non-coding RNAs (ncRNAs) remains poorly understood. In this
study, we investigate high throughput RNA sequencing data derived from primary
human endothelial cells stimulated with HDAC inhibitors suberanilohydroxamic acid
(SAHA) and Trichostatin A (TSA). We observe robust regulation of ncRNA
expression. Integration of gene expression data with histone 3 lysine 9 and 14
acetylation (H3K9/14ac) and histone 3 lysine 4 trimethylation (H3K4me3) datasets
identified complex and class-specific expression of ncRNAs. We show that EP300
target genes are subject to histone deacetylation at their promoter following
HDAC inhibition. This deacetylation drives suppression of protein-coding genes.
However, long intergenic non-coding RNAs (lincRNAs) regulated by EP300 are
activated following HDAC inhibition, despite histone deacetylation. This
increased expression was driven by increased H3K4me3 at the gene promoter. For
example, elevated promoter H3K4me3 increased lincRNA MALAT1 expression despite
broad EP300-associated histone deacetylation. In conclusion, we show that HDAC
inhibitors regulate the expression of ncRNA by complex and class-specific
epigenetic mechanisms.
PMID- 29657263
TI - Circulating microRNAs as Prognostic and Predictive Biomarkers in Patients with
Colorectal Cancer.
AB - MiRNAs are suggested as promising cancer biomarkers. They are stable and
extractable from a variety of clinical tissue specimens (fresh frozen or formalin
fixed paraffin embedded tissue) and a variety of body fluids (e.g., blood, urine,
saliva). However, there are several challenges that need to be solved,
considering their potential as biomarkers in cancer, such as lack of consistency
between biomarker panels in independent studies due to lack of standardized
sample handling and processing, use of inconsistent normalization approaches, and
differences in patients populations. Focusing on colorectal cancer (CRC),
divergent results regarding circulating miRNAs as prognostic or predictive
biomarkers are reported in the literature. In the present review, we summarize
the current data on circulating miRNAs as prognostic/predictive biomarkers in
patients with localized and metastatic CRC (mCRC).
PMID- 29657264
TI - Correction: Vaidyanathan et al. Are We Eating Our Way to Prostate Cancer-A
Hypothesis Based on the Evolution, Bioaccumulation, and Interspecific Transfer of
miR-150. Non-Coding RNA 2016, 2, 2.
AB - The authors wish to make the following correction to this paper [1].[...].
PMID- 29657265
TI - Promoter-Associated RNAs Regulate HSPC152 Gene Expression in Malignant Melanoma.
AB - The threshold of 200 nucleotides (nt) conventionally divides non-coding RNAs
(ncRNA) into long ncRNA (lincRNA, that have more than 200 nt in length) and the
remaining ones which are grouped as "small" RNAs (microRNAs, small nucleolar RNAs
and piwiRNAs). Promoter-associated RNAs (paRNAs) are generally 200-500 nt long
and are transcribed from sequences positioned in the promoter regions of genes.
Growing evidence suggests that paRNAs play a crucial role in controlling gene
transcription. Here, we used deep sequencing to identify paRNA sequences that
show altered expression in a melanoma cell line compared to normal melanocytes.
Thousands of reads were mapped to transcription start site (TSS) regions. We
limited our search to paRNAs adjacent to genes with an expression that differed
between melanoma and normal melanocytes and a length of 200-500 nt that did not
overlap the gene mRNA by more than 300 nt, ultimately leaving us with 11 such
transcripts. Using quantitative real-time PCR (qRT-PCR), we found a significant
correlation between the expression of the mRNA and its corresponding paRNA for
two studied genes: TYR and HSPC152. Ectopic overexpression of the paRNA of
HSPC152 (designated paHSPC) enhanced the expression of the HSPC152 mRNA, and an
siRNA targeting the paHSPC152 decreased the expression of the HSPC152 mRNA.
Overexpression of paHSPC also affected the epigenetic structure of its putative
promoter region along with effects on several biologic features of melanoma
cells. The ectopic expression of the paRNA to TYR did not have any effect.
Overall, our work indicates that paRNAs may serve as an additional layer in the
regulation of gene expression in melanoma, thus meriting further investigation.
PMID- 29657266
TI - Argonaute 2 Expression Correlates with a Luminal B Breast Cancer Subtype and
Induces Estrogen Receptor Alpha Isoform Variation.
AB - Estrogen receptor alpha (ERalpha) signaling pathways are frequently disrupted in
breast cancer and contribute to disease progression. ERalpha signaling is
multifaceted and many ERalpha regulators have been identified including
transcription factors and growth factor pathways. More recently, microRNAs
(miRNAs) are shown to deregulate ERalpha activity in breast carcinomas, with
alterations in both ERalpha and miRNA expression correlating to cancer
progression. In this study, we show that a high expression of Argonaute 2 (AGO2),
a translation regulatory protein and mediator of miRNA function, correlates with
the luminal B breast cancer subtype. We further demonstrate that a high
expression of AGO2 in ERalpha+ tumors correlates with a poor clinical outcome.
MCF-7 breast cancer cells overexpressing AGO2 (MCF7-AGO2) altered ERalpha
downstream signaling and selective ERalpha variant expression. Enhanced ERalpha
36, a 36 kDa ERalpha isoform, protein and gene expression was observed in vitro.
Through quantitative polymerase chain reaction (qPCR), we demonstrate decreased
basal expression of the full-length ERalpha and progesterone receptor genes, in
addition to loss of estrogen stimulated gene expression in vitro. Despite the
loss, MCF-7-AGO2 cells demonstrated increased estrogen stimulated tumorigenesis
in vivo. Together with our clinical findings on AGO2 expression and the luminal B
subtype, we suggest that AGO2 is a regulator of altered ERalpha signaling in
breast tumors.
PMID- 29657267
TI - The Non-Coding RNA Journal Club: Highlights on Recent Papers-4.
AB - We are glad to share with you our fourth Journal Club and highlight some of the
most interesting papers published recently.[...].
PMID- 29657268
TI - Regulation of the U3-, U8-, and U13snoRNA Expression by the DEAD Box Proteins
Ddx5/Ddx17 with Consequences for Cell Proliferation and Survival.
AB - Small nucleolar RNAs (snoRNAs) in cooperation with their associated proteins
(snoRNPs) contribute to the maturation of ribosomal RNA, transfer RNA, and other
transcripts. Most snoRNPs mediate chemical base modifications of their RNA
substrates, and a few others, like those formed by the C/D snoRNAs U3, U8, and
U13, are needed for the structural organization and maturation of primary
transcripts. The U3-, U8-, and U13snoRNAs are encoded by autonomous genes, and
our knowledge about their expression regulation is limited. In this study, a
significant increase in the concentrations of U3-, U8-, and U13snoRNA after a
knockdown of DEAD box proteins Ddx5/Ddx17 in HeLa cells is observed. These
alterations are shown to be caused by transcriptional suppression mediated by
Ddx5/Ddx17 via histone deacetylase 1 in a promoter-dependent way. The biological
function of this expression control may be related to the role of Ddx5/Ddx17 in
cell proliferation. The U3snoRNA is shown here to be essential for the
proliferation and viability of human cells. Moreover, it was found that U3snoRNA
interacts with Argonaute 2 in the RNA-induced silencing complexes (RISC),
pointing to a microRNA-like function. For this reason, the 3' untranslated region
of the A-kinase anchor protein 9 (AKAP9)-mRNA could be identified as a potential
target.
PMID- 29657269
TI - Erratum: The Non-Coding RNA Journal Club: Highlights on Recent Papers-4. Non
Coding RNA 2016, 2, 9.
AB - Please note that in the published editorial [1], affiliations 1, and 8 contained
errors.[...].
PMID- 29657270
TI - TWEAK Negatively Regulates Human Dicer.
AB - The ribonuclease Dicer plays a central role in the microRNA pathway by processing
microRNA precursors (pre-microRNAs) into microRNAs, a class of 19- to 24
nucleotide non-coding RNAs that regulate expression of ~60% of the genes in
humans. To gain further insights into the function and regulation of Dicer in
human cells, we performed a yeast two-hybrid (Y2HB) screen using human Dicer
double-stranded RNA-binding domain (dsRBD) as bait. This approach identified
tumor necrosis factor (TNF)-like weak inducer of apoptosis (TWEAK) as a Dicer
interacting protein candidate. Confocal immunofluorescence microscopy revealed
the colocalization of Dicer and TWEAK proteins at the perinuclear region of HeLa
cells. The Dicer-TWEAK protein interaction was confirmed by coimmunoprecipitation
and found not likely to be mediated by RNA. TWEAK dose-dependently reduced pre
microRNA conversion into mature microRNA in Dicer activity assays using extracts
of transfected human HEK 293 cells. TWEAK expression also impaired microRNA
guided RNA silencing of a reporter gene induced by a pre-microRNA. These findings
suggest a role for TWEAK-a pro-inflammatory cytokine-in regulating Dicer function
and microRNA biogenesis, and its possible involvement in regulating gene
expression during inflammatory processes and diseases.
PMID- 29657271
TI - High Percentage of Isomeric Human MicroRNA and Their Analytical Challenges.
AB - MicroRNA (miR) are short non-coding RNAs known to post-transcriptionally regulate
gene expression, and have been reported as biomarkers for various diseases. miR
have also been served as potential drug targets. The identity, functions and
detection of a specific miR are determined by its RNA sequence, whose composition
is made up of only 4 canonical ribonucleotides. Hence, among over two thousand
human miR, their nucleotide compositions are expected to be similar but the
extent of similarity has not been reported. In this study, the sequences of
mature human miR were downloaded from miRBase, and collated using different tools
to determine and compare their nucleotide compositions and sequences. 55% of all
human miR were found to be structural isomers. The structural isomers of miR
(SimiR) are defined as having the same size and identical nucleotide composition.
A number of SimiR were also found to have high sequence similarities. To
investigate the extent of SimiR in biological samples, three disease models were
chosen, and disease-associated miR were identified from miR2Disease. Among the
disease models, as high as 73% of miR were found to be SimiR. This report
provides the missing information about human miR and highlights the challenges on
the detection of SimiR.
PMID- 29657272
TI - Current perspectives in Set7 mediated stem cell differentiation.
AB - Set7 is a key regulatory enzyme involved in the methylation of lysine residues of
histone and non-histone proteins. This lysine methyltransferase is induced during
stem cell differentiation and regulates lineage specific gene transcription and
cell fate. In this article we discuss recent experimental evidence identifying
regulatory targets under the control of Set7 as well as emerging evidence of
regulation in stem cell differentiation. Furthermore, we discuss the function of
non-coding RNAs regulated by Set7 implicated in cell plasticity.
PMID- 29657273
TI - Recent Advances in Identification of RNA Modifications.
AB - RNA modifications are involved in a broad spectrum of biological and
physiological processes. To reveal the functions of RNA modifications, it is
important to accurately predict their positions. Although high-throughput
experimental techniques have been proposed, they are cost-ineffective. As good
complements of experiments, many computational methods have been proposed to
predict RNA modification sites in recent years. In this review, we will summarize
the existing computational approaches directed at predicting RNA modification
sites. We will also discuss the challenges and future perspectives in developing
reliable methods for predicting RNA modification sites.
PMID- 29657274
TI - Computational Approaches to tRNA-Derived Small RNAs.
AB - tRNA-derived small RNAs (tDRs) are a group of small, non-coding RNAs derived from
transfer RNAs (tRNAs). They can be classified as tRNA halves and tRNA-derived
small RNA fragments (tRFs). Accumulating experimental evidence suggests their
functional roles in cells and in various biological processes. Advances in next
generation sequencing (NGS) techniques allow a large amount of small RNA deep
sequencing data to be generated. To investigate tDRs from these data, software to
identify tDRs and databases to retrieve or manage tDR data have been devised. In
this review, we summarized the tools and databases for tDR identification and
collection, with the aim of helping researchers choose the best tools for their
analysis and inspiring the invention or improvement of tools in the field.
PMID- 29657275
TI - Evolution of Fungal U3 snoRNAs: Structural Variation and Introns.
AB - The U3 small nucleolar RNA (snoRNA) is an essential player in the initial steps
of ribosomal RNA biogenesis which is ubiquitously present in Eukarya. It is
exceptional among the small nucleolar RNAs in its size, the presence of multiple
conserved sequence boxes, a highly conserved secondary structure core, its
biogenesis as an independent gene transcribed by polymerase III, and its
involvement in pre-rRNA cleavage rather than chemical modification. Fungal U3
snoRNAs share many features with their sisters from other eukaryotic kingdoms but
differ from them in particular in their 5' regions, which in fungi has a
distinctive consensus structure and often harbours introns. Here we report on a
comprehensive homology search and detailed analysis of the evolution of sequence
and secondary structure features covering the entire kingdom Fungi.
PMID- 29657277
TI - Insights into the Function of Long Noncoding RNAs in Sepsis Revealed by Gene Co
Expression Network Analysis.
AB - Sepsis is a major cause of death and its incidence and mortality increase
exponentially with age. Most gene expression studies in sepsis have focused in
protein-coding genes and the expression patterns, and potential roles of long
noncoding RNAs (lncRNAs) have not been investigated yet. In this study, we
performed co-expression network analysis of protein-coding and lncRNAs measured
in neutrophil granulocytes from adult and elderly septic patients, along with age
matched healthy controls. We found that the genes displaying highest network
similarity are predominantly differently expressed in sepsis and are enriched in
loci encoding proteins with structural or regulatory functions related to protein
translation and mitochondrial energetic metabolism. A number of lncRNAs are
strongly connected to genes from these pathways and may take part in regulatory
loops that are perturbed in sepsis. Among those, the ribosomal pseudogenes RP11
302F12.1 and RPL13AP7 are differentially expressed and appear to have a
regulatory role on protein translation in both the elderly and adults, and
lncRNAs MALAT1, LINC00355, MYCNOS, and AC010970.2 display variable connection
strength and inverted expression patterns between adult and elderly networks,
suggesting that they are the best candidates to be further studied to understand
the mechanisms by which the immune response is impaired by age. In summary, we
report the expression of lncRNAs that are deregulated in patients with sepsis,
including subsets that display hub properties in molecular pathways relevant to
the disease pathogenesis and that may participate in gene expression regulatory
circuits related to the poorer disease outcome observed in elderly subjects.
PMID- 29657278
TI - A Brief Review of RNA-Protein Interaction Database Resources.
AB - RNA-protein interactions play critical roles in various biological processes. By
collecting and analyzing the RNA-protein interactions and binding sites from
experiments and predictions, RNA-protein interaction databases have become an
essential resource for the exploration of the transcriptional and post
transcriptional regulatory network. Here, we briefly review several widely used
RNA-protein interaction database resources developed in recent years to provide a
guide of these databases. The content and major functions in databases are
presented. The brief description of database helps users to quickly choose the
database containing information they interested. In short, these RNA-protein
interaction database resources are continually updated, but the current state
shows the efforts to identify and analyze the large amount of RNA-protein
interactions.
PMID- 29657279
TI - oncoNcRNA: A Web Portal for Exploring the Non-Coding RNAs with Oncogenic
Potentials in Human Cancers.
AB - Non-coding RNAs (ncRNAs) have been shown to contribute to tumorigenesis and
progression. However, the functions of the majority of ncRNAs remain unclear.
Through integrating published large-scale somatic copy number alterations (SCNAs)
data from various human cancer types, we have developed oncoNcRNA, a user
friendly web portal to explore ncRNAs with oncogenic potential in human cancers.
The portal characterizes the SCNAs of over 58,000 long non-coding RNAs (lncRNAs),
34,000 piwi-interacting RNAs (piRNAs), 2700 microRNAs (miRNAs), 600 transfer RNAs
(tRNAs) and 400 small nucleolar RNAs (snoRNAs) in 64 human cancer types. It
enables researchers to rapidly and intuitively analyze the oncogenic potential of
ncRNAs of interest. Indeed, we have discovered a large number of ncRNAs which are
frequently amplified or deleted within and across tumor types. Moreover, we built
a web-based tool, Correlations, to explore the relationships between gene
expression and copy number from ~10,000 tumor samples in 36 cancer types
identified by The Cancer Genome Atlas (TCGA). oncoNcRNA is a valuable tool for
investigating the function and clinical relevance of ncRNAs in human cancers.
oncoNcRNA is freely available at http://rna.sysu.edu.cn/onconcrna/.
PMID- 29657280
TI - CirComPara: A Multi-Method Comparative Bioinformatics Pipeline to Detect and
Study circRNAs from RNA-seq Data.
AB - Circular RNAs (circRNAs) are generated by backsplicing of immature RNA forming
covalently closed loops of intron/exon RNA molecules. Pervasiveness, evolutionary
conservation, massive and regulated expression, and posttranscriptional
regulatory roles of circRNAs in eukaryotes have been appreciated and described
only recently. Moreover, being easily detectable disease markers, circRNAs
undoubtedly represent a molecular class with high bearing on molecular
pathobiology. CircRNAs can be detected from RNAseq data using appropriate
computational methods to identify the sequence reads spanning backsplice
junctions that do not colinearly map to the reference genome. To this end,
several programs were developed and critical assessment of various strategies and
tools suggested the combination of at least two methods as good practice to
guarantee robust circRNA detection. Here,we present CirComPara
(http://github.com/egaffo/CirComPara), an automated bioinformatics pipeline, to
detect, quantify and annotate circRNAs from RNAseq data using in parallel four
different methods for backsplice identification. CirComPara also provides
quantification of linear RNAs and gene expression, ultimately comparing and
correlating circRNA and gene/transcript expression level. We applied our method
to RNAseqdata of monocyte and macrophage samples in relation to
haploinsufficiency of the RNAbinding splicing factor Quaking (QKI). The
biological relevance of the results, in terms of number, types and variations of
circRNAs expressed, illustrates CirComPara potential to enlarge the knowledge of
the transcriptome, adding details on the circRNAome, and facilitating further
computational and experimental studies.
PMID- 29657281
TI - RNA Biomarkers: Frontier of Precision Medicine for Cancer.
AB - As an essential part of central dogma, RNA delivers genetic and regulatory
information and reflects cellular states. Based on high-throughput sequencing
technologies, cumulating data show that various RNA molecules are able to serve
as biomarkers for the diagnosis and prognosis of various diseases, for instance,
cancer. In particular, detectable in various bio-fluids, such as serum, saliva
and urine, extracellular RNAs (exRNAs) are emerging as non-invasive biomarkers
for earlier cancer diagnosis, tumor progression monitor, and prediction of
therapy response. In this review, we summarize the latest studies on various
types of RNA biomarkers, especially extracellular RNAs, in cancer diagnosis and
prognosis, and illustrate several well-known RNA biomarkers of clinical utility.
In addition, we describe and discuss general procedures and issues in
investigating exRNA biomarkers, and perspectives on utility of exRNAs in
precision medicine.
PMID- 29657284
TI - MicroRNA Expression in Bovine Cumulus Cells in Relation to Oocyte Quality.
AB - Cumulus cells play an essential role during oocyte maturation and the acquisition
of fertilizability and developmental competence. Micro(mi)RNAs can post
transcriptionally regulate mRNA expression, and we hypothesized that miRNA
profiles in cumulus cells could serve as an indicator of oocyte quality. Cumulus
cell biopsies from cumulus-oocyte-complexes that either yielded a blastocyst or
failed to cleave after exposure to sperm cells were analyzed for miRNA
expression. On average, 332 miRNA species with more than 10 reads and 240 miRNA
species with more than 50 reads were identified in cumulus cells; this included
nine previously undescribed microRNAs. The most highly expressed miRNAs in
cumulus cells were miR-21, members of the let-7 family and miR-155. However, no
repeatable differences in miRNA expression between the cumulus cells from oocytes
that became blastocysts versus those from non-cleaved oocytes were identified.
Further examination of individual cumulus cell samples showed a wide variability
in miRNA expression level. We therefore conclude that miRNA expression in cumulus
cells cannot be used as an oocyte quality marker.
PMID- 29657282
TI - Vesiculated Long Non-Coding RNAs: Offshore Packages Deciphering Trans-Regulation
between Cells, Cancer Progression and Resistance to Therapies.
AB - Extracellular vesicles (EVs) are nanosized vesicles secreted from virtually all
cell types and are thought to transport proteins, lipids and nucleic acids
including non-coding RNAs (ncRNAs) between cells. Since, ncRNAs are central to
transcriptional regulation during developmental processes; eukaryotes might have
evolved novel means of post-transcriptional regulation by trans-locating ncRNAs
between cells. EV-mediated transportation of regulatory elements provides a novel
source of trans-regulation between cells. In the last decade, studies were mainly
focused on microRNAs; however, functions of long ncRNA (lncRNA) have been much
less studied. Here, we review the regulatory roles of EV-linked ncRNAs, placing a
particular focus on lncRNAs, how they can foster dictated patterns of trans
regulation in recipient cells. This refers to envisaging novel mechanisms of
epigenetic regulation, cellular reprogramming and genomic instability elicited in
recipient cells, ultimately permitting the generation of cancer initiating cell
phenotypes, senescence and resistance to chemotherapies. Conversely, such trans
regulation may introduce RNA interference in recipient cancer cells causing the
suppression of oncogenes and anti-apoptotic proteins; thus favoring tumor
inhibition. Collectively, understanding these mechanisms could be of great value
to EV-based RNA therapeutics achieved through gene manipulation within cancer
cells, whereas the ncRNA content of EVs from cancer patients could serve as non
invasive source of diagnostic biomarkers and prognostic indicators in response to
therapies.
PMID- 29657283
TI - PlantRNA_Sniffer: A SVM-Based Workflow to Predict Long Intergenic Non-Coding RNAs
in Plants.
AB - Non-coding RNAs (ncRNAs) constitute an important set of transcripts produced in
the cells of organisms. Among them, there is a large amount of a particular class
of long ncRNAs that are difficult to predict, the so-called long intergenic
ncRNAs (lincRNAs), which might play essential roles in gene regulation and other
cellular processes. Despite the importance of these lincRNAs, there is still a
lack of biological knowledge and, currently, the few computational methods
considered are so specific that they cannot be successfully applied to other
species different from those that they have been originally designed to.
Prediction of lncRNAs have been performed with machine learning techniques.
Particularly, for lincRNA prediction, supervised learning methods have been
explored in recent literature. As far as we know, there are no methods nor
workflows specially designed to predict lincRNAs in plants. In this context, this
work proposes a workflow to predict lincRNAs on plants, considering a workflow
that includes known bioinformatics tools together with machine learning
techniques, here a support vector machine (SVM). We discuss two case studies that
allowed to identify novel lincRNAs, in sugarcane (Saccharum spp.) and in maize
(Zea mays). From the results, we also could identify differentially-expressed
lincRNAs in sugarcane and maize plants submitted to pathogenic and beneficial
microorganisms.
PMID- 29657285
TI - MicroRNA MultiTool: A Software for Identifying Modified and Unmodified Human
microRNA Using Mass Spectrometry.
AB - microRNA (miRNA) are short endogenous non-coding RNA that play a crucial role in
post-transcriptional gene regulation and have been implicated in the initiation
and progression of 160+ human diseases. Excellent analytical methods have been
developed for the measurement of miRNA by mass spectrometry. However,
interpretation of mass spectrometric data has been an incapacitating bottleneck
in miRNA identification. This study details the development of MicroRNA
MultiTool, a software for the identification of miRNA from mass spectrometric
data. The software includes capabilities such as miRNA search and mass
calculator, modified miRNA mass calculator, and miRNA fragment search. MicroRNA
MultiTool bridges the gap between experimental data and identification of miRNA
by providing a rapid means of mass spectrometric data interpretation.
PMID- 29657286
TI - Long Non-Coding RNAs Regulating Immunity in Insects.
AB - Recent advances in modern technology have led to the understanding that not all
genetic information is coded into protein and that the genomes of each and every
organism including insects produce non-coding RNAs that can control different
biological processes. Among RNAs identified in the last decade, long non-coding
RNAs (lncRNAs) represent a repertoire of a hidden layer of internal signals that
can regulate gene expression in physiological, pathological, and immunological
processes. Evidence shows the importance of lncRNAs in the regulation of host
pathogen interactions. In this review, an attempt has been made to view the role
of lncRNAs regulating immune responses in insects.
PMID- 29657287
TI - Identification of RNA Polymerase III-Transcribed SINEs at Single-Locus Resolution
from RNA Sequencing Data.
AB - Short Interspersed Element (SINE) retrotransposons are one of the most abundant
DNA repeat elements in the human genome. They have been found to impact the
expression of protein-coding genes, but the possible roles in cell physiology of
their noncoding RNAs, generated by RNA polymerase (Pol) III, are just starting to
be elucidated. For this reason, Short Interspersed Element (SINE) expression
profiling is becoming mandatory to obtain a comprehensive picture of their
regulatory roles. However, their repeated nature and frequent location within Pol
II-transcribed genes represent a serious obstacle to the identification and
quantification of genuine, Pol III-derived SINE transcripts at single-locus
resolution on a genomic scale. Among the recent Next Generation Sequencing
technologies, only RNA sequencing (RNA-Seq) holds the potential to solve these
issues, even though both technical and biological matters need to be taken into
account. A bioinformatic pipeline has been recently set up that, by exploiting
RNA-seq features and knowledge of SINE transcription mechanisms, allows for easy
identification and profiling of transcriptionally active genomic loci which are a
source of genuine Pol III SINE transcripts.
PMID- 29657288
TI - Computational Characterization of ncRNA Fragments in Various Tissues of the
Brassica rapa Plant.
AB - Recently, a novel type of non-coding RNA (ncRNA), known as ncRNA fragments or
ncRFs, has been characterised in various organisms, including plants. The
biogenesis mechanism, function and abundance of ncRFs stemming from various
ncRNAs are poorly understood, especially in plants. In this work, we have
computationally analysed the composition of ncRNAs and the fragments that derive
from them in various tissues of Brassica rapa plants, including leaves, meristem
tissue, pollen, unfertilized and fertilized ova, embryo and endosperm. Detailed
analysis of transfer RNA (tRNA) fragments (tRFs), ribosomal RNA (rRNA) fragments
(rRFs), small nucleolar RNA (snoRNA) fragments (snoRFs) and small nuclear RNA
(snRNA) fragments (snRFs) showed a predominance of tRFs, with the 26 nucleotides
(nt) fraction being the largest. Mapping ncRF reads to full-length mature ncRNAs
showed a strong bias for one or both termini. tRFs mapped predominantly to the 5'
end, whereas snRFs mapped to the 3' end, suggesting that there may be specific
biogenesis and retention mechanisms. In the case of tRFs, specific isoacceptors
were enriched, including tRNAGly(UCC) and tRFAsp(GUC). The analysis showed that
the processing of 26-nt tRF5' occurred by cleavage at the last unpaired
nucleotide of the loop between the D arm and the anticodon arm. Further support
for the functionality of ncRFs comes from the analysis of binding between ncRFs
and their potential targets. A higher average percentage of binding at the first
half of fragments was observed, with the highest percentage being at 2-6 nt. To
summarise, our analysis showed that ncRFs in B. rapa are abundantly produced in a
tissue-specific manner, with bias toward a terminus, the bias toward the size of
generated fragments and the bias toward the targeting of specific biological
processes.
PMID- 29657289
TI - Present Scenario of Long Non-Coding RNAs in Plants.
AB - Small non-coding RNAs have been extensively studied in plants over the last
decade. In contrast, genome-wide identification of plant long non-coding RNAs
(lncRNAs) has recently gained momentum. LncRNAs are now being recognized as
important players in gene regulation, and their potent regulatory roles are being
studied comprehensively in eukaryotes. LncRNAs were first reported in humans in
1992. Since then, research in animals, particularly in humans, has rapidly
progressed, and a vast amount of data has been generated, collected, and
organized using computational approaches. Additionally, numerous studies have
been conducted to understand the roles of these long RNA species in several
diseases. However, the status of lncRNA investigation in plants lags behind that
in animals (especially humans). Efforts are being made in this direction using
computational tools and high-throughput sequencing technologies, such as the
lncRNA microarray technique, RNA-sequencing (RNA-seq), RNA capture sequencing,
(RNA CaptureSeq), etc. Given the current scenario, significant amounts of data
have been produced regarding plant lncRNAs, and this amount is likely to increase
in the subsequent years. In this review we have documented brief information
about lncRNAs and their status of research in plants, along with the plant
specific resources/databases for information retrieval on lncRNAs.
PMID- 29657290
TI - Deciphering microRNAs and Their Associated Hairpin Precursors in a Non-Model
Plant, Abelmoschus esculentus.
AB - MicroRNAs (miRNAs) are crucial regulatory RNAs, originated from hairpin
precursors. For the past decade, researchers have been focusing extensively on
miRNA profiles in various plants. However, there have been few studies on the
global profiling of precursor miRNAs (pre-miRNAs), even in model plants. Here,
for the first time in a non-model plant-Abelmoschus esculentus with negligible
genome information-we are reporting the global profiling to characterize the
miRNAs and their associated pre-miRNAs by applying a next generation sequencing
approach. Preliminarily, we performed small RNA (sRNA) sequencing with five
biological replicates of leaf samples to attain 207,285,863 reads; data analysis
using miRPlant revealed 128 known and 845 novel miRNA candidates. With the
objective of seizing their associated hairpin precursors, we accomplished pre
miRNA sequencing to attain 83,269,844 reads. The paired end reads are merged and
adaptor trimmed, and the resulting 40-241 nt (nucleotide) sequences were picked
out for analysis by using perl scripts from the miRGrep tool and an in-house
built shell script for Minimum Fold Energy Index (MFEI) calculation. Applying the
stringent criteria of the Dicer cleavage pattern and the perfect stem loop
structure, precursors for 57 known miRNAs of 15 families and 18 novel miRNAs were
revealed. Quantitative Real Time (qRT) PCR was performed to determine the
expression of selected miRNAs.
PMID- 29657292
TI - The Non-Coding RNA Journal Club: Highlights on Recent Papers-5.
AB - We are delighted to share with you our fifth Journal Club and highlight some of
the most interesting papers published recently.[...].
PMID- 29657291
TI - Detecting Disease Specific Pathway Substructures through an Integrated Systems
Biology Approach.
AB - In the era of network medicine, pathway analysis methods play a central role in
the prediction of phenotype from high throughput experiments. In this paper, we
present a network-based systems biology approach capable of extracting disease
perturbed subpathways within pathway networks in connection with expression data
taken from The Cancer Genome Atlas (TCGA). Our system extends pathways with
missing regulatory elements, such as microRNAs, and their interactions with
genes. The framework enables the extraction, visualization, and analysis of
statistically significant disease-specific subpathways through an easy to use web
interface. Our analysis shows that the methodology is able to fill the gap in
current techniques, allowing a more comprehensive analysis of the phenomena
underlying disease states.
PMID- 29657294
TI - Rare Splice Variants in Long Non-Coding RNAs.
AB - Long non-coding RNAs (lncRNAs) form a substantial component of the transcriptome
and are involved in a wide variety of regulatory mechanisms. Compared to protein
coding genes, they are often expressed at low levels and are restricted to a
narrow range of cell types or developmental stages. As a consequence, the
diversity of their isoforms is still far from being recorded and catalogued in
its entirety, and the debate is ongoing about what fraction of non-coding RNAs
truly conveys biological function rather than being "junk". Here, using a
collection of more than 100 transcriptomes from related B cell lymphoma, we show
that lncRNA loci produce a very defined set of splice variants. While some of
them are so rare that they become recognizable only in the superposition of
dozens or hundreds of transcriptome datasets and not infrequently include introns
or exons that have not been included in available genome annotation data, there
is still a very limited number of processing products for any given locus. The
combined depth of our sequencing data is large enough to effectively exhaust the
isoform diversity: the overwhelming majority of splice junctions that are
observed at all are represented by multiple junction-spanning reads. We conclude
that the human transcriptome produces virtually no background of RNAs that are
processed at effectively random positions, but is-under normal circumstances
confined to a well defined set of splice variants.
PMID- 29657293
TI - miR-146 and miR-155: Two Key Modulators of Immune Response and Tumor Development.
AB - MicroRNAs (miRNAs or miRs) are a class of evolutionarily-conserved small,
regulatory non-coding RNAs, 19-3 nucleotides in length, that negatively regulate
protein coding gene transcripts' expression. miR-146 (146a and 146b) and miR-155
are among the first and most studied miRs for their multiple roles in the control
of the innate and adaptive immune processes and for their deregulation and
oncogenic role in some tumors. In the present review, we have focused on the
recent acquisitions about the key role played by miR-146a, miR-146b and miR-155
in the control of the immune system and in myeloid tumorigenesis. Growing
experimental evidence indicates an opposite role of miR-146a with respect to miR
155 in the fine regulation of many steps of the immune response, acting at the
level of the various cell types involved in innate and adaptive immune
mechanisms. The demonstration that miR-155 overexpression plays a key pathogenic
role in some lymphomas and acute myeloid leukemias has led to the development of
an antagomir-based approach as a new promising therapeutic strategy.
PMID- 29657295
TI - Expression Profiling Identifies the Noncoding Processed Transcript of HNRNPU with
Proliferative Properties in Pancreatic Ductal Adenocarcinoma.
AB - A gene array was used to profile the expression of 22,875 long non-coding RNAs
(lncRNAs) and a large number of protein coding genes in 47 specimens of
pancreatic ductal adenocarcinoma (PDAC), adjacent benign pancreas and the
pancreas from patients without pancreatic disease. Of the lncRNAs profiled, the
expression of 126 were significantly increased and 260 were decreased in the
tumors (p < 0.05, 2-fold). The expression of one lncRNA in particular,
heterogeneous nuclear ribonucleoprotein U (HNRNPU) processed transcript (also
known as ncRNA00201) was among the most significantly deregulated (increased four
fold) in the tumors compared to normal/adjacent benign tissues. Increased
expression of HNRNPU processed transcript was associated with poor prognosis for
patients with PDAC. The expression of HNRNPU processed transcript was increased
in PDAC cell lines compared to noncancerous pancreatic cell lines. LNATM gapmer
mediated inhibition of HNRNPU processed transcript reduced cell proliferation in
Patu-T and PL45 pancreatic cancer cell lines. Reduced invasion and migration was
reported upon HNRNPU processed transcript knockdown in Patu-T cells. Small
interfering RNA (siRNA) knockdown of the HNRNPU protein coding gene correlated
with a 55% reduction in the HNRNPU processed transcript expression and a
corresponding reduction in proliferation of Patu-T and PL45 cells. However,
gapmer inhibition of HNRNPU processed transcript did not affect HNRNPU mRNA
levels. The lncRNA HNRNPU processed transcript expression is increased in both
PDAC tissues and cell lines; knockdown of this lncRNA further reduces
proliferation and invasion/migration of pancreatic carcinoma cells.
PMID- 29657297
TI - Long Non-Coding RNA TUG1 Expression Is Associated with Different Subtypes in
Human Breast Cancer.
AB - Taurine upregulated 1 gene (TUG1) is a long non-coding RNA associated with
several types of cancer. Recently, differential expression of TUG1 was found in
cancerous breast tissues and associated with breast cancer malignancy features.
Although this is evidence of a potential role in breast cancer, TUG1 expression
could not be associated with different subtypes, possibly due to the small number
of samples analyzed. Breast cancer is a heterogeneous disease and, based on
molecular signatures, may be classified into different subtypes with prognostic
implications. In the present study, we include analysis of TUG1 expression in 796
invasive breast carcinoma and 105 normal samples of RNA sequencing (RNA-seq)
datasets from The Cancer Genome Atlas (TCGA) and describe that TUG1 expression is
increased in HER2-enriched and basal-like subtypes compared to luminal A.
Additionally, TUG1 expression is associated with survival in HER2-enriched
patients. These results reinforce the importance of TUG1 in breast cancer and
outline its potential impact on specific subtypes.
PMID- 29657296
TI - Roles of Non-Coding RNA in Sugarcane-Microbe Interaction.
AB - Studies have highlighted the importance of non-coding RNA regulation in plant
microbe interaction. However, the roles of sugarcane microRNAs (miRNAs) in the
regulation of disease responses have not been investigated. Firstly, we screened
the sRNA transcriptome of sugarcane infected with Acidovorax avenae. Conserved
and novel miRNAs were identified. Additionally, small interfering RNAs (siRNAs)
were aligned to differentially expressed sequences from the sugarcane
transcriptome. Interestingly, many siRNAs aligned to a transcript encoding a
copper-transporter gene whose expression was induced in the presence of A.
avenae, while the siRNAs were repressed in the presence of A. avenae. Moreover, a
long intergenic non-coding RNA was identified as a potential target or decoy of
miR408. To extend the bioinformatics analysis, we carried out independent
inoculations and the expression patterns of six miRNAs were validated by
quantitative reverse transcription-PCR (qRT-PCR). Among these miRNAs, miR408-a
copper-microRNA-was downregulated. The cleavage of a putative miR408 target, a
laccase, was confirmed by a modified 5'RACE (rapid amplification of cDNA ends)
assay. MiR408 was also downregulated in samples infected with other pathogens,
but it was upregulated in the presence of a beneficial diazotrophic bacteria. Our
results suggest that regulation by miR408 is important in sugarcane sensing
whether microorganisms are either pathogenic or beneficial, triggering specific
miRNA-mediated regulatory mechanisms accordingly.
PMID- 29657298
TI - A Novel Long Non-Coding RNA in the hTERT Promoter Region Regulates hTERT
Expression.
AB - A novel antisense transcript was identified in the human telomerase reverse
transcriptase (hTERT) promoter region, suggesting that the hTERT promoter is
bidirectional. This transcript, named hTERT antisense promoter-associated
(hTAPAS) RNA, is a 1.6 kb long non-coding RNA. hTAPAS transcription is initiated
167 nucleotides upstream of the hTERT transcription start site and is present in
both the nucleus and the cytoplasm. Surprisingly, we observed that a large
fraction of the hTERT polyadenylated RNA is localized in the nucleus, suggesting
this might be an additional means of regulating the cellular abundance of hTERT
protein. Both hTAPAS and hTERT are expressed in immortalized B-cells and human
embryonic stem cells but are not detected in normal somatic cells. hTAPAS
expression inversely correlates with hTERT expression in different types of
cancer samples. Moreover, hTAPAS expression is not promoted by an hTERT promoter
mutation (-124 C>T). Antisense-oligonucleotide mediated knockdown of hTAPAS
results in an increase in hTERT expression. Conversely, ectopic overexpression of
hTAPAS down regulates hTERT expression, suggesting a negative role in hTERT gene
regulation. These observations provide insights into hTAPAS as a novel player
that negatively regulates hTERT expression and may be involved in telomere length
homeostasis.
PMID- 29657301
TI - Unravelling the Long Non-Coding RNA Profile of Undifferentiated Large Cell Lung
Carcinoma.
AB - Undifferentiated large cell lung carcinoma (LCLC) accounts for 2.9-9% of total
lung cancers. Recently, RNA-seq based studies have revealed major genomic
aberrations in LCLC. In this study, we aim to identify long non-coding RNAs
(LncRNAs) expression pattern specific to LCLC. The RNA-seq profile of LCLC and
other non-small cell lung carcinoma (NSCLC) was downloaded from Gene Expression
Omnibus (GEO) and analyzed. Using 10 LCLC samples, we found that 18% of all the
annotated LncRNAs are expressed in LCLC samples. Among 1794 expressed LncRNAs, 11
were overexpressed and 14 were downregulated in LCLC compared to normal samples.
Based on receiver operating characteristic (ROC) analysis, we showed that the top
five differentially expressed LncRNAs were able to differentiate between LCLC and
normal samples with high sensitivity and specificity. Guilt by association
analysis using genes correlating with differentially expressed LncRNAs identified
several cancer-associated pathways, suggesting the role of these deregulated
LncRNA in LCLC biology. We also identified the LncRNA differentially expressed in
LCLC compared to lung squamous carcinoma (LUSC) and Lung-adenocarcinoma (LUAD).
We found that LCLC sample showed more deregulated LncRNA in LUSC than LUAD.
Interestingly, LCLC had more downregulated LncRNA compared to LUAD and LUSC. Our
study provides novel insight into LncRNA deregulation in LCLC. This study also
finds tools to diagnose LCLC and differentiate LCLC with other Non-Small Cell
Lung Cancer.
PMID- 29657300
TI - Besides Pathology: Long Non-Coding RNA in Cell and Tissue Homeostasis.
AB - A significant proportion of mammalian genomes corresponds to genes that
transcribe long non-coding RNAs (lncRNAs). Throughout the last decade, the number
of studies concerning the roles played by lncRNAs in different biological
processes has increased considerably. This intense interest in lncRNAs has
produced a major shift in our understanding of gene and genome regulation and
structure. It became apparent that lncRNAs regulate gene expression through
several mechanisms. These RNAs function as transcriptional or post
transcriptional regulators through binding to histone-modifying complexes, to
DNA, to transcription factors and other DNA binding proteins, to RNA polymerase
II, to mRNA, or through the modulation of microRNA or enzyme function. Often, the
lncRNA transcription itself rather than the lncRNA product appears to be
regulatory. In this review, we highlight studies identifying lncRNAs in the
homeostasis of various cell and tissue types or demonstrating their effects in
the expression of protein-coding or other non-coding RNA genes.
PMID- 29657302
TI - miRNAtools: Advanced Training Using the miRNA Web of Knowledge.
AB - Micro-RNAs (miRNAs) are small non-coding RNAs that act as negative regulators of
the genomic output. Their intrinsic importance within cell biology and human
disease is well known. Their mechanism of action based on the base pairing
binding to their cognate targets have helped the development not only of many
computer applications for the prediction of miRNA target recognition but also of
specific applications for functional assessment and analysis. Learning about
miRNA function requires practical training in the use of specific computer and
web-based applications that are complementary to wet-lab studies. In order to
guide the learning process about miRNAs, we have created miRNAtools
(http://mirnatools.eu), a web repository of miRNA tools and tutorials. This
article compiles tools with which miRNAs and their regulatory action can be
analyzed and that function to collect and organize information dispersed on the
web. The miRNAtools website contains a collection of tutorials that can be used
by students and tutors engaged in advanced training courses. The tutorials engage
in analyses of the functions of selected miRNAs, starting with their nomenclature
and genomic localization and finishing with their involvement in specific
cellular functions.
PMID- 29657303
TI - Sponge Long Non-Coding RNAs Are Expressed in Specific Cell Types and Conserved
Networks.
AB - Although developmental regulation by long non-coding RNAs (lncRNAs) appears to be
a widespread feature amongst animals, the origin and level of evolutionary
conservation of this mode of regulation remain unclear. We have previously
demonstrated that the sponge Amphimedon queenslandica-a morphologically-simple
animal-developmentally expresses an array of lncRNAs in manner akin to more
complex bilaterians (insects + vertebrates). Here, we first show that Amphimedon
lncRNAs are expressed in specific cell types in larvae, juveniles and adults.
Thus, as in bilaterians, sponge developmental regulation involves the dynamic,
cell type- and context-specific regulation of specific lncRNAs. Second, by
comparing gene co-expression networks between Amphimedon queenslandica and Sycon
ciliatum-a distantly-related calcisponge-we identify several putative co
expression modules that appear to be shared in sponges; these network-embedded
sponge lncRNAs have no discernable sequence similarity. Together, these results
suggest sponge lncRNAs are developmentally regulated and operate in conserved
gene regulatory networks, as appears to be the case in more complex bilaterians.
PMID- 29657304
TI - The Role of Long Non-Coding RNAs in Osteosarcoma.
AB - Long non-coding RNAs (lncRNAs) constitute non-protein coding transcripts with a
size > than 200 nucleotides. They are involved in many cellular processes, such
as chromatin remodelling, transcription, and gene expression. They play a role in
the development, progression, and invasion of many human cancers, including
osteosarcoma. This rare tumor entity predominantly arises in children and young
adults. Treatment consists of polychemotherapy and surgical resection, increasing
survival rates up to 60%. In the present review, the role of lncRNAs with
prognostic, predictive, therapeutic, and diagnostic significance in osteosarcoma
is discussed. Moreover, their potential application in clinical practice is
highlighted.
PMID- 29657305
TI - Strengths and Weaknesses of the Current Strategies to Map and Characterize R
Loops.
AB - R-loops are evolutionarily conserved three-stranded structures that result from
the formation of stable DNA:RNA hybrids in the genome. R-loops have attracted
increasing interest in recent years as potent regulators of gene expression and
genome stability. In particular, their strong association with severe replication
stress makes them potential oncogenic structures. Despite their importance, the
rules that govern their formation and their dynamics are still controversial and
an in-depth description of their direct impact on chromatin organization and DNA
transactions is still lacking. To better understand the diversity of R-loop
functions, reliable, accurate, and quantitative mapping techniques, as well as
functional assays are required. Here, I review the different approaches that are
currently used to do so and to highlight their individual strengths and
weaknesses. In particular, I review the advantages and disadvantages of using the
S9.6 antibody to map R-loops in vivo in an attempt to propose guidelines for best
practices.
PMID- 29657306
TI - Hypoxia-Induced MicroRNA-210 Targets Neurodegenerative Pathways.
AB - Hypoxia-regulated microRNA-210 (miR-210) is a highly conserved microRNA, known to
regulate various processes under hypoxic conditions. Previously we found that miR
210 is also involved in honeybee learning and memory, raising the questions of
how neural activity may induce hypoxia-regulated genes and how miR-210 may
regulate plasticity in more complex mammalian systems. Using a pull-down
approach, we identified 620 unique target genes of miR-210 in humans, among which
there was a significant enrichment of age-related neurodegenerative pathways,
including Huntington's, Alzheimer's, and Parkinson's diseases. We have also
validated that miR-210 directly regulates various identified target genes of
interest involved with neuronal plasticity, neurodegenerative diseases, and miR
210-associated cancers. This data suggests a potentially novel mechanism for how
metabolic changes may couple plasticity to neuronal activity through hypoxia
regulated genes such as miR-210.
PMID- 29657308
TI - Characteristics of gut microbiota in adult patients with type 1 and type 2
diabetes based on next-generation sequencing of the 16S rRNA gene fragment.
AB - Introduction Scientific data indicate a possible influence of gut microbiota on
the development of type 1 and type 2 diabetes mellitus (T1DM and T2DM,
respectively). Sequence analysis of 16S ribosomal RNA identified several hundred
bacterial species of the intestinal ecosystem, most of which cannot be cultured.
Objectives We aimed to evaluate gut microbiota composition in adult patients with
T1DM and T2DM and establish a link between microbiological test results and
patients' clinical data. Patients and methods We examined DNA isolated from fecal
samples in 3 groups: healthy volunteers (n = 23), patients with T1DM (n = 22),
and patients with T2DM (n = 23). Next-generation sequencing was performed on the
MiSeq platform. Results At the phylum level, the Firmicutes bacteria prevailed
(>77%) in all groups. At the taxonomic levels L2 (phylum) and L6 (genus),
significant differences were demonstrated in bacterial profiles, particularly in
the T2DM group. A negative correlation was observed between several genera of
bacteria and the percentage of glycated hemoglobin A1c in the T2DM group, while a
positive correlation was revealed between bacteria belonging to the genus
Bifidobacterium and high-density lipoprotein cholesterol levels in both T1DM and
T2DM groups. Conclusions Our results provide grounds for conducting research in
the field of gut microbiota in order to develop individualized therapy for
patients with diabetes based on modifying the microbiota composition, as a new
method for controlling glycemia. Next-generation sequencing allows a rapid
identification of the DNA of all bacteria present in the sample and their
taxonomic classification.
PMID- 29657309
TI - Advanced hypertrophic obstructive cardiomyopathy diagnosed in early pregnancy:
successful prevention of sudden cardiac death.
PMID- 29657310
TI - Cardiac amyloidosis: myocardial biopsy as a tool in chemotherapy implementation
and sudden cardiac death prevention.
PMID- 29657307
TI - Non-Coding RNA as Novel Players in the Pathophysiology of Schizophrenia.
AB - Schizophrenia is associated with diverse changes in the brain's transcriptome and
proteome. Underlying these changes is the complex dysregulation of gene
expression and protein production that varies both spatially across brain regions
and temporally with the progression of the illness. The growing body of
literature showing changes in non-coding RNA in individuals with schizophrenia
offers new insights into the mechanisms causing this dysregulation. A large
number of studies have reported that the expression of microRNA (miRNA) is
altered in the brains of individuals with schizophrenia. This evidence is
complemented by findings that single nucleotide polymorphisms (SNPs) in miRNA
host gene sequences can confer an increased risk of developing the disorder.
Additionally, recent evidence suggests the expression of other non-coding RNAs,
such as small nucleolar RNA and long non-coding RNA, may also be affected in
schizophrenia. Understanding how these changes in non-coding RNAs contribute to
the development and progression of schizophrenia offers potential avenues for the
better treatment and diagnosis of the disorder. This review will focus on the
evidence supporting the involvement of non-coding RNA in schizophrenia and its
therapeutic potential.
PMID- 29657311
TI - Successful surgical treatment of pheochromocytoma during pregnancy.
PMID- 29657312
TI - Left Upper-Quadrant Appendicitis in a Patient with Congenital Intestinal
Malrotation and Polysplenia.
AB - BACKGROUND Appendicitis is the most common cause of abdominal pain requiring
emergent surgical intervention. Although typically presenting as right lower
quadrant pain, in rare cases it may present as left upper-quadrant pain secondary
to abnormal position due to intestinal malrotation. Since atypical presentations
may result in diagnostic and management delay, increasing morbidity and
mortality, accurate and prompt diagnosis is important. Therefore, acute
appendicitis should be considered in the differential diagnosis of left upper
quadrant abdominal pain. In this setting, medical imaging plays a key role in
diagnosis. We report a case of a 13-year-old female with undiagnosed intestinal
malrotation presenting with left-sided acute appendicitis. CASE REPORT A 13-year
old Hispanic female presented at the emergency room with anorexia and left upper
quadrant abdominal pain with involuntary guarding. The laboratory work-up was
remarkable for elevated white blood cell count and elevated erythrocyte
sedimentation rate. A nasogastric tube was placed and abdominal x-rays performed
to rule-out bowel obstruction, showing distended bowel loops throughout all
abdominal quadrants, with sigmoid and proximal rectal gas, raising concern for
ileus rather than an obstructive pattern. Lack of symptomatic improvement
prompted an IV contrast-enhanced abdominopelvic CT, revealing intestinal
malrotation and with an inflamed left upper-quadrant appendix. Surgical
management proceeded with a laparoscopic Ladd's procedure. CONCLUSIONS Acute
appendicitis may present with atypical symptoms due to unusual appendix
locations, such as in malrotation. Most cases are asymptomatic until development
of acute complications, requiring imaging for diagnosis. Clinicians and
radiologists should have a high index of suspicion and knowledge of its clinical
presentations to achieve early diagnosis and intervention.
PMID- 29657314
TI - Author Correction: Selection of reference genes for microRNA analysis associated
to early stress response to handling and confinement in Salmo salar.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29657313
TI - Curcumin Attenuates Inflammation in a Severe Acute Pancreatitis Animal Model by
Regulating TRAF1/ASK1 Signaling.
AB - BACKGROUND Inflammation plays an important role in initiation and development of
severe acute pancreatitis (SAP). Curcumin exerts potent anti-inflammatory effects
in many diseases, including acute pancreatitis. However, the specific molecular
mechanisms are not clear. MATERIAL AND METHODS Intra-biliopancreatic duct
injection of taurocholate was used to establish an animal model of SAP. Curcumin
was administrated to animals as pre-treatments. Concentrations of cytokines in
serum and ascites were measured by enzyme-linked immunosorbent assay (ELISA). A
colorimetric method was used to determine the amylase activity. Western blotting
was used to examine the expression levels and phosphorylation levels of proteins.
Immunoprecipitation was used to assess the molecular association between
apoptosis signal- regulating kinase 1 (ASK1) and thioredoxin (Trx). RESULTS Pre
treatment with curcumin reduced the concentrations of interleukin (IL6) and tumor
necrosis factor (TNFalpha) in serum and ascites, as well as the ascites volume
and amylase activity in SAP rats. Pre-treatment with curcumin reduced the
expression level of TNF receptor-associated factor 1 (TRAF1), IL6, and TNFa in
pancreas in SAP rats. Moreover, the phosphorylation levels of mitogen-activated
protein kinase (MAPK) kinase 4 (MKK4), MKK7, and c-Jun NH(2)-terminal protein
kinase (JNK) were reduced by curcumin pre-treatment. The molecular association
between ASK1 and Trx was recovered by curcumin pre-treatment. As a result, the
nuclear translocation of nuclear factor kappa B (NF-kappaB) was suppressed in
pancreases from SAP rats. CONCLUSIONS Activation of the TRAF1/ASK1/JNK/NF-kappaB
signaling pathway is involved in the inflammation of SAP. Curcumin exerts anti
inflammatory effects by suppressing this proinflammatory pathway.
PMID- 29657315
TI - Author Correction: Next generation sequencing reveals changes of the gammadelta T
cell receptor repertoires in patients with pulmonary tuberculosis.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29657316
TI - Legless baby amphibians dine on mother's skin.
PMID- 29657317
TI - Gentle 'slow slip' earthquakes belie hidden danger.
PMID- 29657319
TI - Speedy nanoengine zooms along DNA tracks.
PMID- 29657318
TI - Jays play nicely with the right hormone.
PMID- 29657320
TI - Why fit fathers sire smarter offspring.
PMID- 29657321
TI - Deadly tumours are often born of childhood mutations.
PMID- 29657322
TI - Laser-beam 'tweezers' guide two atoms to collide.
PMID- 29657323
TI - A stomach virus's mysterious path into the gut is uncovered.
PMID- 29657325
TI - Author Correction: Nod2 and Nod2-regulated microbiota protect BALB/c mice from
diet-induced obesity and metabolic dysfunction.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29657324
TI - Recent advances in cancer metabolism: a technological perspective.
AB - Cancer cells are highly dependent on metabolic pathways to sustain both their
proliferation and adaption to harsh microenvironments. Thus, understanding the
metabolic reprogramming that occurs in tumors can provide critical insights for
the development of therapies targeting metabolism. In this review, we will
discuss recent advancements in metabolomics and other multidisciplinary
techniques that have led to the discovery of novel metabolic pathways and
mechanisms in diverse cancer types.
PMID- 29657326
TI - Exploring vitamin D metabolism and function in cancer.
AB - Vitamin D, traditionally known as an essential nutrient, is a precursor of a
potent steroid hormone that regulates a broad spectrum of physiological
processes. In addition to its classical roles in bone metabolism,
epidemiological, preclinical, and cellular research during the last decades, it
revealed that vitamin D may play a key role in the prevention and treatment of
many extra-skeletal diseases such as cancer. Vitamin D, as a prohormone,
undergoes two-step metabolism in liver and kidney to produce a biologically
active metabolite, calcitriol, which binds to the vitamin D receptor (VDR) for
the regulation of expression of diverse genes. In addition, recent studies have
revealed that vitamin D can also be metabolized and activated through a CYP11A1
driven non-canonical metabolic pathway. Numerous anticancer properties of vitamin
D have been proposed, with diverse effects on cancer development and progression.
However, accumulating data suggest that the metabolism and functions of vitamin D
are dysregulated in many types of cancer, conferring resistance to the
antitumorigenic effects of vitamin D and thereby contributing to the development
and progression of cancer. Thus, understanding dysregulated vitamin D metabolism
and function in cancer will be critical for the development of promising new
strategies for successful vitamin D-based cancer therapy.
PMID- 29657327
TI - A guide to 13C metabolic flux analysis for the cancer biologist.
AB - Cancer metabolism is significantly altered from normal cellular metabolism
allowing cancer cells to adapt to changing microenvironments and maintain high
rates of proliferation. In the past decade, stable-isotope tracing and network
analysis have become powerful tools for uncovering metabolic pathways that are
differentially activated in cancer cells. In particular, 13C metabolic flux
analysis (13C-MFA) has emerged as the primary technique for quantifying
intracellular fluxes in cancer cells. In this review, we provide a practical
guide for investigators interested in getting started with 13C-MFA. We describe
best practices in 13C-MFA, highlight potential pitfalls and alternative
approaches, and conclude with new developments that can further enhance our
understanding of cancer metabolism.
PMID- 29657329
TI - Expanding the concepts of cancer metabolism.
PMID- 29657330
TI - Does pregnancy coloration reduce female conspecific aggression in the presence of
maternal kin?
AB - Colour signals arise in a variety of sexual contexts, including advertising
reproductive status. Despite potentially attracting negative attention from
unrelated competitors, bright pregnancy coloration may communicate gestation to
kin and potential fathers, thereby garnering aid during agonistic encounters and
reducing the overall amount of aggression received by pregnant females. To
establish whether this 'pregnancy sign' influences rates of aggression in the
presence versus absence of maternal kin, we conducted behavioural observations of
wild olive baboons, Papio anubis, in Gombe National Park, Tanzania, in groups
composed of maternal kin and nonkin, and of captive baboons at the Southwest
National Primate Research Center (SNPRC, San Antonio, TX, U.S.A.), in group
enclosures that were unlikely to include close kin. At SNPRC, we also
experimentally obscured the coloration of the pregnancy sign, and we performed
playback experiments to measure male responses to the distress calls of pregnant
females. Free-ranging female baboons experienced significantly less aggression
from nonkin females after the onset of the pregnancy sign compared to the pre
pregnancy sign. In contrast, captive pregnant females whose pregnancy coloration
was obscured with paint experienced significantly lower aggression rates from
female conspecifics compared to pre-painting. Male aggression towards females did
not differ in the presence versus absence of the pregnancy sign in either the
wild or the captive population, although captive fathers paid significantly more
attention to distress calls of pregnant cage-mates than they did to those of
cycling cage-mates, suggesting a willingness to aid mothers that were carrying
their unborn offspring.
PMID- 29657331
TI - The Role of Compensatory Beliefs in Rationalizing Environmentally Detrimental
Behaviors.
AB - Compensatory green beliefs (CGBs) reflect the idea that a pro-environmental
behavior (e.g., recycling) can off-set the negative effects of an environmentally
detrimental behavior (e.g., driving). It is thought that CGBs might help explain
why people act in ways that appear to contradict their pro-environmental
intentions, and inconsistently engage in pro-environmental behaviors. The present
study sought to investigate the nature and use of CGBs. A series of interviews
suggested that participants endorsed CGBs to (a) reduce feelings of guilt with
respect to (the assumed or actual) negative environmental impact of their actions
and (b) defend their green credentials in social situations. Participants also
justified detrimental behaviors on the basis of higher loyalties (e.g., family's
needs), or the perceived difficulty of performing more pro-environmental actions.
In addition to shedding light on how, when, and why people might hold and use
CGBs, the research also provides new insight into how CGBs should be assessed.
PMID- 29657328
TI - Non-canonical functions of enzymes facilitate cross-talk between cell metabolic
and regulatory pathways.
AB - The metabolic rewiring that occurs during cell transformation is a hallmark of
cancer. It is diverse in different cancers as it reflects different combinations
of oncogenic drivers, tumor suppressors, and the microenvironment. Metabolic
rewiring is essential to cancer as it enables uncontrolled proliferation and
adaptation to the fluctuating availability of nutrients and oxygen caused by poor
access to the vasculature due to tumor growth and a foreign microenvironment
encountered during metastasis. Increasing evidence now indicates that the
metabolic state in cancer cells also plays a causal role in tumor growth and
metastasis, for example through the action of oncometabolites, which modulate
cell signaling and epigenetic pathways to promote malignancy. In addition to
altering the metabolic state in cancer cells, some multifunctional enzymes
possess non-metabolic functions that also contribute to cell transformation. Some
multifunctional enzymes that are highly expressed in cancer, such as pyruvate
kinase M2 (PKM2), have non-canonical functions that are co-opted by oncogenic
signaling to drive proliferation and inhibit apoptosis. Other multifunctional
enzymes that are frequently downregulated in cancer, such as fructose
bisphosphatase 1 (FBP1), are tumor suppressors, directly opposing mitogenic
signaling via their non-canonical functions. In some cases, the enzymatic and non
canonical roles of these enzymes are functionally linked, making the modulation
of non-metabolic cellular processes dependent on the metabolic state of the cell.
PMID- 29657332
TI - LITHOBATES PIPIENS (Northern Leopard Frog). MALFORMATION.
PMID- 29657333
TI - Optimized ARROW-Based MMI Waveguides for High Fidelity Excitation Patterns for
Optofluidic Multiplexing.
AB - Multimode interference (MMI) waveguides can be used for multiplexing and de
multiplexing optical signals. High fidelity, wavelength dependent multi-spot
patterns from MMI waveguides are useful for sensitive and simultaneous
identification of multiple targets in multiplexed fluorescence optofluidic
biosensors. Through experiments and simulation, this paper explores design
parameters for an MMI rib anti-resonant reflecting optical waveguide (ARROW) in
order to produce high fidelity spot patterns at the liquid core biomarker
excitation region. Width and etch depth of the single excitation rib waveguide
used to excite the MMI waveguide are especially critical because they determine
the size of the input optical mode which is imaged at the MMI waveguide's output.
To increase optical throughput into the MMI waveguide when light is coupled in
from an optical fiber, tapers in the waveguide width can be used for better mode
matching.
PMID- 29657334
TI - Awareness, Analysis, and Action: Curricular Alignment for Student Success in
General Chemistry.
AB - This article examines the ways that a shared faculty experience across five
partner institutions led to a deep awareness of the curriculum and pedagogy of
general chemistry coursework, and ultimately, to a collaborative action plan for
student success. The team identified key differences and similarities in course
content and instructional experiences. The comparative analysis yielded many more
similarities than differences, and therefore, the team shifted its focus from
"gap analysis" to an exploration of common curricular challenges. To address
these challenges, the team developed content for targeted instructional resources
that promoted the success of all STEM students across institutions. This article
contextualizes the interinstitutional collaboration and closely examines the
interactive components (awareness, analysis, and action), critical tools, and
productive attitudes that undergirded the curricular alignment process of the
STEM Transfer Student Success Initiative (t-STEM).
PMID- 29657335
TI - Parental Education and Family Dissolution: A Cross-National and Cohort
Comparison.
AB - This is the first study to systematically analyze whether the association between
parental education and family dissolution varies cross-nationally and over time.
The authors use meta-analytic tools to study cross-national variation between 17
countries with data from the Generations and Gender Study and Harmonized
Histories. The association shows considerable cross-national variation, but is
positive in most countries. The association between parental education and family
dissolution has become less positive or even negative in six countries. The
findings show that the association between parental education and family
dissolution is generally positive or nil, even if the association between own
education and family dissolution is in many countries increasingly negative. The
authors find suggestive evidence that the association is related to the crude
divorce rate, but not to the generosity of the welfare state in these countries.
The implications of these findings for understanding the stratification in family
dissolution are discussed.
PMID- 29657336
TI - The Role of Rough Topography in Mediating Impacts of Bottom Drag in Eddying Ocean
Circulation Models.
AB - Motivated by the substantial sensitivity of eddies in two-layer quasi-geostrophic
(QG) turbulence models to the strength of bottom drag, this study explores the
sensitivity of eddies in more realistic ocean general circulation model (OGCM)
simulations to bottom drag strength. The OGCM results are interpreted using
previous results from horizontally homogeneous, two-layer, flat-bottom, f-plane,
doubly periodic QG turbulence simulations and new results from two-layer beta
plane QG turbulence simulations run in a basin geometry with both flat and rough
bottoms. Baroclinicity in all of the simulations varies greatly with drag
strength, with weak drag corresponding to more barotropic flow and strong drag
corresponding to more baroclinic flow. The sensitivity of the baroclinicity in
the QG basin simulations to bottom drag is considerably reduced, however, when
rough topography is used in lieu of a flat bottom. Rough topography reduces the
sensitivity of the eddy kinetic energy amplitude and horizontal length scales in
the QG basin simulations to bottom drag to an even greater degree. The OGCM
simulation behavior is qualitatively similar to that in the QG rough bottom basin
simulations in that baroclinicity is more sensitive to bottom drag strength than
are eddy amplitudes or horizontal length scales. Rough topography therefore
appears to mediate the sensitivity of eddies in models to the strength of bottom
drag. The sensitivity of eddies to parameterized topographic internal lee wave
drag, which has recently been introduced into some OGCMs, is also briefly
discussed. Wave drag acts like a strong bottom drag in that it increases the
baroclinicity of the flow, without strongly affecting eddy horizontal length
scales.
PMID- 29657337
TI - Pressure-anisotropy-induced nonlinearities in the kinetic magnetorotational
instability.
AB - In collisionless and weakly collisional plasmas, such as hot accretion flows onto
compact objects, the magnetorotational instability (MRI) can differ significantly
from the standard (collisional) MRI. In particular, pressure anisotropy with
respect to the local magnetic-field direction can both change the linear MRI
dispersion relation and cause nonlinear modifications to the mode structure and
growth rate, even when the field and flow perturbations are very small. This work
studies these pressure-anisotropy-induced nonlinearities in the weakly nonlinear,
high-ion-beta regime, before the MRI saturates into strong turbulence. Our goal
is to better understand how the saturation of the MRI in a low-collisionality
plasma might differ from that in the collisional regime. We focus on two key
effects: (i) the direct impact of self-induced pressure-anisotropy nonlinearities
on the evolution of an MRI mode, and (ii) the influence of pressure anisotropy on
the 'parasitic instabilities' that are suspected to cause the mode to break up
into turbulence. Our main conclusions are: (i) The mirror instability regulates
the pressure anisotropy in such a way that the linear MRI in a collisionless
plasma is an approximate nonlinear solution once the mode amplitude becomes
larger than the background field (just as in magnetohyrodynamics). This implies
that differences between the collisionless and collisional MRI become unimportant
at large amplitudes. (ii) The break up of large-amplitude MRI modes into
turbulence via parasitic instabilities is similar in collisionless and
collisional plasmas. Together, these conclusions suggest that the route to
magnetorotational turbulence in a collisionless plasma may well be similar to
that in a collisional plasma, as suggested by recent kinetic simulations. As a
supplement to these findings, we offer guidance for the design of future kinetic
simulations of magnetorotational turbulence.
PMID- 29657338
TI - Size-Dependent Submerging of Nanoparticles in Polymer Melts: Effect of Line
Tension.
AB - Adhesion of nanoparticles to polymer films plays a key role in various polymer
technologies. Here we report experiments that reveal how silica nanoparticles
adhere to a viscoelastic PMMA film above the glass transition temperature. The
polymer was swollen with CO2, closely matching the conditions of nanoparticle
nucleated polymer foaming. It is found that the degree by which the particles
sink into the viscoelastic substrate is strongly size dependent and can even lead
to complete engulfment for particles of diameter below 12 nm. These findings are
explained quantitatively by a thermodynamic analysis, combining elasticity,
capillary adhesion, and line tension. We argue that line tension, here proposed
for the first time in elastic media, is responsible for the nanoparticle
engulfment.
PMID- 29657339
TI - On the value of carbon-ion therapy.
PMID- 29657340
TI - Critical Consciousness: A Critique and Critical Analysis of the Literature.
AB - The education system has been heralded as a tool of liberation and simultaneously
critiqued as a tool of social control to maintain the oppressive status quo.
Critical consciousness (CC), developed by the Brazilian educator, Paulo Freire,
advanced an educational pedagogy to liberate the masses from systemic inequity
maintained and perpetuated by process, practices and outcomes of interdependent
systems and institutions. If people are not aware of inequity and do not act to
constantly resist oppressive norms and ways of being, then the result is residual
inequity in perpetuity. If inequity is likened to a disease or poison, then CC
has been deemed the antidote to inequity and the prescription needed to break the
cycle. As such, CC is a construct that has important scholarly, practice and
policy implications. Scholars, noting the relevance and application of CC to
current social problems, have advanced CC theory and practice. However, these
innovative advancements have left fissures in the CC theoretical base in need of
resolution and consensus to advance a collective and organized body of CC theory.
This paper explores the divergent CC scholarship within CC theory and practice
articles, provides an in-depth review of the inconsistencies, and suggests ideas
to resolve the discrepancies from the literature to support the need for a new,
CC-based construct, transformative potential. Without such a review, moving
toward conceptual clarity, the lack of a coherent CC knowledgebase will impede
the reflection and action needed to transform systems and institutions that
maintain and perpetuate systemic inequity that have dehumanizing consequences. If
implemented within urban education, theoretical models, grounded in CC theory,
could help achieve a system of education that is just, equitable and liberating.
PMID- 29657341
TI - Coordination Polymers based on the Neutral Ditopic Ligand (C6H4PO(OCH3)2)2
Involving some f-Block Elements.
AB - An improved synthesis using microwave heating affords (C6H4PO(OCH3)2)2 in
excellent isolated yield (95 %). The ligand properties of this
bisphosphonateester were explored towards hard metal centers M2+ (M = Ca, UO2)
and M3+ (M = La, Ce, Sm, Eu) resulting in coordination polymers, for which the
reduction of ionic size of the central metal atom resulted in lower-dimensional
structural motifs as opposed to higher dimensional networks obtained for the
larger ions. All coordination polymers were characterized by single-crystal X-ray
diffraction, IR spectroscopy, and combustion analysis. The ligand was furthermore
characterized with multinuclear NMR spectroscopy.
PMID- 29657342
TI - L-band microwave remote sensing and land data assimilation improve the
representation of pre-storm soil moisture conditions for hydrologic forecasting.
AB - Recent advances in remote sensing and land data assimilation purport to improve
the quality of antecedent soil moisture information available for operational
hydrologic forecasting. We objectively validate this claim by calculating the
strength of the relationship between storm-scale runoff ratio (i.e., total stream
flow divided by total rainfall accumulation in depth units) and pre-storm surface
soil moisture estimates from a range of surface soil moisture data products.
Results demonstrate that both satellite-based, L-band microwave radiometry and
the application of land data assimilation techniques have significantly improved
the utility of surface soil moisture data sets for forecasting stream flow
response to future rainfall events.
PMID- 29657343
TI - Joint Sentinel-1 and SMAP data assimilation to improve soil moisture estimates.
AB - SMAP (Soil Moisture Active and Passive) radiometer observations at ~40 km
resolution are routinely assimilated into the NASA Catchment Land Surface Model
to generate the 9-km SMAP Level-4 Soil Moisture product. This study demonstrates
that adding high-resolution radar observations from Sentinel-1 to the SMAP
assimilation can increase the spatio-temporal accuracy of soil moisture
estimates. Radar observations were assimilated either separately from or
simultaneously with radiometer observations. Assimilation impact was assessed by
comparing 3-hourly, 9-km surface and root-zone soil moisture simulations with in
situ measurements from 9-km SMAP core validation sites and sparse networks, from
May 2015 to December 2016. The Sentinel-1 assimilation consistently improved
surface soil moisture, whereas root-zone impacts were mostly neutral. Relatively
larger improvements were obtained from SMAP assimilation. The joint assimilation
of SMAP and Sentinel-1 observations performed best, demonstrating the
complementary value of radar and radiometer observations.
PMID- 29657344
TI - Increased atmospheric ammonia over the world's major agricultural areas detected
from space.
AB - This study provides evidence of substantial increases in atmospheric ammonia
(NH3) concentrations (14-year) over several of the worlds major agricultural
regions, using recently available retrievals from the Atmospheric Infrared
Sounder (AIRS) aboard NASA's Aqua satellite. The main sources of atmospheric NH3
are farming and animal husbandry involving reactive nitrogen ultimately derived
from fertilizer use; rates of emission are also sensitive to climate change.
Significant increasing trends are seen over the US (2.61% yr-1), the European
Union (EU) (1.83% yr-1), and China (2.27% yr-1). Over the EU, the trend results
from decreased scavenging by acid aerosols. Over the US, the increase results
from a combination of decreased chemical loss and increased soil temperatures.
Over China, decreased chemical loss, increasing temperatures, and increased
fertilizer use all play a role. Over South Asia, increased NH3 emissions are
masked by increased SO2 and NOx emissions, leading to increased aerosol loading
and adverse health effects.
PMID- 29657345
TI - Communalism Moderates the Association Between Racial Centrality and Emergency
Department Use for Sickle Cell Disease Pain.
AB - Sickle cell disease (SCD) is a genetic blood disorder that predominantly affects
people of African descent. However, there is limited information on how social
and cultural contexts affect SCD-related health care use. We explored whether
communalism moderated the relation between racial centrality and emergency
department use for SCD pain in a sample of 62 adults who were seen at a
comprehensive clinic. Bivariate analyses showed a significant correlation between
racial centrality and emergency department use (r = -.30, p = .02). Pain-adjusted
regression analyses indicated a moderating effect of communalism (b = .77, p <
.01) such that an inverse association between racial centrality and emergency
department use was observed only at mean and low levels of communalism.
Additional studies are needed to replicate these findings with larger samples.
There is also a need for further studies that elucidate the role of culturally
centered coping strategies on health care use in this patient group.
PMID- 29657347
TI - Affect Intensity Moderates the Association of Emotional Clarity with Emotion
Regulation and Depressive Symptoms in Unselected and Treatment-Seeking Samples.
AB - Depression is associated with subjective difficulties identifying one's emotions,
known as low emotional clarity, but the mediators and moderators of this
relationship are not well understood. We hypothesized that the role of emotional
clarity in emotion regulation and, in turn, depression depends on individual
differences in negative affect intensity. In Study 1, conducted in an unselected
sample (N=119), low emotional clarity more strongly predicted depression symptoms
among individuals higher in affect intensity. In Study 2, conducted in a
clinically diagnosed, treatment-seeking sample (N=245), we examined whether
affect intensity moderated an indirect path of clarity through emotion regulation
strategy use that has emerged in previous work. When affect intensity was very
low, emotional clarity did not predict reappraisal, and when affect intensity was
very high, emotional clarity did not predict non-acceptance or experiential
avoidance. By contrast, rumination mediated associations of emotional clarity
with depressive symptoms regardless of affect intensity. Findings support a
process model of low emotional clarity in depression that integrates (1) emotion
regulatory mediators and (2) moderation by negative affect intensity. Trait
differences in affect intensity may determine whether and how emotional clarity
and regulation processes factor into mood psychopathology.
PMID- 29657346
TI - Weathering of pyrogenic organic matter induces fungal oxidative enzyme response
in single culture inoculation experiments.
AB - The addition of pyrogenic organic matter (PyOM), the aromatic carbon-rich product
of the incomplete combustion of plant biomass or fossil fuels, to soil can
influence the rate of microbial metabolism of native soil carbon. The interaction
of soil heterotrophs with PyOM may be governed by the surficial chemical and
physical properties of PyOM that evolve with environmental exposure. We present
results of a 36-day laboratory incubation investigating the interaction of a
common white-rot fungus, Trametes versicolor, with three forms of 13C-enriched
(2.08 atom% 13C) PyOM derived from Pinus ponderosa (450 degrees C): one freshly
produced, and two artificially weathered (254 nm, UV light-water treatment and
water-leaching alone). Analysis (FTIR, XPS) of the UV-weathered PyOM showed
increased aliphatic C-H content and oxidation of aromatic carbon relative to both
the original and water-leached PyOM. The addition of both weathered forms of PyOM
stimulated (positively primed) fungal respiration of the growth media, while the
unaltered PyOM mildly inhibited (negatively primed) respiration. Artificial
weathering resulted in higher oxidative (laccase and peroxidase) enzyme activity
than unaltered PyOM, possibly the result of a diminished capacity to bind
reactive substrates and extracellular enzymes after weathering. However, and
contrary to expectations, simple water-leached weathering resulted in a
relatively higher enzyme activity and respiration than that of UV-weathering. The
13C content of respired CO2 indicated negligible fungal oxidation of PyOM for all
treatments, demonstrating the overall low microbial reactivity of this high
temperature PyOM. The increased enzymatic and positive priming response of T.
versicolor to weathered PyOM highlights the importance of weathering-induced
chemistry in controlling PyOM-microbe-soil carbon interactions.
PMID- 29657348
TI - Rawls's Wide Reflective Equilibrium as a Method for Engaged Interdisciplinary
Collaboration: Potentials and Limitations for the Context of Technological Risks.
AB - The introduction of new technologies in society is sometimes met with public
resistance. Supported by public policy calls for "upstream engagement" and
"responsible innovation," recent years have seen a notable rise in attempts to
attune research and innovation processes to societal needs, so that stakeholders'
concerns are taken into account in the design phase of technology. Both within
the social sciences and in the ethics of technology, we see many
interdisciplinary collaborations being initiated that aim to address tensions
between various normative expectations about science and engineering and the
actual outcomes. However, despite pleas to integrate social science research into
the ethics of technology, effective normative models for assessing technologies
are still scarce. Rawls's wide reflective equilibrium (WRE) is often mentioned as
a promising approach to integrate insights from the social sciences in the
normative analysis of concrete cases, but an in-depth discussion of how this
would work in practice is still lacking. In this article, we explore to what
extent the WRE method can be used in the context of technology development. Using
cases in engineering and technology development, we discuss three issues that are
currently neglected in the applied ethics literature on WRE. The first issue
concerns the operationalization of abstract background theories to moral
principles. The second issue concerns the inclusiveness of the method and the
demand for openness. The third issue is how to establish whether or not an
equilibrium has been reached. These issues should be taken into account when
applying the methods to real-world cases involving technological risks. Applying
the WRE method in the context of engaged interdisciplinary collaboration requires
sensitivity for issues of power and representativeness to properly deal with the
dynamics between the technical and normative researchers involved as well as
society at large.
PMID- 29657350
TI - Combined Radar-Radiometer Surface Soil Moisture and Roughness Estimation.
AB - A robust physics-based combined radar-radiometer, or Active-Passive, surface soil
moisture and roughness estimation methodology is presented. Soil moisture and
roughness retrieval is performed via optimization, i.e., minimization, of a joint
objective function which constrains similar resolution radar and radiometer
observations simultaneously. A data-driven and noise-dependent regularization
term has also been developed to automatically regularize and balance
corresponding radar and radiometer contributions to achieve optimal soil moisture
retrievals. It is shown that in order to compensate for measurement and
observation noise, as well as forward model inaccuracies, in combined radar
radiometer estimation surface roughness can be considered a free parameter.
Extensive Monte-Carlo numerical simulations and assessment using field data have
been performed to both evaluate the algorithm's performance and to demonstrate
soil moisture estimation. Unbiased root mean squared errors (RMSE) range from
0.18 to 0.03 cm3/cm3 for two different land cover types of corn and soybean. In
summary, in the context of soil moisture retrieval, the importance of consistent
forward emission and scattering development is discussed and presented.
PMID- 29657349
TI - The MODIS cloud optical and microphysical products: Collection 6 updates and
examples from Terra and Aqua.
AB - The MODIS Level-2 cloud product (Earth Science Data Set names MOD06 and MYD06 for
Terra and Aqua MODIS, respectively) provides pixel-level retrievals of cloud-top
properties (day and night pressure, temperature, and height) and cloud optical
properties (optical thickness, effective particle radius, and water path for both
liquid water and ice cloud thermodynamic phases-daytime only). Collection 6 (C6)
reprocessing of the product was completed in May 2014 and March 2015 for MODIS
Aqua and Terra, respectively. Here we provide an overview of major C6 optical
property algorithm changes relative to the previous Collection 5 (C5) product.
Notable C6 optical and microphysical algorithm changes include: (i) new ice cloud
optical property models and a more extensive cloud radiative transfer code lookup
table (LUT) approach, (ii) improvement in the skill of the shortwave-derived
cloud thermodynamic phase, (iii) separate cloud effective radius retrieval
datasets for each spectral combination used in previous collections, (iv)
separate retrievals for partly cloudy pixels and those associated with cloud
edges, (v) failure metrics that provide diagnostic information for pixels having
observations that fall outside the LUT solution space, and (vi) enhanced pixel
level retrieval uncertainty calculations. The C6 algorithm changes collectively
can result in significant changes relative to C5, though the magnitude depends on
the dataset and the pixel's retrieval location in the cloud parameter space.
Example Level-2 granule and Level-3 gridded dataset differences between the two
collections are shown. While the emphasis is on the suite of cloud optical
property datasets, other MODIS cloud datasets are discussed when relevant.
PMID- 29657351
TI - Habitat heterogeneity induces rapid changes in the feeding behaviour of
generalist arthropod predators.
AB - The "habitat heterogeneity hypothesis" predicts positive effects of structural
complexity on species coexistence. Increasing habitat heterogeneity can change
the diversity (number of species, abundances) and the functional roles of
communities. The latter, however, is not well understood as species and
individuals may respond very differently and dynamically to a changing
environment.Here, we experimentally test how habitat heterogeneity affects
generalist arthropod predators, including epigaeic spiders, carabid and
staphylinid beetles, under natural conditions by assessing their diversity and
directly measuring their trophic interactions (which provide a proxy for their
functional roles). The experiment was conducted in spring barley fields in
Southern Sweden where habitat heterogeneity was manipulated by increasing within
field plant diversity.Increased habitat heterogeneity triggered rapid changes in
the feeding behaviour of generalist predators characterized by lower trophic
specialization at both network (H2', degree of interaction specialization in the
entire network) and species level (d', degree of interaction specialization at
the species level). We presume that this is because spatial separation resulted
in relaxed competition and allowed an increased overlap in resources used among
predator species. Predators collected from heterogenous habitats also showed
greater individual-level dietary variability which might be ascribed to relaxed
intraspecific competition.Our results provide conclusive evidence that habitat
heterogeneity can induce rapid behavioural responses independent of changes in
diversity, potentially promoting the stability of ecosystem functions. A plain
language summary is available for this article.
PMID- 29657352
TI - Experience of sleep disruption in primary Sjogren's syndrome: A focus group
study.
AB - Introduction: Primary Sjogren's syndrome is the third most common systemic
autoimmune rheumatic disease, following rheumatoid arthritis and systemic lupus
erythematosus, and results in dryness, fatigue, discomfort and sleep
disturbances. Sleep is relatively unexplored in primary Sjogren's syndrome. We
investigated the experiences of sleep disturbances from the viewpoint of primary
Sjogren's syndrome patients and their partners and explored the acceptability of
cognitive behavioural therapy for insomnia. Method: We used focus groups to
collect qualitative data from 10 patients with primary Sjogren's syndrome and
three partners of patients. The data were recorded, transcribed verbatim and
analysed using thematic analysis. Results: Five themes emerged from the data: (a)
Experience of sleep disturbances; (b) variation and inconsistency in sleep
disturbances; (c) the domino effect of primary Sjogren's syndrome symptoms; (d)
strategies to manage sleep; (e) acceptability of evidence-based techniques. Sleep
disturbances were problematic for all patients, but specific disturbances varied
between participants. These included prolonged sleep onset time and frequent
night awakenings and were aggravated by pain and discomfort. Patients deployed a
range of strategies to try and self-manage. Cognitive behavioural therapy for
insomnia was seen as an acceptable intervention, as long as a rationale for its
use is given and it is tailored for primary Sjogren's syndrome. Conclusion:
Primary Sjogren's syndrome patients described a range of sleep disturbances.
Applying tailored, evidence-based sleep therapy interventions may improve sleep,
severity of other primary Sjogren's syndrome symptoms and functional ability.
PMID- 29657353
TI - Categorical Inequality: Schools As Sorting Machines.
AB - Despite their egalitarian ethos, schools are social sorting machines, creating
categories that serve as the foundation of later life inequalities. In this
review, we apply the theory of categorical inequality to education, focusing
particularly on contemporary American schools. We discuss the range of categories
that schools create, adopt, and reinforce, as well as the mechanisms through
which these categories contribute to production of inequalities within schools
and beyond. We argue that this categorical inequality frame helps to resolve a
fundamental tension in the sociology of education and inequality, shedding light
on how schools can-at once-be egalitarian institutions and agents of inequality.
By applying the notion of categorical inequality to schools, we provide a set of
conceptual tools that can help researchers understand, measure, and evaluate the
ways in which schools structure social inequality.
PMID- 29657354
TI - A Fourier-Transform Infrared Study of Biochar Aging in Soils.
AB - We used diffuse reflectance Fourier-transform infrared (DR-FTIR) spectroscopy, X
ray diffraction (XRD), and chemical and isotopic analyses to characterize the
light fraction of four contrasting soils (control and biocharamended soils) to
determine changes in biochar properties after aging. Two Eucalyptus saligna Sm.
wood biochars, produced at 450 degrees C (B450) and 550 degrees C (B550), were
incubated separately in each of the four soils for up to 12 mo at 20, 40, and 60
degrees C. Total C and isotopic (delta13C) methods were used to quantify the
amounts of biochar C and native C mineralized during incubation. The DR-FTIR
spectra of the light fraction showed distinct absorption bands representing
native soil organic C, biochar C, and mineral constituents present in the soils;
the mineral bands were consistent with XRD data of the clay fraction of the four
soils. Analysis of the DR-FTIR spectra in the nu(C-H) bands showed that the ratio
of the aromatic nu(C-H) bands systematically increased relative to the aliphatic
nu(C-H) bands with increasing mineralization of biochar C in the B550 amended
soils, and this relationship was unique for each soil type. In contrast, this
relationship was not observed for the B450 amended soils that contained a
relatively smaller proportion of aromatic C.
PMID- 29657355
TI - First-principles modeling of electromagnetic scattering by discrete and
discretely heterogeneous random media.
AB - A discrete random medium is an object in the form of a finite volume of a vacuum
or a homogeneous material medium filled with quasi-randomly and quasi-uniformly
distributed discrete macroscopic impurities called small particles. Such objects
are ubiquitous in natural and artificial environments. They are often
characterized by analyzing theoretically the results of laboratory, in situ, or
remote-sensing measurements of the scattering of light and other electromagnetic
radiation. Electromagnetic scattering and absorption by particles can also affect
the energy budget of a discrete random medium and hence various ambient physical
and chemical processes. In either case electromagnetic scattering must be modeled
in terms of appropriate optical observables, i.e., quadratic or bilinear forms in
the field that quantify the reading of a relevant optical instrument or the
electromagnetic energy budget. It is generally believed that time-harmonic
Maxwell's equations can accurately describe elastic electromagnetic scattering by
macroscopic particulate media that change in time much more slowly than the
incident electromagnetic field. However, direct solutions of these equations for
discrete random media had been impracticable until quite recently. This has led
to a widespread use of various phenomenological approaches in situations when
their very applicability can be questioned. Recently, however, a new branch of
physical optics has emerged wherein electromagnetic scattering by discrete and
discretely heterogeneous random media is modeled directly by using analytical or
numerically exact computer solutions of the Maxwell equations. Therefore, the
main objective of this Report is to formulate the general theoretical framework
of electromagnetic scattering by discrete random media rooted in the Maxwell
Lorentz electromagnetics and discuss its immediate analytical and numerical
consequences. Starting from the microscopic Maxwell-Lorentz equations, we trace
the development of the first-principles formalism enabling accurate calculations
of monochromatic and quasi-monochromatic scattering by static and randomly
varying multiparticle groups. We illustrate how this general framework can be
coupled with state-of-the-art computer solvers of the Maxwell equations and
applied to direct modeling of electromagnetic scattering by representative random
multi-particle groups with arbitrary packing densities. This first-principles
modeling yields general physical insights unavailable with phenomenological
approaches. We discuss how the first-order-scattering approximation, the
radiative transfer theory, and the theory of weak localization of electromagnetic
waves can be derived as immediate corollaries of the Maxwell equations for very
specific and well-defined kinds of particulate medium. These recent developments
confirm the mesoscopic origin of the radiative transfer, weak localization, and
effective-medium regimes and help evaluate the numerical accuracy of widely used
approximate modeling methodologies.
PMID- 29657356
TI - Using Modern Methods for Missing Data Analysis with the Social Relations Model: A
Bridge to Social Network Analysis.
PMID- 29657357
TI - Independent and Interactive Effects of Neighborhood Disadvantage and Social
Network Characteristics on Problem Drinking after Treatment.
AB - Socioecological approaches to public health problems like addiction emphasize the
importance of person-environment interactions. Neighborhood and social network
characteristics may influence the likelihood of relapse among individuals in
recovery, but these factors have been understudied, particularly with respect to
conceptualizing social network characteristics as moderators of neighborhood
disadvantage. Drawing from a larger prospective study of individuals recruited
from outpatient treatment (N=451) and interviewed 1, 3, 5, and 7 years later, the
aim of this study was to examine the independent and interactive effects of
neighborhood and social network characteristics on continued problem drinking
after treatment. Models using generalized estimating equations controlling for
demographic and other risk factors found the number of heavy drinkers in one's
network increases risk of relapse, with the effects being significantly stronger
among those living in disadvantaged neighborhoods than among those in non
disadvantaged neighborhoods. No independent effects were found for neighborhood
disadvantage or for the number of network members supporting reduced drinking.
Future research is needed to examine potential protective factors in
neighborhoods which may offset socioeconomic disadvantage as well as to
investigate the functions that network members serve in helping to improve long
term treatment outcomes.
PMID- 29657358
TI - Validity and Reliability of Short Physical Activity History: Cardia and the
Minnesota Heart Health Program.
AB - Validity and reliability of a short physical activity history were assessed in
two studies. Validity was studied in 2766 women and 2303 men, participants in
CARDIA, a biracial study. Ages ranged from 18 to 30 years. The activities
performed in the past 12 months by >= 50 percent of participants were
walking/hiking, nonstrenuous sports, shoveling/lifting during leisure,
running/jogging and home maintenance/gardening. Validity was indirectly assessed
by studying the relationships of total activity to skinfold thickness, total
caloric intake, duration on a self-limited maximal exercise test, and high
density lipoprotein cholesterol. Less than perfect correlation are expected since
physical activity is not the only factor affecting the validation criteria and
since physical activity patterns change over time within each person. Comparing
the highest physical activity quartile to the lowest physical activity quartile,
mean level of sum of three skinfolds was 10.7 mm less for women (correlation
coefficient (r) = -0.15, P < 0.001) and 6.9 mm less for men (r = -0.12, P <
0.001); mean level of caloric intake was 158 kcal morefor women (r = 0.07, P <
0.001) and 875 kcal morefor men (r = 0.21, P < 0.001); mean level of duration on
treadmill was 132 seconds more for women (r = 0.36, P < 0.001) and 95 seconds
more for women (r = 0.25, P < 0.001); and mean level of high density lipoprotein
cholesterol was 4.8 mg/dL more for women (r = 0.13, P < 0.001) and 3.2 mg/dL more
for men (r = 0.11, P < 0.001). Reliability was studied in a separate population
by comparing questionnaire results in an initial telephone administration with
results obtained two weeks later (N = 129). Similar types and amounts of activity
were reported in this group as in the group studied for validity. Test-retest
correlation coefficients for three summary scores ranged from 0.77 to 0.84, and
were at least 0.57 for each of the 13 activity groupings queried. This
questionnaire typically takes 5-10 minutes to administer. It yields moderately
detailed information about type and amount of usual leisure time physical
activity.
PMID- 29657359
TI - Direct Numerical Simulation of Fluid Flow and Mass Transfer in Particle Clusters.
AB - In this paper, an efficient ghost-cell based immersed boundary method is applied
to perform direct numerical simulation (DNS) of mass transfer problems in
particle clusters. To be specific, a nine-sphere cuboid cluster and a random
generated spherical cluster consisting of 100 spheres are studied. In both cases,
the cluster is composed of active catalysts and inert particles, and the mutual
influence of particles on their mass transfer performance is studied. To simulate
active catalysts the Dirichlet boundary condition is imposed at the external
surface of spheres, while the zero-flux Neumann boundary condition is applied for
inert particles. Through our studies, clustering is found to have negative
influence on the mass transfer performance, which can be then improved by
dilution with inert particles and higher Reynolds numbers. The distribution of
active/inert particles may lead to large variations of the cluster mass transfer
performance, and individual particle deep inside the cluster may possess a high
Sherwood number.
PMID- 29657360
TI - Interplay between Surface Chemistry, Precursor Reactivity, and Temperature
Determines Outcome of ZnS Shelling Reactions on CuInS2 Nanocrystals.
AB - ZnS shelling of I-III-VI2 nanocrystals (NCs) invariably leads to blue-shifts in
both the absorption and photoluminescence spectra. These observations imply that
the outcome of ZnS shelling reactions on I-III-VI2 colloidal NCs results from a
complex interplay between several processes taking place in solution, at the
surface of, and within the seed NC. However, a fundamental understanding of the
factors determining the balance between these different processes is still
lacking. In this work, we address this need by investigating the impact of
precursor reactivity, reaction temperature, and surface chemistry (due to the
washing procedure) on the outcome of ZnS shelling reactions on CuInS2 NCs using a
seeded growth approach. We demonstrate that low reaction temperatures (150
degrees C) favor etching, cation exchange, and alloying regardless of the
precursors used. Heteroepitaxial shell overgrowth becomes the dominant process
only if reactive S- and Zn-precursors (S-ODE/OLAM and ZnI2) and high reaction
temperatures (210 degrees C) are used, although a certain degree of
heterointerfacial alloying still occurs. Remarkably, the presence of residual
acetate at the surface of CIS seed NCs washed with ethanol is shown to facilitate
heteroepitaxial shell overgrowth, yielding for the first time CIS/ZnS core/shell
NCs displaying red-shifted absorption spectra, in agreement with the spectral
shifts expected for a type-I band alignment. The insights provided by this work
pave the way toward the design of improved synthesis strategies to CIS/ZnS
core/shell and alloy NCs with tailored elemental distribution profiles, allowing
precise tuning of the optoelectronic properties of the resulting materials.
PMID- 29657361
TI - Cone-beam computed tomography for assessment of dens invaginatus in the Polish
population.
AB - Objectives: There are many developmental variations in the permanent dentition.
Dens invaginatus can be recognized on many dental X-rays of affected patients,
but not every image allows for assessment of the type of malformation. The aim of
the present study was to assess the presence of dens invaginatus with
radiological features using cone-beam computed tomography (CBCT). Methods: CBCT
images of 33 patients were evaluated. Age, sex, side, lateralization, occurrence
in a particular group of teeth, type of invagination, differentiation, and the
consequences of these factors were analyzed. Results: Forty-one teeth with dens
invaginatus met the inclusion criteria for this evaluation. Females were affected
more frequently than males (57.6 vs. 42.4%, respectively). The patients' age
ranged from 7 to 40 years, and the occurrence of dens invaginatus peaked from age
9 to 13 years. In total, 92.7% of affected teeth were present in the maxilla,
more often unilaterally (75.8%) than bilaterally (24.2%). The most frequent tooth
with dens invaginatus was the maxillary lateral incisor (53.7% of affected
teeth). Almost two-thirds (63.4%) of affected teeth were found on the left side
and 36.6% were found on the right. The tooth anatomy was distorted within the
crown and root. Dens invaginatus sometimes affected other surrounding teeth and
reduced their esthetics. Conclusions: The obtained data indicate that CBCT
examination is an essential tool in assessing dens invaginatus and can guide
dental practitioners in treating patients who exhibit characteristic features of
this disorder. CBCT allows the clinician to distinguish the type of anomaly.
PMID- 29657362
TI - Seasonality of blood neopterin levels in the Old Order Amish.
AB - Seasonal changes in non-human animals and seasonal affective disorder (SAD) in
humans are associated with immune activation in winter relative to summer. We
intended to measure seasonal variation in neopterin, a marker of cellular
immunity, and its interactions with gender and seasonality of mood. We studied
320 Amish from Lancaster, PA, USA (men = 128; 40%) with an average age [Standard
deviation (SD)] of 56.7 (13.9) years. Blood neopterin level was measured with
enzyme-linked immunosorbent assay (ELISA). Seasonality was measured with Seasonal
Pattern Assessment Questionnaire (SPAQ). Statistical analysis included analysis
of covariance (ANCOVAs) and multivariate linear regression. We also investigated
interactions of seasonal differences in neopterin with gender, seasonality scores
and estimation of SAD diagnosis. We found a significantly higher neopterin level
in winter than in summer (p = 0.006). There were no significant gender or
seasonality interactions. Our study confirmed the hypothesized higher neopterin
level in winter. A cross sectional design was our major limitation. If this
finding will be replicated by longitudinal studies in multiple groups, neopterin
could be used to monitor immune status across seasons in demographically diverse
samples, even if heterogeneous in gender distribution, and degree of seasonality
of mood.
PMID- 29657363
TI - Positive association between Toxoplasma gondii IgG serointensity and current
dysphoria/hopelessness scores in the Old Order Amish: a preliminary study.
AB - Toxoplasma gondii (T. gondii) IgG seropositivity and serointensity have been
previously associated with suicidal self-directed violence (SSDV). Although
associations with unipolar depression have also been investigated, the results
have been inconsistent, possibly as a consequence of high heterogeneity. We have
now studied this association in a more homogeneous population, [that is (i.e.)
Old Order Amish (OOA)] with previously reported high T. gondii seroprevalence. In
306 OOA with a mean age of 46.1 +/- 16.7 years, including 191 (62.4%) women in
the Amish Wellness Study, we obtained both T. gondii IgG titers (by enzyme-linked
immunosorbent assay [ELISA]), and depression screening questionnaires (Patient
Health Questionnaire [PHQ-9] [n = 280] and PHQ-2 [n = 26]). Associations between
T. gondii IgG and dysphoria/hopelessness and anhedonia scores on depression
screening questionnaires were analyzed using multivariable linear methods with
adjustment for age and sex. Serointensity was associated with both current
dysphoria/hopelessness (p = 0.045) and current combined anhedonia and
dysphoria/hopelessness (p = 0.043), while associations with simple anhedonia and
past/lifelong (rather than current) phenotypes were not significant. These
results indicate the need for larger longitudinal studies to corroborate the
association between dysphoria/hopelessness and T. gondii IgG-titers. Current
hopelessness is a known risk factor for SSDV which responds particularly well to
cognitive behavioral therapy, and may be a focused treatment target for T. gondii
positive individuals at high-risk for SSDV.
PMID- 29657365
TI - Organic Photoredox Catalysis as a General Strategy for Anti-Markovnikov Alkene
Hydrofunctionalization.
AB - The development of a general catalyst system for the direct anti-Markovnikov
hydrofunctionalization of alkenes is presented. A unique catalyst system
comprised of an acridinium photooxidant and a hydrogen atom transfer reagent
allows for a range of alkene anti-Markovnikov hydrofunctionalization reactions
including hydroalkoxylation, hydroamination, and hydroacetoxylation.
PMID- 29657364
TI - Sleep onset insomnia, daytime sleepiness and sleep duration in relationship to
Toxoplasma gondii IgG seropositivity and serointensity.
AB - Toxoplasma gondii (T. gondii) infects central nervous tissue and is kept in
relative dormancy by a healthy immune system. Sleep disturbances have been found
to precipitate mental illness, suicidal behavior and car accidents, which have
been previously linked to T. gondii as well. We speculated that if sleep
disruption, particularly insomnia, would mediate, at least partly, the link
between T. gondii infection and related behavioral dysregulation, then we would
be able to identify significant associations between sleep disruption and T.
gondii. The mechanisms for such an association may involve dopamine (DA)
production by T. gondii, or collateral effects of immune activation necessary to
keep T. gondii in check. Sleep questionnaires from 2031 Old Order Amish were
analyzed in relationship to T. gondii-IgG antibodies measured by enzyme-linked
immunosorbent assay (ELISA). Toxoplasma gondii seropositivity and serointensity
were not associated with any of the sleep latency variables or Epworth Sleepiness
Scale (ESS). A secondary analysis identified, after adjustment for age group, a
statistical trend toward shorter sleep duration in seropositive men (p = 0.07).
In conclusion, it is unlikely that sleep disruption mediates links between T.
gondii and mental illness or behavioral dysregulation. Trending gender
differences in associations between T. gondii and shorter sleep need further
investigation.
PMID- 29657366
TI - Not by bread alone: Lev Vygotsky's Jewish writings.
AB - On the basis of both published and unpublished manuscripts written from 1914 to
1917, this article gives an overview of Lev Vygotsky's early ideas. It turns out
that Vygotsky was very much involved in issues of Jewish culture and politics.
Rather surprisingly, the young Vygotsky rejected all contemporary ideas to save
the Jewish people from discrimination and persecution by creating an autonomous
state in Palestine or elsewhere. Instead, until well into 1917, Vygotsky proposed
the rather traditional option of strengthening the spiritual roots of the Jews by
returning to the religious writings. Socialism was rejected, because it merely
envisioned the compulsory redistribution of material goods and 'man lives not by
bread alone'. It was only after the October Revolution that Vygotsky switched
from arguments in favour of the religious faith in the Kingship of God to the
communist belief in a Radiant Future.
PMID- 29657368
TI - The Advance Directives and Foregoing of Life Support: Where do we Stand Now?
PMID- 29657367
TI - Navigational Strategies and Their Neural Correlates.
AB - Animals depend on navigation to find food, water, mate(s), shelter, etc.
Different species use diverse strategies that utilise forms of motion- and
location-related information derived from the environment to navigate to their
goals and back. We start by describing behavioural studies undertaken to unearth
different strategies used in navigation. Then we move on to outline what we know
about the brain area most associated with spatial navigation, namely the
hippocampal formation. While doing so, we first briefly explain the anatomical
connections in the area and then proceed to describe the neural correlates that
are considered to play a role in navigation. We conclude by looking at how the
strategies might interact and complement each other in certain contexts.
PMID- 29657369
TI - Factors Affecting the Effective Management of Acute Stroke: A Prospective
Observational Study.
AB - Background: Stroke, characterized by sudden loss of cerebral function, is among
one of the leading cause of death and disability world over. The newer treatment
modalities have changed the landscape of stroke treatment but are very much time
bound. Aim: To characterize pre-hospital and in-hospital factors affecting acute
stroke management thus defining lacunae in stroke management. Subjects and
Methods: A prospective observational study, conducted at the emergency department
of a tertiary care center in southern India from August 2015 to July 2016. All
stroke patients presenting within first 24 hours of onset were included. A pre
defined Knowledge-Attitude-Practice (KAP) questionnaire was used. Results: Total
of 133 patients were eligible out of which 28 were excluded for various reasons.
Majority were >60 years age and male (61%). About 60% arrived within window.
Distance from the hospital was one of the major factors for arrival within the
window period. When compared by KAP questionnaire, bystanders of those arriving
within window period had better awareness of stroke symptoms. Conclusions:
Improving awareness of stroke symptoms and increasing availability of EMS is
likely increase chances of stroke patients receiving appropriate acute
management.
PMID- 29657370
TI - Delirium in the Intensive Care Unit: Incidence, Risk Factors, and Impact on
Outcome.
AB - Background: The incidence and risk factors for delirium vary among studies.
Objective: We aimed to determine the incidence, risk factors, and impact on
outcome of delirium in a medical Intensive Care Unit (ICU) in Tunisia using a
prospective observational study. Patients: All consecutive patients admitted to
the ICU between May 2012 and April 2013 were included if they were aged more than
18 years and had an ICU stay of more than 24 h. Patients who had a cardiac arrest
or have a history of dementia or psychosis were excluded. Patients eligible for
the study were evaluated by the medical staff to detect delirium using the CAM
ICU. Results: A total of 206 patients were included, 167 did not present delirium
and 39 (19%) were analyzed for delirium. Delirious patients had a significantly
longer duration of mechanical ventilation (10 days[6-20] vs. 2 days[0-7])
respectively and length of stay in ICU (21.5 days [10.5-32.5] vs. 8 days [5-13]),
with no impact on mortality. Delirium was associated with high incidence of
unintentional removal of catheters (39% vs. 9%; P < 0.0001), endotracheal tubes
(18% vs. 1%; P < 0.0001), and urinary catheters (28% vs. 2%, P < 0.0001). In
multivariable risk regression analysis, age (odds ratio [OR] = 4.1, 95%
confidence interval [CI]: 1.39-12.21; P = 0.01), hypertension (OR = 3.3, 95% CI:
1.31-8.13; P = 0.011), COPD (OR = 3.5, 95% CI: 1.47-8.59; P = 0.005), steroids
(OR = 2.8, 95% CI: 1.05-7.28; P = 0.038), and sedation (OR = 5.4, 95% CI: 2.08
13.9; P < 0.0001) were independent risk factors for delirium. We did not find a
relationship between delirium and mortality. Conclusion: Delirium is frequent in
the ICU and is associated with poor outcome. Several risk factors for delirium
are linked to intensive care environment.
PMID- 29657371
TI - Comparison of Optic Nerve Sheath Diameter between both eyes: A Bedside
Ultrasonography Approach.
AB - Context: Optic nerve sheath diameter (ONSD) has long been accepted as a reliable
proxy of intracranial pressure especially in critical care and bedside settings.
The present consensus is to measure ONSD in both eyes and take average value,
which is cumbersome and a potential cause of discomfort to the patient. Aim: We
aim to compare the values of ONSD of the right and left eye in a random sample as
measured by bedside ocular ultrasonography (USG) in Indian adults. Settings and
Design: This was a prospective study conducted from September 2012 to March 2013
in the Department of Internal Medicine of a tertiary care hospital situated at
moderate high altitude (11,500 ft) in India. Materials and Methods: Patients
admitted with high altitude pulmonary edema (HAPE) were recruited by convenience
sampling. The ONSD of both eyes were measured 3 mm behind the globe using a 7.5
MHz linear probe on the closed eyelids of supine subjects. Statistical Analysis:
Analysis was done using SPSS 17.0. Results: A total of 47 patients of HAPE were
recruited to the study with daily ONSD recording of both eyes during the
admission period. The mean ONSD of the left eye was 4.60 (standard deviation [SD]
= 0.71) whereas the mean ONSD of right eye 4.59 (SD = 0.72). The ONSD of the
right eye and left eye was strongly correlated (correlation coefficient = 0.98
with P < 0.0001). The mean difference in the ONSD of both eyes (right-left) was
0.0044 (SD = 0.11) which was not statistically significant (P = 0.533).
Conclusion: Our results suggest that the difference in ONSD of both eyes is not
statistically significant in disease or health. This study also suggests that the
ONSD of either eye can be predicted by the other eye recordings. Based on these
findings, it can be suggested that during ocular USG for routine bedside/research
purposes it is sufficient to measure ONSD of any of the one eye to save time and
avoid discomfort to the patient.
PMID- 29657372
TI - Targeted Interventions in Critically Ill Children with Severe Dengue.
AB - Background: The World Health Organization guidelines provide suggestions on early
recognition and treatment of severe dengue (SD); however, mortality in this group
can be high and is related both to disease severity and the treatment
complications. Subjects and Methods: In this prospective observational study, we
report our results where standard therapy (ST) was enhanced by Intensive Care
Unit (ICU) supportive measures that have proven beneficial in other conditions
that share similar pathophysiology of capillary leak and fluid overload. These
include early albumin for crystalloid-refractory shock, proactive monitoring for
symptomatic abdominal compartment syndrome (ACS), application of a high-risk
intubation management protocol, and other therapies. We compared outcomes in a
matched retrospective cohort who received ST. Results: We found improved outcomes
using these interventions in patients with the most devastating forms of dengue
(ST+ group). We could demonstrate decreased positive fluid balance on days 1-3
and less symptomatic ACS that necessitated invasive percutaneous drainage (7.7%
in ST+ group vs. 30% in ST group, P = 0.025). Other benefits in ST+ group
included lower intubation and positive pressure ventilation requirements (18.4%
in ST+ vs. 53.3% in ST, P = 0.003), lower incidence of major hemorrhage and acute
kidney injury, and reduced pediatric ICU stays and mortality (2.6% in ST+ group
vs. 26% in ST group, P = 0.004). Conclusion: Children with SD with refractory
shock are at extremely high mortality risk. We describe the proactive application
of several targeted ICU supportive interventions in addition to ST and could show
that these interventions resulted in decreased resuscitation morbidity and
improved outcomes in SD.
PMID- 29657373
TI - Severe Varicella Pneumonia in Adults: Seven Years' Single-center Experience from
India.
AB - Context: Varicella pneumonia is a rare but a serious complication of chickenpox
in adults. There is paucity of data on varicella pneumonia from India. Aims: The
aim of this study is to describe the clinical manifestations, hospital course,
treatment, and outcome of adult patients with severe varicella pneumonia.
Settings and Design: This was a retrospective, observational study of patients
with severe varicella pneumonia attending a tertiary care teaching hospital.
Subjects and Methods: The cases of varicella were identified by a computerized
search of the medical record for the period between January 2010 and December
2016. During this period, 137 patients got admitted with varicella of which 22
had severe varicella pneumonia. Statistical Analysis: Mean and standard deviation
were computed. Fisher's Z-test of proportions and analysis of variance were
applied. Results: There were 17 (77.3%) men and 5 (22.7%) women. The mean age of
the patients was 33.4 +/- 10.8 years. History of contact with an infected person
followed by high-grade fever and typical rash was present in all patients. Forty
five percent (10/22) of patients were immunosuppressed. All the patients received
intravenous acyclovir. Forty-five percent (10/22) of patients received invasive
mechanical ventilation. The various factors associated with the need for
mechanical ventilation were partial pressure of oxygen:fraction of inspired
oxygen ratio <150, quick sequential (sepsis-related) organ failure assessment
(qSOFA) >2, and early bacterial coinfection. The mean Intensive Care Unit and
hospital stay were 7 days (range; 1-16) and 9 days (range; 4-21), respectively.
The overall mortality was 22.7% and reached 50% in those requiring invasive
ventilation. The mortality was higher among patients with qSOFA >3, mean arterial
blood pressure <60 mmHg, and severe acute respiratory distress syndrome at
presentation. Conclusions: Patients with severe varicella pneumonia are at an
increased risk of respiratory failure and death.
PMID- 29657374
TI - Methylene Blue for Vasoplegic Syndrome Postcardiac Surgery.
AB - Objectives: cardiopulmonary bypass (CPB) can be complicated by vasoplegia that is
refractory to vasopressors. Methylene blue (MB) represents an alternative in such
cases. Patients and Methods: Retrospective observational historical control
matched study. From 2010 to 2015, all patients who received MB for vasoplegia
post-CPB were included in this study. Historical controls from the period of 2004
to 2009 were matched. End-points were the time till improvement of vasoplegia
(Ti), 30-day mortality, cardiac surgical Intensive Care Unit (CSICU) morbidity,
and length of stay (LOS). Results: Twenty-eight patients were matched in both
groups. There were no statistically significant differences between the two
groups in demographic, laboratory data on admission, or hemodynamic profile
before use of MB. Ti and time to complete discontinuation of vasopressors were
statistically significant less in MB group (8.2 +/- 2.6 vs. 29.7 +/- 6.4, P =
0.00 and 22.6 +/- 5.2 vs. 55.3 +/- 9.4, P = 0.00) respectively. Mortality at day
30 was significantly higher in controls compared to MB (1 patient [3.6%] vs. 6
patients [21.4%], long rank P = 0.04). CSICU, hospital LOS, and incidence of
renal failure was significantly higher in control group (12.4 +/- 3.7 vs. 7 +/-
1.4, P = 0.03), (19.5 +/- 2.4 vs. 10.9 +/- 3.2, P = 0.05) and (9 patients [32.1%]
vs. 2 patients [7.1%], P = 0.04), respectively. Duration of mechanical
ventilation was less in MB patients; however, did not reach statistical
significance. Conclusions: the use of MB for vasoplegia postcardiac surgery was
associated with rapid recovery of hemodynamics, shorter need for vasopressors,
less ICU mortality, less incidence of renal failure, and shorter LOS.
PMID- 29657375
TI - Comparison of Glycemic Control between Continuous Regular Insulin Infusion and
Single-dose Subcutaneous Insulin Glargine Injection in Medical Critically Ill
Patients.
AB - Background and Aims: This study aimed to compare glycemic control between
continuous intravenous regular insulin infusion and single-dose subcutaneous
insulin glargine injection in medical critically ill patients. Subjects and
Methods: A prospective noninferiority study was conducted in medical critically
ill patients who developed hyperglycemia and required regular insulin infusion by
the Intensive Care Unit glycemic control protocol. The eligible patients were
switched from the daily regular insulin requirement to single-dose subcutaneous
insulin glargine injection by a 100% conversion dose. Arterial blood glucose was
checked every 2 h for 24 h. Success cases were blood glucose levels of 80-200
mg/dL during the study period. The mean time-averaged area under the curves
(AUCs) of blood glucose levels between the two types of insulin were compared by
t-test. Results: Of 20 cases, 14 cases (70%) were successful. The mean time
averaged AUCs of blood glucose levels between the two types of insulin were not
significantly different (155.91 +/- 27.54 mg/dL vs. 151.70 +/- 17.07 mg/dL, P =
0.56) and less than the predefined noninferior margin. No severe hypoglycemic
cases were detected during the study period. Conclusions: Single-dose
subcutaneous insulin glargine injection was feasibly applied for glycemic control
in medical critically ill patients. The glycemic control in the critically ill
patients by a single dose of subcutaneous insulin glargine was comparable to
standard intravenous regular insulin infusion. A conversion dose of 100% of the
daily requirement of regular insulin is suggested.
PMID- 29657377
TI - Failure of Postexposure Prophylaxis in a Patient Given Rabies Vaccine
Intramuscularly in the Gluteus Muscle, Himachal Pradesh, India.
AB - A 48-year-old male was bitten by a dog on the forehead and on the RIGHT side of
left eyebrow on November 26, 2017, at 2 pm. The patient was immediately rushed to
a nearby private hospital where an MBBS doctor gave him immediate wound wash with
soap and water and prescribed five doses of rabies vaccine intramuscularly (IM).
Since the patient weight was 60 kg, he was also prescribed 2400 IU of equine
rabies immunoglobulin (ERIG), but as eRIG was not available, it was not
administered. All the four doses of rabies vaccine were given IM in gluteus
muscle. On December 17, 2017, the patient was brought to the Government Regional
Hospital Hamirpur with the symptoms of difficulty in swallowing water
(Hydrophobia) for 2 days. He was given injection diazepam and referred to
Rajinder Prasad Government Medical College Tanda, Kangra, Himachal Pradesh,
India, where he died of suspected rabies on December 19, 2017. The explicit
consent to publish this report and picture was taken from the relatives of the
patient, so that others have a lesson from this case report.
PMID- 29657376
TI - Smoke Inhalation Injury: Etiopathogenesis, Diagnosis, and Management.
AB - Smoke inhalation injury is a major determinant of morbidity and mortality in fire
victims. It is a complex multifaceted injury affecting initially the airway;
however, in short time, it can become a complex life-threatening systemic disease
affecting every organ in the body. In this review, we provide a summary of the
underlying pathophysiology of organ dysfunction and provide an up-to-date survey
of the various critical care modalities that have been found beneficial in caring
for these patients. Major pathophysiological change is development of edema in
the respiratory tract. The tracheobronchial tree is injured by steam and toxic
chemicals, leading to bronchoconstriction. Lung parenchyma is damaged by the
release of proteolytic elastases, leading to release of inflammatory mediators,
increase in transvascular flux of fluids, and development of pulmonary edema and
atelectasis. Decreased levels of surfactant and immunomodulators such as
interleukins and tumor-necrosis-factor-alpha accentuate the injury. A primary
survey is conducted at the site of fire, to ensure adequate airway, breathing,
and circulation. A good intravenous access is obtained for the administration of
resuscitation fluids. Early intubation, preferably with fiberoptic bronchoscope,
is prudent before development of airway edema. Bronchial hygiene is maintained,
which involves therapeutic coughing, chest physiotherapy, deep breathing
exercises, and early ambulation. Pharmacological agents such as beta-2 agonists,
racemic epinephrine, N-acetyl cysteine, and aerosolized heparin are used for
improving oxygenation of lungs. Newer agents being tested are perfluorohexane,
porcine pulmonary surfactant, and ClearMate. Early diagnosis and treatment of
smoke inhalation injury are the keys for better outcome.
PMID- 29657378
TI - Lung Collapse Secondary to Massive Pericardial Effusion in Adults: Two Case
Reports.
AB - We present two cases of respiratory insufficiency due to left lung collapse
secondary to massive pericardial effusion. Both patients had pericardial effusion
due to their underlying disease. Radioimaging showed compression of the left
bronchus. Patients got symptomatic relief after pericardiocentesis. Follow-up
imaging showed expansion of the lung.
PMID- 29657379
TI - A Clinical Conundrum Called Amitraz Poisoning - A Case Report.
AB - Amitraz is a nonsystemic insecticide and acaricide which is sometimes also used
as scabicide. Due to its widespread use, amitraz poisoning has emerged during the
past decade and a half although the literatures on human intoxication cases are
scarce. Amitraz poisoning can present with numerous symptoms involving central
nervous, cardiovascular, and respiratory systems. The mainstay of treatment is
supportive and symptomatic. We present the case of a 60-year-old gentleman who
was shifted from another hospital in unconscious state with an alleged history of
consumption of an unknown substance which later was known to be amitraz.
PMID- 29657380
TI - Preprocedural Check of Central Venous Catheter Set.
PMID- 29657381
TI - Risk Factors and Outcome of Acute Kidney Injury after Congenital Heart Surgery.
PMID- 29657382
TI - Rabies Treatment: Are We Anywhere Close to Cure?
PMID- 29657383
TI - Symmetrical Gangrene in Both Lower Limbs in Pneumococcal Pneumonia.
PMID- 29657384
TI - Quiz Answers.
PMID- 29657385
TI - Quiz Section.
PMID- 29657386
TI - Developmental programming of the pancreatic islet by in utero overnutrition.
AB - The Developmental Origins of Health and Disease (DOHaD) Hypothesis postulates
that the in utero environment influences postnatal health and plays a role in
disease etiology. Studies in both humans and animal models have shown that
exposure to either under- or overnutrition in utero results in an increased risk
of metabolic disease later in life. In addition, offspring born to overweight or
obese mothers are more likely to be obese as children and into early adulthood
and to have impaired glucose tolerance as adults. The Centers for Disease Control
and Prevention estimates that over 70% of adults over the age of 20 are either
overweight or obese and that nearly half of women are either overweight or obese
at the time they become pregnant. Thus, the consequences of maternal
overnutrition on the developing fetus are likely to be realized in greater
numbers in the coming decades. This review will focus specifically on the effects
of in utero overnutrition on pancreatic islet development and function and how
the resulting morphological and functional changes influence the offspring's risk
of developing metabolic disease. We will discuss the advantages and challenges of
different animal models, the effects of exposure to overnutrition during distinct
periods of development, the similarities and differences between and within model
systems, and potential mechanisms and future directions in understanding how
developmental alterations due to maternal diet exposure influence islet health
and function later in life.
PMID- 29657387
TI - Anti-inflammatory activity of Jatropha curcas Linn. latex in cream formulation on
CD68 expression in mice skin wound.
AB - Aim: The purpose of the present study was to determine the potential of Jatropha
curcas latex in the cream formulation on CD68 immune expression (macrophages)
during inflammatory phase wound healing process in mice skin. Materials and
Methods: Amount of 12 two-months-old male mice were used between 30 and 40 g. To
surgical procedures, wound skin incision was performed 2.0 cm in length until
subcutaneous on the paravertebral of each animal. The treatment was carried under
locally anesthetized with procaine cream. The mice were allotted into four groups
of each, entire surface of each group wound covered by base cream control,
sulfadiazine 0.1% cream, J. curcas latex cream 10% and, 15%, respectively. All
experiments were performed twice a day for 3 days. The wound healing was assayed
in stained histological sections in immunohistochemical of the wounds. CD68
expression was investigated under a microscope. Results: The results showed that
the cream from the 10% and 15% latex of J. curcas revealed moderate immune
reaction to CD68 on wound healing. Conclusion: We concluded that the latex cream
of J. curcas possesses anti-inflammatory activity in wound healing process of
mice skin.
PMID- 29657388
TI - Occurrence and quantification of Shiga toxin-producing Escherichia coli from food
matrices.
AB - Aim: The objective of the study was to detect Shiga toxin-producing Escherichia
coli (STEC) and develop a quantitative polymerase chain reaction (qPCR) assay to
quantify the bacterial DNA present in different food matrices. Materials and
Methods: A total of 758 samples were collected during a period from January 2015
to December 2016 from Kozhikode, Thrissur, and Alappuzha districts of Kerala. The
samples consisted of raw milk (135), pasteurized milk (100), beef (132), buffalo
meat (130), chevon (104), beef kheema (115), and beef sausage (42). All the
samples collected were subjected to isolation and identification of STEC by
conventional culture technique. Confirmation of virulence genes was carried out
using PCR. For the quantification of STEC in different food matrices, a qPCR was
standardized against stx1 gene of STEC by the construction of standard curve
using SYBR green chemistry. Results: The overall occurrence of STEC in raw milk
(n=135), beef (n=132), buffalo meat (n=130), chevon (n=104), and beef kheema
(n=115) samples collected from Kozhikode, Thrissur, and Alappuzha districts of
Kerala was 19.26%, 41.6%, 16.92%, 28.85%, and 41.74%, respectively. PCR revealed
the presence of stx 1 and stx 2 genes in 88.46 and 83.64 and 30.77 and 40.00% of
STEC isolates from raw milk and beef samples, respectively, while 100% of the
STEC isolates from buffalo beef and beef kheema samples carried stx 1 gene. Real
time qPCR assay was used to quantify the bacterial cells present in different
food matrices. The standard curve was developed, and the slopes, intercept, and
R2 of linear regression curves were -3.10, 34.24, and 0.99, respectively.
Conclusion: The considerably high occurrence of STEC in the study confirms the
importance of foods of animal origin as a vehicle of infection to humans. In the
present study, on comparing the overall occurrence of STEC, the highest
percentage of occurrence was reported in beef kheema samples. The study shows the
need for rigid food safety measures to combat the potential pathogenic effects of
harmful bacteria throughout the production chain from production to consumption.
PMID- 29657389
TI - Hepato nephropathology associated with inclusion body hepatitis complicated with
citrinin mycotoxicosis in a broiler farm.
AB - Aim: Mortality in a broiler chicken farm was investigated for identifying the
cause of mortality. Materials and Methods: A broiler farm with a population of
16000 succumbed to a disease outbreak. Clinical signs, vaccination history and
mortality, were recorded. Necropsy examination and microscopic examination were
carried out along with toxicological and molecular studies. Results: The clinical
signs in the affected broiler birds were of non-specific nature with a total
mortality of 26.39%. Postmortem examination and microscopical findings revealed
hepatitis with basophilic intranuclear inclusion, splenitis, myocarditis, and
nephritis. Glomerulonephritis was the prominent renal pathology recorded in this
study. Polymerase chain reaction test confirmed the presence of fowl adenovirus
(FAdV) genome in the target organs, and toxicological examination by thin-layer
chromatography revealed the presence of a toxic level of citrinin in the feed
samples. Conclusion: Based on various diagnostic investigations, the mortality in
the flock was attributed to inclusion body hepatitis (IBH) complicated with
citrinin mycotoxicosis. Thus, apart from liver pathology which occurs in a
classical IBH cases, glomerulonephritis too occurs which are also a prominent
finding which pathologists often miss. Thus, kidneys should also be examined
histologically to assess the microscopic tissue alterations in poultry suspected
for IBH along with a mycotoxicological analysis of feed. This will definitely
throw light on the synergistic pathology elicited and exhibited by FAdV and
mycotoxins in the poultry.
PMID- 29657390
TI - Market potential and opportunities for commercialization of traditional meat
products in North East Hill Region of India.
AB - The North Eastern (NE) India is renowned for its preference for animal-based
food. This region is known for its traditional meat products. However, the
popularity of these products remains confined to the specific community/location.
The knowledge on the traditional preparation methods is generally passed across
generations through practice and word of mouth. The traditional style of
preparation and the specific ingredients added to each product makes them unique.
In this review, an attempt has been made to identify the initiatives,
opportunities, and market potential for commercialization of the traditional meat
products. These unique features and properties of the traditional meat products
have been highlighted. The commercialization of these products will enhance
entrepreneurship development and ensure quality ethnic products to the consumer
in the NE hill region of India.
PMID- 29657391
TI - Bacteriological cure rate and changes in milk composition in mastitis vaccinated
ewes affected with subclinical mastitis.
AB - Aim: The aim of this study was to investigate the effects of using a commercially
available polyvalent mastitis vaccine on the bacteriological cure rate of
existing subclinical mastitis in Awassi sheep. Materials and Methods: A total of
164 lactating ewes were divided into two main groups according to udder health
and milk somatic cell count (SCC): Group 1=normal (N; n=80) and Group
2=subclinical mastitis (SC; n=84). Each group was then subdivided randomly into
two treatment groups: N vaccinated (Nvax; n=38), N non-vaccinated (Nnvax; n=42),
SC vaccinated (SCvax; n=42), and SC non-vaccinated (SCnvax; n=42). The vaccine
was administered as per manufacturer's recommendations. Milk samples were
collected aseptically from all ewes before vaccine administration (T0) and again
on days 28 (T2) and 42 (T3) of the experiment. Results: In the SC group, the
bacteriological cure rates in vaccinated and non-vaccinated ewes were 76% and
69%, respectively. In N group, the new intramammary infection rates in vaccinated
and non-vaccinated ewes were 48% and 50%, respectively. Vaccination of normal
ewes resulted in a significant (p<0.05) reduction in bacterial growth rate both
at day 28 and day 42 of the study. The prevalence of new intramammary infection
rate in Nvax ewes on days 28 and 42 was 19% and 20%, respectively. The prevalence
of new intramammary infection rate in Nnvax group on days 28 and 42 was 33% and
30%, respectively. In SCvax group, the bacterial growth rate on days 28 and 42
was 44% and 35%, respectively. In SCnvax group, the bacterial growth rate on days
28 and 42 was 27% and 32%, respectively. There was no statistically significant
effect of vaccination on any of the studied milk composition parameters.
Conclusions: This is a preliminary study that indicated a possible protective
effect of vaccination against mastitis in sheep. Further, case-controlled studies
are indicated to estimate the level of immunity this vaccine provides to
vaccinated sheep.
PMID- 29657392
TI - Effect of exogenous melatonin and different photoperiods on oxidative status and
antioxidant enzyme activity in Chhotanagpuri ewe.
AB - Aim: The present study was conducted to evaluate the effect of exogenous
melatonin under different photoperiods on oxidative status in Chhotanagpuri ewe.
Materials and Methods: A total of 42 non-pregnant, non-lactating Chhotanagpuri
ewe, having body weight ranging between 14.11+/-0.09 and 15.38+/-0.06 kg, were
selected and were isolated from rams 2 months before melatonin administration.
The selected animals were allocated randomly into seven groups, namely, Group I
(normal control), Group II (long day [LD] control), Group III (LD+melatonin
administration orally, 3 mg/day), Group IV (LD+melatonin administration
subcutaneously, 1 mg/day), Group V (short day [SD] control), Group VI
(SD+melatonin administration orally, 3 mg/day), and Group VII (SD+melatonin
administration subcutaneously, 1 mg/day) comprising six animals in each group.
Rams were then introduced into each group after completion of exogenous
administration of melatonin. Blood samples with anticoagulant in vials were
collected from each animal day before the start of the experiment and thereafter
every month up to 5th month. Hemolysate was prepared for estimation of oxidative
stress parameters such as malondialdehyde (MDA), superoxide dismutase (SOD), and
catalase (CAT). Results: It was observed that the level of MDA was significantly
(p<0.05) higher in LD groups (Group II, III and IV) in comparison to control and
SD groups (VI and VII) at 1st month. MDA concentration after exogenous
administration of melatonin was significantly (p<0.05) decreased in Group IV and
VI in comparison to 1st month. SOD was significantly (p<0.05) higher in SD groups
(V, VI, and VII) at the 1st month in comparison to 0 day. After exogenous
administration of melatonin, SOD concentration was significantly (p<0.05) higher
in Groups III and IV in comparison to 1st month. CAT was significantly (p<0.05)
higher in SD groups (V, VI, and VII) in comparison to control and LD groups.
After exogenous administration of melatonin, CAT concentration was significantly
(p<0.05) higher in Groups III, IV, VI, and VIII in comparison to Groups I, II,
and V. At the 3rd month, CAT concentration significantly (p<0.05) decreased in
Groups III, IV, VI, and VII in comparison to 2nd month of experiment. However, a
decreasing trend of CAT was observed in all the groups from 3rd to 5th month.
Conclusion: The present experiment revealed that exogenous melatonin was able to
reduce significantly the level of MDA and increased the activity of SOD and CAT
in Chhotanagpuri ewe.
PMID- 29657393
TI - The analysis of hippocampus neuronal density (CA1 and CA3) after Ocimum sanctum
ethanolic extract treatment on the young adulthood and middle age rat model.
AB - Aim: This study aimed to assess the changes in neuronal density in CA1 and CA3
regions in the hippocampus of young adulthood and middle age rat model after
feeding by Ocimum sanctum ethanolic extract. Materials and Methods: In this
research, 30 male Wistar rats consist of young to middle-aged rats were divided
into three groups (3, 6, and 9 months old) and treated with a different dosage of
O. sanctum ethanolic extract (0, 50, and 100 mg/kg b.w.) for 45 days.
Furthermore, cresyl violet staining was performed to analyze hippocampus
formation mainly in CA1 and CA3 area. The concentrations of acetylcholine (Ach)
in brain tissues were analyzed by enzyme-linked immunosorbent assay. Results: In
our in vivo models using rat model, we found that the administration of O.
sanctum ethanolic extract with a dosage of 100 mg/kg b.w. for 45 days induced the
density of pyramidal cells significantly in CA1 and CA3 of the hippocampus. These
results were supported by an increase of Ach concentrations on the brain tissue.
Conclusions: The administration of O. sanctum ethanolic extract may promote the
density of the pyramidal cells in the CA1 and CA3 mediated by the up-regulated
concentration of Ach.
PMID- 29657394
TI - Ethnoveterinary treatments for common cattle diseases in four districts of the
Southern Province, Zambia.
AB - Aim: Ethno veterinary knowledge has rarely been recorded, and no or limited
effort has been made to exploit this knowledge despite its widespread use in
Zambia. This study documented the types of plants used to treat important animal
diseases in rural Zambia as a way of initiating their sustained documentation and
scientific validation. Materials and Methods: The study was done in selected
districts of the Southern Zambia, Africa. The research was a participatory
epidemiological study conducted in two phases. The first phase was a pre-study
exploratory rapid rural appraisal conducted to familiarize the researchers with
the study areas, and the second phase was a participatory rural appraisal to help
gather the data. The frequency index was used to rank the commonly mentioned
treatments. Results: A number of diseases and traditional treatments were listed
with the help of local veterinarians. Diseases included: Corridor disease
(Theileriosis), foot and mouth disease, blackleg, bloody diarrhea, lumpy skin
disease, fainting, mange, blindness, coughing, bloat, worms, cobra snakebite,
hemorrhagic septicemia, and transmissible venereal tumors. The plant preparations
were in most diseases given to the livestock orally (as a drench). Leaves, barks,
and roots were generally used depending on the plant type. Conclusion: Ethno
veterinary medicine is still widespread among the rural farmers in the province
and in Zambia in general. Some medicines are commonly used across diseases
probably because they have a wide spectrum of action. These medicines should,
therefore, be validated for use in conventional livestock healthcare systems in
the country to reduce the cost of treatments.
PMID- 29657395
TI - Prevalence of liver flukes infections and hydatidosis in slaughtered sheep and
goats in Nishapour, Khorasan Razavi, Iran.
AB - Background: Food-borne trematode infections and hydatidosis are endemic diseases
caused by helminths in Iran that are responsible for great economic loss and
getting public health at risk. Aim: Aim of this study was to determine the
prevalence of fasciolosis, dicrocoeliasis, and hydatidosis infections in
slaughtered sheep and goats in Nishapour, Khorasan Razavi province of Iran.
Materials and Methods: A survey was implemented on 130,107 sheep and goats
slaughtered at an abattoir in Nishapour (Neyshbur) city, north central Khorasan
Razavi Province, Iran, to determine the prevalence of fascioliasis,
dicrocoeliosis and presence of hydatidosis. Results: During a 1-year period of
study, among 130,107 of sheep and goats slaughtered at Nishapour abattoir, 1064
and 7124 livers were condemned totally and partially, respectively. A total of
255 (0.19%), 181 (0.12 %), and 7751 (5.95%) of livers were condemned due to cysts
of Echinococcus granulosus, flukes of Fasciola spp., and Dicrocoelium
dendriticum, respectively. Totally, 1932 (1.48%) lungs were condemned due to
hydatidosis. The significant seasonal pattern was seen for fasciolosis,
dicrocoeliosis, and hydatidosis, statistically (p<0.01). Conclusion: According to
this study, it seems that Neyshabour is considered as an endemic region for
Fasciola spp. and D. dendriticum infections and D. dendriticum is the most
widespread liver fluke found in sheep and goats.
PMID- 29657396
TI - Projected economic losses due to vector and vector-borne parasitic diseases in
livestock of India and its significance in implementing the concept of integrated
practices for vector management.
AB - Broadly, species of arthropods infesting livestock are grouped into flies (biting
and non-biting), fleas, lice (biting and sucking), ticks (soft and hard), and
mites (burrowing, non-burrowing, and follicular). Among which, biting and non
biting flies and ticks are the potent vectors for many bacterial, viral,
rickettsial, and protozoan diseases. Vectors of livestock are having economic
significance on three points (1) direct losses from their bite and annoyance,
worries, and psychological disturbances produced during the act of biting and
feeding, (2) diseases they transmit, and (3) expenditure incurred for their
control. Flies such as Culicoides spp. and Musca spp. and various species of hard
ticks play important role in disease transmission in addition to their direct
effects. For control of vectors, recent concept of integrated pest management
(IPM) provides the best solution and also addresses the problems related to
acaricide resistance and environmental protection from hazardous chemicals.
However, to successfully implement the concept of IPM, for each vector species,
estimation of two monitory benchmarks, i.e., economic injury level (EIL) and
economic threshold level (ETL) is essential prerequisite. For many vector species
and under several circumstances, estimation of EIL and ETL appears to be
difficult. Under such scenario, although may not be exact, an approximate
estimate can be accrued by taking into account several criteria such as percent
prevalence of vectors in a geographical area, percent losses produced, total
livestock population, and current prices of livestock products such as milk,
meat, and wool. Method for approximate estimation is first time described and
elaborated in the present review article.
PMID- 29657397
TI - Effect of lipopolysaccharide derived from surabaya isolates of Actinobacillus
actinomycetemcomitans on alveolar bone destruction.
AB - Background: Actinobacillus actinomycetemcomitans' lipopolysaccharide (LPS) has a
high virulence factor. It interacts with serum protein through receptors on the
epithelial cell surface, thereby increasing both interleukin (IL)-1beta, and IL-6
which results in damage to periodontal tissue. Aim: The aim of the study was to
identify and evaluate the effect of LPS derived from local isolates (A.
actinomycetemcomitans) on the destruction of alveolar bone by means of several
biomarkers, including; the number of osteoblasts and osteoclasts, the expression
of IL-6, matrix metallopeptidase 1 (MMP-1), and receptor activator of nuclear
factor kappa-Beta ligand (RANKL). Materials and Methods: The isolation of LPS
from A. actinomycetemcomitans was calculated using phenol, while purification was
performed using Sephadex C-18 column chromatography. 40 Wistar rats were divided
into four groups of 10. Each treatment was divided into two groups which were
0.9% NaCl and LPS induced for 7 and 14 days, respectively. Gingival and alveolar
bones were further introduced into the induction area, followed by the measuring
of osteoblast and osteoclast with hematoxylin-eosin staining, IL-6, MMP-1 and
RANKL expression with immunohistochemical. Results: Reduced numbers of
osteoblasts at the 7th and 14th day of treatment were detected, while those of
osteoclasts increased. There was an increased expression of IL-6, MMP-1, and
RANKL in the 7th and 14th-day treatment group. Treatment of LPS from A.
actinomycetemcomitans over 7 and 14 days resulted in damage to periodontal tissue
and alveolar bone in Wistar rats. Conclusion: LPS of A. actinomycetemcomitans
administration for 7 and 14 days causes periodontal and alveolar tissue
destruction in Wistar rats.
PMID- 29657398
TI - Effect of temperature (cooking and freezing) on the concentration of
oxytetracycline residue in experimentally induced birds.
AB - Aim: The objective of this study was to determine the effect of varying
temperatures (different cooking methods and freezing) on the concentration of
oxytetracycline (OTC) residues in tissues of broiler birds. Materials and
Methods: Fifty, 5-week-old birds were purchased and acclimatized for 3 weeks
while being fed antibiotic-free feed and water. Four birds were then tested for
residue and in the absence; the remaining birds were injected intramuscularly
with oxytetracycline at its therapeutic dose. Muscle and liver samples of the
treated birds were harvested and checked for OTC residues before subjecting them
to boiling, microwaving, and roasting. The three plate test was used for the
residue detection. Result: OTC was detected at both pH 6.0 and pH 7.2 but not
detected at pH 8.0. Roasting and boiling significantly reduced the concentration
of oxytetracycline in muscle by 53.6% and 69.6%, respectively, at pH 6.0,
microwaving reduced the concentration by 49.1% but was not statistically
significant. The same pattern was followed at pH 7.2 with reduction of 34.3%,
53.2%, and 67.7% for microwaved, roasted, and boiled. For the liver tissues,
there was a significant reduction in the concentration for both pH: 6.0 (57.75%,
79.75%, and 89%; pH 7.2 (48.06%, 79.6%, and 88.79%) for boiled, microwaved, and
roasted samples. Boiling had a greater reduction effect for muscle samples while
roasting had a greater reduction in liver samples at both pHs. Freezing at -10
degrees C had no effect on the concentration of OTC even after 9 days.
Conclusion: The significant reduction of OTC concentration by cooking indicates
that consumers may not be at risk of the effects of OTC residues in meat, but
microwaving meat may not reduce the concentration below the maximum residue limit
if the initial concentration is very high. Therefore, routine monitoring of drug
residues in farms and abattoirs is still advocated.
PMID- 29657399
TI - Seroprevalence of small ruminant caprine arthritis encephalitis lentivirus among
goats from selected small ruminant farms in Selangor, Malaysia.
AB - Background and Aim: Caprine arthritis encephalitis (CAE) is an important viral
disease of small ruminants particularly in dairy goats with severe social and
economic implication. Hence, this study was designed to determine the
seroprevalence of CAE virus (CAEV) among goat population in selected small
ruminant farms in Selangor and the risk factors associated with the occurrence of
the disease. Materials and Methods: Blood samples were collected from a total of
91 goats selected at random. Blood serum was harvested and used for competitive
enzyme-linked immunosorbent assay test to detect antibodies against CAE virus.
Results: The result obtained showed that 8/91 (8.8%) of the goats were
seropositive for CAEV. In addition, biosecurity management, source of origin and
sex of the animal were observed to be important risk factors associated with the
occurrence of CAE in goats. Conclusion: The findings of this study affirmed that
the seroprevalence of CAEV infection among goat population in small ruminant
farms in Selangor, Malaysia, is low. However, there is need to institute strict
control measures such as testing and culling positive animals or separation of
infected animals from those that tested negative to the disease for effective
eradication of the disease.
PMID- 29657400
TI - The effect of moderate exercise on the elevation of Bax/Bcl-2 ratio in oral
squamous epithelial cells induced by benzopyrene.
AB - Aim: The aim of this study was to analyze the effect of moderate exercise on the
elevation of Bax/Bcl-2 ratio. Materials and Methods: Eighteen Mus musculus strain
Swiss Webster (Balb/c) were divided into three groups (n=6). K1 and K2 had
contact with water 3 times/week for 12 weeks, while the members of the K3 group
swam 3 times/week for 12 weeks while carrying load weighed 3% of their body
weight. After 5 weeks, they were induced with 0.04 ml oleum olivarum (K1), 0.08
mg benzopyrene/0.04 ml oleum olivarum (K2, K3) 3 times/week for 4 weeks.
Immunohistochemistry assays were used to determine the ratio of Bax/Bcl-2
expression. The results were analyzed using an independent t-test. Result: The
Bax/Bcl-2 ratio increased significantly in K3 compared to K2 (p=0.00).
Conclusion: Moderate exercise could increase the Bax/Bcl-2 ratio in oral squamous
epithelial cells induced by benzopyrene.
PMID- 29657401
TI - End-threaded intramedullary positive profile screw ended self-tapping pin (Admit
pin) - A cost-effective novel implant for fixing canine long bone fractures.
AB - Aim: The current study was undertaken to evaluate the clinical efficacy of end
threaded intramedullary pinning for management of various long bone fractures in
canines. Materials and Methods: This study was conducted in two phases, managing
25 client-owned dogs presented with different fractures. The technique of
application of end-threaded intramedullary pinning in long bone fractures was
initially standardized in 6 clinical patients presented with long bone fractures.
In this phase, end-threaded pins of different profiles, i.e., positive and
negative, were used as the internal fixation technique. On the basis of results
obtained from standardization phase, 19 client-owned dogs clinically presented
with different fractures were implanted with end-threaded intramedullary positive
profile screw ended self-tapping pin in the clinical application phase. Results:
The patients, allocated randomly in two groups, when evaluated postoperatively
revealed slight pin migration in Group-I (negative profile), which resulted in
disruption of callus site causing delayed union in one case and large callus
formation in other two cases whereas no pin migration was observed in Group-II
(positive profile). Other observations in Group-I was reduced muscle girth and
delayed healing time as compared to Group-II. In clinical application, phase 21st
and 42nd day post-operative radiographic follow-up revealed no pin migration in
any of the cases, and there was no bone shortening or fragment collapse in end
threaded intramedullary positive profile screw ended self-tapping pin.
Conclusion: The end-threaded intramedullary positive profile screw ended self
tapping pin used for fixation of long bone fractures in canines can resist pin
migration, pin breakage, and all loads acting on the bone, i.e., compression,
tension, bending, rotation, and shearing to an extent with no post-operative
complications.
PMID- 29657402
TI - Identification and antibiogram pattern of Bacillus cereus from the milk and milk
products in and around Jammu region.
AB - Aim: The aims of the present study were to assess the prevalence, identification,
and antibiogram pattern of Bacillus cereus from 215 samples of different milk and
milk products in and around Jammu region. Materials and Methods: In the present
study, 215 samples of milk, rasgulla, burfi, rasmalai, kalaari, paneer, ice
cream, and pastry were collected and analyzed for the isolation of the B. cereus
using PEMBA, and antibiogram pattern was observed for all the milk and milk
products. Results: B. cereus was detected in 61/215 samples with an overall
prevalence of 28.37%. Biotyping revealed predominantly 5, 7, and 2 biotypes in
raw milk. Burfi and ice cream revealed 2, 3, 5, and 7 biotypes. Rasgulla had 2,
3, and 5 biotypes; paneer and rasmalai had biotypes 2 and 5, while kalaari
revealed biotype 5. Antibiogram pattern revealed that isolates were highly
sensitive to gentamicin (100%), intermediate to ampicillin (40.98%), tetracycline
(31.14%), erythromycin (29.50%), and amoxicillin (26.22%), and high resistance
against penicillin G (100%). Adulteration of starch was detected in 16.66 % raw
milk samples. All starch positive samples were positive for B. cereus. However,
12 starch negative samples also yielded B. cereus. Conclusion: From this study,
it was concluded that highest prevalence of B. cereus was found in ice cream.
Several isolates of B. cereus showed toxigenic activity, so the presence of B.
cereus in milk and milk products may be of public health hazard. The antibiogram
pattern of B. cereus isolates showed sensitivity to gentamicin, ciprofloxacin,
chloramphenicol, streptomycin, and resistance to penicillin-G and cephalexin. The
presence of B. cereus in milk and milk products showed a strong association
besides establishing the fact that starch adulteration can be indicative of the
presence of B. cereus.
PMID- 29657403
TI - Antimicrobial peptides of buffalo and their role in host defenses.
AB - Antimicrobial peptides (AMPs) are highly conserved components of the innate
immune system found among all classes of life. Buffalo (Bubalus bubalis), an
important livestock for milk and meat production, is known to have a better
resistance to many diseases as compared to cattle. They are found to express many
AMPs such as defensins, cathelicidins, and hepcidin which play an important role
in neutralizing the invading pathogens. Buffalo AMPs exhibit broad-spectrum
antimicrobial activity against both Gram-positive and Gram-negative bacteria.
Similar to its natural form, synthetic analogs of buffalo AMPs are also
antimicrobial against bacteria and even fungus making them a good target for the
development of therapeutic antimicrobials. In addition to its antimicrobial
effect, AMPs have been demonstrated to have a number of immunomodulatory
functions, and their genes are responsive to infections. Further, induction of
their gene expression by external factors may help in preventing infectious
diseases. This review briefly discusses the AMPs of buffalo identified to date
and their possible role in innate immunity.
PMID- 29657404
TI - Comparison of four polymerase chain reaction assays for the detection of Brucella
spp. in clinical samples from dogs.
AB - Aim: This study aimed to compare the sensitivity (S), specificity (Sp), and
positive likelihood ratios (LR+) of four polymerase chain reaction (PCR) assays
for the detection of Brucella spp. in dog's clinical samples. Materials and
Methods: A total of 595 samples of whole blood, urine, and genital fluids were
evaluated between October 2014 and November 2016. To compare PCR assays, the gold
standard was defined using a combination of different serological and
microbiological test. Bacterial isolation from urine and blood cultures was
carried out. Serological methods such as rapid slide agglutination test, indirect
enzyme-linked immunosorbent assay, agar gel immunodiffusion test, and buffered
plate antigen test were performed. Four genes were evaluated: (i) The gene coding
for the BCSP31 protein, (ii) the ribosomal gene coding for the 16S-23S intergenic
spacer region, (iii) the gene coding for porins omp2a/omp2b, and (iv) the gene
coding for the insertion sequence IS711. Results: The results obtained were as
follows: (1) For the primers that amplify the gene coding for the BCSP31 protein:
S: 45.64% (confidence interval [CI] 39.81-51.46), Sp: 95.62% (CI 93.13-98.12),
and LR+: 10.43 (CI 6.04-18); (2) for the primers that amplify the ribosomal gene
of the 16S-23S rDNA intergenic spacer region: S: 69.80% (CI 64.42-75.18), Sp:
95.62 % (CI 93.13-98.12), and LR+: 11.52 (CI 7.31-18.13); (3) for the primers
that amplify the omp2a and omp2b genes: S: 39.26% (CI 33.55-44.97), Sp: 97.31%
(CI 95.30-99.32), and LR+ 14.58 (CI 7.25-29.29); and (4) for the primers that
amplify the insertion sequence IS711: S: 22.82% (CI 17.89 - 27.75), Sp: 99.66%
(CI 98.84-100), and LR+ 67.77 (CI 9.47-484.89). Conclusion: We concluded that the
gene coding for the 16S-23S rDNA intergenic spacer region was the one that best
detected Brucella spp. in canine clinical samples.
PMID- 29657405
TI - Immunomodulatory effects of probiotics and prilled fat supplementation on immune
genes expression and lymphocyte proliferation of transition stage Karan Fries
cows.
AB - Background and Aim: Probiotics are the living microorganism which when
administered improves the digestion and health of the animal. Saccharomyces
cerevisiae (SC) improves the humoral and innate immunity of the animal. Prilled
fat is a hydrogenated palm oil triglyceride which has been reported to promote
the release of cytokines from macrophages. The aim of the study was to evaluate
the immunomodulatory effect of probiotic and prilled fat during transition stage
in Karan Fries (KF) cows. Materials and Methods: A total of 12 KF cows at 21 days
prepartum were selected and divided into two groups of six animals each. The
control group was fed as per the standard feeding practices and the supplemented
group cows were supplemented daily with prilled fat at 100 g/cow, SC at 25 g/cow,
and sweetener at 1 g/cow in addition to the standard feeding practices from -30
days of prepartum to 21 days of lactation. The sweetener was added to improve the
palatability of the feed. The natural sweetener of an African plant leave had 105
times more sweetness than glucose with good aroma. The dry matter intake of the
animal was recorded. Plasma samples were collected weekly from all cows for the
analysis of blood metabolite beta-hydroxybutyric acid (BHBA). Lymphocytes were
isolated from the blood for studying the expression of tumor necrosis factor
alpha (TNF-alpha) and interleukin-1beta (IL-1beta) and for estimating lymphocyte
proliferation index (LPI). Results: The upregulated IL-1beta and TNF-alpha around
calving might be possibly associated to the metabolic changes occurring during
the transition period and suggest a higher degree of inflammation around
parturition. High concentrations of BHBA caused increased expression and
synthesis of the pro-inflammatory factors such as TNF-alpha and IL-1beta in
supplemented group in primary calf hepatocytes. The LPI was higher in
supplemented group as compared to control which suggests a stimulatory effect of
unsaturated fatty acids on mitogen-stimulated T-cell proliferation. Conclusion:
Dietary supplementation of probiotics, prilled fat, and sweetener alleviated
negative energy balance by stimulating feed intake and modulating hepatic lipid
metabolism; and both of these additives improved the postpartum health
(antioxidant status and immune function) of transition dairy cows.
PMID- 29657406
TI - Comparative ovicidal activity of Moringa oleifera leaf extracts on Fasciola
gigantica eggs.
AB - Background: Fasciolosis is an important zoonotic disease affecting the productive
performance of farm animals in Egypt. Aim: The aim of the present study was
comparing the ovicidal effect of different extracts as an alcoholic (Methanolic
and Ethanolic) and aqueous Moringa oleifera leaf extracts on Fasciola gigantica
non-embryonated and developed eggs. Materials and Methods: Tested concentrations
of extracts ranged from 12.5 to 800 mg/ml. Nitroxynil was used as reference drug
with a dose of 100 mg/ml. Results: M. oleifera alcoholic and aqueous extracts
showed a concentration-dependent ovicidal effect on F. gigantica non-embryonated
and developed eggs. Based on LC50 values, water extract showed the highest
ovicidal activity since it registered the lowest values of 2.6 mg/ml on non
embryonated eggs. Non-embryonated eggs were more susceptible to aqueous extract
than developed eggs. On the other hand, the developed eggs were more susceptible
to ethanolic extract than non-embryonated eggs even the lowest LC50 (12.38
mg/ml). Conclusion: M. oleifera leaf extracts especially aqueous extract could be
a promising step in the field of controlling fascioliasis. Further, in vivo
studies are needed to enlighten the therapeutic potential of M. oleifera extracts
in treating F. gigantica infection.
PMID- 29657407
TI - Intranasal administration of inactivated avian influenza virus of H5N1 subtype
vaccine-induced systemic immune response in chicken and mice.
AB - Aim: The need for non-parenteral administration of inactivated avian influenza
virus of H5N1 subtype (AIV-H5N1) vaccine is paramount. Here, we provide
preliminary data on the immune response of chicken and mice after intranasal
administration of AIV-H5N1-inactivated vaccine with ISCOMS, Inmunair (INM), and
combined ISCOMS and INM as an adjuvant. Materials and Methods: The AIV isolate of
A/Chicken/Denpasar/01/2004 (H5N1) was cultivated in specific pathogen-free
chicken eggs and inactivated with formaldehyde. The vaccine preparation was added
with those adjuvants for intranasal administration and aluminum hydroxide for
subcutaneous injection. The chicken and mouse were vaccinated at the age of 3
weeks or 1 month and repeated 2 weeks thereafter. In one experiment, chicken was
injected with Newcastle disease virus (NDV) at the same time with AIV vaccine.
The sera were collected at one (serum 1) and 2 w (serum 2) after booster
vaccination. The anti-AIV-H5 and NDV antibodies in chicken sera were detected
using hemagglutination inhibition (HI) assay. Mouse IgG anti-AIV-H5N1 antibody
was detected using ELISA. Results: The result shows that the geometric mean
titers (GMTs) of chicken sera of intranasal vaccinated with inactivated AIV-H5N1
vaccine with mixed ISCOM- INM as adjuvant were <20.0 and 22.7 unit HI-unit (HIU)
in serum 1 and serum 2, respectively. The GMTs of the positive control group were
23.7 and 25.7 HIU in serum 1 and serum 2, respectively. The result of the second
experiment shows that IgG anti-AIV-H5N1 was detected in mouse sera. In the third
experiment, the GMTs of anti-NDV in chicken vaccinated subsequently with
inactivated NDV vaccine and AIV-H5N1 with mixed ISCOMS-INM administrated
intranasally and aluminum hydroxide adjuvant administrated through subcutaneous
injection as well as positive control group receiving NDV vaccine only were 28.0,
28.0, and 27.4 HIU in serum 1 while were 29.6, 29.2, and 28.2 HIU in serum 2,
respectively. Conclusion: Intranasal administration of inactivated AIV-H5N1
vaccine-induced a systemic immune response in chicken and mice after adding
ISCOMS and/or INM as adjuvants. The adjuvant and the intranasal administration
caused no immunosuppressive effect on the chicken immune response to NDV vaccine.
PMID- 29657408
TI - Interspecies comparison of probiotics isolated from different animals.
AB - Aim: The aim of the current study was to isolate and identify naturally occurring
probiotic Lactobacillus species in different animals with the different
environmental background including fish, and farm animals to investigate
interspecies differences in probiotics on the species level. Materials and
Methods: A total of 44 fecal and milk samples were collected under aseptic
conditions from cattle, buffalo, camel, sheep, goats, and fish. The samples were
cultured, and the isolated strains were confirmed biochemically and molecularly
using 16S rRNA multiplex polymerase chain reaction (PCR) analysis following DNA
extraction from the bacterial isolates. Results: A total of 31 isolates
identified as lactobacilli were isolated from cattle milk, goat feces, sheep
feces, fish feces, buffalo milk, camel milk, and goats' milk. Lactobacillus
species were identified based on the size of the PCR product. The results showed
that different species were different in their lactobacilli content. At the same
time, there were some differences between individuals of the same species.
Conclusion: The diversity of probiotic strains isolated from different animal
species implies different types of benefits to the host. Although it would be
both money - and time-consuming research, discovering the benefit of each of
these strains may provide very important information for the health of both human
and animal. Furthermore, transferring these beneficial effects either to
individuals within the same species or between different species would be of
great importance.
PMID- 29657409
TI - DNA extraction from hydatid cyst protoscolices: Comparison of five different
methods.
AB - Aim: The current study aimed to find out a simple, practical and high throughput
DNA isolation method for extraction of DNA from hydatid cyst samples. Materials
and Methods: Cattle and sheep isolate of hydatid cysts were obtained from the
slaughterhouse, and hydatid fluid and protoscolices were collected in a sterile
condition. Protoscolices were washed, 3 times with phosphate buffered saline, and
DNA was extracted by different methods including manual extraction with
freeze/thawing and phenol-chloroform, Triton X-100 extraction, and by a
commercial kit (YTA, Yekta Tajhiz Azma, Iran) with three different modifications
in the kit's manufacturer instructions. The obtained DNA from the different
methods was evaluated by Nanodrop in terms of the yield of DNA and carbohydrates
or protein contaminations. To compare the quality of the extracted DNA, two
pieces of the mitochondrial genome of Echinococcus granulosus, cox1, and nad1,
were polymerase chain reaction (PCR)-amplified, using each of the DNA prepared by
different methods. Electrophoresis of PCR products was carried out on the agarose
gel. Results: The DNA extracted by manual method, using phenol/chloroform, had
the highest yield, yet with the highest level of protein and carbohydrate
contamination. The DNA extracted using two-step incubations, initially at 60
degrees C for 2 h and then overnight at 37 degrees C, was the most purified DNA
with the lowest rate of contamination. Conclusion: Findings of the study
demonstrated that modification in the currently available commercially DNA
extraction kit resulted in the development of a high throughput DNA isolation
method. This method can be recommended for the extraction of DNA from hydatid
cysts, especially the cattle isolate where the extraction of DNA in these samples
are usually problematic.
PMID- 29657410
TI - The effect of purified Quercus cortex extract on biochemical parameters of
organism and productivity of healthy broiler chickens.
AB - Aim: Modern methods of producing poultry meat without the use of antibiotics are
known, and it is possible to achieve the desired conditions, including the use of
herbal preparations. In addition, it is known that metabolites of medicinal
plants are inhibitors of the quorum sensing system in bacteria. The aim of the
present study was to determine the effect of Quercus cortex extract in a reduced
dose on the productivity and body state of healthy chicken broilers. Materials
and Methods: For the experiment, 120 heads of 7-day-old healthy broiler chickens
were selected, and they were divided into four groups (n=30, 3 replicates of 10
birds in each group) by the analog method. The composition of diets of the
experimental Groups I and II additionally included Q. cortex extract and Groups
II and III included an enzyme preparation containing glucoamylase and concomitant
cellulolytic enzymes. The following methods of study were used; gas
chromatography-mass spectrometry, mass spectrometry and atomic emission
spectrometry, and hematological analysis. Results: It was established that the
increase in live weight of broiler chickens in experimental groups exceeded the
analogous indicator in the control group by 3.1-16.6%, and feed intake within the
entire experimental period increased by 2.6-15.4%, against a background of
decreasing feed consumption for a weight gain of 1 kg of live weight (by 3.7
9.2%). There was an increase in iron concentration in blood of broiler chickens
in Groups I and II (7.8-11.8%), in liver (23.7-92.4%, p<=0.05), and in spleen
(53.9-77.7%, r<=0.05) against the background of a decrease in muscle tissue. A
decreased content of monocytes and granulocytes was found, especially in
experimental Group I. Conclusion: In the experiment, it was shown for the first
time that the inclusion of Q. cortex extract in an enzyme-containing diet (anti
quarantine substances) was found to increase the productivity of poultry.
PMID- 29657411
TI - The effect of supplementation of multistrain probiotic preparation in combination
with vitamins and minerals to the basal diet on the growth performance, carcass
traits, and physiological response of broilers.
AB - Aim: The aim of the present study was to investigate the effect of
supplementation of multistrain probiotic preparation in combination with vitamins
and minerals to the broiler chicken diets on their growth performance,
hematological parameters, and carcass traits. Materials and Methods: Two hundred
and eighty-eight Lohmann 1-day-old broiler chicks were randomly allocated to four
groups, i.e., control (without additional supplementation) and three experimental
treatments where basal diet was enriched by 0.1%, 0.5%, or 1% of multistrain
probiotic preparation in combination with vitamins and minerals, respectively.
Blood sampling was conducted on day 28, while the selected organs and eviscerated
carcasses were collected on day 42. Results: Dietary supplementation did not
affect (p>0.05) the final body weight, feed intake, and feed conversion ratio of
broilers. Supplementation by 0.1% and 0.5% of multistrain probiotic preparation
in combination with vitamins and minerals reduced (p<=0.05) heart relative weight
of broilers. Dietary supplementation tended (p=0.07) to increase the relative
weight of ileum and pancreas of broilers. Supplemented birds had lower (p<=0.05)
numbers of leukocytes and eosinophils compared to unsupplemented birds. There
were tendencies that supplementation of multistrain probiotics in combination
with vitamins and minerals resulted in lower (p=0.07) counts of lymphocytes and
heterophils when compared with no supplementation. Supplementation by 0.5% of
multistrain probiotics in combination with vitamins and minerals resulted in
lower (p<=0.05) serum concentration of uric acid when compared with control.
There was no significant effect of dietary supplementation on carcass traits, pH,
and drip loss of broiler breast muscles. Conclusion: Dietary supplementation of
commercial broiler feeds with 0.5% of multistrain probiotic preparation in
combination with vitamins and minerals was potential to improve digestive
functions and physiological status of broiler chickens.
PMID- 29657412
TI - Effect of levamisole, Vitamin E, and selenium against aflatoxicosis in broilers
chicken.
AB - Aim: The experiment was conducted to determine of levamisole (0.2 ml/kg-BW),
Vitamin E (80 mg)+selenium (1.6 mg), and aflatoxin (B1) (positive control)
compared with group without aflatoxin (negative control) on some liver enzymes
(aspartate transaminase [AST] and alanine transaminase [ALT]), as well as to
study the histopathological changes. Materials and Methods: The experiment
included (200) 1-day-old broilers Ross 308 (Turkey source) mixed sexes. They were
divided into four equal groups (50 chicks each group). The experimental period
was extended to 35 days. Results: The results revealed that the levels of liver
enzymes (ALT and AST) of all groups at 35 days were significantly (p<0.05) higher
than the negative control. Furthermore, the result of histopathological changes
in thymus and Harderian gland in different ages of group Vitamin E+selenium
showed a reduction in the depletion of the cortex as well as lessening of
congestion and hemorrhage and necrosis also decreasing in inflammatory cells in
the thymus and Harderian gland. Conclusion: The study confirmed the protective
effect of Vitamin E and levamisole by reducing harmful impacts of aflatoxin
through their antioxidant effect as they improved the liver enzymes and
histopathological changes due to the toxin.
PMID- 29657413
TI - Genetic parameter estimation for pre-weaning growth traits in Jordan Awassi
sheep.
AB - Aim: The aim of this study was to estimate the heritability, genetic and
phenotypic correlations, and the genetic trends for pre-weaning growth traits
including the birth weight (BWT), weaning weight (WWT), pre-weaning daily gain
(PWDG), and weaning age (WA) in Awassi lambs. Materials and Methods: A total of
5131 Awassi lambs from two Jordanian sheep breeding stations were used. A
multitrait animal model and restricted maximum likelihood methods were used to
estimate the covariances between the studied traits. Results: The mean+/-standard
deviation of BWT, WWT, PWDG, and WA was 4.48+/-0.8 kg, 17.13+/-0.7 kg, 0.2+/-0.07
g, and 65.5+/-0.7 days, respectively. Heritability estimates were 0.30+/-0.04 for
BWT, 0.19+/-0.04 for WWT and PWDG, and 0.2+/-0.04 for WA. Positive genetic
correlations were obtained between BWT and other traits, while negative
correlations were obtained between WWT, PWDG, and WA (-0.50+/-0.12) and between
WWT and WA (-0.67+/-0.14). The positive phenotypic correlation was obtained
between WA and PWDG (0.63+/-0.01). The highest additive genetic variance was
obtained for WA (34.58), while the lowest was estimated for PWDG (6.22E-04). The
highest phenotypic variance was obtained for WA (175.5), while the lowest value
obtained was for BWT (0.54). Maternal additive variance ranged between 0.13 and
0.03. The genetic trends were around zero for all studied traits. Conclusion:
Selection should be conducted using animals with high estimated breeding values
through controlled breeding.
PMID- 29657414
TI - Modeling the potential risk factors of bovine viral diarrhea prevalence in Egypt
using univariable and multivariable logistic regression analyses.
AB - Aim: The present cross-sectional study was conducted to determine the
seroprevalence and potential risk factors associated with Bovine viral diarrhea
virus (BVDV) disease in cattle and buffaloes in Egypt, to model the potential
risk factors associated with the disease using logistic regression (LR) models,
and to fit the best predictive model for the current data. Materials and Methods:
A total of 740 blood samples were collected within November 2012-March 2013 from
animals aged between 6 months and 3 years. The potential risk factors studied
were species, age, sex, and herd location. All serum samples were examined with
indirect ELIZA test for antibody detection. Data were analyzed with different
statistical approaches such as Chi-square test, odds ratios (OR), univariable,
and multivariable LR models. Results: Results revealed a non-significant
association between being seropositive with BVDV and all risk factors, except for
species of animal. Seroprevalence percentages were 40% and 23% for cattle and
buffaloes, respectively. OR for all categories were close to one with the highest
OR for cattle relative to buffaloes, which was 2.237. Likelihood ratio tests
showed a significant drop of the -2LL from univariable LR to multivariable LR
models. Conclusion: There was an evidence of high seroprevalence of BVDV among
cattle as compared with buffaloes with the possibility of infection in different
age groups of animals. In addition, multivariable LR model was proved to provide
more information for association and prediction purposes relative to univariable
LR models and Chi-square tests if we have more than one predictor.
PMID- 29657415
TI - Semi-nested polymerase chain reaction-based detection of Babesia spp. in small
ruminants from Northwest of Iran.
AB - Aim: The present study aimed to detect Babesia ovis and Babesia motasi in the
blood samples of sheep and goats from Northwest of Iran by the semi-nested
polymerase chain reaction (PCR) technique. Materials and Methods: A total of 166
whole blood samples (including 123 sheep and 43 goats) were collected. In the
first stage, the PCR was performed to amplify a piece of 18S rRNA gene of Babesia
and Theileria genera. Then, semi-nested PCR was carried out on all PCR products
to differentiate B. ovis and B. motasi. Results: The PCR indicated that totally,
19 (11.44%) out of 166 samples were positive for Babesia or Theileria spp. The
semi-nested PCR showed that 38 samples (22.89%) were positive only for B. ovis.
No significant association was found between the infection rate of B. ovis and
age, gender and species of animals. Conclusion: In the present study, there was
no evidence for B. motasi infection in small ruminants from Northwest of Iran.
Therefore, B. ovis was the main causative agent of ovine Babesiosis in this
region.
PMID- 29657416
TI - Prevailing practices in the use of antibiotics by dairy farmers in Eastern
Haryana region of India.
AB - Aim: The aim of the study was to assess the antibiotic use in dairy animals and
to trace its usage pattern among the small, medium, and large dairy farmers in
Eastern Haryana region of India. Materials and Methods: Karnal and Kurukshetra
districts from Eastern region of Haryana state were purposively selected, and
four villages from each district were selected randomly. From each village, 21
farmers were selected using stratified random sampling by categorizing into
small, medium, and large farmers constituting a total of 168 farmers as
respondents. An antibiotic usage index (AUI) was developed to assess usage of
antibiotics by dairy farmers. Results: Frequency of veterinary consultancy was
high among large dairy farmers, and they mostly preferred veterinarians over para
veterinarians for treatment of dairy animals. Small farmers demanded low-cost
antibiotics from veterinarians whereas large farmers rarely went for it.
Antibiotics were used maximum for therapeutic purposes by all categories of
farmers. Completion of treatment schedules and follow-up were strictly practiced
by the majority of large farmers. AUI revealed that large farmers were more
consistent on decision-making about prudent use of antibiotics. Routine use of
antibiotics after parturition to prevent disease and sale of milk without
adhering to withdrawal period was responsible for aggravating the antibiotic
resistance. The extent of antibiotic use by small farmers depended on the
severity of disease. The large farmers opted for the prophylactic use of
antibiotics at the herd level. Conclusion: Antibiotic usage practices were
judicious among large dairy farmers, moderately prudent by medium dairy farmers
and faulty by small farmers. The frequency of veterinary consultancy promoted
better veterinary-client relationship among large farmers.
PMID- 29657417
TI - Prevalence of American foulbrood in asymptomatic apiaries of Kurdistan, Iran.
AB - Aim: Paenibacillus larvae subsp. larvae is the etiological agent of American
foulbrood (AFB), the most virulent bacterial disease of honey bee brood
worldwide. In many countries, AFB is a notifiable disease since it is highly
contagious, in most cases incurable, and able to kill affected colonies. The aim
of this study was to determine the prevalence of P. larvae subsp. larvae in
Kurdistan province apiaries by polymerase chain reaction (PCR) technique.
Materials and Methods: A total of 100 samples were randomly purchased from
apiaries in Kurdistan, Iran. Apiaries were randomly sampled in accordance with
the instructions of the veterinary organization from different provinces and were
tested using PCR method and an exclusive primer of 16S rRNA for the presence of
P. larvae subsp. larvae. Results: The results of this study indicated a low level
of contamination with P. larvae subsp. larvae in the Kurdistan province. The
number of positive samples obtained by PCR was 2%. Conclusion: Therefore,
monitoring programs for this honeybee disease in Kurdistan should be developed
and implemented to ensure that it is detected early and managed.
PMID- 29657418
TI - Androctonus genus species in arid regions: Ecological niche models, geographical
distributions, and envenomation risk.
AB - Aim: The objective of this study was to establish environmental factors related
to scorpion species occurrence and their current potential geographic
distributions in Morocco, to produce a current envenomation risk map and also to
assess the human population at risk of envenomation. Materials and Methods: In
this study, 71 georeferenced points for all scorpion species and nine
environmental indicators were used to generate species distribution models in
Maxent (maximum entropy modeling of species geographic distributions) version
3.3.3k. The models were evaluated by the area under the curve (AUC), using the
omission error and the binomial probability. With the data generated by Maxent,
distribution and envenomation risk maps were produced using the "ESRI(r) ArcGIS
10.2.2 for Desktop" software. Results: The models had high predictive success
(AUC >0.95+/-0.025). Altitude, slope and five bioclimatic attributes were found
to play a significant role in determining Androctonus scorpion species
distribution. Ecological niche models (ENMs) showed high concordance with the
known distribution of the species. Produced risk map identified broad risk areas
for Androctonus scorpion envenomation, extending along Marrakech-Tensift-Al
Haouz, Souss-Massa-Draa, and some areas of Doukkala-Abda and Oriental regions.
Conclusion: Considering these findings ENMs could be useful to afford important
information on distributions of medically important scorpion species as well as
producing scorpion envenomation risk maps.
PMID- 29657419
TI - Giardiasis: Serum antibodies and coproantigens in brown rats (Rattus norvegicus)
from Grenada, West Indies.
AB - Aim: Giardia is a serious zoonotic parasite, which causes diarrheal disease in
humans and animals including rodents. The purpose of this study was to estimate
the prevalence of Giardia spp. in brown rats (Rattus norvegicus) in Grenada.
Materials and Methods: Intestinal contents from 99 and serum samples from 169
brown rats (R. norvegicus) from Grenada were collected. These samples were
examined for the Giardia coproantigens using Cryptosporidium/Giardia Quik Chek
assay (Tech lab(r) Inc., USA), and the serum was screened through an enzyme
linked immunosorbent assay (ELISA) test kit for Giardia antibody (anti-GD) ELISA
kit (MyBioSource, San Diego, CA, USA). Result: Giardia coproantigens were
positive in 17.17% (95% confidence interval [CI]; 10.33-26.06%) rats, whereas 55%
(95% CI: 47.20-62.68) were positive with serum antibodies (anti-GD) to Giardia.
Conclusion: The prevalence of Giardia spp. in brown rats in Grenada was moderate
based on the presence of coproantigens in the intestinal contents and antibody in
serum. The findings of Giardia infections and prevalence in brown rats will help
veterinarians and physicians to better plan diagnostic and preventative
strategies. This is the first report of prevalence of Giardia in brown rats in
Grenada.
PMID- 29657420
TI - Meta-analysis of classical swine fever prevalence in pigs in India: A 5-year
study.
AB - Aim: The aim of the study was to determine the overall prevalence of classical
swine fever (CSF) in pigs in India, through a systematic review and meta-analysis
of published data. Materials and Methods: Consortium for e-Resources in
Agriculture, India, Google Scholar, PubMed, annual reports of All India
Coordinated Research Project on Animal Disease Monitoring and Surveillance, and
All India Animal Disease database of NIVEDI (NADRES) were used for searching and
retrieval of CSF prevalence data (seroprevalence, virus antigen, and virus
nucleic acid detection) in India using a search strategy combining keywords and
related database-specific subject terms from January 2011 to December 2015 in
English only. Results: A total of 22 data reports containing 6,158 samples size
from 18 states of India were used for the quantitative synthesis, and overall 37%
(95% confidence interval [CI]=0.24, 0.51) CSF prevalence in India was estimated.
The data were classified into 4 different geographical zones of the country: 20%
(95% CI=0.05, 0.55), 31% (95% CI=0.18, 0.47), 55% (95% CI=0.32, 0.76), and 34%
(95% CI=0.14, 0.62). CSF prevalence was estimated in northern, eastern, western,
and southern regions, respectively. Conclusion: This study indicates that overall
prevalence of CSF in India is much lower than individual published reports.
PMID- 29657421
TI - Expression of vascular endothelial growth factor and matrix metalloproteinase-9
in Apis mellifera Lawang propolis extract gel-treated traumatic ulcers in
diabetic rats.
AB - Aim: The aim of this study was to determine the effect of Apis mellifera propolis
extract gel on vascular endothelial growth factor (VEGF) and matrix
metalloproteinase-9 (MMP-9) expression in the traumatic ulcers of rats afflicted
with diabetes mellitus (DM). Materials and Methods: The study was conducted on 24
male Wistar rats (Rattus norvegicus) induced with DM by injecting 50 mg/kg of
Streptozotocin, intraperitoneally, and a traumatic ulcer on their lower lip
mucosa. These were divided into eight groups: Four each for control and treatment
groups. Each control and treatment group consisted of three rats. The control
groups treated with hydroxypropyl methylcellulose 5% gel and treatment groups
were administered with propolis extract gel. The expression of VEGF and MMP-9 was
observed on days 3, 5, 7, and 9. Furthermore, mice sacrificed and the lower lip
labial mucosa tissue of mice has been taken to make the histopathology anatomy
preparation by means of immunohistochemical examination with monoclonal
antibodies anti-VEGF and anti-MMP-9. Results: This experiment revealed higher
VEGF expression and lower MMP-9 expression in the treatment group as compared to
that of the control group. Analysis of Variance showed significant differences
(p<0.01) of both VEGF expression and MMP-9 expression between the two groups. A
Tukey's analysis did not find strong contrasts in VEGF and MMP-9 expressions
between various treatment groups. However, those between treatment and control
groups were found to be considerable. Conclusion: Propolis extract gel increased
the expression of VEGF and decreased that of MMP-9 during the healing process of
traumatic ulcers on the oral mucosa of diabetes afflicted Wistar rats (R.
norvegicus).
PMID- 29657422
TI - Three Strigeid cercariae from Littorina littorea snail, Qarun Lake, Fayoum,
Egypt.
AB - Aim: The present study aims to focus on the role of common marine snails
(Littorina littorea) as a vector for some trematode parasites. Materials and
Methods: A total of 327 marine water L. littorea snails were collected during the
summer of 2016 from a Qarun lake in the EL-Fayoum Governorate, Egypt. The snails
were investigated for infection by trematode parthenitae through induction of
cercarial shedding by exposure to light and crushing the snails. The species were
stored in Search Laboratory of Zoology Department, Faculty of Science, Fayoum
University. Results: Three species of Strigeid littorina cercaria were identified
from the infected snails. They are described here and they identified in relation
to close-up morphological features and linked to its snail hosts. They give the
following names: Cercaria strigeid littorina type 1, C. strigeid littorina type
2, and C. strigeid littorina type 3. The incidence of infection by these
cercariae was 33%, 25.7%, and 2.4%, respectively. Conclusion: This study is
clarifying the importance of this marine snail as intermediate hosts for new
trematode species.
PMID- 29657423
TI - Prevalence and characterization of Panton-Valentine leukocidin-positive
Staphylococcus aureus in bovine milk in Jabalpur district of Madhya Pradesh,
India.
AB - Aim: The study aimed to investigate the Panton-Valentine leukocidin (PVL)
positive Staphylococcus aureus in bovine milk due to its public health
significance. Materials and Methods: A total of 400 milk samples of bovines taken
from different dairy farms and outlets of Jabalpur were screened for the S.
aureus and methicillin-resistant S. aureus (MRSA). The strains were tested for
the PVL gene and antimicrobial sensitivity toward 10 different classes of
antimicrobial agents. The PVL-positive S. aureus strains were further
characterized by staphylococcal protein A or spa typing. Result: The prevalence
of PVL-positive S. aureus was 10.53%. All the isolates positive for the PVL were
resistant to methicillin, while the methicillin-sensitive S. aureus isolates were
negative for the PVL. Five different spa types were found. Conclusion: The
presence of PVL-positive MRSA in bovine milk close to consumer poses a potential
public health risk to the community.
PMID- 29657424
TI - The activity of pomegranate extract standardized 40% ellagic acid during the
healing process of incision wounds in albino rats (Rattus norvegicus).
AB - Aim: This research aimed to evaluate the effects of pomegranate extract
standardized to 40% ellagic acid on the incised wound in albino rats. Materials
and Methods: Fifty albino rats were divided into 10 treatment groups. The five
groups were sacrificed on the 8th day, while the others were sacrificed on the
15th day. Two groups of albino rats with incised wound were not treated at all
(P0), the other two groups of albino rats with incised wound were treated with
Betadine(r) (P1) ointment, and the rest of the groups were treated with
pomegranate extract standardized to 40% ellagic acid with a concentration of 2.5%
(P2), 5% (P3), and 7.5% (P4). The treatments were carried out twice a day with an
interval of 12 h for 7 and 14 days. At the end of the research, the skin tissue
of those albino rats had been taken for histopathologic preparations before H and
E staining was performed. Results: Collagen deposition, polymorphonuclear
neutrophils (PMN) infiltration, angiogenesis, and fibrosis degree in Group P4
treated with 7.5% pomegranate extract standardized to 40% ellagic acid for 14
days were significantly different from those in Groups P0, P1, P2, and P3,
especially in the case of PMN inflammation (p<0.05). Conclusion: The
administration of 7.5% pomegranate extract standardized to 40% ellagic acid for
14 days on incised wounds of those albino rats can accelerate the wound healing
process characterized by collagen deposition improvement, PMN infiltration in the
wound area, angiogenesis, and fibrosis degree.
PMID- 29657425
TI - Serum cortisol level and survival rate of juvenile Epinephelus fuscoguttatus
following exposure to different salinities.
AB - Background and Aim: Brown-marbled grouper Epinephelus fuscoguttatus is a premium
marine food fish with high demand in Asia. In fish, stress due to environmental
changes such as fluctuations in the salinity can result in increased cortisol
level. Stress in fish increases susceptibility to diseases ultimately resulting
in death. Therefore, the aim of this study was to investigate the salinity
tolerance of E. fuscoguttatus and their survival in lower salinities. Materials
and Methods: In this study, grouper juveniles (92.43+/-standard error of the mean
0.51 mm) maintained in 31 ppt seawater were transferred into five tanks with
seawater diluted to 25, 20, 15, 10, and 5 ppt. The salinity of the control group
was not changed and was maintained at 31 ppt. Serum cortisol was measured using
ELISA at 0, 30, 60, and 120 min after the fish were transferred to the different
concentrations of salinity. Results: The survival percentage was recorded for 14
days following the transfer and the results revealed that serum cortisol of fish
in a high change in salinity (15, 10, and 5 ppt) was significantly higher than
the control group immediately after exposure. At the high salinity change, the
cortisol levels gradually decrease at 30 min and 60 min, until no difference in
cortisol concentration was observed at 120 min. No mortality was observed in fish
exposed to low salinity change (25 and 20 ppt) while in higher salinity change (5
ppt), the survival percentage was 50%. Conclusion: The study revealed that the
serum cortisol concentration was high initially and continues to decrease to
resting cortisol level at 120 min indicating that cortisol hormone is released
following acute stress as a primary response in grouper juveniles.
PMID- 29657426
TI - Status of theileriosis among herbivores in Iran: A systematic review and meta
analysis.
AB - Aim: Theileriosis is a protozoal disease caused by Theileria spp. mostly in warm
blooded vertebrates worldwide. It is one of the common tick-borne diseases among
domestic animals in tropical and sub-tropical regions, which have a variety of
unlikable effects on health economy and animal welfare. In the present study, the
prevalence of theileriosis among domestic farm animals in Iran was systematically
evaluated. Methods: To identify the related papers, 10 English and Persian
databases, including PubMed, Science Direct, Scopus, Web of Science, Medical
Subject Headings, Google Scholar, Magiran, Barakatns (formerly Iranmedex), Elm
net, and Scientific Information Database, were appraised for articles published
throughout 1999-2017. Results: A total of 56 papers, providing the examination of
11,317 cattle, 9394 sheep, 2991 buffaloes, 1504 horses, 600 goats, and 212
donkeys were analyzed, matching for the prevalence of theileriosis from different
parts of Iran were permitted for our allowing checklist. The overall prevalence
of theileriosis among domestic herbivores was expected to be 19% (95% confidence
interval: 15%, 22%). Our findings highlighted the average of the maximum
prevalence in Razavi Khorasan (60.4%) and West Azerbaijan (49.1%) and the minimum
in Mazandaran (1.1%) and East Azerbaijan provinces (2.2%), respectively. The high
prevalence of Theileria infection in the herbivores (mainly sheep) verifies the
well-known enzootic episode of theileriosis in Iran, predominantly in
northeastern and western parts of the country. Conclusion: Our results suggested
updated and imperative information on the true burden of theileriosis in Iran.
Moreover, it could be supporting the gaps among monitoring, prevention, and
control arrangements to improve the health economy, particularly among dairy farm
animals.
PMID- 29657427
TI - Antibacterial activity of fig leaf (Ficus carica Linn.) extract against
Enterococcus faecalis and its cytotoxicity effects on fibroblast cells.
AB - Background: Enterococcus faecalis is one of the bacteria that commonly found in
root canal and pulp infection after root canal treatment. Sodium hypochlorite is
the most widely used root canal irrigation, but it has toxic properties if
exposed to periradicular tissues. It is necessary to develop an alternative for
root canal irrigation. Fig leaf (Ficus carica Linn.) extract contains active
substances such as flavonoid, tannin, and terpenoid which have been known for
their antibacterial potency. Aim: This study aimed to determine the minimum
bactericidal concentration (MBC) of fig leaf (F. carica Linn.) extract against E.
faecalis and its cytotoxicity on fibroblast cells in vitro. Materials and
Methods: A serial dilution method was used to determine the MBC of fig leaf
extract on E. faecalis which grown on nutrient agar media. Inoculation was
carried out at concentrations that suspected minimum inhibitory concentration
(MIC), MBC, concentration between MIC and MBC, and control groups on different
nutrient agar. MIC and MBC of fig leaf extract against E. faecalis were known by
counting the growth of bacteria colonies on nutrient agar media in CFU/ml. The
cytotoxicity of MIC and MBC of the extract acquired were tested using 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay, and the results were
read using an ELISA reader. Data of E. faecalis colonies were analyzed using
Kruskal-Wallis and Mann-Whitney test. Results: The result showed a significant
difference among the groups (p<0.05). fig leaf extract at a concentration of 50%
showed no bacterial growth, and cell viability at this concentration was 77.7%.
Conclusion: Fig leaf extract has antibacterial effect on E. faecalis with MBC at
50% and not cytotoxic to fibroblast cells.
PMID- 29657428
TI - Virulence gene profiling of porcine Pasteurella multocida isolates of Assam.
AB - Aim: The present study was conducted to detect and identify the virulence genes
in Pasteurella multocida isolates of porcine origin from Assam. Materials and
Methods: A total of 21 porcine P. multocida isolates were subjected to capsular
typing and detection of virulence-associated genes (pfhA, tbpA, hgbB, toxA,
oma87, ompH, and nanB) using various polymerase chain reaction (PCR) methods
reported elsewhere. Further, pathogenicity of the porcine isolates of P.
multocida was studied in mice. For each strain of P. multocida selected for
pathogenicity trial, the group of mice was injected intraperitoneally (i/p) with
0.1 ml of the inoculum prepared from respective field isolates, containing 109
organisms per ml. Results: Capsular typing of the isolates by multiplex PCR
showed two capsular types, type A (66.66%) and type D (33.33%). All the isolates
were positive for outer membrane protein genes, oma87 and ompH genes. Iron
acquisition genes, tbpA and hgbB, were detected in 14.28% and 19.04% of the
isolates. The dermonecrotoxin encoding gene, toxA, was present in 23.80% of the
isolates. Filamentous hemagglutinin encoding gene, pfhA, was detected in 28.57%.
The virulence gene distribution pattern of the isolates indicates the important
role of the genes in disease pathogenesis. Conclusion: From the present study, it
can be concluded that toxA gene is an important marker gene for defining the
pathogenic potential of P. multocida strains in swine.
PMID- 29657429
TI - Isolation and identification of bacteria causing mastitis in small ruminants and
their susceptibility to antibiotics, honey, essential oils, and plant extracts.
AB - Aim: The present work aims to isolate and identify bacteria that cause mastitis
in small ruminants and evaluates the antibacterial activity of some antibiotics,
honey, essential oils, and plant extracts. Materials and Methods: A total of 289
milk samples were collected from udder secretions of sheep (n=189) and goat
(n=100) from El-Fayoum, Beni-Suef, and Giza governorates. Screening subclinical
mastitis (SCM) was done using California Mastitis Test (CMT); identification of
the isolates was achieved using Gram's staining, hemolytic pattern, colony
morphology, and biochemical tests using Analytical Profile Index. Results: On
clinical examination, the incidence of clinical mastitis (CM) was found to be
5.88% and 7% in sheep and goat, respectively. On CMT, SCM was found to be 25
(13.23%) and 11 (10%) in sheep and goat, respectively. Bacteriological
examination of all milk samples found the presence of Staphylococcus aureus (SA)
(31.1%), coagulase-negative staphylococci (CNS) (19.5%), Escherichia coli (EC)
(8.3%), Streptococcus spp. (5.6%), Klebsiella spp. (3.77%), and Pseudomonas spp.
(1.89%), while no bacteria were cultured from 81.66% of the samples.
Identification of 9 isolates of CNS was achieved by using API staph test to
Staphylococcus epidermidis, Staphylococcus hominis, Staphylococcus cohnii, and
Staphylococcus saprophyticus. The highest bacterial resistance was found in EC
(67.14%) followed by Kp (45.28%) and SA (26.57%). Conclusion: Onion and black
cumin essential oils followed by Egyptian honey showed strong antibacterial
effects against multidrug-resistant bacteria. Finally, our study proved that
Egyptian honey, onion, and black cumin essential oils have a marked strong
antibacterial effect against bacteria isolated from small ruminant mastitis, but
still further extensive studies are needed to discover the therapeutic properties
of these plant extracts and honey.
PMID- 29657430
TI - Detection of Brucella spp. in milk from seronegative cows by real-time polymerase
chain reaction in the region of Batna, Algeria.
AB - Aim: The aim of this study was to detect Brucella spp. DNA in milk samples
collected from seronegative cows using the real-time polymerase chain reaction
(PCR) assay for diagnosis of brucellosis in seronegative dairy cows to prevent
transmission of disease to humans and to reduce economic losses in animal
production. Materials and Methods: In this study, 65 milk samples were
investigated for the detection of Brucella spp. The detection of the IS711 gene
in all samples was done by real-time PCR assay by comparative cycle threshold
method. Results: The results show that of the 65 DNA samples tested, 2 (3.08%)
were positive for Brucella infection. The mean cyclic threshold values of IS711
real-time PCR test were 37.97 and 40.48, indicating a positive reaction.
Conclusion: The results of the present study indicated that the real-time PCR
appears to offer several advantages over serological tests. For this reason, the
real-time PCR should be validated on representative numbers of Brucella-infected
and free samples before being implemented in routine diagnosis in human and
animal brucellosis for controlling this disease.
PMID- 29657431
TI - Evaluation of antimycobacterial activity of Curcuma xanthorrhiza ethanolic
extract against Mycobacterium tuberculosis H37Rv in vitro.
AB - Aim: The aim of this study was to evaluate the antimycobacterial activity of the
Curcuma xanthorrhiza ethanolic extract in vitro. Materials and Methods: Ethanolic
extract of C. xanthorrhiza was set by maceration method. The broth microdilution
and disc diffusion method were used to determine the minimal inhibitory
concentration (MIC) and minimal bactericidal concentration (MBC), respectively,
of C. xanthorrhiza ethanol extract on strain Mycobacterium tuberculosis H37Rv.
Results: C. xanthorrhiza ethanol extract was found to have the antimycobacterial
effects with a MIC value of 1600 MUg/ml while MBC value of 3200 MUg/ml for M.
tuberculosis H37Rv. Conclusion: From these findings , it can be concluded that C.
xanthorrhiza ethanol extract have an antibacterial activity against Mycobacterium
tuberculosis H37Rv in vitro and its potency elevated by increasing the C.
xanthorrhiza ethanol extract concentration.
PMID- 29657432
TI - Antibacterial and antioxidant activity of Juniperus thurifera L. leaf extracts
growing in East of Algeria.
AB - Aim: This work aimed to evaluate the biological activity of the leaf extracts of
Juniperus thurifera L., which is an Algerian endemic tree that belongs to the
family of Cupressaceae. Materials and Methods: The plant leaves were extracted in
solvents of increasing polarity to obtain different extracts such as methanol,
petroleum ether, chloroform, ethyl acetate, and aqueous extracts (MeE, PEE, ChlE,
EtAE, and AqE). The antioxidant activity of four extracts (MeE, ChlE, EtAE, and
AqE) was assessed by trapping test of 1,1-diphenyl-2-picrylhydrazyl (DPPH)
radical. The evaluation of antibacterial activity of MeE, ChlE, EtAE, and PEE was
done using the disk diffusion method on solid agar. Results: The three extracts
of EtAE, AqE, and MeE showed high antiradical activity toward the DPPH radical
(IC50=29.348 ug/mL, 37.538 ug/mL, and 52.573 ug/mL, respectively), while the
lowest radical scavenging activity was expressed by the ChlE (IC50=70.096 ug/mL).
These extracts were active only toward the Gram-positive bacteria (Staphylococcus
aureus ATCC and methicillin-resistant S. aureus) at different concentrations, and
the highest activity was obtained with the ChlE with an inhibition diameter of 14
mm at the concentration of 1 g/mL. No inhibition was detected for all of these
extracts against the Gram-negative tested strains (Escherichia coli ATCC,
Pseudomonas aeruginosa ATCC, and Enterobacter cloacae (extended spectrum beta
lactamase). Conclusion: From this study, on the one hand, it was concluded that
J. thurifera L. leaves extracts exhibited a very intense antioxidant potential
toward the DPPH radical, and on the other hand, the antibacterial activity showed
an action spectrum exclusively toward the Gram-positive bacteria.
PMID- 29657433
TI - Isolation of Shiga toxin-producing Escherichia coli harboring variant Shiga toxin
genes from seafood.
AB - Background and Aim: Shiga toxin-producing Escherichia coli (STEC) are important
pathogens of global significance. STEC are responsible for numerous food-borne
outbreaks worldwide and their presence in food is a potential health hazard. The
objective of the present study was to determine the incidence of STEC in fresh
seafood in Mumbai, India, and to characterize STEC with respect to their
virulence determinants. Materials and Methods: A total of 368 E. coli were
isolated from 39 fresh seafood samples (18 finfish and 21 shellfish) using
culture-based methods. The isolates were screened by polymerase chain reaction
(PCR) for the genes commonly associated with STEC. The variant Shiga toxin genes
were confirmed by Southern blotting and hybridization followed by DNA sequencing.
Results: One or more Shiga toxins genes were detected in 61 isolates. Of 39
samples analyzed, 10 (25.64%) samples harbored STEC. Other virulence genes,
namely, eaeA (coding for an intimin) and hlyA (hemolysin A) were detected in 43
and 15 seafood isolates, respectively. The variant stx1 genes from 6 isolates
were sequenced, five of which were found to be stx1d variants, while one sequence
varied considerably from known stx1 sequences. Southern hybridization and DNA
sequence analysis suggested putative Shiga toxin variant genes (stx2) in at least
3 other isolates. Conclusion: The results of this study showed the occurrence of
STEC in seafood harboring one or more Shiga toxin genes. The detection of STEC by
PCR may be hampered due to the presence of variant genes such as the stx1d in
STEC. This is the first report of stx1d gene in STEC isolated from Indian
seafood.
PMID- 29657434
TI - Inventory of lice of mammals and farmyard chicken in North-eastern Algeria.
AB - Background and Aim: Lice are permanent ectoparasites, extremely specific to their
hosts. Their great importance in veterinary medicine remain significant, they can
cause their direct pathogenic actions like irritability, dermatitis, anemia,
decreased weight gain, and milk production. The purpose of this work was to made
the first time an inventory of mammalian lice in North-eastern Algeria. Materials
and Methods: Our survey of lice infestation was conducted on several animal
species from five provinces of North-eastern Algeria. A total of 57 cattle, 83
sheep, 77 goats, 111 wild boars, and 63 farmyard chickens were examined. The
collection of lice was carried out much more in mammals and chickens during the
winter period. Lice were collected either manually or using brushing and kept in
flasks containing 70% ethanol. The identification of lice was achieved in the
laboratory using a binocular loupe. Results: Concerning cattle, 63% and 27% of
those examined subjects from Souk-Ahras and Guelma study areas, respectively,
were carriers of lice. Damalinia bovis was the louse most frequently found on
cattle in these two regions. Three other species were identified in Souk-Ahras:
Haematopinus eurysternus (25%), Linognathus vituli (10%), and Solenopotes
capillatus (5%). Regarding sheep, 39% and 24% of examined animals in Souk-Ahras
and Guelma, were carrying lice. Damalinia ovis was the most frequently
encountered lice on sheep in both regions. Linognathus ovillus also was
identified in Souk-Ahras, representing 0.3% of the collected lice. Concerning
goats, 53% and 30% of examined animals in Souk-Ahras and Guelma, were parasitized
of lice. Two species of lice were found: Damalinia caprae and Linognathus
africanus. For farmyard chickens, 69% and 100% of the farmyard chicken in Souk
Ahras and Mila were parasitized by lice, respectively. Menopon gallinae was the
most frequently encountered louse in farmyard chicken in both regions. Eight
other species were identified in Mila and four other species only in Souk-Ahras.
Finally, 25% and 28% of the wild boars in Annaba and El Tarf were parasitized by
lice, respectively. Haematopinus suis was the only species found on wild boars in
both regions. Conclusion: These results are to be taken into account for lice
control schemes and louse-borne diseases.
PMID- 29657435
TI - ISMap02 element targeted nested polymerase chain in the detection of
Mycobacterium avium subsp. paratuberculosis in fecal samples of cattle and
buffaloes.
AB - Background and Aim: Johne's disease is chronic granulomatous enteritis which
affects ruminants. There are many diagnostic approaches for the detection of
Mycobacterium avium subsp. paratuberculosis (MAP) of which molecular detection
methods using various elements are less time consuming and more accurate. The
present study was conducted using ISMap02 element for nested polymerase chain
reaction (nPCR) based detection of MAP in fecal samples. The aim was to test the
sensitivity and specificity of the ISMap02 element and also to use this element
for the detection of MAP in fecal samples of cattle and buffaloes. Materials and
Methods: A total of 211 fecal samples of cattle and buffaloes from different
herds around Ludhiana aged between 2 and 13 years were collected, and DNA
extraction was done from these samples. The nPCR was carried out for the
detection of MAP in fecal samples. Results: The ISMap02 element was specific for
the detection of MAP only and showed a sensitivity of detection of 7.6 fg/uL of
the standard genomic DNA. Among the 211 fecal samples of cattle and buffaloes
tested for the ISMap02 element, 18 samples (8.5%) were positive for MAP.
Conclusion: The ISMap02 element is specific and sensitive for the detection of
MAP in various samples, and when used in nPCR format, it can increase the
sensitivity of detection.
PMID- 29657437
TI - Clinical and radiological outcome for Trufit Plug in the treatment of chondral
and osteochondral lesions at a minimum of 2 years.
AB - The aim of this study was to evaluate the functional and radiological outcome of
TruFit plugs. We retrospectively reviewed 10 patients who underwent treatment for
a symptomatic chondral/osteochondral lesion using one or more Trufit Plugs. Full
incorporation of the bony portion of the plug occurred in only 3 and partial
incorporation in 7 lesions. The remaining portion of these 7 lesions looked
cystic on MRI. The significance of this cystic change is not clear. Though all 10
patients showed some improvement on the IKDC scoring system but the amount of the
improvement was small.
PMID- 29657436
TI - Upper extremity weightlifting injuries: Diagnosis and management.
AB - Common upper extremity injuries in resistance training athletes include muscle
strains, ligament sprains, pectoralis major tendon ruptures, distal biceps tendon
ruptures, and chronic shoulder pain and capsulolabral injuries. While each injury
is unique in its specific anatomic location and mechanism, each is preventable
with proper exercise technique, safety and maintenance of muscle balance.
Conservative treatment is the therapeutic modality of choice and these injuries
generally resolve with workout modification, appropriate recovery, anti
inflammatory medication, and physical therapy. If conservative treatment fails,
surgical intervention is often successful and can return the weightlifter to a
level of performance near their pre-injury level.
PMID- 29657438
TI - Red blood cell distribution width (RDW) and its potential significance to
orthopedic surgeons.
PMID- 29657439
TI - Topical vancomycin and its effect on survival and migration of osteoblasts,
fibroblasts, and myoblasts: An in vitro study.
AB - The purpose of this study was to examine the influence of topical vancomycin on
cell migration and survival of tissue healing cells. Human osteoblasts, myoblasts
and fibroblasts were exposed to vancomycin at concentrations of 1, 3, 6, or 12
mg/cm2 for either a 1-h or 48-h (continuous) duration. Continuous exposure to all
vancomycin concentrations significantly reduced cell survival (<22% cells
survived) and migration in osteoblasts and myoblasts (P < 0.001). 1-h vancomycin
exposure reduced osteoblast and myoblast survival and migration only at 12 mg/cm2
(P < 0.001). Further in vivo studies are warranted to optimize the dosage of
intrawound vancomycin.
PMID- 29657440
TI - Magnetic growth modulation in orthopaedic and spine surgery.
AB - Purpose: To examine distraction-based methods for treatment of early onset
scoliosis (EOS), focusing on the magnetically controlled growing rod system
(MCGR) relative to traditional growing rod system (TGR). To briefly discuss
internal magnetically controlled nails for bone lengthening as another
application of magnetic growth modulation. Results: Relative to TGR, MCGR
involves fewer complications related to infection and general anesthesia because
of fewer successive surgeries required. Further, MCGR accounts for better
psychosocial patient outcome and potentially a lower long-term cost, mainly
because of shortened periods of hospitalization. Intramedullary lengthening nails
involve fewer complications compared to internal limb lengthening devices related
to infections, nerve damage, shortening, improper healing, stiffness, and
scarring. Intramedullary lengthening nails appear to alleviate psychosocial
burden of patients especially when compared to external fixators. Conclusions:
Although the current gold standard for treating some types of early onset
scoliosis (EOS) is the traditional growing rod system, the magnetically
controlled growing rod (MCGR) system is an alternative method for treating EOS.
MCGR is promising in that it involves less surgical procedures, shorter hospital
stays, and lower long-term cost relative to TGR. Similarly, the use of
magnetically controlled intramedullary lengthening nails is a promising
alternative to the Ilizarov method for limb lengthening.
PMID- 29657441
TI - Percutaneous upper extremity fracture fixation using a novel glass-based
adhesive.
AB - Objective: To develop a surgical technique for percutaneous upper extremity
fracture fixation using a novel glass-based adhesive. Methods: Three intact upper
extremity cadaveric specimens with undisturbed soft tissues were obtained. Two
were used to model a wrist fracture, and the third to model a proximal humerus
fracture. Fractures were produced using a small osteotome in a percutaneous
fashion. Banna Bone Adhesive (BBA) was delivered to the fracture site
percutaneously using a 16 gauge needle under bi-planar fluoroscopic guidance.
After setting of the adhesive, the specimens were dissected to qualitatively
assess BBA delivery and placement. Results: The adhesive could readily be
delivered through the 16 gauge needle with an appropriate amount of pressure
applied to the syringe. Using the fluoroscope, the adhesive could be seen to flow
into the fracture site with minimal extravagation into the surrounding soft
tissues. Successful bonding of the fracture fragments was observed. Conclusions:
Percutaneous delivery of BBA into a fracture of the distal radius and proximal
humerus may be a feasible fracture fixation technique. Biomechanical testing and
animal model testing are required to further develop this procedure.
PMID- 29657442
TI - Obesity is associated with higher complication rates in revision total hip
arthroplasty.
AB - We examined differences in complication rates between obese and non-obese
patients undergoing revision total hip arthroplasty. Sixty-five patients with a
BMI >=30 kg/m2 and 54 patients with a BMI of <30 kg/m2 were identified. Obese
patients were 2.5 times more likely to suffer a complication than non-obese
(38.4% cf 14.8%, p = 0.02). Obese patients were more likely to experience
dislocation, leg length discrepancy, fracture, implant loosening, infection and
pulmonary embolus. The obese group had a significantly higher revision rate
(12.3% cf 1.8%, p = 0.039). Obese patients should be counselled pre-operatively
on their elevated risk.
PMID- 29657443
TI - Healing of femoral fractures by the meaning of an innovative intramedullary nail.
AB - In this paper, an innovative design of nail, conceived to heal fractures of long
bones has been investigated. Its functioning is based essentially on sliding of
conical surfaces located in a spindle and in a series of holding pins radially
disposed around it. Spindle and holding pins are connected together by means of a
sleeve. Medial and distal screws are not necessary. Rotational and longitudinal
motions of the spindle are transformed in a radial expansion of the holding pins
by the sliding of conical surfaces. A complete numerical FE model of an implanted
femur was realized and analyzed by the mean of two loading configurations: LC1 by
imposing a vertical load of 980 N, and LC2 by considering resultants of the
muscle actions. Analyses confirmed results, in terms of mechanical performances,
comparable with the others traditional systems of prosthesis.
PMID- 29657444
TI - Radial axial interosseous load (RAIL) test for essex lopresti type injuries.
AB - Background: When approaching radial head and neck fractures, the decision for
ORIF, resection, or arthroplasty is often performed intraoperatively. Factors
that contribute include ligamentous and bony stability, cartilage injury,
mechanical alignment as well as patient factors. Recent data has suggested
conventional methods may not be sufficiently sensitive in detecting Essex
Lopresti injuries. Here we describe an intraoperative technique that could
objectively assess proximal radio-ulnar stability with subsequent disruption of
the ligamentous structures. Methods: Eight cadaveric specimens were used to
evaluate amount of radial proximal migration between three groups of forearms.
After radial head resection, proximal migration of the radial shaft was measured
in three distinct groups. Group A included intact forearms, Group B included
forearms with resected interosseous membranes (IOM), and Group C included
forearms with resected interosseous membranes and distal radioulnar joint (DRUJ)
disruptions. Results: As compared to group A, group B averaged 4 mm of proximal
radial migration (p < 0.01), while Group C demonstrated >6 mm of migration (p <
0.01). Conclusion: In the setting of a non-repairable radial head, the RAIL test
may provide a more objective means of assessing for Essex-Lopresti injuries.
PMID- 29657445
TI - Tranexamic acid in total hip arthroplasty: Mixed treatment comparisons of
randomized controlled trials and cohort studies.
AB - Background: The present study is a network meta-analysis of various routes of
tranexamic acid (TXA) in patients with total hip arthroplasty (THA). Methods:
Randomized controlled trials and cohort studies evaluating TXA in patients with
THA were included. Number of patients requiring blood transfusion was the primary
outcome. Results: Pooled estimate for TXA use against placebo for blood
transfusion rate was 0.30 [0.23, 0.39] favoring TXA. Maximum reduction in the
risk of blood transfusion was observed with topical plus intra-operative
intravenous TXA. Conclusion: Combined topical and intravenous TXA during surgery
may perform better than other modes in patients undergoing THA.
PMID- 29657446
TI - Assessment of the risk of low bone mineral density in premenopausal Japanese
female patients with systemic lupus erythematosus.
AB - Background: The aim of this study was to assess the relationships between
clinical parameters and bone mineral density (BMD) in Japanese female patients
with systemic lupus erythematosus (SLE). Methods: A total of female 136 SLE
patients without menopause were retrospectively assessed to identify associations
between age, disease duration, body mass index (BMI), glucocorticoid usage and
disease activity and BMD based on the treatment with or without bisphosphonate.
There were 71 patients treated with bisphosphonate (bisphosphonate group) and 65
patients without (non-bisphosphonate group). We evaluated the impact of age,
disease duration, BMI, serologic SLE markers, glucocorticoid use on BMD of the
anterior-posterior (AP) and lateral lumbar spine, total hip and femoral neck
using univariate and multivariate linear regression analyses of both
bisphosphonate and non-bisphosphonate groups. Results: Multivariate linear
regression analyses showed that in non-bisphosphonate group disease duration was
negatively associated with BMD of AP spine and femoral neck, whereas in
bisphosphonate group these negative associations were not present. However,
multivariate linear regression analyses showed a significant relationship between
BMI and BMD of the AP spine, femoral neck and total hip, regardless of
bisphosphonate treatment. Conclusions: Bisphosphonate treatment eliminated the
negative relationships between disease duration and the BMD of the spine and hip.
AP spine and hip BMD in patients with SLE depend on BMI, regardless of
bisphosphonate use. SLE serologic markers and glucocorticoid use were not
negatively associated with generalized bone loss. SLE patients with low BMI have
a high risk of generalized bone loss, and should be assessed and treated to
prevent osteoporosis even before menopause.
PMID- 29657447
TI - Evaluation of reproducibility of robotic knee testing device (GNRB) on 60 healthy
knees.
AB - Introduction: There is a main concern about the usefulness and the accuracy of
the different laximeters. The GnrB device is becoming more popular, but according
to the literature it's not clear whether it is fully reliable. Objective: GNRB
laxity measurements are not reproducible for measurement of anterior translation
of the tibia. Material and methods: We analyzed the reproducibility of GNRB
measurements in healthy subjects by the Test-Retest method in 2 sessions.
Results: 30 pairs of healthy knees were assessed. Test-Retest agreement was poor
for both absolute values and for differentials at 134 and 200 N with an intra
class correlation ranging from 0.210 to 0.486. There was a significant differance
in anterior tibial translation, in side-to-side difference, according to the
patellar pressure. Conclusion: The reproducibility is found to be poor under
optimum conditions of comparability. The patellar pressure influences strongly
thelaxity value.
PMID- 29657448
TI - Diagnostic difficulties in the radiological assessment of subscapularis tears.
AB - Background: Radiological evaluation of rotator cuff tears are sensitive and
specific. Accuracy may be low in diagnosing subscapularis tears. Materials and
methods: We retrospectively reviewed shoulder arthroscopies performed by two
surgeons over 45 months. We reviewed patients who had subscapularis repairs and
their preoperative imaging. Result: 286 cases had imaging (193 MRIs, 93 USS) with
77 subscapularis repairs. MRI suggested 31 tears, arthroscopy confirmed 16 (30%
sensitivity, 89% specificity). USS suggested 6 tears, arthroscopy confirmed 4
(13% Sensitivity, 97% specificity). Discussion: Results demonstrated low accuracy
for preoperative radiological subscapularis tear assessment. This has logistical,
diagnostic and implications on treatment.
PMID- 29657449
TI - Analysis of glenoid inter-anchor distance with an all-suture anchor system.
AB - Background: All-suture anchors used in arthroscopic shoulder stabilization employ
small diameter anchors, which allow greater placement density on narrow surfaces
such as the glenoid. There is no consensus in the literature about how close to
one another two anchors may be implanted. Purpose: The purpose of the present
study is to compare the strength characteristics of two all-suture anchors placed
in cadaveric human glenoid at variable distances to one another, in order to
determine the minimum distance required for optimal strength. Methods: Twelve
fresh-frozen human cadaveric glenoids were implanted with 1.4 mm all-suture
anchors at varying inter-anchor distances. Each glenoid was used for four tests,
for a total of 48 tests. Anchors were implanted adjacent to one another or with
2, 3, or 5 mm bone bridges between pilot holes. The glenoids then underwent
pullout testing using a test frame with a 5N preload followed by displacement of
12.5 mm/s. The primary outcomes were stiffness, failure strength, and ultimate
strength. Results: Stiffness was 13.52 +/- 3.8, 17.97 +/- 5.02, 17.59 +/- 4.65
and 18.95 +/- 4.67 N/mm for the adjacent, 2, 3, and 5 mm treatment groups,
respectively. The adjacent group had a significantly lower stiffness compared to
the other treatment groups. Failure strength was 48.68 +/- 20.64, 76.16 +/-
23.78, 73.19 +/- 35.83 and 87.04 +/- 34.67 N for the adjacent, 2, 3, and 5 mm
treatment groups, respectively. The adjacent group had a significantly lower
failure strength compared to the other treatment groups. Ultimate strength was
also measured to be 190.59 +/- 140.93, 268.7 +/- 115.1, 283.23 +/- 118.43, and
291.28 +/- 118.24 for the adjacent, 2, 3, and 5 mm treatment groups,
respectively. Conclusions: This biomechanical study provides evidence that 1.4 mm
all-suture anchors demonstrate similar strength characteristics when placed at
least 2 mm or greater from one another. When 1.4 mm all-suture anchors were
placed adjacent to one another, there was an observed decrease in failure
strength and stiffness. Clinical relevance: This study suggests that 1.4 mm all
suture anchors may be placed as close as 2 mm to one another while preserving
strength characteristics.
PMID- 29657450
TI - Current advances in the treatment of medial and lateral epicondylitis.
AB - Despite advances elucidating the causes of lateral and medial epicondylitis, the
standard of care remains conservative management with NSAIDs, physical therapy,
bracing, and rest. Scar tissue formation provoked by conservative management
creates a tendon lacking the biomechanical properties and mechanical strength of
normal tendon. The following review analyzes novel therapies to regenerate tendon
and regain function in patients with epicondylitis. These treatments include PRP
injection, BMAC, collagen-producing cell injection, and stem cell treatments.
While these treatments are in early stages of investigation, they may warrant
further consideration based on prospects of pain alleviation, function
enhancement, and improved healing.
PMID- 29657451
TI - Total hip arthroplasty in Hurler syndrome - 8 years follow up - A case report
with review of literature.
AB - Life expectancy in Hurler syndrome is significantly improved by enzyme therapy
with bone marrow transplantation. However, the deterioration of skeletal
abnormalities persists. Hip dysplasia is a common presentation which may progress
to significant hip arthritis requiring total hip arthroplasty at later stage. We
report a long-term outcome of cementless total hip arthroplasty in a patient with
Hurler syndrome who was successfully treated with bone marrow transplant.
PMID- 29657452
TI - Impact of various foot arches on dynamic balance and speed performance in
collegiate short distance runners: A cross-sectional comparative study.
AB - Objective: To compare the impact of foot arches on dynamic balance and speed
performance. Materials and methods: 30 collegiate male short distance runners
were divided into three groups based on foot arches, high, neutral and low.
Balance and speed performance were estimated based on scores of star excursion
balance test (SEBT), 40 yard dash test and vertical jump test (VJT). Results:
Significant improved scores (p < 0.001) were noted in SEBT, 40 yard dash test and
VJT. Conclusion: Short distance runners with high arch foot have improved dynamic
balance and speed when compared to low and neutral arch foot.
PMID- 29657453
TI - Periprosthetic hip fractures: A review of the economic burden based on length of
stay.
AB - Introduction: With the increasing rates of total hip replacements being performed
worldwide, there is an increasing incidence of periprosthetic fractures. As our
patients' demographics change to include older patients with multiple medical co
morbidities, there is a concurrent increase in morbidity and mortality rates.
This leads to longer hospital stays and increasing hospital costs. In the current
economic climate, the cost of treating periprosthetic fractures must be addressed
and appropriate resource and funding allocation for future provision of services
should be planned. Materials and methods: All periprosthetic hip fractures that
were admitted to a single trauma unit over a three-year period were reviewed.
Independent chart review, haematological and radiological review was undertaken.
All patients with a periprosthetic fracture associated with a total hip
arthroplasty or hemiarthroplasty were included. Follow up data including
complications were collated. Data from the hospital inpatient database and
finance department was utilized for cost analysis. All statistical analysis was
preformed using Minitab version 17. Results: 48 patients were identified who met
the inclusion criteria for review. The majority of participants were female with
a mean age of 73.5 years. The mean time to fracture was 4.5 years (9 months-18.5
years). Periprosthetic fracture was associated with total hip arthroplasty in 24
cases and a Vancouver B2 classification was most common at n = 20. The majority
of patients had revision arthroplasty, with a mean length of stay of 24 days for
the whole cohort (9-42). Vancouver B3 fractures had the longest inpatient stay at
a mean of 26 days. The mean cost of for a full revision of stem with additional
plate and cable fixation was over ?27000 compared to ?14,600 for ORIF and cable
fixation based on length of hospital stay. Conclusion: The prolonged length of
stay associated with Vancouver B2 and B3 fractures leads to increased costs to
the healthcare service. Accurately calculating the costs of total treatment for
periprosthetic fractures is difficult due to a lack of transparency around
implant and staffing costs. However, as we can expect increasing incidence of
periprosthetic fractures presenting in the coming years it is paramount that we
make financial provisions within healthcare budgets to ensure we can treat these
patients appropriately.
PMID- 29657454
TI - Association between reduced trunk flexibility in children and lumbar stress
fractures.
AB - Background: We noticed that most of active sports children with low back pain
(LBP) have muscle tightness around the pelvis and reduced trunk flexibility.
Abnormalities in short-time inversion recovery (STIR) images on magnetic
resonance imaging (MRI) can show stress fracture. Therefore, we investigated the
associations among LBP, trunk flexibility, and lumbar stress fractures. Methods:
A total of 130 patients under the age of 18 years complained of LBP were
investigated in STIR MRI images. Among these 130 patients, 65 cases of lumbar
stress fracture were diagnosed and 65 cases were not diagnosed as a lumbar stress
fracture. We compared between a group suspected of stress fracture (suspected
group) and a group of stress fracture(stress fracture group)about their trunk
flexibility. These groups were investigated about their initial trunk flexibility
about below items; Finger floor distance (FFD), Heel to buttock distance (HBD),
straight leg raising (SLR). Results: Significant differences were observed
between suspected group and stress fracture group about every items; SLR (P <
0.001), FFD (P < 0.01), HBD (P < 0.002). Most cases of stress fracture group had
reduced trunk flexibility, and low flexibility in pelvic area muscles was
observed in 93.8% (61/65) of cases at the initial examination. Otherwise, that of
suspected group was 73.8%(48/65). Conclusions: Most patients of lumbar stress
fracture had reduced trunk flexibility, and their reduced trunk flexibility might
not be caused by LBP. In the early diagnosis of lumbar stress fractures using
STIR MRI images, there were indicated that reduced trunk flexibility was one of
helpful item for lumbar stress fracture.
PMID- 29657455
TI - Dynamic leg length asymmetry during gait is not a valid method for estimating
mild anatomic leg length discrepancy.
AB - The purpose of this study was to test the validity of dynamic leg length
discrepancy (DLLD) during gait as a radiation-free screening method for measuring
anatomic leg length discrepancy (ALLD). Thirty-three subjects with mild leg
length discrepancy walked along a walkway and the dynamic leg length discrepancy
(DLLD) was calculated using a motion analysis system. Pearson correlation and
paired Student t-tests were applied to calculate the correlation and compare the
differences between DLLD and ALLD (alpha = 0.05). The results of our study showed
DLLD is not a valid method to predict ALLD in subjects with mild limb
discrepancy.
PMID- 29657456
TI - Restoring femoral offset is the most important technical factor in preventing
total hip arthroplasty dislocation.
AB - Purpose: Our aim was to determine if acetabular component position, femoral
offset restoration, or leg-length equality is most important for total hip
arthroplasty (THA) stability. Methods: A matched case (n = 67)-control (n = 247)
design and conditional logistic regression model were used to examine risk
factors for dislocation in primary THA. Results: When femoral offset was at least
3 mm greater than that of the contralateral hip, risk of dislocation was lower (p
= 0.0192). Neither leg-length difference nor acetabular component abduction or
version angle was associated with dislocation. Conclusions: Our data suggest
restoring femoral offset is the most important technical factor in preventing THA
dislocation.
PMID- 29657457
TI - Effect of stem alignment on long-term outcomes of total hip arthroplasty with
cementless Bi-Metric femoral components.
AB - We investigated the effects of varus-valgus alignment on the long-term outcomes
after cementless total hip arthroplasty (THA) using a porous coated version of Bi
Metric(r) femoral stems. The Kaplan-Meier survival analysis was performed in 71
hips. The survival rate with femoral revision for aseptic loosening as the end
point was 100% at 17 years. Nevertheless, the initial stem alignment was more
valgus in patients with the Engh grade III-IV stress shielding than patients with
the Engh grade I-II. Our results suggest that valgus misalignment of cementless
Bi-Metric stem might be a potential risk factor for the progression of stress
shielding.
PMID- 29657458
TI - Bizarre parosteal osteochondromatous proliferation: 16 Cases with a focus on
histologic variability.
AB - Bizarre parosteal osteochondromatous proliferation (BPOP) is a benign bone and
cartilage forming tumor occurring on the surface of bones, predominantly on the
hands and feet. A defining feature of BPOP is the purplish-blue mineralization of
cartilaginous tissue, known as 'blue bone.' Here, we report on an institutional
series of 16 cases of BPOP, including radiographic, histologic, and
histomorphometric features. All tumors were composed of some element of bone,
cartilage, fibrous tissue and 'blue bone,' though the amount of each tissue sub
type varied widely. Some cases showed focal 'blue bone' only, however this was a
defining feature in all cases.
PMID- 29657459
TI - Stress radiographs for evaluating acromioclavicular joint separations in an
active-duty patient population: What have we learned?
AB - Introduction: Acromioclavicular (AC) joint separation is a common entity in
athletic patient populations. The surgical treatment of these injuries varies
based upon extent of injury, with numerous imaging modalities recommended to
differentiate injury severity and treatment options. The use of weighted stress
radiographs is controversial in the diagnostic evaluation of AC separation with
previous consensus recommending against their use. No study to date has
investigated the clinical utilization of diagnostic studies in the evaluation of
AC joint separations in a military surgeon population. Methods: Thirty-eight
shoulder or sports medicine sub-specialty certified orthopaedic surgeons on
active service in the Army, Air Force, and Navy were surveyed on their evaluation
and treatment protocols for AC joint injuries. Specifically analyzed were imaging
choice including the use of weighted stress radiographs as well as treatment
recommendations based upon Rockwood grade. Responses were recorded in addition to
surgeon descriptive data. Responses were analyzed with descriptive statistics.
Results: Thirty-seven of the identified thirty eight surgeons responded to the
survey, for a 97% response rate. Of the group, 70% of surgeons were within 10
years of completing fellowship with an estimated average of 15 AC joint
separations treated annually. Plain radiographic examination was relied upon by
48% of surgeons for treatment of AC joint separation with 13% using weighted
stress radiographs. Overall, 10% of surgeon stated that their treatment plan
would vary based upon results from a weighted stress view. 51% of surgeons
included magnetic resonance imaging in their diagnostic approach of these
injuries. Treatment recommendation varied according to injury severity with 78%
preferring nonoperative treatment for acute Grade III injuries with 86% waiting a
minimum of 3 months before proceeding with operative treatment. For Grade V
injuries, 81% of surgeon preferred operative treatment, with 59% incorporating a
soft-tissue graft in their repair or reconstructive procedure. Discussion: This
study identified substantial practice variation amongst military surgeons
treating a relatively homogenous population with AC joint separations, reflective
of a lack of definitive evidence to guide diagnosis and treatment. Overall,
nonoperative management is the preferred initial approach for Type III injuries
and operative treatment is the preferred initial approach for Type V injuries.
The diagnostic evaluation varied across the surgeon cohort, but 87% elected
against the use of weighted stress radiographs for the evaluation of AC joint
separations, with only 10% relying upon them to dictate their recommended
treatment. Future research identifying optimal diagnosis and treatment of AC
joint separations is needed.
PMID- 29657460
TI - A quality improvement project decreases incidence of pulmonary embolism following
arthroplasty.
AB - Objective: To develop a quality improvement initiative to reduce the incidence of
pulmonary embolism (PE) following elective lower extremity joint replacement
surgery. Methods: 866 Patients undergoing a total knee or total or partial hip
replacement surgery at a from 2014 to 2016 were included in this prospective pre
post interventional study. Results: There were 13 PE's before the intervention
and 2 after the intervention. The incidence of PE was significantly higher prior
to the intervention (2.8% vs. 0.7%; p = 0.044). Conclusions: Our results suggest
that our bundle of interventions was successfully implemented and helped to
reduce the incidence of pulmonary embolism following surgery.
PMID- 29657461
TI - Growth modulation for coronal deformity correction by using Eight Plates
Systematic review.
AB - Introduction: Coronal deformities are commonly encountered in paediatric
orthopaedics and surgical treatment is indicated for severe deformities causing
pain, function and cosmetic problems. In a growing bone, major surgical
intervention like osteotomy with internal or external fixation can be avoided by
growth modulation (hemiepiphysiodesis) using 8-plates. Our aim is to review the
published literature on the use of 8-plates for deformity correction. Methods: We
conducted a systematic review on 8-plate growth modulation for coronal deformity
correction. We carried out detailed literature search on PubMed, Google Scholar,
EMBASE, and Cochrane databases. We analysed selected studies for patient
demographics, rate of deformity correction, clinical outcome and complications.
Results: We identified seven studies using 8-plate for deformity correction
involving 215 patients (350 Limbs). The mean age was 9.5 years (2-16 years M/F
Ratio 1.1:1); underlying aetiology was Idiopathic in 33% and Pathological 67%
cases. The deformities were successfully corrected in 196/215 patients (91.2%)
and partial/no correction in 19/215 patients (8.8%). The mean time to correction
was 15.3 Months (10.3-25) and follows up of 18.9 months (12.4-24). The deformity
corrected at 1.28 degrees /month (0.93-1.53), lateral distal femoral angle
changed at 0.87 degrees /month (0.65-1.0) and medial proximal tibial angle
changed at 0.72 (0.5-1). Complications were reported in 12/215 patients (5.6%)
including hardware failure in 5, overcorrection/leg length difference in 5,
infection 1 and stiffness 1. The rebound was reported in 8 patients (3.7%).
Conclusion: Growth modulation with 8-plates has high efficacy and low
complications for deformity correction; and has been used widely across all
paediatric age groups and aetiology. The literature is mostly retrospective and
heterogeneous to develop age and aetiology specific recommendations.
PMID- 29657462
TI - Computed tomography findings of subchondral insufficiency fractures of the
femoral head.
AB - Objectives: The objective of this study was to describe the appearance of
Subchondral insufficiency fracture (SIF) by computed tomography (CT). Methods:
Images of 52 consecutive patients diagnosed with SIF were retrospectively
reviewed. CT was available for five patients (7 cases). Results: Corresponding to
a low-intensity band on MR images, a radiolucent or sclerotic band was observed
on CT images. Conclusion: The present study is the first to report CT findings of
SIF. A radiolucent or sclerotic band was observed on CT images. The results of
the present study provide useful information for diagnosis of SIF.
PMID- 29657463
TI - Survey of shoulder arthroplasty surgeons' methods for infection avoidance of
Propionibacterium.
AB - Introduction: Propionibacterium acnes infection after shoulder arthroplasty
remains a source of morbidity. Determining practices amongst shoulder surgeons is
the first step in developing infection-prevention best-practices. Methods: A
survey was sent to a shoulder fellowship alumni group to determine their
arthroplasty infection prevention methods. Results: 74% completed the survey.
Cefazolin (90%), vancomycin (50%) and clindamycin (18%) were the most commonly
used antibiotics, 61% utilized more than one antibiotic. Most (76%) reported
using an experience-based protocol learned during residency/fellowship.
Discussion and conclusion: There are no clear standards for prevention of
Propionibacterium acnes infections in shoulder arthroplasty. There is a general
non-scientific approach to the prevention of shoulder arthroplasty infection.
PMID- 29657464
TI - Gait analysis methodology for the measurement of biomechanical parameters in
total knee arthroplasties. A literature review.
AB - Gait analysis using external skin markers provides scope for the study of
kinematic and kinetic parameters shown on different total knee arthroplasties
(TKA). Thus an appropriate methodology is of great importance for the collection
and correlation of valid data. Calibration of equipment is of great importance
before measurements, to assure accuracy. Force plates should be calibrated to
1080 Hz and optoelectronic cameras should use 120 Hz frequency, because of the
nature of gait activity. Davis model which accurately defines the position of the
markers is widely accepted and cited, for the gait analysis of TKA's. To ensure
the reproducibility of the measurement, a static trial at the anatomical position
must be captured. Following, all acquisitions of dynamic data must be checked for
consistency in walking speed, and abnormal gait style because of fatigue or
distraction. To establish the repeatability of the measurement, this procedure
must be repeated at a pre-defined number of 3-5 gait cycles. Anthropometric
measurements should be combined with three-dimensional marker data from the
static trial to provide positions of the joint's center and define anatomical
axes of total knee arthroplasty. Kinetic data should be normalized to bodyweight
(BW) and percentage of BW and height depending on the study. External moments
should also be calculated by using inverse dynamics and amplitude-normalized to
body mass (Nm/kg). Gait analysis using external skin markers provides scope for
the study of biomechanical parameters shown on different TKAs. Thus a standard
gait analysis methodology when measuring TKA biomechanical parameters is
necessary for the collection and correlation of accurate, adequate, valid and
reproducible data. Further research should be done to clarify if the development
of a specific kinematic model is appropriate for a more accurate definition of
total knee implant joint center in measurements concerning 3D gait analysis.
PMID- 29657465
TI - Do demographic and perioperative parameters really affect the final outcomes of
pediatric femur shaft fractures managed by elastic nails? A prospective study.
AB - Objective: Pediatric femoral shaft fractures are more commonly treated with
intramedullary titanium elastic nail system (TENS). Adhering to the principles,
most studies had supported excellent results with this instrumentation and
attributed the variation in age, weight, immobilization protocols, technical
factors like fracture pattern, reduction and complications as reasons to poor
outcomes in their individual studies. Hence, we wanted to identify the potential
demographic and perioperative parameters that could affect the final outcomes in
this cohort. Methods: A prospective (level III) study done in a single center
between November 2013 and January 2017 on isolated closed femoral shaft fractures
in patients of age between 6 and 15 years managed with TENS. The demographic and
perioperative parameters were recorded. The patients were followed up regularly
with plain radiographs. The final outcomes were computed at end of one year and
recorded as poor, satisfactory and excellent as defined by Flynn criteria.
Results: Among the thirty patients included, mean age was 8.2 years. 80% of the
patients weighing over 40 kg had satisfactory to poor outcomes. (p = 0.005). 45%
of patients with proximal and distal level fractures that were long oblique
spiral or comminuted types had satisfactory outcomes; however it was not
statistically significant. The mean delay to surgical fixation was 5.87 days,
surgical time was between 45 and 150 min and open reduction was required in about
17 cases (57%). Patients with immobilization beyond 6 weeks had satisfactory
outcomes (p = 0.001). We had 5 patients with minor complications (4 bursitis and
3 superficial infections) and one major complication (chronic osteomyelitis and
deep venous thrombosis) leading to satisfactory and poor outcomes respectively.
Significant osseous union was noted between 6 and 11 months with 97% of patients
attaining union within 9 months. Conclusions: Intramedullary TENS is an excellent
modality to treat femoral shaft fractures in patients of school going age.
However, factors like weight of the patient >40 kg, immobilization beyond 6
weeks, minor and major complications in the perioperative period could pose risks
for poor to satisfactory outcomes and should be anticipated and explained
accordingly.
PMID- 29657466
TI - A long-term comparative study between two different designs of cemented stems:
Distal-cylindrical versus distal-taper.
AB - Introduction: The aim of this study was to calculate the wear rate of highly
cross-linked polyethylene (HXLPE) and investigate long-term clinical and
radiographic outcomes related to two femoral stem designs, the distal-cylindrical
(DC) and distal-taper (DT) stems. Materials and methods: Outcomes for the DC and
DT stems were evaluated in 110 patients, who underwent total hip arthroplasty
using an HXLPE socket, over a 5-year follow-up period. There were 56 hips (53
patients) in the DC group and 60 hips (57 patients) in the DT group. Clinical
outcomes were measured using the Japanese Orthopaedic Association (JOA) score and
radiographic changes. Polyethylene wear rate was calculated using a computer
software. Results: The mean follow-up period was 135.7 and 124.0 months for the
DC and DT groups, respectively. Both stem designs improved hip function. On
radiographic assessment, osteolysis around the tip of the stem was more frequent
in the DC than in the DT group. Three cases of aseptic loosening of the stem were
identified in the DC group, and no cases were identified in the DT group. The 10
year stem survival, using aseptic loosening as the primary endpoint, was 94.1%
and 100% for the DC and DT groups, respectively (p = 0.06). The polyethylene wear
rate was comparable for both stem groups. Conclusion: Better clinical outcomes
were obtained with the DT stem than with the DC stem regardless of the equivalent
polyethylene wear rate for the two designs. The DC shape of the stem may increase
the risk of aseptic loosening.
PMID- 29657467
TI - Painless period after Spitzy shelf operation for residual hip dysplasia-A long
term study of 47 children and young adults.
AB - Objective: To study the effects of the Spitzy shelf operation on hip pain.
Method: A modified Spitzy shelf procedure was performed in 60 hips with residual
hip dysplasia. The mean age at surgery was 11.7 years (range 5.5-22.4 years).
Results: Twenty-one hips had pain preoperatively. One year postoperatively 57
hips (95%) were painless. The mean postoperative painless period in patients with
>10 years follow-up was 24.1 years (range 5.0-51.5 years). The only independent
predictor of long duration of painlessness was preoperative CE angle >=10 degrees
. Conclusion: The Spitzy procedure had favorable short- and long-term effects on
hip pain.
PMID- 29657468
TI - Changes in serum chromium levels over 12 years after Metasul metal-on-metal total
hip arthroplasty.
AB - We reported the longitudinal changes in serum chromium levels over a minimum of 7
years postoperatively in five men and 25 women with a mean age of 60.3 years
after primary unilateral total hip arthroplasty with a Metasul metal-on-metal
articulation. The serum chromium ion level showed little variation (0.6-1.2
MUg/L) from 2 to 12 years postoperatively in 16/30 patients after unilateral
primary Metasul metal-on-metal total hip arthroplasty. On the other hand, the
serum chromium level stayed high or showed gradual elevation in 8/30 patients,
even though they had well-fixed and well-functioning prostheses.
PMID- 29657469
TI - Anatomical differences in the bony structure of L5 and L4: A possible
classification according to the lateral tilt of the pedicles.
AB - The aim of this study is to underline the necessity of a better knowledge of
pedicles anatomy in order to improve surgical treatment of spine disorders such
us low back pain, spinal fractures and scholiosis. A classification of pedicles
lateral tilt which could help surgeons before the application of screws during
transpedicular fixation is reported. Anatomical differences in the orientiation
of the pedicles of L5 and L4 have been found. For each patient that met the
inclusion criteria underwent: Radiography of the lumbo-sacral region, CT
examination, MRI acquisition. Patients were divided into three categories thanks
to 3D direct volume rendering of CT scan. Subjects belonged to W-Type, V-Type and
U-type depending on their morphometric features. The subdivision was further
implemented with measurements of the distance between pedicles and adjacent
nervous structures. Concerning L5, W-Type (WT) exhibited a lateral tilt of L5
larger than 36 degrees , V-Type exhibited a lateral tilt of L5 from 30 degrees
to 36 degrees , U-type exhibited a lateral tilt of L5 smaller than 30 degrees .
Concerning L4, WT exhibited a lateral tilt of 28.4 degrees , VT exhibited a
lateral tilt of of 25.1, UT exhibited a lateral tilt of 22.2 degrees ; we assume
that the degree of lateralization of L4 depends on the one of L5. The way the
screw is applied during surgical treatment is clinically relevant, thus our
classification may be very useful in order to decrease surgical risk and improve
conditions of patients after surgical treatment.
PMID- 29657470
TI - Influence of timing of surgery on Cauda equina syndrome: Outcomes at a national
spinal centre.
AB - Purpose: There is no doubt that the best outcome achieved in Cauda equina
syndrome (CES) involves surgical decompression. The controversy regarding outcome
lies with timing of surgery. This study reports outcomes on a large population
based series. Timing of surgery, Cauda Equina syndrome classification based on
British Association of Spine Surgeons (BASS) guidelines and co-morbid illness
will be assessed to evaluate influence on outcome. Materials and methods: A
retrospective review of all patients surgically decompressed for CES between
01/01/2008 to 01/08/2014 was conducted. Patients with ongoing symptoms were
followed up for a minimum of 2 years. Cauda Equina Syndrome (CES) was classified
according to the BASS criteria: CES suspicious (CESS), incomplete (CESI) and
painless urinary retention (CESR). Time and symptom resolution were assessed.
Results: A total of 136 patients were treated for CES; 69 CESR, 22 CESI and 45
CESS. There was no statistical difference in age, sex, smoking status and alcohol
status with regards to timing of surgery. No correlation between increasing co
morbidity score and poor outcome was demonstrated in any subgroupAll CESR/I
patients demonstrated some improvement in bowel and bladder dysfunction post
operatively. No significant difference in improved autonomic dysfunction was
demonstrated in relation to timing of surgery. CES subclassification may predict
outcome of non-autonomic symptoms. Statistically better outcomes were found in
CESS groups with regards to post-operative lower back pain (P 0.049) and saddle
paraesthesia (P 0.02). Conclusion: Surgical Decompression for CES is an effective
treatment that significantly improves patient symptoms including bowel and
bladder dysfunction Early surgical decompression <24 h from symptom onset does
not appear to significantly improve resolution of bowel or bladder dysfunction.
PMID- 29657471
TI - Arthroscopic knotless rotator cuff repair: Factors associated with construct
selection and recent trends from a manual review of 1617 cases.
AB - Purpose: Our aim was to identify predictors of construct selection and recent
trends for arthroscopic knotless rotator cuff repair (RCR). Methods: A manual
review of 1617 operative reports was performed. Results: A medium-sized tear had
a threefold increase in odds of single row (SR) knotless repair (OR, 6.91; p =
0.009) versus SR knotted (OR, 3.05; p = 0.003). Generalist orthopaedic surgeons
were 79% less likely to perform SR knotless repairs versus sports medicine
trained specialists (p < 0.001). Conclusion: There was a significant increase
from 2009 to 2016 in SR knotless and double row medial row knotless constructs
contrasting the declining use of the SR knotted technique.
PMID- 29657472
TI - Early determinants of long-term clinical outcome after cartilage repair surgery
in the knee.
AB - Purpose: To identify early determinants of clinical outcome after knee cartilage
repair. Methods: 205 patients were evaluated before surgery and at median 14
years follow-up. Results: Baseline factors predicting a good outcome were: single
lesion; normal appearing cartilage surrounding the lesion; high baseline Lysholm
score; short duration of symptoms; non-involvement of the patella-femoral joint;
young age; and small defect. Factors predicting a poor outcome were: multiple
lesions; low baseline Lysholm score; degenerative cartilage surrounding the
lesion; long symptom duration; meniscal lesion; and large defect. Conclusions:
The choice of surgical method seem to be less important than other patients
specific predictors. Level of evidence: Case series, Level IV.
PMID- 29657473
TI - Risk factors for complications after primary repair of Achilles tendon ruptures.
AB - Purpose: To identify patient characteristics associated with adverse events in
Achilles tendon rupture (ATR) surgical repair cases. Methods: A high risk (HR)
cohort group of ATR patients were compared to healthy controls in the ACSNSQIP
database with multivariate regression analysis. Results: Overall, 2% (n = 23) of
the group sustained an AE postoperatively, most commonly superficial SSI (0.9%, n
= 10). Multivariate analysis did not reveal any patient characteristics to be
significantly associated with the occurrence of an AE or superficial SSI.
Conclusions: Obesity, diabetes and a history of smoking did not predispose
patients to significantly more AEs in the 30 day postoperative period following
ATR repair in this study.
PMID- 29657474
TI - Analysis of a generic talar prosthetic with a biological talus: A cadaver study.
AB - Treatment for talar avascular necrosis is challenging. This study evaluates the
feasibility of a generic talar implant by cadaveric assessment. Ten cadaveric
ankles were CT-scanned to determine talar implant size. The opposite ankles were
CT-scanned with the biological talus and then with the implant. 3D ankle geometry
was reconstructed and implant position was compared to the biological talus
position. The averages among specimens' positive and negative average-deviations
were 0.91 mm and 0.70 mm. Seventy percent of talar dome deviations between the
biological talus and implant were within an acceptable range. This study yields
promising results to support a generic talus bone prosthetic.
PMID- 29657476
TI - Platelet rich plasma injections for lateral epicondylitis of the elbow reduce the
need for surgical intervention.
AB - Objective: We aimed to assess the effectiveness of PRP injections in lateral
epicondylitis of the elbow as it was felt after PRP introduction the numbers of
patients requiring surgery for had reduced. Methods: We conducted a retrospective
review of cases from the 1st January 2008 to 31st December 2015. The numbers of
patients undergoing surgical release and the numbers of patients requiring PRP
injections were recorded each year and the numbers of patients requiring surgery
was compared pre and post PRP injection to ascertain if PRP introduction reduced
surgical intervention. Results: Prior to PRP, a yearly mean of 12.75 patients
underwent surgery, since PRP this reduced to 4.25 patients, P < 0.001. This leads
to an absolute risk reduction of 0.773 and number needed to treat of 1.3. PRP
injection successfully reduced symptoms in 56/64 (87.5%) patients in our study.
Conclusion: We consider PRP injection, for intractable lateral epicondylitis of
the elbow, not only a safe but also very effective tool in reducing symptoms and
have shown it has reduced the need for surgical intervention in this difficult
cohort of patients.
PMID- 29657475
TI - Incidence of tendon rupture following volar plate fixation of distal radius
fractures: A survey of 2787 cases.
AB - This study assessed the comprehensive incidence of tendon rupture following volar
locking plate (VLP) surgery for a large number of patients with distal radius
fractures (DRFs) at multiple facilities in one prefecture, Japan. During the 4
year period, 2787 patients with DRFs underwent fixation using VLP. The overall
incidence rates of rupture of the FPL, the extensor pollicis longus, the flexor
digitorum profundus of the index finger, and the extensor digitorum communis were
0.35% (10 patients), 0.29% (8 patients), 0.04% (1 patient), and 0.04% (1
patient), respectively.
PMID- 29657477
TI - Evaluation of the Multi-Attribute Prioritisation Tool for Total Joint
Replacement.
AB - Rationale aims and objectives: The demand for arthroplasty is increasing and will
continue to rise with an ageing population. Obesity and lengthy waiting time for
Total Joint Replacement (TJR) have been associated with poorer outcomes
postoperatively. This study aimed to evaluate the Multi-Attribute Prioritisation
Tool (MAPT) for TJR patients. The primary objective was to explore if patients
prioritised by the MAPT had an improvement in score post-operative. Further to
identify any relationship between MAPT score and length of time on the waiting
list or obesity. Method: This retrospective cohort study included 308 patients
undergoing total hip (n = 114) or total knee (n = 194) arthroplasty. We examined
preoperative and postoperative MAPT scores of patients who had total hip or total
knee arthroplasty. After assessing the difference between postoperative and
preoperative MAPT scores, patients scores were compared to BMI and waiting time
classes. BMI was allocated to less than 30, 30-35, 35-40 and greater than 40.
Duration of time on the waiting list was allocated to less than 6 months and
greater than 6 months. Results: THA and TKA patients MAPT scores improved from a
preoperative score of 71.39-5.26 postoperative and 54.11 to 7.13 respectively.
Patients whose MAPT scores placed them in the high priority category had a
significant relationship with length of time on the waiting list (p < 0.01).
There were no significant differences between length of time on the waiting list
and improvement scores for low priority and middle priority patients. BMI had
minimal effect on patients improvement score postoperative. Conclusion: TJR
patients prioritised by the MAPT questionnaire do experience pain relief as
portrayed by a reduction in postoperative MAPT score. A longer length of time on
the waiting list seems to effect the improvement a high priority patient can have
postoperative.
PMID- 29657478
TI - Cryopreserved bone allograft for the treatment of shoulder instability with
glenoid defect.
AB - The purpose of this study was to examine outcomes after cryopreserved tri
cortical iliac crest allograft reconstruction for glenoid bone loss in patients
with shoulder instability. 10 patients completed the required assessments at a
mean follow up of 4.5 years. At final follow up, mean ASES was 92 +/- 12, mean
WOSI was 315 +/- 319, with good range of motion. None of the final radiographs
demonstrated graft resorption or failure of hardware. The data demonstrated that
patients who were treated with glenoid bone grafting with cryopreserved tri
cortical iliac crest allograft can expect good range of motion and functional
capacity.
PMID- 29657479
TI - Minimally invasive (MIS) Tonnis osteotomy- A technical annotation and review of
short term results.
AB - Aims: We detail a modified single incision approach to perform the Tonnis triple
pelvic osteotomy by a minimally invasive approach. Patients and Methods: 12
children underwent minimally invasive Tonnis Osteotomy. There were five boys and
seven girls in this study group. Average age was 11 years (9-15 years) at the
time of surgery. Mean follow-up was 20.5 months (13-39 months). Results: The
average preoperative Antero-Posterior (AP) Centre Edge (CE) angle was -8.8
degrees (-38.6 degrees -18 degrees ), the average post-operative AP CE angle was
29.7 degrees (25.1 degrees -43.7 degrees ). The average preoperative lateral CE
angle was -4.7 degrees (-16 degrees -0 degrees ), the average postoperative
Lateral CE angle was 28.5 degrees (21.3 degrees -37.4 degrees ). The Sharp's
angle before and after surgery were 55.7 degrees (51.3 degrees -66 degrees ) and
32.4 degrees (16.1 degrees -40.1 degrees ) respectively. The mean Tonnis angle
before and after the osteotomy were 28.86 degrees (19.7 degrees -43.4 degrees )
and 6.3 degrees (0.5 degrees -9.4 degrees ) respectively. There was one major
complication with sciatic nerve palsy which is in the recovery phase on followup
and six minor complications including two cases of transient lateral femoral
cutaneous nerve injury, two cases of ischial non-union, over granulation of the
wound in one case, and metalwork irritation in one case. Conclusion: We have
described a minimally invasive Tonnis osteotomy as a viable option based on our
results. This technique is recommended for those who are conversant with the
traditional pelvicosteotomies.
PMID- 29657480
TI - A novel method for reducing gap formation in tendon repair.
AB - Background: This study investigates gap formation in tendon repair using a novel
tensioning method. Hypothesis: The novel stitch will demonstrate less gap
formation than the other suture configurations tested. Methods: Porcine tendons
stitched with classic Krackow stitch configurations were compared to a Krackow
stitch modified with a proximal Tension-Assist Loop. Each group was cyclically
loaded followed by analysis of the tendon-suture construct for gap formation.
Results: The Tension-Assist Loop group produced significantly less gap formation
than each of the other stitch groups. Conclusion: Decreasing early gap formation
may be beneficial in allowing early rehabilitation and range of motion.
PMID- 29657481
TI - Getting back in the game after humeral head resurfacing.
AB - Background: Aim of this investigation was to analyze whether patients undergoing
humeral head resurfacing (HHR) surgery are able to successfully return to their
sports and occupation afterwards. Materials and methods: Fifty patients treated
with CUP (HHR) arthroplasty were included. Two groups were built: Patients who
have participated in sports less than 5 years prior surgery (Group 1: n = 42
(84%)) and patients who have never participated in sports (Group 2: n = 8 (16%)).
Evaluation was based on a questionnaire asking for types of sports, frequency,
time to return to sports and work as well as limitations in work life. Results:
Mean age at the time of surgery was 58.6 (36-84) years in Group 1 and 65 (56-75)
years in Group 2. Mean time follow-up was 5.5 years (2.5-12) years. Twenty-seven
(64%) patients in Group 1 participated in sports right before surgery. Twenty-one
patients (50%) returned to sports after surgery. The returning rate was 78%.
Seven (17%) patients in Group 1 stated that the reason they underwent shoulder
replacement surgery was to continue to participate in sports. Swimming and skiing
were two of the most favorable sports. Two (4%) patients had to change their
profession due to surgery. Most of the patients were retired at follow-up.
Conclusion: Most of the active patients undergoing HHR surgery are successfully
able to return to their sports activities after surgery. Patients employed were
able to return to their occupation after surgery. Many patients were already
retired at the time of follow up.
PMID- 29657483
TI - Imaging the Anterior Cruciate Ligament-Points to Ponder.
PMID- 29657482
TI - Functional and radiological outcome in management of compound tibia diaphyseal
fracture with AO monolateral fixator versus Limb reconstruction system.
AB - Introduction: Tibia being the most common fractured long bone represents 36.7% of
all long bone fractures in adults with open fracture comprises 23.5% of all
tibial shaft fracture. The lack of the muscular covering over anteromedial aspect
of the tibia and poor blood supply predispose open tibial fractures to a 10-20
fold increased risk of developing infection than open fracture in any other
anatomical areas and a nonunion rate as high as 28% has been reported in the
literature. Methods: We did a prospective study at our institute from 2014-2016
comprising 40 patients with compound tibia diaphyseal fracture managed with AO
monolateral external fixator (Group 1) (n = 20) and Limb reconstruction system
(Group 2) (n = 20) as primary and definitive tool. Final assessment for bone
results and functional results were done using ASAMI (Association of the study
and application of the method of Ilizarov) score. Results: In our study bony
outcome by ASAMI score shows 6 (30%) patients had Excellent, 5 (25%) patients had
Good and 9 (45%) had Poor bony outcome from Group I. In group II, 12 (60%)
patients had Excellent, 4 (20%) patients had Good, 2 (10%) patients had Fair, and
2 (10%) had Poor bony outcome. The functional outcome by ASAMI score shows 3
(15%) patients had Excellent, 8 (40%) patients had Good, 5 (25%) patients had
Fair, 3 (15%) had Poor bony outcome from Group I. In group II, 9 (45%) patients
had Excellent, 7 (35%) patients had Good, 2 (10%) patients had Fair, and 2 (10%)
had Poor functional outcome. Conclusion: Limb reconstruction system (LRS) offers
several advantage over AO monolateral external fixator such as ease of
application, versatility, stronger fixation, less fixator related complications,
early weight bearing and early bony union for management of compound tibia
diaphyseal fracture as primary and definitive tool.
PMID- 29657484
TI - Mining Unique-m Substrings from Genomes.
AB - Unique substrings in genomes may indicate high level of specificity which is
crucial and fundamental to many genetics studies, such as PCR, microarray
hybridization, Southern and Northern blotting, RNA interference (RNAi), and
genome (re)sequencing. However, being unique sequence in the genome alone is not
adequate to guaranty high specificity. For example, nucleotides mismatches within
a certain tolerance may impair specificity even if an interested substring occur
only once in the genome. In this study we propose the concept of unique-m
substrings of genomes for controlling specificity in genome-wide assays. A unique
m substring is defined if it only has a single perfect match on one strand of the
entire genome while all other approximate matches must have more than m
mismatches. We developed a pattern growth approach to systematically mine such
unique-m substrings from a given genome. Our algorithm does not need a pre
processing step to extract sequential information which is required by most of
other rival methods. The search for unique-m substrings from genomes is performed
as a single task of regular data mining so that the similarities among queries
are utilized to achieve tremendous speedup. The runtime of our algorithm is
linear to the sizes of input genomes and the length of unique-m substrings. In
addition, the unique-m mining algorithm has been parallelized to facilitate
genome-wide computation on a cluster or a single machine of multiple CPUs with
shared memory.
PMID- 29657485
TI - Virtopsy: An integration of forensic science and imageology.
AB - In an era where noninvasive and minimally invasive techniques are heralding
medical innovations and health science technology, necrological analysis is not
bereft of this wave. Virtopsy is virtual autopsy. It is a new-age complimentary
documentation approach to identify and analyze the details of demise. Utilizing
virtual autopsy for orofacial forensic examination is an emerging specialty which
holds a plethora of potential for future trends in forensic science. Being a
noninvasive technique, it is a rapid method which facilitates the medicolegal
process and aids in the delivery of justice. The present article is an overview
of this emerging methodology.
PMID- 29657486
TI - Current trends in forensic odontology.
AB - Forensic odontology is an evolving science and has a greater scope of
development. It has established as an indispensable science in medico-legal
matters and in the identification of the dead person. The dental tissues are
often preserved even if the deceased person is skeletonized, decomposed, burnt,
or dismembered. Various methods have been developed to determine age, sex, and
ethnicity of the person, using dental tissues. Data collection methods and
supplementary technologies used in forensic dental identification have undergone
significant transformation. This article provides an overview of the evolving
trends in conventional methods, and the recent concepts used in forensic
odontology.
PMID- 29657487
TI - Anthropometric study using craniofacial features to determine gender in Lucknow
population.
AB - Background: Gender is one of the main characteristics analyzed for positive human
identification in forensic medicine. The methods involving physical anthropology
present high rate of accuracy for human identification and gender estimation.
Aim: This study aimed to determine gender through different craniofacial
variables using physical anthropometric methods. Materials and Methods: A cross
sectional study was conducted among 100 individuals (50 males and 50 females) in
Lucknow. Variables studied through physical anthropometry in both the genders
were facial height, nasion-to-menton distance, interzygomatic arch width, and
intercanthal width using a digital sliding caliper. All the measurements were
taken twice. The final value was the average of the two obtained values. Results:
Comparing the mean craniofacial features between two genders, t-test revealed
significantly higher facial height, pronasale-to-menton distance, and
interzygomatic width in males as compared to females, but the mean intercanthal
width was found to be the same. Pearson's correlation analysis revealed a
positive correlation between facial height and pronasale-to-menton distance,
facial height and interzygomatic width, pronasale-to-menton distance and
interzygomatic width, and interzygomatic width and intercanthal width.
Conclusion: The craniofacial features may serve as diagnostic markers for gender
identification and can be used interchangeably.
PMID- 29657488
TI - Sex determination from mesiodens of Indian children by amelogenin gene.
AB - Context: The identification of sex is the first and the foremost step in forensic
science. Teeth consist of enamel which is the hardest tissue available in the
body, protector of DNA presents in pulp tissue at the time of exposure of tooth
to adverse conditions. Teeth can be stated as a sealed box of mystery as it
contains various human and bacterial DNA for molecular utilization. Aim and
Objective: The aim is to determine sex from mesiodens on the basis of gene
identification by the polymerase chain reaction (PCR). Settings and Design: Total
number of sample was 8 human-extracted mesiodens. DNA was isolated and was
subjected to PCR analysis with use of predesigned primers for amelogenin (AMEL) X
and AMEL Y genes. Results: Isolation of genomic and mitochondrial DNA from
mesiodens was successful in six samples (75%). In samples, quantity of DNA
present was also calculated. Conclusion: Mesiodens are a good source of DNA and
are a very useful tool in identification of sex using PCR analysis which was
simple and effective. Hence, the procedure presented in the present study can be
applied for extraction of DNA and identification of sex for forensic purpose.
PMID- 29657489
TI - A radiographic survey of agenesis of the third molar: A panoramic study.
AB - Purpose: It is a well-known fact that nature tries to eliminate what is not in
use. Because of this, the number of certain teeth which are no longer necessary
for function are either getting increasingly impacted or are not developing at
all. This is especially the case where third molars are concerned. Furthermore,
the presence or absence of the third molar is significant to all branches of
dentistry and in particular, forensic dentistry. Objectives: The objectives of
this study is to assess (1) The prevalence of third molar agenesis in population
of age group 18-25 years. (2) The genderwise difference of third molar agenesis.
(3) The difference between maxilla and mandible. Materials and Methods: Dental
patients, who are advised or referred for orthopantomograph, visited to the
Department of Oral Medicine and Radiology were included in the study. The study
population comprised 300 patients. Statistical Analysis: The data obtained was
tabulated and subjected to statistical analysis. SPSS version 17 software was
used for the analysis of the data. The Chi-square test was used for the same.
Results: The incidence of agenesis of the third molar is significantly higher for
tooth number 18 (P < 0.001). Overall, it is significantly higher among females
compared to the males (P < 0.001) in our study population. Conclusion: (1) The
present study reports 46.7% agenesis of the third molar. (2) The frequency of
third molar agenesis was found significantly greater in the females. (3) Third
molar agenesis showed a greater predilection in maxilla compared to mandible.
PMID- 29657490
TI - Mandibular canine index: A study for gender determination in Gandhinagar
population.
AB - Introduction: One of the important pieces of information gathered from tooth
analysis is the sex of an individual. In most human living populations,
mandibular canines show the greatest dimorphism and greatest dimensional
differences between males and females. In view of these facts, the aim of this
study was to establish the standard mandibular canine index (MCI) and estimate
the sexual dimorphism in the population of Gandhinagar district of Gujarat state.
Materials and Methods: The study consisted of 400 subjects, 200 males and 200
females in the age group of 20-40 years. The mesiodistal (MD) width of the right
and left canine and the intercanine distance were measured. These values were
used to derive the MCI and establish the amount of sexual dimorphism exhibited by
the mandibular canine. Results: The MD crown width of the permanent mandibular
right and left canines as well as mandibular intercanine distance of the males
was found to be larger in size than in the females. The right mandibular canine
exhibited 8.42% of sexual dimorphism while the left mandibular canine exhibited
8.40% of sexual dimorphism. The intercanine distance showed 2.75% of sexual
dimorphism. The value of standard MCI derived using the formula devised by Rao et
al. was 0.254 mm for the population residing in the Gandhinagar district.
Conclusion: The present study supports the usefulness of the MCI in gender
determination. The method of using mandibular canine indices is advantageous as
it is easy, rapid, and cost-effective, requires no elaborate apparatus, and is
suited for situations where large a number of samples have to be analyzed.
PMID- 29657491
TI - Age estimation using exfoliative cytology and radiovisiography: A comparative
study.
AB - Introduction: Age estimation is one of the essential factors in establishing the
identity of an individual. Among various methods, exfoliative cytology (EC) is a
unique, noninvasive technique, involving simple, and pain-free collection of
intact cells from the oral cavity for microscopic examination. Objective: The
study was undertaken with an aim to estimate the age of an individual from the
average cell size of their buccal smears calculated using image analysis
morphometric software and the pulp-tooth area ratio in mandibular canine of the
same individual using radiovisiography (RVG). Materials and Methods: Buccal
smears were collected from 100 apparently healthy individuals. After fixation in
95% alcohol, the smears were stained using Papanicolaou stain. The average cell
size was measured using image analysis software (Image-Pro Insight 8.0). The RVG
images of mandibular canines were obtained, pulp and tooth areas were traced
using AutoCAD 2010 software, and area ratio was calculated. The estimated age was
then calculated using regression analysis. Results: The paired t-test between
chronological age and estimated age by cell size and pulp-tooth area ratio was
statistically nonsignificant (P > 0.05). Conclusion: In the present study, age
estimated by pulp-tooth area ratio and EC yielded good results.
PMID- 29657492
TI - Estimation and quantification of human DNA in dental calculus: A pilot study.
AB - Context: Identification using DNA has proved its accuracy multiple times in the
field of forensic investigations. Investigators usually rely on either teeth or
bone as the DNA reservoirs. However, there are instances where the skeletal or
dental remains are not available or not preserved properly. Moreover, due to
religious beliefs, the family members of the dead do not allow the investigating
team to damage the remains for the sole purpose of identification. Aim: To
investigate the presence of human DNA in dental calculus and to quantify the
amount, if present. Materials and Methods: This prospective single-blinded pilot
study included twenty subjects selected from the patients visiting a dental
college. The samples of dental calculus were collected from the thickest portion
of calculus deposited on the lingual surfaces of mandibular incisors. These
samples were decontaminated and subjected to gel electrophoresis for DNA
extraction. Results: DNA was found in 85% cases. The amount of DNA varied from 21
to 37 MUg/ml of dental calculus. Conclusion: Dental calculus is a rich reservoir
of human DNA.
PMID- 29657493
TI - Evaluation of sexual dimorphism in arch depth and palatal depth in 500 young
adults of Marathwada region, India.
AB - Context: In exhibiting gender dimorphism, the bony pelvis and skull give the most
reliable results from morphometric analysis. Palatal dimensions were reported to
exhibit racial difference and sexual dimorphism in several studies. Aim: The aim
of the present study was to measure the maxillary arch depth and palatal depth in
Indian population to assess their use as a tool for sexual dimorphism. Materials
and Methods: Two hundred and fifty males and 250 females in the age group of 17
25 years were enrolled in the study, and impressions of maxillary arch were made.
Measurement of palatal depth and maxillary arch depth was carried out at specific
reference points using Korkhaus compass and digital caliper, respectively. The
comparison of maxillary arch depth and palatal depth values was done using
independent t-test. P < 0.05 was considered statistically significant. Diagnostic
performance of significantly different variable was quantified by plotting
"receiver operating characteristic" (ROC) curve. Results: Arch depth mean values
were significantly higher in males than females. However, palatal depth mean
values, though higher in males, were not significant. Area under the curve in ROC
curve for maxillary arch depth was found to be 0.76, indicating sufficiency of
discriminatory power of this variable. Conclusion: The present study showed that
maxillary arch depth can be used as a tool for sex determination along with other
morphometric methods. Mean value of both maxillary arch depth and palatal depth
can be used as the baseline value for given population to be used as a reference
for further studies.
PMID- 29657494
TI - Stereomicroscopic study on unsectioned extracted teeth.
AB - Introduction: Age has been considered as a reliable marker for establishing the
identity of a person in the field of forensic medicine. Teeth are useful skeletal
indicators of age at death since it can survive for decades. Nondestructive
methods ensure the evident preservation of dental hard tissues that reflect age
changes from the cradle to the grave. Therefore, an attempt was made for
estimating the age using the nondestructive method. Aims and Objectives: The aim
of this study to assess whether physiological changes of the teeth allow possible
correlation for accurate age estimation and to establish a graduation standard by
microscopic observation for a better age correlation. Materials and Methods: The
study was carried on 209 teeth samples extracted for orthodontic treatment or
periodontal diseases comprised both maxillary and mandibular teeth across
different age groups. The assessment of these changes was carried out by well
established standard methods with some proposed modifications. Results: Pearson
correlation analyses revealed root dentin translucency with the highest
correlation (r = 0.97) followed by periodontal ligament attachment (r = 0.95),
root dentin color (r = 0.95), and attrition being the least correlated (r =
0.90). All the parameters taken for the study contributed to stepwise linear
regression analysis (R = 0.98; P < 0.01) indicating a strongly positive
relationship between age and the changes observed. A regression formula was
obtained with mean error age difference +/-1.0 years. Conclusion: The present
study showed that extracted tooth is highly significant in identifying the age
without being sectioned or further processed and also signifies the use of
microscope for observation of these changes, thus reducing the errors of
calibrating the age.
PMID- 29657495
TI - The effects of temperature on extracted teeth of different age groups: A pilot
study.
AB - Context: Type of dentition and age related changes may affect the behavior of
dental hard tissues under thermal stress. Aim: This study was conducted to
analyze the effects of varying temperatures on extracted teeth of different age
groups in a simulated laboratory set up. Settings and Design: Experimental pilot
study. Methods and Material: Extracted teeth from three age groups (deciduous,
young permanent and adult permanent) were collected and were exposed to three
different temperatures (400 degrees C, 700 degrees C and 1000 degrees C) in a
laboratory set up. Post-test changes were analyzed visually and radiographically.
Results: (1) The colour changes of the teeth may serve as an indicator for the
temperature to which they were exposed. (2) Deciduous teeth tolerated thermal
stress with lesser morphological changes compared to young and adult permanent
teeth. (3) Coronal dentin of elderly permanent teeth appeared to be more
resistant to thermal stress compared to that of young permanent teeth. (4) The
root portion of the teeth showed better tolerance to temperature while crown was
fragmented easily under thermal stress. Conclusion: The age factor and type of
the dentition may influence the heat induced changes in teeth. These variables
should be taken into consideration while applying comparative dental
identification methods where dental hard tissues are exposed to extreme
temperatures.
PMID- 29657496
TI - Applicability of odontometric dimensions and indices in sexual dimorphism among
Nalgonda population.
AB - Background: Teeth morphology varies among different population groups as they are
exposed to various environmental conditions. Teeth being the most stable and hard
tissue, human identification can be made when the other tissues are unavailable.
Odontometric analysis can be considered for anthropological and forensic
investigations. Aim: The aim of this study is to assess the reliability of
odontometric mesiodistal (MD) width dimensions and indices in sexual dimorphism
among Nalgonda population. Materials and Methods: A total of 180 ideal study
dental models of patients between the age range of 18 and 25 years were collected
from the Department of Orthodontics. Selection criteria include teeth with Class
I molar and canine relation, free of anomalies, or caries. Maximum MD widths of
all teeth and arch parameters (intercanine width, interpremolar width, and
intermolar width) were measured and incisor index, canine index, premolar index,
and molar index were calculated. Sexual dimorphism was calculated using Garn and
Lewis equation. Statistical Analysis: The recorded data were subjected to
statistical analysis using independent unpaired t-test. Results and Conclusion:
Mandibular canines followed by maxillary canines showed greater sexual dimorphism
among all teeth. Maxillary right canine index, mandibular left canine index,
maxillary right incisor index, inter premolar and intermolar widths showed
statistically significant difference between males and females (P < 0.05). The
results of this study revealed significant sexual dimorphism with the use of
odontometric dimensions, canine index, incisor index, and arch parameters. These
parameters could be used as adjunctive aids by the forensic expertise in human
identification.
PMID- 29657497
TI - Denture labels: Various types and their abilities to resist different assaults.
AB - Introduction: The use of denture labels for the purpose of identification has
been well documented. A number of labels for marking the denture are documented
till date demonstrating the ease of fabrication and its potential value in
identification. Therefore, it becomes essential that these denture labels fulfill
the requisites of an ideal denture label and thus should be able to sustain the
various assaults to which they might be subjected simulating a crime scene. Aim:
The aim of this study was to determine the ability of various denture labels to
withstand different types of assaults thereby evaluating the performance of
routinely used denture labels. Materials and Methods: Four polymethylmethacrylate
blocks were fabricated where the inclusion labels were incorporated on one side,
and the surface labels were marked on the other side. Each of the blocks was then
placed in different containers to be subjected to different assaults such as
acid, alkali, water, and heat of increasing temperature. Results: Inclusion
labels performed better as compared to surface marking labels. Among the
inclusion labels, the metallic labels performed the best. Conclusion: The
metallic inclusion labels were able to withstand most assaults than any other
inclusion labels or surface marking labels. Due to easy availability, cost
effectiveness, ease of incorporation and inertness, metallic labels are best
suited as denture labels for personal identification.
PMID- 29657498
TI - Odontometric sex estimation from clinically extracted molar teeth in a North
Indian population sample.
AB - Background: Different dental features have contributed significantly toward sex
determination in the forensic anthropological contexts. Population-specific
standards (discriminant functions or regression formulae) have been suggested for
various population groups to identify the sex of an unknown individual from
dental dimensions and other odontometric features. The main purpose of the
present investigation was to examine the degree of sexual dimorphism exhibited by
the human teeth of North Indians and identify importance as a forensic tool in
sex determination. Materials and Methods: The linear and diagonal dimensions were
recorded at both crown and cementoenamel junction levels of 58 upper and 72 lower
molars of 130 Northwest Indian subjects (73 males and 57 females). The
measurements were subjected to appropriate statistical analyses to estimate the
sex estimation accuracy from lower and upper molars separately. Results:
Univariate analyses revealed that molar teeth had greater dimensions in males
than the females and the mesiodistal cervical diameter (MDCV) was found to be the
most suitable variable for sex determination of the molars. The classification
results were in agreement with the previously conducted studies. The index of
sexual dimorphism (ISD) was calculated to be higher in lower molars than the
upper molars, and the highest sex differences were observed for MDCV based on the
ISD. The overall sex estimation accuracy obtained from multivariate discriminant
function analysis and regression analysis of pooled data was 70.0% (74% males,
64.9% females) and 66.9% (78.1% males, 52.6% females), respectively. Conclusions:
Odontometrics can play a significant role in establishing the biological identity
of an unknown individual even from a single tooth in the absence of other
sophisticated molecular or biochemical techniques used for this purpose.
PMID- 29657499
TI - Age estimation in Indian adults by the coronal pulp cavity index.
AB - Background: Age estimation from tooth coronal index (TCI) using intraoral
periapical radiographs by paralleling technique based on a reduction in the size
of the dental pulp cavity with advancing age as a result of secondary dentin
deposition. Aim and Objectives: The aim of this study is to estimate age for
Indian adults using radiographs of mandibular first molar and second premolar
teeth using coronal pulp cavity index. Materials and Methods: The study material
consists of 400 intraoral periapical radiographs of mandibular second premolar
and mandibular first molar from enrolled participants of either gender in the age
group of 20-60 years. Statistical Analysis: Data analysis was done using SPSS
(Statistical Package for Social Sciences), and Pearson's correlation coefficient
(r) was used to find the correlation between age (years) and TCI. Results: TCI
was computed for each tooth and regressed on the real age of the sample. The
correlation coefficient "r" was -0.865 (for premolar combined sample) and -0.850
(for molar combined sample). The obtained equations were tested on test sample of
fifty teeth and age was determined. The absolute mean error between actual and
predicted age for premolars was 6.72 months and for molars, it was 9 months.
Conclusion: Age estimation using TCI is a precise, noninvasive, less time
consuming, and an inexpensive method.
PMID- 29657500
TI - Agro-toxicological aspects of coal fly ash (FA) phytoremediation by cereal crops:
effects on plant germination, growth and trace elements accumulation.
AB - A vegetative cover is a remedial technique utilized on coal fly ash (FA)
landfills for soil stabilization and for the physical and chemical immobilization
of contaminants. There is a great concern, that plants planted or voluntarily
growing on media with high content of FA may absorb toxic amounts of Se and/or
heavy metals. If such plants are ingested, it may result in toxicity to animals
or humans. Despite these objections, the utilization of FA as a growth medium for
plants is an attractive alternative for disposal of FA in landfills. We
hypothesized that selected plants will grow in media containing FA and/or bottom
ash (BA) from several sources. Two coal FA, one from Montana semi-bituminous coal
and another from North Dakota lignite alone or in combination with BA from
Montana semi-bituminous coal were tested as plant growth media for the following
plant species: barley (Hordeum vulgare), oats (Avena sativa), rye (Secale
cereale), wheat (Triticum aestivum), regreen; a hybrid between wheatgrass
(Agropyron cristatum) and winter wheat (Triticum aestivum), and triticale; a
hybrid between wheat (Triticum aestivum) and rye (Secale cereale). The
concentration of Al, As, B, Ba, Be, Co, Cd, Cr, Cu, Mo, Pb, Sr, Ti, Tl, and V in
growth media and in young plants was determined using Inducted Coupled Plasma
Spectrophotometry (ICP). All tested plant species germinated and grow in FA
and/or FA + BA containing media. However, germination and/or growth of the
majority of tested plants were decreased by the presence of FA and/or BA in
growth media. Concentration of all analyzed elements was greater in growth media
containing FA and/or BA than in soil control, and also was greater in plants
grown on medium containing FA and/or BA than in soil. These data demonstrate that
tested plants can grow on media consisting of coal ash, and therefore these
plants can be used to cover FA or BA residue piles.
PMID- 29657501
TI - Evaluation of Bloodletting Cupping Therapy in the Management of Hypertension.
AB - Background: Bloodletting cupping therapy (Hijama) is a traditional alternative
medicine practiced in different cultures. Claims about the therapeutic efficacy
of Hijama in hypertension are contradictory. The aim of this project was to
determine if Hijama therapy is beneficial in the treatment of patients with
hypertension. Materials and Methods: In this retrospective study, 60 files for
patients treated for hypertension, aged 40-60 years and whose systolic blood
pressure (SBP) is at least 140mm Hg, were used. The data from 30 patient files
were obtained from three licensed Hijama centers (study group), whereas data from
the rest of 30 patient files were collected from a hospital (control group). The
data from Hijama centers included age, date of Hijama therapy, and blood pressure
measured before each Hijama session. Both diastolic blood pressure (DBP) and SBP
data were obtained over 3-month period. Results: The results showed a significant
reduction in SBP (P value < 0.01) over three sessions of wet cupping (from 149.2
to 130.8mm Hg), but this was not significant for DBP over three sessions (P =
0.074). The study also found that the mean SBP in the study group was 9.6mm Hg
less than that in the control group (130.8 vs. 140.4mm Hg, P = 0.019), whereas
there was no significant difference in DBP between the study group and the
control group (87.0 vs. 86.0mm Hg, P = 0.75). Conclusions: Our study shows clear
relationship between Hijama and the reduction and control of SBP in patients with
hypertension. Therefore, Hijama can be used as an adjunct to conventional
therapy, which may allow downtitration of given doses of antihypertensive drugs.
The possible association of SBP reduction by Hijama and pain reduction needs an
investigation.
PMID- 29657502
TI - Knowledge, Attitude, and Practice Regarding the Risk of Cardiovascular Diseases
in Patients Attending Outpatient Clinic in Kuantan, Malaysia.
AB - Background: Cardiovascular disease (CVD) is a leading cause of death around the
world including Malaysia. Ischemic heart disease (IHD) is the single largest
cause of death in the developed countries and is one of the main contributors to
the disease burden in developing countries. Materials and Methods: This was a
cross-sectional study conducted to determine knowledge, attitude, and practice
(KAP) regarding the risk of CVD in patients attending an outpatient clinic in
Kuantan, Malaysia. A total of 100 patients comprising 52 male and 48 female
subjects were selected through convenient sampling method. Results: Of the total
subjects, 86% were Malays. The mean scores (standard deviation) for KAP were
60.75+/-4.823, 54.36+/-8.711, and 33.43+/-4.046, respectively, whereas the
maximum scores obtained by the subjects for KAP were 71, 65, and 43,
respectively. Regarding questions related to knowledge, 88% subjects knew
irregular eating pattern can cause disease and the benefits of vegetable intake.
Most subjects recognized that smoking and obesity were CVD risk factors.
Regarding questions related to attitude, 96% agreed that exercise can prevent
CVD. More than half of the subjects followed healthy lifestyle. There were
statistically significant differences observed in knowledge level between sexes
(P = 0.046) and races (P = 0.001). Nevertheless, there was no statistically
significant difference observed in KAP across different education levels of the
subjects regarding the risk of CVD (P-value = 0.332, 0.185, and 0.160,
respectively). Conclusion: This study revealed that patients had good knowledge
and attitude regarding CVD risk factors. Yet, the number of smokers is still
quite high. Development of better public information system is essential for the
well-being of the society.
PMID- 29657503
TI - Phytochemical Constituents and Antimicrobial Activity of the Ethanol and
Chloroform Crude Leaf Extracts of Spathiphyllum cannifolium (Dryand. ex Sims)
Schott.
AB - Context: The study investigated the medicinal properties of Spathiphyllum
cannifolium (Dryand. ex Sims) Schott as a possible source of antimicrobial
compounds. Materials and Methods: The phytochemical constituents were screened
using qualitative methods and the antibacterial and antifungal activities were
determined using agar well diffusion method. Statistical Analysis: One-way
analysis of variance and Fisher's least significant difference test were used.
Results: The phytochemical screening showed the presence of sterols, flavonoids,
alkaloids, saponins, glycosides, and tannins in both ethanol and chloroform leaf
extracts, but triterpenes were detected only in the ethanol leaf extract. The
antimicrobial assay revealed that the chloroform leaf extract inhibited Candida
albicans, Escherichia coli, Staphylococcus aureus, Bacillus subtilis, and
Pseudomonas aeruginosa, whereas the ethanol leaf extract inhibited E. coli, S.
aureus, and B. subtilis only. The ethanol and chloroform leaf extracts exhibited
the highest zone of inhibition against B. subtilis. The antifungal assay showed
that both the leaf extracts have no bioactivity against Aspergillus niger and C.
albicans. Conclusions: Results suggest that chloroform is the better solvent for
the extraction of antimicrobial compounds against the test organisms used in this
study. Findings of this research will add new knowledge in advancing drug
discovery and development in the Philippines.
PMID- 29657504
TI - Safety Evaluation of Various Vector Repellents in Combination with Deltamethrin
in Wistar Rats.
AB - Background: Vector repellents are used globally to avoid insect bites and control
transmission of diseases. It is important to ensure safety levels of these
compounds, although they are noxious to insects. Vector repellents when used in
combination are known to bring additional benefits in getting rid of the harmful
insects. Unfortunately, the safety levels of various vector repellents such as
Deet (N,N-diethyl-m-toluamide), Depa (N,N-diethyl phenylacetamide), and Deb (N,N
diethylbenzamide) are not studied in combination with the widely used pyrethroid
deltamethrin (Del). Materials and Methods: In this study, safety evaluation of
various vector repellents by oral route in combination with Del was studied by
assessing body weight and organ weight changes, hematological parameters, and
biochemical parameters in Wistar rats. Results: The results revealed significant
changes in liver marker enzymes in Del, Deb, Del + Depa, and Del + Deet groups.
Urea levels were significantly altered after treatment with various repellents
and in combination with Del, except Deb-alone group. Hematological and rest of
the biochemical parameters were found to be unaltered in all the treatment
groups. Significant changes in the liver weight were found in Deb, Depa, Deet,
Del + Deb, and Del + Deet groups. Conclusions: Taken together, the overall
results of this study indicate that single, high oral dose of various insect
repellents did not show any additive toxicity.
PMID- 29657505
TI - Knowledge and Attitude of Health-Care Professionals Toward Adverse Drug Reactions
Reporting at King Saud Medical City.
AB - Background: Health-care professionals across the globe are obligated to report
adverse drug reactions (ADRs). The knowledge of ADRs and attitude of health-care
professionals toward ADRs reporting is vital for patient safety. This study
intends to investigate the knowledge of ADRs and attitude of health-care
professionals toward ADRs reporting. Materials and Methods: A cross-sectional
study using an anonymous questionnaire was conducted over a period of 3 months
(September 2016 to November 2016) at King Saud Medical City, Riyadh, Saudi
Arabia. This study included 399 questionnaires submitted by health-care
professionals. Results: A total of 399 questionnaires were submitted by health
care professionals, of which only 14.8% knew the term "ADR" and 55.1% of the
respondents reported ADRs during their practice. A total of 93.8% of the
respondents agreed that ADR reporting should be made mandatory for health-care
professionals, and 94.5% agreed that it improves the patient safety. Conclusion:
The findings generally indicate that health-care professionals in a tertiary care
setting have low awareness regarding the term "ADR." Lack of pharmacovigilance
training, amount of workload, and legal liabilities are the main causes of
underreporting. More than half of the respondents agreed that ADR reporting
eventually improves patient safety.
PMID- 29657506
TI - In Vivo Evaluation of the Anticancer Activity of the Gemcitabine and Doxorubicin
Combined in a Nanoemulsion.
AB - Context: Doxorubicin (DOX) and gemcitabine (GEM) are anticancer drugs that were
combined in a nanoemulsion (NE) to reduce their adverse side effects. Aim: To
detect the antitumor activity of the combination formulas of GEM and DOX, loaded
either in water (GEM+DOX-Sol) or in NEs (GEM-DOX combination/loaded NE
[GEM+DOX/LNE]), in female Swiss albino mice inoculated with Ehrlich ascites
carcinoma (EAC). Settings and Design: The anticancer assessment of the NE
formulas was implemented in 200 mice, which were divided into 10 groups.
Materials and Methods: It includes the detection of the change in body weight,
analysis of the hematological and serum biochemical profiles, and study of the
histopathologic alterations of the heart tissues. Statistical Analysis: One
factor analysis of variance was used. Results: Mice treated with GEM + DOX/LNE,
which have an z-average of 155.38+/-2.33nm and zeta potential of -38.5+/-1.3 mV,
recorded a considerable improvement in the mean survival time (MST), which was 60
days, as compared to the EAC control group, which has an MST of 28 days. It also
restored the hematological and serum biochemical parameters toward normal values.
Conclusions: The combination of GEM and DOX in NE has significantly diminished
the cardiotoxicity of DOX and hematotoxicity of GEM while improving their
antitumor properties.
PMID- 29657507
TI - Initial Response of Human Bone Marrow-Derived Stem Cells after Contact with
Ultrahigh-Molecular-Weight Polyethylene (UHMWPE) Material: An In Vitro Study on
Cell Viability and Interleukin-6 Expression.
AB - Introduction: Ultrahigh-molecular-weight polyethylene (UHMWPE) is a thermoplastic
polymer useful in biomaterial applications, especially in orthopedic field. Yet,
little is known concerning its initial effect on human bone marrow stem cells
(hBMSCs) after implantation. Materials and Methods: A cytotoxicity analysis was
performed with a 3-(4,5-dimethylthiazol 2-yl)-2,5-diphenyltetrazolium assay after
24, 48, and 72h of incubation of hBMSC culture. Expression of interleukin-6 (IL
6) was measured using enzyme-linked immunosorbent assay. Cell viability was
measured with Inhibitory concentration 50% (IC50) formula. Results: All treatment
groups showed a cell viability of >50% ranging from 78% to >100%. Lower
expression of IL-6 of hBMSC compared to control group was found in 48h of
incubation period. Conclusion: hBMSC showed high cell viability after initial
contact with UHMWPE material. Modulation of IL-6 expression was present at the
initial stage as a response to foreign material.
PMID- 29657509
TI - A Tensor Statistical Model for Quantifying Dynamic Functional Connectivity.
AB - Functional connectivity (FC) has been widely investigated in many imaging-based
neuroscience and clinical studies. Since functional Magnetic Resonance Image
(MRI) signal is just an indirect reflection of brain activity, it is difficult to
accurately quantify the FC strength only based on signal correlation. To address
this limitation, we propose a learning-based tensor model to derive high
sensitivity and specificity connectome biomarkers at the individual level from
resting-state fMRI images. First, we propose a learning-based approach to
estimate the intrinsic functional connectivity. In addition to the low level
region-to-region signal correlation, latent module-to-module connection is also
estimated and used to provide high level heuristics for measuring connectivity
strength. Furthermore, sparsity constraint is employed to automatically remove
the spurious connections, thus alleviating the issue of searching for optimal
threshold. Second, we integrate our learning-based approach with the sliding
window technique to further reveal the dynamics of functional connectivity.
Specifically, we stack the functional connectivity matrix within each sliding
window and form a 3D tensor where the third dimension denotes for time. Then we
obtain dynamic functional connectivity (dFC) for each individual subject by
simultaneously estimating the within-sliding-window functional connectivity and
characterizing the across-sliding-window temporal dynamics. Third, in order to
enhance the robustness of the connectome patterns extracted from dFC, we extend
the individual-based 3D tensors to a population-based 4D tensor (with the fourth
dimension stands for the training subjects) and learn the statistics of
connectome patterns via 4D tensor analysis. Since our 4D tensor model jointly (1)
optimizes dFC for each training subject and (2) captures the principle connectome
patterns, our statistical model gains more statistical power of representing new
subject than current state-of-the-art methods which in contrast perform above two
steps separately. We have applied our tensor statistical model to identify ASD
(Autism Spectrum Disorder) by using the learned dFC patterns. Promising
classification results have been achieved demonstrating high discrimination power
and great potentials in computer assisted diagnosis of neuro-disorders.
PMID- 29657508
TI - Hypnotic Effect of Red Cabbage (Brassica oleracea) on Pentobarbital-Induced Sleep
in Mice.
AB - Objective: The present study was performed to investigate the effect of
hydroalcoholic extract of red cabbage and its fractions on sleeping behavior in
mice. Materials and Methods: The extract and its fractions were injected to mice
and sleep duration as well as sleep latency were recorded. Furthermore, toxicity
of the extract was determined both in vivo and in vitro. Results: The extract
increased sleep duration at doses of 50-200mg/kg (P < 0.001). This observed
hypnotic effect was comparable to that of diazepam (3mg/kg) (P < 0.001 in
comparison with control group). Ethyl acetate, n-butanol, and aqueous fractions
could increase sleep duration (P < 0.001). The sleep latency was decreased by the
extract (P < 0.001) and only ethyl acetate fraction (P < 0.001). LD50 value for
red cabbage extract was 2.4g/kg. There was no toxic effect on viability of
cultured neuronal cells (PC12). Rotarod test results showed that there were no
significant differences between the extract groups and the control group.
Conclusion: The results suggest that red cabbage potentiates pentobarbital
hypnosis without any toxic effect. The main component(s) responsible for this
effect is most likely to be intermediate polar agent(s) such as flavonoids, which
are found in ethyl acetate fraction of this plant.
PMID- 29657510
TI - A new sequence convergent to Euler-Mascheroni constant.
AB - In this paper, we provide a new sequence converging to the Euler-Mascheroni
constant. Finally, we establish some inequalities for the Euler-Mascheroni
constant by the new sequence.
PMID- 29657511
TI - An upper bound for the Z-spectral radius of adjacency tensors.
AB - Let [Formula: see text] be a k-uniform hypergraph on n vertices with degree
sequence [Formula: see text]. In this paper, in terms of degree [Formula: see
text], we give a new upper bound for the Z-spectral radius of the adjacency
tensor of [Formula: see text]. Some examples are given to show the efficiency of
the bound.
PMID- 29657512
TI - Dual Decomposed Learning with Factorwise Oracles for Structural SVMs of Large
Output Domain.
AB - Many applications of machine learning involve structured outputs with large
domains, where learning of a structured predictor is prohibitive due to
repetitive calls to an expensive inference oracle. In this work, we show that by
decomposing training of a Structural Support Vector Machine (SVM) into a series
of multiclass SVM problems connected through messages, one can replace an
expensive structured oracle with Factorwise Maximization Oracles (FMOs) that
allow efficient implementation of complexity sublinear to the factor domain. A
Greedy Direction Method of Multiplier (GDMM) algorithm is then proposed to
exploit the sparsity of messages while guarantees convergence to epsilon sub
optimality after O(log(1/epsilon)) passes of FMOs over every factor. We conduct
experiments on chain-structured and fully-connected problems of large output
domains, where the proposed approach is orders-of-magnitude faster than current
state-of-the-art algorithms for training Structural SVMs.
PMID- 29657513
TI - Regularized Modal Regression with Applications in Cognitive Impairment
Prediction.
AB - Linear regression models have been successfully used to function estimation and
model selection in high-dimensional data analysis. However, most existing methods
are built on least squares with the mean square error (MSE) criterion, which are
sensitive to outliers and their performance may be degraded for heavy-tailed
noise. In this paper, we go beyond this criterion by investigating the
regularized modal regression from a statistical learning viewpoint. A new
regularized modal regression model is proposed for estimation and variable
selection, which is robust to outliers, heavy-tailed noise, and skewed noise. On
the theoretical side, we establish the approximation estimate for learning the
conditional mode function, the sparsity analysis for variable selection, and the
robustness characterization. On the application side, we applied our model to
successfully improve the cognitive impairment prediction using the Alzheimer's
Disease Neuroimaging Initiative (ADNI) cohort data.
PMID- 29657514
TI - Navigating Limited and Uncertain Access to Subsidized Housing After Prison.
AB - An emerging literature has documented the challenges that formerly incarcerated
individuals face in securing stable housing. Given the increasingly unaffordable
rental market, rental subsidies represent an important and understudied source of
stable housing for this population. The existing literature has described
substantial discretion and a varied policy landscape that determine former
prisoners' access to housing subsidies, or subsidized housing spaces that are
leased to members of their social and family networks. Less is known about how
former prisoners themselves interpret and navigate this limited and uncertain
access to subsidized housing. Drawing on data from repeated qualitative
interviews with 44 former prisoners, we describe the creative and often labor
intensive strategies that participants employed to navigate discretion and better
position themselves for subsidized housing that was in high demand, but also
largely out of reach. Our findings also illustrate the potential costs associated
with these strategies for both participants and members of their social and
family networks.
PMID- 29657515
TI - Trauma-informed Temporary Assistance for Needy Families (TANF): A Randomized
Controlled Trial with a Two-Generation Impact.
AB - Temporary Assistance for Needy Families (TANF) has limited success in building
self-sufficiency, and rarely addresses exposure to trauma as a barrier to
employment. The objective of the Building Wealth and Health Network randomized
controlled trial was to test effectiveness of financial empowerment combined with
trauma-informed peer support against standard TANF programming. Through the
method of single-blind randomization we assigned 103 caregivers of children under
age six into three groups: control (standard TANF programming), partial (28-weeks
financial education), and full (same as partial with simultaneous 28-weeks of
trauma-informed peer support). Participants completed baseline and follow-up
surveys every 3 months over 15 months. Group response rates were equivalent
throughout. With mixed effects analysis we compared post-program outcomes at
months 9, 12, and 15 to baseline. We modeled the impact of amount of
participation in group classes on participant outcomes. Despite high exposure to
trauma and adversity results demonstrate that, compared to the other groups,
caregivers in the full intervention reported improved self-efficacy and
depressive symptoms, and reduced economic hardship. Unlike the intervention
groups, the control group reported increased developmental risk among their
children. Although the control group showed higher levels of employment, the full
intervention group reported greater earnings. The partial intervention group
showed little to no differences compared with the control group. We conclude that
financial empowerment education with trauma-informed peer support is more
effective than standard TANF programming at improving behavioral health, reducing
hardship, and increasing income. Policymakers may consider adapting TANF to
include trauma-informed programming.
PMID- 29657516
TI - Somewhere to be permanent for a minute: Time and space perceptions of older adult
men experiencing chronic homelessness in Detroit.
AB - Within the landscape of postwar era Detroit, space is vast, but places are hard
to find. As people tumble down the side of Maslow's pyramid into abject
homelessness, they attempt to anchor themselves in locations that suspend the
otherwise situational free fall. This article analyzes how time and space are
perceived by people experiencing chronic homelessness and how those individual
perceptions are informed by the objective time and space urban environment. We
conducted our community-based participatory research into the experiences of
chronic homelessness both from perspectives of chronically homeless older adult
men and from front-line service providers. Themes that emerged are as follows:
(1) temporary permanence, (2) permanent temporariness, and (3) lostness. The
article concludes with policy implications regarding the procedures for measuring
the extent of homelessness and the needs of those who live it.
PMID- 29657517
TI - Salivary point-of-care technology.
PMID- 29657518
TI - Influence of light activation of simplified adhesives on the shear bond strength
of resin cements to a leucite-reinforced ceramic.
AB - Objective: This study aims to evaluate the influence of the light activation of
simplified adhesives on the shear bond strength of resin cements to a glass
ceramic. Three factors were evaluated: (1) cement in two levels (light cured and
dual cured); (2) adhesive in two levels (Single Bond 2 and Single Bond
Universal), and (3) light activation in two levels (yes or no). Materials and
Methods: Thirty-two 1-mm thick slices of a leucite-reinforced glass-ceramic (IPS
Empress CAD) were divided into eight groups according to adhesive (Single Bond 2
or Single Bond Universal), cement (AllCem Veneer or AllCem), and light activation
of the adhesive before application of the cement (yes or no). Ceramic surfaces
were etched for 60 s with 5% hydrofluoric acid, and adhesives were applied. Four
cement cylinders were made over each ceramic slice (n = 16) and then submitted to
shear bond strength tests. Statistical Analysis: Data were analyzed with three
way ANOVA and Tukey (alpha = 0.05). Results: There were significant differences
between adhesives (P < 0.0001) and no differences between cements (P = 0.0763)
and light activation (P = 0.4385). No interaction effect occurred (P = 0.05).
Single Bond 2 showed higher bond strength than Single Bond Universal.
Conclusions: The light activation of the adhesive before the application of the
resin cement did not influence the bond strength.
PMID- 29657519
TI - Antibacterial effectiveness of probiotic-based experimental mouthwash against
cariogenic pathogen: An in vitro study.
AB - Objectives: The aim of this study is to assess the antibacterial effectiveness of
probiotic-experimental-based mouthwash (MW) against Streptococcus mutans in
vitro. Materials and Methods: Antimicrobial screening of two active additives
(probiotic-zamzam) was tested against S. mutans using disc diffusion method. A
total of three MWs; (1) an experimental MW base formula, (2) an experimental MW
base formula with the two active additives, and (3) commercial MW (hexitol), were
evaluated against S. mutans by well diffusion method after 24 h and 72 h storage
period. The survival profile of probiotic strain in the experimental MW was
determined using colony counting method as well as the pH changes at three
intervals. Statistical analysis was performed using one-way ANOVA and t-test to
compare the inhibition zone diameter. Results: For active additives, probiotic
strain exhibited higher mean inhibition zones values than zamzam water against S.
mutans. Regarding the inhibition zones for the three tested MWs, the experimental
MW showed significant increase in the inhibition zone after 72 h, while there was
insignificant change with commercial MW. For probiotic count in MW, there was
insignificant change in bacterial count after 24 h, and significant decrease
after 15 days, followed by insignificant change after 30 days. For the pH values
of the experimental MW, a statistically insignificant change was found after 24
h, significant decrease after 15 days and insignificant change after 30 days.
Conclusions: The probiotic-zamzam experimental MW was effective in reducing S.
mutans. Zamzam water could be considered as prebiotic ingredient. Therefore, the
probiotic-zamzam MW has a potential therapeutic value.
PMID- 29657520
TI - Dental caries prevalence and its association with fluoride level in drinking
water in Sana'a, Yemen.
AB - Objectives: Dental caries is the most prevalent chronic condition affecting
schoolchildren worldwide. This study is aimed to assess the prevalence of dental
caries among schoolchildren in urban and rural districts of Sana'a governorate,
Yemen, and to investigate the correlation between caries experience and level of
fluoride in drinking water, age, gender, and residence. Materials and Methods:
This school-based survey involved 17,599 schoolchildren (aged 6-12 years), of
whom 9623 were boys and 7976 were girls. We used decay-missing-filled teeth/decay
filled teeth (DMFT/dft) indices to evaluate dental caries experience. In
addition, fluoride concentration in drinking water was investigated. Results:
Around 67.6% of children had dental caries. Children residing in urban districts
had significantly higher mean scores of DMFT/dft than those in rural areas (P <
0.05). A significant negative correlation between caries experience and fluoride
level was found (P < 0.05), with the lowest dft/DMFT scores at the optimum
fluoride level of 0.61-2 ppm and the highest at two extremes, 0.0-0.4 ppm and >2
ppm. Conclusion: This survey found a very high prevalence of caries among
schoolchildren in Yemen. There was also an inverse relation between dental caries
experience and fluoride levels.
PMID- 29657521
TI - Volumetric analysis of hand, reciprocating and rotary instrumentation techniques
in primary molars using spiral computed tomography: An in vitro comparative
study.
AB - Objective: This present study was conducted to analyze the volumetric change in
the root canal space and instrumentation time between hand files, hand files in
reciprocating motion, and three rotary files in primary molars. Materials and
Methods: One hundred primary mandibular molars were randomly allotted to one of
the five groups. Instrumentation was done using Group I; nickel-titanium (Ni-Ti)
hand file, Group II; Ni-Ti hand files in reciprocating motion, Group III; Race
rotary files, Group IV; prodesign pediatric rotary files, and Group V; ProTaper
rotary files. The mean volumetric changes were assessed using pre- and post
operative spiral computed tomography scans. Instrumentation time was recorded.
Statistical analysis to access intergroup comparison for mean canal volume and
instrumentation time was done using Bonferroni-adjusted Mann-Whitney test and
Mann-Whitney test, respectively. Results: Intergroup comparison of mean canal
volume showed statistically significant difference between Groups II versus IV,
Groups III versus V, and Groups IV versus V. Intergroup comparison of mean
instrumentation time showed statistically significant difference among all the
groups except Groups IV versus V. Conclusion: Among the various instrumentation
techniques available, rotary instrumentation is the considered to be the better
instrumentation technique for canal preparation in primary teeth.
PMID- 29657522
TI - Cariogenicity induced by commercial carbonated beverages in an experimental
biofilm-caries model.
AB - Objectives: Frequent consumption of sugars-containing carbonated beverages has
been associated with caries, but the consequences on the dental biofilm remain
unclear. The aim was to evaluate the effect of commercial carbonated beverages
and their sugar-free version on enamel and dentine demineralization and on the
cariogenic properties of Streptococcus mutans biofilms. Materials and Methods:
Biofilms of S. mutans UA159 were grown on enamel and dentin slabs and exposed 3
times/day for 5 min, to a commercial cola or orange-flavored carbonated beverage
or to their sugar-free version. Biofilms/slabs were recovered to assess biomass,
viable microorganisms, protein content and polysaccharides. Demineralization was
estimated by the variation of Knoop surface microhardness. Results: Exposures to
the biofilm with sugars-containing carbonated beverages resulted in similar
biomass, viable microorganisms, proteins, and polysaccharides than sucrose (P <
0.05). The sugar-free cola and orange-flavored drink showed lower effect on the
biofilm, as compared with sucrose or their sugared version (P < 0.05). All of the
products tested, included the sugar-free, showed higher demineralization than the
negative control (P < 0.05). Conclusions: Sugars-containing carbonated beverages
enhance cariogenic activity of S. mutans biofilms, comparable with sucrose. Sugar
free carbonated beverages also have a high demineralizing potential, without
affecting biofilm properties.
PMID- 29657523
TI - Comparison of osteoclastogenesis and local invasiveness of ameloblastoma and
keratocystic odontogenic tumor.
AB - Objectives: The aim of this study was to compare the expression of receptor
activated nuclear factor kappa B (RANK) with its ligand (RANKL) and matrix
metalloproteinase-2 (MMP2) in solid/multicystic ameloblastomas (ABs) and
keratocystic odontogenic tumors (KOTs). Materials and Methods: The expression of
MMP2, RANK, and RANKL molecules was evaluated in 13 ABs and 14 KOTs by
immunohistochemistry. The expressions were calculated in the odontogenic
epithelial cells as well as the stromal cells. Results: Odontogenic epithelia of
AB expressed MMP2, RANK, and RANKL significantly higher than that of KOTs (P <
0.05). The expression of MMP2, RANK, and RANKL was highest in plexiform subtype
(79.9%, 81.08%, and 65.1%, respectively). KOTs without daughter epithelia nests
expressed both MMP2 and RANK the least (56.06% and 47.5%, respectively). Stromal
cells, on the other hand, expressed similar MMP2 pattern in odontogenic epithelia
of both AB and KOT. RANKL was expressed weaker in the stromal cells of both
lesions. Conclusion: Invasive biological and osteolytic behaviors of both lesions
were evaluated in this study. It was found to be more in AB than keratocystic
odontogenic. A significant expression of MMP2, RANK, and RANKL in both KOTs
associated with microcyst and plexiform type AB as well.
PMID- 29657524
TI - Conceptual knowledge of oral health among school teachers in South India, India.
AB - Objective: To measure conceptual oral health knowledge among school teachers
using comprehensive measure of oral health knowledge (CMOHK) instrument.
Materials and Methods: A total of 240 school teachers drawn through cluster
random sampling from across Sullia taluk. Conceptual knowledge was assessed using
Comprehensive measure of oral health knowledge questionnaire. Oral health status
was recorded on a World Health Organization oral health assessment Proforma.
Results: Overall distribution of CMOHK scores revealed that 106(44%) subjects had
good conceptual oral health knowledge, 81(33.7%) subjects had fair and 53(22%)
subjects were categorized under poor conceptual knowledge respectively.
Statistically significant difference was observed for mean scores for conceptual
knowledge in relation to gender (12.8v/s 15.3), in terms of type of school
teachers (14.4 v/s 11.2) and in relation to age of the subjects. Primary school
teachers exhibited a consistently better CMOHK scores 0.003(0.001-0.011) compared
to their high school counterparts 0.003(0.001-0.012). Conclusion: The mean CMOHK
score of this group was 12.5+/-1.3 and is considered to be fair. There is an
inverse association between age, educational levels and income in relation to
CMOHK scores.
PMID- 29657525
TI - Effect of different surface finishing/polishing procedures on color stability of
esthetic restorative materials: A spectrophotometric evaluation.
AB - Objective: To evaluate the color stability of different esthetic restorative
materials after surface finishing/polishing with different procedures. Materials
and Methods: All materials were polymerized into silicone rubber rings to obtain
specimens identical in size. Samples were randomly assigned into four groups (10
specimens of each composite for each group), and they were finished with
different procedures: Control group (Group 1), three or two polishers
interspersed with diamond grit (Groups 3 and 2, respectively), and one tungsten
carbide bur + one polisher interspersed with diamond grit (Group 4). After
staining process in coffee, a colorimetric evaluation according to the CIE L*a*b*
system was performed by a blind trained operator at 7, 14, 21, and 28 days.
Shapiro-Wilk test and Kruskal-Wallis analysis of variance were applied to assess
significant differences among restorative materials. Means of the different
polishing/finishing groups were compared with Scheffe's multiple comparison test
at the 0.05 level of significance. Results: In control group, significant lower
discolorations were recorded for Essentia, Admira Fusion, and Estelite. After
finishing, Filtek Supreme XTE and Ceram.X Universal showed a significantly lower
degree of staining. The finishing technique used for Group 4 produced higher
color changes. Conclusions: Tungsten carbide burs produced the higher color
variations; after finishing, the nanofilled composites showed lower discoloration
than nanohybrid ones, and the time of exposure to the staining agent and the
polishing/finishing technique influenced the color change.
PMID- 29657526
TI - Comparative abrasive wear resistance and surface analysis of dental resin-based
materials.
AB - Objective: The objective of this study was to assess the surface properties
(microhardness and wear resistance) of various composites and compomer materials.
In addition, the methodologies used for assessing wear resistance were compared.
Materials and Methods: This study was conducted using restorative material
(Filtek Z250, Filtek Z350, QuiXfil, SureFil SDR, and Dyract XP) to assess wear
resistance. A custom-made toothbrush simulator was employed for wear testing.
Before and after wear resistance, structural, surface, and physical properties
were assessed using various techniques. Results: Structural changes and mass loss
were observed after treatment, whereas no significant difference in terms of
microhardness was observed. The correlation between atomic force microscopy (AFM)
and profilometer and between wear resistance and filler volume was highly
significant. The correlation between wear resistance and microhardness were
insignificant. Conclusions: The AFM presented higher precision compared to
optical profilometers at a nanoscale level, but both methods can be used in
tandem for a more detailed and precise roughness analysis.
PMID- 29657527
TI - Comparative evaluation of salivary malondialdehyde levels as a marker of lipid
peroxidation in early childhood caries.
AB - Objective: The aim of this study was to evaluate the association between lipid
peroxidation and dental caries in children with ECC by estimating the levels of
MDA in saliva of children. Materials and Methods: A total of 150 children were
selected; 75 children with ECC and 75 children without caries (non-ECC). Saliva
samples were collected and centrifuged at 12,000 rpm for 24 min at 4 degrees C to
obtain a supernatant. MDA levels were estimated by Buege and Aust method by using
thiobarbituric acid. The data obtained were analyzed by Student's t-test to
compare MDA levels between the groups. Results: MDA levels were higher in
children with ECC. There was no statistically significant difference between
children with ECC and without ECC (non-ECC). Conclusion: MDA levels were slightly
higher in children with ECC, indicating the role of lipid peroxidation in the
carious process.
PMID- 29657528
TI - Digital versus conventional techniques for pattern fabrication of implant
supported frameworks.
AB - Objective: The aim of this experimental study was to compare retention of
frameworks cast from wax patterns fabricated by three different methods.
Materials and Methods: Thirty-six implant analogs connected to one-piece
abutments were divided randomly into three groups according to the wax pattern
fabrication method (n = 12). Computer-aided design/computer-aided manufacturing
(CAD/CAM) milling machine, three-dimensional printer, and conventional technique
were used for fabrication of waxing patterns. All laboratory procedures were
performed by an expert-reliable technician to eliminate intra-operator bias. The
wax patterns were cast, finished, and seated on related abutment analogs. The
number of adjustment times was recorded and analyzed by Kruskal-Wallis test.
Frameworks were cemented on the corresponding analogs with zinc phosphate cement
and tensile resistance test was used to measure retention value. Statistical
Analysis Used: One-way analysis of variance (ANOVA) and post hoc Tukey tests were
used for statistical analysis. Level of significance was set at P < 0.05.
Results: The mean retentive values of 680.36 +/- 21.93 N, 440.48 +/- 85.98 N, and
407.23 +/- 67.48 N were recorded for CAD/CAM, rapid prototyping, and conventional
group, respectively. One-way ANOVA test revealed significant differences among
the three groups (P < 0.001). The post hoc Tukey test showed significantly higher
retention for CAD/CAM group (P < 0.001), while there was no significant
difference between the two other groups (P = 0.54). CAD/CAM group required
significantly more adjustments (P < 0.001). Conclusions: CAD/CAM-fabricated wax
patterns showed significantly higher retention for implant-supported cement
retained frameworks; this could be a valuable help when there are limitations in
the retention of single-unit implant restorations.
PMID- 29657529
TI - Impact of electro-neuro-feedback on postoperative outcome of impacted lower third
molar surgery.
AB - Objective: The purpose of this study was to evaluate the impact of a treatment
with electro-neuro-feedback (ENF), a portable transcutaneous electrical nerve
stimulation (TENS) device, on the clinical outcome and inflammatory biochemical
parameters related to the impacted lower third molar surgery. Materials and
Methods: A randomized, split-mouth, and single-blind study was conducted on 32
patients requiring lower third molars extractions and referred to the Oral
Surgery Unit of the School of Dentistry of the University of Messina. Every
patient underwent surgical removal of both lower third molars. The first
extraction included a placebo (electrodes placement with turned-off device)
treatment following the surgery, while the second had the ENF used next to the
avulsion and the following days. Clinical parameters were collected 4 days
before, immediately after, 2, 4, and 7 days after the surgical procedure.
Biochemical parameters were obtained 1 day before the surgical removal and 7 days
after. Data were processed using Wilcoxon-Mann-Whitney test, with significance
being set at P < 0.05. Results: Clinical outcome parameters showed a significant
improvement after the ENF treatment, while considered inflammatory markers
expressed different patterns. Conclusions: ENF, a reliable portable TENS device,
has demonstrated to be a useful tool in the managing of the postsurgical phases,
reducing edema, pain, and consequent pain-killers consumption.
PMID- 29657530
TI - Increase the glyde path diameter improves the centering ability of F6 Skytaper.
AB - Objective: The aim of this study was to assess the impact of glide path
preparation, performed with PathGlider 0.15 (Komet Brasseler GmbH & Co., Lemgo,
Germany) and PathGlider 0.20 (Komet Brasseler GmbH & Co., Lemgo, Germany), on the
centering ability of 25-size F6 Skytaper in J-shape simulated root canals,
compared with no glide path executed. Materials and Methods: Sixty J-shaped ISO
15 0.02 taper endo training blocks (Dentsply Maillefer) were assigned to three
groups (n = 20 for each group). Photographic images were taken on endoblocks
before and after shaping procedures. After superimposition, the software AutoCAD
2013 (Autodesk Inc., San Rafael, USA) was used for record the centering and
shaping ability at 9 different levels from the apex. Results: Shaping procedures
including the using of PathGlider 0.20 resulted in a lower amount of resin
removed and in a clear improvement of centering ability of the Skytaper 0.25 at
almost all reference point levels. Conclusions: Within the limitations of this
study, it could be concluded that the glide path procedure, performed with the
PathGlider 0.20 before the shaping with 25-size F6 Skytaper, might determine a
lower amount of resin removed and a better centering ability compared with the
groups without glide path procedure and those treated with PathGlider 0.15.
PMID- 29657532
TI - Physicochemical and microscopic characterization of implant-abutment joints.
AB - Objective: The purpose of this study was to investigate Morse taper implant
abutment joints by chemical, mechanical, and microscopic analysis. Materials and
Methods: Surfaces of 10 Morse taper implants and the correlated abutments were
inspected by field emission gun-scanning electron microscopy (FEG-SEM) before
connection. The implant-abutment connections were tightened at 32 Ncm. For
microgap evaluation by FEG-SEM, the systems were embedded in epoxy resin and
cross-sectioned at a perpendicular plane of the implant-abutment joint.
Furthermore, nanoindentation tests and chemical analysis were performed at the
implant-abutment joints. Statistics: Results were statistically analyzed via one
way analysis of variance, with a significance level of P < 0.05. Results: Defects
were noticed on different areas of the abutment surfaces. The minimum and maximum
size of microgaps ranged from 0.5 MUm up to 5.6 MUm. Furthermore, defects were
detected throughout the implant-abutment joint that can, ultimately, affect the
microgap size after connection. Nanoindentation tests revealed a higher hardness
(4.2 +/- 0.4 GPa) for abutment composed of Ti6Al4V alloy when compared to implant
composed of commercially pure Grade 4 titanium (3.2 +/- 0.4 GPa). Conclusions:
Surface defects produced during the machining of both implants and abutments can
increase the size of microgaps and promote a misfit of implant-abutment joints.
In addition, the mismatch in mechanical properties between abutment and implant
can promote the wear of surfaces, affecting the size of microgaps and
consequently the performance of the joints during mastication.
PMID- 29657531
TI - Antimicrobial efficacy of 2.5% sodium hypochlorite, 2% chlorhexidine, and
ozonated water as irrigants in mesiobuccal root canals with severe curvature of
mandibular molars.
AB - Objective: The aim of this study is to evaluate the antimicrobial efficacy of
2.5% sodium hypochlorite, 2% chlorhexidine, and ozonated water on biofilms of
Enterococcus faecalis, Streptococcus mutans, and Candida albicans in mesiobuccal
root canals with severe curvature of mandibular molars. Materials and Methods:
This was an experimental ex vivo study in microbiologic laboratory. Sixty
mesiobuccal root canals with severe curvature of mandibular molars were
contaminated with standard strains of E. faecalis, S. mutans, and C. albicans.
The specimens were randomly divided into four groups (n = 15) according to
irrigating solution: SH: 2.5% sodium hypochlorite; CH: 2% chlorhexidine; O3:
ozonated water; and control: double-distilled water. The mesiobuccal root canals
of all groups were instrumented with the WaveOne Gold Primary reciprocating
system. Three cycles of instrumentation with three short in-and-out brushing
motions were performed: (1) in the coronal third, (2) in the middle third, and
(3) in the apical third of the canal. A ProGlider file was used before the first
cycle. Statistical Analysis: Statistical analysis was performed using one-way
analysis of variance followed by Tukey's multiple comparison test. Samples were
collected for viable bacterial counts before and after instrumentation. Results:
All groups showed significant biofilm reduction after irrigation (P < 0.01).
After instrumentation, sodium hypochlorite (98.07%), chlorhexidine (98.31%), and
ozonated water (98.02%) produced a significantly reduction in bacterial counts
compared with double-distilled water (control, 72.98%) (P < 0.01). Conclusion:
All irrigants tested in this study showed similar antimicrobial activity. Thus,
ozonated water may be an option for microbial reduction in the root canal system.
PMID- 29657534
TI - Quality of life of dentists.
AB - Objective: An important determinant of job satisfaction and life fulfillment is
the quality of life (QOL) of the individuals working in a particular field.
Currently, in the United Arab Emirates (UAE), there is limited research
pertaining to the QOL of dentists. The main objective of this study was to assess
QOL of dentists in the UAE. Materials and Methods: The World Health Organization
(WHO) QOL-BREF questionnaire (the World Health Organization abbreviated
instrument for QOL assessment), which assesses QOL in physical, psychological,
social, and environmental domains, was found to be a suitable instrument for use.
A total of 290 questionnaires were distributed to general dental practitioners
and specialists working in the private sector. The response rate was 46%. The
completed questionnaires were coded and analyzed using the SPSS IBM software
version 21. Results: QOL of specialists was significantly better than general
practitioners (GPs) on all domains of the WHOQOL-BREF (P < 0.05). Married
dentists had better QOL than singles on the social and environmental domains.
Furthermore, specialists reported significantly better QOL compared to GPs after
adjustment for sex, age, and marital status (P < 0.05) in the psychosocial and
environmental domains. Conclusions: Among dentists who work in the UAE, QOL can
be affected by several factors, one of them being whether dentist is a GP or a
specialist.
PMID- 29657533
TI - Effect of 0.12% chlorhexidine and zinc nanoparticles on the microshear bond
strength of dentin with a fifth-generation adhesive.
AB - Objective: In this study, we compared the effects of 0.12% chlorhexidine (CHX)
and nano zinc oxide (NZO) on the microshear bond strength of dentin with a fifth
generation adhesive after acid etching. Materials and Methods: Forty molar teeth
were randomly divided into four main groups based on dentin surface treatment
technique (a) control (single bond 2); (b) NZO; (c) CHX; and (d) NZO + CHX. In
each group, half of the samples underwent thermocycling, with no thermocycling in
the other half. Then, failure mode was evaluated under a stereomicroscope.
Statistical analysis was performed using t-test, two-way ANOVA, and Chi-squared
test. Results: The mean microshear bond strength of the groups without
thermocycling was more than that of the groups with thermocycling, but there were
no statistically significant differences between the groups with and without
thermocycling in pair-wise comparisons. Conclusion: Pretreatment with NZO and CHX
separately and simultaneously had no effect on the microshear bond strength of a
fifth-generation adhesive.
PMID- 29657535
TI - Effect of heat polymerization conditions and microwave on the flexural strength
of polymethyl methacrylate.
AB - Objective: The objective of this study is the effect of different heat
polymerization conditions on the strength of polymethyl methacrylate (PMMA) resin
base is unknown. Distinguishing one method that provides improved mechanical
properties may be beneficial to the clinical success of complete and partial
dentures and overdentures. The purpose of this study was to evaluate the effect
of different polymerization methods on the flexural strength of a dental PMMA
resin. Materials and Methods: Forty PMMA specimens (64 mm * 10 mm * 4 mm) were
prepared with 4 different polymerization methods (n = 10); heat polymerization at
74 degrees C for 9 h, at 100 degrees C for 40 min, and with 620 kPa pressure at
100 degrees C for 20 min. The remaining group of specimens was microwave
polymerized at 180 W for 6 min. All specimens were thermocycled at 5 degrees C
and 55 degrees C for 5000 times. Three-point flexure test was used to measure the
flexural strength of specimens. One-way ANOVA and Tukey Honestly Significant
Difference were applied to analyze the differences in flexural strengths (alpha =
0.05). Results: The flexural strength of heat-polymerized groups was similar. The
flexural strength of microwave polymerized group was significantly different and
lower than the other groups (P < 0.05). Conclusion: Polymerizing conventional
heat-polymerizing PMMA resin with microwave energy resulted in a significant
decrease in flexural strength. The results of this study suggest that clinicians
may benefit from using heat polymerization when processing PMMA denture bases
instead of microvawe polymerization when tested brand is used.
PMID- 29657536
TI - The relationship between tooth loss, body mass index, and hypertension in
postmenopausal female.
AB - Objective: This study aimed to investigate the relationship between tooth loss
and the level of blood pressure with the hypothesis that tooth loss is associated
with the increase of hypertension in postmenopausal women. Materials and Methods:
Sixty postmenopausal female patients aged 51-68 years were included in the study
to assess the relationship between tooth loss and the level of blood pressure.
The information including sociodemographics, last menstruation period,
hypertension history, and the duration of having tooth loss was recorded. Blood
pressure was measured using sphygmomanometer and the number of tooth loss was
determined. Results: The results showed a more significant tooth loss in
hypertension (median: 23 + 4; interquartile range [IQR]: 6) compared to the
normotension postmenopausal women (median: 18 + 6; IQR: 12; P < 0.05).
Furthermore, obese patients had more tooth loss (median: 23 + 5; IQR: 8) than the
overweight patients (median: 19 + 8; IQR: 8). Conclusion: Tooth loss is
associated with the increase of hypertension in postmenopausal women which may
have a role in the development of vascular diseases.
PMID- 29657537
TI - Analysis of vertical marginal discrepancy in feldspathic porcelain crowns
manufactured with different CAD/CAM systems: Closed and open.
AB - Objective: The objective of this study is to compare the marginal adaptation of
feldspathic porcelain crowns using two computer-aided design/computer-aided
manufacturing systems, one of them is open and the other is closed. Materials and
Methods: Twenty identical titanium abutments were divided into two groups: open
system (OS), where ceramic crowns were created using varied equipment and
software, and closed system (CS), where ceramic crowns were created using the
CEREC system. Through optical microscopy analysis, we assess the marginal
adaptation of the prosthetic interfaces. The data were subjected to the
distribution of normality and variance. The t-test was used for the analysis of
the comparison factor between the groups, and the one-way ANOVA was used to
compare the variance of crown analysis regions within the group. A significance
level of 5% was considered for the analyses. Results: There was a significant
difference between the systems (P = 0.007), with the CS group having the higher
mean (23.75 MUm +/- 3.05) of marginal discrepancy when compared to the open group
(17.94 MUm +/- 4.77). Furthermore, there were no differences in marginal
discrepancy between the different points between the groups (P >= 0.05).
Conclusions: The studied groups presented results within the requirements set out
in the literature. However, the OS used presented better results in marginal
adaptation.
PMID- 29657538
TI - Impact of malocclusion severity on oral health-related quality of life in an
Iranian young adult population.
AB - Objective: This study aimed to assess the relationship between malocclusion
severity and oral health-related quality of life (QoL) of 18 to 25-year-old
Iranians who sought orthodontic treatment. Materials and Methods: A total of 126
patients between 18 and 25 years attending some private orthodontic clinics
answered the oral health impact profile-14 (OHIP-14) and a demographic
questionnaire. Two calibrated orthodontists recorded the Index of Orthodontic
Treatment Need-Dental Health Component (IOTN-DHC) determining the severity of
malocclusion (Kappa = 0.8). The IOTN-Aesthetic Component (IOTN-AC) was reported
by patients for assessing the perception of their esthetic severity of
malocclusion. Logistic regression analysis was used. Level of significance was
set at alpha = 0.05. Results: The mean score of OHIP-14 was 20.87 +/- 8.6. The
frequency of patients with no/slight, borderline, and definite need for
orthodontic treatment was determined as 13.4%, 23.8%, and 62.7%, respectively, by
IOTN-DHC. There were significant correlations between borderline or definite need
treatment and OHIP-14 overall score (P < 0.05). Patients with borderline and
definite need for orthodontic treatment had 5 and 21 times lower QoL,
respectively, than those with a slight need for orthodontic treatment. Based on
IOTN-AC, 50.8% of the patients mentioned slight or no need based on IOTN-AC. No
significant association was noted between IOTN-AC and OHIP-14 overall scores.
Conclusions: The results showed negative impact of malocclusion severity on the
QoL. This study highlighted the importance of individual assessment of
orthodontic patients.
PMID- 29657539
TI - Cone-beam computed tomography in the assessment of periapical lesions in
endodontically treated teeth.
AB - Objective: This study aimed to evaluate the relationship between the quality of
the coronal restoration and the root canal filling on the periapical status of
endodontically treated teeth using CBCT. Materials and Methods: CBCT data were
obtained from the records of patients who deny any dental treatment in the 2
years prior to the CBCT examination. CBCT images (90 kVp and 7 mA, exposure time
of 23 s, and a voxel size of 0.2 mm, with a field of view of 13 cm * 13 cm) of
1011 endodontically treated teeth were observed. A score was given to the quality
of the root filling and the quality of the coronal restoration. Statistical
Analysis Used: Data were statistically analyzed to correlate the periapical
status with gender, dental group. and quality of endodontic treatment and
restoration (Chi-square test with a significance level of P < 0.001). Results:
Absence of periapical periodontitis was found in 54.9% of the cases. The
periapical outcome was not related to gender or dental group (P > 0.05). A
statistically significant factor (Chi-square test, P < 0.0001) resulted when
different qualities of sealing were compared. Conclusions: CBCT showed that high
quality root canal treatments followed by an adequate coronal sealing restoration
avoid the presence of periapical periodontitis in time.
PMID- 29657540
TI - Relationship between Expanded Disability Status Scale scores and the presence of
temporomandibular disorders in patients with multiple sclerosis.
AB - Objectives: The objectives of this study were to assess the prevalence of
temporomandibular disorders (TMDs) in patients with relapsing-remitting multiple
sclerosis (MS) and to investigate whether an association exists between the
presence of TMD symptoms and the degree of MS-related disability. Materials and
Methods: In all, 120 individuals were evaluated: 60 patients with a diagnosis of
relapsing-remitting MS and 60 age- and sex-matched controls without neurological
impairments. A questionnaire recommended by the European Academy of
Craniomandibular Disorders for the assessment of TMD symptoms was administered.
For those who answered affirmatively to at least one of the questions, the
RDC/TMD Axis I instrument was used for a possible classification of TMD subtypes.
The Expanded Disability Status Scale (EDSS) was the measure of the degree of MS
related disability. Statistical Analysis Used: Fisher's exact test was used to
analyze the data. ANOVA was used to detect significant differences between means
and to assess whether the factors influenced any of the dependent variables by
comparing means from the different groups. Results: The prevalence of TMD
symptoms in patients with MS was 61.7% versus 18.3% in the control group (CG). A
diagnosis of TMD was established for 36.7% in the MS group and 3.3% in the CG (P
= 0.0001). There were statistically significant differences between degrees of MS
related disability and the prevalence of TMD (P = 0.0288). Conclusions: The
prevalence of both TMD and TMD symptoms was significantly greater in the MS
group. EDSS scores and TMD prevalence rates were inversely related.
PMID- 29657541
TI - Gingival melanin depigmentation by 810 nm diode laser.
AB - The color of gingiva is determined by number and size of blood vessels, thickness
of epithelium, keratinization degree, and melanin pigments present in epithelium.
Melanocytes, located in basal and suprabasal layers of epithelium, are the cells
that produce melanin pigments which play a main role for pigmentation of gingiva.
In this case series, the use of 810 nm diode laser for depigmentation of gingiva
is presented. Two female patients applied with a chief complaint of "darkened
gums" due to heavy smoking. In intraoral examination, diffuse melanin
pigmentation was observed in both the maxilla and mandible. Under the local
anesthesia, 810 nm diode laser was applied for depigmentation at 1.3 W power in
continuous mode. Patients were recalled at weeks 1, 4, and 12 to evaluate the
healing and recurrence rate. Both the patients had no postoperative pain or
edema, and complete healing was observed at week 12. This study revealed that
depigmentation with 810 nm diode laser is successful in terms of esthetics and
patient comfort.
PMID- 29657542
TI - Accuracy of computer-guided surgery for dental implant placement in fully
edentulous patients: A systematic review.
AB - Assess clinical studies regarding accuracy between virtual planning of computer
guided surgery and actual outcomes of dental implant placements in total
edentulous alveolar ridges. A PubMed search was performed to identify only
clinical studies published between 2011 and 2016, searching the following
combinations of keywords: "Accuracy AND Computer-Assisted Surgery AND Dental
Implants." Study designs were identified using the terms: Case Reports, Clinical
study, Randomized Controlled Trial, Systematic Reviews, Meta-Analysis, humans.
Level of agreement between the authors in the study selection process was
substantial (k = 0.767), and the study eligibility was considered excellent (k =
0.863). Seven articles were included in this review. They describe the use of
bone and muco-supported guides, demonstrating angular deviations cervically and
apically ranging from (minimum and maximum means), respectively, 1.85-8.4 (
degrees ), 0.17-2.17 (mm), and 0.77-2.86 (mm). Angular deviations obtained most
inaccuracy in maxila. For cervical and apical deviations, accuracy was
preponderantly lower in maxilla. Despite the similar deviations measurement
approaches described, clinical relevance of this study may be useful to warn the
surgeon that safety margins in clinical situations.
PMID- 29657543
TI - Association of ABO and Rh blood groups with breast cancer.
AB - Objectives: The aim of this study was to determine the association of "ABO" and
"Rhesus" blood groups with incidence of breast cancer. Methods: In this study, we
identified 70 research documents from data based search engines including
"PubMed", "ISI-Web of Knowledge", "Embase" and "Google Scholar". The research
papers were selected by using the primary key-terms including "ABO blood type",
"Rhesus" blood type and "breast cancer". The research documents in which "ABO"
and "Rhesus" blood types and breast cancer was debated were included. After
screening, we reviewed 32 papers and finally we selected 25 research papers which
met the inclusion criteria and remaining documents were excluded. Results: Blood
group "A" has high incidence of breast cancer (45.88%), blood group "O" has
(31.69%); "B" (16.16%) and blood group "AB" has (6.27%) incidence of breast
cancer. Blood group "A" has highest and blood group "AB" has least association
with breast cancer. Furthermore, "Rhesus +ve" blood group has high incidence of
breast cancer (88.31%) and "Rhesus -ve" blood group has least association with
breast cancer (11.68%). Conclusion: Blood group "A" and "Rhesus +ve" have high
risk of breast cancer, while blood type "AB" and "Rhesus -ve" are at low peril of
breast cancer. Physicians should carefully monitor the females with blood group
"A" and "Rh +ve" as these females are more prone to develop breast cancer. To
reduce breast cancer incidence and its burden, preventive and screening programs
for breast cancer especially in young women are highly recommended.
PMID- 29657544
TI - A Remote Sensing-Based Tool for Assessing Rainfall-Driven Hazards.
AB - RainyDay is a Python-based platform that couples rainfall remote sensing data
with Stochastic Storm Transposition (SST) for modeling rainfall-driven hazards
such as floods and landslides. SST effectively lengthens the extreme rainfall
record through temporal resampling and spatial transposition of observed storms
from the surrounding region to create many extreme rainfall scenarios. Intensity
Duration-Frequency (IDF) curves are often used for hazard modeling but require
long records to describe the distribution of rainfall depth and duration and do
not provide information regarding rainfall space-time structure, limiting their
usefulness to small scales. In contrast, RainyDay can be used for many hazard
applications with 1-2 decades of data, and output rainfall scenarios incorporate
detailed space-time structure from remote sensing. Thanks to global satellite
coverage, RainyDay can be used in inaccessible areas and developing countries
lacking ground measurements, though results are impacted by remote sensing
errors. RainyDay can be useful for hazard modeling under nonstationary
conditions.
PMID- 29657545
TI - Uninsured Migrants: Health Insurance Coverage and Access to Care Among Mexican
Return Migrants.
AB - Background: Despite an expansive body of research on health and access to medical
care among Mexican immigrants in the United States, research on return migrants
focuses primarily on their labor market mobility and contributions to local
development. Objective: Motivated by recent scholarship that documents poor
mental and physical health among Mexican return migrants, this study investigates
return migrants' health insurance coverage and access to medical care. Methods: I
use descriptive and multivariate techniques to analyze data from the 2009 and
2014 rounds of Mexico's National Survey of Demographic Dynamics (ENADID, combined
n=632,678). Results: Analyses reveal a large and persistent gap between recent
return migrants and non-migrants, despite rising overall health coverage in
Mexico. Multivariate analyses suggest that unemployment among recent arrivals
contributes to their lack of insurance. Relative to non-migrants, recently
returned migrants rely disproportionately on private clinics, pharmacies, self
medication, or have no regular source of care. Mediation analysis suggests that
returnees' high rate of uninsurance contributes to their inadequate access to
care. Conclusion: This study reveals limited access to medical care among the
growing population of Mexican return migrants, highlighting the need for targeted
policies to facilitate successful reintegration and ensure access to vital
resources such as health care.
PMID- 29657546
TI - Successful pregnancy outcome using sperm from severely diseased men with
testicular cancer and collagen disease: Three case reports.
AB - Objective: To confirm the feasibility of using fresh or frozen/thawed
spermatozoa from cancer and collagen diseased patients for intracytoplasmic sperm
injection (ICSI). Patients: Two participants were diagnosed with testicular
carcinoma and one patient was diagnosed with collagen disease. Methods: Of the
two carcinoma patients, one patient provided a fresh testicular biopsy sample and
one patient ejaculated fresh sperm after surgical operation. One collagen
diseased patient's sperm was frozen, and three samples were used in subsequent
ICSI treatment cycles. Their female partners underwent controlled ovarian
hyperstimulation for the retrieval of oocytes. Results: Two patients with
testicular cancer and their respective partners achieved successful pregnancies
from ICSI using fresh sperm after surgery. The patient suffering from collagen
disease had a successful pregnancy from ICSI using sperm frozen prior to
chemotherapy treatment. Conclusions: Patients with testicular carcinoma or
collagen disease who might desire to father children in the future should be
offered sperm preservation prior to the initiation of chemotherapy treatment.
Under currently available treatment, patients with cases of severe disease can
still become biological fathers. (Reprod Med Biol 2004; 3: 69-75).
PMID- 29657547
TI - Molecular screening of unculturable bacteria present in men with reproductive
failure.
AB - Objective: To determine the presence of unculturable bacteria using polymerase
chain reaction (PCR) in infertile men with pyosperrmia. Design: Perspective
clinical study. Setting: The study took place at the Department of Reproductive
Medicine, Owaisi Hospital and Research Center; In vitro Fertilization Unit,
Mahavir Hospital and Research Center; Center for Cellular and Molecular Biology;
and Bharat Biotech Foundation, Hyderabad, India. Patients: A total of 68
infertile men and 15 donors, all with no symptoms of genito-urinary tract
infections and sterile semen cultures were included in the study. Interventions:
None. Main outcome measures: Screening bacteria using routine bacterial cultures
and PCR based screening with universal eubacterial primers. Results: The
statistical analysis of all the semen parameters in asthenazoospermic,
azoospermic, ceyptazoospermic, severe oligospermic and mild oligospermic patients
were found to be significant compared with the controls. All the groups were
found to be significant compared with the controls (P < 0.05) except for volume
and pus cells in the cryptozoospermia group. The Student's t-test also was
significant for the seminal parameters before and after treatment of 68 selected
individuals with pyospermia and sterile cultures. A total of 44.11% (30/68)
samples were collected from the negative culture of pyospermic infertile men have
shown the presence of bacteria on amplification using PCR with universal
eubacterial primers. The DNA was purified and sequenced. The sequences were
checked for homology using DNASTAR and Ribosomal DataBase Project II. A total of
90% of the samples have shown the nearest evolutionary relation to Pantoea P102
(AF394539) and 10% of samples have shown close relation with Burkholderia cepacia
(AF042161). Conclusion: The routine bacteriological cultures were unable to
detect certain bacterial species particularly with members of enterobacteriaceae
family (Pantoea species). Polymerase chain reaction, when used for screening
bacteria, can detect the unculturable form of bacteria in infertile men. No
amplification for bacterial DNA was obtained in control samples (fertile men with
sterile semen cultures.) (Reprod Med Biol 2004; 3: 77- 84).
PMID- 29657548
TI - Effects of dibromoacetic acid on murine spermatozoa and testis.
AB - Background and Aims: Bromoacetic acids are a by-product of water ozonation and
dibromoacetic acid (DBAA) in particular, which is a by-product of disinfection,
inhibits male reproductive functions. In order to understand its effects, the
spermatozoa and testes of mice were exposed to DBAA. Methods: Twelve-week-old
ICR mice were exposed to 10 p.p.m. DBAA. They were examined in regards to effects
on the weights of body, testis and epididymis, the histological changes of tesits
and the protein expression in testis. Results: Neither the bodyweight nor the
weights of the testis and epididymis of the exposed mice was affected, but
approximately 13% of spermatozoa obtained from the cauda epididymis were motile
with a drop-shaped head, and structures resembling residual bodies were found in
the testis. Moreover, the expression of two testis proteins was changed by
exposure to DBAA. Conclusions: It was likely that DBAA inhibited male
reproductive functions by disturbance of spermatogenesis via change of protein
expression. (Reprod Med Biol 2004; 3: 85-93).
PMID- 29657550
TI - Accelerated decline in pregnancy rate after in vitro fertilization and embryo
transfer in 35-41-year-old women: 15 years' experience in the Okinawa Islands,
Japan.
AB - Aim: To describe 15 years of in vitro fertilization and embryo transfer (IVF-ET)
treatment at University Hospital, with special reference to a potential
association between pregnancy rate and patient age. Methods: A retrospective
analysis of 2355 IVF-ET cycles carried out in 789 patients was undertaken. The
main outcome measures were ovarian response, fertilization rate and pregnancy
rate. Results: Pregnancy rates in ET cycles carried out in women aged between 23
and 46 years fell significantly with patient age, with a more rapid decline after
35 years and particularly between 35 and 41 years. Almost all laboratory findings
were significantly worse with increased patient age, although fertilization rate
did not drop with age. The spontaneous abortion rate increased drastically with
age and the vast majority of abortions resulted from chromosomal abnormality.
Conclusion: It is essential to provide infertile women with practical and
reliable information on the age-associated pregnancy rate for appropriate
counseling and to encourage them to seek IVF-ET treatment at an earlier age.
(Reprod Med Biol 2006; 5: 51-57).
PMID- 29657549
TI - Regulation of testicular function by cell-to-cell interaction.
AB - Cell-to-cell interactions in the testis play important roles in regulating
testicular function. Investigating the mechanism of such interactions is
essential to elucidate the cause of spermatogenic dysfunction and to create a new
treatment. (Reprod Med Biol 2006; 5: 9-17).
PMID- 29657551
TI - Recombinant-follicle stimulating hormone is more effective than urinary human
menopausal gonadotropin in ovarian hyperstimulation for assisted reproductive
technology treatment.
AB - Aim: The aim of the present study was to establish a standard protocol for
ovarian stimulation with gonadotropin-releasing hormone analog (GnRH-a) long
protocol using recombinant-follicle stimulating hormone (rec-FSH) preparations
for assisted reproductive technology (ART) treatment. Methods: In 86 patients
who underwent ovarian stimulation with GnRH-a long protocol for ART treatment, 53
were stimulated by rec-FSH preparations (rec-FSH group) and the others were
stimulated by urinary-hMG (u-hMG group) preparations. The subjects were randomly
assigned to either of these preparations. Hormonal profiles, total doses of
gonadotropins, duration of stimulation and ART results were compared in both
groups. Results: The duration of stimulation was similar in both groups (9.2 +/-
0.3 days and 9.2 +/- 0.2 days, respectively). The total doses of gonadotropin in
the rec-FSH group (1505.3 +/- 29.2 IU) was significantly lower than those in the
u-hMG group (2130.3 +/- 54.6 IU, P < 0.0001). The FSH and LH values on the day of
human chorionic gonadotropin (hCG) administration in the rec-FSH group were
significantly lower than those in the u-hMG group. Pregnancy rates were 31.3% in
the rec-FSH group and 33.3% in the u-hMG group, respectively. Conclusions: The
present study showed that rec-FSH preparations were more potent than conventional
u-hMG preparations and the protocol of the present study with rec-FSH was a new
ovarian stimulation protocol with GnRH-a long protocol. (Reprod Med Biol 2007; 6:
27-32).
PMID- 29657552
TI - High incidence of tubal dysfunction is determined by laparoscopy in cases with
positive Chlamydia trachomatis antibody despite negative finding in prior
hysterosalpingography.
AB - Aim: Hysterosalpingography (HSG) is one of the most commonly used methods in
order to evaluate the condition of fallopian tubes in infertility clinics. In the
present paper, we retrospectively compared the findings of HSG and laparoscopy to
elucidate the relationship between tubal dysfunction and background factors, such
as Chlamydia trachomatis infection, endometriosis and previous surgery. Methods:
We retrospectively reviewed clinical records of 314 patients who were examined by
both HSG and laparoscopy between 1996 and 2001 in the Department of Obstetrics
and Gynecology, University of Tokyo. Results: When HSG findings were evaluated
in reference to those of laparoscopy, sensitivity and specificity for tubal
patency were 0.63 and 0.79, respectively, whereas those for peri-tubal adhesion
were 0.65 and 0.61, respectively. We compared the percentage of existence of
background factors between the patients who were diagnosed as normal by both HSG
and laparoscopy (Group L[+]) and those whose fallopian tubes were observed as
patent by HSG, but were not patent by chromopertubation under laparoscopy (Group
L[-]). The percentage of patients with positive chlamydial antibodies in Group L(
) (42.9%, 15/35) was significantly higher than that of patients with positive
chlamydial antibodies in Group L(+) (22.8%, 44/193, P < 0.05). Conclusions:
These finding suggested that even if HSG showed normally patent tubes in a
patient with positive Chlamydia trachomatis antibodies, the possibility of tubal
occulusion still remains high and further examination by laparoscopy is
recommended. (Reprod Med Biol 2007; 6: 39-43).
PMID- 29657553
TI - A study of the effect of an extremely low oxygen concentration on the development
of human embryos in assisted reproductive technology.
AB - Purpose: To determine whether embryos cultured with a low oxygen level (2%)
brought about beneficial effects on the outcome of ART. Methods: This is a
sequential case-control embryo-culture study. Embryos were cultured either with a
gas mixture containing 2% O2, 5% CO2, and 93% N2 (low-oxygen group) or 5% O2, 5%
CO2, and 90% N2 (conventional group). From January 2008 to September 2008, 873
fertilized oocytes were obtained from 250 patients in the low-oxygen group and
from October 2008 to March 2009, 730 fertilized oocytes were obtained from 213
patients in the conventional group. The outcomes of ART were compared between two
groups. Results: The cleavage rate in the low-oxygen group (94.4%) was similar to
that (94.7%) in the conventional group. The mean number of blastomeres on Day 3
in the low-oxygen group (mean +/- SE) was 6.5 +/- 1.9, and this was significantly
lower than in the conventional group (6.8 +/- 1.9, p < 0.05). Moreover, the low
oxygen group produced worse quality embryos, on the basis of the significantly
higher embryo grade 2.1 +/- 0.6 versus 1.9 +/- 0.6, p < 0.001, in 5% oxygen. The
pregnancy and miscarriage rates in the low-oxygen group were 22.3 and 20.8%,
respectively, which were statistically similar to the outcomes in the
conventional group. Conclusions: Overall, culture of embryos at the low oxygen
level did not significantly improve ART results compared with embryos grown in 5%
oxygen. The study suggests that a low oxygen level worsens embryo morphology but
does not impair embryo viability.
PMID- 29657554
TI - Electrochemically-induced reduction of nitrate in aqueous solution.
AB - In this study, we evaluated the removal of nitrate from synthetic groundwater by
a cathode followed by an anode electrode sequence in the electrochemical flow
through reactor. We also tested the feasibility of the used electrode sequence to
minimize the production of ammonia during the nitrate reduction. The performance
of monometallic Fe, Cu, Ni and carbon foam cathodes was tested under different
current intensities, flow rates/regimes and the presence of Pd and Ag catalyst
coating. With the use of monometallic Fe and an increase in current intensity
from 60 mA to 120 mA, the nitrate removal rate increased from 7.6% to 25.0%, but
values above 120 mA caused a decrease in removal due to excessive gas formation
at the electrodes. Among tested materials, monometallic Fe foam cathode showed
the highest nitrates removal rate and increased significantly in the presence of
Pd catalyst: from 25.0% to 39.8%. Further, the circulation under 3 mL min-1
elevated the nitrate removal by 33% and the final nitrate concentration fell
below the maximum contaminant level of 10 mg L-1 nitrate-nitrogen (NO3-N). During
the treatment, the yield of ammonia production after the cathode was 92+/-4%
while after the anode (Ti/IrO2/Ta2O5), the amount of ammonia significantly
declined to 50%. The results proved that flow-through, undivided electrochemical
systems can be used to remove nitrate from groundwater with the possibility of
simultaneously controlling the generation of ammonia.
PMID- 29657555
TI - The role of candidate evaluations in the 2014 European Parliament elections:
Towards the personalization of voting behaviour?
AB - We study the personalization of voting behaviour in European Parliament
elections. We argue that information from the media is crucial for providing
linkages between candidates and voters. Moreover, we contend that candidates can
serve as information short-cuts given the complexity of European Union politics.
We use a four-wave Dutch panel survey and a media study that enable us to link
evaluations of lead candidates, party preferences, and vote choice to exposure to
news about these candidates. We show, firstly, that exposure to candidate news is
a strong explanatory factor for candidate recognition. Secondly, we find that
candidate evaluations positively affect party choice, albeit mainly for those
voters who tend to be politically aware. Our research has implications for
debates about the European Union's accountability deficit.
PMID- 29657556
TI - Care in Nursing Facilities after Palliative Consult.
AB - Despite hospital palliative care consultations during which goals of care are
discussed in the context of poor prognoses, older adults are admitted to nursing
homes for post-acute care where the focus is on rehabilitation. The purpose of
this qualitative descriptive study was to describe factors that influence
discontinuity between a palliative care consult and nursing home care and explore
the potential consequences of this discontinuity. Twelve adults (mean age of 80
years) were enrolled from one community hospital and nursing home in the mid
Atlantic United States. Semi-structured interviews and medical record reviews
were used to elicit information about clinical course, care processes, and
patient/family preferences at hospital discharge and up to four times after
nursing home admission. Data were analyzed using inductive content analysis
techniques. Analysis revealed two themes: Inadequate Communication characterized
by the lack of information about the palliative care consult after hospital
discharge and Prognosis Incongruence evidenced by data demonstrating a
discrepancy between hospital prognosis and nursing home care. Ongoing
communication between settings to re-address goals of care, prognosis, and
symptoms-the central tenets of palliative care-is lacking. Efforts to improve
access to comprehensive palliative care delivery after hospitalization and during
nursing home transitions are greatly needed.
PMID- 29657557
TI - Learning Subnetwork Biomarkers via Hypergraph for Classification of Autism
Disease.
PMID- 29657558
TI - Portraits of Well-Being: Photography as a Mental Health Support for Women With
HIV.
AB - Many women living with HIV/AIDS (WL-HIV/AIDS) experience significant mental
distress. Although creative arts strategies are well positioned to help support
women by inspiring creativity and meaning-making, few arts programs have been
implemented and evaluated with this population. We conducted a photography
project with thirty WL-HIV/AIDS from three United States cities. Participants
took pictures to capture their life with HIV/AIDS and described their photos and
stories in group and individual sessions. Using thematic analysis, we identified
that the project supported women's mental health in four ways by facilitating
empowerment and helping women to express themselves, address their mental health
with new tools like photography, and process past traumas. Photography projects
may help WL-HIV/AIDS understand and manage their mental health.
PMID- 29657559
TI - Activation peptide of the coagulation factor XIII (AP-F13A1) as a new biomarker
for the screening of colorectal cancer.
AB - Background: Colorectal cancer (CRC) remains a major cause of cancer fatalities in
developed countries. The risk of death is correlated to the stage of CRC during
the primary diagnosis. Early diagnosis is closely associated with enhanced
survival rate. We therefore investigated the AP-F13A1 as a potential protein
marker of CRC. Methods: The protein expression of FXIII in 40 serum samples was
evaluated by enzyme-linked immunosorbent assays. Additionally, targeted proteomic
assays (LC-PRM) were used to evaluate the expression of the activation peptide of
F13A1 (AP-F13A1) in a further 113 serum samples. Results were analyzed by the
Wilcoxon test and receiver operating characteristic curves generated to assess
statistical differences and diagnostic factors between CRC patients and controls.
Results: AP-F13A1 was quantified in human serum samples using calibration curves
with excellent linearity. AP-F13A1 was reduced in CRC patients using PRM assays
from two distinct biobanks. The AUC for AP-F13A1 were 0.95 and 0.93.
Sensitivity/specificity values for the two sets of patients were 75%/95% and
71%/95% respectively. Conclusion: We have presented the proof of principle that
in vivo release of AP-F13A1 can be measured by PRM-based strategies in CRC serum
samples. AP-F13A1 may be an effective serological biomarker as part of a
screening program of CRC detection.
PMID- 29657560
TI - Analyzing user interactions with biomedical ontologies: A visual perspective.
AB - Biomedical ontologies are large: Several ontologies in the BioPortal repository
contain thousands or even hundreds of thousands of entities. The development and
maintenance of such large ontologies is difficult. To support ontology authors
and repository developers in their work, it is crucial to improve our
understanding of how these ontologies are explored, queried, reused, and used in
downstream applications by biomedical researchers. We present an exploratory
empirical analysis of user activities in the BioPortal ontology repository by
analyzing BioPortal interaction logs across different access modes over several
years. We investigate how users of BioPortal query and search for ontologies and
their classes, how they explore the ontologies, and how they reuse classes from
different ontologies. Additionally, through three real-world scenarios, we not
only analyze the usage of ontologies for annotation tasks but also compare it to
the browsing and querying behaviors of BioPortal users. For our investigation, we
use several different visualization techniques. To inspect large amounts of
interaction, reuse, and real-world usage data at a glance, we make use of and
extend PolygOnto, a visualization method that has been successfully used to
analyze reuse of ontologies in previous work. Our results show that exploration,
query, reuse, and actual usage behaviors rarely align, suggesting that different
users tend to explore, query and use different parts of an ontology. Finally, we
highlight and discuss differences and commonalities among users of BioPortal.
PMID- 29657562
TI - Mental Health Disorder Therapeutic Modalities Modified for the GMS.
AB - Background: Mental health disorders can affect physical and psychological
behaviors. The people of the Greater Mekong Subregion (GMS) have a high risk of
mental health disorders, such as depression, stress, and substance abuse be-cause
the people in this region are trafficked for forced sex work and various forms of
forced labor. In these situations, vic-tims often endure violence and abuse from
trafficking recruiters, employers, and other individuals. The purposes of this
study were to identify the elements characterizing mental health disorders,
especially in terms of depression, stress, and sub-stance abuse, and to identify
the treatment modalities for mental health disorders in the GMS. Methods: The
researcher undertook a comparative analysis of the literature, reviews of
epidemiological studies and mental disorder therapies, and overviews of previous
research studies, were used to generate a synthesis of the existing knowledge of
the mental disorder therapeutic modalities. Regarding the search methods, the
data from the electronic databases PubMed, PsycINFO, Dynamed and ScienceDirect
were supplemented with a manual reference search covering relevant studies from
2005 to 2016. Results: Thirty-one papers were included in the review of elements
characterizing mental health disorders, especially in terms of depression,
stress, and substance abuse, and to identify the treatment modalities for mental
health disorders in the GMS. Nine papers defined characterizing mental health
disorders, in terms of depression, stress, and substance abuse. Twenty-two papers
showed the treatment modalities for mental health disorders that the treatment
was effective, these in-cluded pharmacological treatments and psychological
treatments, such as mindfulness-based cognitive therapy, biofeedback, and music
therapy. Useful guidance can be provided for the prevention and treatment of
mental health disorders, and for the care of people in the Greater Mekong
Subregion. Conclusion: The finding of this review confirms the therapeutic
modalities can provide useful guidance for the prevention and treatment of mental
health disorders and the care of the people in the Greater Mekong Sub-region. In
addition, the effective interventions should be tested regarding their
suitability for the socio-cultural context in the Greater Mekong Subregion.
PMID- 29657561
TI - Habitual Sleep and human plasma metabolomics.
AB - Introduction: Sleep plays an important role in cardiometabolic health. The sleep
wake cycle is partially driven by the endogenous circadian clock, which governs a
range of metabolic pathways. The association between sleep and cardiometabolic
health may be mediated by alterations of the human metabolome. Objectives: To
better understand the biological mechanism underlying the association between
sleep and health, we examined human plasma metabolites in relation to sleep
duration and sleep timing. Methods: Using an untargeted approach, 329 fasting
plasma metabolites were measured in 277 Chinese participants. We measured sleep
timing (midpoint between bedtime and wake up time) using repeated time-use
surveys (4 weeks during one year) and previous night sleep duration from
questionnaires completed before sample donation. Results: We found 64 metabolites
that were associated with sleep timing with a false discovery rate of 0.2 or
lower, after adjusting for potential confounders. Notably, we found that later
sleep timing was associated with higher levels of multiple metabolites in amino
acid metabolism, including branched chain amino acids and their gamma-glutamyl
dipeptides. We also found widespread associations between sleep timing and
numerous metabolites in lipid metabolism, including bile acids, carnitines and
fatty acids. In contrast, previous night sleep duration was not associated with
plasma metabolites in our study. Conclusion: Sleep timing was associated with a
large number of metabolites across a variety of biochemical pathways. Some
metabolite associations are consistent with a relationship between late
chronotype and adverse effects on cardiometabolic health.
PMID- 29657564
TI - Optimization of moderators and beam extraction at the ESS.
AB - A global approach coupling the moderator to the beam extraction system has been
applied for the design optimization of the thermal and cold moderators of the
European Spallation Source (ESS), which will be the brightest neutron source in
the world for condensed-matter studies. The design is based on the recently
developed high-brightness low-dimensional moderator concepts. Para-hydrogen is
used for the cold neutron source, while thermal neutrons are provided by
moderation in water. The overall moderation configuration was chosen in order to
satisfy a range of requirements on bispectral extraction, beamport configuration
and instrument performance. All instruments are served by a single moderator
assembly above the target, arranged in a 'butterfly' geometry with a height of 3
cm. This was determined to be the optimal height for trade-off between high
brightness and efficient guide illumination, by analysis of the performance of 23
instruments, based on the reference suite of the ESS Technical Design Report. The
concept of 'brilliance transfer' is introduced to quantify the performance of the
neutron optical system from the source to the sample. The target monolith
incorporates a grid of 42 neutron beamports with an average separation of 6
degrees , allowing a free choice between cold and thermal neutron sources at all
instrument positions. With the large number of beamports and the space below the
target available for future moderators, ample opportunities are available for
future upgrades.
PMID- 29657563
TI - Influence of Culture in Obsessive-compulsive Disorder and Its Treatment.
AB - Background: The aspects of cultural identity and its impact on obsessive
compulsive disorder (OCD) have been un-derstudied. There are different opinions,
ranging from the idea that culture does not affect the symptoms of this condition
to the idea that cultures with high religiosity may have more severity of OCD.
Also, the concept of OCD has considerably var-ied across history and cultures,
from being considered an issue related to lack of control of blasphemous ideas,
and a part of anxious issues, to the description of complex neurobiological
systems in its causation. Objective: The aim of this review was to address OCD as
a well-characterized disorder with a proposed neurobiological ba-sis which may or
may not have variations depending on cultural diversity. The question that was
asked in this review is whether or not there are cultural differences in the
manifestations of the OCD symptomatology and which factors of cultural diversity
have a major influence on such manifestations along with the differences among
some cultures regarding OCD is-sues, where the difference among countries has
also been highlighted. Methods: A review of the literature was conducted that
includes the following words: obsessive-compulsive disorder, cul-ture, cultural
identity and religion in a period of 10 years. Conclusion: Cultural variations do
not seem to differ from symptomatic clusters of OCD, which may be indicating that
a se-ries of adaptive behaviors is evolutionarily evolving to be constantly
altered, perhaps by well-determined pathophysiological mechanisms. Some aspects
that have been related to some dimensions of OCD symptomatology are religion and
religiosity, affecting the content of obsessions and the severity of
manifestations. Properly evaluating the education background, access to health
services, food, and the genetic structure of populations, using investigational
instruments sensitive to these cultural elements, will increase our understanding
of the importance of culture on OCD and its treatment.
PMID- 29657565
TI - Implementation of cross correlation for energy discrimination on the time-of
flight spectrometer CORELLI.
AB - The CORELLI instrument at Oak Ridge National Laboratory is a statistical chopper
spectrometer designed and optimized to probe complex disorder in crystalline
materials through diffuse scattering experiments. On CORELLI, the high efficiency
of white-beam Laue diffraction combined with elastic discrimination have enabled
an unprecedented data collection rate to obtain both the total and the elastic
only scattering over a large volume of reciprocal space from a single
measurement. To achieve this, CORELLI is equipped with a statistical chopper to
modulate the incoming neutron beam quasi-randomly, and then the cross-correlation
method is applied to reconstruct the elastic component from the scattering data.
Details of the implementation of the cross-correlation method on CORELLI are
given and its performance is discussed.
PMID- 29657566
TI - The high-intensity option of the SANS diffractometer KWS-2 at JCNS -
characterization and performance of the new multi-megahertz detection system.
AB - A new detection system based on an array of 3He tubes and innovative fast
detection electronics has been installed on the high-intensity small-angle
neutron scattering (SANS) diffractometer KWS-2 operated by the Julich Centre for
Neutron Science (JCNS) at the Heinz Meier-Leibnitz Zentrum in Garching, Germany.
The new detection system is composed of 18 eight-pack modules of 3He tubes that
work independently of one another (each unit has its own processor and
electronics). To improve the read-out characteristics and reduce the noise, the
detection electronics are mounted in a closed case on the rear of the 3He tubes'
frame. The tubes' efficiency is about 85% (for lambda = 5 A) and the resolution
slightly better than 8 mm. The new detection system is characterized by a dead
time constant of 3.3 us per tube and an overall count rate as high as 6 MHz at
10% dead-time loss. Compared with the old detector this is an improvement by a
factor of 60. The much higher count rate will shorten the measurement times and
thus increase the number of experiments possible in a given time period by the
optimal use of the high flux of up to 2 * 108 n cm-2 s-1 at the sample position.
Combined with the event-mode operation capability, this will enable new
scientific opportunities in the field of structural investigations of small soft
matter and biological systems. The implementation of the detector in the high
intensity concept on KWS-2, its characterization and its performance based on
test experiments are reported in this paper.
PMID- 29657568
TI - The thermal expansion of gold: point defect concentrations and pre-melting in a
face-centred cubic metal.
AB - On the basis of ab initio computer simulations, pre-melting phenomena have been
suggested to occur in the elastic properties of hexagonal close-packed iron under
the conditions of the Earth's inner core just before melting. The extent to which
these pre-melting effects might also occur in the physical properties of face
centred cubic metals has been investigated here under more experimentally
accessible conditions for gold, allowing for comparison with future computer
simulations of this material. The thermal expansion of gold has been determined
by X-ray powder diffraction from 40 K up to the melting point (1337 K). For the
entire temperature range investigated, the unit-cell volume can be represented in
the following way: a second-order Gruneisen approximation to the zero-pressure
volumetric equation of state, with the internal energy calculated via a Debye
model, is used to represent the thermal expansion of the 'perfect crystal'. Gold
shows a nonlinear increase in thermal expansion that departs from this Gruneisen
Debye model prior to melting, which is probably a result of the generation of
point defects over a large range of temperatures, beginning at T/Tm > 0.75 (a
similar homologous T to where softening has been observed in the elastic moduli
of Au). Therefore, the thermodynamic theory of point defects was used to include
the additional volume of the vacancies at high temperatures ('real crystal'),
resulting in the following fitted parameters: Q = (V0K0)/gamma = 4.04 (1) * 10-18
J, V0 = 67.1671 (3) A3, b = (K0' - 1)/2 = 3.84 (9), thetaD = 182 (2) K,
(vf/Omega)exp(sf/kB) = 1.8 (23) and hf = 0.9 (2) eV, where V0 is the unit-cell
volume at 0 K, K0 and K0' are the isothermal incompressibility and its first
derivative with respect to pressure (evaluated at zero pressure), gamma is a
Gruneisen parameter, thetaD is the Debye temperature, vf, hf and sf are the
vacancy formation volume, enthalpy and entropy, respectively, Omega is the
average volume per atom, and kB is Boltzmann's constant.
PMID- 29657567
TI - A Monte Carlo approach for scattering correction towards quantitative neutron
imaging of polycrystals.
AB - The development of neutron imaging from a qualitative inspection tool towards a
quantitative technique in materials science has increased the requirements for
accuracy significantly. Quantifying the thickness or the density of
polycrystalline samples with high accuracy using neutron imaging has two main
problems: (i) the scattering from the sample creates artefacts on the image and
(ii) there is a lack of specific reference attenuation coefficients. This work
presents experimental and simulation results to explain and approach these
problems. Firstly, a series of neutron radiography and tomography experiments of
iron, copper and vanadium are performed and serve as a reference. These materials
were selected because they attenuate neutrons mainly through coherent (Fe and Cu)
and incoherent (V) scattering. Secondly, an ad hoc Monte Carlo model was
developed, based on beamline, sample and detector parameters, in order to
simulate experiments, understand the physics involved and interpret the
experimental data. The model, developed in the McStas framework, uses a priori
information about the sample geometry and crystalline structure, as well as
beamline settings, such as spectrum, geometry and detector type. The validity of
the simulations is then verified with experimental results for the two problems
that motivated this work: (i) the scattering distribution in transmission imaging
and (ii) the calculated attenuation coefficients.
PMID- 29657569
TI - RABDAM: quantifying specific radiation damage in individual protein crystal
structures.
AB - Radiation damage remains one of the major limitations to accurate structure
determination in protein crystallography (PX). Despite the use of cryo-cooling
techniques, it is highly probable that a number of the structures deposited in
the Protein Data Bank (PDB) have suffered substantial radiation damage as a
result of the high flux densities of third generation synchrotron X-ray sources.
Whereas the effects of global damage upon diffraction pattern reflection
intensities are readily detectable, traditionally the (earlier onset) site
specific structural changes induced by radiation damage have proven difficult to
identify within individual PX structures. More recently, however, development of
the BDamage metric has helped to address this problem. BDamage is a quantitative,
per-atom metric identifies potential sites of specific damage by comparing the
atomic B-factor values of atoms that occupy a similar local packing density
environment in the structure. Building upon this past work, this article presents
a program, RABDAM, to calculate the BDamage metric for all selected atoms within
any standard-format PDB or mmCIF file. RABDAM provides several useful outputs to
assess the extent of damage suffered by an input PX structure. This free and open
source software will allow assessment and improvement of the quality of PX
structures both previously and newly deposited in the PDB.
PMID- 29657570
TI - Fluorescent Nanomaterials for the Development of Latent Fingerprints in Forensic
Sciences.
AB - This review presents an overview on the application of latent fingerprint
development techniques in forensic sciences. At present, traditional developing
methods such as powder dusting, cyanoacrylate fuming, chemical method, and small
particle reagent method, have all been gradually compromised given their emerging
drawbacks such as low contrast, sensitivity, and selectivity, as well as high
toxicity. Recently, much attention has been paid to the use of fluorescent
nanomaterials including quantum dots (QDs) and rare earth upconversion
fluorescent nanomaterials (UCNMs) due to their unique optical and chemical
properties. Thus, this review lays emphasis on latent fingerprint development
based on QDs and UCNMs. Compared to latent fingerprint development by traditional
methods, the new methods using fluorescent nanomaterials can achieve high
contrast, sensitivity, and selectivity while showing reduced toxicity. Overall,
this review provides a systematic overview on such methods.
PMID- 29657572
TI - Editorial.
PMID- 29657573
TI - New Adipokines Linked to Obesity and Obesity-Related Diseases.
AB - Adipose tissue plays essential metabolic roles, not only serving as massive
energy reservoir but also producing and releasing hormones and other biologically
active molecules that regulate several metabolic activities. Adipocytes secrete a
variety of factors, referred to as adipokines. Current research has identified
over 50 adipocyte-secreted factors, and more are yet to be discovered. In
obesity, increased production of pro-inflammatory adipokines and diminished
synthesis of anti-inflammatory factors impacts on multiple functions such as
appetite and energy balance, immunity, insulin sensitivity, angiogenesis, blood
pressure and lipid metabolism. All are linked with higher risk for cardiovascular
disease. Various adipocyte-released compounds profoundly affect insulin
sensitivity and might potentially link obesity-related diseases, including
atherosclerotic cardiovascular disease, type 2 diabetes mellitus, hypertension,
dyslipidaemia and insulin resistance. This review aims to present some of the
recent topics of selected adipokine research that may be of particular
importance.
PMID- 29657571
TI - Ice-Templated Protein Nanoridges Induce Bone Tissue Formation.
AB - Little is known about the role of biocompatible protein nanoridges in directing
stem cell fate and tissue regeneration due to the difficulty in forming protein
nanoridges. Here an ice-templating approach is proposed to produce semi-parallel
pure silk protein nanoridges. The key to this approach is that water droplets
formed in the protein films are frozen into ice crystals (removed later by
sublimation), pushing the surrounding protein molecules to be assembled into
nanoridges. Unlike the flat protein films, the unique protein nanoridges can
induce the differentiation of human mesenchymal stem cells (MSCs) into
osteoblasts without any additional inducers, as well as the formation of bone
tissue in a subcutaneous rat model even when not seeded with MSCs. Moreover, the
nanoridged films induce less inflammatory infiltration than the flat films in
vivo. This work indicates that decorating biomaterials surfaces with protein
nanoridges can enhance bone tissue formation in bone repair.
PMID- 29657574
TI - The Impairment of Methyl Metabolism From luxS Mutation of Streptococcus mutans.
AB - The luxS gene is present in a wide range of bacteria and is involved in many
cellular processes. LuxS mutation can cause autoinducer(AI)-2 deficiency and
methyl metabolism disorder. The objective of this study was to demonstrate that,
in addition to AI-2-mediated quorum sensing (QS), methyl metabolism plays an
important role in LuxS regulation in Streptococcus mutans. The sahH gene from
Pseudomonas aeruginosa was amplified and introduced into the S. mutans luxS-null
strain to complement the methyl metabolism disruption in a defective QS
phenotype. The intracellular activated methyl cycle (AMC) metabolites [S
adenosylmethionine (SAM), S-adenosylhomocysteine (SAH), homocysteine (HCY), and
methionine] were quantified in wild-type S. mutans and its three derivatives to
determine the metabolic effects of disrupting the AMC. Biofilm mass and
structure, acid tolerance, acid production, exopolysaccharide synthesis of
multispecies biofilms and the transcriptional level of related genes were
determined. The results indicated that SAH and SAM were relatively higher in S.
mutans luxS-null strain and S. mutans luxS null strain with plasmid pIB169 when
cultured overnight, and HCY was significantly higher in S. mutans UA159.
Consistent with the transcriptional profile, luxS deletion-mediated impairment of
biofilm formation and acid tolerance was restored to wild-type levels using
transgenic SahH. These results also suggest that methionine methyl metabolism
contributes to LuxS regulation in S. mutans to a significant degree.
PMID- 29657575
TI - What rates of glaucoma progression are clinically significant?
AB - Clinically important rates of glaucoma progression (worsening) are ones that put
a patient at risk of future functional impairment or reduction of vision-related
quality of life. Rates of progression can be evaluated through measuring
structural or functional changes of the optic nerve. Most treated eyes do not
progress at rates that will lead to future visual impairment, but there are a
significant proportion (3-17%) of eyes, that are at risk of impairment even under
clinical care. While very fast rates of progression (e.g. MD progression of -1.5
dB/year) are generally problematic, much slower rates also may be deleterious for
young patients, particularly those diagnosed with late disease. As a result, it
is important to consider life expectancy, disease severity and vision-related
quality of life based treatment targets to estimate future prognosis when
evaluating whether a rate of glaucoma progression can be clinically relevant.
PMID- 29657576
TI - High-speed optical coherence tomography by circular interferometric ranging.
AB - Existing three-dimensional optical imaging methods excel in controlled
environments but are difficult to deploy over large, irregular and dynamic
fields. This has limited imaging in areas such as material inspection and
medicine. To better address these applications, we developed methods in optical
coherence tomography (OCT) to efficiently interrogate sparse scattering fields,
i.e., those in which most locations (voxels) do not generate meaningful signal.
Frequency comb sources are used to superimpose reflected signals from equispaced
locations through optical subsampling. This results in circular ranging, and
reduces the number of measurements required to interrogate large volumetric
fields. As a result, signal acquisition barriers that have limited speed and
field in OCT are avoided. With a new ultrafast, time-stretched frequency comb
laser design operating with 7.6 MHz to 18.9 MHz repetition rates, we achieved
imaging of multi-cm3 fields at up to 7.5 volumes per second.
PMID- 29657577
TI - The Influence of Affirming Kindness and Community on Broadening Participation in
STEM Career Pathways.
AB - The United States' inability to achieve equitable workforce development in
science, technology, engineering, and mathematics (STEM) career pathways is well
recognized and has been attributed to the poor retention of a diverse stream of
students in academia. Social science theory and research provide evidence that
social contextual variables-specifically kindness cues affirming social inclusion
influence chronic underrepresentation of some groups within STEM career pathways.
Review of the literature suggests that the current STEM academic context does not
consistently provide cues that affirm social inclusion to all members of the
academic population, and that policies that address this disparity are essential
to broadening STEM workforce development in the United States.
PMID- 29657578
TI - Notes from the field: a case of hepatic failure and reflections on current status
of healthcare of Syrian refugees in Lebanon.
AB - Recent trends and research suggest health conditions of the Syrian refugees in
Lebanon are deteriorating. The following case study highlights some of the
problems that refugees encounter seeking health care services in Lebanon. A
coordinated response from Non-governmental organization (NGO) with intense focus
on non-communicable disease (NCD) is needed to avert further worsening of health
conditions on the ground.
PMID- 29657579
TI - High-yield production of 1,3-propanediol from glycerol by metabolically
engineered Klebsiella pneumoniae.
AB - Background: Glycerol is a major byproduct of the biodiesel industry and can be
converted to 1,3-propanediol (1,3-PDO) by microorganisms through a two-step
enzymatic reaction. The production of 1,3-PDO from glycerol using microorganisms
is accompanied by formation of unwanted byproducts, including lactate and 2,3
butanediol, resulting in a low-conversion yield. Results: Klebsiella pneumoniae
was metabolically engineered to produce high-molar yield of 1,3-PDO from
glycerol. First, the pathway genes for byproduct formation were deleted in K.
pneumoniae. Then, glycerol assimilation pathways were eliminated and mannitol was
co-fed to the medium. Finally, transcriptional regulation of the dha operon were
genetically modified for enhancing 1,3-propanediol production. The batch
fermentation of the engineered strain with co-feeding of a small amount of
mannitol yielded 0.76 mol 1,3-PDO from 1 mol glycerol. Conclusions: Klebsiella
pneumoniae is useful microorganism for producing 1,3-PDO from glycerol.
Implemented engineering in this study successfully improved 1,3-PDO production
yield, which is significantly higher than those reported in previous studies.
PMID- 29657580
TI - Visualizing cellulase adsorption and quantitatively determining cellulose
accessibility with an updated fungal cellulose-binding module-based fluorescent
probe protein.
AB - Background: Cellulose accessibility to cellulases (CAC) is a direct factor
determining the enzymatic digestibility of lignocellulosic cellulose. Improving
CAC by pretreatment is a prerequisite step for the efficient release of
fermentable sugars from biomass cell wall. However, conventional methods to study
the porosimetry of solid materials showed some limitations to be used for
investigating CAC. In this work, an updated novel fusion protein comprising a
fungal cellulose-binding module (CBM) from Cel7A cellobiohydrolase I (CBH I) of
Trichoderma reesei QM6 and a di-green fluorescent protein (GFP2) was constructed
for quantitative determination of CAC. Results: The obtained probe protein had
similar molecular size (e.g., weight) with that of Cel7A and could give
detectable signal for quantitative analysis. Several construction strategies were
compared with regard to the site of His-tag and order of CBM and GFP2 modules in
the protein sequence, in order to achieve good expression quantity and usability
of the probe protein. His6-CBM-GFP2 has been identified as the best probe protein
for investigating the effects of structural features of cellulosic substrates on
cellulose accessibility. Substrate samples with different contents of xylan,
lignin, and degree of substitution of cellulose -OH by formyl group were
obtained, respectively, by mild H2SO4 pre-hydrolysis, NaClO2 selective
delignification, and treatment of filter paper cellulose with concentrated formic
acid. The determined CAC was in a wide range of 0.6-20.4 m2/g depending on the
contents of hemicelluloses, lignin, and formyl group as well as cellulose degree
of crystallization. Conclusions: The obtained fusion probe protein could be used
as a versatile tool to quantitatively investigate the impacts of biomass
structural features on CAC and hydrolyzability of cellulose substrates, as well
as nonproductive adsorption of cellulase enzymes on lignin.
PMID- 29657582
TI - In-flight performance of the Ozone Monitoring Instrument.
AB - The Dutch-Finnish Ozone Monitoring Instrument (OMI) is an imaging spectrograph
flying on NASA's EOS Aura satellite since July 15, 2004. OMI is primarily used to
map trace gas concentrations in the Earth's atmosphere, obtaining mid-resolution
(0.4-0.6 nm) UV-VIS (264-504 nm) spectra at multiple (30-60) simultaneous fields
of view. Assessed via various approaches that include monitoring of radiances
from selected ocean, land, ice and cloud areas, as well as measurements of line
profiles in the Solar spectra, the instrument shows low optical degradation and
high wavelength stability over the mission lifetime. In the regions relatively
free from the slowly unraveling 'row anomaly' the OMI irradiances have degraded
by 3-8%, while radiances have changed by 1-2%. The long-term wavelength
calibration of the instrument remains stable to 0.005-0.020 nm.
PMID- 29657581
TI - Implications of direct amplification for measuring antimicrobial resistance using
point-of-care devices.
AB - Antimicrobial resistance (AMR) is recognized as a global threat to human health.
Rapid detection and characterization of AMR is a critical component of most
antibiotic stewardship programs. Methods based on amplification of nucleic acids
for detection of AMR are generally faster than culture-based approaches but they
still require several hours to more than a day due to the need for transporting
the sample to a centralized laboratory, processing of sample, and sometimes DNA
purification and concentration. Nucleic acids-based point-of-care (POC) devices
are capable of rapidly diagnosing antibiotic-resistant infections which may help
in making timely and correct treatment decisions. However, for most POC
platforms, sample processing for nucleic acids extraction and purification is
also generally required prior to amplification. Direct amplification, an emerging
possibility for a number of polymerases, has the potential to eliminate these
steps without significantly impacting diagnostic performance. This review
summarizes direct amplification methods and their implication for rapid
measurement of AMR. Future research directions that may further strengthen the
possibility of integrating direct amplification methods with POC devices are also
summarized.
PMID- 29657583
TI - 'I'm Black and I'm Proud': A Majority Ecological Context Protects Affective
Aspects of Black Identity Under Stereotype Threat.
AB - We provide evidence that stereotype threat, a phenomenon that causes stigmatized
individuals to experience group-based evaluative concerns (Steele in Am Psychol
52:613-629, 1997; Whistling Vivaldi and other clues to how stereotypes affect us,
W.W. Norton, New York, 2010), impacts affective aspects of Black identity as a
function of majority versus minority ecological contexts. Black/African-American
students, enrolled in either Africana Studies (Black ecological majority) or
Psychology (Black ecological minority), completed private and public regard
subscales from the Multidimensional Inventory of Black Identity (Sellers et al.
in Pers Soc Psychol Rev 2:18-39, 1998) at baseline (Time 1) and after being
randomly assigned to a stereotype threat or no-threat/control condition (Time 2).
In threat, participants were introduced to a 'puzzle' task as diagnostic of
intellectual abilities, whereas in no-threat the same task was introduced as
culture fair, such that people from different racial/ethnic groups had performed
similarly on this task in the past. In Psychology, students under threat
exhibited a simultaneous decrease and increase in private and public regard,
respectively, a pattern shown in the literature to be associated with
discrimination-based distress and lesser well-being in Black ecological minority
environments. In contrast, Africana Studies students' racial identity under
threat remained intact. We discuss the protective effects of Africana Studies on
racial identity and implications for educational reform.
PMID- 29657584
TI - Dynamic Biomarkers of Response to Antiangiogenic Therapies in Colorectal Cancer:
A Review.
AB - Background: Identification of clinical and molecular biomarkers to predict
dynamic response or monitor in real-time the efficacy of antiangiogenic therapy
represents a major point in the treatment of patients with advanced colorectal
cancer. Several stu-dies have been conduced to identify some predictive
biomarkers to select patients who will benefit from bevacizumab, the most widely
used antiangiogenic monoclonal anti-body. Conclusion: After a decade since the
introduction of bevacizumab, no effective predictive biomarkers are available in
routine clinical practice. In this review, we summarized the potential candidate
dynamic biomarkers that may play a role in this setting.
PMID- 29657585
TI - Deterioration in saliva quality in patients with Sjogren's syndrome: impact of
decrease in salivary epidermal growth factor on the severity of intraoral
manifestations.
AB - Background: Sjogren's syndrome (SS) is a chronic inflammatory autoimmune disease
characterized by lymphocytic infiltration of the exocrine glands, especially the
salivary and lacrimal glands. As a result of salivary gland dysfunction, most
patients with SS have xerostomia related to a reduced salivary flow rate. In
addition to the discomfort due to xerostomia, dry mouth can cause various
intraoral manifestations such as refractory stomatitis, ulcer, and atrophic
changes in the oral mucosa and tongue, and the patient's quality of life (QoL) is
severely impaired. These manifestations are believed to be caused mainly by a
decrease in the clearance in the oral cavity owing to hyposalivation. However,
because saliva has several beneficial physiological effects on the intraoral
environment, qualitative changes in sialochemistry should also be considered a
cause of the refractory intraoral manifestations in SS. Main text: Salivary
epidermal growth factor (EGF) is considered an important cytoprotective factor
against injuries. It contributes to wound healing in the oral cavity and to
maintenance of mucosal integrity in the oral cavity and gastrointestinal tract.
We evaluated changes in salivary EGF levels and assessed the association between
salivary EGF levels and the severity of intraoral manifestations in patients with
SS. The following novel findings were obtained: (1) salivary EGF levels in SS
patients were significantly lower than those in non-SS patients; (2) salivary EGF
levels as well as the salivary flow rate decreased with the progression of SS;
(3) with prolonged SS disease duration, salivary EGF levels decreased more
rapidly than the salivary flow rate; and (4) decreases in salivary EGF levels
significantly correlated with exacerbation of the oral health-related QoL in
patients with SS. Conclusions: The deterioration in saliva quality as well as
lower intraoral clearance by hyposalivation could play a role in the pathogenesis
of refractory intraoral manifestations in patients with SS. Our findings suggest
a new target for therapeutic intervention for SS.
PMID- 29657587
TI - Impact of ranolazine on ventricular arrhythmias - A systematic review.
AB - Ranolazine is a new medication for the treatment of refractory angina. However,
except its anti-anginal properties, it has been found to act as an anti
arrhythmic. The aim of our systematic review is to present the existing data
about the impact of ranolazine in ventricular arrhythmias. We searched MEDLINE
and Cochrane databases as well clinicaltrials.gov until September 1, 2017 to find
all studies (clinical trials, observational studies, case reports/series)
reported data about the impact of ranolazine in ventricular arrhythmias. Our
search revealed 14 studies (3 clinical trials, 2 observational studies, 8 case
reports, 1 case series). These data reported a beneficial impact of ranolazine in
ventricular tachycardia/fibrillation, premature ventricular beats, and ICD
interventions in different clinical settings. The existing data highlight the
anti-arrhythmic properties of ranolazine in ventricular arrhythmias.
PMID- 29657588
TI - Portable out-of-hospital electrocardiography: A review of current technologies.
AB - Background: Availability of portable and home-based electrocardiography (ECG) is
an important medical innovation, which has a potential to transform medical care.
We performed this review to understand the current state of out-of-hospital
portable ECG technologies with respect to their scope, ease of use, data
transmission capabilities, and diagnostic accuracy. Methods: We conducted PubMed
and Internet searches for "handheld" or "wearable" or "patch" electrocardiography
devices to enlist available technologies. We also searched PubMed with names of
individual devices to obtain additional citations. We classified available
devices as a "single limb lead ECG recording devices" and chest-lead "ECG
recording devices." If a device used more than three electrodes, it was defined
as a conventional electrocardiography or Holter machine and was excluded from
this review. Results: We identified a total of 15 devices. Overall, only six of
these devices (five single lead and one chest lead) featured in published medical
literature as identified from PubMed search. A total of 13 citations were
available for the single limb lead ECG recording devices and 6 citations for the
chest-lead ECG recording devices. Conclusions: Despite the increase in number of
such devices, published biomedical literature regarding their diagnostic
accuracy, reproducibility, or utility is scant.
PMID- 29657589
TI - Prognostic significance of nonsustained ventricular tachycardia in patients
receiving cardiac resynchronization therapy for primary prevention: Analysis of
the Japan cardiac device treatment registry database.
AB - Background: Whether nonsustained ventricular tachycardia (NSVT) is a marker of
increased risk of sustained ventricular tachyarrhythmias (VTAs) remains to be
established in patients receiving cardiac resynchronization therapy with a
defibrillator (CRT-D) for primary prevention. Methods: Among the follow-up data
of the Japan cardiac device treatment registry (JCDTR) with an implantation date
between January 2011 and August 2015, information regarding a history of NSVT
before the CRT-D implantation for primary prevention had been registered in 269
patients. Outcomes were compared between two groups with and without NSVT: NSVT
group (n = 179) and No NSVT group (n = 90). Results: There was no significant
difference with regard to age, gender, and NYHA class between the two groups.
Left ventricular ejection fraction (LVEF) was 25.6% in the NSVT group and 28.0%
in the No NSVT group (P = .046). The rate of appropriate therapy at 24 months was
26.0% and 18.4% in the NSVT and No NSVT groups (P = .22), respectively. Survival
free from heart failure death was reduced in the NSVT group, as compared with the
No NSVT group, with the rate of 90.2% vs 97.2% at 24 months (P = .030). A
multivariate analysis identified a history of NSVT, anemia, and no use of
angiotensin-converting enzyme inhibitor (ACEI) or angiotensin-receptor blocker
(ARB) as predictors of heart failure death. Conclusions: NSVT appears to be a
surrogate marker of severe heart failure rather than a substrate for subsequent
sustained VTAs in patients with CRT-D for primary prevention.
PMID- 29657590
TI - Comparison of uninterrupted anticoagulation with dabigatran etexilate or warfarin
in the periprocedural period for atrial fibrillation catheter ablation: Results
of the Japanese subgroup of the RE-CIRCUIT trial.
AB - Background: There are limited data on uninterrupted anticoagulation with direct
oral anticoagulants during catheter ablation for atrial fibrillation (AF),
particularly in Japan. We planned a subgroup analysis of the RE-CIRCUIT study,
comparing the use of uninterrupted dabigatran therapy with warfarin therapy
during catheter ablation among the Japanese subgroup and with that in the total
population. Methods: The RE-CIRCUIT study utilized a prospective, randomized,
open-label, blinded endpoint design, and the primary endpoint was the incidence
of major bleeding events (MBEs). Patients were randomized to uninterrupted
dabigatran 150 mg twice daily or warfarin. In this study, we analyzed the results
in Japanese patients. Results: Of 704 enrolled patients in the study, 112
Japanese patients were randomized to dabigatran (n = 65) or warfarin (n = 47).
MBEs were experienced by two patients: one in the dabigatran group (1.6%, cardiac
tamponade) and one in the warfarin group (2.2%, groin hematoma) (risk difference
vs warfarin -0.6%; 95% CI -5.8, 4.7). Within the Japanese subgroup, there were no
thromboembolic events in both groups. Conclusion: While not designed to show
statistical difference between two treatment groups, our results from the
Japanese subgroup supported those from the overall population. Furthermore, this
study provided clinical information regarding MBE, especially cardiac tamponade,
in Japanese patients.
PMID- 29657591
TI - The lesion characteristics assessed by LGE-MRI after the cryoballoon ablation and
conventional radiofrequency ablation.
AB - Background: Rhythm outcomes after the pulmonary vein isolation (PVI) using the
cryoballoon (CB) are reported to be excellent. However, the lesions after CB
ablation have not been well discussed. We sought to characterize and compare the
lesion formation after CB ablation with that after radiofrequency (RF) ablation.
Methods: A total of 42 consecutive patients who underwent PVI were enrolled (29
in the CB group and 13 in the RF group). The PVI lesions were assessed by late
gadolinium enhancement magnetic resonance imaging 1-3 months after the PVI. The
region around the PVs was divided into eight segments: roof, anterior-superior,
anterior-carina, anterior-inferior, bottom, posterior-inferior, posterior-carina,
and posterior-superior segment. The lesion width and lesion gap in each segment
were compared between the two groups. Lesion gaps were defined as no-enhancement
sites of >4 mm. Results: As compared to the RF group, the overall lesion width
was significantly wider and lesion gaps significantly fewer at the anterior
superior segment of the left PV (LAS) and anterior-inferior segment of the right
PV (RAI) in the CB group (lesion width: 8.2 +/- 2.2 mm vs 5.6 +/- 2.0 mm, P =
.001; lesion gap at LAS: 7% vs 38%, P = .02; lesion gap at RAI: 7% vs 46%, P =
.006). Conclusions: The PVI lesions after CB ablation were characterized by
extremely wider and more continuous lesions than those after RF ablation.
PMID- 29657592
TI - Design and baseline characteristics of the Xarelto Post-Authorization Safety &
Effectiveness Study in Japanese Patients with Atrial Fibrillation (XAPASS).
AB - Background: The phase III Japanese Rivaroxaban Once-Daily Oral Direct Factor Xa
Inhibition Compared with Vitamin K Antagonism for Prevention of Stroke and
Embolism Trial in Atrial Fibrillation (J-ROCKET AF) showed that the rivaroxaban
group had a lower event rate of intracranial bleeding than the warfarin group and
that rivaroxaban was noninferior to warfarin for the principal safety outcome.
However, safety and effectiveness data from unselected patients with AF in
everyday clinical practice in Japan are lacking. Methods: The Xarelto Post
Authorization Safety & Effectiveness Study in Japanese Patients with Atrial
Fibrillation (XAPASS) is a real-world, prospective, single-arm, observational
study mandated by the Japanese authority as postmarketing surveillance. XAPASS
involves patients with nonvalvular AF prescribed rivaroxaban. The principal
safety outcome is a composite of major and nonmajor bleeding events, and the
primary effectiveness outcome is the incidence of ischemic stroke, hemorrhagic
stroke, noncentral nervous system systemic embolism, and myocardial infarction.
Results: In total, 11 308 patients were enrolled from April 2012 to June 2014.
Their age was 73.1 +/- 9.9 years, and their CHADS 2 score was 2.2 +/- 1.3. Female
patients, patients aged >=75 years, patients with a body weight of <=50 kg, and
patients with a creatinine clearance of <50 mL/min constituted 38.1%, 48.7%,
19.5%, and 23.9% of all patients, respectively. Almost half (53.2%) of patients
were prescribed other anticoagulants before starting rivaroxaban. Conclusions:
Data from this study will supplement those from the J-ROCKET AF and provide
practical information for the optimal use of rivaroxaban for stroke prevention in
Japanese patients with AF (Clinicaltrials.gov: NCT01582737).
PMID- 29657593
TI - Not all rotors, effective ablation targets for nonparoxysmal atrial fibrillation,
are included in areas suggested by conventional indirect indicators of atrial
fibrillation drivers: ExTRa Mapping project.
AB - Background: Effects of nonparoxysmal atrial fibrillation (non-PAF) ablation
targeting complex fractionated atrial electrogram (CFAE) areas and/or low voltage
areas (LVAs) are still controversial. Methods and Results: A recently developed
online real-time phase mapping system (ExTRa Mapping) was used to conduct LVA
mapping and simultaneous ExTRa and CFAE mapping in 28 non-PAF patients after
pulmonary vein isolation (PVI). Nonpassively activated areas, in the form of
meandering rotors and/or multiple wavelets assumed to contain non-PAF drivers,
partly overlapped with CFAE/LVAs but not always coincided with them. Conclusion:
Real-time rotor imaging, rather than conventional indirect indicators only, might
be very useful for detecting non-PAF drivers.
PMID- 29657594
TI - Clinical and echocardiographic response of apical vs nonapical right ventricular
lead position in CRT: A meta-analysis.
AB - Background: Traditionally the right ventricular (RV) pacing lead is placed in the
RV apex in cardiac resynchronization therapy (CRT). It is not clear whether
nonapical placement of the RV lead is associated with a better response to CRT.
We aimed to perform a meta-analysis of all randomized controlled trials (RCTs)
that compared apical and nonapical RV lead placement in CRT. Methods: We searched
PubMed, EMBASE, Cochrane, Scopus, and relevant references for studies and
performed meta-analysis using random effects model. Our main outcome measures
were all-cause mortality, composite of death and heart failure hospitalization,
improvement in ejection fraction (EF), left ventricle end-diastolic volume
(LVEDV), left ventricle end-systolic volume (LVESV), and adverse events. Results:
Seven RCTs with a total population of 1641 patients (1199 apical and 492
nonapical) were included in our meta-analysis. There was no difference in all
cause mortality (5% vs 4.3%, odds ratio (OR) = 0.86; 95% confidence interval (CI)
0.45-1.64; P = .65; I2 = 11%) and a composite of death and heart failure
hospitalization (14.2% vs 12.9%, OR = 0.92; 95% CI: 0.61-1.38; P = .68; I2 = 0)
between apical and nonapical groups. No difference in improvement in EF (Weighted
mean difference (WMD) = 0.37; 95% CI: -2.75-3.48; P = .82; I2 = 68%), change in
LVEDV (WMD = 3.67; 95% CI: -4.86-12.20; P = .40; I2 = 89%) and LVESV (WMD =
1.20; 95% CI: -4.32-1.91; P = .45; I2 = 0) were noted between apical and
nonapical groups. Proportion of patients achieving >15% improvement in EF was
similar in both groups (OR = 0.85; 95% CI: 0.62-1.16; P = .31; I2 = 0).
Conclusion: In patients with CRT, nonapical RV pacing is not associated with
improved clinical and echocardiographic outcomes compared with RV apical pacing.
PMID- 29657595
TI - A novel way to facilitate left ventricular lead implantation: Jailed catheter
technique.
AB - An important therapeutic modality for heart failure with left ventricular
dyssynchrony, left ventricular lead placement, cannot be achieved due to anatomic
challenges in some cases. In the current case, a novel implantation technique to
overcome an anatomic difficulty, angled takeoff of the side branch of the
coronary sinus, was presented.
PMID- 29657596
TI - High defibrillation threshold with a subcutaneous implantable cardiac
defibrillator due to the lead having been positioned in the fat layer.
AB - A 46-year-old female with a body mass index of 38.9 kg/m2 and no organic heart
disease underwent a subcutaneous implantable cardioverter-defibrillator
implantation for secondary prevention of sudden cardiac death in the setting of
idiopathic ventricular fibrillation. Defibrillation threshold (DFT) testing
during implantation detected high shock impedance and high DFT. Fluoroscopy
revealed subcoil fat between the lead and the sternum, which we suspected was the
reason for the high shock impedance and high DFT. We repositioned the lead to a
site just above the sternum and the shock impedance and DFT improved to within
the respective normal ranges.
PMID- 29657586
TI - European Heart Rhythm Association (EHRA)/Heart Rhythm Society (HRS)/Asia Pacific
Heart Rhythm Society (APHRS)/Latin American Heart Rhythm Society (LAHRS) expert
consensus on arrhythmias and cognitive function: What is the best practice?
PMID- 29657597
TI - Successful catheter ablation of ventricular premature complexes from the right
atrial side of the atrioventricular septum with good contact force.
AB - The acquisition of good contact force for radiofrequency catheter ablation of
ventricular premature complexes (VPCs) originating from the basal septum of the
left ventricle (LV) is often difficult. We describe a case of VPCs originating
from the basal septum of the LV, which were successfully eliminated by applying
radiofrequency at the right atrium (RA) side of the atrioventricular septum (AVS)
without causing any significant impairment of atrioventricular conduction because
the ablation catheter could obtain better contact force through the RA approach.
Moreover, intracardiac echocardiography (ICE) and RA angiography effectively
demonstrated the AVS.
PMID- 29657598
TI - Late Ratchet syndrome involving isolated left ventricular lead dislodgement post
cardiac resynchronization therapy defibrillator generator change.
AB - Lead dislodgement following cardiac implantable electronic device (CIED)
generator change is rare. We report a case including the postulate mechanism of
an isolated left ventricular lead dislodgement 3 months after cardiac
resynchronization therapy defibrillator pulse generator change.
PMID- 29657600
TI - Electrocardiographic "precordial pattern break sign" for posterior coronary
venous system.
PMID- 29657599
TI - Association between epicardial adipose tissue and coronary artery vasospasm
during pulmonary vein isolation.
AB - Coronary artery vasospasms (CAVs) during pulmonary vein isolation have been
reported, but the mechanism remains unclear. We experienced a rare case of CAVs
caused by radiofrequency (RF) applications to sites with massive epicardial
adipose tissue (EAT) attached. Because EAT contains ganglionated plexuses, RF
application may have caused an autonomic nervous system imbalance, which thereby
provoked severe CAVs.
PMID- 29657601
TI - Pin-port misconnection induced endless loop tachycardia.
PMID- 29657602
TI - Guiding nanomaterials to tumors for breast cancer precision medicine: from tumor
targeting small-molecule discovery to targeted nanodrug delivery.
AB - Precision medicine emphasizes patient-specific formulation for treatment of
diseases, especially cancer. However, in targeted cancer treatment, because the
expression level of tumor receptors in each patient varies even for the same type
of cancer, the ligand/receptor-mediated approach does not seem promising for
precision medicine. In this work, we demonstrated our strategy of using a phage
display technique for breast cancer precision medicine. Using in vivo biopanning,
we first selected an MCF-7 breast tumor-targeting peptide, then tested the
effectiveness of the as-selected peptide in tumor homing and finally conjugated
the peptide to a model photothermal drug, namely, gold nanorods, to achieve
enhanced cancer killing efficacy. The peptides identified by the phage display
technique can guide the drug to the tumors without the need to know the exact
receptors on the tumor. This approach requires significantly less effort to
explore patient-specific targeting molecules for precision medicine.
PMID- 29657604
TI - The role of intestinal ultrasound in diagnostics of bowel diseases.
AB - Intestinal ultrasound is a safe and inexpensive method that allows visualisation
of the gastrointestinal tract and detection of abnormalities. This examination
involves the use of two different ultrasound transducers: a low-frequency convex
probe and high-frequency linear probe. When needed, the performance of these
methods can be enhanced by the use of contrast media or Doppler techniques.
Intestinal ultrasound is mostly utilised for the diagnosis and follow-up of
patients with inflammatory bowel diseases, helping to avoid frequent use of
invasive and expensive diagnostic procedures and leading to early implementation
of suitable treatment. This technique can also serve to detect other pathologic
conditions that are present in the gastrointestinal tract. It is a promising
method with high sensitivity and specificity, which has gained popularity in
recent years and has the potential to become the method of choice in the
diagnostics of many intestinal disorders.
PMID- 29657603
TI - Impact of physical activity and exercise on male reproductive potential: a new
assessment questionnaire.
AB - Male athletes in general are subjected to the same causes of infertility as the
general population, but sports practice itself may be possibly an additional
infertility factor or, at least an aggravating factor for a previously existing
fertility condition; on the contrary, being physically active has been
hypothesized to favor hormonal and seminological processes and could be
beneficial for fertility. In this relationship, the different inherent parameters
of physical activity-exercise (training volume, intensity, objective,
organization and frequency) are of paramount importance. Therefore, this review
discusses both the negative and positive impact of physical exercise on the male
reproductive potential. Clear knowledge is lacking on this topic as incongruences
exist due to the fact that studies lack standardization in assessment tools or
research protocols. So that future studies can reveal more information regarding
exercising male fertility, we introduce a unique questionnaire developed with the
intent to help standardize future studies on male fertility and exercise.
PMID- 29657605
TI - Meta-analysis of the efficacy and safety of pantoprazole in the treatment and
symptom relief of patients with gastroesophageal reflux disease - PAN-STAR.
AB - Introduction: Proton pump inhibitors therapy success in the treatment of
gastroesophageal reflux disease (GERD) is a difficult task because the extent of
mucosal damage has no relation with the severity of the symptoms. Aim: To
establish the efficacy of pantoprazole treatment in patients with erosive reflux
disease (ERD) and in those with non-erosive reflux disease (NERD), by assessing
symptom relief and quality of life. Treatment duration and adverse events
associated with pantoprazole treatment were analysed. Material and methods: This
meta-analysis was based on three multicentre, prospective, open-label, phase IV
trials conducted in Slovenia, Poland, and the Russian Federation. In total, 252
patients with GERD were included and treated with pantoprazole 40 mg once daily
for 4 or 8 weeks, depending on the fulfilment of predefined healing criteria.
Symptoms were assessed by patients on a scale from 0 to 3 and the quality of life
on a rating scale from 1 to 10. Results: Forty-five percent of patients fulfilled
the healing criteria after 4 weeks of treatment, and 70% of patients after 8
weeks of treatment. Patients who failed to reach the healing criteria reported
significant reduction of symptoms severity. The response to 8-week treatment was
significantly higher in patients with ERD (76%) when compared to patients with
NERD (64%). Discontinuation of treatment after 4 weeks was not associated with
worsening of symptoms and did not affect quality of life. Pantoprazole treatment
was associated with improvement of symptoms and the quality of life of GERD
patients over 8 weeks of treatment and showed that GERD patients with persisting
symptoms benefit from prolonging treatment to 8 weeks. Treatment with
pantoprazole 40 mg was very well tolerated - more than 90% of patients were
without adverse events throughout the whole study and only 4 patients
discontinued the treatment due to adverse events related to pantoprazole
treatment. Conclusions: Pantoprazole 40 mg was associated with complete relief of
GERD-related symptoms in the majority of patients with ERD and NERD. Furthermore,
the severity of symptoms was significantly reduced in patients without complete
relief of symptoms. Pantoprazole also continuously improved the quality of life
of GERD patients over 8 weeks of treatment and was very well tolerated throughout
the whole study. Therefore, this meta-analysis suggests that pantoprazole 40 mg
once daily is an effective and well-tolerated choice for providing symptom relief
of patients with GERD.
PMID- 29657606
TI - Early biliary drainage is associated with favourable outcomes in critically-ill
patients with acute cholangitis.
AB - Introduction: Acute cholangitis (AC) is a clinical condition that requires prompt
medical management with IV fluids, antibiotics, and biliary drainage (BD). The
optimal timing for BD remains unclear. Aim: To investigate the effect of biliary
drainage timing on clinical outcomes in AC. Material and methods: We conducted a
retrospective study of patients with AC admitted to the ICU using the
Multiparameter Intelligent Monitoring in Intensive Care III (MIMIC-III) database.
Emergency department to BD time, hospital death, length of stay (LOS), and
severity scores were extracted from the database. We investigated the effect of
BD timing on mortality rates, persistent organ failure, and LOS. Results: A total
of 177 patients were included; 50% were males; median age was 75 years, in
hospital mortality was 9.6%, mean time-to-ERCP was 32 h (range: 0.42-229.6) with
76% meeting the Tokyo Guidelines (TG13) criteria for severe cholangitis, and
median Simplified Acute Physiology Score II (SAPS II) was 42 (IQR: 33-51). Using
24 h as a cut-off, patients who underwent BD <= 24 h had less persistent organ
failure (OR = 0.49; 95% CI: 0.26-0.96, p = 0.040), shorter ICU LOS (3.25 vs. 4.95
days, p = 0.040), shorter hospital LOS (7.71 vs. 13.57 days, p = 0.001), but no
difference in either in-hospital mortality (OR = 0.47, 95% CI: 0.17-1.29, p =
0.146) or 28-day mortality (OR = 0.61, 95% CI: 0.24-1.53, p = 0.297).
Conclusions: In critically-ill patients with acute cholangitis, early biliary
drainage <= 24 h is associated with less persistent organ failure and shorter
length of stay but had no effect on patient survival.
PMID- 29657607
TI - Efficacy and safety of pegylated interferon alpha and ribavirin in patients
monoinfected with HCV genotype 4.
AB - Introduction: Dual therapy (PegIFN and ribavirin) (DT) was the standard of care
in patients infected with HCV genotype 4 (HCV-4) until 2014. Nowadays, new
treatment options are available including interferon (IFN)-based and other IFN
free regimens. Aim: To assess the efficacy (SVR24) and safety of DT and the
selected predictor factors of SVR in HCV-4 infected patients. Material and
methods: One hundred and twelve patients (62 men) of median age 23 years were
treated with DT for 48/72 weeks (107/5) in the years 2006-2014. Most of them were
treatment naive (80.4%) and with fibrosis F <= 2 (83.1%). To select a subset of
independent predictors of SVR Logistic Regression Analysis was applied. Results:
SVR24 was achieved in 46/112 (41.1%) patients. The mean viral load was 5.55 log10
IU/ml. Lack of therapy experience increases the odds of achieving SVR (OR = 4.17;
1.04-16.67), whereas more advanced fibrosis and higher baseline viral load tend
to decrease the probability of SVR (OR = 0.05; 0.01-0.52 and OR = 0.44; 0.17
1.13, respectively). In contrast, the weight loss is associated with higher
probability of virological response (OR = 4.31; 1.37-13.60). Two hundred and
seventy-nine adverse events (AEs) were reported in 96 individuals. The rates and
types of AEs were similar in patients treated with PegIFN-alpha2a/RBV and PegIFN
alpha2b/RBV. Overall, 3 (2.7%) patients discontinued therapy prematurely because
of serious AEs. Conclusions: SVR24 was low. Loss of weight was a new positive
predictive factor of SVR found in our study. Most of the AEs were typical of
those previously reported for DT.
PMID- 29657608
TI - The use of endoscopic ultrasonography in the detection and differentiation of
pathology in the wall of the upper gastrointestinal tract.
AB - Introduction: The growing incidence of gastrointestinal diseases forces to
improve imaging techniques. Identification of lesions located inside the wall of
intestinal tract or in close proximity often was not possible using endoscopy or
computed tomography. Aim: To assess the usefulness of endosonography (EUS) in the
differentiation between compression from the outside and intramural lesions of
the upper gastrointestinal tract. Material and methods: For 4 years 20,012
patients with performed gastroscopies were enrolled in the study. One hundred and
ninety-nine patients (96 females, 103 males; age 62.2 +/-14.1 years) with
pathology of the wall of the upper gastrointestinal tract qualified for further
diagnosis. Endosonography and computed tomography (CT) were performed in each
patient. A chest CT was performed in patients with a lesion in the oesophagus. An
abdomen CT was performed in patients with pathology in the stomach or duodenum.
Based on the results of EUS, histopathology, and imaging, each patient qualified
for treatment, endoscopic observation, surgery, or cancer treatment. Results: In
EUS 129 (64.8%) intramural lesions were identified. Five (2.5%) diagnoses were
false negative. In 62 (31.2%) patients no intramural changes were recognised and
three (1.5%) results were false positive. The sensitivity and specificity of EUS
was 96.3% and 95.4%, respectively, with positive predictive value 90.7%, negative
predictive value 97.8%, and overall accuracy 95% (p < 0.05). Endoscopic therapy
was performed in 31 (15.6%) patients, and 99 (49.8%) were classified for
endoscopic observation. Surgery was performed in 50 (25.1%) patients, and 19
(9.5%) patients required oncologic treatment. Conclusions: Endosonography exceeds
computed tomography in differentiating compression from the outside and
intramural lesions of the upper gastrointestinal tract.
PMID- 29657609
TI - Translation to Polish, cross-cultural adaptation, and validation of the Bristol
Stool Form Scale among healthcare professionals and patients.
AB - Introduction: The seven-point Bristol Stool Form Scale (BSFS), which refers to
seven pictures of different forms of stool, is a commonly used instrument to
assess stool consistency. Aim: To translate, cross-culturally adapt, and validate
the BSFS for its use in Poland. Material and methods: The steps included forward
translation, reconciliation, backward translation, comparison of the two English
versions and validation of the translation, pilot testing, proofreading, approval
of the final version of the target language BSFS, and validation. The latter
process involved healthcare professionals (physicians and nurses), healthy
adults, and adult patients with gastrointestinal disorders, who were asked to
correlate images of seven types of stools with their descriptions. All available
subjects were asked to repeat the survey to assess test-retest reliability. The
primary outcome measures were validity (accuracy) and reliability
(repeatability). Results: A total of 320 subjects took part in the validation
study (80/group). Overall, concordance between descriptions and pictures was
78.7%, and the overall kappa index was good (0.75, 95% confidence interval (CI):
0.73 to 0.77). Test-retest assessment was performed in 170 (53.1%) subjects
within a mean interval of 5.9 +/-2.5 days. Overall, concordance between
definitions and pictures for the re-testing phase was 90.7% with a kappa index of
0.89 (95% CI: 0.87 to 0.91). Conclusions: As a result of the translation and
cultural adaptation process, a final Polish version of the BSFS was created. The
substantial validity and reliability of this Polish version was demonstrated.
PMID- 29657610
TI - Detection of hepatocellular carcinoma by tissue resonance interaction method
(TRIM).
AB - Introduction: Diagnosis of hepatocellular carcinoma (HCC) is considerably
delayed, being frequently done in the non-curative stage of disease. The reason
for delayed diagnosis is indolent course in early stages and/or unspecific
symptoms indistinguishable from underlying cirrhosis. Hitherto methods used for
screening of HCC have important limitations. TRIMprob is a non-invasive method,
which showed utility in detection of cancers located in prostate, breast, or
urinary bladder. Aim: To determine the diagnostic accuracy of TRIMprob in
detecting HCC in cirrhotic liver. Material and methods: Forty-five patients were
prospectively enrolled according to final clinical diagnosis into a group of
cirrhosis and HCC or a group of cirrhosis without HCC. A control group consisted
of 33 healthy subjects. Hepatocellular carcinoma was diagnosed by computed
tomography (CT) or magnetic resonance (MR) and guided biopsy. The TRIMprob
examination was performed in each patient. Three wave frequencies were used: 465,
930, and 1395 MHz. Results: In patients with HCC the intensity of return signal
using wave a frequency of 465 MHz was significantly reduced in patients with HCC
in comparison to healthy subjects (p < 0.0005), but not to cirrhotic patients
without HCC. Moreover, cirrhosis was associated with significantly decreased
TRIMprob signal in comparison to healthy liver (p < 0.002). In ROC analysis an
optimal cut-off value for detection of HCC was 106 units, which yielded 80%
sensitivity. Conclusions: TRIMprob identifies HCC with good sensitivity; however,
the accuracy of this method to identify HCC in screening circumstances may be
hindered by attenuation of the resonance interaction signal by cirrhosis itself.
PMID- 29657611
TI - Gastric cancer with situs inversus totalis: does it really create difficulties
for surgeons?
AB - Introduction: Situs inversus totalis (SIT) is a very rare condition that is seen
at a rate of one in about 6000-8000 births. Aim: To offer a general view on the
coexistence of SIT and gastric cancer, accompanied by a literature review.
Material and methods: Within the scope of this study, the case of a patient with
gastric adenocarcinoma and SIT has been presented. Previous research on gastric
cancer cases with SIT was reviewed through a comprehensive search of the PubMed,
Medline, and Google Scholar databases. The keywords used to conduct this research
were "situs inversus totalis and gastric cancer," "situs inversus totalis and
gastric malignant," and "situs inversus totalis and gastric resection." The
database search covered English studies published between 2000 and 2016. Results:
The results of our literature review revealed 20 studies of patients with gastric
cancer and SIT, and 21 related cases. Overall, 12 of the patients were male, 9
were female, and their mean age was 61.8 +/-10.97 years. The vascular assessment
data showed that three out of the 13 mentioned cases had vascular anomalies.
Eleven of the patients had laparoscopic resections, and one of the patients that
had a surgical procedure exhibiting a postoperative mechanical obstruction.
Conclusions: The coexistence of SIT and gastric cancer is a very rare condition,
and a careful preoperative radiological assessment should be conducted because
there can be accompanying vascular anomalies. Laparoscopies and robotic surgeries
can be performed for suitable patients at experienced centres, consistent with
oncological principles.
PMID- 29657612
TI - Deal a death blow! HCC in cirrhotics - thrombotic complications: their frequency,
characteristics, and risk factors.
AB - Introduction: The hepatocellular carcinoma (HCC), being the commonest primary
cancer, holds the sixth slot in the list of common cancers worldwide. Thrombotic
complications in the form of portal vein tumour thrombosis (PVTT) and bland
portal vein thrombosis with HCC are common with a bad prognosis. Aim: The study
aims to determine the demographic, clinical, and biochemical parameters of HCC
patients. The study also compares the clinical and biochemical parameters among
patients having HCC with and without thrombotic complication. It further aims to
assess the risk factors for thrombotic complication. Material and methods: This
was a retrospective study with a cross sectional design. Clinical and biochemical
parameters among patients having HCC with and without thrombotic complication
were determined. Tests of statistical significance were applied where a p-value <
0.05 was statistically significant. Results: Overall 118/305 (38.7%) patients of
HCC had thrombotic complications. Most of the patients (74.5%) had PVTT whereas
in 25.5% bland PVT was found. Higher age, male gender, greater tumour size,
advanced stage of HCC (Okuda II, III), multifocal/massive tumour morphology and
presence of oesophageal varices, upper GI bleeding, ascites and hepatic
encephalopathy, and extrahepatic spread were found to be statistically
significant for thrombotic complication (p < 0.05 for each). Conclusions: Viral
related HCC is a commonly reported problem. Thrombotic complication is mainly due
to tumour thrombosis rather than bland portal vein thrombosis. Age, gender,
greater tumour size, advanced stage of HCC (Okuda II, III), and
multifocal/massive tumour morphology were important risk factors for thrombotic
complication.
PMID- 29657613
TI - Relationship between physician-based assessment of disease activity, quality of
life, and costs of ulcerative colitis in Poland.
AB - Introduction: Ulcerative colitis (UC) is an idiopathic inflammatory bowel
disorder, which requires lifelong treatment. It generates substantial direct and
indirect costs, and significantly affects the quality of life, especially in the
active state of the disease. Aim: To evaluate the direct and indirect costs of UC
as well as to assess disease activity and quality of life reported by patients
with UC in Polish settings. Material and methods: A questionnaire, cross
sectional study among UC patients as well as physicians involved in the therapy
of the patients was conducted. The Clinical Activity Index (CAI) was used to
assess disease activity, and the WPAI questionnaire to assess productivity loss.
The quality of life was presented as utility calculated using the EQ-5D-3L
questionnaire. Indirect costs included absenteeism, presenteeism, and informal
care were assessed with the Human Capital Approach and expressed in euros (?).
The productivity loss among informal caregivers was valuated with the average
wage in Poland. Correlations were presented using the Spearman's coefficient, and
the between-group difference was assessed with Mann-Whitney U-test. Results: One
hundred and forty-seven patients participated in the study, including 95 working
persons. Mean cost of absenteeism and presenteeism was ?1615.2 (95% CI: 669.5
2561.0) and ?3684.4 (95% CI: 2367.8-5001.1), respectively, per year per patient
with a disease in remission. The mean yearly cost of productivity loss due to
informal care was estimated to be ?256.6 (range: 0.0-532.6). The corresponding
values for patients with active disease were: ?8,913.3 (95% CI: 6223.3-11,603.3),
?4325.1 (95% CI: 2282.4-6367.8), and ?2396.1 (95% CI: 402.0-4390.3). The between
group difference in total indirect costs, cost of absenteeism, and cost of
informal care was statistically significant (p < 0.05). The average weighted
monthly costs of therapy with particular drugs categories (e.g. mesalazine or
biologic drugs) differed significantly between active disease or remission
patients. The difference in utility values between patients with a disease in
remission (0.898 +/-0.126) and patients with an active disease (0.646 +/-0.302)
was statistically significant. Conclusions: Our study revealed the social burden
of UC and high dependency of direct and indirect costs as well as quality of life
on the severity of UC in Poland. The statistically significant differences were
identified in total direct and indirect cost, cost of absenteeism, cost of
informal care, and health-related quality of life among patients with an active
disease compared to patients with a disease in remission.
PMID- 29657614
TI - Acute pancreatitis in children.
AB - Introduction: The acute pancreatitis is a rare disease, but it has started to be
diagnosed more often in children. Aim: The aim of the study was single-centre,
retrospective analysis of the incidence, aetiology, and clinical course of acute
pancreatitis in children. Material and methods: We analysed the medical records
of patients with acute pancreatitis hospitalised in the Gastroenterology Unit of
the Paediatrics Department, Medical University of Silesia from Jan 2004 to Dec
2013. Results: There were 76 cases of acute pancreatitis among 51 children
(average age: 12.07 years) hospitalised in the Gastroenterology Unit between
January 2004 and December 2013. The diagnosis of acute pancreatitis was performed
on the basis of INSPIRE criteria and modified Atlanta classification. Patients
were divided into groups: I - 1-12 years old, which included 20 (39.21%) children
and II - 13-18 years old, with 31 (60.78%) children. The idiopathic aetiology was
the most common cause of acute pancreatitis, occurring in 22 (43.1%) children,
and in 15 cases the aetiology of the disease was biliary (29.4%). Genetically
determined causes were diagnosed in 8 (15.7%) patients, the PRSS1 mutation in
four patients, mutation in SPINK1 in 1 child, and CFTR gene mutation in 1 child.
Two children simultaneously had two genes mutations (CFTR, SPINK1), and during
the considered period had more than one episode of acute pancreatitis.
Conclusions: Acute pancreatitis of idiopathic aetiology was most common among the
examined children, and this should encourage the continued search for the causes
of disease, especially genetic, and with particular emphasis on younger age
group.
PMID- 29657615
TI - KRAS, KIT and TP53 mutations in mother's and daughter's gastric cardia
adenocarcinomas.
PMID- 29657616
TI - Hereditary angioedema restricted to the digestive tract.
PMID- 29657617
TI - Tackling Communication Breakdown - Clinically Unjustified Examinations Revisited.
AB - A lack of communication between the referring clinician and radiologist leads to
innumerable unnecessary examinations in the developed world, including Poland.
Are the current administrative efforts reaching the right audience and what
changes await us in the near future?
PMID- 29657618
TI - Diffusion-Weighted Imaging and Color Doppler Ultrasound in Evaluation of Partial
Testicular Torsion in Rat Model.
AB - Background: To evaluate the utility of histogram analysis of apparent diffusion
coefficient (ADC) in the diagnosis of incomplete testicular torsion.
Material/Methods: Fourteen Wistar albino rats were randomly allocated into two
groups. In group 1 (control), left testicles were kept outside for 45 seconds and
then replaced, whereas left testicles were twisted by 360 degrees in group 2.
Four hours later, DWI was performed. After that, magnetic resonance imaging (MRI)
and testicular color Doppler ultrasound (CDU) were performed by two radiologists
who looked for the presence or absence of blood flow. ADC measurements were
carried out by two radiologists who were blinded to each other's measurements.
After CDU, testes were surgically removed and a pathological examination was
performed after four hours of torsion. Comparisons of minimum, mean, and maximum
ADC values in testicles were performed with the Wilcoxon test. P value of 0.05 or
less was considered as statistically significant. Inter-observer agreement was
also analyzed. Results: In the torsion group, minimum ADC values for left
testicles were significantly lower than for the control group (p<0.05),
regardless of the observer. Inter-observer agreement for measurements of ADCmin
and ADCmax values was substantial, with an ICC score of 0.751 and 0.774,
respectively (95% CI). Inter-observer agreement for the measurement of ADCmean
value was excellent, with an ICC score of 0.844 (95% CI). The pathological
examination revealed mild interstitial edema and closely packed seminiferous
tubules in the left testes, indicating reversible damage in the torsion group.
Conclusions: On the pathological examination, all left testes in the torsion
group were recoverable after four hours of torsion. Minimum ADC values can be
used as an auxiliary method in the diagnosis of partial testicular torsion.
Comparing minimum ADC values between the affected and non-affected testicles can
be a useful tool in the early diagnosis of incomplete testicular torsion.
PMID- 29657619
TI - Extremely Rare Case of Bilateral Pure Primary Non-Gestational Ovarian
Choriocarcinoma.
AB - Background: Germ cell tumors of the ovary constitute less than one percent of
ovarian tumors worldwide. Choriocarcinoma arising de novo from the ovary is very
rare and only occasionally reported in the literature. Herein, we report a case
of bilateral non-gestational pure primary ovarian choriocarcinoma that was
confirmed by beta human chorionic gonadotropin (beta-HCG) levels and
histopathology. Case Report: Our case is of a middle-aged multiparous female who
presented with amenorrhea for three months. She underwent an evaluation with
ultrasound (US), computed tomography (CT), and magnetic resonance imaging (MRI),
which revealed bilateral bulky solid adnexal masses. Based on an increased blood
level of the beta human chorionic gonadotropin and a histopathological
examination, the diagnosis of bilateral non-gestational pure primary ovarian
choriocarcinoma was made. Conclusions: The imaging findings were found to be
specific for bilateral non-gestational pure primary ovarian choriocarcinoma.
PMID- 29657620
TI - Characteristic Multimodal Imaging of Medication-Related Osteonecrosis of the Jaw:
Comparison Between Oral and Parenteral Routes of Medication Administration.
AB - Background: To assess multimodal imaging features of medication-related
osteonecrosis of the jaw (MRONJ) and to analyze the differences between oral and
parenteral routes of medication administration. We retrospectively reviewed
panoramic radiographs, CT, MRI, and bone scintigraphy of patients with MRONJ.
Material/Methods: A retrospective study was conducted in 16 patients with MRONJ
who underwent panoramic radiography, CT, MRI, and bone scintigraphy. Statistical
analysis for the comparison between routes of medication administration and
multimodal imaging features was performed with the Pearson's chi2 test. Results:
The percentage of cases with sequestrum separation was 25.0% (4/16 cases) on
panoramic radiography and 81.3% (13/16 cases) on CT. The percentage of cases with
periosteal bone proliferation on CT was 41.7% (5/12 cases) in the oral route of
administration vs. 100% (4/4 cases) in the parenteral route of administration
(p=0.042). The percentage of cases with spread of soft tissue inflammation to
buccal and other spaces on CT and MRI was 33.3% (4/12 cases) in the oral route of
administration vs. 100% (4/4 cases) in the parenteral route of administration
(p=0.021). Conclusions: The sequestrum separation on panoramic radiography in
patients with MRONJ was unclear in comparison to CT. Furthermore, characteristic
CT findings of patients with MRONJ in the parenteral administration group were
periosteal bone proliferation and spread of soft tissue inflammation to buccal
and other spaces.
PMID- 29657621
TI - Diffusion-Weighted Imaging in the Oral and Maxillofacial Region: Usefulness of
Apparent Diffusion Coefficient Maps and Maximum Intensity Projection for
Characterization of Normal Structures and Lesions.
AB - Background: The aim of this study was to investigate diffusion-weighted imaging
(DWI) in the oral and maxillofacial region, with a special focus on the
usefulness of apparent diffusion coefficient (ADC) maps and maximum intensity
projection (MIP) for characterization of normal structures and lesions.
Material/Methods: Thirty-five patients who underwent magnetic resonance imaging
(MRI) for diagnosis of oral and maxillofacial lesions were included in this
prospective study. DWI was performed on a 1.5 T unit, with b factor of 0 and 800
s/mm2; moreover, ADC maps were generated. ADC values were measured for normal
structures, odontogenic infections, squamous cell carcinomas (SCC), and
hemangiomas. Results: As regards the normal structures, the mean ADC value of the
cerebrospinal fluid (3.65+/-0.60*10-3 mm2/s) in the upper neck area was higher
than that of the spinal cord (0.74+/-0.15*10-3 mm2/s, P=0.000), lymph nodes
(0.87+/-0.17*10-3 mm2/s, P=0.000), and Waldeyer's ring (0.92+/-0.29*10-3 mm2/s,
P=0.000). The mean ADC value of hemangiomas (1.52+/-0.31*10-3 mm2/s) was higher
than that of odontogenic infections (0.85+/-0.36*10-3 mm2/s, P=0.034) and SCC
(1.38+/-0.22*10-3 mm2/s, P=0.840). Furthermore, MIP (DWI) showed the normal
structures and lesions in the oral and maxillofacial region in an improved way.
Conclusions: DWI, ADC maps, and MIP can be used to characterize and differentiate
normal structures and lesions in the oral and maxillofacial region.
PMID- 29657622
TI - Computed Tomography Assessment of Hepatic Metastases of Breast Cancer with
Revised Response Evaluation Criteria in Solid Tumors (RECIST) Criteria (Version
1.1): Inter-Observer Agreement.
AB - Background: To assess inter-observer agreement of revised RECIST criteria
(version 1.1) for computed tomography assessment of hepatic metastases of breast
cancer. Material/Methods: A prospective study was conducted in 28 female patients
with breast cancer and with at least one measurable metastatic lesion in the
liver that was treated with 3 cycles of anthracycline-based chemotherapy. All
patients underwent computed tomography of the abdomen with 64-row multi- detector
CT at baseline and after 3 cycles of chemotherapy for response assessment. Image
analysis was performed by 2 observers, based on the RECIST criteria (version
1.1). Results: Computed tomography revealed partial response of hepatic
metastases in 7 patients (25%) by one observer and in 10 patients (35.7%) by the
other observer, with good inter-observer agreement (k=0.75, percent agreement of
89.29%). Stable disease was detected in 19 patients (67.8%) by one observer and
in 16 patients (57.1%) by the other observer, with good agreement (k=0.774,
percent agreement of 89.29%). Progressive disease was detected in 2 patients
(7.2%) by both observers, with perfect agreement (k=1, percent agreement of
100%). The overall inter-observer agreement in the CT-based response assessment
of hepatic metastasis between the two observers was good (k=0.793, percent
agreement of 89.29%). Conclusions: We concluded that computed tomography is a
reliable and reproducible imaging modality for response assessment of hepatic
metastases of breast cancer according to the RECIST criteria (version 1.1).
PMID- 29657623
TI - Detailed Imaging Findings in a Rare Case of Kimura Disease, with Special Mention
on Diffusion Weighted Imaging.
AB - Background: Kimura disease is an uncommon, benign, chronic, idiopathic disease
that is seen mainly in the Asian population, particularly in females. It mainly
affects the salivary glands and in particular parotid and cervical lymph nodes.
So far, the diagnosis of Kimura disease has been based on histopathology. We
hereby report of a confirmed case of Kimura disease and provide a detailed
explanation of its imaging features with a special emphasis on diffusion-weighted
imaging, as diffusion sequences may help distinguish Kimura disease from
malignancy. Case Report: A middle-aged female patient presenting with a history
of multiple chronic neck swellings was thoroughly evaluated by ultrasound (US),
computed tomography (CT), and magnetic resonance imaging (MRI). The imaging
findings included gross enlargement of the left parotid gland, a focal lesion in
the right parotid gland, and cervical lymphadenopathy. These features correlated
with histopathological findings, and the diagnosis of Kimura disease was made.
Conclusions: The imaging findings were found to be specific for Kimura disease.
PMID- 29657624
TI - Unilateral Primary Adrenal B-Cell Lymphoma Clinically Mimicking Chronic
Gastritis.
AB - Background: Primary adrenal lymphomas are a very rare type of extranodal
lymphoma, and they usually are found bilaterally. Symptoms of the disease are
variable and depend on the type of lymphoma and/ or presence of adrenal
insufficiency. Magnetic resonance imaging is the best radiologic modality for
differentiating lymphomas from other adrenal malignancies; however,
histopathology is considered as the gold standard method for diagnosing
extranodal lymphomas. Case Report: We present a case of unilateral adrenal
lymphoma that was initially diagnosed as an infectious disease and chronic
gastritis, based on clinical and laboratory findings. Ultrasonography detected an
adrenal mass, and magnetic resonance imaging excluded common lesions of the
adrenal gland and showed lymphadenopathy around the major vessels of the abdomen.
A percutaneous biopsy of the mass and bone marrow biopsy confirmed the diagnosis
of primary adrenal lymphoma without bone marrow metastasis. Conclusions:
Extranodal lymphomas can occur in almost every organ, and if nonspecific clinical
findings are combined with the presence of a solid organ mass, lymphoma should be
included in the differential diagnosis.
PMID- 29657625
TI - Poland Syndrome with Ipsilateral Hypoplasia of Gluteal Muscles and Contralateral
Hidradenitis Suppurativa.
AB - Background: Poland syndrome (PS) is a rare congenital anomaly associated with
absent or hypoplastic pectoralis major muscle and a wide spectrum of ipsilateral
thoracic and upper extremity deformities. Hidradenitis suppurativa (HS) is a
recurrent inflammatory follicular disease that commonly affects the apocrine
bearing skin and involves follicular occlusion and hyperkeratosis. Case Report:
We report a case of a 46-year-old male with a history of chronic recurrent
hidradenitis suppurativa who was incidentally found to have a simple type of
Poland syndrome with incidental hypoplasia of ipsilateral gluteal muscles.
Conclusions: Not only can PS present with HS, which we describe for the first
time, but it is also associated with a wide variability of symptoms such as
previously unknown co-existence of gluteal muscles hypoplasia.
PMID- 29657626
TI - A Rare Case of Caudal Regression Syndrome in a Foetus of Non-Diabetic Mother: A
Case Report.
AB - Backgound: Caudal regression syndrome (CRS) is an uncommon disorder in which
there is abnormal development of the caudal end of the foetal spine along with
many associated anomalies. Manifestations of this syndrome can vary from the
absence of a few terminal coccygeal segments to complete lumbosacral agenesis.
Its overall incidence is 1 in 60000 live births. It is more commonly seen in
infants of diabetic mothers, with a 200-fold increased risk as compared to the
general population. Case Report: We present a case of CRS in a foetus of a non
diabetic mother and discuss the role of radiology in early diagnosis and timely
management. We also correlate initial abnormalities of the nervous system found
on imaging with postnatal clinical deficits. Conclusions: This case highlights
the rarity caudal regression syndrome in a foetus of a non-diabetic mother. Early
diagnosis of CRS is important for appropriate management.
PMID- 29657627
TI - Acute Chest Pain of Coronary Origin in Patients without Diabetes: How
Multidetector Computed Tomography (MDCT) Angiography Helps in Diagnosis.
AB - Background: To assess the diagnostic value of MDCT coronary angiography for
evaluation of acute chest pain of coronary origin in non-diabetic patients.
Material/Methods: In 22 patients without DM, we assessed coronary arteries with
multidetector CT angiography and invasive conventional angiography. Results: CT
coronary angiography revealed positive coronary artery disease findings in 16
patients; LAD was affected in 16 (72.3%), RCA in 14 (63.3%), and LCX in 8 (36.4%)
cases. The proximal part of LAD was the most commonly affected coronary artery
(14 cases, 63.3%) in the studied patients who underwent MSCT coronary
angiography. As regards the calcium score, 4 patients (18%) had low calcium
scores, 6 cases (27%) had moderate calcium scores, and 12 cases (55%) had high
calcium scores. There were 6 patients with positive findings of coronary artery
disease detected on MDCT coronary angiography that were not mirrored by
conventional angiography. Conclusions: MDCT angiography of the coronaries is a
good and rapid method for evaluation of the coronary anatomy and for early
detection and grading of coronary lesions in non-diabetic patients.
PMID- 29657628
TI - Accuracy of Ultrasonography (US) and Magnetic Resonance Imaging (MRI) in
Detection of Rotator Cuff Tears in District General Hospital.
AB - Background: Rotator cuff tears (RCTs) represent a significant proportion of
shoulder diseases, hence they are a frequent cause of patient visits in shoulder
clinics. However, the diagnosis of rotator cuff tears is controversial.
Investigation of cuff tears is based on ultrasonography (US) and magnetic
resonance imaging (MRI). Both modalities have been in use for decades, and their
advantages and limitations are known. A recent Cochrane review of the subject
suggested that US and MRI both performed well with respect to full thickness
rotator cuff tears (FTT). However, they were less accurate with respect to
partial thickness tears (PTT). The aim of this study is to assess the accuracy of
US and MRI in diagnosing rotator cuff tears. Material/Methods: This is a
retrospective analysis of a cohort of 255 patients who underwent shoulder
arthroscopy. Of them, 125 patients had preoperative US, and 130 had preoperative
MRI. The imaging results were compared with arthroscopic findings for patient.
Results: After calculating sensitivity, specificity, positive prediction value
(PPV), and negative prediction value, we found no statistically significant
difference between US and MRI in detection of rotator cuff tears of any type
(RCT) or FTT. However, US is more specific in detecting PTT compared to MRI
(P=0.00008) but with no significant difference in other parameters. Conclusions:
We concluded that US and MRI both have similar accuracy in diagnosing RCT of any
sort and FTT. However, US is more specific than MRI in detecting PTT. In our
institute, we now recommend US as the investigation of choice for diagnosing
rotator cuff tears.
PMID- 29657629
TI - Magnetic Resonance (MR) Imaging Assessment for Glossopharyngeal Neuralgia: Value
of Three-Dimensional T2-Reversed MR Imaging (3D-T2R) in Conjunction with Other
Modes of 3D MR Imaging.
AB - Background: To retrospectively examine the usefulness of gray-scale reversal
imaging of T2-weighted images (3D-T2R) in conjunction with other modes of 3D MRI
for preoperative assessments in patients with glossopharyngeal neuralgia (GPN)
due to neurovascular compression. Material/Methods: Imaging findings on 3D-T2R,
constructive interference in steady state (CISS), and MRA were analyzed with
reference to operative charts in 10 patients with GPN. Results: Offending vessels
were associated with the posterior inferior cerebellar artery (PICA) in 9 of 10
patients (90%). Eight of the 10 patients (80%) had offending vessels located at
the supraolivary fossette. Of those eight patients, six (75%) had a shift of the
ipsilateral vertebral artery to the affected side. Five (42%) and seven (48%)
contact points were associated with the root entry/exit zone and the peripheral
nerve system segment, respectively. In six of nine contact points (67%), 3D-T2R
demonstrated the pathomorphological features at the contact points better than
CISS. Conclusions: The offending vessels were mostly associated with posterior
inferior cerebellar arteries, were frequently located at the supraolivary
fossette, and had attachments at the root entry/exit zone and at the peripheral
segment of the glossopharyngeal nerve, which was well demonstrated on 3D-T2R.
PMID- 29657630
TI - Multidetector Computed Tomography (CT) in Evaluation of Congenital Cyanotic Heart
Diseases.
AB - Background: The aim of the study is to emphasize the role of 128 MSCT angiography
in the diagnosis of congenital cyanotic heart diseases. Material/Methods: This
study included sixty patients and was conducted from December 2014 to July 2016
in the Multidetector CT unit of Zagazig University hospitals. All images included
axial, MPR, MIP, and VRT and were interpreted in one session. Pulmonary veins
were assessed for PAPVR or TAPVR, PDA, cardiac apex and heart chambers,
interatrial or interventricular septal defects, pericardium, and site and size of
the great veins (IVC and SVC). Results: This study included 60 patients. Thirty
four were boys (56.7%), and 26 were girls (43.3%). The age ranged from nine
months to five years, and the mean age was 34.5 months. We found the following
anomalies: tetralogy of Fallot (15 patients, 25%), tricuspid atresia (12
patients, 20%), Ebstein's anomaly (4 patients, 6.5%), pulmonic atresia or
stenosis (7 patients, 11.5%), truncus arteriosus (6 patients, 10%), TGA (10
patients, 17%), and TAPVR (6 patients, 10%). Conclusions: MDCT proved to be an
important modality for decision-making in patients with congenital cyanotic heart
diseases.
PMID- 29657631
TI - Internal Mammary Artery Anastomoses in Patients without Breast Lesions (BIRADS 1)
and in Patients with Malignant Breast Lesions (BIRADS 6) on Magnetic Resonance
Imaging (MRI).
AB - Background: We aimed to compare the frequency of IMA (internal mammarian artery)
anastomoses in right and left breasts in patients without breast lesions and in
patients with malignant breast lesions. Material/Methods: A total of 100 patients
with no breast lesions and a total of 100 patients with malignant breast lesions
were included in the study. The anastomoses of IMA of right and left breasts were
evaluated on MIP and post-contrast T1-weighted magnetic resonance imaging (MRI)
sequences. Breast MRI scans were read by a radiologist. Results: In patients with
no breast lesions, IMA anastomoses were found in 45% of cases, and in patients
with malignant breast lesions, IMA anastomoses were found in 58% cases. In four
patients with malignant lesions, ipsilateral IMA was rudimentary, and the lesion
was feeding from the contralateral IMA. Conclusions: No statistically significant
difference was found between patients without any breast lesions and patients
with malignant breast lesions with respect to the frequency of IMA anastomoses in
right and left breasts. The frequencies were higher than expected in both groups.
PMID- 29657632
TI - Real-Time Elastography for Differentiation of Breast Lesions.
AB - Backroung: To investigate the diagnostic performance of the elastography-based
strain index ratio in the differential diagnosis of malignant and benign breast
lesions. Material/Methods: Seventy-nine breast masses that were classified as BI
RADS category 3, 4, and 5 on B-mode ultrasonography (US) were further
prospectively evaluated by real-time sonoelastography (RTE). To obtain an optimal
cut-off value of the strain ratio for differentiating between malignant and
benign breast lesions, RTE findings were compared with histopathology of core
needle biopsy samples or with ultrasound follow-up data of the analyzed masses.
Results: Seventy-nine breast lesions [BI-RADS category 3 (n=15), BI-RADS category
4 (n=34), and BI-RADS category 5 (n=30)] were classified as malignant (n=36) or
benign (n=43). The mean strain index value was 6.59+/-3.44 (range 0.6-14) for
malignant lesions and 2.79+/-2.16 (range 0.6-8.7) for benign lesions,
respectively (p<0.05). As regards the detection of malignant lesions, US was
characterized by sensitivity and specificity of 100% (CI 95%; 88-100) and 90% (CI
95%; 76-97), respectively. When an optimal value of the strain ratio (4.25) was
obtained by ROC curve analysis, the sensitivity and specificity for diagnosing
malignant lesions were 86% (CI 95%; 70-95) and 76% (CI 95%; 60-87), respectively.
Conclusions: RTE can play an important role in the differentiation between
malignant and benign breast masses, but it should be used in conjunction with
ultrasonography.
PMID- 29657633
TI - Magnetic Resonance Imaging (MRI) of Snapping Scapula in a 10-Year-Old Boy.
AB - Background: Snapping scapula syndrome, also known as scapulothoracic crepitus or
bursitis, is a manifestation of a mechanical abnormality of the scapulothoracic
joint. In addition to characteristic findings on physical examination, magnetic
resonance imaging (MRI) exquisitely reveals soft tissue changes such as muscle
edema and scapulothoracic bursitis. Case Report: We present a case of a 10-year
old boy who had snapping scapula syndrome of the right scapula that was
associated with edema of the serratus anterior muscle at the scapulothoracic
interface and with scapulothoracic, specifically supraserratus, bursitis on MRI.
Conclusions: MRI in snapping scapula syndrome, which is a clinical diagnosis,
exquisitely reveals soft tissue changes such as muscle edema and scapulothoracic
bursitis. Such soft tissue findings of snapping scapula syndrome need to be kept
in mind while evaluating routine shoulder and/or scapular region MRI, especially
in the absence of relevant clinical information at the time of the imaging study.
PMID- 29657634
TI - Assessment of the Pancreas with Strain Elastography in Healthy Children:
Correlates and Clinical Implications.
AB - Background: To determine strain index (SI) values at various locations in the
pancreas and to investigate the relationship between age, gender, body weight,
height, body mass index (BMI), and elasticity values of the pancreas in healthy
children. Material/Methods: This cross-sectional trial was performed in 147
healthy children who underwent transabdominal ultrasonography for strain
elastography of the pancreas. A convex, 3.5-5-MHz probe was used to obtain the
images. Baseline descriptive data including age (months), body weight (kg),
height (cm), and BMI (kg/m2) were noted. Strain index values were calculated for
the head, body, and tail of the pancreas, and a mean value was obtained. The
relationship between demographic variables and SI values was assessed.
Correlation between variables with normal distribution was evaluated with
Pearson's correlation coefficient and Spearman's rho. Results: The average SI
values in girls and boys were 1.30+/-0.34 and 1.32+/-0.22, respectively. There
was no significant difference between SI values measured in the head, trunk, and
tail of the pancreas (p=0.594). The average SI value did not differ between girls
and boys (p=0.751). Correlation analysis revealed that SI was positively
associated with age (p=0.005), body weight (p=0.004), height (p=0.003), and BMI
(p=0.005). Conclusions: This study determined normal elasticity values of the
pancreas in healthy children. SI values of pancreas change with age, body weight,
height, and BMI in the pediatric population. Information obtained from healthy
children can serve as a baseline against which pancreatic diseases can be
examined.
PMID- 29657635
TI - Ultrasound is Not Useful as a Screening Tool for Dengue Fever.
AB - Background: Dengue fever is a tropical disease that is transmitted by female
Aedes Aegypti mosquitos. Early diagnosis is necessary to reduce the mortality and
morbidity associated with the disease. A combination of clinical, laboratory, and
sonography findings can be potentially helpful in making an early diagnosis of
dengue fever. There is paucity of literature on the use of ultrasound for dengue
fever screening; hence, the primary objective of the study was to evaluate the
utility of ultrasound as a screening tool in dengue fever. Material/Methods: A
total of 160 patients of suspected dengue fever were included in the study. They
underwent ultrasound examinations in order to search for thickening of the
gallbladder wall, pleural effusion, and ascites. On the basis of ultrasound
findings, 65 cases were positive and 95 cases were negative for dengue fever.
Serological tests were also used for diagnosing dengue fever, 93 cases were
seropositive and 67 cases were seronegative. The ultrasonically diagnosed cases
were compared with serologically diagnosed cases, and appropriate descriptive
statistical analyses were applied. Results: The ultrasound findings included gall
bladder wall thickening in 66 patients (41.2%). The sensitivity, specificity, and
positive predictive value of ultrasound in diagnosing dengue fever were 58%, 84%,
and 83%, respectively. The negative predictive value and accuracy were 59% and
68.8%, respectively. Conclusions: The present study suggests that increased gall
bladder wall thickness, pleural effusion, ascites, hepatomegaly, and splenomegaly
are highly suggestive of dengue fever in clinically suspected cases. However,
ultrasound should not be used as a screening tool, as negative ultrasound
findings cannot rule out dengue fever due to the low sensitivity of this
examination.
PMID- 29657636
TI - Lumbar Opening Pressure and Radiologic Scoring in Idiopathic Intracranial
Hypertension: Is There Any Correlation?
AB - Background: To investigate correlation between lumbar opening pressure (LOP) and
radiological scores based on cranial MRI and contrast-enhanced MR venography in
patients with idiopathic intracranial hypertension (IIH). Material/Methods:
Patients with IIH who underwent brain MRI and contrast-enhanced MR venography
before measurement of LOP between 2010-2014 were evaluated retrospectively. Three
experienced radiologists (blinded to LOP values) evaluated a total of 51
patients. They reached a consensus on the presence or absence of 6 radiological
findings identified in the literature as characteristic for IIH: empty sella,
perioptic dilation, optical tortuosity, flattening of the posterior globe,
swelling of the optic disc, and bilateral transverse sinus stenosis. The
radiological score was obtained by giving 1 point for the presence of each
finding, with the highest possible score of 6 points. The correlation between the
calculated radiological scores and LOP was evaluated. Results: There was no
significant correlation between LOP and radiological scores (r=0.095; p=0.525,
Spearman's rank coefficient). Similarly, no significant correlation was detected
between LOP and each of the radiological findings (partial empty sella [p=0.137],
perioptic dilation [p=0.265], optical tortuosity [p=0.948], flattening of the
posterior globe [p=0.491], swelling of the optic disc [p=0.881], and bilateral
dural sinus stenosis [p=0.837], Mann-Whitney U test). Conclusions: There was no
significant correlation between LOP and reliable radiological features of IIH.
PMID- 29657637
TI - Can Pixel Value Ratio be Used in the Assessment of Ceramic Bone Substitute
Incorporation? Observations from a Pilot Study.
AB - Background: Assessment of bone graft substitute incorporation is critical in the
clinical decision making process and requires special investigations. We examined
if the pixel value ratio (PVR) obtained in routine follow-up digital radiographs
could be used for such assessment. Material/Methods: Radiographic images were
acquired using either computed radiography or flat panel digital radiography
systems. The PVR from radiographs of thirty children with ceramic bone substitute
grafting were analyzed using the software from the picture archival and
communication system (PACS) workstation. Graft incorporation was also assessed
using the van Hemert scale. Three independent observers (A, B, C) measured PVRs
at two different time points during the first and the last follow-up visits. PVR
was compared with the van Hemert scale scores and analyzed using Spearman's rank
correlation. Results: The mean intra-observer reliability was 0.8996, and inter
observer reliabilities were 0.69 (A vs. C), 0.78 (A vs. B), and 0.85 (B vs. C)
for the first follow-up visit and 0.74 (A vs. C), 0.82 (A vs. B), and 0.70 (B vs.
C) for the last follow-up measurements. Spearman's correlation showed a strong
negative association between PVR values and van Hemert scale scores, as the
healing process advanced on serial measurements at each follow-up (r=-0.94, n=60,
z=-7.24, p<=0.0001). The reliability of the PVR measurements was assessed using
an aluminum step wedge and ceramic graft. Conclusions: PVR is potentially a
reliable indicator of bone graft incorporation and can aid in clinical decision
making provided standard radiographic techniques are used.
PMID- 29657638
TI - Diagnostic Performance of Multidetector Computed Tomography (MDCT) in Diagnosis
of Sinus Variations.
AB - Background: In this prospective study, we looked for correlations between
anatomic variants of paranasal sinuses and chronic or recurrent sinusitis.
Material/Methods: Two hundred and forty (240) patients with clinical features of
chronic rhinosinusitis were examined; patients with first-onset or allergic
sinusitis and pregnant females were excluded. Routine multi-slice CT of the
paranasal sinuses was performed to look for mucosal disease of the paranasal
sinuses, drainage pathways, and presence of anatomical variations and their
relation to known sinus drainage pathways. Results: Anatomic variations were very
frequent, and we classified them into four easily recognized groups: nasal septum
variations, middle turbinate variations, uncinate process variations, and
ethmoidal variations. Deviated nasal septum was the most frequent variation in
patients with chronic or recurrent sinusitis, and it was detected in 48.8% of
cases. Agger nasi cells and concha bullosa were equally frequent (30.6%), and
Haller cells were detected in 11.2%. Uncinate process variations were detected in
18.1%, and the large ethmoid bulla was detected in 10%. Conclusions: The
importance of anatomic variations is that they can compromise drainage pathway of
the related sinus, which results in inflammatory sinus disease. Anatomical
variations are not diseases on their own and may be present as incidental
findings in patient with chronic sinusitis.
PMID- 29657640
TI - Evaluation of Imaging Methods in Tick-Borne Encephalitis.
AB - Tick-borne encephalitis (TBE) is caused by a virus that belongs to the
Flaviviridae family and is transmitted by tick bites. The disease has a biphasic
course. Diagnosis is based on laboratory examinations because of non-specific
clinical features, which usually entails the detection of specific IgM antibodies
in either blood or cerebrospinal fluid that appear in the second phase of the
disease. Neurological symptoms, time course of the disease, and imaging findings
are multifaceted. During the second phase of the disease, after the onset of
neurological symptoms, magnetic resonance imaging (MRI) abnormalities are
observed in a limited number of cases. However, imaging features may aid in
predicting the prognosis of the disease.
PMID- 29657639
TI - Magnetic Resonance (MR) Imaging of Vascular Malformations.
AB - Vascular malformations pose a diagnostic and therapeutic challenge due to the
broad differential diagnosis as well as common utilization of inadequate or
inaccurate classification systems among healthcare providers. Therapeutic
approaches to these lesions vary based on the type, size, and extent of the
vascular anomaly, necessitating accurate diagnosis and classification. Magnetic
resonance (MR) imaging (MRI) is an effective modality for classifying vascular
anomalies due to its ability to delineate the extent and anatomic relationship of
the malformation to adjacent structures. In addition to anatomical mapping, the
complete evaluation of vascular anomalies includes hemodynamic characterization.
Dynamic time-resolved contrast-enhanced MR angiography provides information
regarding hemodynamics of vascular anomalies, differentiating high- and low-flow
vascular malformations. Radiologists must identify the MRI features of vascular
malformations for better diagnosis and classification.
PMID- 29657641
TI - Top 50 Highly Cited Articles on Dual Energy Computed Tomography (DECT) in
Abdominal Radiology: A Bibliometric Analysis.
AB - This study aims to identify the 50 most highly cited articles on dual energy
computed tomography (DECT) in abdominal radiology Thomson Reuters Web of Science
All Databases was queried without year or language restriction. Only original
research articles with a primary focus on abdominal radiology using DECT were
selected. Review articles, meta-analyses, and studies without human subjects were
excluded. Fifty articles with the highest average yearly citation were
identified. These articles were published between 2007 and 2017 in 12 journals,
with the most in Radiology (12 articles). Articles had a median of 7 authors,
with all first authors but one primarily affiliated to radiology departments. The
United States of America produced the most articles (16), followed by Germany (13
articles), and China (7 articles). Most studies used Dual Source DECT technology
(35 articles), followed by Rapid Kilovoltage Switching (14 articles), and
Sequential Scanning (1 article). The top three scanned organs were the liver
(24%), kidney (16%), and urinary tract (15%). The most commonly studied pathology
was urinary calculi (28%), renal lesion/tumor (23%), and hepatic lesion/tumor
(20%). Our study identifies intellectual milestones in the applications of DECT
in abdominal radiology. The diversity of the articles reflects on the
characteristics and quality of the most influential publications related to DECT.
PMID- 29657642
TI - Quantitative Computed Tomography (CT) Assessment of Emphysema in Patients with
Severe Chronic Obstructive Pulmonary Disease (COPD) and its Correlation with Age,
Sex, Pulmonary Function Tests, BMI, Smoking, and Biomass Exposure.
AB - Background: To evaluate the role of HRCT in quantifying emphysema in severe COPD
patients and to study the variations in the pattern of emphysema in relation to
age, sex, FEV1, smoking index, biomass exposure, and BMI. Material/Methods:
Automatic lung segmentation of HRCT scans in 41 severe COPD patients (GOLD stage
III or more) was done using an emphysema protocol. The extent of emphysema was
assessed using the density mask method with a threshold of -950 HU (%LAA-950).
The percentage of emphysema in each lung lobe and both lungs was correlated with
6 parameters - age, sex, BMI, smoking index, biomass exposure, and FEV1. Results:
Smoking resulted in homogenously distributed emphysema regardless of the severity
of smoking. BMI was inversely correlated with the extent of emphysema. A
significant association was found between the percentage of emphysema in the
right lower lobe and BMI (P=0.015), between biomass exposure and the percentage
of emphysema in RUL, RLL, and both lungs (P values of 0.024, 0.016, and 0.036,
respectively). The extent of emphysema was disproportionately low compared to the
amount of obstruction on PFTs, indicating an airway predominant variety of COPD
with significant biomass exposure. Conclusions: Smoking is associated with a
relatively homogenous distribution of emphysema with no regional predilection.
Biomass exposure produces predominantly right-sided emphysema. BMI decreases with
increasing levels of emphysema in the right lower lobe. These risk factors of
emphysema patterns are helpful in deciding on the management, including surgical
options.
PMID- 29657643
TI - Evaluation of Pancreas with Strain Elastography in Children with Type 1 Diabetes
Mellitus.
AB - Background: To investigate changes in pancreatic elasticity in children with type
1 diabetes mellitus (T1DM). Material/Methods: The study group consisted of 60
children with T1DM, and the control group was comprised of 60 healthy children.
Strain ratios were obtained for the pancreas using ultrasound strain elastography
(Toshiba Applio 500 device). Baseline descriptive data, hemoglobin A1c (%) level,
time since diagnosis of T1DM (months), and strain ratio values were noted and
compared between the 2 groups. Furthermore, correlation between strain ratio
values and other variables was investigated. Results: ROC analysis yielded an
optimal cut-off value of 2.245 (AUC=0.999, p<0.001, sensitivity=0.983,
specificity=1.00) for the strain ratio. Strain ratio values greater than 2.245
were likely to be pathological, which could be attributed to increased tissue
stiffness in T1DM. The strain ratio was significantly higher in T1DM patients
than in the control group (3.38+/-0.66 vs. 1.32+/-0.35; p<0.001). The strain
ratio correlated positively with age and duration of T1DM (p<0.001 for both), and
there was a correlation between the strain ratio and age in the control group
(p=0.011). Conclusions: Strain elastography is a promising, safe, non-invasive,
and practical method for early detection, long-term screening, and follow-up in
children with T1DM.
PMID- 29657644
TI - Evaluation of Imaging Parameters of Ultrasound Scanners: Baseline for Future
Testing.
AB - Background: Regular quality control is required in Poland only for those methods
of medical imaging which involve the use of ionizing radiation but not for
ultrasonography. It is known that the quality of ultrasound images may be
affected by the wearing down or malfunctioning of equipment. Material/Methods: An
evaluation of image quality was carried out for 22 ultrasound scanners equipped
with 46 transducers. The CIRS Phantom model 040GSE was used. A set of tests was
established which could be carried out with the phantom, including: depth of
penetration, dead zone, distance measurement accuracy, resolution, uniformity,
and visibility of structures. Results: While the dead zone was 0 mm for 89% of
transducers, it was 3 mm for the oldest transducer. The distances measured agreed
with the actual distances by 1 mm or less in most cases, with the largest
difference of 2.6 mm. The resolution in the axial direction for linear
transducers did not exceed 1 mm, but it reached even 5 mm for some of the convex
and sector transducers, especially at higher depths and in the lateral direction.
For 29% of transducers, some distortions of anechoic structures were observed.
Artifacts were detected for several transducers. Conclusions: The results will
serve as a baseline for future testing. Several cases of suboptimal image quality
were identified along with differences in performance between similar
transducers. The results could be used to decide on the applicability of a given
scanner or transducer for a particular kind of examination.
PMID- 29657645
TI - Kaleidoscopic View of Bowel Tuberculosis on Multi- Detector Computed Tomography
(CT) Enterography - A Novel Technique Unfolding an Archaic Disease.
AB - Gastrointestinal tuberculosis (GI TB) is an important manifestation of abdominal
tuberculosis (TB), an extra-pulmonary form of the disease. GI TB commonly affects
the small bowel but is difficult to diagnose due to the challenge of evaluating
the entire length of overlapping small bowel loops with traditional diagnostic
methods like Barium meal follow through, abdominal computed tomography (CT), and
endoscopy. New techniques of CT/MR enteroclysis/enterography are now available
which specifically image the small bowel. MDCT enterography (MDCTE) is a non
invasive, simple to perform, modified abdominal CT imaging technique permitting
reasonably accurate evaluation of the small bowel lumen, wall, perienteric
tissues, and solid organs within the abdomen. As GI TB can cause morphological
alterations in and around the small bowel, MDCTE seems to be an attractive
modality for patients suspected of abdominal or GI TB. As scarce literature is
available on MDCTE on tuberculosis, we present a pictorial review on MDCTE
findings in patients with GI tuberculosis proved on FNAC and clinical and/or
imaging follow-up.
PMID- 29657646
TI - Iterative Reconstruction as a Method for Optimisation of Computed Tomography
Procedures.
AB - Background: Computed tomography (CT) is still commonly regarded as a method that
causes a high radiation exposure. For that reason, producers intensively try to
find new solutions for dose reduction while maintaining a high diagnostic value
of images. One of the recent strategies focuses on CT image reconstruction.
Iterative reconstruction (IR) is an alternative for filtered back projection
(FBP) that is commonly used today.The aim of the article is to demonstrate and
compare the effects of two IR algorithms on dose value and image details.
Material/Methods: Investigations were performed on two 128 multi-detector (MDCT)
CT scanners: - iCT (Philips Healthcare with iDose4); - Definitions AS+ (Siemens
Medical Solutions with SAFIRE system).The measurements involved: - image quality
indicators for the CATPHAN 600 phantom; - dosimetric indicators of exposure (DLP
i CTDIvol). Results: The signal-to-noise ratios (SNR) in the images reconstructed
with IR and FBP were analysed, and the SNR(IR)/SNR(FBP) ratios were calculated
and correlated with CTDIvol values. The effects of IR and FBP algorithms on low
contrast resolution were also compared in relation to CTDIvol values. The
smallest diameter of supra-slice objects in the Catphan phantom were taken into
consideration.Both iterative algorithms definitely improved the visibility of low
contrast objects in comparison to a standard algorithm (FBP) with similar
exposure parameters.These algorithms allow an 80% reduction of the CTDIvol value
while maintaining an acceptable visibility of low-contrast objects. However, the
results obtained with each of the studied iterative algorithms differ.
PMID- 29657647
TI - Echocardiography in the Evaluation of Chest Pain in the Emergency Department.
AB - Background: A challenge for clinicians in emergency departments (EDs) is rapid
identification of those patients with chest pain who require admission and urgent
management and those with low clinical risk who can be discharged safely from the
ED. This study was designed with an aim to evaluate the ability of two
dimensional transthoracic echocardiography (2D-TTE) to determine causes of acute
chest pain in patients presenting to the ED in order to decide whether hospital
admission and further investigations were needed. Material/Methods: A total of
250 consecutive patients admitted with chest pain, were enrolled in this
prospective study. Patients were divided into three groups: high risk, moderate
risk, and low risk of cardiac events, according to cardiovascular risk factors.
2D-TTE was obtained using the HI vision Avius ultrasound unit (Hitachi).
Statistical analysis was performed with the Statistical Package for the Social
Sciences (SPSS), version 20. Results: Ischemic and/or non-ischemic heart diseases
(IHD and/or NIHD) were detected in 147 (86.5%), 13 (7.6%), and 10 (5.9%) patients
with high, moderate, and low risk, respectively. 2D-TTE was characterized by
sensitivity of 85.86%, specificity of 100%, and positive predictive value (PPV)
of 100% for detecting causes of chest pain. Conclusions: 2D-TTE increased
specificity and sensitivity of detecting causes of chest pain, when compared to
patient history, clinical findings, and electrocardiography (ECG). 2D-TTE can be
used to help determine the need for hospital admission, to confirm or exclude
diagnosis, and guide urgent therapy.
PMID- 29657648
TI - Radiologist as a Leader in the Diagnostic Process in Patients with Prostate
Cancer.
AB - Multiparametric MRI of the prostate gland is a relatively new diagnostic modality
which is gathering a growing interest among urologists and radiologists. The
second version of the PI-RADS guidelines enabled standardized imaging, evaluation
and reporting of prostatic lesions. Nonetheless, since 2015 - when the PI-RADS
v.2 was published, numerous questions regarding imaging of the prostate gland
have appeared.
PMID- 29657649
TI - The Predictive Value of Multidetector High Resolution Computed Tomography in
Evaluation of Suspected Sputum Smear Negative Active Pulmonary Tuberculosis in
Egyptian Zagazig University Hospital Patients.
AB - The aim of this work is to study the usage of multi-detector HRCT chest in
diagnosing pulmonary TB cases whose sputum smears are negative and making a
correlation between their CT features and their sputum culture results. This
study was carried out from December 2014 to December 2016 at Zagazig university
hospitals, Radiodiagnosis department. It included 150 patients. Their ages ranged
between 10 to 70 years with a mean age 40 years. They were referred from the
outpatient respiratory medicine clinic of the hospital. All patients had been
presented clinically with suspicion of PTB. Their clinical features and HRCT
findings were investigated to predict the risk for PTB. We then designed
provisional HRCT diagnostic criteria based on the results to rank the risk of
PTB. A positive tuberculin skin test alone among clinical laboratory findings was
significantly associated with an increase of risk of PTB. Centrilobular nodules,
large nodules, tree-in-bud appearance and the main lesion being located in S1,
S2, and S6 lung segments were significantly associated with an increased risk of
PTB. At HRCT 40 out of 44 patients with class III ranking showed active pulmonary
TB. 12 out of 51 patients presented with class II ranking and 8 out of 40 were
ranked as class I. The sensitivity, specificity and positive likelihood ratio of
class I ranking HRCT criteria to diagnose active pulmonary TB were 95%, 40% and
1.4, respectively. Class II ranking results were 85%, 72%, 3, respectively.
Finally class III ranking results were 45%, 90%, 11.5, respectively. Cases
suspected of having active pulmonary TB whose smears are negative can benefit
from MD HRCT chest findings to predict those patients of high risk with good
reproducibility.
PMID- 29657650
TI - Evaluation of Alterations in Tumor Tissue of Gastrointestinal Stromal Tumor
(GIST) in Computed Tomography Following Treatment with Imatinib.
AB - Background: The aim of this study was to evaluate the alterations in the
neoplastic tissue of GIST following Imatinib treatment. Material/Methods: CT
studies of 14 patients with inoperable primary tumors and 56 patients with
metastatic and recurrent disease after chemotherapy were analyzed
retrospectively. The following alterations in features of primary and secondary
tumors were analyzed: dimension, degree and type of contrast enhancement,
outlines of lesions, presence of intratumoral bleeding, presence of
calcifications. Results: In the analyzed group of primary, metastatic and
recurrent tumors after treatment with Imatinib in most cases a decrease in size
and contrast enhancement were observed; the outlines of lesions became well
circumscribed. Following the treatment, the number of tumors enhancing
inhomogeneously decreased. In primary tumors the percentage of calcifications
increased, whereas in metastatic tumors calcifications were observed only after
treatment. There was no bleeding found within primary tumors after treatment. In
metastatic disease, increased percentage of tumors with transient intratumoral
bleeding was observed. There were also some unconventional CT images following
treatment, such as: cystic transformation of lesions, enlargement of lesions,
appearing of new lesions suggesting progression of the disease, stationary
dimensions of lesions during local progression of the disease, simultaneous
decrease and increase in size of metastatic lesions or appearance of new ones.
Conclusions: Right from the start of Imatinib therapy in inoperable and
disseminated GIST patients, specific CT images, not seen during conventional
cytotoxic chemotherapy, were observed.
PMID- 29657651
TI - Role of Magnetic Resonance Imaging, Magnetic Resonance Spectroscopy and
Transrectal Ultrasound in Evaluation of Prostatic Pathologies with Focus on
Prostate Cancer.
AB - Background: Prostate cancer (PC) is an important medical and socio-economical
problem due to its increasing incidence. The development of the prostate specific
antigen (PSA) test, and a continuing decrease in the rates of other common
neoplasms, such as lung and stomach since mid-1980s, prostate cancer has become
one of the most common cancers among men. Prostate cancer (PC) is the second most
common cancer in men, preceded only by lung cancer, and its early diagnosis is
crucial for a successful treatment, that will prolong survival and improve
quality of life.The main objective of our study was to evaluate the role of
magnetic resonance imaging (MRI), magnetic resonance spectroscopy (MRS) and
transrectal ultrasound (TRUS) in detecting prostatic pathologies and staging of
prostate cancer by correlating these methods with histopathological results.
Material/Methods: The study is a cross-sectional diagnostic study performed in 66
patients with a high degree of clinical suspicion of prostatic pathology. All
patients underwent TRUS, T1W, T2W, DWI, and 3D PRESSMRS sequences, and we also
calculated ADC values and Cho Cr/Cit MRS ratios for all patients. Results:
Combination of MRI and MRS showed the highest diagnostic accuracy among the
imaging modalities in detecting of prostatic neoplasm, followed by MRI, and then
by TRUS. MRS plays a complementary role to MRI, by increasing its diagnostic
accuracy. Due to a high cost, limited availability and increased scanning time,
combination of MRI and MRS is currently not recommended as a first line
investigation for detecting prostate neoplasms, hence USG (TRUS) remains the
first line investigation due to its low cost, easy availability, time
effectiveness and comparable efficacy. Conclusions: MRI MRS has more diagnostic
accuracy than MRI alone for detection of prostate pathologies. MRS, plays
significant complementary role and should be included in the routine MR imaging
protocols. MRI helps in diagnosis, localization, better tissue characterization
and staging of prostate cancer. TRUS is easily available, cost effective and has
comparable efficacy.
PMID- 29657652
TI - Multiple Brain Abscesses Caused by Citrobacter Koseri in a Preterm Neonate - Case
Report.
AB - Background: Brain abscesses are very rarely diagnosed in neonates, but if
present, they are associated with a high risk of severe complications and
mortality. In neonates, brain abscesses can be detected on transfontanelle
ultrasonography, in which they appear as hyperechogenic lesions surrounded by a
hypoechogenic border. Case Report: We present a female neonate, born in the 28th
week of gestation, with birth weight of 950 grams, who was born in an ambulance
by spontaneous vaginal vertex delivery. No signs of infection were present until
the 35th day of hospitalization, when a sudden and serious deterioration in the
patient's condition was observed due to late-onset sepsis. Cranial US, performed
on the 40th day of life, revealed hyperechogenic lesions with a hypoechogenic
halo in the right frontal lobe, which could correspond to brain abscesses. These
lesions were caused by Citrobacter koseri septicaemia, identified by
transfontanelle ultrasonography, and confirmed on magnetic resonance imaging. The
patient recovered and was discharged on the 91th day of life (39 PCA) with a
recommendation of permanent neurological surveillance. Conclusions:
Ultrasonography of the central nervous system can reveal inflammatory changes and
developing brain abscesses. In neonates, magnetic resonance imaging should be
performed as the method of choice for confirming brain abscesses.
PMID- 29657653
TI - Diagnostic Imaging and Clinical Features of Intracranial Hypotension - Review of
Literature.
AB - Intracranial hypotension (IH) is an uncommon, benign, and usually self-limiting
condition caused by low cerebrospinal fluid (CSF) pressure, usually due to CSF
leakage. The dominant clinical finding is an orthostatic headache. Other common
clinical features include fever, nausea, vomiting, and tinnitus. Magnetic
resonance imaging (MRI) plays an important role in the diagnosis and follow-up of
patients with IH. Specific MRI findings include intracranial pachymeningeal
enhancement, sagging of the brain, pituitary enlargement, and subdural fluid
collections. Intracranial hypotension can mimic other conditions such as aseptic
meningitis or pituitary adenomas. Differential diagnosis is important, because
misdiagnosis may lead to unnecessary procedures and prolonged morbidity.
PMID- 29657654
TI - A particle manipulation method and its experimental study based on opposed jets.
AB - A particle manipulation method was presented in this paper based on opposed jets.
In such a method, particles were trapped near the stagnation point of the flow
field and moved by controlling the position of the stagnation point. The hold
direction of the flow to the particle was changed by changing the orientation of
the opposed-jet flow field where a particle is trapped. Subsequently, the
directional and quantitative movement of the particle in any direction was
achieved. Taking micron particles as examples, we analyzed the control mechanism
of particles based on opposed jets and evaluated the influence of jet velocity,
inner diameter, distance of end face, radial error, and position of capillaries
on the particle control performance by simulations. The feasibility of the
proposed method was proved by a great number of experiments, and the results
demonstrated that particles with the arbitrary size and shape can be trapped and
moved directionally and quantitatively by constructing an opposed-jet flow field.
The trapping and position control of particles can be manipulated without any
contact with proper flow field parameters.
PMID- 29657655
TI - Detection of viability of micro-algae cells by optofluidic hologram pattern.
AB - A rapid detection of micro-algae activity is critical for analysis of ship
ballast water. A new method for detecting micro-algae activity based on lens-free
optofluidic holographic imaging is presented in this paper. A compact lens-free
optofluidic holographic imaging device was developed. This device is mainly
composed of a light source, a small through-hole, a light propagation module, a
microfluidic chip, and an image acquisition and processing module. The excited
light from the light source passes through a small hole to reach the surface of
the micro-algae cells in the microfluidic chip, and a holographic image is formed
by the diffraction light of surface of micro-algae cells. The relation between
the characteristics in the hologram pattern and the activity of micro-algae cells
was investigated by using this device. The characteristics of the hologram
pattern were extracted to represent the activity of micro-algae cells. To
demonstrate the accuracy of the presented method and device, four species of
micro-algae cells were employed as the test samples and the comparison
experiments between the alive and dead cells of four species of micro-algae were
conducted. The results show that the developed method and device can determine
live/dead microalgae cells accurately.
PMID- 29657656
TI - Chemotactic behavior of spermatozoa captured using a microfluidic chip.
AB - Chemotaxis, as a mechanism for sperm guidance in vivo, is an enigma which has
been difficult to demonstrate. To address this issue, various devices have been
designed to study sperm chemotaxis in vitro. Limitations of traditional
chemotaxis devices were related to the inability to maintain a stable
concentration gradient as well as track single sperm over long times.
Microfluidics technology, which provides superior control over fluid flow, has
been recently used to generate stable concentration gradients for investigating
the chemotactic behavior of several cell types including spermatozoa. However,
the chemotactic behavior of sperm has not been unequivocally demonstrated even in
these studies due to the inability to distinguish it from rheotaxis, thermotaxis,
and chemokinesis. For instance, the presence of fluid flow in the microchannels
not only destabilizes the concentration gradient but also elicits a rheotactic
response from sperm. In this work, we have designed a microfluidic device which
can be used to establish both, a uniform concentration and a uniform
concentration gradient in a stationary fluid. By facilitating measurement of
sperm response in ascending, descending ,and uniform chemoattractant
concentration, the assay could isolate sperm chemotactic response from rheotaxis
and chemokinesis. The device was validated using acetylcholine, a known
chemoattractant and further tested with rat oviductal fluid from the estrus
phase.
PMID- 29657657
TI - Engineering small tubes with changes in diameter for the study of kidney cell
organization.
AB - Multicellular tubes are structures ubiquitously found during development and in
adult organisms. Their topologies (diameter, direction or branching), together
with their mechanical characteristics, play fundamental roles in organ function
and in the emergence of pathologies. In tubes of micrometric range diameters,
typically found in the vascular system, renal tubules or excretory ducts, cells
are submitted to a strong curvature and confinement effects in addition to flow.
Then, small tubes with change in diameter are submitted to a local gradient of
shear stress and curvature, which may lead to complex mechanotransduction
responses along tubes, and may be involved in the onset or propagation of cystic
or obstructive pathologies. We describe here a simple method to build a
microfluidic device that integrates cylindrical channels with changes in diameter
that mimic in vivo tube geometries. This microfabrication approach is based on
molding of etched tungsten wires, which can achieve on a flexible way any change
in diameter in a polydimethylsiloxane (PDMS) microdevice. The interest of this
biomimetic multitube system has been evidenced by reproducing renal tubules on
chip. In particular, renal cell lines were successfully seeded and grown in PDMS
circular tubes with a transition between 80 MUm and 50 MUm diameters. Thanks to
this biomimetic platform, the effect of the tube curvature has been investigated
especially regarding cell morphology and orientation. The effect of shear stress
on confluent cells has also been assessed simultaneously in both parts of tubes.
It is thus possible to study interconnected cell response to differential
constraints which is of central importance when mimicking tubes present in the
organism.
PMID- 29657658
TI - 3D-glass molds for facile production of complex droplet microfluidic chips.
AB - In order to leverage the immense potential of droplet microfluidics, it is
necessary to simplify the process of chip design and fabrication. While
polydimethylsiloxane (PDMS) replica molding has greatly revolutionized the chip
production process, its dependence on 2D-limited photolithography has restricted
the design possibilities, as well as further dissemination of microfluidics to
non-specialized labs. To break free from these restrictions while keeping
fabrication straighforward, we introduce an approach to produce complex multi
height (3D) droplet microfluidic glass molds and subsequent chip production by
PDMS replica molding. The glass molds are fabricated with sub-micrometric
resolution using femtosecond laser machining technology, which allows directly
realizing designs with multiple levels or even continuously changing heights. The
presented technique significantly expands the experimental capabilities of the
droplet microfluidic chip. It allows direct fabrication of multilevel structures
such as droplet traps for prolonged observation and optical fiber integration for
fluorescence detection. Furthermore, the fabrication of novel structures based on
sloped channels (ramps) enables improved droplet reinjection and picoinjection or
even a multi-parallelized drop generator based on gradients of confinement. The
fabrication of these and other 3D-features is currently only available at such
resolution by the presented strategy. Together with the simplicity of PDMS
replica molding, this provides an accessible solution for both specialized and
non-specialized labs to customize microfluidic experimentation and expand their
possibilities.
PMID- 29657659
TI - NONSEPARABLE DYNAMIC NEAREST NEIGHBOR GAUSSIAN PROCESS MODELS FOR LARGE SPATIO
TEMPORAL DATA WITH AN APPLICATION TO PARTICULATE MATTER ANALYSIS.
AB - Particulate matter (PM) is a class of malicious environmental pollutants known to
be detrimental to human health. Regulatory efforts aimed at curbing PM levels in
different countries often require high resolution space-time maps that can
identify red-flag regions exceeding statutory concentration limits. Continuous
spatio-temporal Gaussian Process (GP) models can deliver maps depicting predicted
PM levels and quantify predictive uncertainty. However, GP-based approaches are
usually thwarted by computational challenges posed by large datasets. We
construct a novel class of scalable Dynamic Nearest Neighbor Gaussian Process
(DNNGP) models that can provide a sparse approximation to any spatio-temporal GP
(e.g., with nonseparable covariance structures). The DNNGP we develop here can be
used as a sparsity-inducing prior for spatio-temporal random effects in any
Bayesian hierarchical model to deliver full posterior inference. Storage and
memory requirements for a DNNGP model are linear in the size of the dataset,
thereby delivering massive scalability without sacrificing inferential richness.
Extensive numerical studies reveal that the DNNGP provides substantially superior
approximations to the underlying process than low-rank approximations. Finally,
we use the DNNGP to analyze a massive air quality dataset to substantially
improve predictions of PM levels across Europe in conjunction with the LOTOS
EUROS chemistry transport models (CTMs).
PMID- 29657660
TI - Mechanism Associated with Kaolinite Intercalation with Urea: Combination of
Infrared Spectroscopy and Molecular Dynamics Simulation Studies.
AB - Intercalation of urea in kaolinite was investigated using infrared spectroscopy
and molecular dynamics simulation. Infrared spectroscopic results indicated the
formation of hydrogen bonds between urea and siloxane/alumina surfaces of
kaolinite. The carbonyl group (-C=O) of urea acted as H-acceptors for the
hydroxyl groups on alumina surfaces. The amine group (-NH2) of urea functioned as
H-donors interacting with basal oxygens on siloxane surfaces and/or the oxygens
of hydroxyl groups on alumina surfaces. The H-bonds of urea formed with kaolinite
surfaces calculated directly from molecular dynamics simulation was consistent
with the infrared spectroscopic results. Additionally, MD simulations further
provided insight into the interaction energies of urea with the kaolinite
interlayer environment. The calculated interaction energies of urea molecules
with kaolinite alumina and siloxane surfaces suggest that the intercalation of
urea within kaolinite interlayers is energetically favorable. The interaction
energy of urea with alumina surfaces was greater than that with siloxane
surfaces, indicating that the alumina surface plays a primary role in the
intercalation of kaolinite by urea. The siloxane surfaces function as H-acceptors
to facilitate the intercalation of urea. The present study offers a direct view
of the specific driving force involved in urea intercalation in kaolinite. The
results obtained can help develop appropriate protocol to intercalate and
delaminate clay layers for clay-based applications and products.
PMID- 29657661
TI - Thermodynamic Mechanism and Interfacial Structure of Kaolinite Intercalation and
Surface Modification by Alkane Surfactants with Neutral and Ionic Head Groups.
AB - Intercalation and surface modification of clays with surfactants are the
essential process to tailor the clays' surface chemistry for their extended
applications. A full understanding of the interaction mechanism of surfactants
with clay surfaces is crucial to engineer clay surfaces for meeting a particular
requirement of industrial applications. In this study, the thermodynamic
mechanism involved in the intercalation and surface modification of methanol
preintercalated kaolinite by three representative alkane surfactants with
different head groups, dodecylamine, cetyltrimethylammonium chloride (CTAC), and
sodium stearate, were investigated using the adaptive biasing force accelerated
molecular dynamics simulations. In addition, the interaction energies of
surfactants with an interlayer environment (alumina surface, siloxane surface,
and interlayer methanol) of methanol preintercalated kaolinite were also
calculated. It was found that the intercalation free energy of CTAC with a
cationic head group was relatively larger than that of stearate with an anionic
head group and dodecylamine with a neutral head group. The attractive
electrostatic and van der Waals interactions of surfactants with an interlayer
environment contributed to the intercalation and surface modification process
with the electrostatic force playing the significant role. This study revealed
the underlying mechanism involved in the intercalation and surface modification
process of methanol preintercalated kaolinite by surfactants, which can help in
further design of kaolinite-based organic clays with desired properties for
specific applications.
PMID- 29657662
TI - Molecular Dynamics Simulation of Basal Spacing, Energetics, and Structure
Evolution of a Kaolinite-Formamide Intercalation Complex and Their Interfacial
Interaction.
AB - Molecular dynamics simulations were performed on kaolinite-formamide complex
models with various numbers of formamide molecules loaded in the kaolinite
interlayer to explore the basal spacing, energetics, and structure evolution of
the kaolinite-formamide complex during the intercalation process. Additionally,
the interfacial interactions of formamide with kaolinite interlayer surfaces were
calculated. The calculation revealed that the basal spacing of kaolinite was
enlarged to 9.6 A at the beginning of intercalation. Formamide was arranged as a
monolayer structure in the kaolinite interlayer with the molecular plane oriented
at small angles with respect to the interlayer surface. With continuous
intercalation, the basal spacing readily reached a stable stage at 10.6 A, where
formamide rearranged its structure by rotating the molecule plane along the C-N
bond that was parallel to the interlayer surface, which resulted in the molecular
plane orienting at higher angles with respect to the interlayer surface. During
this process, the C?O groups oriented toward the hydroxyl groups on the
interlayer octahedral surface, and one of N-H bonds progressively pointed toward
the basal oxygens on the opposing interlayer tetrahedral surface. Continuous
intercalation can enlarge the basal spacing to more than 14 A with the
prerequisite of overcoming the energy barrier, and then formamide evolved to a
disordered bilayer structure in the kaolinite interlayer. The affinity of
kaolinite interlayer surfaces for formamide motivated the intercalation process.
The octahedral surface displayed a relatively larger affinity toward formamide
compared to the tetrahedral surface partially due to the presence of hydroxyl
groups that are more active in the intermolecular interactions with formamide.
PMID- 29657663
TI - Clean Heat: A Technical Response to a Policy Innovation.
AB - New York City clean heat policies were enacted to improve air quality, especially
reducing exposure to black carbon, particulate matter and sulfur that are linked
to environmental degradation and various health risks. This policy measure
specifically called for the phase out of residual oil and adoption of cleaner
burning fuel sources through boiler conversions in commercial and residential
properties throughout the city. This paper describes the process of clean heat
technology adoption within the innovative clean heat policy context demonstrating
its thorough compliance and discussing implications for scalability in other
urban settings.
PMID- 29657664
TI - Salvage of Free Flaps Following Vascular Pedicle Avulsion Using
"Supermicrosurgery" Techniques: A Case Report of DIEP Free Flap Salvage and
Review of the Literature.
PMID- 29657665
TI - Environmental determinants of previtamin D synthesis in the United Arab Emirates.
AB - Despite abundant sunshine throughout the year, vitamin D deficiency is endemic in
the UAE. Solar radiation within the UVB range of the spectrum is required for the
photosynthesis of previtamin D3 in the skin. Atmospheric transmission of UVB is
strongly influenced by atmospheric conditions and solar zenith angle. We
investigated the effects of diurnal and seasonal variation on the availability of
sufficient UVB radiation for adequate previtamin D3 synthesis using an
established in vitro model. Borosilicate ampoules of 7-dehydrocholesterol, the
precursor of previtamin D3, in ethanol (50 ug/mL) were exposed to direct sunlight
in an urban area of Abu Dhabi, at one hourly intervals between 0800 and 1700, on
one day of each month over a period of one year. Conversion to previtamin D3,
vitamin D3 and metabolically inactive photoisomers was analyzed using high
performance liquid chromatography. The efficiency of 7-dehydrocholesterol
conversion to previtamin D3 varied estimated UVB intensity. At the latitude of
Abu Dhabi (24.2 N) previtamin D3 synthesis can occur throughout the year. However
very little if any previtamin D3 was produced before 0900 hrs.and after 1600 hrs.
Local conditions in Abu Dhabi are likely sufficient to maintain vitamin D levels
throughout the year given adequate sun exposure.
PMID- 29657666
TI - On nearest-neighbor Gaussian process models for massive spatial data.
AB - Gaussian Process (GP) models provide a very flexible nonparametric approach to
modeling location-and-time indexed datasets. However, the storage and
computational requirements for GP models are infeasible for large spatial
datasets. Nearest Neighbor Gaussian Processes (Datta A, Banerjee S, Finley AO,
Gelfand AE. Hierarchical nearest-neighbor gaussian process models for large
geostatistical datasets. J Am Stat Assoc 2016., JASA) provide a scalable
alternative by using local information from few nearest neighbors. Scalability is
achieved by using the neighbor sets in a conditional specification of the model.
We show how this is equivalent to sparse modeling of Cholesky factors of large
covariance matrices. We also discuss a general approach to construct scalable
Gaussian Processes using sparse local kriging. We present a multivariate data
analysis which demonstrates how the nearest neighbor approach yields inference
indistinguishable from the full rank GP despite being several times faster.
Finally, we also propose a variant of the NNGP model for automating the selection
of the neighbor set size.
PMID- 29657667
TI - Efficacy, Safety, and Potency of Betamethasone Dipropionate Spray 0.05%: A
Treatment for Adults with Mild-to-moderate Plaque Psoriasis.
AB - Objective: A spray formulation of betamethasone dipropionate 0.05% (BD spray
0.05%; SernivoTM [betamethasone dipropionate] Spray 0.05%; Promius Pharma, LLC;
Princeton, New Jersey, USA) has been developed for the topical treatment of
psoriasis. The objective of these studies was to evaluate the efficacy, safety,
and potency of BD spray 0.05%. Design, Setting, Participants, and Measurements:
Efficacy and safety were assessed in a randomized, vehicle-controlled, double
blind study in adults with moderate plaque psoriasis (ClinicalTrials.gov
identifier: NCT01947491). Additionally, the potential for adrenal suppression and
systemic absorption was evaluated in a randomized, open-label study in healthy
adults (ClinicalTrials.gov identifier: NCT02070965). Potency was measured in two
single-point, randomized, evaluator-blinded studies in healthy adults. Results:
BD spray 0.05% was significantly more effective than the vehicle spray in
subjects with moderate plaque psoriasis after three, 14, and 28 days of twice
daily treatment. The efficacy of BD spray 0.05% was similar to augmented BD
lotion 0.05% after 14 days of treatment. The safety of BD spray 0.05% was similar
to that of the vehicle spray over 28 days and to that of augmented BD lotion
0.05% over 14 days. Under maximal use conditions for up to 29 days, the potential
for adrenal suppression was no greater with BD spray 0.05% than with a 15-day
regimen of augmented BD lotion 0.05%. There was less systemic absorption of BD
from BD spray 0.05% than from augmented BD lotion 0.05%. Studies classify BD
spray 0.05% as a midpotent corticosteroid. Conclusions: BD spray 0.05%, a
midpotent corticosteroid, is an effective and well-tolerated treatment for adults
with mild to moderate plaque psoriasis.
PMID- 29657668
TI - Association of Cutaneous Amyloidosis with Neurodegenerative Amyloidosis:
Correlation or Coincidence?
AB - Neurodegenerative disorders such as Alzheimer's disease and localized cutaneous
macular amyloidosis are conditions that result from protein misfolding. These
disorders share common pathogenic mechanisms that lead to the deposition of
amyloid protein. Currently, there is a paucity of data on the connection between
the brain and skin amyloidosis. Few recent studies have demonstrated a strong
connection between the brain and skin in different amyloid diseases. Here, we
report a case of concurrent occurrence of skin and brain amyloidoses and explore
the brain-skin axis connection.
PMID- 29657669
TI - Dual Effect of Photobiomodulation on Melasma: Downregulation of Hyperpigmentation
and Enhanced Solar Resistance-A Pilot Study.
AB - Overview. Melasma is a resistant, sun-induced facial hyperpigmentation capable of
remaining present for decades with ensuing psychological distress. Treatment is
difficult and focuses on an array of measures to reduce skin hyperpigmentation
resulting from triggered hyperactive melanocytes. The pathogenesis of melanoma is
not clearly understood but it has been reported that some growth factors and
specific cell-signaling pathways are involved. Objective. The objective of the
current study was to evaluate the use of pulsed photobiomodulation to modulate
melasma via the regulation of gene expression pertaining to skin pigmentation.
Methods. We evaluated a two-step approach via a spilt-face pilot study involving
seven patients with bilateral dermal melasma who had formerly undergone
unsuccessful treatments. During treatment, the initial mobilization phase with
microdermabrasion was closely followed by the modulation phase, delivering low
energy pulsed photons (940nm) to downregulate highly metabolic melanocytes in the
dermis. A weekly treatment was performed for eight consecutive weeks. White light
pictures, ultraviolet pictures, melanin index scores, and Melasma Area and
Severity Index scores were obtained at baseline and at Week 12. Results. The
pulsed photobiomodulation-treated side versus the control side showed
statistically significant results for pigment reduction. Conclusion. This pilot
study shows that dermal melasma can be significantly improved with pulsed
photobiomodulation. Interestingly, it might also precondition the skin, helping
it to build a resistance to future solar ultraviolet ray exposure.
PMID- 29657670
TI - Evaluation and Proportion in Nasal Filling with Hyaluronic Acid.
AB - The nose is an essential feature when considering the aesthetic appeal of the
face. As aesthetic preferences vary from person to person, the concept of the
"ideal" nose must be applied on a case-by-case basis, with respect to the
classical patterns of anthropometry, sex, ethnic group, and psychosocial factors.
Interest in less invasive procedures for nasal correction has increased
considerably, among which is the emerging use of hyaluronic acid for nonsurgical
rhinoplasty. In this article, the authors present an objective review of the
anatomy of the nasal region, the evaluation and indications of nasal filling with
hyaluronic acid, a description of the technique, and brief discussion of
associated complications.
PMID- 29657671
TI - Dermoscopy in the Diagnosis of Inflammatory Dermatoses: Systematic Review
Findings Reported for Psoriasis, Lupus, and Lichen Planus.
AB - Background: Dermoscopy is well established as a tool to improve the detection of
cancerous skin growths. Published data suggest that dermoscopy might be useful in
evaluating inflammatory dermatoses and in distinguishing between rashes and skin
cancer. Objective: The authors sought to review the published literature
regarding use of dermoscopy in the evaluation of inflammatory skin conditions.
Methods: Using a systematic approach, the authors performed a literature search
using the names of 146 inflammatory dermatoses and pairing each one separately
with the search terms dermoscopy, dermatoscopy, and epiluminescence
microscopy.Results: After eliminating those papers that did not meet inclusion
requirements, the authors identified 201 studies for their review, with the
majority consisting of case reports. The most commonly studied inflammatory
conditions were psoriasis, lupus, and lichen planus. There was congruence among
the studies identified in terms of the most common dermoscopic findings for each
of these diseases. Conclusions: The use of dermoscopy in the evaluation of
inflammatory dermatoses is a promising option. However, more rigorous studies are
needed to determine the sensitivity and specificity of the dermoscopic findings
for many inflammatory skin conditions.
PMID- 29657673
TI - Use of Botulinum Toxin for the Correction of Mild Ptosis.
AB - Background: Lid margin asymmetry and its associated compensatory mechanisms might
cause patients to seek treatment to improve aesthetics. Surgery might not be
indicated and can potentially worsen the asymmetry in these cases. Methods: A
case series of three patients was organized to illustrate the use of botulinum
toxin administered to the pre-tarsal orbicularis for the correction of micro
ptosis and improved symmetry. The marginal reflex distance and tarsal platform
show were measured on both sides. Measurements were made using ImageJ software on
standardized frontal photographs taken pre- and post-injection. Results: The
average increase in the marginal reflex distance was 0.914mm, with an average pre
tarsal botulinum toxin dose of three units. The average change in tarsal platform
show between the two eyes was 0.89mm. Conclusion: Botulinum toxin application to
the pre-tarsal orbicularis oculi muscle might be a suitable option for the
management of small eyelid margin asymmetries.
PMID- 29657672
TI - Efficacy and Safety Evaluation of High-density Intense Pulsed Light in the
Treatment of Grades II and IV Acne Vulgaris as Monotherapy in Dark-skinned Women
of Child Bearing Age.
AB - Background: Acne vulgaris is a self-limiting, chronic inflammatory disorder of
the pilosebaceous unit characterized by exacerbations and remissions. It is often
the early manifestation of puberty, and in girls it appears relatively early. In
women, acne tends to become aggravated during the menstrual period, pregnancy,
and in those who are on progesterone. Acne treatment is divided into two parts:
topical and systemic. For Grades 1 and 2 acne, topical treatment is sufficient,
while for Grades 3 and 4 acne, systemic drugs such as tetracyclines and retinoids
are required to control the symptoms. Chemical peeling with glycolic and
salicylic acids, cryosurgery with liquid nitrogen or carbon dioxide, and
narrowband ultraviolet light are a few of the supportive procedural treatments
available for Grades 3 and 4 acne. Objective: The author sought to determine the
efficacy and safety of intense pulsed light (IPL) therapy (Magma-F-SR; FormaTK
Systems, Tirat Carmel, Israel) in the treatment of Grades 3 and 4 acne as
monotherapy in women of child-bearing age. Materials and Methods: One-hundred
female patients with Grades 3 and 4 acne were enrolled in this study. All
patients were treated with IPL using a 530nm to 1,200nm filter once a week for a
total duration of six weeks. Patient and physician scores were assessed at Weeks
1 and 6 after the last treatment. Clinical photographs were also reviewed to
determine the degree of efficacy. Adverse effects were noted. Results: Eighty
percent of the patients involved in this study reported a significant reduction
in lesion count compared to baseline. The adverse events were minimal-to-mild
erythema. Conclusion: IPL therapy with 530nm to 1,200nm filter is an effective
and safe modality of treatment as monotherapy in managing inflammatory Grades 3
and 4 of acne vulgaris in women of child-bearing age.
PMID- 29657675
TI - This month's guideline: ACE emergency kit v1.2.
PMID- 29657674
TI - United States Preventive Services Task Force Overstates Cosmetic Harms of Skin
Cancer Screening.
AB - The United States Preventive Services Task Force (USPSTF) 2016 recommendation for
skin cancer screening in asymptomatic healthy adults concluded that current
evidence is "insufficient to assess the balance and harms of visual skin
examination." One contributing factor leading to the insufficient grade was a
concern for cosmetic harms resulting from unnecessary biopsies or excisions. This
commentary briefly highlights the pertinent studies and currently accepted
methods for pigmented lesion biopsy. Reviewing these data will permit clinicians
to more thoroughly analyze the USPSTF statement and might assist in routine
assessment and management of suspicious pigmented lesions in adult patients.
PMID- 29657676
TI - The Forgotten Role of Bystander CPR to Improve Out of Hospital Cardiac Arrest
Outcomes in Oman.
PMID- 29657677
TI - Immunoglobulin G4-Related Disease: An Update.
AB - Immunoglobulin G4-related disease (IgG4-RD) is an increasingly recognized immune
mediated condition comprised of a collection of disorders that share specific
pathological, serological, and clinical features. IgG4-RD is a fibroinflammatory
condition with a tendency to form tumors with inflammatory infiltrate with IgG4
rich plasma cells and elevation of serum IgG4, which may affect virtually every
organ and tissue. IgG4-related ophthalmic disease may present as dacryoadenitis,
myositis, or involvement of other orbital tissue. Hypophysitis or pachymeningitis
may manifest as cranial neuropathies. The diagnosis of IgG4-RD is based on a
typical clinical scenario, supportive laboratory test, expected radiological
characteristics, and distinct histopathological and immunohistochemical features.
Corticosteroids and immunosuppressives form the mainline treatment.
PMID- 29657678
TI - Safety of Sodium-Glucose Cotransporter 2 Inhibitors (SGLT2-I) During the Month of
Ramadan in Muslim Patients with Type 2 Diabetes.
AB - Objectives: Sodium-glucose cotransporter 2 inhibitors (SGLT2-I) are a new class
of antidiabetic drugs that might increase the risk of dehydration and
hypoglycemia, particularly during the month of Ramadan in which Muslims abstain
from eating and drinking for 14-16 hours daily. We aimed to provide real-life
evidence about the safety of SGLT2-I during Ramadan. Methods: All patients over
the age of 18 years on SGLT2-I before Ramadan 2016 who would be fasting during
Ramadan were included. Demographic data, detailed medical history including
comorbidities and medication profile, and laboratory results were collected
before and after Ramadan. We also conducted a phone interview to evaluate the
frequency and severity of hypoglycemia and dehydration. Results: Of the total of
417 patients, 113 (27.0%) experienced hypoglycemic events, and 93 of these
(82.3%) checked their blood glucose using a glucometer. Confirmed hypoglycemia (<
70 mg/dL) was observed in 78 (83.8%). The hypoglycemic events were significantly
more frequent in the SGLT2-I plus insulin-treated group than in those treated
with SGLT2-I plus oral hypoglycemic agents group (p < 0.001). Confirmed
hypoglycemic events were more frequent in those using SGLT2-I plus intensive
insulin compared to those using SGLT2-I plus basal insulin (p = 0.020). Symptoms
of dehydration were seen in 9.3% (n = 39) of the total population. We observed
statistically significant reductions in glycated hemoglobin and weight by the end
of Ramadan (p < 0.001). There were no significant changes in lipid profile and
creatinine levels by the end of the study. Conclusions: The use of insulin in
combination with SGLT2-I increases the risk of hypoglycemia during Ramadan.
Hypoglycemic events were mild and did not require hospital admission. However,
careful monitoring during prolonged fasting is warranted. No significant harmful
effects on renal function result from treatment with SGLT2-I during Ramadan.
PMID- 29657679
TI - Induction of Apoptosis and Inhibition of Invasion in Gastric Cancer Cells by
Titanium Dioxide Nanoparticles.
AB - Objectives: Nanoparticles induce oxidative stress in cells and damage them
through the cell membrane and DNA damage, eventually resulting in cell death.
This study aimed to evaluate the effect of titanium dioxide (TiO2) nanoparticles
on apoptosis induction and invasion of gastric cancer cell line, MKN-45. Methods:
We used the MTT assay to assess proliferation of MKN-45 gastric cancer cells
after exposure to different forms of TiO2 nanoparticles including amorph,
brookite, anatase, and rutile coated with polyethylene glycol (PEG) and bovine
serum albumin (BSA). Ethidium bromide and acridine orange staining were used to
visualize cancer cell apoptosis, and the wound healing assay technique (migration
test) was used to assay cancer cell invasion. Results: Viability and
proliferation of cancer cells in the presence of various forms of TiO2
nanoparticles were reduced (p <= 0.050). This reduction in cell proliferation and
viability was directly related to concentration and duration of exposure to
nanoparticles. Induction of cell death was seen in all groups (p <= 0.050).
Increased cell invasion was seen in PEG-amorph TiO2 group compared to the control
group. Cell invasion was decreased only in the brookite BSA group (p <= 0.050).
Conclusions: Various forms of TiO2 nanoparticles reduced cell proliferation and
induced apoptosis in cancer cells. Some forms of TiO2 nanoparticles such as
brookite BSA also inhibited cell invasion. PEG-amorph TiO2 nanoparticles
increased cell invasion. These differences seem to be due to the effects of
different configurations of TiO2 nanoparticles. TiO2 may provide a new strategy
for cancer treatment and more studies are needed.
PMID- 29657680
TI - Evaluation of the Role of -137G/C Single Nucleotide Polymorphism (rs187238) and
Gene Expression Levels of the IL-18 in Patients with Coronary Artery Disease.
AB - Objectives: Interleukin-18 (IL-18) is a proinflammatory and proatherogenic
cytokine, and its genetic variations may contribute to the development of
coronary artery disease (CAD). We sought to investigate the role of -137G/C
polymorphism and gene expression levels of IL-18 in patients with CAD. Methods:
The study population included 100 patients with angiographically proven CAD and
100 matched controls. Total RNA and DNA were extracted from leukocytes using
appropriate kits. The genotype of -137G/C polymorphism and gene expression level
of IL-18 was determined using allele-specific polymerase chain reaction (PCR) and
real-time (RT)-PCR assay, respectively. Results: The genotypic and allelic
distribution of IL-18 -137G/C polymorphism was not significantly different
between the two groups (p > 0.050). Moreover, the -137G/C polymorphism did not
increase the risk of CAD in dominant and recessive genetic models (p > 0.050).
However, subgroup analysis of CAD patients revealed that the IL-18 -137G/C
polymorphism was significantly associated with increased risk of CAD in
hypertensive patients (odds ratio (OR) = 7.51; 95% confidence interval (CI): 1.24
25.17; p = 0.019) and smokers (OR = 4.90; 95% CI: 1.21-19.70; p = 0.031) but not
in the diabetic subpopulation (p = 0.261). The genotype distribution of IL-18
137G/C genetic polymorphism was significantly different among patients with one,
two, and three stenotic vessels (p < 0.050). The gene expression level of IL-18
was significantly higher in the CAD group than the control group (p < 0.001).
Moreover, the carriers of CC genotype had significantly lower gene expression
levels of IL-18 than carriers of GG genotype (p < 0.050). Conclusions: The
137G/C polymorphism of IL-18 may be associated with the CAD risk in hypertensive
and smoker subgroup of CAD patients. The -137G/C polymorphism seems to play an
important role in determining the severity of CAD. Increased IL-18 gene
expression level is a significant risk factor for the development of CAD. The CC
genotype of -137G/C polymorphism is associated with lower IL-18 gene expression
levels.
PMID- 29657681
TI - Outcomes of Isolated Antenatal Hydronephrosis at First Year of Life.
AB - Objectives: To compare the grade of hydronephrosis between the antenatal and
first postnatal ultrasound (US) and their clinical outcomes. Methods: This
retrospective study included all cases of isolated hydronephrosis detected by
antenatal US from August 2005 to February 2011. Hydronephrosis was classified
based on the standard criteria into mild, moderate, or severe. Cases associated
with other major congenital anomalies were excluded. All patients were followed
up postnatally and outcomes available were analyzed at one year of age. Results:
A total of 105 cases were included out of which 83 (79.0%) were males and 22
(20.9%) were females with a median gestational age of 38 weeks. First postnatal
US of 105 cases showed that 20 (19.0%) were free of hydronephrosis, 39 (37.1%)
had mild, 29 (27.6%) moderate, and 17 (16.1%) had severe hydronephrosis. Half
(50.4%) of hydronephrosis cases improved in their clinical presentation while
13.3% showed deterioration and 36.3% remained the same. Almost half of all cases
(52 cases) were diagnosed by US at the end of first year without any effect on
renal function. Conclusions: Antenatal and postnatal US are sensitive tools for
detecting hydronephrosis as well as for postnatal counseling. Fetal anatomy US is
usually done at 18 weeks gestation and if this reveals any evidence of
hydronephrosis, the patient is followed according to the severity. Postnatal US
is not done routinely for cases where hydronephrosis resolves completely during
pregnancy. Although newborns with antenatal hydronephrosis due to secondary
causes are at greater risk for renal impairment, surgical intervention reserves
renal function.
PMID- 29657682
TI - The Relationship Between Prevalence of Antibiotics Resistance and Virulence
Factors Genes of MRSA and MSSA Strains Isolated from Clinical Samples, West Iran.
AB - Objectives: We sought to evaluate the relationship between the prevalence of
antibiotics resistance and virulence factors genes in methicillin-resistant
Staphylococcal aureus (MRSA) and methicillin-sensitive S. aureus (MSSA) strains
from clinical samples taken in west Iran. Methods: We performed a cross-sectional
study using 100 MRSA and 100 MSSA samples isolated from clinical specimens. We
used biochemical methods to identify the isolates, which were confirmed by the
polymerase chain reaction (PCR) assay. Antibiotic susceptibility testing was
performed using disk diffusion. PCR detected the presence of virulence factors,
including enterotoxin genes, toxic shock syndrome toxin-1 (TSST-1), and
exfoliative toxin. Results: The majority of MRSA isolates exhibited a high level
of resistance to common antibiotics and susceptible to vancomycin, while most
MSSA isolates were also resistant to erythromycin and ciprofloxacin. The
prevalence of Staphylococcal enterotoxins (SEs) were reported 147 (73.5%). Among
100 MRSA samples, 92 (92.0%) harbored SAg genes. The most frequent toxin gene was
sea (45.0%) followed by sec (39.0%). Among 100 MSSA isolates, 89 (89.0%) harbored
SAg genes and the most prevalent genes were sea (42.0%), sek (38.0%), sec
(35.0%,), and TSST-1 (10.0%). The prevalence of TSST-1 and exfoliative toxin
genes in MRSA samples were 12 (12.0%). The association of SAg genes with MRSA and
MSSA isolates showed a high prevalence of enterotoxin seq, seg, and sei in MRSA
than MSSA with a statistically significant difference (p < 0.050). Conclusions:
The prevalence of MRSA and the association of pathogenic agents with antibiotics
resistance genes can lead to the emergence of strains with higher pathogenicity
and less susceptibility.
PMID- 29657683
TI - Radiation Protection Knowledge, Attitude, and Practice (KAP) in Interventional
Radiology.
AB - Objectives: Due to increasing cardiac disease and its mortality rate, the
frequency of cardiac imaging has grown and, as a result, interventional
cardiologists potentially receive high radiation doses in cardiac examinations.
This study aimed to assess the knowledge, attitude, and practice (KAP) level of
radiation protection (RP) among interventional radiology staff in Iranian health
care centers across the country. Methods: We used a validated questionnaire
survey consisting of 30 multiple-choice questions to perform a cross-sectional
study. Participants were healthcare personnel working professionally with
radiation at different levels (i.e., secretary, radiology technologists, nurse,
and physician). The questionnaire was divided into three sections to assess KAP
regarding RP. Results: Significant differences exist in RP KAP mean scores based
on educational age (p < 0.050). There was no significant difference in RP KAP
mean scores when looking at sex, practice age, and hospital type (p > 0.050). We
found a significant difference between RP KAP mean scores and different regions
(p < 0.050). Conclusions: Educational and practice age, sex, type of hospital,
and geographical region affect he KAP of interventional radiology staff regarding
RP. Since many of the subjective radiation harms for both medical team and
patients, this can be easily controlled and prevented; a checkup for personnel of
interventional radiology departments, considering samples from different parts of
the country with different levels of education, continuous training, and
practical courses may help map the status of KAP. The results of this study may
also help authorized health physics officers design strategic plans to enhance
the quality of such services in radiation departments.
PMID- 29657684
TI - Traditional and Complementary Medicine Use in Knee Osteoarthritis and its
Associated Factors Among Patients in Northeast Peninsular Malaysia.
AB - Objectives: We sought to determine the prevalence of traditional and
complementary medicine (TCM) use for knee osteoarthritis and its associated
factors among patients attending a referral hospital in an eastern coastal state
of Malaysia. Methods: This cross-sectional study included 214 patients with knee
osteoarthritis. A universal sampling method was applied to patients who attended
the outpatient clinic in Hospital Universiti Sains Malaysia from May 2013 to
October 2013. Participants were given a questionnaire to determine their
sociodemographic information and a validated Bahasa Malaysia version of the
Western Ontario and McMaster Universities Arthritis Index (WOMAC). This
questionnaire was used to assess the severity of knee osteoarthritis (i.e., pain,
stiffness, and disturbances in daily activity). Results: Over half (57.9%) of
patients reported using TCM to treat knee osteoarthritis. Factors associated with
TCM use were gender (odd ratio (OR) = 2.47; 95% confidence interval (CI): 1.28
4.77), duration of knee osteoarthritis (OR = 1.51; 95% CI: 1.03-2.23), and the
severity of knee pain (OR = 2.56; 95% CI: 1.71-3.86). Conclusions: The prevalence
of TCM use among eastern Malaysian patients with knee osteoarthritis was high.
Physicians caring for these patients should be aware of these findings so that
inquiries regarding TCM use can be made and patients can be appropriately
counseled.
PMID- 29657685
TI - Nurses' Perceptions of Spirituality and Spiritual Care at Five Tertiary Care
Hospitals in Riyadh, Saudi Arabia: A Cross-Sectional Study.
AB - Objectives: To identify the perceptions towards spirituality and spiritual care
among nurses at tertiary care hospitals in Riyadh, Saudi Arabia. Methods: A cross
sectional study was conducted on nurses at five tertiary care hospitals in
Riyadh. The data were collected using the Spirituality and Spiritual Care Rating
Scale (SSCRS). Results: We recruited 978 nurses, of which 896 (91.6%) were
female. The mean SSCRS score was 3.8+/-0.5 (the spirituality and spiritual care
statements fell within the 'agree' category). A statistically significant
difference was found only between perceptions of spirituality and spiritual care
among nurses and their nursing experience duration. Conclusions: The findings of
this study revealed that nurses were oriented to spirituality, and respected the
importance of providing spiritual care to their patients. The nurses believed
that spirituality exists in all religions and spiritual care means showing
concern while treating the patients by focusing on respecting patients' religious
beliefs.
PMID- 29657686
TI - Extraskeletal Myxoid Chondrosarcoma of Nasopharynx: An Oncologic Entity Rarely
Reported.
AB - Extraskeletal myxoid chondrosarcoma (ESMC) is an extremely rare variant of
chondrosarcoma accounting for less than 10% cases. It affects mainly the soft
tissues of the proximal end of long bones. Its incidence in the head and neck
region is less than 5%. This case presented in the nasopharynx, an exceedingly
unusual site for ESMC in a 60-year-old female with left-sided nasal obstruction
and occasional epistaxis of one-year duration. Biopsy from the nasopharyngeal
mass was suggestive of low-grade chondrosarcoma. She underwent endoscopic
resection of the tumor. Postoperative histopathology confirmed the diagnosis as
myxoid chondrosarcoma of nasopharynx after performing a battery of
immunohistochemical markers to rule out other possible differential diagnoses. We
treated her with conformal adjuvant radiotherapy to a dose of 66 Gray in 33
fractions given residual disease to which she responded well with significant
symptomatic and radiological improvement. The patient has been on regular follow
up for over two years without any evidence of recurrence or distant metastasis.
This case is presented to highlight the extreme rarity, the clinicopathological
findings of this disease, and to emphasize the role of radiotherapy as the
primary adjuvant treatment affecting the final prognosis. A thorough review of
the literature reveals that our case may be the second case of myxoid
chondrosarcoma of nasopharynx ever reported in the world literature to date.
PMID- 29657687
TI - Multiple Bilateral Ovarian Mature Cystic Teratomas with Ovarian Torsion: A Case
Report.
AB - Ovarian tumors are a common form of neoplasm in women. Mature cystic teratoma is
the most common type, with a bilateral incidence of 8-15%. However, few cases are
reported as bilateral and multiple. A rare case of bilateral multiple dermoid
cysts in a 19-year-old female patient presented with abdominal pain of one-day
duration. Her medical history was unremarkable. Ultrasonography showed multiple
bilateral ovarian cystic masses. The patient underwent laparotomy. The masses
were excised while preserving the remaining ovarian tissue. Histopathology
confirmed the diagnosis of multiple mature cystic teratomas with no presence of
malignant tissue.
PMID- 29657688
TI - Concurrent Mastoid Cellulitis and Langerhans Cells Histiocytosis: ?A Challenging
Diagnosis.
AB - Langerhans cell histiocytosis (LCH) is a rare proliferative disorder, which
commonly arises in the bone and may involve other systems. To date, the diagnosis
of temporal bone LCH remains a challenge as it may masquerade as a common ear
infection. We report a case of a child who presented to us with persistent
bilateral ear discharge for four months and was not responding to treatment. Her
condition subsequently worsened, with clinical features and radiological findings
suggestive of mastoid cellulitis. Nevertheless, further histopathology study
revealed LCH.
PMID- 29657689
TI - Successful Management of Abdominal Pregnancy: Two Case Reports.
AB - Abdominal pregnancy is a rare, life-threatening condition defined as pregnancy in
the peritoneal cavity exclusive of tubal, ovarian, or intraligamentary locations.
It can be primarily located in the peritoneal cavity or secondary to a ruptured
ectopic pregnancy or tubal abortion. We present two cases of abdominal pregnancy,
one primary and another secondary, both diagnosed and successfully managed in our
institution. The first patient, a para 2 at 14 weeks gestation, presented with
abdominal pain, distension, and dizziness, which had started four days prior. The
initial radiological scan reported an intrauterine pregnancy with ascites, but a
bedside ultrasound revealed an empty uterus and a viable pregnancy located in the
Pouch of Douglas (POD). This was a secondary abdominal pregnancy managed
successfully by laparotomy. The second patient, a para 3 + 4 miscarriages with
seven weeks amenorrhea was admitted with an initial diagnosis of pregnancy of
unknown location. She had a beta human chorionic gonadotropin level of 14 444
mIU/mL. Diagnostic laparoscopy revealed a hemoperitoneum, bulky uterus, normal
looking right and left adnexa, and a dense irregular bleeding tissue of around 3
* 4 cm firmly attached to the POD. The histopathology report of the tissue
retrieved from the POD confirmed products of conception. This case report
supports the importance of awareness and high clinical suspicion for such a life
threatening condition to avoid maternal morbidity and mortality. To the best of
our knowledge, no previous cases of abdominal pregnancy have been reported in the
UAE to date.
PMID- 29657690
TI - An Unusual Case of Cardiac Mass.
PMID- 29657691
TI - Do Lay People in Oman Know How to Perform Cardiopulmonary Resuscitation?
PMID- 29657692
TI - Effectiveness of Positive Thinking Skills Training on the Hope and Quality of
Life of Drug-Dependent People.
AB - Background: The increase of hope and quality of life (QOL) can play a significant
role in improving the mental health of drug addicts. Accordingly, the present
study aimed to determine the effectiveness of positive thinking skills training
on hope and QOL of drug-dependent people. Methods: The present study is a quasi
experimental research with pre- and post-test design with the control group. The
study population consisted of all men dependent on drugs who visited addiction
treatment centers in Tehran, Iran, in 2016. Thirty subjects were selected using
cluster sampling and were randomly assigned to control and experimental groups.
The results were analyzed using SPSS. Findings: The mean scores of pre-test and
post-test for hope were 31.67 +/- 6.47 and 49.68 +/- 10.69, for physical fitness
were 17.01 +/- 4.21 and 22.84 +/- 4.98, for psychological health were 15.36 +/-
3.45 and 20.98 +/- 3.32, for social relations were 7.80 +/- 2.34 and 10.96 +/-
2.64, and for environmental health were 20.47 +/- 4.36 and 27.50 +/- 4.80,
respectively, in experimental group (P < 0.050). Conclusion: It is recommended
that addiction treatment centers teach positive thinking skills to drug-dependent
people in order to increase their hope and QOL.
PMID- 29657693
TI - The Effects of Acceptance and Commitment Therapy on Man Smokers' Comorbid
Depression and Anxiety Symptoms and Smoking Cessation: A Randomized Controlled
Trial.
AB - Background: Besides physical problems, cigarette smoking is associated with a
high prevalence of comorbid depression and anxiety symptoms. One of the reasons
behind high post-cessation smoking lapse and relapse rates is inattentiveness to
these symptoms during the process of cessation. The aim of this study was to
examine the effects of acceptance and commitment therapy (ACT) on male smokers'
comorbid depression and anxiety symptoms and smoking cessation. Methods: This two
group pre-test-post-test randomized controlled trial was done on a random sample
of seventy male smokers. Participants were randomly and evenly allocated to an
intervention and a control group. Patients in these groups received either
acceptance or commitment therapy or routine psychological counseling services
include cognitive behavior therapy, respectively. Study data were collected
through a demographic questionnaire, the Structural Clinical Interview (SCI) for
Diagnostic and Statistical Manual of Mental Disorders-4th Edition (DSM-IV)
disorders, Beck Depression Inventory (BDI), Beck Anxiety Inventory (BAI), and
Micro Smokerlyzer carbon monoxide monitor. The SPSS software was employed to
analyze the data. Findings: After the intervention, depression and anxiety scores
and smoking cessation rate in the intervention group were respectively lower and
higher than the control group (P < 0.050). Conclusion: ACT can significantly
improve comorbid depression and anxiety symptoms and smoking cessation rate.
Thus, it can be used to simultaneously manage depression, anxiety, and cigarette
smoking.
PMID- 29657694
TI - Pattern of Alcohol Consumption among Men Consumers in Kerman, Iran.
AB - Background: Alcohol consumption is a potential risk factor with acute and chronic
health consequences and social impacts, which is more prominent among men. There
is no precise statistics on the scope of alcohol consumption in Iran; however,
there is some evidences showing an increasing trend, particularly among young
generation. In order to evaluate the scope of this issue in Kerman, a large city
in the south-east of Iran, this exploratory study was designed to approach a
group of people having an experience of alcohol use in 2014. Methods: Samples
were recruited to the study using a snowball sampling. 200 eligible subjects were
questioned about the type of alcohol consumed, frequency of use, and other
factors associated with alcohol consumption. In order to maximize the validity of
responses, data were collected through self-administered questionnaires.
Findings: The main alcoholic drinks consumed by individuals were the homemade
distillates (46%), wine (22%), beer (14%), distilled spirits (11%), and medical
alcohol (7%), respectively. The majority of individuals participating in the
study (73%) used mostly homemade drinks; moreover, 63%, 26%, 9%, and 2% of
subjects took monthly or less, two to four times a month, two to three times a
week, and at least four times a week, respectively. Only 2% of the subjects were
heavy consumers of alcoholic beverages. Conclusion: Due to the lack of control
over homemade alcoholic beverages, its high levels can be a huge potential risk.
Furthermore, it seems that both factors of access and price to be very effective
in the amount of alcoholics taken by individuals. Therefore, further studies in
this area will help to reduce the harm caused by alcohol consumption.
PMID- 29657695
TI - Effectiveness of Transactional Analysis Group Therapy on Addiction Intensity of
Woman Patients Treated with Methadone.
AB - Background: Addiction brings about severe and profound physical, psychological
and social damages such as divorce, crime, and unemployment. The present study
was to investigate the effectiveness of transactional analysis (TA) therapy on
addiction intensity of woman patients treated with methadone. Methods: The
research design was quasi-experimental with a pretest-posttest and a control
group. The statistical population consisted of all the addicted women who
referred to any drug rehabilitation center of Tehran, Iran, in 2016. They were
selected using available sampling. Forty addicted patients were placed randomly
in both the experimental and control groups. Addiction severity index (ASI) and
demographic questionnaires were used. Group therapy using TA approach was
executed on the experimental group for 10 sessions, each session for 2 hours (one
session per week). Findings: The results of covariance analysis after controlling
pretest showed that the difference between test and control group was significant
for three subscales of psychological, drug abuse and alcohol consumption status
(P < 0.001). The difference between test and control groups was significant
considering the seven-variable centroid (P < 0.001). The difference in dimensions
of addiction intensity between the two groups was significant (P < 0.001). Also,
analysis of the variables separately showed significant differences in
psychiatric condition, drug and alcohol use dimensions (P < 0.001). Conclusion:
Based on these findings, it seems that group therapy using TA approach is
effective in reducing addiction intensity of woman patients treated with
methadone. Therefore, it can be concluded that TA group interventions account for
76% of the changes in psychological status, 43% of the changes in drug abuse
status, and 49% of the changes in alcohol consumption status in woman patients
under methadone treatment.
PMID- 29657696
TI - Comparing Effectiveness of Mindfulness-Based Relapse Prevention with Treatment as
Usual on Impulsivity and Relapse for Methadone-Treated Patients: A Randomized
Clinical Trial.
AB - Background: Impulsivity is one of the causes of relapse that can affect treatment
outcomes. Studies have shown that addiction treatments can reduce impulsivity in
drug-dependent individuals. Studies also have suggested that mindfulness is
associated with impulsivity. However, no study has investigated the effectiveness
of the mindfulness-based intervention on impulsivity in opioid-dependent
individuals. This study aimed to compare the effectiveness of mindfulness-based
relapse prevention (MBRP) with treatment as usual (TAU) in terms of impulsivity
and relapse for methadone-treated patients. Methods: The present randomized
controlled clinical trial was performed in Kashan, Iran, in 2015. The study
population was opioid-dependent patients referred to Maintenance Treatment
Centers. Seventy patients were selected by random sampling and were assigned in
two groups (MBRP and TAU) randomly. The participants of two groups filled out
Barratt impulsivity scale (BIS-11) as a pre-test and 8 weeks later as post-test
and 2 months later as a follow-up. Both groups received methadone-therapy. The
MBRP group received 8 sessions of group therapy, while the control group did not
receive any group psychotherapy session. Finally, data from 60 patients were
analyzed statistically. Findings: The MBRP group had decreased impulsivity
significantly (P < 0.001). The mean impulsivity score was 74.76 +/- 4.72 before
intervention that was significantly decreased to 57.66 +/- 3.73 and 58.86 +/-
3.57 after the intervention and follow-up (P < 0.001), respectively. In addition,
significant differences were observed between MBRP and TAU groups for relapse
frequency (P < 0.050). Conclusion: This study showed that MBRP compared to TAU
can decrease the mean impulsivity score in opioid-dependent and reduce relapse
probability. These findings suggest that MBRP is useful for opioid-dependent
individuals with high-level impulsivity, and relapse prevention.
PMID- 29657697
TI - Characterizing Mortality from Substance Abuse in Iran: An Epidemiological Study
during March 2014 to February 2015.
AB - Background: Drug abuse is a severe and chronic disorder that leaves morbidity,
disability and premature mortality in the society. The study of death due to
substance abuse provides useful information for local, national and international
administrators. Thus, by identifying the factors that have an impact on overdose
related mortality we can provide suitable intervention for vulnerable groups. The
aim of this study was an investigation of mortality rate caused by consumption of
narcotic and psychoactive substances in Iran. Methods: In this cross-sectional
study, demographic and epidemiological data about all people whose cause of death
was substance abuse in March 2014 to February 2015 were collected from Legal
Medicine Organization (LMO). Finally, the information that was extracted from two
checklists was analyzed by descriptive statistics. Findings: In this study, 2986
cases died from substances abuse were evaluated. Most deaths have befallen in
unmarried young men with mean age of 36.9 +/- 12.3, in the private locations. The
mortality rate of drug abuse in the whole country was 38.4 per 1000000
population. The proportion of mortality was higher in Iranian nationality and in
people who had a diploma and less education. History of overdose, suicide,
hospitalization in a psychiatric hospital, staying in prison and substance abuse
in the family were investigated in the study population. Conclusion: The present
study revealed that mortality rate from substance abuse is more among unmarried
young men aged 30-39 years with low education level and also in the self-employed
group. We suggest that policies should be taken to prevent these people from
accessing and using the drug.
PMID- 29657698
TI - The Effectiveness of the Harm Reduction Group Therapy Based on Bandura's Self
Efficacy Theory on Risky Behaviors of Drug-Dependent Sex Worker Women.
AB - Background: The aim of this study was to investigate the effectiveness of the
harm reduction group therapy based on Bandura's self-efficacy theory on risky
behaviors of sex workers in Kerman, Iran. Methods: A quasi-experimental two-group
design (a random selection with pre-test and post-test) was used. A risky
behaviors questionnaire was used to collect. The sample was selected among sex
workers referring to drop-in centers in Kerman. Subjects were allocated to two
groups and were randomly classified into two experimental and control groups. The
sample group consisted of 56 subjects. The experimental design was carried out
during 12 sessions, and the post-test was performed one month and two weeks after
the completion of the sessions. The results were analyzed statistically.
Findings: By reducing harm based on Bandura's self-efficacy theory, the risky
behaviors of the experimental group, including injection behavior, sexual
behavior, violence, and damage to the skin, were significantly reduced in the pre
test compared to the post-test (P < 0.010). Conclusion: The harm reduction group
therapy based on Bandura's self-efficacy theory can reduce the risky behaviors of
sex workers.
PMID- 29657699
TI - Review on the Implementation of the Islamic Republic of Iran about Tobacco
Control, Based on MPOWER, in the Framework Convention on Tobacco Control by the
World Health Organization.
AB - Background: Smoking is the largest preventable cause of death in the world,
killing nearly 6 million people annually. This article is an investigation of
measures implemented laws in the Iran to study the proposed strategy of control
and reduce tobacco use based on the monitor, protect, offer, warn, enforce and
raise (MPOWER) policy. Methods: All laws approved by the Parliament along with
the instructions on tobacco control prepared by the Ministry of Health and
Medical Education, Ministry of Industry, Mine and Trade were collected and
studied. Moreover, practical steps of Ministry of Health and other organizations
were examined in this regard. Findings: Iranian Parliament after the adoption of
the Framework Convention on Tobacco Control (FCTC) acts to create a comprehensive
and systematic program for tobacco control legislation as a first step towards
comprehensive national tobacco control and combat. In this law and its
implementing guidelines and based on the strategy of MPOWER, specific implement
is done to monitor tobacco use and prevention policies, protect people from
tobacco smoke, offer help to quit tobacco use, warn about the dangers of tobacco,
enforce bans on tobacco advertising, promotion and sponsorship and raise taxes on
tobacco. However, the full objectives of the legislation have not achieved yet.
Conclusion: According to Iran's membership in the FCTC and executive producer of
tobacco control laws and regulations, necessary infrastructure is ready for a
serious fight with tobacco use. In Iran, in comparison with developed countries,
there is a huge gap between ratified laws and performing of laws.
PMID- 29657700
TI - Misleading hepatitis B serology following Rho (D) immune globulin (human)
injection and influenza vaccine.
AB - Having a hepatitis B surface antibody (HBsAb) titre of more than 10 mIU/mL after
hepatitis B vaccination is generally considered to confer immunity to hepatitis
B. This case report discusses an unusual case of a false positive hepatitis B
core total antibody (HBcAb) following administration of either Rho (D) immune
globulin (Human) injection or influenza vaccine in a patriuent who was previously
immunised against hepatitis B.
PMID- 29657701
TI - Early prenatal diagnosis of parapagus conjoined twins.
AB - Conjoined twinning occurs in 1/100 of monozygotic twins, 1/50,000 gestations and
1/250,000 live births. It is the consequence of a division event at the primitive
streak stage of the human embryonic development, about 13-14 days after
fertilisation, in monochorionic monoamniotic gestations. A healthy pregnant
woman, Gravida 2 Para 1, was admitted into our Fetal Medicine Unit to perform the
first trimester ultrasound. A diagnosis of conjoined parapagus twinning based on
ultrasound features was made at 11 weeks of gestation, and the couple decided to
terminate the pregnancy. The ultrasound showed two independent skulls and hearts,
a shared spine below the thoracic level, and a shared stomach. The pathological
findings were slightly different, showing two independent stomachs draining into
a common duodenum. The karyotype was 46 XY. Early prenatal ultrasound may provide
a window to counsel the family and to offer an early termination of pregnancy.
PMID- 29657702
TI - Rectal leiomyoma, a rare entity.
AB - Rectal tumors are often encountered as an incidental finding on screening
colonoscopy. As per the World Health Organization, they are categorized according
to their histologic appearance. These include epithelial tumors, mesenchymal
tumors and lymphomas. Of interest, in our case, are mesenchymal tumors. These are
sub-classified into leiomyomas and gastrointestinal stromal tumors. Our case is a
33-year old male who was diagnosed with a rectal leiomyoma. The uncommon
incidence and subsequent management of a rectal leiomyoma in a male, make this
case worthy for literature review.
PMID- 29657703
TI - DETERMINING THE ELEMENTAL AND ISOTOPIC COMPOSITION OF THE PRESOLAR NEBULA FROM
GENESIS DATA ANALYSIS: THE CASE OF OXYGEN.
AB - We compare element and isotopic fractionations measured in solar wind samples
collected by NASA's Genesis mission with those predicted from models
incorporating both the ponderomotive force in the chromosphere and conservation
of the first adiabatic invariant in the low corona. Generally good agreement is
found, suggesting that these factors are consistent with the process of solar
wind fractionation. Based on bulk wind measurements, we also consider in more
detail the isotopic and elemental abundances of O. We find mild support for an O
abundance in the range 8.75 - 8.83, with a value as low as 8.69 disfavored. A
stronger conclusion must await solar wind regime specific measurements from the
Genesis samples.
PMID- 29657704
TI - Successful treatment of three synchronous primary malignant tumours-reflection on
surgical, pathological and oncological aspects and decision making.
AB - We report a case of a patient with triple synchronous primary malignancies
(breast, colon, kidney) which has not been previously reported in the literature.
A 70-year-old woman was diagnosed with invasive ductal carcinoma of the left
breast with axillary lymph node metastasis. During the staging period, renal cell
carcinoma on the left kidney and mucinous adenocarcinoma in the proximal colon
were found. Since the breast tumour demonstrated favourable biology, aromatase
inhibitor therapy had been started and simultaneous right colectomy and left
nephrectomy was performed. Six months after the first diagnosis, left sector
excision and axillary block dissection were performed. Adjuvant FEC chemotherapy
was administered, followed by radiotherapy. During the 16-month follow-up period
disease recurrence was not detected.
PMID- 29657705
TI - Laparoscopic transhiatal resection of a large mid-esophageal diverticulum: a case
report.
AB - This is a description of transhiatal laparoscopic approach for mid-esophageal
diverticulum. Traditionally mid-esophageal diverticula are approached by
thoracotomy or thoracoscopy, with the laparoscopic technique being reserved for
epiphrenic diverticula. A 78-year-old Caucasian female with a secondary
dilatative ischemic cardiomyopathy presented with dysphagia, tenderness in the
epigastrium and a considerable weight loss. A large mid-esophageal diverticulum
was found on barium swallow and confirmed by CT scan. Underlying achalasia was
recorded on manometry. The patient underwent diverticulectomy via transhiatal
approach, followed by Heller myotomy and Dor fundoplication. Throughout the
procedure auxiliary, esophagoscopic image was provided by interventional
gastroenterologist due to a very narrow operating field and lack of orientation
points. Based on our experience with this case, we propose transhiatal approach
as a feasible alternative to thoracoscopy, in particular with patients who suffer
from cardiac or pulmonary co-morbidities which make traditional techniques of
high risk.
PMID- 29657706
TI - Kimura's disease of the parotid gland with cutaneous features in a Caucasian
female patient.
AB - Kimura's disease is a rare disease of unknown aetiology, commonly presenting with
slow-growing head and neck subcutaneous nodules, lymphadenopathy, eosinophilia
and elevated immunoglobulin E. This report describes a very rare case of a 41
year-old female, of White-British ethnicity, with a new diagnosis of Kimura's
disease of the parotid gland and associated cutaneous features. The patient was
investigated for 3 years before a diagnosis of Kimura's disease was reached. A
superficial parotidectomy was undertaken and no recurrence was observed in the 20
months following surgery. Kimura's disease is easily misdiagnosed, owing to lack
of clinical awareness. This case report highlights the troubling symptomatology
as well as complexities of diagnosis and management of Kimura's disease. A high
level of clinical suspicion is required, for patients of any ethnicity and sex
presenting with features consistent with the disease, in order for prompt
diagnosis, investigation and management to be achieved.
PMID- 29657707
TI - Abdominal tattoo can be useful to avoid a midline abdominal incision.
AB - We report a case in which abdominal tattoo margins were modified and used to hide
the surgical incision for desmoid tumour removal. Our patient is a 37-year-old
female with history remarkable for atrial septal defect closure at the age of
ten, but not for previous abdominal surgeries or trauma. A desmoid tumour
diagnosis was made upon needle biopsy of the 5 * 4 cm2 mass confined to the
rectus abdominis. Subsequently, tumour was resected with an incision through the
tattoo upper margin and abdominal wall was reconstructed with primary fascial
closure mesh reinforced. Excision was radical, scar inconspicuous and no
incisional hernia, bulging, nor tumour recurrence were detected at 2-year follow
up. This report highlights the fact that an abdominal tattoo might be considered
as incision access instead of typical midline incision.
PMID- 29657708
TI - Comparative approaches to gentrification: Lessons from the rural.
AB - The epistemologies and politics of comparative research are prominently debated
within urban studies, with 'comparative urbanism' emerging as a contemporary
lexicon of urban studies. The study of urban gentrification has, after some
delay, come to engage with these debates, which can be seen to pose a major
challenge to the very concept of gentrification. To date, similar debates or
developments have not unfolded within the study of rural gentrification. This
article seeks to address some of the challenges posed to gentrification studies
through an examination of strategies of comparison and how they might be employed
within a comparative study of rural gentrification. Drawing on Tilly (Big
structures Large Processes Huge Comparisons. New York: Russell Sage), examples of
four 'strategies of comparison' are identified within studies of urban and rural
gentrification, before the paper explores how 'geographies of the concept' and
'geographies of the phenomenon' of rural gentrification in the United Kingdom,
United States and France may be investigated using Latour's (Pandora's Hope.
London: Harvard University Press) notion of 'circulatory sociologies of
translation'. The aim of our comparative discussion is to open up dialogues on
the challenges of comparative studies that employ conceptions of gentrification
and also to promote reflections of the metrocentricity of recent discussions of
comparative research.
PMID- 29657709
TI - Comparative ruralism and 'opening new windows' on gentrification.
AB - In response to the five commentaries on our paper 'Comparative approaches to
gentrification: lessons from the rural', we open up more 'windows' on rural
gentrification and its urban counterpart. First, we highlight the issues of
metrocentricity and urbanormativity within gentrification studies, highlighting
their employment by our commentators. Second, we consider the issue of
displacement and its operation within rural space, as well as gentrification as a
coping strategy for neoliberal existence and connections to more-than-human
natures. Finally, we consider questions of scale, highlighting the need to avoid
naturalistic conceptions of scale and arguing that attention could be paid to the
role of material practices, symbolizations and lived experiences in producing
scaled geographies of rural and urban gentrification.
PMID- 29657710
TI - A comparison of spatial heterogeneity with local cluster detection methods for
chronic respiratory diseases in Thailand.
AB - BACKGROUND: The Centers for Disease Control and Prevention reported that deaths
from chronic respiratory diseases (CRDs) in Thailand increased by almost 13% in
2010, along with an increased burden related to the disease. Evaluating the
geographical heterogeneity of CRDs is important for surveillance. Previous
studies have indicated that socioeconomic status has an effect on disease, and
that this can be measured with variables such as night-time lights (NTLs) and
industrial density (ID). However, there is no understanding of how NTLs and ID
correlate with CRDs. We compared spatial heterogeneity obtained by using local
cluster detection methods for CRDs and by correlating NTLs and ID with CRDs.
METHODS: We applied the spatial scan statistic in SaTScan, as well as local
indices of spatial association (LISA), Getis and Ord's local Gi*(d) statistic,
and Pearson correlation. In our analysis, data were collected on gender, age,
household income, education, family size, occupation, region, residential area,
housing construction materials, cooking fuels, smoking status and previously
diagnosed CRDs by a physician from the National Socioeconomic Survey, which is a
cross-sectional study conducted by the National Statistical Office of Thailand in
2010. RESULTS: According to our findings, the spatial scan statistic, LISA, and
the local Gi*(d) statistic revealed similar results for areas with the highest
clustering of CRDs. However, the hotspots for the spatial scan statistic covered
a wider area than LISA and the local Gi*(d) statistic. In addition, there were
persistent hotspots in Bangkok and the perimeter provinces. NTLs and ID have a
positive correlation with CRDs. CONCLUSIONS: This study demonstrates that all the
statistical methods used could detect spatial heterogeneity of CRDs. NTLs and ID
can serve as new parameters for determining disease hotspots by representing the
population and industrial boom that typically contributes to epidemics.
PMID- 29636897
TI - Monocytes isolated by positive and negative magnetic sorting techniques show
different molecular characteristics and immunophenotypic behaviour.
AB - Background: Magnetic sorting of cells, based on microbead conjugated antibodies
(Abs), employs positive as well as negative immunomagnetic separation methods,
for isolation of a specific cell population. These microbeads are suggested to be
nontoxic, biodegradable carriers conjugated to various antibodies. Isolation of
cells through positive selection involves the attachment of antibody conjugated
microbeads to the cells of interest, followed by their isolation in the presence
of a strong magnetic field to obtain higher purity. Negative selection involves
attachment of microbead conjugated antibodies to all other cell populations
except the cells of interest, which remain untagged. In the present study, we
compared the two methods for their effect on functional and immunophenotypic
behavior of isolated CD14+ monocytes. Methods: Peripheral blood mononuclear cells
(PBMCs) were isolated from blood collected from healthy volunteers by density
gradient centrifugation. Human blood derived monocytes were isolated through
positive selection and negative selection, making use of the appropriate monocyte
isolation kit. Monocytes were then stimulated with lipopolysaccharide (LPS) and
their activation and proliferation capacity were examined. The degradation or
dissociation of cell-bound microbeads was also investigated. Results: We observed
an impaired LPS sensitivity as well as poor activation and proliferation capacity
upon stimulation by LPS in positively sorted CD14+ monocytes as compared to
negatively sorted CD14+ monocytes. The attached microbeads did not degrade and
remained attached to the cells even after 6 days of culture. Conclusions: Our
results suggest that positively sorted CD14+ cells exhibit hampered functionality
and may result in inaccurate analysis and observations in downstream
applications. However, these cells can be used for immediate analytical
procedures.
PMID- 29657713
TI - Mid-upper-arm circumference based case-detection, admission, and discharging of
under five children in a large-scale community-based management of acute
malnutrition program in Nigeria.
AB - Background: Severe acute malnutrition (SAM) threatens the lives of millions of
children worldwide particularly in low and middle-income countries (LMICs).
Community-based management of acute malnutrition (CMAM) is an approach to
treating large numbers of cases of severe acute malnutrition (SAM) in a community
setting. There is a debate about the use of mid-upper arm circumference (MUAC)
for admitting and discharging SAM children. This article describes the experience
of using MUAC for screening, case-finding, referral, admission, and discharge in
a large-scale CMAM program delivered through existing primary health care
facilities in Nigeria. Methods: Over one hundred thousand (n = 102,245)
individual CMAM beneficiary records were collected from two of the eleven states
(i.e. Katsina and Jigawa) that provide CMAM programming in Nigeria. The data were
double entered and checked using EpiData version 3.2 and analyzed using the R
language for data-analysis graphics. Results: The median MUAC at admission was
109 mm. Among admissions, 37.4% (38,275) had a comorbidity recorded at admission
and 7.4% (7537) were recorded as having developed comorbidity during the
treatment. Analysis in the better performing state program in the most recent
year for which data were available found that 87.1% (n = 13,273) of admitted
cases recovered and were discharged as cured, 9.2% (n = 1396) defaulted and were
lost to follow-up, 2.9% (n = 443) were discharged as non-recovered, 0.7% (n =
104) were transferred to inpatient services, and 0.2% (n = 27) were known (died,
to be dead or to have passed) during the treatment episode. The program met
SPHERE minimum standards for treatment outcomes for therapeutic feeding programs.
Factors associated with negative outcomes (default, non-recovery, transfer, and
death) were distance between home and the treatment center; lower MUAC, diarrhea
and cough at admission; or developing diarrhea, vomiting, fever, or cough during
the treatment episode. Conclusions: This study confirms that MUAC can be used for
both admitting and discharging criteria in CMAM programs with MUAC < 115 mm for
admission and MUAC > = 115 mm or at discharge (a higher discharge threshold could
be used). Long distances between home and treatment centers, lower MUAC at
admission, or having diarrhea, vomiting, fever, or cough during the treatment
episode were factors associated with negative outcome. Providing CMAM services
closer to the community, using mobile and / or satellite clinics, counseling of
mothers by health workers to encourage early treatment seeking behavior, and
screening of patients at each patient visit for early detection and treatment of
comorbidities are recommended.
PMID- 29657712
TI - Recent advances in understanding the biology of marginal zone lymphoma.
AB - There are three different marginal zone lymphomas (MZLs): the extranodal MZL of
mucosa-associated lymphoid tissue (MALT) type (MALT lymphoma), the splenic MZL,
and the nodal MZL. The three MZLs share common lesions and deregulated pathways
but also present specific alterations that can be used for their differential
diagnosis. Although trisomies of chromosomes 3 and 18, deletions at 6q23,
deregulation of nuclear factor kappa B, and chromatin remodeling genes are
frequent events in all of them, the three MZLs differ in the presence of
recurrent translocations, mutations affecting the NOTCH pathway, and the
transcription factor Kruppel like factor 2 ( KLF2) or the receptor-type protein
tyrosine phosphatase delta ( PTPRD). Since a better understanding of the
molecular events underlying each subtype may have practical relevance, this
review summarizes the most recent and main advances in our understanding of the
genetics and biology of MZLs.
PMID- 29657714
TI - Non-typeable Haemophilus Influenzae detection in the lower airways of patients
with lung cancer and chronic obstructive pulmonary disease.
AB - Background: Chronic airway inflammation and hypersensitivity to bacterial
infection may contribute to lung cancer pathogenesis. Previous studies have
demonstrated that nontypeable Haemophilus influenzae (NTHi) is the most common
colonizing bacteria in the lower airways of patients with COPD. The objective of
this study was to determine the presence of NTHi and immunoglobulin
concentrations in patients with lung cancer, COPD and controls. Methods: Serum
and bronchial wash samples were collected from patients undergoing diagnostic
bronchoscopy. Total IgE, IgG and specific NTHi IgG were measured by enzyme linked
immunosorbent assay. Bronchial wash samples were examined for the presence of
NTHi via PCR. Results: Out of the 60 patients: 20 had confirmed Lung Cancer, 27
had COPD only and 13 were used as Controls. NTHi was detected in the lower
airways of all three groups (Lung Cancer 20%; COPD 22% and Controls 15%). Total
IgE was highest in Lung Cancer subjects followed by COPD and control subjects
(mean +/- SD: 870 +/- 944, 381 +/- 442, 159 +/- 115). Likewise total IgG was
higher in Lung cancer (Mean +/- SD: 6.99 +/- 1.8) patients compared to COPD (Mean
+/- SD: 5.43 +/- 2). Conclusions: The lack of difference in NTHi and specific
antibodies between the three groups makes it less likely that NTHi has an
important pathogenetic role in subjects with Lung Cancer. However the detection
of higher IgE antibody in Lung Cancer subjects identifies a possible mechanism
for carcinogenesis in these subjects and warrants further study.
PMID- 29657715
TI - Multimodal Underwater Adhesion Using Self-assembled Dopa-bearing ABA Triblock
Copolymer Networks.
AB - Self-assembled mechanically robust Dopa-bearing triblock copolymer networks
improve underwater adhesion through both energy dissipation and interfacial
bonding. Polymer networks that incorporate energy dissipating motifs could
improve the performance of high-performance wet adhesives rather than only by
interfacial bonds.
PMID- 29657716
TI - Seasonal physiological dynamics of maturing female southern flounder
(Paralichthys lethostigma).
AB - Physiological information is rarely used in descriptions of maturity for managed,
wild fish species; however, the use of physiological data holds great promise to
provide important detail on the complexities of oocyte development and maturity.
Investigating southern flounder (Paralichthys lethostigma)-an overfished
commercial and recreational fishery resource-we examined pre-spawn physiological
changes in females to provide further detail of the maturation process. Given
that adults of this species complete maturation and spawn in unknown offshore
locations, information on pre-spawn physiological changes is particularly
informative for both size- and age-based patterns of maturity. We evaluated
seasonal and ontogenetic changes in hormone concentrations in blood plasma that
are commonly associated with sexual maturation, in addition to quantifying and
classifying lipid stored in liver tissue. We found a strong positive relationship
between body weight and lipid content during all months, as well as evidence for
mobilization of lipids among larger females in September and October, presumably
for gonadal development. Throughout the sampling period, the lipid content of
smaller individuals was dominated by structural lipids (as opposed to storage
lipids). In contrast, larger individuals possessed greater amounts of storage
lipids. This suggests that larger, putatively maturing individuals were
accumulating storage lipids for later production of vitellogenin. Females sampled
for blood sex steroids and ovarian histology showed different testosterone and
estradiol concentrations between putatively maturing and immature fish, and
temporal variation with peaks in October and November. Overall, emerging patterns
of liver lipid content and composition and blood steroid concentrations describe
a multi-month maturation process that is often managed one dimensionally over
short time periods. Insights from this work will improve our understanding of the
life history of southern flounder, with the potential for better understanding of
the dynamics of offshore spawning migration and informing subsequent species
management.
PMID- 29657717
TI - Closure of a bronchopleural fistula complicating cryoprobe biopsy of the lung.
AB - Cryoprobe biopsies are routinely performed by the interventional pulmonologist.
Diagnostic yields are larger, with complication rates that are equal to or lower
than that of traditional forceps biopsies. We will specifically evaluate one
instance where a cryoprobe biopsy led to an alveolo-pleural fistula that did not
resolve with simple tube thoracostomy. An endobronchial valve was placed and
successfully resolved the pneumothorax and persistent air leak.
PMID- 29657718
TI - Endotracheal tube clamping and extracorporeal membrane oxygenation to resuscitate
massive pulmonary haemorrhage.
AB - Massive pulmonary haemorrhage is a life-threatening and difficult-to-manage
condition. In certain circumstances, traditional approaches for haemoptysis may
not be effective. Here, we report a 64-year-old man presenting with dyspnoea and
leg oedema. He was diagnosed with microscopic polyangiitis due to positive
perinuclear anti-neutrophil cytoplasmic antibody and other supportive evidence.
His hospital course was complicated with massive pulmonary haemorrhage, which led
to hypoxic respiratory failure, shock, and pulseless electrical activity.
Extracorporeal membrane oxygenation (ECMO) was employed during cardiopulmonary
resuscitation. To control blood loss from his lungs, we clamped the endotracheal
tube for tamponade therapy. The tube was clamped for 15 h till the haemorrhage
subsided. ECMO and ventilator support were successfully weaned off after 5 and 10
days, respectively. Our favourable experience suggests that endotracheal tube
clamping with ECMO support is a viable management option for life-threatening
pulmonary haemorrhage.
PMID- 29657719
TI - Inpatient diabetes management by specialized diabetes team versus primary service
team in non-critical care units: impact on 30-day readmission rate and hospital
cost.
AB - Objective: We compared the cost-effectiveness of two inpatient diabetes care
models: one offered by a specialized diabetes team (SDT) versus a primary service
team (PST). Research design and methods: We retrospectively evaluated 756
hospital admissions of patients with diabetes to non-critical care units over 6
months. Out of 392 patients who met the eligibility criteria, 262 were matched
1:1 based on the mean of the initial four blood glucose (BG) values after
admission. Primary outcomes were 30-day readmission rate and frequency, hospital
length of stay (LOS) and estimated hospital cost. Secondary outcomes included
glycemic control and BG variability. Results: Diabetes complexity and in-hospital
complications were significantly higher among patients treated by SDT versus PST.
Thirty-day readmission rate to medical services was lower by 30.5% in the SDT
group versus the PST group (P<0.001), while 30-day readmission rate to surgical
services was 5% higher in the SDT group versus the PST group (P<0.05), but
frequency of 30-day readmissions was lower (1.1 vs 1.6 times, P<0.05). LOS in
medical services was not different between the two groups, but it was
significantly longer in surgical services in SDT (P<0.05). However, LOS was
significantly lower in patients who were seen by SDT during the first 24 hours of
admission compared with those who were seen after that (4.7 vs 6.1 days,
P<0.001). Compliance to follow-up was higher in the SDT group. These changes were
translated into considerable cost saving. Conclusions: Inpatient diabetes
management by an SDT significantly reduces 30-day readmission rate to medical
services, reduces inpatient diabetes cost, and improves transition of care and
adherence to follow-up. SDT consultation during the first 24 hours of admission
was associated with a significantly shorter hospital LOS.
PMID- 29657720
TI - Promising novel therapy with hydrogen gas for emergency and critical care
medicine.
AB - It has been reported that hydrogen gas exerts a therapeutic effect in a wide
range of disease conditions, from acute illness such as ischemia-reperfusion
injury, shock, and damage healing to chronic illness such as metabolic syndrome,
rheumatoid arthritis, and neurodegenerative diseases. Antioxidant and anti
inflammatory properties of hydrogen gas have been proposed, but the molecular
target of hydrogen gas has not been identified. We established the Center for
Molecular Hydrogen Medicine to promote non-clinical and clinical research on the
medical use of hydrogen gas through industry-university collaboration and to
obtain regulatory approval of hydrogen gas and hydrogen medical devices
(http://www.karc.keio.ac.jp/center/center-55.html). Studies undertaken by the
Center have suggested possible therapeutic effects of hydrogen gas in relation to
various aspects of emergency and critical care medicine, including acute
myocardial infarction, cardiopulmonary arrest syndrome, contrast-induced acute
kidney injury, and hemorrhagic shock.
PMID- 29657721
TI - Past, present, and future of Emergency General Surgery in the USA.
AB - Emergency General Surgery (EGS) patients represent a unique group of acutely ill
surgical patients at high risk for death and complications. Since the inception
of EGS as a surgical subspecialty in the early 2000s, there have been significant
developments to further define the scope of EGS as well as to advance data
collection, performance measurement, and quality improvement. This includes
defining the EGS cohort by diagnosis and procedure and by overall burden,
benchmarking of EGS outcomes, and creation of quality improvement programs aimed
at reducing the excess morbidity and mortality associated with EGS. Going forward
there exists a need for a more modern approach to quality improvement. This may
include the creation of an EGS data registry, the use of electronic medical
records data, wearable device technology, and a focus on patient reported
outcomes.
PMID- 29657722
TI - A summary of the Japan septic disseminated intravascular coagulation study.
AB - Over the past few decades, the large, international, randomized controlled trials
of anticoagulant therapies for patients with sepsis have not yielded any
improvement in mortality rates. However, in Japan, anticoagulant therapies are
administered for sepsis patients with disseminated intravascular coagulation
(DIC), but not for sepsis patients without DIC. Furthermore, epidemiological data
regarding sepsis in Japan are scarce. Therefore, a nationwide multicenter
retrospective observational study, the Japan Septic Disseminated Intravascular
Coagulation (JSEPTIC DIC) study, was undertaken. The JSEPTIC DIC study enrolled
42 intensive care units and included 3,195 patients with sepsis. The results of
the JSEPTIC DIC study indicated the following: (i) anticoagulant therapy may be
effective in sepsis-induced DIC patients at high risk for death, (ii) recombinant
human soluble thrombomodulin administration and antithrombin supplementation are
associated with survival benefits in patients with sepsis-induced DIC.
PMID- 29657723
TI - Accuracy of the smaller superior mesenteric vein sign for the detection of acute
superior mesenteric artery occlusion.
AB - Aims: The smaller superior mesenteric vein (SMV) sign is a well-known computed
tomography (CT) parameter for acute superior mesenteric artery (SMA) occlusion.
This CT sign is potentially beneficial for the early diagnosis of acute SMA
occlusion; however, few reports have documented this sign. The present study
aimed to determine the accuracy of the smaller SMV sign for the detection of
acute SMA occlusion. Methods: We retrospectively reviewed CT images from 20
patients with acute SMA occlusion and 1,216 controls. We measured the external
diameters of the SMV and SMA, and calculated the SMV/SMA diameter ratio. A ratio
<=1 indicated a positive smaller SMV sign. Results: Of the 20 patients, 14 had
the smaller SMV sign, whereas of the 1,216 controls, 88 had the smaller SMV sign.
Of the 88 controls with a positive sign, 79 had apparent reasons for the
decreased flow in the SMA and nine patients had no reason for the decreased flow.
The sensitivity and specificity of the smaller SMV sign for acute SMA occlusion
were 70% and 99.2%, respectively. Conclusion: The smaller SMV sign is an accurate
and important CT parameter for the detection of acute SMA occlusion.
PMID- 29657724
TI - Quality of dispatch-assisted cardiopulmonary resuscitation by lay rescuers
following a standard protocol in Japan: an observational simulation study.
AB - Aim: Bystander cardiopulmonary resuscitation (CPR) is essential for improving the
outcomes of sudden cardiac arrest patients. It has been reported that dispatch
assisted CPR (DACPR) accounts for more than half of the incidence of CPR
undertaken by bystanders. Its quality, however, can be suboptimal. We aimed to
measure the quality of DACPR using a simulation study. Methods: We recruited
laypersons at a shopping mall and measured the quality of CPR carried out in our
simulation. Dispatchers provided instruction in accordance with the standard
DACPR protocol in Japan. Results: Twenty-three laypersons (13 with CPR training
experience within the past 2 years and 10 with no training experience)
participated in this study. The median chest compression rate and depth were
106/min and 33 mm, respectively. The median time interval from placing the 119
call to the start of chest compressions was 119 s. No significant difference was
found between the groups with and without training experience. However, subjects
with training experience more frequently placed their hands correctly on the
manikin (84.6% versus 40.0%; P = 0.026). Twelve participants (52.2%, seven in
trained and five in untrained group) interrupted chest compressions for 3-18 s,
because dispatchers asked if the patient started breathing or moving. Conclusion:
This current simulation study showed that the quality of DACPR carried out by lay
rescuers can be less than optimal in terms of depth, hand placement, and
minimization of pauses. Further studies are required to explore better DACPR
instruction methods to help lay rescuers perform CPR with optimal quality.
PMID- 29657725
TI - Adherence rate of quality-of-care indicators for Staphylococcus aureus bacteremia
is extremely low in Japanese emergency and critical care departments: a
multicenter retrospective observational study.
AB - Aim: Staphylococcus aureus bacteremia causes significant morbidity and mortality
and requires specific management to prevent complications. Most studies
evaluating quality of care have been carried out in Europe and North America, and
accurate epidemiological data are lacking in Asia. We aimed to describe the
epidemiology and evaluate the quality of care for S. aureus bacteremia in Japan.
Methods: From February 2011 to January 2014, we undertook a multicenter
retrospective observational study in 10 departments of emergency and critical
care in Japan. We included 118 hospitalized adult patients with S. aureus
bacteremia and evaluated three quality-of-care indicators: follow-up blood
culture, treatment duration, and echocardiography. Results: The mean age of the
patients was 63.5 +/- 17.0 years. The major source of bacteremia was pneumonia (n
= 22, 19%), followed by skin and soft tissue infection (n = 18, 15%). Thirty
patients (25%) died in the hospital. Follow-up blood culture was performed in
21/112 patients (19%). The duration of antimicrobial treatment was sufficient in
49/87 patients (56%). Echocardiography for patients with clinical indication was
undertaken in 39/59 patients (66%). Any of the three indicators were inadequate
in 101/118 (86%). Conclusion: The rate of adequate care for S. aureus bacteremia
is low in Japan. The low adherence rate for follow-up blood culture was
particularly notable. Staphylococcus aureus bacteremia can be an important target
of quality improvement interventions.
PMID- 29657726
TI - High-dose steroid therapy for acute respiratory distress syndrome lacking common
risk factors: predictors of outcome.
AB - Aim: Acute respiratory distress syndrome (ARDS) is a life-threatening lung
disease that usually occurs in patients with the underling risk factors that
triggers lung inflammation. We sometimes encounter patients with ARDS lacking
common risk factors. Recent studies have indicated the effectiveness of
corticosteroids for this cohort. However, the characteristics of survivors with
ARDS who lack common risk factors, and who received high-dose methylprednisolone
pulse therapy (MPPT), are not known. Methods: We undertook a retrospective study
of patients with ARDS lacking common risk factors, who received i.v. MPPT for 3
days. The patients (n = 46) were classified into two groups, survivors (n = 23)
and non-survivors (n = 23), based on their survival at 60 days after the
initiation of MPPT, and their clinical and radiological parameters were
evaluated. Results: The patient characteristics and disease severity of the two
groups were comparable. The percentage of consolidation/(ground-glass attenuation
[GGA] + consolidation) on the chest computed tomography scans of survivors was
significantly lower than that of non-survivors (survivors, 5.63% [2.31-13.8]
versus non-survivors, 27.2% [5.97-41.4]; P = 0.01). In the stratified analysis,
the percentage of consolidation/(GGA + consolidation) was significantly
associated with 60-day survival. Conclusions: Our results show that the
percentage of consolidation/(GGA + consolidation) on the chest CT scans is an
independent prognostic factor for patients with ARDS lacking common risk factors
after MPPT.
PMID- 29657727
TI - Preoperative fluid restriction for trauma patients with hemorrhagic shock
decreases ventilator days.
AB - Aim: In recent years, with the concept of damage control resuscitation,
hemostasis and preoperative fluid restriction have been carried out, but there is
controversy regarding the effectiveness of fluid restriction. Methods: From April
2007 to March 2013, 101 trauma patients presented with hemorrhagic shock
(systolic blood pressure <=90 mmHg) at the prehospital or emergency department
and were admitted to Hyogo Emergency Medical Center (Hyogo, Japan). They
underwent emergency hemostasis by surgery and transcatheter arterial
embolization. We compared two groups in a historical cohort study, the aggressive
fluid resuscitation (AR) group, which included 59 cases treated in the period
April 2007-March 2010, and the fluid restriction (FR) group, which included 42
cases treated in the period April 2010-March 2013. Results: There was no
difference between both groups in patient background (heart rate, 110 b.p.m.;
systolic blood pressure, 70 mmHg). The Injury Severity Score was 34 (AR) versus
38 (FR) (not significant). Preoperative infusion volume of crystalloid
significantly decreased, from 2310 mL (AR) to 1025 mL (FR) (P <= 0.01). There was
no difference in mortality (36% [AR] versus 41% [FR]). Ventilator days
significantly decreased, from 8.5 days (AR) to 5.5 days (FR) (P = 0.02).
Conclusions: Preoperative fluid restriction for trauma patients with hemorrhagic
shock did not improve mortality, but it decreased ventilator days by reducing the
perioperative plus water balance and it might contribute to perioperative
intensive care.
PMID- 29657729
TI - Risk factors for prolonged mechanical ventilation in patients with severe
multiple injuries and blunt chest trauma: a single center retrospective case
control study.
AB - Aim: Blunt chest trauma is common and is associated with morbidity and mortality
in patients with multiple injuries, frequently requiring invasive mechanical
ventilation. The aim of this study was to elucidate risk factors for prolonged
mechanical ventilation (PMV). Methods: Consecutive adult patients with multiple
severe injuries and blunt chest trauma who treated in Chiba Emergency Medical
Center (Chiba, Japan) between January 2008 and December 2015 were enrolled in
this retrospective chart-review study. According to ventilatory time, the
patients were divided into PMV (>=7 days) and shortened mechanical ventilation
(SMV; <7 days) groups. Thoracic Trauma Severity Score (TTSS) was calculated. To
identify risk factors for PMV, univariate and multivariate logistic analyses and
receiver operating characteristic analysis were carried out. Results: Eighty-four
and 49 patients were assigned to PMV and SMV groups, respectively. Compared with
the SMV group, the PMV group had a significantly larger number of fractured ribs
(P < 0.01), higher rate of severe Glasgow Coma Scale (GCS <=8) (P < 0.05) and
flail chest (P < 0.001), higher TTSS (P < 0.001), or longer intensive care unit
and hospital stay (both P < 0.001). Logistic analysis showed that severe GCS
(odds ratio [OR] = 4.6, P < 0.01), flail chest (OR = 3.0, P < 0.05), and TTSS (OR
= 1.2; P < 0.01) were independent significant risk factors. Receiver operating
characteristic analyses showed that the area under the curves for TTSS, flail
chest, and severe GCS were 0.74, 0.70, and 0.58, respectively. When the three
factors were combined, the area under the curve increased to 0.8. Conclusion:
Severe GCS (<=8), flail chest, or TTSS may be independent risk factors. Combining
the three risk factors could provide high predictive performance for PMV.
PMID- 29657728
TI - Can we predict delayed undesirable events after blunt injury to the torso
visceral organs?
AB - Aim: Blunt injuries to visceral organs have the potential to lead to delayed
pseudoaneurysm formation or organ rupture, but current trauma and surgical
guidelines do not recommend repetitive imaging. This study examined the incidence
and timing of delayed undesirable events and established advisable timing for
follow-up imaging and appropriate observational admission. Methods: Patients with
blunt splenic (S), liver (L), or kidney (K) injury treated with non-operative
management (NOM) in our institution were included and retrospectively reviewed.
Results: From January 2013 to January 2017, 57 patients were admitted with
documented blunt visceral organ injuries and 22 patients were excluded. Of 35
patients (L, 10; S, 17; K, 6; L & S, 1; S & K, 1) treated with NOM, 14 (L, 4; S,
9; K, 1) patients underwent transcatheter arterial embolization. Delayed
undesirable events occurred in four patients: three patients with splenic
pseudoaneurysm on hospital day 6-7 and one patient with splenic delayed rupture
on hospital day 7. The second follow-up computed tomography scan carried out 1-2
days after admission did not show any significant findings that could help
predict undesirable results of delayed events. The patients with delayed events
had longer continuous abdominal pain than that of event-free patients (P = 0.04).
Conclusions: Undesirable delayed events were recognized on follow-up computed
tomography scans in 11.4% of NOM patients at hospital day 6-7 and tended to be
associated with high-grade splenic injuries and continuous symptoms. Repetitive
screening of these patients 6-7 days after injury might be warranted because of
the potential risk of delayed events.
PMID- 29657730
TI - Lemierre's syndrome presented with acute pancreatitis.
AB - Case: Lemierre's syndrome is a rare clinical condition that is characterized by
infected internal jugular vein thrombosis with metastatic septicemia. The most
common causative agent is Fusobacterium necrophorum. A previously healthy 37-year
old woman presented to our emergency department with nausea, vomiting, and
diarrhea. She was admitted to the general practice unit with a diagnosis of acute
pancreatitis then was subsequently transferred to the intensive care unit due to
shock. Physical examination revealed tenderness on right side of the neck. Blood
cultures were remarkable for F. necrophorum. Clinical symptoms led to subsequent
ultrasound and computed tomography scan of the neck, confirming internal jugular
vein thrombosis. Outcome: The patient was treated with antibiotics for 6 weeks.
Anticoagulation therapy was initiated. Conclusion: We report a case of Lemierre's
syndrome that presented as acute pancreatitis. The high index of suspicion of
this disease is important for early diagnosis.
PMID- 29657731
TI - Coin pica-induced gastric perforation resulting from ingestion of 1,894 coins, 8
kg in total: case report and review of published works.
AB - Case: Pica is common among patients with psychiatric disorders, but only a few
cases regarding coin pica have been reported. A 51-year-old man with depression
complaining of fatigue was found to have numerous coins in the esophagus and the
stomach on X-rays. He had a peritoneal sign and underwent an emergency
laparotomy. Outcome: The surgical findings showed perforation on the anterior
wall of the gastric body and coins in the stomach, which were removed manually,
followed by an omental patch. Residual coins in the esophagus were removed by
endoscopy. The coins totaled 1,894, weighing 8,076 grams. The patient was then
diagnosed as schizophrenic. He was asymptomatic for metal toxicity and was
finally transferred to a psychiatric hospital. Conclusion: This pica case is the
first to show coin pica can lead to gastric perforation, and also reports the
largest amounts of coins ingested by a person to date.
PMID- 29657732
TI - Two cases of spontaneous cervical epidural hematoma without back or neck pain in
elderly Japanese men.
AB - Cases: Spontaneous spinal epidural hematoma (SSEH) is an uncommon disease. Most
SSEH cases involve back and/or neck pain. We report the cases of two men who
experienced SSEH with dysstasia but without back or neck pain. Outcomes: This
study presents two cases involving elderly Japanese men who visited an emergency
department because of sudden dysstasia without back or neck pain. The results of
the neurological examinations revealed ataxic gait. Cervical spinal epidural
hematomas were observed by computed tomography and magnetic resonance imaging.
One patient underwent hematoma removal and decompression by corpectomy, whereas
the other patient received conservative treatment and observation. The patients
were discharged without sequelae. Conclusion: Spinal epidural hematomas are
difficult to diagnose, and a delayed diagnosis can adversely affect the patient's
quality of life. These hematomas should be considered in the differential
diagnosis of cerebrovascular diseases.
PMID- 29657733
TI - Contrast medium-induced transient severe leukopenia.
AB - Case: Contrast medium-induced transient leukopenia is very rare. Here, we report
a case of a 73-year-old man diagnosed with contrast media-induced transient
leukopenia. The patient underwent abdominal contrast-enhanced computed
tomography, where he was given non-ionic iodinated contrast medium i.v. His
medical history included an allergic reaction to a different contrast medium. One
hour later, the patient was admitted to the emergency department complaining of
chest discomfort. He had leukopenia and a fever (temperature of 38.9 degrees C).
Complete blood count showed a white blood cell count of 930/MUL and an absolute
neutrophilic count of 232/MUL. Outcome: The patient was given i.v. antibiotics
and 5 mg chlorpheniramine maleic acid, 20 mg famotidine, and 125 mg
methylprednisolone. The patient's white blood cell count recovered the next day,
and he was discharged after 2 days of hospitalization. Conclusion: We diagnosed
the patient with contrast media-induced transient leukopenia, which is a rare
phenomenon.
PMID- 29657734
TI - Treatment of a patient with acute aortic dissection using extracorporeal
cardiopulmonary resuscitation after an out-of-hospital cardiac arrest: a case
report.
AB - Case: Circulatory support using veno-arterial extracorporeal membrane oxygenation
for aortic disease is conventionally contraindicated. In this case, a 66-year-old
man experienced cardiopulmonary arrest caused by acute aortic dissection. When
exercising in the gym, he experienced chest discomfort, so the staff immediately
called an ambulance. While in the ambulance, he experienced cardiopulmonary
arrest. His initial electrocardiogram showed ventricular fibrillation. At the
emergency department, we immediately performed extracorporeal cardiopulmonary
resuscitation. We suspected acute coronary syndrome, so coronary angiography was
carried out. Enlargement of ascending aorta was noted. Whole-body enhanced
computed tomography was subsequently performed, leading to a final diagnosis of
acute aortic dissection. Outcome: Emergency ascending aorta prosthesis
implantation was performed. The patient received intensive care and was
discharged on day 49 of hospitalization. His cerebral performance category score
was 4 at discharge. Conclusion: This case suggests that veno-arterial
extracorporeal membrane oxygenation may be used for patients with aortic
dissection presenting with cardiac arrest.
PMID- 29657735
TI - Necrotizing enterocolitis associated with Clostridium butyricum in a Japanese
man.
AB - Case: Necrotizing enterocolitis (NEC) caused by Clostridium butyricum is common
in neonates; however, a case of NEC in adults has not been previously reported.
An 84-year-old Japanese man developed C. butyricum-related NEC during
hospitalization for treatment of stab wounds to the left side of the neck and
lower abdomen, without organ damage, and concomitant pneumonia. Outcome: The
patient developed acute onset of emesis accompanied by shock during his
admission; partial resection of the small intestine was carried out due to
necrosis. Pathologic findings showed mucosal necrosis and extensive vacuolation
with gram-positive rods in the necrotic small intestine. Blood culture tests
revealed C. butyricum infection. The patient's condition improved after the
surgery. He was moved to a rehabilitation hospital on day 66. Conclusion: This
study suggests that hospitalized adult patients who receive antibiotic treatment
are at risk for NEC.
PMID- 29657736
TI - A new mutation of congenital methemoglobinemia exacerbated after methylene blue
treatment.
AB - Case: Methylene blue is useful for the treatment of methemoglobinemia. However,
even after the patient's methemoglobin (metHb) rate has improved, careful
observation is important because they could have undiagnosed congenital
methemoglobinemia. In this case, a 67-year-old man underwent gastrointestinal
endoscopy with the use of lidocaine for local anesthesia. During the examination,
he complained of dyspnea and had low SpO2 despite normal PaO2 and SaO2. He was
transferred to our department as a suspected case of acquired methemoglobinemia.
Outcome: The patient's metHb level was 26.2%. We administered methylene blue i.v.
and his metHb level subsequently decreased to 1.6%. However, his metHb level
gradually increased to 18.2%, and we suspected that he had congenital
methemoglobinemia. We administered riboflavin and ascorbic acid orally, and his
metHb level decreased to 6.4%. We also obtained genomic DNA from the patient and
identified a novel variant of CYB5R3. Conclusion: We report a novel variant of
congenital methemoglobinemia that deteriorated after methylene blue treatment.
PMID- 29657737
TI - Flunitrazepam overdose induces brilliant-blue gastric fluid.
PMID- 29657739
TI - An atypical presentation of a pericardial cyst.
AB - A pericardial cyst is a benign and rare congenital anomaly. The presentation of
pericardial cysts varies with the extent of mediastinal involvement. Complaints
can include dyspnea, pleuritic chest pain and systemic symptoms; however, the
majority are asymptomatic. Imaging modalities such as MRI, CT and X-ray can
visualize the cysts. Treatment approach includes aspiration or surgical
resection. We describe an atypical presentation of a pericardial cyst and
subsequent treatment and recommendations for these rare anomalies.
PMID- 29657740
TI - Mechanical prosthetic heart valves: here to stay!
PMID- 29657741
TI - A curious case of idiopathic subcutaneous emphysema.
PMID- 29657738
TI - Lupus community panel proposals for optimising clinical trials: 2018.
AB - Formidable impediments stand in the way of treatment development for lupus. These
include the unwieldy size of current trials, international competition for scarce
patients, complex outcome measures and a poor understanding of these outcomes in
the world at large. The heterogeneity of the disease itself coupled to
superimposition of variegated background polypharmacy has created enough
immunological noise to virtually ensure the failure of lupus treatment trials,
leaving an understandable suspicion that at least some of the results in testing
failed drugs over the years may not have been negative, but merely
uninterpretable. The authors have consulted with many clinical trial
investigators, biopharmaceutical developers and stakeholders from government and
voluntary sectors. This paper examines the available evidence that supports
workable trial designs and proposes approaches to improve the odds of completing
interpretable treatment development programs for lupus.
PMID- 29657742
TI - Quantifying the relative effects of environmental and direct transmission of
norovirus.
AB - Norovirus is a common cause of outbreaks of acute gastroenteritis in health- and
child-care settings, with serial outbreaks also frequently observed aboard cruise
ships. The relative contributions of environmental and direct person-to-person
transmission of norovirus have hitherto not been quantified. We employ a novel
mathematical model of norovirus transmission, and fit the model to daily
incidence data from a major norovirus outbreak on a cruise ship, and examine the
relative efficacy of potential control strategies aimed at reducing environmental
and/or direct transmission. The reproduction number for environmental and direct
transmission combined is [Formula: see text] [6.1,9.5], and of environmental
transmission alone is [Formula: see text] [0.9,2.6]. Direct transmission is
overwhelmingly due to passenger-to-passenger contacts, but crew can act as a
reservoir of infection from cruise to cruise. This is the first quantification of
the relative roles of environmental and direct transmission of norovirus. While
environmental transmission has the potential to maintain a sustained series of
outbreaks aboard a cruise ship in the absence of strict sanitation practices,
direct transmission dominates. We find that intensive promotion of good hand
washing practices may prevent outbreaks. Isolation of ill passengers and cleaning
are beneficial, but appear to be less efficacious at outbreak control.
PMID- 29657743
TI - Rapid recalibration of speech perception after experiencing the McGurk illusion.
AB - The human brain can quickly adapt to changes in the environment. One example is
phonetic recalibration: a speech sound is interpreted differently depending on
the visual speech and this interpretation persists in the absence of visual
information. Here, we examined the mechanisms of phonetic recalibration.
Participants categorized the auditory syllables /aba/ and /ada/, which were
sometimes preceded by the so-called McGurk stimuli (in which an /aba/ sound, due
to visual /aga/ input, is often perceived as 'ada'). We found that only one trial
of exposure to the McGurk illusion was sufficient to induce a recalibration
effect, i.e. an auditory /aba/ stimulus was subsequently more often perceived as
'ada'. Furthermore, phonetic recalibration took place only when auditory and
visual inputs were integrated to 'ada' (McGurk illusion). Moreover, this
recalibration depended on the sensory similarity between the preceding and
current auditory stimulus. Finally, signal detection theoretical analysis showed
that McGurk-induced phonetic recalibration resulted in both a criterion shift
towards /ada/ and a reduced sensitivity to distinguish between /aba/ and /ada/
sounds. The current study shows that phonetic recalibration is dependent on the
perceptual integration of audiovisual information and leads to a perceptual shift
in phoneme categorization.
PMID- 29657744
TI - Studying the association complex formation of atomoxetine and fluvoxamine with
eosin Y and its application in their fluorimetric determination.
AB - A simple, sensitive and non-extractive spectrofluorimetric method has been
developed and validated for the determination of two psychoanaleptic drugs,
atomoxetine and fluvoxamine, in pure forms and pharmaceutical dosage forms. The
proposed method is based on the formation of binary complexes between eosin Y and
the studied drugs in the presence of a Teorell-Stenhagen buffer. The quenching of
the native fluorescence of eosin Y due to complex formation with the studied
drugs was measured spectrofluorimetrically at 545 nm after excitation at 302 nm.
At the optimum reaction conditions, the fluorescence quenching values (DeltaF)
and concentrations were rectilinear over the concentration ranges of 0.2-2.2 and
0.3-2.2 ug ml-1 for atomoxetine and fluvoxamine, respectively. The developed
method was successfully applied for the determination of the studied drugs in
their pharmaceutical formulations with average percentage recoveries of 100.13 +/
0.66 and 99.69 +/- 0.44 for atomoxetine and fluvoxamine, respectively (n = 5),
without interference from common excipients.
PMID- 29657745
TI - Optimal random search using limited spatial memory.
AB - Levy walks are known to be efficient movements because Levy walkers search wide
areas while restricting returns to previously visited sites. A self-avoiding walk
(SAW) is a series of moves on a lattice that visit the same place only once. As
such, SAWs can also be effective search algorithms. However, it is not realistic
that foragers memorize many visited positions for a long time. In this work, we
investigated whether foragers performed optimal searches when having limited
memory. The agent in our model followed SAWs to some extent by memorizing and
avoiding visited places. However, the agent lost its memory after a while. In
that situation, the agent changed its reactions to visited patches by considering
global trail patterns based on local memorized information. As a result, we
succeeded in making the agent occasionally produce ballistic walks related to
power-law tailed movements across some ranges.
PMID- 29657746
TI - A framework for estimating the determinants of spatial and temporal variation in
vital rates and inferring the occurrence of unobserved extreme events.
AB - We develop a general framework that combines long-term tag-recapture data and
powerful statistical and modelling techniques to investigate how population,
environmental and climate factors determine variation in vital rates and
population dynamics in an animal species, using as a case study the population of
brown trout living in Upper Volaja (Western Slovenia). This population has been
monitored since 2004. Upper Volaja is a sink, receiving individuals from a source
population living above a waterfall. We estimate the numerical contribution of
the source population on the sink population and test the effects of temperature,
population density and extreme events on variation in vital rates among 2647
individually tagged brown trout. We found that individuals dispersing downstream
from the source population help maintain high population densities in the sink
population despite poor recruitment. The best model of survival for individuals
older than juveniles includes additive effects of birth cohort and sampling
occasion. Fast growth of older cohorts and higher population densities in 2004
2005 suggest very low population densities in the late 1990s, which we
hypothesize were caused by a flash flood that strongly reduced population size
and created the habitat conditions for faster individual growth and transient
higher population densities after the extreme event.
PMID- 29657747
TI - Norm compliance affects perceptual decisions through modulation of a starting
point bias.
AB - Adaptive decisions in social contexts depend on both perceptual information and
social expectations or norms. These are potentially in conflict when certain
choices are beneficial for an individual, but societal rules mandate a different
course of action. To resolve such a conflict, the reliability of information has
to be balanced against potentially deleterious effects of non-compliance such as
ostracism. In this study, we systematically investigated how interactions between
perceptual and social influences affect decision-relevant cognitive processes. In
a direction-of-motion discrimination task, participants received perceptual
information alongside information on other players' choices. In addition, we
created conflict scenarios where players' choices affected other participants'
monetary rewards dependent on whether their choices were in line or against the
opinion of the other players. Importantly, we altered the strength of this
manipulation in two separate experiments by contrasting motivations of either
preventing harm or providing a benefit to others. Behavioural analyses and
computational models of perceptual decisions showed that participants
successfully integrated perceptual with social information. Participants'
reliance on social information was effectively modulated in conflict situations.
Critically, these effects were augmented when the strength of social norms was
increased, indexing conditions under which social norms effectively influence
decisions. These results inform theories of social influence by providing an
account of how higher order goals like social norm compliance affect perceptual
decisions.
PMID- 29657748
TI - A study of laser surface treatment in bonded repair of composite aircraft
structures.
AB - Surface pre-treatment is one of the key processes in bonded repair of aircraft
carbon fibre reinforced polymer composites. This paper investigates the surface
modification of physical and chemical properties by laser ablation and
conventional polish treatment techniques. Surface morphology analysed by laser
scanning confocal microscopy and scanning electron microscopy showed that a laser
treated surface displayed higher roughness than that of a polish-treated
specimen. The laser-treated laminate exhibited more functional groups in the form
of O 1 s/C 1 s atomic ratio of 30.89% for laser-treated and 20.14% for polish
treated as evidenced by X-ray photoelectron spectroscopy observation. Contact
angle goniometry demonstrated that laser treatment can provide increased surface
free energy and wettability. In the light of mechanical interlocking, molecular
bonding and thermodynamics theories on adhesion, laser etching process displayed
enhanced bonding performance relative to the polishing surface treatment. These
properties resulted in an increased single lap shear strength and a cohesive
failure mode for laser etching while an adhesive failure mode occurred in polish
treated specimen.
PMID- 29657749
TI - Aerodynamic efficiency of a bioinspired flapping wing rotor at low Reynolds
number.
AB - This study investigates the aerodynamic efficiency of a bioinspired flapping wing
rotor kinematics which combines an active vertical flapping motion and a passive
horizontal rotation induced by aerodynamic thrust. The aerodynamic efficiencies
for producing both vertical lift and horizontal thrust of the wing are obtained
using a quasi-steady aerodynamic model and two-dimensional (2D) CFD analysis at
Reynolds number of 2500. The calculated efficiency data show that both
efficiencies (propulsive efficiency-etap, and efficiency for producing lift-Pf )
of the wing are optimized at Strouhal number (St) between 0.1 and 0.5 for a range
of wing pitch angles (upstroke angle of attack alphau less than 45 degrees ); the
St for high Pf (St = 0.1 ~ 0.3) is generally lower than for high etap (St = 0.2 ~
0.5), while the St for equilibrium rotation states lies between the two. Further
systematic calculations show that the natural equilibrium of the passive rotating
wing automatically converges to high-efficiency states: above 85% of maximum Pf
can be obtained for a wide range of prescribed wing kinematics. This study
provides insight into the aerodynamic efficiency of biological flyers in cruising
flight, as well as practical applications for micro air vehicle design.
PMID- 29657750
TI - Anticipation from sensation: using anticipating synchronization to stabilize a
system with inherent sensory delay.
AB - We present a novel way of using a dynamical model for predictive tracking control
that can adapt to a wide range of delays without parameter update. This is
achieved by incorporating the paradigm of anticipating synchronization (AS),
where a 'slave' system predicts a 'master' via delayed self-feedback. By treating
the delayed output of the plant as one half of a 'sensory' AS coupling, the plant
and an internal dynamical model can be synchronized such that the plant
consistently leads the target's motion. We use two simulated robotic systems with
differing arrangements of the plant and internal model ('parallel' and 'serial')
to demonstrate that this form of control adapts to a wide range of delays without
requiring the parameters of the controller to be changed.
PMID- 29657751
TI - Enhancing multi-spot structured illumination microscopy with fluorescence
difference.
AB - Structured illumination microscopy is a super-resolution technique used
extensively in biological research. However, this technique is limited in the
maximum possible resolution increase. Here we report the results of simulations
of a novel enhanced multi-spot structured illumination technique. This method
combines the super-resolution technique of difference microscopy with structured
illumination deconvolution. Initial results give at minimum a 1.4-fold increase
in resolution over conventional structured illumination in a low-noise
environment. This new technique also has the potential to be expanded to further
enhance axial resolution with three-dimensional difference microscopy. The
requirement for precise pattern determination in this technique also led to the
development of a new pattern estimation algorithm which proved more efficient and
reliable than other methods tested.
PMID- 29657752
TI - Graphene foam as a biocompatible scaffold for culturing human neurons.
AB - In this study, we explore the use of electrically active graphene foam as a
scaffold for the culture of human-derived neurons. Human embryonic stem cell
(hESC)-derived cortical neurons fated as either glutamatergic or GABAergic
neuronal phenotypes were cultured on graphene foam. We show that graphene foam is
biocompatible for the culture of human neurons, capable of supporting cell
viability and differentiation of hESC-derived cortical neurons. Based on the
findings, we propose that graphene foam represents a suitable scaffold for
engineering neuronal tissue and warrants further investigation as a model for
understanding neuronal maturation, function and circuit formation.
PMID- 29657754
TI - The effect of ethylene glycol on pore arrangement of anodic aluminium oxide
prepared by hard anodization.
AB - The influence of the addition of ethylene glycol (EG) on the pore self-ordering
process in anodic aluminium oxide (AAO) membranes prepared by hard anodization
(HA) was investigated. It was illustrated that EG has a substantial effect on the
pore arrangement of AAO, and it was found that a smaller pore size can be
obtained with an EG concentration reaching 20 wt% in aqueous electrolyte. The
number of estimated defects of AAO increases significantly with an increase in EG
concentration to 50 wt%. Excellent ordering of pores was realized when the
samples were anodized in the 30 wt%-EG-containing aqueous electrolyte.
PMID- 29657753
TI - Comparing spatial diversification and meta-population models in the Indo
Australian Archipelago.
AB - Reconstructing the processes that have shaped the emergence of biodiversity
gradients is critical to understand the dynamics of diversification of life on
Earth. Islands have traditionally been used as model systems to unravel the
processes shaping biological diversity. MacArthur and Wilson's island
biogeographic model predicts diversity to be based on dynamic interactions
between colonization and extinction rates, while treating islands themselves as
geologically static entities. The current spatial configuration of islands should
influence meta-population dynamics, but long-term geological changes within
archipelagos are also expected to have shaped island biodiversity, in part by
driving diversification. Here, we compare two mechanistic models providing
inferences on species richness at a biogeographic scale: a mechanistic spatial
temporal model of species diversification and a spatial meta-population model.
While the meta-population model operates over a static landscape, the
diversification model is driven by changes in the size and spatial configuration
of islands through time. We compare the inferences of both models to floristic
diversity patterns among land patches of the Indo-Australian Archipelago.
Simulation results from the diversification model better matched observed
diversity than a meta-population model constrained only by the contemporary
landscape. The diversification model suggests that the dynamic re-positioning of
islands promoting land disconnection and reconnection induced an accumulation of
particularly high species diversity on Borneo, which is central within the island
network. By contrast, the meta-population model predicts a higher diversity on
the mainlands, which is less compatible with empirical data. Our analyses
highlight that, by comparing models with contrasting assumptions, we can pinpoint
the processes that are most compatible with extant biodiversity patterns.
PMID- 29657755
TI - Landslides and dam damage resulting from the Jiuzhaigou earthquake (8 August
2017), Sichuan, China.
AB - At 21.19 on 8 August 2017, an Ms 7.0 earthquake struck the Jiuzhaigou scenic spot
in northwestern Sichuan Province, China. The Jiuzhaigou earthquake is a strike
slip earthquake with a focal depth of 20 km at 33.20 degrees N and 103.82
degrees E, and was caused by two concealed faults. According to emergency
investigations and remote sensing interpretations, the Jiuzhaigou earthquake
triggered 1780 landslides, damaged one dam (Nuorilang Waterfall) and broke one
dam (Huohua Lake). The landslides mainly occurred in the Rize Valley and Shuzheng
Valley and in Jiuzhai Paradise. The landslides involved hanging wall and back
slope effects, and the slope angle, slope aspect, seismic faults and valley trend
were obviously related to the occurrence of the landslides. Specifically, most of
the landslides were shallow landslides, rockfalls and rock avalanches and were
small in scale. The failure modes of landslides mainly include wedge rock mass
failure, residual deposit failure, relaxed rock mass failure and weathered rock
mass failure. The initial low stability of the dam coupled with the topographic
effect, back-slope effect and excess pore water pressure led to damage to the
Nuorilang Waterfall dam.
PMID- 29657756
TI - Synthesis of novel and stable g-C3N4-Bi2WO6 hybrid nanocomposites and their
enhanced photocatalytic activity under visible light irradiation.
AB - Graphitic carbon nitride (g-C3N4) nanosheets with a thickness of only a few
nanometres were obtained by a facile deammoniation treatment of bulk g-C3N4 and
were further hybridized with Bi2WO6 nanoparticles on the surface via a
solvothermal method. The composite photocatalysts were characterized by powder X
ray diffraction, scanning electron microscopy, transmission electron microscopy,
UV-vis diffuse reflection spectroscopy and X-ray photoelectron spectroscopy
(XPS). The HR-TEM results show that the nano-sized Bi2WO6 particles were finely
distributed on g-C3N4 sheet surface, which forms heterojunction structure. The UV
vis diffuse reflectance spectra (DRS) show that the absorption edge of composite
photocatalysts shifts towards lower energy region in comparison with those of
pure g-C3N4 and Bi2WO6. The degradation of methyl orange (MO) tests reveals that
the optimum activity of 8 : 2 g-C3N4-Bi2WO6 photocatalyst is almost 2.7 and 8.5
times higher than those of individual g-C3N4 and Bi2WO6. Moreover, the recycle
experiments depict high stability of the composite photocatalysts. Through the
study of the influencing factors, a possible photocatalytic mechanism is
proposed. The enhancement in both photocatalytic performance and stability was
caused by the synergistic effect, including the effective separation of the
photogenerated electron-hole pairs at the interface of g-C3N4 and Bi2WO6, the
smaller the particle size and the relatively larger specific surface area of the
composite photocatalyst.
PMID- 29657757
TI - Investigation on preferably oriented abnormal growth of CdSe nanorods along
(0002) plane synthesized by henna leaf extract-mediated green synthesis.
AB - The theme of this work is to highlight the significance of green plant extracts
in the synthesis of nanostructures. In asserting this statement, herein, we
report our obtained results on the synthesis of hexagonal CdSe nanorods
preferably oriented along (0002) plane through henna leaf extract-mediated
reaction along with a discussion about the structural, morphological and optical
properties of the synthesized nanorods. The possible mechanism for the synthesis
of CdSe nanorods was explored. The formation of nanorods along (0002) plane was
confirmed by the relatively high intensity of the (0002) peak in X-ray
diffraction pattern. To account for the experimentally realistic condition, we
have calculated the surface energies of hexagonal CdSe surface slabs along the
low indexed (0002), [Formula: see text] and [Formula: see text] plane surfaces
using density functional theory approach and the calculated surface energy value
for (0002) surface is 802.7 mJ m-2, which is higher than [Formula: see text] and
[Formula: see text] surfaces. On realizing the calculated surface energies of
these slabs, we determined that the combination of [Formula: see text] and
[Formula: see text] planes with lower surface energies will lead to the formation
of CdSe nanorods growth along (0002) orientation. Finally, we argue that the
design of new greener route for the synthesis of novel functional nanomaterials
is highly desired.
PMID- 29657758
TI - Temporal and spatial changes in wall shear stress during atherosclerotic plaque
progression in mice.
AB - Wall shear stress (WSS) is involved in atherosclerotic plaque initiation, yet its
role in plaque progression remains unclear. We aimed to study (i) the temporal
and spatial changes in WSS over a growing plaque and (ii) the correlation between
WSS and plaque composition, using animal-specific data in an atherosclerotic
mouse model. Tapered casts were placed around the right common carotid arteries
(RCCA) of ApoE-/- mice. At 5, 7 and 9 weeks after cast placement, RCCA geometry
was reconstructed using contrast-enhanced micro-CT. Lumen narrowing was observed
in all mice, indicating the progression of a lumen intruding plaque. Next, we
determined the flow rate in the RCCA of each mouse using Doppler Ultrasound and
computed WSS at all time points. Over time, as the plaque developed and further
intruded into the lumen, absolute WSS significantly decreased. Finally at week 9,
plaque composition was histologically characterized. The proximal part of the
plaque was small and eccentric, exposed to relatively lower WSS. Close to the
cast a larger and concentric plaque was present, exposed to relatively higher
WSS. Lower WSS was significantly correlated to the accumulation of macrophages in
the eccentric plaque. When pooling data of all animals, correlation between WSS
and plaque composition was weak and no longer statistically significant. In
conclusion, our data showed that in our mouse model absolute WSS strikingly
decreased during disease progression, which was significantly correlated to
plaque area and macrophage content. Besides, our study demonstrates the necessity
to analyse individual animals and plaques when studying correlations between WSS
and plaque composition.
PMID- 29657759
TI - Colorimetric detection of hydrogen peroxide by dioxido-vanadium(V) complex
containing hydrazone ligand: synthesis and crystal structure.
AB - Dioxido-vanadium(V) complex has been synthesized in good yield, the complex was
characterized by IR, UV-visible and 1H NMR spectroscopy. Single crystal X-ray
crystallography techniques were used to assign the structure of the complex.
Complex crystallized with monoclinic P21/c space group with cell parameters a (A)
= 39.516(5), b (A) = 6.2571(11), c (A) = 17.424(2), alpha ( degrees ) = 90, beta
( degrees ) = 102.668(12) and gamma ( degrees ) = 90. The hydrazone ligand is
coordinate to metal ion in tridentate fashion through -ONO- donor atoms forming a
distorted square pyramidal geometry around the metal ion.
PMID- 29657760
TI - Pseudo-enantiomeric chiral components and formation of the helical micro- and
nanostructures in charge-transfer complexes.
AB - Helical organic micro- and nanostructures are formed by a charge-transfer
complex, cinchonidine-TCNQ. These unusual forms result from the chirality, the
steric structure and specific interactions of cinchonidine molecules. These
materials are semiconductors (10-4 S cm-1), with the typical absorption spectra
in IR and UV-vis, but also have a characteristic of CD spectrum. Surprisingly,
conductive micro and nano helices are not formed in pseudo-enantiomeric
cinchonine, i.e. the complex of cinchonine and TCNQ.
PMID- 29657761
TI - A Bayesian phylogenetic study of the Dravidian language family.
AB - The Dravidian language family consists of about 80 varieties (Hammarstrom H. 2016
Glottolog 2.7) spoken by 220 million people across southern and central India and
surrounding countries (Steever SB. 1998 In The Dravidian languages (ed. SB
Steever), pp. 1-39: 1). Neither the geographical origin of the Dravidian language
homeland nor its exact dispersal through time are known. The history of these
languages is crucial for understanding prehistory in Eurasia, because despite
their current restricted range, these languages played a significant role in
influencing other language groups including Indo-Aryan (Indo-European) and Munda
(Austroasiatic) speakers. Here, we report the results of a Bayesian phylogenetic
analysis of cognate-coded lexical data, elicited first hand from native speakers,
to investigate the subgrouping of the Dravidian language family, and provide
dates for the major points of diversification. Our results indicate that the
Dravidian language family is approximately 4500 years old, a finding that
corresponds well with earlier linguistic and archaeological studies. The main
branches of the Dravidian language family (North, Central, South I, South II) are
recovered, although the placement of languages within these main branches
diverges from previous classifications. We find considerable uncertainty with
regard to the relationships between the main branches.
PMID- 29657762
TI - Bayesian model evidence as a practical alternative to deviance information
criterion.
AB - While model evidence is considered by Bayesian statisticians as a gold standard
for model selection (the ratio in model evidence between two models giving the
Bayes factor), its calculation is often viewed as too computationally demanding
for many applications. By contrast, the widely used deviance information
criterion (DIC), a different measure that balances model accuracy against
complexity, is commonly considered a much faster alternative. However, recent
advances in computational tools for efficient multi-temperature Markov chain
Monte Carlo algorithms, such as steppingstone sampling (SS) and thermodynamic
integration schemes, enable efficient calculation of the Bayesian model evidence.
This paper compares both the capability (i.e. ability to select the true model)
and speed (i.e. CPU time to achieve a given accuracy) of DIC with model evidence
calculated using SS. Three important model classes are considered: linear
regression models, mixed models and compartmental models widely used in
epidemiology. While DIC was found to correctly identify the true model when
applied to linear regression models, it led to incorrect model choice in the
other two cases. On the other hand, model evidence led to correct model choice in
all cases considered. Importantly, and perhaps surprisingly, DIC and model
evidence were found to run at similar computational speeds, a result reinforced
by analytically derived expressions.
PMID- 29657763
TI - Synthesis and characterization of dextran-coated iron oxide nanoparticles.
AB - Synthesis and characterization of iron oxide nanoparticles coated with a large
molar weight dextran for environmental applications are reported. The first
experiments involved the synthesis of iron oxide nanoparticles which were coated
with dextran at different concentrations. The synthesis was performed by a co
precipitation technique, while the coating of iron oxide nanoparticles was
carried out in solution. The obtained nanoparticles were characterized by using
scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray
diffraction spectrometry, Fourier transform infrared spectroscopy and
superconducting quantum interference device magnetometry. The results
demonstrated a successful coating of iron oxide nanoparticles with large molar
weight dextran, of which agglomeration tendency depended on the amount of dextran
in the coating solution. SEM and TEM observations have shown that the iron oxide
nanoparticles are of about 7 nm in size.
PMID- 29657764
TI - Effects of elevated carbon dioxide on male and female behavioural lateralization
in a temperate goby.
AB - Behavioural abnormality in fishes has been proposed as a significant consequence
of the increasing levels of carbon dioxide occurring in the oceans. Negative
effects of elevated CO2 have been reported for behaviours such as predator-prey
interactions, foraging, hearing and behavioural lateralization. Importantly, the
effects vary greatly both within and between species, and some recent studies
have shown minimal effects of CO2 on behaviour. Whether the effect of CO2 also
varies between males and females is, however, virtually unexplored. According to
resource allocation theory, females are expected to be more sensitive to elevated
CO2, meaning that non-sex-specific studies may overlook ecologically important
differences between the sexes. In this study, we investigated the possible
differences between males and females in their response to elevated CO2 by
performing behavioural lateralization tests in adult temperate two-spotted
gobies, Gobiusculus flavescens. We found that the strength of the side bias
(absolute lateralization) was unaffected by the CO2 treatment, and there was no
difference between males and females. The control fish were slightly right-biased
in their behavioural asymmetry (mean relative lateralization of 14). Exposure to
high CO2 affected this pattern, such that treated fish were slightly left-biased
(mean relative lateralization of -10), regardless of their sex. The same results
were obtained yet again when the study was repeated during a second year. We
discuss our results in light of the great variation in lateralization that has
been reported to depend on variables such as species, ecological settings and
environmental factors.
PMID- 29657765
TI - Raptor migration in an oceanic flyway: wind and geography shape the migratory
route of grey-faced buzzards in East Asia.
AB - Flapping flight is relatively costly for soaring birds such as raptors. To avoid
costly flight, migrating raptors generally avoid flying over water. As a result,
all but one of the global raptor migration flyways are largely over land. The
East Asian oceanic flyway for raptors is the exception. Raptor species using this
flyway migrate by island-hopping, flying over open ocean for distances of up to
300 km between islands. We used satellite telemetry data for grey-faced buzzards
Butastur indicus, a species that dominates the southern part of the flyway, to
investigate the geographical and atmospheric factors responsible for the
suitability of this flyway for raptor migration. Using a combination of least
cost path analysis and a step selection function, we found that the occurrence of
numerous islands and also suitable wind support along the oceanic flyway are
responsible for route selection in grey-faced buzzards. These results confirm the
role of islands, but also wind, in shaping the East Asian oceanic flyway of long
distance raptor migration.
PMID- 29657766
TI - Mechanical characteristics of beta sheet-forming peptide hydrogels are dependent
on peptide sequence, concentration and buffer composition.
AB - Self-assembling peptide hydrogels can be modified regarding their
biodegradability, their chemical and mechanical properties and their
nanofibrillar structure. Thus, self-assembling peptide hydrogels might be
suitable scaffolds for regenerative therapies and tissue engineering. Owing to
the use of various peptide concentrations and buffer compositions, the self
assembling peptide hydrogels might be influenced regarding their mechanical
characteristics. Therefore, the mechanical properties and stability of a set of
self-assembling peptide hydrogels, consisting of 11 amino acids, made from four
beta sheet self-assembling peptides in various peptide concentrations and buffer
compositions were studied. The formed self-assembling peptide hydrogels exhibited
stiffnesses ranging from 0.6 to 205 kPa. The hydrogel stiffness was mostly
affected by peptide sequence followed by peptide concentration and buffer
composition. All self-assembling peptide hydrogels examined provided a
nanofibrillar network formation. A maximum self-assembling peptide hydrogel
dissolution of 20% was observed for different buffer solutions after 7 days. The
stability regarding enzymatic and bacterial digestion showed less degradation in
comparison to the self-assembling peptide hydrogel dissolution rate in buffer.
The tested set of self-assembling peptide hydrogels were able to form stable
scaffolds and provided a broad spectrum of tissue-specific stiffnesses that are
suitable for a regenerative therapy.
PMID- 29657767
TI - Graph analysis of cell clusters forming vascular networks.
AB - This manuscript describes the experimental observation of vasculogenesis in chick
embryos by means of network analysis. The formation of the vascular network was
observed in the area opaca of embryos from 40 to 55 h of development. In the area
opaca endothelial cell clusters self-organize as a primitive and approximately
regular network of capillaries. The process was observed by bright-field
microscopy in control embryos and in embryos treated with Bevacizumab
(Avastin(r)), an antibody that inhibits the signalling of the vascular
endothelial growth factor (VEGF). The sequence of images of the vascular growth
were thresholded, and used to quantify the forming network in control and Avastin
treated embryos. This characterization is made by measuring vessels density,
number of cell clusters and the largest cluster density. From the original
images, the topology of the vascular network was extracted and characterized by
means of the usual network metrics such as: the degree distribution, average
clustering coefficient, average short path length and assortativity, among
others. This analysis allows to monitor how the largest connected cluster of the
vascular network evolves in time and provides with quantitative evidence of the
disruptive effects that Avastin has on the tree structure of vascular networks.
PMID- 29657768
TI - Water-mediated green synthesis of PbS quantum dot and its glutathione and biotin
conjugates for non-invasive live cell imaging.
AB - This study addresses the cellular uptake of nanomaterials in the field of bio
applications. In the present study, we have synthesized water-soluble lead
sulfide quantum dot (PbS QD) with glutathione and 3-MPA (mercaptopropionic acid)
as the stabilizing ligand using a green approach. 3-MPA-capped QDs were further
modified with streptavidin and then bound to biotin because of its high
conjugation efficiency. Labelling and bio-imaging of cells with these bio
conjugated QDs were evaluated. The bright red fluorescence from these types of
QDs in HeLa cells makes these materials suitable for deep tissue imaging.
PMID- 29657769
TI - Simplified models of the symmetric single-pass parallel-plate counterflow heat
exchanger: a tutorial.
AB - The heat exchanger is important in practical thermal processes, especially those
of (i) the molten-salt storage schemes, (ii) compressed air energy storage
schemes and (iii) other load-shifting thermal storage presumed to undergird a
Smart Grid. Such devices, although central to the utilization of energy from
sustainable (but intermittent) renewable sources, will be unfamiliar to many
scientists, who nevertheless need a working knowledge of them. This tutorial
paper provides a largely self-contained conceptual introduction for such persons.
It begins by modelling a novel quantized exchanger, impractical as a device, but
useful for comprehending the underlying thermophysics. It then reviews the one
dimensional steady-state idealization which demonstrates that effectiveness of
heat transfer increases monotonically with (device length)/(device throughput).
Next, it presents a two-dimensional steady-state idealization for plug flow and
from it derives a novel formula for effectiveness of transfer; this formula is
then shown to agree well with a finite-difference time-domain solution of the two
dimensional idealization under Hagen-Poiseuille flow. These results are
consistent with a conclusion that effectiveness of heat exchange can approach
unity, but may involve unwelcome trade-offs among device cost, size and
throughput.
PMID- 29657770
TI - Structural characterization of alkali-soluble polysaccharides from Panax ginseng
C. A. Meyer.
AB - Panax ginseng C. A. Meyer (ginseng) has been widely used as a herb and functional
food in the world. Polysaccharides are the main active components of ginseng. In
this paper, the polysaccharides were sequentially extracted by 50 mM Na2CO3, 1 M
KOH and 4 M KOH from ginseng roots treated sequentially with hot water, alpha
amylase and ethylenediaminetetraacetic acid extraction. Na2CO3-soluble ginseng
polysaccharide (NGP) was fractionated into one neutral and three acidic fractions
by anion exchange and gel permeation chromatography. Fourier transform infrared,
NMR and methylation analysis indicated acidic fractions in NGP were highly
branched rhamnogalacturonan-I domains, with -> 4)-alpha-GalpA-(1 -> 2)-alpha
Rhap-(1 -> disaccharide repeating units as backbone and beta-1,4-galactan, alpha
1,5/1,3,5-arabinan and type II arabinogalactan as side chains. 1-KGP (1 M KOH
soluble ginseng polysaccharide) and 4-KGP (4 M KOH-soluble ginseng
polysaccharide) were mainly composed of hemicellulose besides starch-like
polysaccharides and minor pectin. Antibody detection, enzymic hydrolysis, high
performance anion exchange chromatography and methylation analysis demonstrated
xylan was the major component in 1-KGP, while xyloglucan was predominant in 4
KGP. Comparing the polysaccharides obtained by different solvent extractions, we
have a comprehensive understanding about total ginseng polysaccharides.
PMID- 29657771
TI - Taphonomy of Isisfordia duncani specimens from the Lower Cretaceous (upper
Albian) portion of the Winton Formation, Isisford, central-west Queensland.
AB - Taphonomic analysis of fossil material can benefit from including the results of
actualistic decay experiments. This is crucial in determining the autochthony or
allochthony of fossils of juvenile and adult Isisfordia duncani, a basal
eusuchian from the Lower Cretaceous (upper Albian) distal-fluvial-deltaic lower
Winton Formation near Isisford. The taphonomic characteristics of the I. duncani
fossils were documented using a combination of traditional taphonomic analysis
alongside already published actualistic decay data from juvenile Crocodylus
porosus carcasses. We found that the I. duncani holotype, paratypes and referred
specimens show little signs of weathering and no signs of abrasion.
Disarticulated skeletal elements are often found in close proximity to the rest
of the otherwise articulated skeleton. The isolated and disarticulated skeletal
elements identified, commonly cranial, maxillary and mandibular elements, are
typical of lag deposits. The holotype QM F36211 and paratype QM F34642 were
classified as autochthonous, and the remaining I. duncani paratypes and referred
specimens are parautochthonous. We propose that I. duncani inhabited upper and
lower delta plains near the Eromanga Sea in life. Their carcasses were buried in
sediment-laden floodwaters in delta plain overbank and distributary channel
deposits. Future studies should refer to I. duncani as a brackish water tolerant
species.
PMID- 29657772
TI - pH-responsive polymeric micelles self-assembled from amphiphilic copolymer
modified with lipid used as doxorubicin delivery carriers.
AB - In the present study, a novel pH-responsive amphiphilic copolymer, 1,2-distearoyl
sn-glycero-3-phosphoethanolamine-N-[methoxy(polyethylene glycol)] conjugated
poly(beta-amino esters) (DSPE-b-PEG-b-PAE-b-PEG-b-DSPE), was designed and
successfully synthesized via Michael-type step polymerization. The chemical
structure of the pentablock copolymer was confirmed with proton nuclear magnetic
resonance (1H-NMR) and Fourier transform infrared (FT-IR) spectroscopy. The
copolymer was able to self-assemble into core/shell polymeric micelles in aqueous
solution at low concentrations, and its critical micelle concentration (CMC)
value was 4.5 mg l-1 determined by fluorescence spectrophotometry. The pKb value
of the copolymer was about 6.5, confirmed by acid-base titration, indicating the
pH-sensitivity of the polymeric micelle. The hydrodynamic diameter, distribution
and zeta potential of the polymeric micelles at different pH conditions were
monitored by dynamic light scattering (DLS). Doxorubicin (DOX) was encapsulated
into the core of the micelles with a high drug loading content (15.9%) and
entrapment efficacy (60.4%). In vitro experiments demonstrated that the release
behaviour of DOX from the DOX-loaded polymeric micelles (DOX-PMs) was pH
triggered. When the pH decreased from 7.4 to 5.0, the drug release rate was
markedly accelerated. MTT assay showed that the copolymer had negligible
cytotoxicity whereas the DOX-PMs displayed high toxicity for tumour cells such as
B16F10, HepG2 and HeLa cell lines. The results demonstrated that these pH
sensitive polymeric micelles could be used as potential anti-cancer drug carriers
for cancer chemotherapy with controlled release.
PMID- 29657773
TI - Preparation, characterization and luminescence properties of core-shell ternary
terbium composites SiO2(600)@Tb(MABA-Si)*L.
AB - Two novel core-shell structure ternary terbium composites SiO2(600)@Tb(MABA
Si).L(L:dipy/phen) nanometre luminescence materials were prepared by ternary
terbium complexes Tb(MABA-Si).L2.(ClO4)3.2H2O shell grafted onto the surface of
SiO2 microspheres. And corresponding ternary terbium complexes were synthesized
using (CONH(CH2)3Si(OCH2CH3)3)2 (denoted as MABA-Si) as first ligand and L as
second ligand coordinated with terbium perchlorate. The as-synthesized products
were characterized by means of IR spectra, 1HNMR, element analysis, molar
conductivity, SEM and TEM. It was found that the first ligand MABA-Si of terbium
ternary complex hydrolysed to generate the Si-OH and the Si-OH condensate with
the Si-OH on the surface of SiO2 microspheres; then ligand MABA-Si grafted onto
the surface of SiO2 microspheres. The diameter of SiO2 core of SiO2(600)@Tb(MABA
Si).L was approximately 600 nm. Interestingly, the luminescence properties
demonstrate that the two core-shell structure ternary terbium composites
SiO2(600)Tb(MABA-Si).L(dipy/phen) exhibit strong emission intensities, which are
2.49 and 3.35 times higher than that of the corresponding complexes Tb(MABA
Si).L2.(ClO4)3.2H2O, respectively. Luminescence decay curves show that core-shell
structure ternary terbium composites have longer lifetime. Excellent luminescence
properties enable the core-shell materials to have potential applications in
medicine, industry, luminescent fibres and various biomaterials fields.
PMID- 29657774
TI - A mathematical model of marine bacteriophage evolution.
AB - To explore how particularities of a host cell-virus system, and in particular
host cell replication, affect viral evolution, in this paper we formulate a
mathematical model of marine bacteriophage evolution. The intrinsic simplicity of
real-life phage-bacteria systems, and in particular aquatic systems, for which
the assumption of homogeneous mixing is well justified, allows for a reasonably
simple model. The model constructed in this paper is based upon the Beretta-Kuang
model of bacteria-phage interaction in an aquatic environment (Beretta & Kuang
1998 Math. Biosci.149, 57-76. (doi:10.1016/S0025-5564(97)10015-3)). Compared to
the original Beretta-Kuang model, the model assumes the existence of a multitude
of viral variants which correspond to continuously distributed phenotypes. It is
noteworthy that the model is mechanistic (at least as far as the Beretta-Kuang
model is mechanistic). Moreover, this model does not include any explicit law or
mechanism of evolution; instead it is assumed, in agreement with the principles
of Darwinian evolution, that evolution in this system can occur as a result of
random mutations and natural selection. Simulations with a simplistic linear
fitness landscape (which is chosen for the convenience of demonstration only and
is not related to any real-life system) show that a pulse-type travelling wave
moving towards increasing Darwinian fitness appears in the phenotype space. This
implies that the overall fitness of a viral quasi-species steadily increases with
time. That is, the simulations demonstrate that for an uneven fitness landscape
random mutations combined with a mechanism of natural selection (for this
particular system this is given by the conspecific competition for the resource)
lead to the Darwinian evolution. It is noteworthy that in this system the speed
of propagation of this wave (and hence the rate of evolution) is not constant but
varies, depending on the current viral fitness and the abundance of susceptible
bacteria. A specific feature of the original Beretta-Kuang model is that this
model exhibits a supercritical Hopf bifurcation, leading to the loss of stability
and the rise of self-sustained oscillations in the system. This phenomenon
corresponds to the paradox of enrichment in the system. It is remarkable that
under the conditions that ensure the bifurcation in the Beretta-Kuang model, the
viral evolution model formulated in this paper also exhibits a rise in self
sustained oscillations of the abundance of all interacting populations. The
propagation of the travelling wave, however, remains stable under these
conditions. The only visible impact of the oscillations on viral evolution is a
lower speed of the evolution.
PMID- 29657775
TI - Nanostructured polyurethane perylene bisimide ester assemblies with tuneable
morphology and enhanced stability.
AB - Size control has been successfully achieved in inorganic materials, but it
remains a challenge in polymer nanomaterials due to their polydispersity. Here,
we report a facile approach to tailor the diameters of polyurethane (PU)
nanoparticles (490 nm, 820 nm and 2.1 um) via perylene bisimide (PBI) assisted
self-assembly. The formed morphologies such as spindle, spherical and core-shell
structures depend on the ratio of PBI and polymer concentrations. It is shown
that the formation of PU nanoparticles is directed by pi-pi stacking of PBI and
the morphology transition is not only affected by the amount of PBI incorporated,
but also influenced by solvent, which controls the initial evaporation balance.
Furthermore, the prepared PUs exhibit retained optical stability and enhanced
thermal stability. The PUs, designed to have conjugated PBI segments in
backbones, were synthesized via ring-opening and condensation reactions. Compared
with the neat PU, gel permeation chromatography shows narrower molecular weight
distribution. Fluorescence spectra and ultraviolet-visible spectra indicate
retained maximum emission wavelength of PBI at 574 nm and 5.2% quantum yields.
Thermo-gravimetric analysis and differential scanning calorimetry reveal 79
degrees C higher decomposition temperature and 22 degrees C higher glass
transition temperature. This study provides a new way to fabricate well-defined
nanostructures of functionalized PUs.
PMID- 29657776
TI - Incorporation of Mn2+ into CdSe quantum dots by chemical bath co-deposition
method for photovoltaic enhancement of quantum dot-sensitized solar cells.
AB - A photoelectric conversion efficiency (PCE) of 4.9% was obtained under 100 mW cm
2 illumination by quantum-dot-sensitized solar cells (QDSSCs) using a CdS/Mn :
CdSe sensitizer. CdS quantum dots (QDs) were deposited on a TiO2 mesoporous oxide
film by successive ionic layer absorption and reaction. Mn2+ doping into CdSe QDs
is an innovative and simple method-chemical bath co-deposition, that is, mixing
the Mn ion source with CdSe precursor solution for Mn : CdSe QD deposition.
Compared with the CdS/CdSe sensitizer without Mn2+ incorporation, the PCE was
increased from 3.4% to 4.9%. The effects of Mn2+ doping on the chemical, physical
and photovoltaic properties of the QDSSCs were investigated by energy dispersive
spectrometry, absorption spectroscopy, photocurrent density-voltage
characteristics and electrochemical impedance spectroscopy. Mn-doped CdSe QDs in
QDSSCs can obtain superior light absorption, faster electron transport and slower
charge recombination than CdSe QDs.
PMID- 29657777
TI - The preparation of Fe2O3-ZSM-5 catalysts by metal-organic chemical vapour
deposition method for catalytic wet peroxide oxidation of m-cresol.
AB - Fe2O3-ZSM-5 catalysts (0.6 wt% Fe load) prepared by metal-organic chemical vapour
deposition (MOCVD) method were evaluated in the catalytic wet peroxide oxidation
(CWPO) of m-cresol in a batch reactor. The catalysts have a good iron dispersion
and small iron crystalline size, and exhibit high stability during reaction. In
addition, the kinetics of the reaction were studied and the initial oxidation
rate equation was given. Catalysts were first characterized by N2 adsorption
desorption isotherms, scanning electronic microscopy, energy-dispersive
spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy. Results
show that extra-framework Fe3+ species (presenting in the form of Fe2O3) are
successfully loaded on ZSM-5 supports by MOCVD method. Performances of catalysts
were tested and effects of different temperature, stirring rate, catalyst amount
on hydrogen peroxide, m-cresol, total organic carbon (TOC) conversion and Fe
leaching concentration were studied. Results reveal that catalytic activity
increased with higher temperature, faster stirring rate and larger catalyst
amount. In all circumstances, m-cresol conversion could reach 99% in 0.5-2.5 h,
and the highest TOC removal (80.5%) is obtained after 3 h under conditions of 60
degrees C, 400 r.p.m. and catalyst amount of 2.5 g l-1. The iron-leaching
concentrations are less than 1.1 mg l-1 under all conditions. The initial
oxidation rate equation [Formula: see text] is obtained for m-cresol degradation
with Fe2O3-ZSM-5 catalysts.
PMID- 29657778
TI - Adopted daughters and adopted daughters-in-law in Taiwan: a mortality analysis.
AB - Adoption is sometimes considered paradoxical from an evolutionary perspective
because the costs spent supporting an adopted child would be better spent on
rearing one's own. Kin selection theory is commonly used to solve this paradox,
because the adoption of closely related kin contributes to the inclusive fitness
of the adoptive parent. In this paper, we perform a novel test of kin selection
theory in the context of adoption by asking whether adopted daughters-in-law, who
contribute directly (i.e. genealogically) to the perpetuation of their adoptive
families' lineages, experience lower mortality than daughters adopted for other
purposes in historical Taiwan. We show that both classes of adopted daughter
suffer lower mortality than biological daughters, but that the protective effect
of adoption is stronger among daughters who were not adopted with the intention
of perpetuating the family lineage. We speculate as to the possible benefits of
such a pattern and emphasize the need to move beyond typological definitions of
adoption to understand the specific costs and benefits involved in different
forms of caring for others' children.
PMID- 29657779
TI - Cleaner fish escape salmon farms and hybridize with local wrasse populations.
AB - The genetic impact of farmed fish escaping aquaculture is a highly debated issue.
However, non-target species, such as cleaner fish used to remove sea lice from
farmed fish, are rarely considered. Here, we report that wild corkwing wrasse
(Symphodus melops), which are transported long distances to be used as cleaner
fish in salmon farms, escape and hybridize with local populations. Recently,
increasing numbers of corkwing wrasse have been reported in Flatanger in Norway,
north of its described distribution range, an area heavily relying on the import
of cleaner fish from Skagerrak. Using genetic markers identified with 2bRAD
sequencing, we show that, although the Flatanger population largely is a result
of a northward range expansion, there is also evidence of considerable gene flow
from southern populations in Skagerrak and Kattegat. Of the 40 corkwing wrasses
sampled in Flatanger, we discovered two individuals with clear southern
genotypes, one first-generation hybrid, and 12 potential second-generation
hybrids. In summary, we provide evidence that corkwing wrasse escape from fish
farms and hybridize with local populations at the leading edge of an ongoing
range expansion. Although the magnitude and significance of escapees warrant
further investigation, these results should be taken into consideration in the
use of translocated cleaner fish.
PMID- 29657780
TI - Phylogeny, biogeography and diversification patterns of side-necked turtles
(Testudines: Pleurodira).
AB - Pleurodires or side-necked turtles are today restricted to freshwater
environments of South America, Africa-Madagascar and Australia, but in the past
they were distributed much more broadly, being found also on Eurasia, India and
North America, and marine environments. Two hypotheses were proposed to explain
this distribution; in the first, vicariance would have shaped the current
geographical distribution and, in the second, extinctions constrained a
previously widespread distribution. Here, we aim to reconstruct pleurodiran
biogeographic history and diversification patterns based on a new phylogenetic
hypothesis recovered from the analysis of the largest morphological dataset yet
compiled for the lineage, testing which biogeographical process prevailed during
its evolutionary history. The resulting topology generally agrees with previous
hypotheses of the group and shows that most diversification shifts were related
to the exploration of new niches, e.g. littoral or marine radiations. In
addition, as other turtles, pleurodires do not seem to have been much affected by
either the Cretaceous-Palaeogene or the Eocene-Oligocene mass extinctions. The
biogeographic analyses highlight the predominance of both anagenetic and
cladogenetic dispersal events and support the importance of transoceanic
dispersals as a more common driver of area changes than previously thought,
agreeing with previous studies with other non-turtle lineages.
PMID- 29657781
TI - Comparative limb proportions reveal differential locomotor morphofunctions of
alligatoroids and crocodyloids.
AB - Although two major clades of crocodylians (Alligatoroidea and Crocodyloidea) were
split during the Cretaceous period, relatively few morphological and functional
differences between them have been known. In addition, interaction of multiple
morphofunctional systems that differentiated their ecology has barely been
assessed. In this study, we examined the limb proportions of crocodylians to
infer the differences of locomotor functions between alligatoroids and
crocodyloids, and tested the correlation of locomotor and feeding
morphofunctions. Our analyses revealed crocodyloids including Gavialis have
longer stylopodia (humerus and femur) than alligatoroids, indicating that two
groups may differ in locomotor functions. Fossil evidence suggested that
alligatoroids have retained short stylopodia since the early stage of their
evolution. Furthermore, rostral shape, an indicator of trophic function, is
correlated with limb proportions, where slender-snouted piscivorous taxa have
relatively long stylopodia and short overall limbs. In combination, trophic and
locomotor functions might differently delimit the ecological opportunity of
alligatoroids and crocodyloids in the evolution of crocodylians.
PMID- 29657782
TI - Do an invasive organism's dispersal characteristics affect how we should search
for it?
AB - We investigated how an invading organism's dispersal characteristics affect the
efficacy of different surveillance strategies aimed at detecting that organism as
it spreads following a new incursion. Specifically, we assessed whether, out of
the surveillance strategies tested, the best surveillance strategy for an
organism varied depending on the way it disperses. We simulated the spread of
invasive organisms with different dispersal characteristics including leptokurtic
and non-leptokurtic kernels with different median dispersal distances and degrees
of kurtosis. We evaluated surveillance strategies with different sampling
arrangements, densities and frequencies. Surveillance outcomes compared included
the time to detection, the total spread of the invasion and the likelihood of the
invasion reaching new areas. Overall, dispersal characteristics affected the
surveillance outcomes, but the grid surveillance arrangement consistently
performed best in terms of early detection and reduced spread within and between
fields. Additionally, the results suggest that dispersal characteristics may
influence spread to new areas and surveillance strategies. Therefore, knowledge
on an invasive organism's dispersal characteristics may influence how we search
for it and how we manage the invasion to prevent spread to new areas.
PMID- 29657783
TI - Research on preparation of phosphate-modified animal glue binder for foundry use.
AB - In this paper, three phosphates were used as modifiers to modify animal glue
binder. The structural characteristics and thermal properties of animal glue
binder treated with phosphates were studied by Fourier transform-infrared
spectroscopy, gel permeation chromatography and derivative thermogravimetric
analysis. The results showed that the modified animal glue binder had better sand
tensile strength and lower viscosity than untreated animal glue binder. The best
modification process was as follows: the optimal amount of sodium carbonate was 4
wt% to animal glue; the optimal weight ratio of the modifiers was sodium
pyrophosphate : sodium tripolyphosphate : sodium hexametaphosphate : animal glue
= 3 : 3 : 4 : 100, and the optimal reaction should be performed at 80 degrees C
for a reaction time of 120 min. A final tensile strength of approximately 3.20
MPa was achieved and the viscosity value was approximately 880 mPa s.
PMID- 29657784
TI - Kin discrimination via odour in the cooperatively breeding banded mongoose.
AB - Kin discrimination is often beneficial for group-living animals as it aids in
inbreeding avoidance and providing nepotistic help. In mammals, the use of
olfactory cues in kin discrimination is widespread and may occur through learning
the scents of individuals that are likely to be relatives, or by assessing
genetic relatedness directly through assessing odour similarity (phenotype
matching). We use scent presentations to investigate these possibilities in a
wild population of the banded mongoose Mungos mungo, a cooperative breeder in
which inbreeding risk is high and females breed communally, disrupting
behavioural cues to kinship. We find that adults show heightened behavioural
responses to unfamiliar (extra-group) scents than to familiar (within-group)
scents. Interestingly, we found that responses to familiar odours, but not
unfamiliar odours, varied with relatedness. This suggests that banded mongooses
are either able to use an effective behavioural rule to identify likely relatives
from within their group, or that phenotype matching is used in the context of
within-group kin recognition but not extra-group kin recognition. In other
cooperative breeders, familiarity is used within the group and phenotype matching
may be used to identify unfamiliar kin. However, for the banded mongoose this
pattern may be reversed, most likely due to their unusual breeding system which
disrupts within-group behavioural cues to kinship.
PMID- 29657785
TI - Spatial variability in size at maturity of golden king crab (Lithodes
aequispinus) and implications for fisheries management.
AB - Many crab fisheries around the world are managed by size, sex and season, where
males are given at least one opportunity to reproduce before being harvested.
Golden king crab (Lithodes aequispinus) supports a commercial fishery in
Southeast Alaska and legal size is based on growth and maturity information from
other parts of their range. Size-at-maturity estimates varied for crabs among
seven management areas in Southeast Alaska, where male maturity estimates
increased in size with increases in latitude, while maturity estimates across
their North Pacific range decreased in size with increases in latitude. Depth,
temperature and harvest history were not related to variation observed in male
maturity estimates. Management implications from this research include reducing
legal size in some areas to maximize harvest potential and increasing in others
to allow male crabs the opportunity to reproduce before being harvested. A more
conservative strategy would incorporate the largest maturity estimate, thus
increasing the legal size which would have a negative impact to the commercial
fishery, but allow male crabs the opportunity to reproduce before being
harvested. This study shows the importance of understanding how life-history
characteristics change over space and the challenge incorporating spatial
variability for improved fisheries management.
PMID- 29657786
TI - Amphotericin B-conjugated polypeptide hydrogels as a novel innovative strategy
for fungal infections.
AB - The present work is focused on the design and development of novel amphotericin B
(AmB)-conjugated biocompatible and biodegradable polypeptide hydrogels to improve
the antifungal activity. Using three kinds of promoting self-assembly groups (2
naphthalene acetic acid (Nap), naproxen (Npx) and dexamethasone (Dex)) and
polypeptide sequence (Phe-Phe-Asp-Lys-Tyr, FFDKY), we successfully synthesized
the Nap-FFDK(AmB)Y gels, Npx-FFDK(AmB)Y gels and Dex-FFDK(AmB)Y gels. The AmB
conjugated hydrogelators are highly soluble in different aqueous solutions. The
cryo-transmission electron microscopy and scanning electron microscopy
micrographs of hydrogels afford nanofibres with a width of 20-50 nm. Powder X-ray
diffraction analyses demonstrate that the crystalline structures of the AmB and
Dex are changed into amorphous structures after the formation of hydrogels.
Circular dichroism spectra of the solution of blank carriers and the
corresponding drug deliveries further help elucidate the molecular arrangement in
gel phase, indicating the existence of turn features. The in vitro drug releases
suggest that the AmB-conjugated hydrogels are suitable as drug-controlled release
vehicles for hydrophobic drugs. The antifungal effect of AmB-conjugated hydrogels
significantly exhibits the antifungal activity against Candida albicans. The
results of the present study indicated that the AmB-conjugated hydrogels are
suitable carriers for poorly water soluble drugs and for enhancement of
therapeutic efficacy of antifungal drugs.
PMID- 29657787
TI - Pressure-induced enhancement in the thermoelectric properties of monolayer and
bilayer SnSe2.
AB - The electronic structures of monolayer and bilayer SnSe2 under pressure were
investigated by using first-principles calculations including van der Waals
interactions. For monolayer SnSe2, the variation of electronic structure under
pressure is controlled by pressure-dependent lattice parameters. For bilayer
SnSe2, the changes in electronic structure under pressure are dominated by
intralayer and interlayer atomic interactions. The n-type thermoelectric
properties of monolayer and bilayer SnSe2 under pressure were calculated on the
basis of the semi-classical Boltzmann transport theory. It was found that the
electrical conductivity of monolayer and bilayer SnSe2 can be enhanced under
pressure, and such dependence can be attributed to the pressure-induced changes
of the Se-Sn antibonding states in conduction band. Finally, the doping
dependence of power factors of n-type monolayer and bilayer SnSe2 at three
different pressures were estimated, and the results unveiled that thermoelectric
performance of n-type monolayer and bilayer SnSe2 can be improved by applying
external pressure. This study benefits to understand the nature of the transport
properties for monolayer and bilayer SnSe2 under pressure, and it offers valuable
insight for designing high-performance thermoelectric few-layered SnSe2 through
strain engineering induced by external pressure.
PMID- 29657788
TI - Lepidosaurian diversity in the Mesozoic-Palaeogene: the potential roles of
sampling biases and environmental drivers.
AB - Lepidosauria is a speciose clade with a long evolutionary history, but there have
been few attempts to explore its taxon richness through time. Here we estimate
patterns of terrestrial lepidosaur genus diversity for the Triassic-Palaeogene
(252-23 Ma), and compare observed and sampling-corrected richness curves
generated using Shareholder Quorum Subsampling and classical rarefaction.
Generalized least-squares regression (GLS) is used to investigate the
relationships between richness, sampling and environmental proxies. We found low
levels of richness from the Triassic until the Late Cretaceous (except in the
Kimmeridgian-Tithonian of Europe). High richness is recovered for the Late
Cretaceous of North America, which declined across the K-Pg boundary but remained
relatively high throughout the Palaeogene. Richness decreased following the
Eocene-Oligocene Grande Coupure in North America and Europe, but remained high in
North America and very high in Europe compared to the Late Cretaceous; elsewhere
data are lacking. GLS analyses indicate that sampling biases (particularly, the
number of fossil collections per interval) are the best explanation for long-term
face-value genus richness trends. The lepidosaur fossil record presents many
problems when attempting to reconstruct past diversity, with geographical
sampling biases being of particular concern, especially in the Southern
Hemisphere.
PMID- 29657789
TI - Sensitive colorimetric assay using insulin G-quadruplex aptamer arrays on DNA
nanotubes coupled with magnetic nanoparticles.
AB - Described here is a methodology for fabrication of a sensitive colorimetric
nanoassay for measurement of insulin using G-quadruplex aptamer arrays on DNA
nanotubes (DNTs) coupled with magnetic nanoparticles. The spectroscopic findings
(e.g. visible spectra, velocity assay and limit of detection determination)
indicated a highly sensitive performance of this new nanoassay in comparison to
those results obtained from the insulin assay with non-arrayed aptamers. The
clinical performance statistics (i.e. paired sample t-test, Bland-Altman plot and
scatter diagram) from the newly developed assay and the enzyme-linked
immunosorbent assay suggested its reliable precision and its acceptable
repeatability for measurement of insulin in human sera. This is, to our
knowledge, the first study for the application of magnetic nanoparticle-coupled
DNTs for carrying G-quadruplex aptamers for detection of biomolecules (such as
insulin) in human serum.
PMID- 29657790
TI - Quadrupedal locomotor simulation: producing more realistic gaits using dual
objective optimization.
AB - In evolutionary biomechanics it is often considered that gaits should evolve to
minimize the energetic cost of travelling a given distance. In gait simulation
this goal often leads to convincing gait generation. However, as the
musculoskeletal models used get increasingly sophisticated, it becomes apparent
that such a single goal can lead to extremely unrealistic gait patterns. In this
paper, we explore the effects of requiring adequate lateral stability and show
how this increases both energetic cost and the realism of the generated walking
gait in a high biofidelity chimpanzee musculoskeletal model. We also explore the
effects of changing the footfall sequences in the simulation so it mimics both
the diagonal sequence walking gaits that primates typically use and also the
lateral sequence walking gaits that are much more widespread among mammals. It is
apparent that adding a lateral stability criterion has an important effect on the
footfall phase relationship, suggesting that lateral stability may be one of the
key drivers behind the observed footfall sequences in quadrupedal gaits. The
observation that single optimization goals are no longer adequate for generating
gait in current models has important implications for the use of biomimetic
virtual robots to predict the locomotor patterns in fossil animals.
PMID- 29657791
TI - Sulfur resistance of Ce-Mn/TiO2 catalysts for low-temperature NH3-SCR.
AB - Ce-Mn/TiO2 catalyst prepared using a simple impregnation method demonstrated a
better low-temperature selective catalytic reduction of NO with NH3 (NH3-SCR)
activity in comparison with the sol-gel method. The Ce-Mn/TiO2 catalyst loading
with 20% Ce had the best low-temperature activity and achieved a NO conversion
rate higher than 90% at 140-260 degrees C with a 99.7% NO conversion rate at 180
degrees C. The Ce-Mn/TiO2 catalyst only had a 6% NO conversion rate decrease
after 100 ppm of SO2 was added to the stream. When SO2 was removed from the
stream, the catalyst was able to recover completely. The crystal structure,
morphology, textural properties and valence state of the metals involving the
novel catalysts were investigated using X-ray diffraction, N2 adsorption and
desorption analysis, X-ray photoelectron spectroscopy, scanning electron
microscopy and energy dispersive spectroscopy, respectively. The decrease of NH3
SCR performance in the presence of 100 ppm SO2 was due to the decrease of the
surface area, change of the pore structure, the decrease of Ce4+ and Mn4+
concentration and the formation of the sulfur phase chemicals which blocked the
active sites and changed the valence status of the elements.
PMID- 29657792
TI - Analysis of wild macaque stone tools used to crack oil palm nuts.
AB - The discovery of oil palm (Elaeis guineensis) nut-cracking by wild long-tailed
macaques (Macaca fascicularis) is significant for the study of non-human primate
and hominin percussive behaviour. Up until now, only West African chimpanzees
(Pan troglodytes verus) and modern human populations were known to use stone
hammers to crack open this particular hard-shelled palm nut. The addition of non
habituated, wild macaques increases our comparative dataset of primate lithic
percussive behaviour focused on this one plant species. Here, we present an
initial description of hammerstones used by macaques to crack oil palm nuts,
recovered from active nut-cracking locations on Yao Noi Island, Ao Phang Nga
National Park, Thailand. We combine a techno-typological approach with
microscopic and macroscopic use-wear analysis of percussive damage to
characterize the percussive signature of macaque palm oil nut-cracking tools.
These artefacts are characterized by a high degree of battering and crushing on
most surfaces, which is visible at both macro and microscopic levels. The degree
and extent of this damage is a consequence of a dynamic interplay between a
number of factors, including anvil morphology and macaque percussive techniques.
Beyond the behavioural importance of these artefacts, macaque nut-cracking
represents a new target for primate archaeological investigations, and opens new
opportunities for comparisons between tool using primate species and with early
hominin percussive behaviour, for which nut-cracking has been frequently
inferred.
PMID- 29657793
TI - Preparation of chitosan/MCM-41-PAA nanocomposites and the adsorption behaviour of
Hg(II) ions.
AB - A novel functional hybrid mesoporous composite material (CMP) based on chitosan
and MCM-41-PAA was reported and its application as an excellent adsorbent for
Hg(II) ions was also investigated. Innovatively, MCM-41-PAA was prepared by using
diatomite and polyacrylic acid (PAA) with integrated polymer-silica hybrid
frameworks, and then CMP was fabricated by introducing MCM-41-PAA to chitosan
using glutaraldehyde as a cross-linking agent. The structure and morphology of
CMP were characterized by X-ray diffraction, Fourier transform infrared spectra,
thermogravimetric analysis, scanning electron microscopy and Brunauer-Emmett
Teller measurements. The results showed that the CMP possessed multifunctional
groups such as -OH, -COOH and -NH2 with large specific surface area. Adsorption
behaviour of Hg(II) ions onto CMP was fitted better by the pseudo-second-order
kinetic model and the Langmuir model when the initial Hg(II) concentration, pH,
adsorption temperature and time were 200 mg l-1, 4, 298 K and 120 min,
respectively, as the optimum conditions. The corresponding maximum adsorption
capacity could reach 164 mg g-1. According to the thermodynamic parameters
determined such as free energy, enthalpy and entropy, the adsorption process of
Hg(II) ions was spontaneous endothermic adsorption.
PMID- 29657794
TI - Unexpected solvent effects on the UV/Vis absorption spectra of o-cresol in
toluene and benzene: in contrast with non-aromatic solvents.
AB - Cresol is a prototype molecule in understanding intermolecular interactions in
material and biological systems, because it offers different binding sites with
various solvents and protonation states under different pH values. It is found
that the UV/Vis absorption spectra of o-cresol in aromatic solvents (benzene,
toluene) are characterized by a sharp peak, unlike the broad double-peaks in 11
non-aromatic solvents. Both molecular dynamics simulations and electronic
structure calculations revealed the formation of intermolecular pi-complexation
between o-cresol and aromatic solvents. The thermal movements of solvent and
solute molecules render the conformations of o-cresol changing between trans and
cis isomers. The pi-interaction makes the cis configuration a dominant isomer,
hence leading to the single keen-edged UV/Vis absorption peak at approximately
283 nm. The free conformation changes between trans and cis in aqueous solution
rationalize the broader absorption peaks in the range of 260-280 nm. The pH
dependence of the UV/Vis absorption spectra in aqueous solutions is also
rationalized by different protonation states of o-cresol. The explicit solvent
model with long-ranged interactions is vital to describe the effects of pi
complexation and electrostatic interaction on the UV/Vis absorption spectra of o
cresol in toluene and alkaline aqueous (pH > 10.3) solutions, respectively.
PMID- 29657795
TI - Adsorption of tetracycline on Fe (hydr)oxides: effects of pH and metal cation
(Cu2+, Zn2+ and Al3+) addition in various molar ratios.
AB - Iron (Fe) (hydr)oxides control the mobility and bioavailability of tetracycline
(TC) in waters and soils. Adsorption of TC on Fe (hydr)oxides is greatly affected
by polyvalent metals; however, impacts of molar metal/TC ratios on TC adsorptive
behaviours on Fe (hydr)oxides remain unclear. Results showed that maximum TC
adsorption on ferrihydrite and goethite occurred at pH 5-6. Such TC adsorption
was generally promoted by the addition of Cu2+, Zn2+ and Al3+. The greatest
increase in TC adsorption was found in the system with molar Cu/TC ratio of 3 due
to the formation of Fe hydr(oxide)-Cu-TC ternary complexes. Functional groups on
TC that were responsible for the complexation with Cu2+shifted from phenolic
diketone groups at Cu/TC molar ratio < 1 to amide groups at Cu/TC molar ratio >=
1. For the addition of Al3+, the complexation only took place with phenolic
diketone groups, resulting in the enhanced TC adsorption at a molar Al/TC ratio
of 1. However, TC adsorption decreased for Al/TC molar ratio > 1 as excess Al3+
led to the competitive adsorption with Al/TC complexes. For the Zn2+ addition, no
significant correlation was found between TC adsorption capacity and molar Zn/TC
ratios.
PMID- 29657796
TI - Synthesis, quantitative structure-property relationship study of novel
fluorescence active 2-pyrazolines and application.
AB - A variety of fluorescence-active fluorinated pyrazolines 13-33 was synthesized in
good yields through cyclocondensation reaction of propenones 1-9 with aryl
hydrazines 10-12. Some of the synthesized compounds provided promising
fluorescence properties with quantum yield (Phi) higher than that of quinine
sulfate (standard reference). Quantitative structure-property relationship
studies were undertaken supporting the exhibited fluorescence properties and
estimating the parameters governing properties. Five synthesized fluorescence
active pyrazolines (13, 15, 18, 19 and 23) with variable Phi were selected for
treating two types of paper sheets (Fabriano and Bible paper). These investigated
fluorescence compounds, especially compounds 19 and 23, provide improvements in
strength properties of paper sheets. Based on the observed performance they can
be used as markers in security documents.
PMID- 29657797
TI - Non-invasive paper-based microfluidic device for ultra-low detection of urea
through enzyme catalysis.
AB - This work describes the design, fabrication and characterization of a paper-based
microfluidic device for ultra-low detection of urea through enzyme catalysis. The
microfluidic system comprises an entry port, a fluidic channel, a reaction zone
and two electrodes (contacts). Wax printing was used to create fluidic channels
on the surface of a chromatography paper. Pre-conceptualized designs of the
fluidic channel are wax-printed on the paper substrate while the electrodes are
screen-printed. The paper printed with wax is heated to cause the wax reflow
along the thickness of the paper that selectively creates hydrophilic and
hydrophobic zones inside the paper. Urease immobilized in the reaction zone
catalyses urea into releasing ions and, thereby, generating a current flow
between the electrodes. A measure of current with respect to time at a fixed
potential enables the detection of urea. The methodology enabled urea
concentration down to 1 pM to be detected. The significance of this work lies in
the use of simple and inexpensive paper-based substrates to achieve detection of
ultra-low concentrations of analytes such as urea. The process is non-invasive
and employs a less cumbersome two-electrode assembly.
PMID- 29657798
TI - The importance of sampling standardization for comparisons of insect herbivory in
deep time: a case study from the late Palaeozoic.
AB - Sampling standardization has not been fully addressed for the study of insect
herbivory in the fossil record. The effects of sampling within a single locality
were explored almost a decade ago, but the importance of sampling standardization
for comparisons of herbivory across space and time has not yet been evaluated.
Here, we present a case study from the Permian in which we evaluate the impact of
sampling standardization on comparisons of insect herbivory from two localities
that are similar in age and floral composition. Comparisons of insect damage type
(DT) diversity change dramatically when the number of leaves examined is
standardized by surface area. This finding suggests that surface area should
always be taken into account for comparisons of DT diversity. In addition, the
three most common metrics of herbivory-DT diversity, proportion of leaves
herbivorized and proportion of leaf surface area herbivorized-are inherently
decoupled from each other. The decoupling of the diversity and intensity of
insect herbivory necessitates a reinterpretation of published data because they
had been conflated in previous studies. Future studies should examine the
divergent ecological factors that underlie these metrics. We conclude with
suggestions to guide the sampling and analysis of herbivorized leaves in the
fossil record.
PMID- 29657799
TI - Palaeoecological inferences for the fossil Australian snakes Yurlunggur and
Wonambi (Serpentes, Madtsoiidae).
AB - Madtsoiids are among the most basal snakes, with a fossil record dating back to
the Upper Cretaceous (Cenomanian). Most representatives went extinct by the end
of the Eocene, but some survived in Australia until the Late Cenozoic. Yurlunggur
and Wonambi are two of these late forms, and also the best-known madtsoiids to
date. A better understanding of the anatomy and palaeoecology of these taxa may
shed light on the evolution and extinction of this poorly known group of snakes
and on early snake evolution in general. A digital endocast of the inner ear of
Yurlunggur was compared to those of 81 species of snakes and lizards with known
ecological preferences using three-dimensional geometric morphometrics. The inner
ear of Yurlunggur most closely resembles both that of certain semiaquatic snakes
and that of some semifossorial snakes. Other cranial and postcranial features of
this snake support the semifossorial interpretation. While the digital endocast
of the inner ear of Wonambi is too incomplete to be included in a geometric
morphometrics study, its preserved morphology is very different from that of
Yurlunggur and suggests a more generalist ecology. Osteology, palaeoclimatic data
and the palaeobiogeographic distribution of these two snakes are all consistent
with these inferred ecological differences.
PMID- 29657800
TI - Nanostructured mesoporous silica: influence of the preparation conditions on the
physical-surface properties for efficient organic dye uptake.
AB - A series of ordered mesoporous silica such as MCM-41, SBA-3 and SBA-15, in
addition to silica micro- (SM) and nano- (SN) mesoporous particles, were
prepared. The preparation conditions were found to greatly influence the physical
surface properties including morphological structure, porosity, particle size,
aggregate average size, surface area, pore size, pore volume and zeta potential
of the prepared silica, while the chemical structure, predicted from FT-IR
spectra, and the diffraction patterns, predicted from wide-angle X-ray
diffraction spectra, were identical. Surface areas of approximately 1500, 1027,
600, 552 and 317 m2 g-1, pore volumes of 0.93, 0.56, 0.82, 0.72 and 0.5 cm3 g-1,
radii of 2.48, 2.2, 5.66, 6.6 and 8.98 nm, average aggregate sizes of 56, 65.4,
220.9, 73, 61.1 and 261 nm and zeta potential values of -32.8, -46.1, -26.3,
31.4 and -25.9 mV were obtained for MCM-41, SBA-3, SBA-15, SN and SM,
respectively. Methylene blue dye uptake capacity of the prepared silica types was
investigated using the batch technique and, in addition, the most effective
material was further studied by the column flow system. The kinetics and
isotherms of the uptake process were studied. The morphological structure,
surface area, pore radius and zeta potential values were the most correlated
factors.
PMID- 29657801
TI - Synthesis of diketopyrrolopyrrole-based polymers with polydimethylsiloxane side
chains and their application in organic field-effect transistors.
AB - Linear polydimethylsiloxane (PDMS) was investigated as a solubilizing group for
pi-conjugated polymers with the aim of combining high solubility in organic
solvents with the molecular packing in solid films that is advantageous for
charge transport. Diketopyrrolopyrrole-based copolymers with different contents
and substitution patterns of the PDMS side chains were synthesized and evaluated
for application in organic field-effect transistors. The PDMS side chains greatly
increased the solubility of the polymers and led to shorter d-spacings of the pi
stacking in the thin films compared with polymers containing conventional
branched alkyl side chains.
PMID- 29657802
TI - Total synthesis of rupestine G and its epimers.
AB - Rupestine G is a guaipyridine sesquiterpene alkaloid isolated from Artemisia
rupestris L. The total synthesis of rupestine G and its epimers was accomplished
employing a Suzuki reaction to build a terminal diene moiety. The diene was
further elaborated into the desired guaipyridine structure by a ring-closing
metathesis reaction. Over all, rupestine G and its three epimers were obtained as
a mixture in a sequence of nine linear steps with 18.9% yield. Rupestine G and
its optically pure isomers were isolated by chiral preparative HPLC and fully
characterized by 1H ,13C NMR, HRMS, optical rotation value, and experimental and
calculated electronic circular dichroism spectroscopy.
PMID- 29657803
TI - Experiments and modelling of rate-dependent transition delay in a stochastic
subcritical bifurcation.
AB - Complex systems exhibiting critical transitions when one of their governing
parameters varies are ubiquitous in nature and in engineering applications.
Despite a vast literature focusing on this topic, there are few studies dealing
with the effect of the rate of change of the bifurcation parameter on the tipping
points. In this work, we consider a subcritical stochastic Hopf bifurcation under
two scenarios: the bifurcation parameter is first changed in a quasi-steady
manner and then, with a finite ramping rate. In the latter case, a rate-dependent
bifurcation delay is observed and exemplified experimentally using a
thermoacoustic instability in a combustion chamber. This delay increases with the
rate of change. This leads to a state transition of larger amplitude compared
with the one that would be experienced by the system with a quasi-steady change
of the parameter. We also bring experimental evidence of a dynamic hysteresis
caused by the bifurcation delay when the parameter is ramped back. A surrogate
model is derived in order to predict the statistic of these delays and to
scrutinize the underlying stochastic dynamics. Our study highlights the dramatic
influence of a finite rate of change of bifurcation parameters upon tipping
points, and it pinpoints the crucial need of considering this effect when
investigating critical transitions.
PMID- 29657804
TI - Modelling cointegration and Granger causality network to detect long-term
equilibrium and diffusion paths in the financial system.
AB - Microscopic factors are the basis of macroscopic phenomena. We proposed a network
analysis paradigm to study the macroscopic financial system from a microstructure
perspective. We built the cointegration network model and the Granger causality
network model based on econometrics and complex network theory and chose stock
price time series of the real estate industry and its upstream and downstream
industries as empirical sample data. Then, we analysed the cointegration network
for understanding the steady long-term equilibrium relationships and analysed the
Granger causality network for identifying the diffusion paths of the potential
risks in the system. The results showed that the influence from a few key stocks
can spread conveniently in the system. The cointegration network and Granger
causality network are helpful to detect the diffusion path between the
industries. We can also identify and intervene in the transmission medium to curb
risk diffusion.
PMID- 29657805
TI - A longitudinal study of phenotypic changes in early domestication of house mice.
AB - Similar phenotypic changes occur across many species as a result of
domestication, e.g. in pigmentation and snout size. Experimental studies of
domestication have concentrated on intense and directed selection regimes, while
conditions that approximate the commensal and indirect interactions with humans
have not been explored. We examine long-term data on a free-living population of
wild house mice that have been indirectly selected for tameness by regular
exposure to humans. In the course of a decade, this mouse population exhibited
significantly increased occurrence of white patches of fur and decreased head
length. These phenotypic changes fit to the predictions of the 'domestication
syndrome'.
PMID- 29657806
TI - Synthesis of a single-crystal Fe2O3 nanowire array based on stress-induced atomic
diffusion used for solar water splitting.
AB - In this study, we successfully fabricated a single-crystal Fe2O3 nanowire array
based on stress-induced atomic diffusion and used this array as the
photoelectrode for solar water splitting. With the surface polishing treatment on
the sample surface, the density of the Fe2O3 nanowire array reached up to 28.75
wire um-2 when heated for 90 min at 600 degrees C. The photocurrent density of
the optimized sample was 0.9 mA cm-2 at 1.23 V versus a reversible hydrogen
electrode in a three-electrode system under AM 1.5 G illumination. The incident
photon-to-electron conversion efficiency was 6.8% at 400 nm.
PMID- 29657807
TI - Trophic specialization drives morphological evolution in sea snakes.
AB - Viviparous sea snakes are the most rapidly speciating reptiles known, yet the
ecological factors underlying this radiation are poorly understood. Here, we
reconstructed dated trees for 75% of sea snake species and quantified body shape
(forebody relative to hindbody girth), maximum body length and trophic diversity
to examine how dietary specialization has influenced morphological
diversification in this rapid radiation. We show that sea snake body shape and
size are strongly correlated with the proportion of burrowing prey in the diet.
Specialist predators of burrowing eels have convergently evolved a
'microcephalic' morphotype with dramatically reduced forebody relative to
hindbody girth and intermediate body length. By comparison, snakes that
predominantly feed on burrowing gobies are generally short-bodied and small
headed, but there is no evidence of convergent evolution. The eel specialists
also exhibit faster rates of size and shape evolution compared to all other sea
snakes, including those that feed on gobies. Our results suggest that trophic
specialization to particular burrowing prey (eels) has invoked strong selective
pressures that manifest as predictable and rapid morphological changes. Further
studies are needed to examine the genetic and developmental mechanisms underlying
these dramatic morphological changes and assess their role in sea snake
speciation.
PMID- 29657808
TI - Hypothesized diprotomeric enzyme complex supported by stochastic modelling of
palytoxin-induced Na/K pump channels.
AB - The sodium-potassium pump (Na+/K+ pump) is crucial for cell physiology. Despite
great advances in the understanding of this ionic pumping system, its mechanism
is not completely understood. We propose the use of a statistical model checker
to investigate palytoxin (PTX)-induced Na+/K+ pump channels. We modelled a system
of reactions representing transitions between the conformational substates of the
channel with parameters, concentrations of the substates and reaction rates
extracted from simulations reported in the literature, based on
electrophysiological recordings in a whole-cell configuration. The model was
implemented using the UPPAAL-SMC platform. Comparing simulations and
probabilistic queries from stochastic system semantics with experimental data, it
was possible to propose additional reactions to reproduce the single-channel
dynamic. The probabilistic analyses and simulations suggest that the PTX-induced
Na+/K+ pump channel functions as a diprotomeric complex in which protein-protein
interactions increase the affinity of the Na+/K+ pump for PTX.
PMID- 29657809
TI - Investigation of the antibiofilm capacity of peptide-modified stainless steel.
AB - Biofilm formation on surfaces is an important research topic in ship tribology
and medical implants. In this study, dopamine and two types of synthetic peptides
were designed and attached to 304 stainless steel surfaces, aiming to inhibit the
formation of biofilms. A combinatory surface modification procedure was applied
in which dopamine was used as a coupling agent, allowing a strong binding ability
with the two peptides. X-ray photoelectron spectroscopy (XPS), elemental
analysis, contact angle measurement and surface roughness test were used to
evaluate the efficiency of the peptide modification. An antibiofilm assay against
Staphylococcus aureus was conducted to validate the antibiofilm capacity of the
peptide-modified stainless steel samples. XPS analysis confirmed that the optimal
dopamine concentration was 40 ug ml-1 in the coupling reaction. Element analysis
showed that dopamine and the peptides had bound to the steel surfaces. The
robustness assay of the modified surface demonstrated that most peptide molecules
had bound on the surface of the stainless steel firmly. The contact angle of the
modified surfaces was significantly changed. Modified steel samples exhibited
improved antibiofilm properties in comparison to untreated and dopamine-only
counterpart, with the peptide 1 modification displaying the best antibiofilm
effect. The modified surfaces showed antibacterial capacity. The antibiofilm
capacity of the modified surfaces was also surface topography sensitive. The
steel sample surfaces polished with 600# sandpaper exhibited stronger antibiofilm
capacity than those polished with other types of sandpapers after peptide
modification. These findings present valuable information for future antifouling
material research.
PMID- 29657810
TI - How successful are mutants in multiplayer games with fluctuating environments?
Sojourn times, fixation and optimal switching.
AB - Using a stochastic model, we investigate the probability of fixation, and the
average time taken to achieve fixation, of a mutant in a population of wild
types. We do this in a context where the environment in which the competition
takes place is subject to stochastic change. Our model takes into account
interactions which can involve multiple participants. That is, the participants
take part in multiplayer games. We find that under certain circumstances, there
are environmental switching dynamics which minimize the time that it takes for
the mutants to fixate. To analyse the dynamics more closely, we develop a method
by which to calculate the sojourn times for general birth-death processes in
fluctuating environments.
PMID- 29657811
TI - The evolutionary history of polycotylid plesiosaurians.
AB - Polycotylidae is a clade of plesiosaurians that appeared during the Early
Cretaceous and became speciose and abundant early in the Late Cretaceous.
However, this radiation is poorly understood. Thililua longicollis from the
Middle Turonian of Morocco is an enigmatic taxon possessing an atypically long
neck and, as originally reported, a series of unusual cranial features that cause
unstable phylogenetic relationships for polycotylids. We reinterpret the holotype
specimen of Thililua longicollis and clarify its cranial anatomy. Thililua
longicollis possesses an extensive, foramina-bearing jugal, a premaxilla-parietal
contact and carinated teeth. Phylogenetic analyses of a new cladistic dataset
based on first-hand observation of most polycotylids recover Thililua and
Mauriciosaurus as successive lineages at the base of the earliest Late Cretaceous
polycotyline radiation. A new dataset summarizing the Bauplan of polycotylids
reveals that their radiation produced an early burst of disparity during the
Cenomanian-Turonian interval, with marked plasticity in relative neck length, but
this did not arise as an ecological release following the extinction of
ichthyosaurs and pliosaurids. This disparity vanished during and after the
Turonian, which is consistent with a model of 'early experimentation/late
constraint'. Two polycotylid clades, Occultonectia clade nov. and Polycotylinae,
survived up to the Maastrichtian, but with low diversity.
PMID- 29657812
TI - Resurrected 'ancient' Daphnia genotypes show reduced thermal stress tolerance
compared to modern descendants.
AB - Understanding how populations adapt to rising temperatures has been a challenge
in ecology. Research often evaluates multiple populations to test whether local
adaptation to temperature regimes is occurring. Space-for-time substitutions are
common, as temporal constraints limit our ability to observe evolutionary
responses. We employed a resurrection ecology approach to understand how thermal
tolerance has changed in a Daphnia pulicaria population over time. Temperatures
experienced by the oldest genotypes were considerably lower than the youngest. We
hypothesized clones were adapted to the thermal regimes of their respective time
periods. We performed two thermal shock experiments that varied in length of heat
exposure. Overall trends revealed that younger genotypes exhibited higher thermal
tolerance than older genotypes; heat shock protein (hsp70) expression increased
with temperature and varied among genotypes, but not across time periods. Our
results indicate temperature may have been a selective factor on this population,
although the observed responses may be a function of multifarious selection.
Prior work found striking changes in population genetic structure, and in other
traits that were strongly correlated with anthropogenic changes. Resurrection
ecology approaches should help our understanding of interactive effects of
anthropogenic alterations to temperature and other stressors on the evolutionary
fate of natural populations.
PMID- 29657813
TI - Extreme fertilization bias towards freshly inseminated sperm in a species
exhibiting prolonged female sperm storage.
AB - The storage of sperm by females across successive reproductive cycles is well
documented in internal fertilizers, yet the fate of stored sperm when they
compete with 'new' sperm to fertilize a female's eggs has rarely been considered.
This gap in our understanding is likely due to the logistical difficulties of
controlling behavioural interactions during or after mating, which in turn may
influence how many sperm are inseminated and how stored sperm are ultimately used
during successive bouts of sperm competition with freshly inseminated sperm.
Here, we use artificial insemination (AI) in guppies (Poecilia reticulata), a
polyandrous live-bearing poeciliid fish exhibiting prolonged sperm storage by
females, to overcome these challenges. The use of AI enables us to control
potential differential maternal effects (e.g. behaviourally mediated cryptic
female choice) and specifically test for post-copulatory paternity biases that
favour either stored or fresh sperm when they compete to fertilize eggs. Our
paternity analyses revealed the almost complete dominance of freshly inseminated
sperm over stored sperm, supporting previous studies reporting similar patterns
following natural matings across successive brood cycles. However, our use of AI,
which excluded behavioural interactions between males and females, most likely
generated a far stronger pattern of fresh sperm precedence compared with those
reported in previous studies, possibly implicating 'cryptic' forms of selection
by females that may sometimes bolster the success of stored sperm.
PMID- 29657814
TI - Division rate, cell size and proteome allocation: impact on gene expression noise
and implications for the dynamics of genetic circuits.
AB - The cell division rate, size and gene expression programmes change in response to
external conditions. These global changes impact on average concentrations of
biomolecule and their variability or noise. Gene expression is inherently
stochastic, and noise levels of individual proteins depend on synthesis and
degradation rates as well as on cell-cycle dynamics. We have modelled stochastic
gene expression inside growing and dividing cells to study the effect of division
rates on noise in mRNA and protein expression. We use assumptions and parameters
relevant to Escherichia coli, for which abundant quantitative data are available.
We find that coupling of transcription, but not translation rates to the rate of
cell division can result in protein concentration and noise homeostasis across
conditions. Interestingly, we find that the increased cell size at fast division
rates, observed in E. coli and other unicellular organisms, buffers noise levels
even for proteins with decreased expression at faster growth. We then investigate
the functional importance of these regulations using gene regulatory networks
that exhibit bi-stability and oscillations. We find that network topology affects
robustness to changes in division rate in complex and unexpected ways. In
particular, a simple model of persistence, based on global physiological
feedback, predicts increased proportion of persister cells at slow division
rates. Altogether, our study reveals how cell size regulation in response to cell
division rate could help controlling gene expression noise. It also highlights
that understanding circuits' robustness across growth conditions is key for the
effective design of synthetic biological systems.
PMID- 29657815
TI - Rewilding the world's large carnivores.
AB - Earth's terrestrial large carnivores form a highly endangered group of species
with unique conservation challenges. The majority of these species have
experienced major geographical range contractions, which puts many of them at
high risk of extinction or of becoming ecologically ineffective. As a result of
these range contractions and the associated loss of intact predator guilds, the
ecological effects of these species are now far less widespread and common, with
inevitable consequences for ecosystem function. Rewilding-which includes
reintroducing species into portions of their former ranges-is an important
carnivore conservation tool and means for restoring top-down ecological
regulation. We conducted a global analysis of potential reintroduction areas. We
first considered protected areas where one or more large carnivore species have
been extirpated, identifying a total of 130 protected areas that may be most
suitable for carnivore reintroduction. These protected areas include sites in
every major world region, and are most commonly found in Mongolia (n = 13),
Canada (n = 11), Thailand (n = 9), Namibia (n = 6), Indonesia (n = 6) and
Australia (n = 6). We considered the sizes of protected areas, their levels of
protection, the extent of human impacts within and around the protected areas,
and the status of prey species in the protected areas. Finally, we used the 'last
of the wild' approach to identify contiguous low human footprint regions within
the former ranges of each species, identifying an additional 150 areas which
could be the focus of conservation efforts to create conditions conducive to
reintroductions. These low footprint regions were most commonly found in the USA
(n = 14), Russia (n = 14), Canada (n = 10), China (n = 9) and Mauritania (n = 8).
Together, our results show the global-scale potential for carnivore rewilding
projects to both conserve these species and provide critical ecological and
social benefits.
PMID- 29657816
TI - Evaluation and mechanism of ammonia nitrogen removal using sediments from a
malodorous river.
AB - Malodorous rivers are among the major environmental problems of cities in
developing countries. In addition to the unpleasant smell, the sediments of such
rivers can act as a sink for pollutants. The excessive amount of ammonia nitrogen
(NH3-N) in rivers is the main factor that causes the malodour. Therefore, a
suitable method is necessary for sediment disposition and NH3-N removal in
malodorous rivers. The sediment in a malodorous river (PS) in Beijing, China was
selected and modified via calcination (PS-D), Na+ doping (PS-Na) and calcination
Na+ doping (PS-DNa). The NH3-N removal efficiency using the four sediment
materials was evaluated, and results indicated that the NH3-N removal efficiency
using the modified sediment materials could reach over 60%. PS-DNa achieved the
highest NH3-N removal efficiency (90.04%). The kinetics study showed that the
pseudo-second-order model could effectively describe the sorption kinetics and
that the exterior activated site had the main function of P sorption. The results
of the sorption isotherms indicated that the maximum sorption capacities of PS
Na, PS-D and PS-DNa were 0.343, 0.831 and 1.113 mg g-1, respectively, and a high
temperature was favourable to sorption. The calculated thermodynamic parameters
suggested that sorption was a feasible or spontaneous (DeltaG < 0), entropy
driven (DeltaS > 0), and endothermic (DeltaH > 0) reaction.
PMID- 29657817
TI - A networked voting rule for democratic representation.
AB - We introduce a general framework for exploring the problem of selecting a
committee of representatives with the aim of studying a networked voting rule
based on a decentralized large-scale platform, which can assure a strong
accountability of the elected. The results of our simulations suggest that this
algorithm-based approach is able to obtain a high representativeness for
relatively small committees, performing even better than a classical voting rule
based on a closed list of candidates. We show that a general relation between
committee size and representatives exists in the form of an inverse square root
law and that the normalized committee size approximately scales with the inverse
of the community size, allowing the scalability to very large populations. These
findings are not strongly influenced by the different networks used to describe
the individuals' interactions, except for the presence of few individuals with
very high connectivity which can have a marginal negative effect in the committee
selection process.
PMID- 29657818
TI - Developmental plasticity of the stress response in female but not in male
guppies.
AB - To survive, animals must respond appropriately to stress. Stress responses are
costly, so early-life experiences with potential stressors could adaptively
tailor adult stress responses to local conditions. However, how multiple
stressors influence the development of the stress response remains unclear, as is
the role of sex. Trinidadian guppies (Poecilia reticulata) are small fish with
extensive life-history differences between the sexes and population variation in
predation pressure and social density. We investigated how sex and early-life
experience influence hormonal stress responses by manipulating conspecific
density and perceived predation risk during development. In adults, we sampled
cortisol twice to measure initial release and change over time in response to a
recurring stressor. The sexes differed considerably in their physiological stress
response. Males released more cortisol for their body mass than females and did
not reduce cortisol release over time. By contrast, all females, except those
reared at high density together with predation cues, reduced cortisol release
over time. Cortisol responses of males were thus less dynamic in response to
current circumstances and early-life experiences than females, consistent with
life-history differences between the sexes. Our study underscores the importance
of early-life experiences, interacting ecological factors and sex differences in
the organization of the stress response.
PMID- 29657819
TI - Substrate texture affects female cricket walking response to male calling song.
AB - Field crickets are extensively used as a model organism to study female
phonotactic walking behaviour, i.e. their attraction to the male calling song.
Laboratory-based phonotaxis experiments generally rely on arena or trackball
based settings; however, no attention has been paid to the effect of substrate
texture on the response. Here, we tested phonotaxis in female Gryllus
bimaculatus, walking on trackballs machined from methyl-methacrylate foam with
different cell sizes. Surface height variations of the trackballs, due to the
cellular composition of the material, were measured with profilometry and
characterized as smooth, medium or rough, with roughness amplitudes of 7.3, 16
and 180 um. Female phonotaxis was best on a rough and medium trackball surface, a
smooth surface resulted in a significant lower phonotactic response. Claws of the
cricket foot were crucial for effective walking. Females insert their claws into
the surface pores to allow mechanical interlocking with the substrate texture and
a high degree of attachment, which cannot be established on smooth surfaces.
These findings provide insight to the biomechanical basis of insect walking and
may inform behavioural studies that the surface texture on which walking insects
are tested is crucial for the resulting behavioural response.
PMID- 29657820
TI - Neopterygian phylogeny: the merger assay.
AB - The phylogenetic relationships of the recently described genus ?Ticinolepis from
the Middle Triassic of the Monte San Giorgio are explored through cladistic
analyses of the so far largest morphological dataset for fossil actinopterygians,
including representatives of the crown-neopterygian clades Halecomorphi,
Ginglymodi and Teleostei, and merging the characters from previously published
systematic studies together with newly proposed characters. ?Ticinolepis is
retrieved as the most basal Ginglymodi and our results support the monophyly of
Teleostei and Holostei, as well as Halecomorphi and Ginglymodi within the latter
clade. The patterns of relationships within these clades mostly agree with those
of previous studies, although a few important differences require future
research. According to our results, ionoscopiforms are not monophyletic, caturids
are not amiiforms and leptolepids and luisiellids form a monophyletic clade. Our
phylogenetic hypothesis confirms the rapid radiation of the holostean clades
Halecomorphi and Ginglymodi during the Early and Middle Triassic and the
radiation of pholidophoriform teleosts during the Late Triassic. Crown-group
Halecomorphi have an enormous ghost lineage throughout half of the Mesozoic, but
ginglymodians and teleosts show a second radiation during the Early Jurassic. The
crown-groups of Halecomorphi, Ginglymodi and Teleostei originated within parallel
events of radiation during the Late Jurassic.
PMID- 29657821
TI - Explicit solutions to correlation matrix completion problems, with an application
to risk management and insurance.
AB - We derive explicit solutions to the problem of completing a partially specified
correlation matrix. Our results apply to several block structures for the
unspecified entries that arise in insurance and risk management, where an
insurance company with many lines of business is required to satisfy certain
capital requirements but may have incomplete knowledge of the underlying
correlation matrix. Among the many possible completions, we focus on the one with
maximal determinant. This has attractive properties and we argue that it is
suitable for use in the insurance application. Our explicit formulae enable easy
solution of practical problems and are useful for testing more general algorithms
for the maximal determinant correlation matrix completion problem.
PMID- 29657822
TI - Increasing cell-device adherence using cultured insect cells for receptor-based
biosensors.
AB - Field-effect transistor (FET)-based biosensors have a wide range of applications,
and a bio-FET odorant sensor, based on insect (Sf21) cells expressing insect
odorant receptors (ORs) with sensitivity and selectivity, has emerged. To fully
realize the practical application of bio-FET odorant sensors, knowledge of the
cell-device interface for efficient signal transfer, and a reliable and low-cost
measurement system using the commercial complementary metal-oxide semiconductor
(CMOS) foundry process, will be indispensable. However, the interfaces between
Sf21 cells and sensor devices are largely unknown, and electrode materials used
in the commercial CMOS foundry process are generally limited to aluminium, which
is reportedly toxic to cells. In this study, we investigated Sf21 cell-device
interfaces by developing cross-sectional specimens. Calcium imaging of Sf21 cells
expressing insect ORs was used to verify the functions of Sf21 cells as odorant
sensor elements on the electrode materials. We found that the cell-device
interface was approximately 10 nm wide on average, suggesting that the adhesion
mechanism of Sf21 cells may differ from that of other cells. These results will
help to construct accurate signal detection from expressed insect ORs using FETs.
PMID- 29657823
TI - A biogeographic perspective on the evolution of fire syndromes in pine trees
(Pinus: Pinaceae).
AB - Our goals were to explore the relationship between biogeography and the evolution
of fire-adaptive syndromes in the genus Pinus. We used a previously published
time-calibrated phylogeny and conducted ancestral trait reconstruction to
estimate the likely timing of diversification in Pinus, and to determine when
fire-adaptive syndromes evolved in the lineage. To explore trait conservation
among fire syndromes and to investigate historical biogeography, we constructed
ancestral state reconstructions using the program RASP and estimated the degree
of conservatism for fire-adapted traits in the program BaTS. Our reconstructions
suggest that the Bering land bridge, which connected North America and Asia,
probably played a major role in early pine evolution. Our estimates indicated
that fire-adaptive syndromes seem to have evolved more frequently in New World
taxa and probably are related to the uplift of major North American mountain
ranges. Our data suggest that certain geographically widespread adaptations to
fire evolved repeatedly, possibly due to localized changes in climate and
environment, rather than resulting from large dispersal events of pre-adapted
individuals.
PMID- 29657824
TI - Genome-wide analyses of the bHLH superfamily in crustaceans: reappraisal of
higher-order groupings and evidence for lineage-specific duplications.
AB - The basic helix-loop-helix (bHLH) proteins represent a key group of transcription
factors implicated in numerous eukaryotic developmental and signal transduction
processes. Characterization of bHLHs from model species such as humans, fruit
flies, nematodes and plants have yielded important information on their functions
and evolutionary origin. However, relatively little is known about bHLHs in non
model organisms despite the availability of a vast number of high-throughput
sequencing datasets, enabling previously intractable genome-wide and cross
species analyses to be now performed. We extensively searched for bHLHs in 126
crustacean species represented across major Crustacea taxa and identified 3777
putative bHLH orthologues. We have also included seven whole-genome datasets
representative of major arthropod lineages to obtain a more accurate prediction
of the full bHLH gene complement. With focus on important food crop species from
Decapoda, we further defined higher-order groupings and have successfully
recapitulated previous observations in other animals. Importantly, we also
observed evidence for lineage-specific bHLH expansions in two basal crustaceans
(branchiopod and copepod), suggesting a mode of evolution through gene
duplication as an adaptation to changing environments. In-depth analysis on bHLH
PAS members confirms the phenomenon coined as 'modular evolution' (independently
evolved domains) typically seen in multidomain proteins. With the amphipod
Parhyale hawaiensis as the exception, our analyses have focused on crustacean
transcriptome datasets. Hence, there is a clear requirement for future analyses
on whole-genome sequences to overcome potential limitations associated with
transcriptome mining. Nonetheless, the present work will serve as a key resource
for future mechanistic and biochemical studies on bHLHs in economically important
crustacean food crop species.
PMID- 29657825
TI - Diversity of late Neogene Monachinae (Carnivora, Phocidae) from the North
Atlantic, with the description of two new species.
AB - While the diversity of 'southern seals', or Monachinae, in the North Atlantic
realm is currently limited to the Mediterranean monk seal, Monachus monachus,
their diversity was much higher during the late Miocene and Pliocene. Although
the fossil record of Monachinae from the North Atlantic is mainly composed of
isolated specimens, many taxa have been erected on the basis of fragmentary and
incomparable specimens. The humerus is commonly considered the most diagnostic
postcranial bone. The research presented in this study limits the selection of
type specimens for different fossil Monachinae to humeri and questions fossil
taxa that have other types of bones as type specimens, such as for Terranectes
parvus. In addition, it is essential that the humeri selected as type specimens
are (almost) complete. This questions the validity of partial humeri selected as
type specimens, such as for Terranectes magnus. This study revises Callophoca
obscura, Homiphoca capensis and Pliophoca etrusca, all purportedly known from the
Lee Creek Mine, Aurora, North Carolina, in addition to their respective type
localities in Belgium, South Africa and Italy, respectively. C. obscura is
retained as a monachine seal taxon that lived both on the east coast of North
America and in the North Sea Basin. However, H. capensis from North America
cannot be identified beyond the genus level, and specimens previously assigned to
Pl. etrusca from North America clearly belong to different taxa. Indeed, we also
present new material and describe two new genera of late Miocene and Pliocene
Monachinae from the east coast of North America: Auroraphoca atlantica nov. gen.
et nov. sp., and Virginiaphoca magurai nov. gen. et nov. sp. This suggests less
faunal interchange of late Neogene Monachinae between the east and west coasts of
the North Atlantic than previously expected.
PMID- 29657826
TI - Heel impact forces during barefoot versus minimally shod walking among Tarahumara
subsistence farmers and urban Americans.
AB - Despite substantial recent interest in walking barefoot and in minimal footwear,
little is known about potential differences in walking biomechanics when unshod
versus minimally shod. To test the hypothesis that heel impact forces are similar
during barefoot and minimally shod walking, we analysed ground reaction forces
recorded in both conditions with a pedography platform among indigenous
subsistence farmers, the Tarahumara of Mexico, who habitually wear minimal
sandals, as well as among urban Americans wearing commercially available minimal
sandals. Among both the Tarahumara (n = 35) and Americans (n = 30), impact peaks
generated in sandals had significantly (p < 0.05) higher force magnitudes, slower
loading rates and larger vertical impulses than during barefoot walking. These
kinetic differences were partly due to individuals' significantly greater
effective mass when walking in sandals. Our results indicate that, in general,
people tread more lightly when walking barefoot than in minimal footwear. Further
research is needed to test if the variations in impact peaks generated by walking
barefoot or in minimal shoes have consequences for musculoskeletal health.
PMID- 29657827
TI - Vertical exploration and dimensional modularity in mice.
AB - Exploration is a central component of animal behaviour studied extensively in
rodents. Previous tests of free exploration limited vertical movement to rearing
and jumping. Here, we attach a wire mesh to the arena wall, allowing vertical
exploration. This provides an opportunity to study the morphogenesis of behaviour
along the vertical dimension, and examine the context in which it is performed.
In the current set-up, the mice first use the doorway as a point reference for
establishing a borderline linear path along the circumference of the arena floor,
and then use this path as a linear reference for performing horizontal forays
towards the centre (incursions) and vertical forays on the wire mesh (ascents).
Vertical movement starts with rearing on the wall, and commences with straight
vertical ascents that increase in extent and complexity. The mice first reach the
top of the wall, then mill about within circumscribed horizontal sections, and
then progress horizontally for increasingly longer distances on the upper edge of
the wire mesh. Examination of the sequence of borderline segments, incursions and
ascents reveals dimensional modularity: an initial series (bout) of borderline
segments precedes alternating bouts of incursions and bouts of ascents, thus
exhibiting sustained attention to each dimension separately. The exhibited
separate growth in extent and in complexity of movement and the sustained
attention to each of the three dimensions disclose the mice's modular perception
of this environment and validate all three as natural kinds.
PMID- 29657829
TI - Reviewers in 2017.
PMID- 29657828
TI - An objective in vivo diagnostic method for inflammatory bowel disease.
AB - Inflammatory damage to the bowel, as occurs in inflammatory bowel disease (IBD),
is debilitating to patients. In both patients and animal experimental models,
histological analyses of biopsies and endoscopic examinations are used to
evaluate the disease state. However, such measurements often have delays and are
invasive, while endoscopy is not quantitatively objective. Therefore, a real-time
quantitative method to assess compromised mucosal barrier function is
advantageous. We investigated the correlation of in vivo changes in electrical
transmural impedance with histological measures of inflammation. Four platinum
(Pt) ball electrodes were placed in the lumen of the rat small intestine, with a
return electrode under the skin. Electrodes placed within the non-inflamed
intestine generated stable impedances during the 3 h testing period. Following an
intraluminal injection of 2,4,6-trinitrobenzene sulfonic acid (TNBS), an
established animal model of IBD, impedances in the inflamed region significantly
decreased relative to a region not exposed to TNBS (p < 0.05). Changes in
intestinal transmural impedance were correlated (p < 0.05) with histologically
assessed damage to the mucosa and increases in neutrophil, eosinophil and T-cell
populations at 3 h compared with tissue from control regions. This quantitative,
real-time assay may have application in the diagnosis and clinical management of
IBD.
PMID- 29657830
TI - Conversion to seronegative status after abatacept treatment in patients with
early and poor prognostic rheumatoid arthritis is associated with better
radiographic outcomes and sustained remission: post hoc analysis of the AGREE
study.
AB - Objective: To evaluate the effects of the T-cell costimulation blocker abatacept
on anti-citrullinated protein antibodies (ACPA) and rheumatoid factor (RF) in
early rheumatoid arthritis (RA), and associations between changes in serological
status and clinical response. Methods: Post hoc analysis of the phase III AGREE
study in methotrexate (MTX)-naive patients with early RA and poor prognostic
factors. Patients were randomised to abatacept (~10 mg/kg intravenously according
to weight range) or placebo, plus MTX over 12 months followed by open-label
abatacept plus MTX for 12 months. Autoantibody titres were determined by ELISA at
baseline and months 6 and 12 (double-blind phase). Conversion to seronegative
status and its association with clinical response were assessed at months 6 and
12. Results: Abatacept plus MTX was associated with a greater decrease in ACPA
(but not RF) titres and higher rates of both ACPA and RF conversion to
seronegative status versus MTX alone. More patients converting to ACPA
seronegative status receiving abatacept plus MTX achieved remission according to
Disease Activity Score in 28 joints (C-reactive protein) or Clinical Disease
Activity Index than patients who remained ACPA seropositive. Patients who
converted to ACPA seronegative status treated with abatacept plus MTX had a
greater probability of achieving sustained remission and less radiographic
progression than MTX alone or patients who remained ACPA seropositive (either
treatment). Conclusions: Treatment with abatacept plus MTX was more likely to
induce conversion to ACPA/RF seronegative status in patients with early, erosive
RA. Conversion to ACPA seronegative status was associated with better clinical
and radiographic outcomes. Trial registration number: NCT00122382.
PMID- 29657831
TI - Pharmacodynamic and pharmacokinetic effects and safety of verinurad in
combination with febuxostat in adults with gout: a phase IIa, open-label study.
AB - Objective: Verinurad (RDEA3170) is a high-affinity, selective URAT1 inhibitor in
development for treating gout and asymptomatic hyperuricaemia. This study
evaluated the pharmacodynamics, pharmacokinetics and safety of verinurad in
combination with febuxostat in adults with gout. Methods: The phase IIa, open
label, multicentre study randomised 64 subjects into one of five cohorts to
receive febuxostat (40 or 80 mg) alone or in combination with verinurad 2.5-20
mg. Serial plasma/serum and urine samples were assayed for verinurad and uric
acid. Safety was assessed by adverse events, chemistry panels, ECGs and physical
examinations. Results: Serum pharmacodynamic data demonstrated the maximum
percent decrease in serum urate (sUA) from baseline (Emax) at 8-12 hours after
dosing. Verinurad with febuxostat decreased sUA in a dose-dependent manner. Emax
for verinurad with febuxostat 40 mg ranged from 52% to 77% vs 42% for febuxostat
40 mg alone; Emax for verinurad with febuxostat 80 mg was 62%-82% vs 55% for
febuxostat 80 mg alone. Urinary uric acid excretion rate was reduced below
baseline by febuxostat alone and was comparable to baseline for verinurad with
febuxostat. Verinurad plasma exposure increased with dose and was comparable when
combined with febuxostat. No drug-drug interactions were observed. Verinurad was
well tolerated with no clinically meaningful changes in laboratory values.
Conclusion: Verinurad administered with febuxostat produced dose-dependent
decreases in sUA while maintaining urinary uric acid levels comparable to
baseline. These dose combinations of verinurad and febuxostat were generally well
tolerated. These data support continued investigation of oral verinurad in
patients with gout. Trial registration number: NCT02246673.
PMID- 29657832
TI - Predictive value of serum calprotectin (S100A8/A9) for clinical response after
starting or tapering anti-TNF treatment in patients with rheumatoid arthritis.
AB - Objectives: Calprotectin (S100A8/A9) has been correlated with disease activity in
rheumatoid arthritis (RA). The aim of this study was to investigate the
predictive value of serum calprotectin for clinical response after starting and
tapering anti-tumour necrosis factor treatment in RA. Methods: Serum samples and
clinical outcomes were derived from two longitudinal RA studies.At baseline
(starting or tapering of adalimumab or etanercept), calprotectin levels were
determined by ELISA. In the Biologic Individual Optimised Treatment Outcome
Prediction (BIO-TOP) study, treatment effect was assessed after 6 months using
the European League Against Rheumatism (EULAR) response criteria. In the Dose
Reduction Strategies of Subcutaneous TNF Inhibitors (DRESS) study, patients were
classified at 18 months as being successfully dose reduced, discontinued or not
able to reduce the dose. Area under the receiver operating characteristic curves
(AUC) were generated to evaluate the predictive value of calprotectin and
logistic prediction models were created to assess its added value. Results: In
the BIO-TOP study, calprotectin levels were higher in responders (n=50: 985 ng/mL
(p25-p75: 558-1417)) compared with non-responders (n=75: 645 ng/mL (p25-p75: 415
973), p=0.04).AUC for predicting EULAR good response was 0.61 (95% CI 0.50 to
0.71). The prediction model with calprotectin (AUC 0.77, 95% CI 0.68 to 0.85)
performed similarly to the baseline model (AUC 0.74, 95% CI 0.65 to 0.82,
p=0.29). In the DRESS study, calprotectin levels were similar between the three
groups (n=47; n=19; n=36) and calprotectin was not predictive for clinical
response after tapering. Conclusions: Serum calprotectin has some predictive
value for clinical response after starting anti-TNF treatment, although it has no
added value to other clinical factors. In patients with low disease activity,
serum calprotectin is not predictive for clinical response after tapering anti
TNF treatment. Trial registration number: NTR4647 (BIO-TOP study) and NTR3216
(DRESS study); Pre-results.
PMID- 29657833
TI - Paradoxical arthritis occurring during anti-TNF in patients with inflammatory
bowel disease: histological and immunological features of a complex synovitis.
AB - Objective: Paradoxical arthritis under tumour necrosis factor inhibitor (TNF-i)
for inflammatory bowel disease (IBD) has been described. This study aims to
evaluate the histological features of paired synovial tissue (ST) and colonic
mucosa (CM) tissue in patients with IBD developing paradoxical arthritis under
TNF-i. Methods: Patients with IBD without history of coexisting joint involvement
who developed arthritis under TNF-i were enrolled. Each patient underwent ST
biopsy and ileocolonoscopy with CM biopsies. ST and CM paired samples were
stained through immunohistochemistry (IHC) for CD68, CD21, CD20, CD3 and CD117.
Clinical and immunological parameters (anticitrullinated peptides antibodies
(ACPA)-immunoglobulin (Ig)M/IgA rheumatoid factor (RF)) were collected. Psoriatic
arthritis (PsA) and ACPA/IgM-RF/IgA-RF negative rheumatoid arthritis (RA) were
enrolled as comparison. Results: 10 patients with IBD (age 46.0+/-9.7 years,
13.2+/-9.9 years of disease duration, 2.5+/-1.6 years of TNF-i exposure, six with
Crohn's disease and four with ulcerative colitis, respectively) were studied. At
ST level, IHC revealed that patients with IBD with paradoxical arthritis showed
more similar histological findings in terms of synovial CD68+, CD21+, CD20+, CD3+
and CD117+ cells compared with PsA than ACPA/IgM-RF/IgA-RF negative RA. Analysing
the CM specimens, patients with IBD showed the presence of CD68+, CD3+, CD117+
and CD20+ cells in 100%, 70%, 60% and 50% of cases, respectively, despite
endoscopic remission. Finally, addition of conventional disease-modifying
antirheumatic drugs and switch to ustekinumab were more effective than swapping
into different TNF-i in patients with IBD with paradoxical arthritis. Conclusion:
Patients with IBD may develop histologically proven synovitis during TNF-i,
comparable to PsA. The inhibition of inflammatory pathways alternative to TNF
(IL12/1L23) may be an effective therapeutic option for severe paradoxical
articular manifestations.
PMID- 29657834
TI - Use of EHR-based simulation to diagnose aetiology of information gathering issues
in struggling learners: a proof of concept study.
AB - Learners who struggle with clinical decision making are often the most
challenging to identify and remediate. While for some learners, struggles can be
directly traced to a poor knowledge base, for many others, it is more difficult
to understand the reason for their struggles. One of the main component of
effective decision making is access to accurate and complete clinical
information. The electronic health record (EHR) is the main source of clinical
information and, with its widespread adoption, has come increased realisation
that a large fraction of users have difficulty in effectively gathering and
subsequently processing information out of the EHR. We previously documented that
high-fidelity EHR-based simulation improves EHR usability and, when combined with
eye and screen tracking, generates important measures of usability. We
hypothesised that the same simulation exercise could help distinguish whether
learners had difficulty in knowledge, information gathering or information
processing. We report the results of the first three struggling learners who
participated in this exercise. In each case, the simulation was able to
'diagnose' the aetiology for the learners' struggle and assist in formulating an
appropriate solution. We suggest that high-fidelity EHR-based simulation can be a
powerful tool in the standard approach to understanding struggling learners.
PMID- 29657835
TI - What does patient engagement mean for Canadian National Transplant Research
Program Researchers?
AB - Plain English summary: In recent years, the importance of involving patients in
research has been increasingly recognized because it increases the relevance and
quality of research, facilitates recruitment, enhances public trust and allows
for more effective dissemination of results. The Canadian National Transplant
Research Program (CNTRP) is an interdisciplinary research team looking at a
variety of issues related to organ and tissue donation and transplantation. The
aim of this study was to gather the perspectives of CNTRP researchers on engaging
patients in research.We conducted interviews with 10 researchers who attended a
national workshop on priority-setting in organ donation and transplant research.
The researchers viewed patient engagement in research as necessary and important.
They also considered that patients could be engaged at every step of the research
process. Participants in this study identified scientific language, time, money,
power imbalance, patient selection and risk of tokenism as potential barriers to
patient engagement in research. Training, adequate resources and support from the
institution were identified as facilitators of patient engagement.This study
showed a positive attitude among researchers in the field of organ donation and
transplantation. Further studies are needed to study the implementation and
impact of patient engagement in research within the CNTRP. Abstract: Background
Involving patients in research has been acknowledged as a way to enhance the
quality, relevance and transparency of medical research. No previous studies have
looked at researchers' perspectives on patient engagement (PE) in organ donation
and transplant research in Canada. Objective The aim of this study was to gather
the perspectives of Canadian National Transplant Research Program (CNTRP)
researchers on PE in research. Methods We conducted semi-structured interviews
with ten researchers who attended a national workshop on priority-setting in
organ donation and transplant research. The interviews were digitally recorded
and transcribed verbatim, and the transcripts were subjected to qualitative
thematic and content analyses. Results The researchers viewed PE in research as
necessary and important. PE was a method to incorporate the voice of the patient.
They also considered that patients could be engaged at every step of the research
process. The following were identified as the main barriers to PE in research:
(i) scientific jargon; (ii) resources (time and money); (iii) tokenism; (iv)
power imbalance; and (v) patient selection. Facilitating factors included (i)
training for patients and researchers, (ii) adequate resources and (iii)
institutional support. Conclusion This study revealed a favourable attitude and
willingness among CNTRP researchers to engage and partner with patients in
research. Further studies are needed to assess the implementation of PE strategy
within the CNTRP and its impact.
PMID- 29657836
TI - Resistive index of ophthalmic artery correlates with retinal pigment epithelial
alterations on spectral domain optical coherence tomography in diabetic
retinopathy.
AB - Background: Retinal pigment epithelium (RPE) plays a significant role in
maintenance of integrity of retinal photoreceptors and choriocapillaries. RPE
derives its blood supply through ophthalmic artery (OA) via choriocapillaries.
RPE topographic alterations have been observed to be associated with severity of
retinopathy. The present study was undertaken to assess the correlation between
resistive index (RI) of the OA with RPE topographic alterations on Spectral
Domain optical coherence tomography (SD-OCT), to our knowledge, it is for the
first time. Methods: A tertiary care center based cross-sectional study was
undertaken after informed consent. Sample size was calculated using 95%
confidence interval. Seventy five consecutive cases of type 2 diabetes mellitus
between the ages of 40 and 70 years were included. The cases were divided into
three groups according to Early Treatment Diabetic Retinopathy Study
classification: diabetes mellitus with no retinopathy (No DR) (n = 24); non
proliferative diabetic retinopathy (n = 27); and proliferative diabetic
retinopathy (n = 24). Healthy control subjects of similar age group were included
(n = 24). RI in OA was studied using Color Doppler imaging. Grades of RPE
topographic alterations and retinal photoreceptor ellipsoid zone (EZ) disruption
were studied using SD-OCT. Data was analysed using Chi square (chi2) test,
analysis of variance (ANOVA), Pearson correlation analysis and Neuman-Keuls test.
Results: LogMAR best corrected visual acuity was found to increase significantly
with the severity of DR (F = 105.74, p < 0.001). ANOVA revealed a significant
increase in RI of OA (F = 14.23, p < 0.001) with severity of diabetic
retinopathy. chi2 test revealed significant increase in grades of RPE alterations
(chi2 = 71.83, p < 0.001) and EZ disruption (chi2 = 60.59, p < 0.001) with the
severity of diabetic retinopathy. Pearson correlation analyses revealed a
significant positive correlation between RI of OA with grades of RPE alterations
(r = 0.48, p < 0.001) and also between grades of RPE alterations and EZ
disruption (r = 0.82, p < 0.001). Conclusions: Decrease in ocular blood flow
resulting from an increase in RI of OA correlates with severity of DR and grades
of topographic alterations in RPE. Integrity of EZ was observed to be dependent
on RPE.
PMID- 29657837
TI - Inter- and intracontinental migrations and local differentiation have shaped the
contemporary epidemiological landscape of canine parvovirus in South America.
AB - Canine parvovirus (CPV) is a fast-evolving single-stranded DNA virus that causes
one of the most significant infectious diseases of dogs. Although the virus
dispersed over long distances in the past, current populations are considered to
be spatially confined and with only a few instances of migration between specific
localities. It is unclear whether these dynamics occur in South America where
global studies have not been performed. The aim of this study is to analyze the
patterns of genetic variability in South American CPV populations and explore
their evolutionary relationships with global strains. Genomic sequences of sixty
three strains from South America and Europe were generated and analyzed using a
phylodynamic approach. All the obtained strains belong to the CPV-2a lineage and
associate with global strains in four monophyletic groups or clades. European and
South American strains from all the countries here analyzed are representative of
a widely distributed clade (Eur-I) that emerged in Southern Europe during 1990-98
to later spread to South America in the early 2000s. The emergence and spread of
the Eur-I clade were correlated with a significant rise in the CPV effective
population size in Europe and South America. The Asia-I clade includes strains
from Asia and Uruguay. This clade originated in Asia during the late 1980s and
evolved locally before spreading to South America during 2009-10. The third clade
(Eur-II) comprises strains from Italy, Brazil, and Ecuador. This clade appears in
South America as a consequence of an early introduction from Italy to Ecuador in
the middle 1980s and has experienced extensive local genetic differentiation.
Some strains from Argentina, Uruguay, and Brazil constitute an exclusive South
American clade (SA-I) that emerged in Argentina in the 1990s. These results
indicate that the current epidemiological scenario is a consequence of inter- and
intracontinental migrations of strains with different geographic and temporal
origins that set the conditions for competition and local differentiation of CPV
populations. The coexistence and interaction of highly divergent strains are the
main responsible for the drastic epidemiological changes observed in South
America in the last two decades. This highlights the threat of invasion from
external sources and the importance of whole-genome resolution to robustly infer
the origin and spread of new CPV variants. From a taxonomic standpoint, the
findings herein show that the classification system that uses a single amino acid
to identify variants (2a, 2b, and 2c) within the CPV-2a lineage does not reflect
phylogenetic relationships and is not suitable to analyze CPV evolution. In this
regard, the identification of clades or sublineages within circulating CPV
strains is the first step towards a genetic and evolutionary classification of
the virus.
PMID- 29657838
TI - Collective cell migration over long time scales reveals distinct phenotypes.
AB - Introduction: Migratory phenotypes of metastasizing tumor cells include single
and collective cell migration. While migration of tumor cells is generally less
cooperative than that of normal epithelial cells, our understanding of precisely
how they differ in long time behavior is incomplete. Objectives: We measure in a
model system how cancer progression affects collective migration on long time
scales, and determine how perturbation of cell-cell adhesions, specifically
reduced E-cadherin expression, affects the collective migration phenotype.
Methods: Time lapse imaging of cellular sheets and particle image velocimetry
(PIV) are used to quantitatively study the dynamics of cell motion over ten
hours. Long time dynamics are measured via finite time Lyapunov exponents (FTLE)
and changes in FTLE with time. Results: We find that non-malignant MCF10A cells
are distinguished from malignant MCF10CA1a cells by both their short time
(minutes) and long time (hours) dynamics. In addition, short time dynamics
distinguish non-malignant E-cadherin knockdown cells from the control, but long
time dynamics and increasing spatial correlations remain unchanged. Discussion:
Epithelial sheet collective behavior includes long time dynamics that cannot be
captured by metrics that assess cooperativity based on short time dynamics, such
as instantaneous speed or directionality. The use of metrics incorporating
migration data over hours instead of minutes allows us to more precisely describe
how E-cadherin, a clinically relevant adhesion molecule, affects collective
migration. We predict that the long time scale metrics described here will be
more robust and predictive of malignant behavior than analysis of instantaneous
velocity fields alone.
PMID- 29657839
TI - Current concepts for aligning knee implants: patient-specific or systematic?
AB - Mechanical or anatomical alignment techniques create a supposedly
'biomechanically friendly' but often functionally limited prosthetic
knee.Alternative techniques for alignment in total knee arthroplasty (TKA) aim at
being more anatomical and patient-specific, aiming to improve functional outcomes
after TKA.The kinematic alignment (KA) technique for TKA has shown good early
clinical outcomes. Its role in extreme anatomical variation remains to be
defined.The restricted KA technique for TKA might be a reasonable option for
patients with extreme anatomical variation.While unicompartmental knee
arthroplasty (UKA) has many advantages over TKA, the revision rate remains higher
compared with TKA. One major explanation is the relative ease with which a UKA
can be converted to a TKA, compared with revising a TKA. This can be considered
as an additional advantage of UKA. Another reason is that surgeons favour
revising a UKA to a TKA in cases of degeneration of the other femorotibial
compartment rather than performing a relatively simple re-operation of the knee
by doing an additional UKA (staged bi-UKA). Cite this article: EFORT Open Rev
2018;3:1-6. DOI: 10.1302/2058-5241.3.170021.
PMID- 29657840
TI - Third-generation pure alumina and alumina matrix composites in total hip
arthroplasty: What is the evidence?
AB - Wear, corrosion and periprosthetic osteolysis are important causes of failure in
joint arthroplasty, especially in young patients.Ceramic bearings, developed 40
years ago, are an increasingly popular choice in hip arthroplasty. New
manufacturing procedures have increased the strength and reliability of ceramic
materials and reduced the risk of complications.In recent decades, ceramics made
of pure alumina have continuously improved, resulting in a surgical-grade
material that fulfills clinical requirements.Despite the track record of safety
and long-term results, third-generation pure alumina ceramics are being replaced
in clinical practice by alumina matrix composites, which are composed of alumina
and zirconium.In this review, the characteristics of both materials are
discussed, and the long-term results with third-generation alumina-on-alumina
bearings and the associated complications are compared with those of other
available ceramics. Cite this article: EFORT Open Rev 2018;3:7-14. DOI:
10.1302/2058-5241.3.170034.
PMID- 29657841
TI - Locked posterior dislocation of the shoulder: A systematic review.
AB - Locked posterior dislocation of the shoulder is very rare. Seizures and trauma
are the most common causes of this injury.There is no current benchmark treatment
strategy for these rare cases.This study has shown that reconstruction of the
shoulder joint in an anatomical way in acute and chronic cases up to 16 weeks
provides good results.The purpose of this study is to evaluate the results of
different treatment procedures with outcomes and to compare the results of the
same procedures in acute and chronic cases. Cite this article: EFORT Open Rev
2017;3:15-23. DOI: 10.1302/2058-5241.3.160089.
PMID- 29657842
TI - Repair of syndesmosis injury in ankle fractures: Current state of the art.
AB - Conventional treatment of syndesmosis injuries in rotationally unstable ankle
fractures is associated with an unacceptably high rate of malreduction, and this
has led to a paradigm shift in the approach to a newer concept of anatomical
repair.In the anatomical approach, the principle is to 'directly fix what is
broken and repair what is torn'. The approach is effective in reducing the rate
of syndesmosis malreduction, increasing the biomechanical strength of syndesmosis
fixation and avoiding the need for trans-syndesmotic fixation and its secondary
removal.The objective of this review article is to compare the conventional
treatment of these injuries (accepted usage, general consent, traditional,
generally accepted) with a newer anatomical approach to be considered as a shift
in thinking. Cite this article: EFORT Open Rev 2018;3:24-29. DOI: 10.1302/2058
5241.3.170017.
PMID- 29657843
TI - Impingement around the hip: beyond cam and pincer.
AB - In this review, we bring to the attention of the reader three relatively unknown
types of hip impingement. We explain the concept of low anterior inferior iliac
spine (AIIS) impingement, also known as sub-spine impingement, ischio-femoral
impingement (IFI) and pelvi-trochanteric impingement. For each type of
impingement, we performed a search of relevant literature.We searched the PubMed,
Medline (Ovid) and Embase databases from 1960 to March 2016. For each different
type of impingement, a different search strategy was conducted.In total, 19
studies were included and described. No data analysis was performed since there
was not much comparable data between studies.An overview of symptoms, clinical
tests and possible surgical treatment options for the three different types of
extra-articular impingement is provided.Several disorders around the hip can
cause similar complaints. Therefore, we plead for a standardized classification.
In young and athletic patients, in particular, there is much to gain if hip
impingement is diagnosed early. Cite this article: EFORT Open Rev 2018;3:30-38.
DOI: 10.1302/2058-5241.3.160068.
PMID- 29657844
TI - Spine-hip relations in patients with hip osteoarthritis.
AB - Patients with hip osteoarthritis often have an abnormal spine-hip relation (SHR),
meaning the presence of a clinically deleterious spine-hip and/or hip-spine
syndrome.Definition of the individual SHR is ideally done using the EOS(r)
imaging system or, if not available, with conventional lumbopelvic lateral
radiographs.By pre-operatively screening patients with abnormal SHR, it is
possible to refine total hip replacement (THR) surgical planning, which may
improve outcomes.An important component of the concept of kinematically aligned
total hip arthroplasty (KA THA) consists of defining the optimal acetabular cup
design and orientation based on the assessment of an individual's SHR, and use of
the transverse acetabular ligament to adjust the cup positioning.The Bordeaux
classification might advance the understanding of SHR and hopefully help improve
THR outcomes. Cite this article: EFORT Open Rev 2018;3:39-44. DOI: 10.1302/2058
5241.3.170020.
PMID- 29657845
TI - Long-term bone remodelling around 'legendary' cementless femoral stems.
AB - Bone remodelling around a stem is an unavoidable long-term physiological process
highly related to implant design. For some predisposed patients, it can lead to
periprosthetic bone loss secondary to severe stress-shielding, which is thought
to be detrimental by contributing to late loosening, late periprosthetic
fracture, and thus rendering revision surgery more complicated.However, these
concerns remain theoretical, since late loosening has yet to be documented among
bone ingrowth cementless stems demonstrating periprosthetic bone loss associated
with stress-shielding.Because none of the stems replicate the physiological load
pattern on the proximal femur, each stem design is associated with a specific
load pattern leading to specific adaptive periprosthetic bone remodelling. In
their daily practice, orthopaedic surgeons need to differentiate physiological
long-term bone remodelling patterns from pathological conditions such as
loosening, sepsis or osteolysis.To aid in that process, we decided to clarify the
behaviour of the five most used femoral stems. In order to provide translational
knowledge, we decided to gather the designers' and experts' knowledge and
experience related to the design rationale and the long-term bone remodelling of
the following femoral stems we deemed 'legendary' and still commonly used: Corail
(Depuy); Taperloc (Biomet); AML (Depuy); Alloclassic (Zimmer); and CLS-Spotorno
(Zimmer). Cite this article: EFORT Open Rev 2018;3:45-57. DOI: 10.1302/2058
5241.3.170024.
PMID- 29657847
TI - Axillary nerve injury associated with glenohumeral dislocation: A review and
algorithm for management.
AB - Axillary nerve injury is a well-recognized complication of glenohumeral
dislocation. It is often a low-grade injury which progresses to full recovery
without intervention. There is, however, a small number of patients who have
received a higher-grade injury and are less likely to achieve a functional
recovery without surgical exploration and reconstruction.Following a review of
the literature and consideration of local practice in a regional peripheral nerve
injury unit, an algorithm has been developed to help identification of those
patients with more severe nerve injuries.Early identification of patients with
high-grade injuries allows rapid referral to peripheral nerve injury centres,
allowing specialist observation or intervention at an early stage in their
injury, thus aiming to maximize potential for recovery. Cite this article: EFORT
Open Rev 2018;3:70-77. DOI:10.1302/2058-5241.3.170003.
PMID- 29657846
TI - Reverse total shoulder arthroplasty.
AB - Since the introduction of reverse total shoulder arthroplasty (RTSA) in 1987 (in
Europe) and 2004 (in the United States), the number of RTSAs performed annually
has increased.Although the main indication for RTSA has been rotator cuff tears,
indications have expanded to include several shoulder conditions, many of which
involve dysfunction of the rotator cuff.RTSA complications have been reported to
affect 19% to 68% of patients and include acromial fracture, haematoma,
infection, instability, mechanical baseplate failure, neurological injury,
periprosthetic fracture and scapular notching.Current controversies in RTSA
include optimal baseplate positioning, humeral neck-shaft angle (135 degrees
versus 155 degrees ), glenosphere placement (medial, lateral or bony increased
offset RTSA) and subscapularis repair.Improvements in prosthesis design, surgeon
experience and clinical results will need to occur to optimize this treatment for
many shoulder conditions. Cite this article: EFORT Open Rev 2018;3:58-69 DOI:
10.1302/2058-5241.3.170044.
PMID- 29657848
TI - Medial subvastus versus the medial parapatellar approach for total knee
replacement: A systematic review and meta-analysis of randomized controlled
trials.
AB - Twenty randomized controlled trials comprising 1893 primary total knee
replacements were included in this review.The subvastus approach conferred
superior results for mean difference (MD) in time to regain an active straight
leg raise (1.7 days, 95% confidence interval [CI] 1.0 to 2.3), visual analogue
score for pain on day one (0.8 points on a scale out of 10, 95% CI 0.2 to 1.4)
and total range of knee movement at one week (7 degrees , 95% CI 3.2 to 10.7).
The subvastus approach also resulted in fewer lateral releases (odds ratio 0.4,
95% CI 0.2 to 0.7) and less peri-operative blood loss (MD 57 mL, 95% CI 10.5 to
106.4) but prolonged surgical times (MD 9.7 min, 95% CI 3.9 to 15.6).There was no
difference in Knee Society Score at six weeks or one year, or the rate of adverse
events including superficial or deep infection, deep vein thrombosis or knee
stiffness requiring manipulation under anaesthesia.This review demonstrates
evidence of early post-operative benefits following the subvastus approach with
equivalence between approaches thereafter. Cite this article: EFORT Open Rev
2018;3:78-84. DOI: 10.1302/2058-5241.3.170030.
PMID- 29657849
TI - Talar process fractures: An overview and update of the literature.
AB - Fractures of the lateral and the posterior processes of the talus are uncommon
and frequently missed because of a low level of suspicion and difficulty in
interpretation on plain radiographs. Missed fractures can lead to persistent pain
and reduced function.Lateral process fractures are usually a consequence of
forced dorsiflexion and inversion of fixed pronated foot. These are also commonly
known as snowboarder's fractures.The posterior process of the talus is composed
of medial and lateral tubercles, separated by the groove for the flexor hallucis
longus tendon.The usual mechanism of injury is forced hyperplantarflexion and
inversion causing direct compression of the posterior talus, or an avulsion
fracture caused by the posterior talofibular ligament. CT scans are helpful in
cases of high clinical suspicion.There is a lack of consensus regarding optimal
management of these fractures; however, management depends on the size, location
and displacement of the fragment, the degree of cartilage damage and instability
of the subtalar joint. Non-operative treatment includes immobilization and
protected weight-bearing for six weeks. Surgical treatment includes open
reduction and internal fixation or excision of the fragments, depending on the
size.Fractures of the lateral and the posterior processes of the talus are
uncommon but important injuries that may result in significant disability in
cases of missed diagnosis or delayed or inadequate treatment. Early diagnosis and
timely management of these fractures help to avoid long-term complications,
including malunion, nonunion or severe subtalar joint osteoarthritis. Cite this
article: EFORT Open Rev 2018;3:85-92. DOI: 10.1302/2058-5241.3.170040.
PMID- 29657850
TI - Hamstring autograft size importance in anterior cruciate ligament repair surgery.
AB - Graft size in hamstring autograft anterior cruciate ligament (ACL) surgery is an
important factor directly related to failure. Most of the evidence in the field
suggests that the size of the graft in hamstring autograft ACL reconstruction
matters when the surgeon is trying to avoid failures.The exact graft diameter
needed to avoid failures is not absolutely clear and could depend on other
factors, but newer studies suggest than even increases of 0.5 mm up to a graft
size of 10 mm are beneficial for the patient. There is still no evidence to
recommend the use of grafts > 10 mm.Several methods - e.g. folding the graft in
more strands - that are simple and reproducible have been published lately to
address the problem of having an insufficient graft size when performing an ACL
reconstruction. Due to the evidence presented, we think it is necessary for the
surgeon to have them in his or her arsenal before performing an ACL
reconstruction.There are obviously other factors that should be considered,
especially age. Therefore, a larger graft size should not be taken as the only
goal in ACL reconstruction. Cite this article: EFORT Open Rev 2018;3:93-97. DOI:
10.1302/2058-5241.3.170038.
PMID- 29657851
TI - Kinematic alignment technique for total hip and knee arthroplasty: The
personalized implant positioning surgery.
AB - Conventional techniques for hip and knee arthroplasty have led to good long-term
clinical outcomes, but complications remain despite better surgical precision and
improvements in implant design and quality.Technological improvements and a
better understanding of joint kinematics have facilitated the progression to
'personalized' implant positioning (kinematic alignment) for total hip (THA) and
knee (TKA) arthroplasty, the true value of which remains to be determined.By
achieving a true knee resurfacing, the kinematic alignment (KA) technique for TKA
aims at aligning the components with the physiological kinematic axes of the knee
and restoring the constitutional tibio-femoral joint line frontal and axial
orientation and soft-tissue laxity.The KA technique for THA aims at restoring the
native 'combined femoro-acetabular anteversion' and the hip's centre of rotation,
and occasionally adjusting the cup position and design based on the assessment of
the individual spine-hip relation.The key element for optimal prosthetic joint
kinematics (hip or knee) is to reproduce the femoral anatomy.The transverse
acetabular ligament (TAL) is the reference landmark to adjust the cup position.
Cite this article: EFORT Open Rev 2018;3:98-105. DOI: 10.1302/2058-5241.3.170022.
PMID- 29657853
TI - Training scientists as future industry leaders: teaching translational science
from an industry executive's perspective.
AB - PhDs and post-doctoral biomedical graduates, in greater numbers, are choosing
industry based careers. However, most scientists do not have formal training in
business strategies and venture creation and may find senior management positions
untenable. To fill this training gap, "Biotechnology Industry: Structure and
Strategy" was offered at New York University School of Medicine (NYUSOM). The
course focuses on the business aspects of translational medicine and research
translation and incorporates the practice of business case discussions, mock
negotiation, and direct interactions into the didactic. The goal is to teach
scientists at an early career stage how to create solutions, whether at the
molecular level or via the creation of devices or software, to benefit those with
disease. In doing so, young, talented scientists can develop a congruent mindset
with biotechnology/industry executives. Our data demonstrates that the course
enhances students' knowledge of the biotechnology industry. In turn, these
learned skills may further encourage scientists to seek leadership positions in
the field. Implementation of similar courses and educational programs will
enhance scientists' training and inspire them to become innovative leaders in the
discovery and development of therapeutics.
PMID- 29657854
TI - Targeted drug discovery and development, from molecular signaling to the global
market: an educational program at New York University, 5-year metrics.
AB - Drug discovery and development (DDD) is a collaborative, dynamic process of great
interest to researchers, but an area where there is a lack of formal training.
The Drug Development Educational Program (DDEP) at New York University was
created in 2012 to stimulate an improved, multidisciplinary DDD workforce by
educating early stage scientists as well as a variety of other like-minded
students. The first course of the program emphasizes post-compounding aspects of
DDD; the second course focuses on molecular signaling pathways. In five years,
196 students (candidates for PhD, MD, Master's degree, and post-doctoral MD/PhD)
from different schools (Medicine, Biomedical Sciences, Dentistry, Engineering,
Business, and Education) completed the course(s). Pre/post surveys demonstrate
knowledge gain across all course topics. 26 students were granted career
development awards (73% women, 23% underrepresented minorities). Some graduates
of their respective degree-granting/post-doctoral programs embarked on DDD
related careers. This program serves as a framework for other academic
institutions to develop compatible programs designed to train a more informed DDD
workforce.
PMID- 29657855
TI - Developing sustainable research careers for KL2 scholars: The importance of an
inclusive environment and mentorship.
AB - Introduction: The National Clinical and Translational Science Award (CTSA)
Consortium 2.0 has developed common metrics as a collaborative project for all
participating sites. Metrics address several important aspects and functions of
the consortium, including workforce development. The first workforce development
metrics to be proposed for all CTSA hubs include the proportion of CTSA-supported
trainees and scholars with sustainable careers in translational research and the
diversity and inclusiveness of programs. Methods and results: The University of
Utah Center for Clinical and Translational Science (CCTS), a CTSA hub, has been
actively engaged in mentoring translational scientists for the last decade. We
have developed programs, processes, and institutional policies that support
translational scientists, which have resulted in 100% of our KL2 scholars
remaining engaged in translational science and in increasing the inclusion of
individuals under-represented in medicine in our research enterprise. In this
paper, we share details of our program and what we believe are evidence-based
best practices for developing sustainable translational research careers for all
aspiring junior faculty members. Conclusions: The University of Utah Center for
Clinical and Translational Science has been integral in catalyzing interactions
across the campus to reverse the negative trends seen nationally in sustaining
clinician scientists. Our programs and processes can serve as a model for other
institutions seeking to develop translational scientists.
PMID- 29657852
TI - Designing hydrogels for controlled drug delivery.
AB - Hydrogel delivery systems can leverage therapeutically beneficial outcomes of
drug delivery and have found clinical use. Hydrogels can provide spatial and
temporal control over the release of various therapeutic agents, including small
molecule drugs, macromolecular drugs and cells. Owing to their tunable physical
properties, controllable degradability and capability to protect labile drugs
from degradation, hydrogels serve as a platform in which various physiochemical
interactions with the encapsulated drugs control their release. In this Review,
we cover multiscale mechanisms underlying the design of hydrogel drug delivery
systems, focusing on physical and chemical properties of the hydrogel network and
the hydrogel-drug interactions across the network, mesh, and molecular (or
atomistic) scales. We discuss how different mechanisms interact and can be
integrated to exert fine control in time and space over the drug presentation. We
also collect experimental release data from the literature, review clinical
translation to date of these systems, and present quantitative comparisons
between different systems to provide guidelines for the rational design of
hydrogel delivery systems.
PMID- 29657856
TI - Collaborative academic medical product development: An 8-year review of
commercialization outcomes at the Institute of Translational Health Sciences.
AB - Introduction: The Institute of Translational Health Sciences (ITHS), a Clinical
and Translational Science Award (CTSA)-funded program at the University of
Washington (UW), established the Drug and Device Advisory Committee (DDAC) to
provide product-specific scientific and regulatory mentoring to investigators
seeking to translate their discoveries into medical products. An 8-year
retrospective analysis was undertaken to evaluate the impact of the DDAC programs
on commercialization metrics. Methods: Tracked metrics included the number of
teams who consulted with the DDAC, initiated a clinical trial, formed a startup,
or were successful obtaining federal small business innovation awards or venture
capital. The review includes historical comparisons of the startup rates for the
UW School of Medicine and the Fred Hutchinson Cancer Research Center, two ITHS
affiliated institutions that have had different DDAC utilization rates. Results:
Between 2008 and 2016, the DDAC supported 161 unique project teams, 28% of which
went on to form a startup. The commercialization rates for the UW School of
Medicine increased significantly following integration of the DDAC into the
commercialization programs offered by the UW technology transfer office.
Conclusions: A formalized partnership between preclinical consulting and the
technology transfer programs provides an efficient use of limited development
funds and a more in-depth vetting of the business opportunity and regulatory path
to development.
PMID- 29657857
TI - The ASIBS Short Course: A unique strategy for increasing statistical competency
of junior investigators in academic medicine.
AB - As clinical researchers at academic medical institutions across the United States
increasingly manage complex clinical databases and registries, they often lack
the statistical expertise to utilize the data for research purposes. This
statistical inadequacy prevents junior investigators from disseminating clinical
findings in peer-reviewed journals and from obtaining research funding, thereby
hindering their potential for promotion. Underrepresented minorities, in
particular, confront unique challenges as clinical investigators stemming from a
lack of methodologically rigorous research training in their graduate medical
education. This creates a ripple effect for them with respect to acquiring full
time appointments, obtaining federal research grants, and promotion to leadership
positions in academic medicine. To fill this major gap in the statistical
training of junior faculty and fellows, the authors developed the Applied
Statistical Independence in Biological Systems (ASIBS) Short Course. The overall
goal of ASIBS is to provide formal applied statistical training, via a hybrid
distance and in-person learning format, to junior faculty and fellows actively
involved in research at US academic medical institutions, with a special emphasis
on underrepresented minorities. The authors present an overview of the design and
implementation of ASIBS, along with a short-term evaluation of its impact for the
first cohort of ASIBS participants.
PMID- 29657858
TI - Adapting the buying funnel model of consumer behavior to the design of an online
health research recruitment tool.
AB - Introduction: UMHealthResearch is the University of Michigan's digital health
research recruitment platform. It allows health researchers to connect
efficiently with potentially eligible volunteers. Methods: In 2013, the
UMHealthResearch team strategically adapted a consumer behavior model, the buying
funnel, to create the Digital Health Research Participation Funnel. The Digital
Health Research Participation Funnel was then used to design a more active way
for potential participants to volunteer for research studies through
UMHealthResearch. Results: In the 5 years before the redesign (2007-2012), an
average of 1844 new accounts were created every year, whereas in the completed
years after the redesign (2013-2016) the annual average improved to 3906, an
increase of 111%. Conclusion: Although a randomized design was not possible in
this instance, these preintervention and postintervention data suggest that the
focus on user experience is an effective strategy for improving web-based
research recruitment platforms.
PMID- 29657860
TI - Toward a science of translational science.
AB - Translational research as a discipline has experienced explosive growth over the
last decade as evidenced by significant federal investment and the exponential
increase in related publications. However, narrow project-focused or process
based measurement approaches have resulted in insufficient techniques to measure
the translational progress of institutions or large-scale networks. A shift from
traditional industrial engineering approaches to systematic investigation using
the techniques of scientometrics and network science will be required to assess
the impact of investments in translational research.
PMID- 29657861
TI - Assessing usefulness and researcher satisfaction with consent form templates.
AB - Introduction: We aimed to improve the research consenting process by developing
and evaluating simplified consent forms. Methods: Four templates written at the
eighth-tenth grade reading level were developed and trialed by a group of experts
in clinical research, health literacy, national regulatory requirements, and end
users. Researchers from protocols which had received expedited review were
surveyed at 2 time points regarding their use and assessment of the templates.
Results: At baseline 18/86 (20.9%) responding researchers had heard of the
templates and 5 (5.8%) reported that they had used them; 2 years later, 54.2%
(32/59) had heard of the templates and 87.5% (28/32) had used them (p<0.001).
Conclusions: Consent form templates may be one mechanism to improve patient
comprehension of research protocols as well as efficiency of the review process,
but require considerable time for development and implementation, and one key to
their success is involvement and support from the IRB and technical staff.
PMID- 29657859
TI - A survey of practices for the use of electronic health records to support
research recruitment.
AB - Electronic health records (EHRs) provide great promise for identifying cohorts
and enhancing research recruitment. Such approaches are sorely needed, but there
are few descriptions in the literature of prevailing practices to guide their
use. A multidisciplinary workgroup was formed to examine current practices in the
use of EHRs in recruitment and to propose future directions. The group surveyed
consortium members regarding current practices. Over 98% of the Clinical and
Translational Science Award Consortium responded to the survey. Brokered and self
service data warehouse access are in early or full operation at 94% and 92% of
institutions, respectively, whereas, EHR alerts to providers and to research
teams are at 45% and 48%, respectively, and use of patient portals for research
is at 20%. However, these percentages increase significantly to 88% and above if
planning and exploratory work were considered cumulatively. For most approaches,
implementation reflected perceived demand. Regulatory and workflow processes were
similarly varied, and many respondents described substantive restrictions arising
from logistical constraints and limitations on collaboration and data sharing.
Survey results reflect wide variation in implementation and approach, and point
to strong need for comparative research and development of best practices to
protect patients and facilitate interinstitutional collaboration and multisite
research.
PMID- 29657862
TI - A review of social media methods and lessons learned from the National Children's
Study.
AB - Introduction: Given the reach and influence of social media, the National
Children's Study Vanguard Study evaluated the feasibility, acceptability, and
cost of using social media to support participant retention. Methods: We describe
a social media experiment designed to assess the impact of social media on
participant retention, discuss several key considerations for integrating social
media into longitudinal research, and review factors that may influence
engagement in research-related social media. Results: User participation varied
but was most active when at launch. During the short life of the private online
community, a total of 39 participants joined. General enthusiasm about the
prospect of the online community was indicated. There were many lessons learned
throughout the process in areas such as privacy, security, and Institutional
Review Board clearance. These are described in detail. Conclusions: The
opportunity to engage participants in longitudinal research using online social
networks is enticing; however, more research is needed to consider the
feasibility of their use in an ongoing manner. Recommendations are presented for
future research seeking to use social media to improve retention in longitudinal
research.
PMID- 29657863
TI - Fluid resuscitation-associated increased mortality and inflammatory cytokine
expression in murine polymicrobial sepsis.
PMID- 29657864
TI - A Sequence-Dependent DNA Condensation Induced by Prion Protein.
AB - Different studies indicated that the prion protein induces hybridization of
complementary DNA strands. Cell culture studies showed that the scrapie isoform
of prion protein remained bound with the chromosome. In present work, we used an
oxazole dye, YOYO, as a reporter to quantitative characterization of the DNA
condensation by prion protein. We observe that the prion protein induces greater
fluorescence quenching of YOYO intercalated in DNA containing only GC bases
compared to the DNA containing four bases whereas the effect of dye bound to DNA
containing only AT bases is marginal. DNA-condensing biological polyamines are
less effective than prion protein in quenching of DNA-bound YOYO fluorescence.
The prion protein induces marginal quenching of fluorescence of the dye bound to
oligonucleotides, which are resistant to condensation. The ultrastructural
studies with electron microscope also validate the biophysical data. The GC bases
of the target DNA are probably responsible for increased condensation in the
presence of prion protein. To our knowledge, this is the first report of a human
cellular protein inducing a sequence-dependent DNA condensation. The increased
condensation of GC-rich DNA by prion protein may suggest a biological function of
the prion protein and a role in its pathogenesis.
PMID- 29657865
TI - Stress-Adaptive Responses Associated with High-Level Carbapenem Resistance in KPC
Producing Klebsiella pneumoniae.
AB - Carbapenem-resistant Enterobacteriaceae (CRE) organisms have emerged to become a
major global public health threat among antimicrobial resistant bacterial human
pathogens. Little is known about how CREs emerge. One characteristic phenotype of
CREs is heteroresistance, which is clinically associated with treatment failure
in patients given a carbapenem. Through in vitro whole-transcriptome analysis we
tracked gene expression over time in two different strains (BR7, BR21) of
heteroresistant KPC-producing Klebsiella pneumoniae, first exposed to a
bactericidal concentration of imipenem followed by growth in drug-free medium. In
both strains, the immediate response was dominated by a shift in expression of
genes involved in glycolysis toward those involved in catabolic pathways. This
response was followed by global dampening of transcriptional changes involving
protein translation, folding and transport, and decreased expression of genes
encoding critical junctures of lipopolysaccharide biosynthesis. The emerged high
level carbapenem-resistant BR21 subpopulation had a prophage (IS1) disrupting
ompK36 associated with irreversible OmpK36 porin loss. On the other hand, OmpK36
loss in BR7 was reversible. The acquisition of high-level carbapenem resistance
by the two heteroresistant strains was associated with distinct and shared
stepwise transcriptional programs. Carbapenem heteroresistance may emerge from
the most adaptive subpopulation among a population of cells undergoing a complex
set of stress-adaptive responses.
PMID- 29657866
TI - Portable lensless wide-field microscopy imaging platform based on digital inline
holography and multi-frame pixel super-resolution.
AB - In this paper, an irregular displacement-based lensless wide-field microscopy
imaging platform is presented by combining digital in-line holography and
computational pixel super-resolution using multi-frame processing. The samples
are illuminated by a nearly coherent illumination system, where the hologram
shadows are projected into a complementary metal-oxide semiconductor-based
imaging sensor. To increase the resolution, a multi-frame pixel resolution
approach is employed to produce a single holographic image from multiple frame
observations of the scene, with small planar displacements. Displacements are
resolved by a hybrid approach: (i) alignment of the LR images by a fast feature
based registration method, and (ii) fine adjustment of the sub-pixel information
using a continuous optimization approach designed to find the global optimum
solution. Numerical method for phase-retrieval is applied to decode the signal
and reconstruct the morphological details of the analyzed sample. The presented
approach was evaluated with various biological samples including sperm and
platelets, whose dimensions are in the order of a few microns. The obtained
results demonstrate a spatial resolution of 1.55 um on a field-of-view of ~30
mm2.
PMID- 29657867
TI - The relationship of serum endocan levels and anti-TNF-alpha therapy in patients
with ankylosing spondylitis.
AB - Objective: Endocan is a marker for vascular pathogenesis and important mediator
of angiogenesis that strongly associates with inflammation and vascular diseases.
Growing evidence suggest that inflammatory cytokine tumor necrosis factor (TNF
alpha) plays a role in its regulation and secretion, whereas TNF-alpha inhibitors
may have the opposite influence. The aim of this research is to investigate the
association between serum endocan and anti-TNF-alpha drug treatment in patients
with ankylosing spondylitis (AS). Methods: Serum endocan levels were analyzed in
42 patients with AS under anti-TNF-alpha usage. Control group consisted of 37
patients with AS who are not receiving anti-TNF drugs. Endocan is analyzed using
ESM-1 ELISA kits. The blood glucose and lipid measurements of patients were also
assessed. Results: There was no significant change in serum endocan levels among
groups. The total cholesterol, triglyceride, and LDL-C levels were higher in
patients receiving anti-TNF-alpha; however, differences were not significant.
There was no significant correlation between serum endocan levels and blood lipid
measurements. Conclusion: Anti-TNF-alpha treatment does not affect serum endocan
levels in patients with AS. This research has been first to evaluate the
relationship between serum endocan and anti-TNF-alpha therapy in AS. Future
studies are necessary to verify the exact role of anti-TNF-alpha therapy on serum
endocan levels in patients with AS.
PMID- 29657868
TI - Outcomes of hydralazine induced renal vasculitis.
AB - Objective: Hydralazine has been implicated as an etiologic agent for lupus-like
syndrome and vasculitis. Hydralazine-induced vasculitis frequently affects the
kidney, but the long-term renal outcomes in these patients have not yet been
studied. Methods: Patients who had a diagnosis of ANCA-associated vasculitis
(AAV) and were on hydralazine at the time of AAV diagnosis were included in this
retrospective cohort study. Clinical and laboratory data were obtained from the
review of medical records. Results: Seven patients met the criteria for
hydralazine-induced AAV. Five patients (71%) were African-American and four (57%)
were female. The median age was 69 years at the time of diagnosis. All patients
had renal involvement with two of them showing lung involvement as well. All
patients had positive MPO antibody and one patient had positive PR3 antibody. ANA
was positive in all patients, and three of seven patients had positive anti
histone antibody. All of them were treated with immunosuppression and withdrawal
of hydralazine. Three patients reached end-stage renal disease. The median follow
up time was 13 months. Conclusion: Renal involvement in hydralazine-induced AAV
was universal and can be associated with a poor renal outcome despite
immunosuppressive therapy.
PMID- 29657869
TI - Impaired cardiac and vascular motion in patients with Takayasu's arteritis: A
velocity vector imaging-based study.
AB - Objective: Takayasu's arteritis (TAK) is a chronic inflammatory vasculitis of the
aorta and its major branches. In the present study, we aimed to evaluate the
motion of the vascular wall and myocardial contractility by using a novel strain
imaging method, velocity vector imaging (VVI), in patients with TAK. We also
aimed to compare them with another inflammatory autoimmune disorder, systemic
lupus erythematosus (SLE). Methods: We studied 33 patients with TAK, 18 patients
with SLE, and 20 age- and sex-matched controls. All participants were subjected
to carotid artery Doppler ultrasonography and transthoracic echocardiographic
evaluation. VVI analysis was also performed to assess subclinical left
ventricular (LV) systolic dysfunction and to determine tissue motion of the
common carotid arteries (CCAs). Results: Aortic strain and distensibility were
significantly impaired in patients with TAK, while the aortic stiffness and
carotid artery stiffness indexes were increased. Aortic distensibility was the
only parameter that was decreased among SLE patients. The values of CCA peak
longitudinal strain, strain rate, and total longitudinal displacement (TLD) were
also impaired in patients with TAK. Peak radial velocity was decreased while time
to-peak radial velocity was increased. In the SLE group, peak longitudinal
strain, strain rate, TLD, and peak radial velocity were impaired. LV longitudinal
peak systolic strain and strain rate were reduced in patients with TAK.
Similarly, we revealed impaired subclinical LV systolic function in patients with
SLE. Conclusion: VVI is a novel strain imaging technique with additional value to
determine early impairment in vascular and myocardial wall motion in patients
with TAK.
PMID- 29657870
TI - Is the serum oxytocin level altered by treatment in rheumatoid arthritis patients
complicated with depression?
AB - Objective: The objective of this study was to investigate the factors associated
with depression, including serum oxytocin (OXT) levels, disease activity,
activities of daily living (ADL), and quality of life (QOL), and their effects on
rheumatoid arthritis (RA). Methods: This study included 42 RA patients who
received treatment with a biological agent. We measured the following variables
before and after 6 months of treatment: baseline characteristics, including age,
sex, disease duration, smoking, and body mass index (BMI); prednisolone and
methotrexate dose; serum level of matrix metalloproteinase-3 (MMP-3); erythrocyte
sedimentation rate (ESR); and C-reactive protein (CRP) level. The disease
activity of RA was assessed using the Simplified Disease Activity Index (SDAI);
depression was assessed using the Hamilton Depression Rating Scale (HAM-D); ADL
was assessed using the Health Assessment Questionnaire; and QOL was assessed
using the Short Form (SF)-36. Serum OXT levels were determined using enzyme
linked immunosorbent assay. Results: The HAM-D score significantly correlated
with the SDAI, and the mental component summary (MCS) score of SF-36. However,
the serum OXT levels did not correlate with the HAM-D score. Regression analysis
using the HAM-D score as the objective variable identified female sex, smoking,
BMI, and all the three component scores of SF-36, but not serum OXT levels, as
significant factors. Comparisons between before and after treatment showed that
the HAM-D score improved from 5 to 1.5; however, the serum OXT levels did not
change. Conclusion: The variables of female sex, smoking, BMI, and QOL correlated
with depression complicated with RA. However, serum OXT levels did not correlate
directly.
PMID- 29657871
TI - Investigation of the role of interleukin-1 receptor antagonist VNTR variant on
the Behcet's disease.
AB - Objective: Behcet's disease (BD), a chronic multisystem inflammatory disorder, is
mainly characterized by relapsing periods of a wide range of clinical symptoms.
Several cytokine genes may play important roles in the pathogenesis of BD.
Therefore, interleukin-1 receptor antagonist (IL-1Ra) gene 86bp variable number
tandem repeat (VNTR) variant was investigated in patients with BD in a Turkish
population. Methods: One hundred nine patients (60 females, 49 males; the mean
age+/-standard deviation [SD] was 36.56+/-9.571 years) with BD and one hundred
healthy individuals (54 females, 46 males; the mean age+/-SD was 36.64+/-2.294
years) were examined in the study. For genotyping, polymerase chain reaction
restriction fragment length polymorphism analysis was employed. Data were
analyzed using Statistical Package for Social Sciences (SPSS) 22.0 (IBM Corp.;
Armonk, NY, USA) (p<0.05). Results: The genotype distribution and allele
frequencies of the IL-1Ra VNTR variant did not differ significantly between the
patients and the controls (p>0.05). The frequency of the a1/a1, a1/a2 genotypes
and a1, a2 alleles were the most common both in patients and healthy controls
(p=0.37, p=0.26, and p=0.53, respectively). Also, no statistically significant
difference was found between the IL-1Ra VNTR variant genotypes and clinical
characteristics (p>0.05). Conclusion: The results of this study do not support an
association between the IL-1Ra VNTR variant and the risk of BD in a Turkish
population. However, further studies of this variant with larger sample sizes and
different ethnicities are required for confirmation.
PMID- 29657872
TI - Therapeutic plasma exchange for refractory SLE: A comparison of outcomes between
different sub-phenotypes.
AB - Objective: Therapeutic plasma exchange (TPE) offers an alternative therapeutic
modality for patients with systemic lupus erythematosus (SLE) and primary
antiphospholipid syndrome (APS). However, there is conflicting evidence regarding
its efficacy in different sub-phenotypes. This study aimed to investigate the
main clinical characteristics and outcomes of patients with different phenotypes
of SLE and APS treated with TPE at a tertiary care center. Methods: The database
of the Blood and Apheresis Unit between 2001 and 2013 was screened for patients
with SLE and primary APS. SLE disease activity index (SELENA-SLEDAI), the
indications for treatment, complications, and outcomes were obtained from a
review of medical records and phone calls. A total of 24 patients (SLE: 20, APS:
4) were recruited for the study. Results: Mean ages of SLE (M/F: 1/19) and
primary APS (PAPS) patients (M/F: 2/2) were 32.4+/-12.89 and 52.0+/-10.7 years,
respectively. The main indications for TPE were hematologic, neurologic, and
pulmonary involvement and APS-related symptoms. TPE was preferred in eight
patients because of leucopenia and co-infection. SLEDAI was significantly
decreased after TPE (16.7+/-8.3 before vs. 8.8+/-3.1 after, p=0.001). Both
primary APS and SLE-related catastrophic APS (CAPS) patients had completely
responded to TPE. The success rate of TPE in patients with thrombocytopenia was
lower than patients with hemolytic anemia. The median (IQR 25%-75%) number of TPE
sessions was 6.5 (5-10.5). In total, five patients experienced TPE-related major
adverse events (catheter infections in three patients, bleeding in one patient,
and hypotension in one patient). The median (IQR 25%-75%) follow-up time was 33.5
(6.75-81.25) months. In total, four patients died during follow up, of which
three died during the period of TPE administration. Conclusion: Our data suggest
that CAPS and other APS-related problems respond well to the TPE treatment. TPE
should be kept in mind for the treatment of patients with other features of SLE,
especially those resistant to other agents and in the presence of leucopenia.
PMID- 29657873
TI - Neuropathic pain in pregnant Turkish women with lumbopelvic pain and its impact
on health-related quality of life.
AB - Objectives: To investigate the prevalence of neuropathic pain in pregnant women
and to state its influence on the functional status and health-related quality of
life (HRQoL) in terms of physical, social, and emotional functioning. Methods: A
total of 90 pregnant women with lumbopelvic pain (LPP) and non-pregnant and
healthy controls were included. The presence of neuropathic pain was determined
using the Leeds assessment of neuropathic symptoms and signs (LANNS)
questionnaire. The HRQoL was assessed using the Nottingham Health Profile (NHP),
and the functional status was evaluated using the Oswestry Disability Index
(ODI). The severity of pain was measured using a visual analog scale. Results:
The LANNS score was >=12 in 34 pregnant women (37.8%). The prevalence of
neuropathic pain was higher in pregnant women with LPP (odds ratio=6.22; 95%
confidence interval=2.68-14.44) (p<0.001) than in controls. The LANNS score was
found to be correlated with the physical mobility subgroup in the NHP at high
levels (p=0.002, r=0.32) and with the ODI and pain subgroup in the NHP at
moderate levels (p=0.013, r=0.26 and p=0.038, r=0.22, respectively). Conclusion:
The present study is the first to demonstrate that neuropathic pain is associated
with pregnancy-related LPP and strongly correlated with functional impairment and
deterioration in the HRQoL. A better understanding of neuropathic pain mechanisms
in pregnancy-related LPP will help us find more effective treatment strategies.
PMID- 29657874
TI - The reliability and validity of the Turkish version of the Fibromyalgia
Participation Questionnaire.
AB - Objective: The objective of this study was to test the reliability and validity
of the Turkish version of the Fibromyalgia Participation Questionnaire (FPQ).
Methods: One hundred and eighty-four female patients with fibromyalgia syndrome
were included in the study. All patients filled out the Turkish FPQ (FPQ-T)
questionnaire, which was obtained by translation from German according to the
guideline for the process of cross-cultural adaptation The patients filled out
the revised Fibromyalgia Impact Questionnaire (FIQ) and reevaluated the FPQ-T two
hours later. Internal consistency reliability of the FPQ-T was assessed by
calculating the "if item deleted" using Cronbach's alpha and the "item-total
correction" coefficient for each item of the questionnaire. The consistency of
the subscales and the correlation of the test-retest values were assessed. The
test-retest values were compared using the Wilcoxon test. Criterion validity was
measured using FIQ scales by Spearman's rank correlation coefficient. Results:
For internal reliability, Cronbach's alpha coefficient was calculated as 0.957
for nonworking patients and 0.958 for working patients. Cronbach's alpha values
of 0.939, 0.871, and 0.914 were obtained for daily, social, and work life,
respectively. Correlation coefficients were 0.888 for daily life, 0.859 for
social life, and 0.901 overall in the nonworking group versus 0.896 the in
working group. The comparison of scores obtained from test-retest measurements
showed no significant difference except for Item 3. The correlation of the
symptom severity score (SSS) and the FPQ-T was r=0.385 (p<0.001) and r=0.390
(p<0.001) for the nonworking and working subgroups, respectively. The evaluation
of construct validity showed a significant correlation between the SSS and FPQ-T.
Conclusion: The results of our study showed that the FPQ-T is reliable and valid
for assessing participation and social functioning in fibromyalgia patients in
Turkish society.
PMID- 29657875
TI - Relationship between diet and ankylosing spondylitis: A systematic review.
AB - The question of whether diet plays a role in the onset of ankylosing spondylitis
(AS) or can affect the course of the disease is an important one for many
patients and healthcare providers. The aims of this study were to investigate
whether: 1) patients with AS report different diets to those without AS; 2)
amongst patients with AS, diet is related to severity; 3) persons with particular
diets are less likely to develop AS; 4) specific dietary interventions improve
the AS symptoms. The review was conducted according to the Preferred Reporting
Items for Systematic Reviews and Meta-Analyses (PRISMA) guidelines. Medline,
Embase, Cochrane Library, and reference lists of relevant articles were searched.
Two authors independently selected eligible studies, assessed the quality of
included trials, and extracted the data. Sixteen studies (nine observational and
seven interventions) were included in the review. Due to the heterogeneity of the
study designs and analyses, the results could not be aggregated. Evidence on a
possible relationship between AS and diet is extremely limited and inconclusive
due to the majority of included studies being small, single studies with moderate
to-high risk of bias, and insufficient reporting of results.
PMID- 29657876
TI - Magnesium disorders can cause calcium pyrophosphate deposition disease: A case
report and literature review.
AB - Calcium pyrophosphate deposition (CPPD) disease, also known as pseudogout, is one
of the most common forms of inflammatory arthritis. A variety of comorbidities
and metabolic conditions have been recognized to predispose to CPPD. We describe
here a patient with chronic CPP arthritis due to hypomagnesemia, which is one of
the metabolic etiologies associated with CPPD, especially in younger patients. We
also performed a literature search and reviewed all reported cases of CPPD
disease associated with hypomagnesemia. All cases of hypomagnesemia and its
etiologies leading to CPP arthropathy identified in the literature by this
systematic search are summarized in this paper.
PMID- 29657877
TI - Semaphorin3A: A potential therapeutic tool in immune-mediated diseases.
AB - The significance of semaphorin3A (sema3A) in regulating immune-mediated
inflammation is widely reported. There are multiple mechanisms involved in the
process of sema3A-mediated regulation. One of them is the ability of sema3A to
maintain a sufficient regulation of both T-cell and B-cell activation. Because it
is involved in the pathogenesis of many autoimmune, infectious, and malignant
diseases, sema3A turns to be a promising therapeutic tool to be studied and
applied in these diseases.
PMID- 29657878
TI - A clinical threat in patients with granulomatosis polyangiitis in remission:
Subglottic stenosis.
AB - Granulomatosis with polyangiitis (GPA) is a systemic necrotizing granulomatous
disease that involves small- and medium-sized arteries and affects the main
respiratory tracts and kidneys. Upper respiratory tract involvement usually
occurs in 90% of patients, who most frequently present with symptoms of chronic
sinusitis. Subglottic stenosis (SS) is a rare and severe complication that is
usually observed in approximately 15% of patients. Here we present a case of SS
in a patient with limited form of GPA during remission.
PMID- 29657879
TI - Primary hyperparathyroidism and Gougerot disease.
AB - Primary hyperparathyroidism (PHPT) is a common endocrine disorder caused by the
overactivation of the parathyroid glands due to the autonomous production of the
parathyroid hormone (PTH). The resultant hypercalcemia leads to a myriad of
symptoms. Here we report the case of a 54-year-old female with a previous
diagnosis of Gougerot disease, in whom clinical (diffuse bone pain, asthenia
polydipsia, and polyuria) and laboratory features (calcium level, 3.1 mmol/L;
phosphate level, 0.55 mmol/L; alkaline phosphatase level, 70 U/L; and intact PTH
level, 1028.9 pmol/L) prompted the diagnosis of PHPT caused by a parathyroid
adenoma as confirmed by anatomopathology. After treatment with renal replacement
therapy, intravenous fluids and zolendronic acid, and subtotal parathyroidectomy,
the patient status improved, with normal laboratory tests. However, the
fortuitous nature of the association between Gougerot disease and PHPT as well as
the physiopathological links between these two diseases remain to be specified.
PMID- 29657880
TI - Pulmonary aspergillosis after treatment with infliximab in Still's disease and a
literature review of Still's disease and pulmonary aspergillosis.
AB - The use of anti-tumor necrosis factor alpha (anti-TNF-alpha) agents has increased
during the past decade in rheumatology practice. Opportunistic infections have
been reported with anti-TNF-alpha agents in clinical trials and post-marketing
usage. Aspergillus infection is a rare opportunistic infection that is associated
with immunosuppression, and there are reported cases of pulmonary aspergillosis
in various rheumatic diseases treated with anti-TNF-alpha agents. Here, we
present the first case of pulmonary aspergillosis associated with infliximab
treatment in a patient with Still's disease.
PMID- 29657881
TI - Visceral Leishmaniasis in the Mediterranean area.
PMID- 29657882
TI - Perianal skin peeling: An important clinical pointer toward Kawasaki disease.
PMID- 29657883
TI - Tropical pyomyositis caused by Klebsiella pneumoniae with rheumatoid arthritis.
PMID- 29657884
TI - Ultra-Rapid Crystallization of L-alanine Using Monomode Microwaves, Indium Tin
Oxide and Metal-Assisted and Microwave-Accelerated Evaporative Crystallization.
AB - The use of indium tin oxide (ITO) and focused monomode microwave heating for the
ultra-rapid crystallization of L-alanine (a model amino acid) is reported.
Commercially available ITO dots (< 5 mm) attached to blank
poly(methyl)methacrylate (PMMA, 5 cm in diameter with 21-well silicon isolators:
referred to as the iCrystal plates) were found to withstand prolonged microwave
heating during crystallization experiments. Crystallization of L-alanine was
performed at room temperature (a control experiment), with the use of two
microwave sources: a 2.45 GHz conventional microwave (900 W, power level 1, a
control experiment) and 8 GHz (20 W) solid state, monomode microwave source with
an applicator tip that focuses the microwave field to a 5-mm cavity. Initial
appearance of L-alanine crystals and on iCrystal plates with ITO dots took 47 +/-
2.9 min, 12 +/- 7.6 min and 1.5 +/- 0.5 min at room temperature, using a
conventional microwave and focused monomode microwave heating, respectively.
Complete evaporation of the solvent using the focused microwaves was achieved in
3.2 +/- 0.5 min, which is ~52-fold and ~172-fold faster than that observed at
room temperature and using conventional microwave heating, respectively. The size
and number of L-alanine crystals was dependent on the type of the 21-well
iCrystal plates and the microwave heating method: 33 crystals of 585 +/- 137 MUm
in size at room temperature > 37 crystals of 542 +/- 100 MUm in size with
conventional microwave heating > 331 crystals of 311 +/- 190 MUm in size with
focused monomode microwave. FTIR, optical microscopy and powder X-ray diffraction
analysis showed that the chemical composition and crystallinity of the L-alanine
crystals did not change when exposed to microwave heating and ITO surfaces. In
addition, theoretical simulations for the binding of L-alanine molecules to ITO
and other metals showed the predicted nature of hydrogen bonds formed between L
alanine and these surfaces.
PMID- 29657885
TI - First Step to Success: Applications to Preschoolers at Risk of Developing Autism
Spectrum Disorders.
AB - Preschool children with Autism Spectrum Disorder (ASD) may not always be
recognized as such during their early years, but some of their behavioral
problems may nonetheless prompt a referral for behavioral intervention. Whether
such an intervention brings any benefit has not been well studied. We identified
a subsample of 34 preschool children at risk for autism spectrum disorder from a
large randomized controlled trial (N = 126) of the First Step to Success program.
Children at risk of developing ASD demonstrated significant improvements on seven
of 11 outcome measures and on a responder analyses based on symptom severity.
Process and fidelity measures also suggested that First Step was both feasible
and socially acceptable. Implications for early intervention for children at risk
of developing ASD are discussed.
PMID- 29657886
TI - Spectroscopic Investigation of the Electrosynthesis of Diphenyl Carbonate from CO
and Phenol on Gold Electrodes.
AB - In this work, we study the synthesis of diphenyl carbonate (DPC) from phenol and
CO on gold electrodes studied by means of in situ Fourier transform infrared
spectroscopy (FTIR). The results show that, on gold electrodes, the formation of
DPC is observed at potentials as low as 0.4 V vs Ag/AgCl, together with the
formation of dimethyl carbonate (DMC) from the carbonylation of methanol that was
used as a solvent. The spectroelectrochemical results also suggest that the
formation of DPC occurs via the replacement of the methoxy groups from DMC with
phenoxy groups from phenol and not directly by the carbonylation of phenol.
Although this transesterification process is known to occur with heterogeneous
catalysts, it has not been reported under electrochemical conditions. These are
interesting findings, since the direct DPC production by carbonylation of phenol
to DPC is usually performed with Pd-based catalysts. With this reaction scheme of
transesterification happening under electrochemical conditions, other non-Pd
catalysts could be used as well for one-step DPC production from phenol and CO.
These findings give important mechanistic insights into this reaction and open up
possibilities to an alternative process for the production of DPC.
PMID- 29657888
TI - Personal perspectives on the evolution of radiation therapy and future outlook
for SRS.
PMID- 29657887
TI - Supramolecular Approaches To Control Activity and Selectivity in Hydroformylation
Catalysis.
AB - The hydroformylation reaction is one of the most intensively explored reactions
in the field of homogeneous transition metal catalysis, and many industrial
applications are known. However, this atom economical reaction has not been used
to its full potential, as many selectivity issues have not been solved.
Traditionally, the selectivity is controlled by the ligand that is coordinated to
the active metal center. Recently, supramolecular strategies have been
demonstrated to provide powerful complementary tools to control activity and
selectivity in hydroformylation reactions. In this review, we will highlight
these supramolecular strategies. We have organized this paper in sections in
which we describe the use of supramolecular bidentate ligands, substrate
preorganization by interactions between the substrate and functional groups of
the ligands, and hydroformylation catalysis in molecular cages.
PMID- 29657889
TI - Pre-operative versus post-operative radiosurgery for brain metastasis: Effects on
treatment volume and inter-observer variability.
AB - Purpose/Objectives: Compared to post-operative whole brain radiotherapy,
resection cavity radiosurgery reduces impact on neuro-cognitive function and
improves quality-of-life. However, coverage of the operative tract, in addition
to tumour bed, may lead to large treatment volumes and inter-observer
variability. We hypothesized that pre-operative radiosurgery reduces target
volume size and inter-observer variability compared to post-operative
radiosurgery. Materials/Methods: We identified 10 consecutive patients, with
solitary brain metastasis, treated with post-operative cavity radiosurgery.Pre-
and post-operative axial T1 contrast MRI were co-registered with the planning CT
scans. Three radiation oncologists independently contoured the target volumes on
the pre- and post-operative imaging. A 2mm-PTV margin was utilized for both
strategies and radiosurgery treatment plans were generated. The following
parameters were evaluated in the 2 plans: Mean target volume (cc), 50% isodose
volume (cc), Inter-observer variability (Jaccard Index JI) and Conformity Index
(CI). Results: There was no significant difference in the mean target volume, nor
50% isodose volume, between pre- and post-operative strategies. (17.6 (95% CI
9.98 - 25.22) versus 19.4 (95% CI 10.11 - 28.69) cc, P=0.80; 61.7 (95% CI 38.4 -
85.0) vs 77.7 (95% CI 34.94 - 120.46) cc, P=0.65). There was significantly less
inter-observer variability and improved conformity in the pre-operative group
(Mean JI 0.84(95% CI 0.82 - 0.86) versus 0.70 (95% CI 0.62 - 0.78), P = 0.005;
Mean CI 1.32 (95% CI 1.26 - 1.38) vs 1.45 (95% CI 1.36 - 1.54), P= 0.01). Planned
subgroup analysis did not reveal any significant difference (between pre- vs post
op) in the mean volume of cystic versus non-cystic metastasis. Deep lesions
(>2.5cm from dura) had a larger post-operative target volume (25.8 (95% CI 15.1 -
36.5) vs 12.3 (95% CI 6.54 - 18.06) cc, P=0.06) compared to superficial lesions.
Conclusion: Pre-operative radiosurgery has less inter-observer variability and
improved plan conformity. However, there was no difference in mean target volume
between the pre- versus post-operative radiation. Contouring guidelines, and peer
review, may help to reduce inter-observer variability for cavity radiosurgery.
PMID- 29657890
TI - Pain flare after stereotactic radiosurgery for spine metastases.
AB - Purpose: Understanding of pain flare (PF) following spine stereotactic
radiosurgery (sSRS) is lacking. This study sought to determine the incidence and
risk factors associated with PF following single fraction sSRS.
Materials/methods: An IRB-approved database was compiled to include patients who
underwent sSRS. Patient and disease characteristics as well as treatment and
dosimetric details were collected retrospectively. Pain relief post-sSRS was
prospectively collected using the Brief Pain Inventory (BPI). These factors were
correlated to the development of PF (defined as an increase in pain within 7 days
of treatment which resolved with steroids). Survival was calculated using Kaplan
Meier analysis and logistic regression was utilized to evaluate the association
between the clinical and treatment factors and occurrence of PF. Results: A total
of 348 patients with 507 treatments were included. Median age and prescription
dose were 59 years and 15 Gy (range: 7-18), respectively, and 62% of patients
were male. Renal cell carcinoma (24%), lung cancer (14%), and breast cancer (11%)
were the most common histologies, and 74% had epidural disease and 43% had thecal
sac compression. The most common location of metastases was in the
cervical/thoracic spine (59%), followed by lumbar spine (32%), and sacral spine
(9%). Most common reason for treatment was pain (73%), followed by pain and
neurological deficit (13%), asymptomatic disease (10%), and neurologic deficit
only (3%). Median time to pain relief was 1.8 months. Median overall survival,
time to radiographic failure, and time to pain progression were 13.6 months, 26.5
months, and 56.6 months, respectively. Only 14.4% of treatments resulted in the
development of PF. Univariate analysis showed that higher Karnofsky performance
score (KPS) (OR=1.03, p=0.03), female gender (OR=1.80, p=0.02), higher
prescription dose (OR=1.30, p=0.008), and tumor location of cervical/thoracic
spine vs lumbar spine (OR=1.81, p=0.047) were predictors for the development of
PF. On multivariate analysis, higher consult KPS (OR=1.03, p=0.04), female gender
(OR=1.93, p=0.01), higher prescription dose (OR=1.27, p=0.02), and tumor location
of cervical/thoracic spine vs lumbar spine (OR=1.81, p=0.05) remained predictors
of PF. No other dosimetric parameters were associated with the development of PF.
Conclusion: PF is an infrequent complication of sSRS. Predictors for the
development of PF include higher consult KPS, female gender, higher prescription
dose, and cervical/thoracic tumor location. Dose to the spinal cord was not a
predictor of PF. Since a minority (14.4%) of treatments result in PF, we do not
routinely utilize prophylactic steroid treatment; however, prophylactic steroids
may be considered in female patients with cervical/thoracic metastases receiving
higher dose sSRS.
PMID- 29657891
TI - Stereotactic radiosurgery for primary tumors of the spine and spinal cord?.
AB - Purpose: The purpose of this study was to evaluate the role of stereotactic
radiosurgery in the treatment of primary tumors of the spine and spinal cord.
Methods: An Institutional Review Board approved retrospective analysis of 30
patients with primary spine tumors treated at a single institution was performed.
Post-treatment pain, neurological, and radiographic responses were the endpoints.
Results: Nine patients were treated for benign tumors, and 21 patients were
treated for malignant tumors. The median dose delivered was 16 Gy in one
fraction. Median follow up was 13.13 months (range, 1 month-84 months). Pain
relief was 88% initially. Pain recurred in five patients with a median time to
recurrence of 5 months (range, 3.6 months - 80 months). Neurological improvement
was achieved in 65% of patients. Three patients experienced a recurrence in their
neurological deficit (at 3.6 months, 1.6 years, and 3.7 years after SRS).
Radiographic control was achieved in 77% of sites treated with SRS. Thirteen of
the treated sites recurred with a median time of 9.9 months. Two long-term
toxicities were observed (asymptomatic radio-necrosis of the erector spinae
muscle and foot drop). Conclusions: Our results suggest that SRS is a safe and
effective treatment option for primary tumors of the spine and spinal cord.
PMID- 29657892
TI - Inversion recovery sequences improve delineation of optic pathways in the
proximity of suprasellar lesions.
AB - Introduction: In Gamma Knife Radiosurgery (GKRS) of suprasellar lesions, the
exact localization of the visual pathways is important to avoid radiation induced
optic neuropathy (RION). Reliable identification of the optic nerve, chiasm and
tracts can be challenging using routine magnetic resonance imaging, especially in
patients with lesions compressing the optic structures or in patients who had
prior operation of suprasellar tumors. This study investigates the application of
inversion recovery sequences (Fast gray and white matter acquisition T1 inversion
recovery, FGATIR) to improve identification of the optic pathway. Methods:
Inversion recovery sequences were performed on 5 healthy volunteers, varying
their inversion times between 400 and 500 ms, and between 800 and 1100 ms.
Inversion times were optimized to either suppress or to preserve the signal of
the optic structures, while increasing or suppressing the signal of processes
within the surrounding cisterns. Inversion recovery sequences were performed
before radiosurgery on 10 patients with suprasellar tumors that were compressing
or displacing the optic structures. Signal intensities of gray and white matter,
of CSF and tumors were measured and subtraction images were calculated. Results:
Compared to a standard T1-weighted sequence, delineation of the visual pathways
was superior on inversion recovery images, both on images with suppression of the
optic structures as well on images with suppression of its surrounding tissues,
and was rated best on subtraction images. Conclusion: For radiosurgery of
suprasellar tumors, inversion recovery sequences can be of valuable benefit for
accurate delineation of optic pathway and radiosurgical dose planning in order to
avoid radiation-induced normal tissue effects.
PMID- 29657893
TI - Targeting accuracy at couch kick for a frameless image guided radiosurgery
system.
AB - Purpose/Objectives: Targeting accuracy at all possible couch angles needs to be
carefully evaluated prior to initiating a frameless image-guided stereotactic
radiosurgery program on a Linac for treating functional disorders such as
trigeminal neuralgia. In this study, we report positioning accuracy with
stereoscopic x-ray imaging over the complete range of couch rotation using
anthropomorphic head phantoms. Materials/Methods: An anthropomorphic head phantom
with three 5 mm tungsten BBs as hidden targets was CT simulated. A group of 7
arcs was planned with couch angles from 0 to 90 degrees in 15 degrees
increments. A pair of stereoscopic x-ray images that auto-matches to planning CT
according to bony anatomy was utilized to position each BB to machine isocenter
at all planned couch angles. Targeting accuracy was measured by stereoscopic x
ray imaging of the BB itself, which provides the distance from the centroid of BB
to the x-ray imaging isocenter. For each BB, the hidden target test was repeated
5 times at couch 0 degrees and 3 times at other couch angles, resulting in a
total of 69 measurements, each with random initial setup deviation. Following the
same workflow, a second anthropomorphic head phantom with two 5 mm BBs was
utilized to evaluate localization accuracy at couch angles of 0 through 270
degrees in 15 degrees increments, resulting in another 18 measurements.
Results: Residual setup deviation following image guidance in the first head
phantom was 0.6+/-0.1, 0.4+/-0.1, and 0.4+/-0.1 mm, respectively, at the three
BBs, and 0.5+/-0.1 mm overall (N=69). Comparable results of 0.4+/-0.1 mm (N=18)
were achieved with the second head phantom. Combining results from both phantoms,
the targeting accuracy was 0.5+/-0.1 mm (range 0.2-0.8 mm). No apparent
correlation was observed between targeting accuracy and couch rotation.
Conclusions: Accurate positioning within 1 mm can be achieved with stereoscopic x
ray imaging at any couch angle. Frameless image-guided stereotactic radiosurgery
could achieve targeting accuracy similar to that of frame-based systems for high
dose treatment of trigeminal neuralgia.
PMID- 29657894
TI - Evaluation of multiple factors affecting normal brain dose in single-isocenter
multiple target radiosurgery.
AB - We investigated the effects of multiple planning factors on normal brain dose for
single-isocenter VMAT stereotactic radiosurgery (SRS). Ten patients were
retrospectively planned using a standardized objective function and all 16
combinations of 2 versus 4 arcs, collimator angle 45 degrees versus selected per
beam to minimize area of normal brain exposed in the beams-eye-view, fixed jaw
versus following the trailing MLC leaf, and a 2 Gy mean dose objective for
healthy brain versus no low dose objective. Limiting the normal brain mean dose
in the optimization objective function significantly reduced the low dose spill
into the normal brain without changing target coverage. Jaw tracking and
appropriate selection of collimator also reduced the low dose volume, but to a
lesser extent. To reduce low dose spill into normal brain for single isocenter
VMAT radiosurgery of multiple targets, it is important to incorporate a limit on
low dose spill into the objective function. This study has implications beyond
single-isocenter VMAT radiosurgery. When comparing different inverse-planned
treatment techniques, metrics that are important for evaluation of plan quality
must be included the objective function.
PMID- 29657895
TI - Restricted single isocenter for multiple targets dynamic conformal arc (RSIMT
DCA) technique for brain stereotactic radiosurgery (SRS) planning.
AB - Purpose/Objectives: In stereotactic radiosurgery (SRS), the multiple isocenters
for multiple targets dynamic conformal arc (MIMT DCA) technique is traditionally
used to treat multiple brain metastases, with one isocenter for each target. The
single isocenter for multiple targets (SIMT) technique has recently been adopted
to reduce the treatment time at the cost of plan quality. The objective of this
study was to develop a restricted single isocenter for multiple targets DCA
(RSIMT DCA) technique that can significantly reduce the treatment time but still
maintain similar plan quality as the MIMT DCA technique. Materials and Methods:
Treating multiple brain metastases with a single isocenter poses a challenge to
SRS planning using DCA beams that are intrinsically 3D and do not modulate the
beam intensity to spare the normal tissue between targets. To address this
obstacle, we have developed a RSIMT DCA technique and used it to treat SRS
patients with multiple brain metastases since February 2015. This planning
approach is similar to the SIMT technique except that the number of targets for
each isocenter is restricted and the distance between the isocenter and target is
limited. In this technique, the targets are first split into batches so that all
targets in a batch are within a chosen distance (e.g., 7 cm) of each other. All
targets in a batch are combined into one target and the geometric center of the
combined target is the isocenter for the group of DCA beams associated with that
batch. Each DCA group typically consists of 3-4 DCA beams to irradiate 1-3
targets. For each DCA beam, the collimator angle is adjusted to minimize the
exposure of normal tissue between targets. The dose of each treatment group is
normalized so that the maximal point dose to the combined target is 125% of the
prescription dose, which is equivalent to normalize the prescription dose to 80%
isodose line. If the maximal point dose of a target is <123%, an additional beam
is used to boost the maximal point dose of that target to 125%. To evaluate the
plan quality, we randomly selected 10 cases planned with the RSIMT DCA technique,
and re-planned them using the MIMT DCA technique. There were in total 38 PTVs,
and 22 isocenters were used to treat all of these targets. The prescription for
each target was 20 Gy with a maximal point dose of 25 Gy. Plan quality indexes
were calculated and compared. Paired sample t-test was performed to determine if
the mean normalized difference, (RSIMT-MIMT)/MIMT of each plan index was
statistically significantly (p-value < 5%) larger than 0. Results: Satisfactory
PTV coverage (V20Gy>95% and V19Gy=100%) was achieved for all plans using either
technique. Most PTVs have a maximal point dose between 24.9 and 25.1 Gy, with 2
PTVs between 24.5 and 24.9 Gy. Overall, the plan quality was slightly better for
the MIMT DCA technique and the normalized difference was statistically
significantly larger than 0 for all investigated dose quality indexes. The
normalized difference of body mean dose and conformity index (CI) between the
RSIMT and MIMT techniques was respectively 4.2% (p=0.002) and 9.4% (p=0.001),
indicating similar plan quality globally and in the high dose area. The
difference was more pronounced for the mid-to-low dose spillage with the ratios
of V12Gy and V10Gy/VPTV being 13.9% (p=3.8*10-6) and 14.9% (p=1.3*10-5),
respectively. The treatment time was reduced by 30%-50% with the RSIMT DCA
technique. Conclusion: The RSIMT DCA technique can produce satisfactory SRS plans
for treating multiple targets and can significantly reduce the treatment time.
PMID- 29657896
TI - A comparison of the convolution and TMR10 treatment planning algorithms for Gamma
Knife(r) radiosurgery.
AB - Aims: To compare the accuracies of the convolution and TMR10 Gamma Knife
treatment planning algorithms, and assess the impact upon clinical practice of
implementing convolution-based treatment planning. Methods: Doses calculated by
both algorithms were compared against ionisation chamber measurements in
homogeneous and heterogeneous phantoms. Relative dose distributions calculated by
both algorithms were compared against film-derived 2D isodose plots in a
heterogeneous phantom, with distance-to-agreement (DTA) measured at the 80%, 50%
and 20% isodose levels. A retrospective planning study compared 19 clinically
acceptable metastasis convolution plans against TMR10 plans with matched shot
times, allowing novel comparison of true dosimetric parameters rather than total
beam-on-time. Gamma analysis and dose-difference analysis were performed on each
pair of dose distributions. Results: Both algorithms matched point dose
measurement within +/-1.1% in homogeneous conditions. Convolution provided
superior point-dose accuracy in the heterogeneous phantom (-1.1% v 4.0%), with no
discernible differences in relative dose distribution accuracy. In our study
convolution-calculated plans yielded D99% 6.4% (95% CI:5.5%-7.3%,p<0.001) less
than shot matched TMR10 plans. For gamma passing criteria 1%/1mm, 16% of targets
had passing rates >95%. The range of dose differences in the targets was 0.2
4.6Gy. Conclusions: Convolution provides superior accuracy versus TMR10 in
heterogeneous conditions. Implementing convolution would result in increased
target doses therefore its implementation may require a revaluation of
prescription doses.
PMID- 29657898
TI - Slow Elevation in Protein C Activity without a PROC Mutation in a Neonate with
Intracranial Hemorrhage.
AB - Severe protein C (PC) deficiency leads to purpura fulminans and stroke in
newborns. However, the clinical impact of plasma PC activity on the development
of neonatal cerebral disease remains elusive. We report a case of hemorrhagic
stroke associated with neonatal asphyxia and severe PC deficiency. Plasma PC and
protein S activity 7 days after birth was 12% and 43%, respectively. No PROC
mutation was found. PC levels did not exceed 20% until 2 months of age, even in
the absence of consumption coagulopathy or vitamin K deficiency. Neither
thromboembolic nor hemorrhagic events occurred during the infusion of activated
PC concentrate (twice weekly, up to 68 days after birth). The PC activity levels
gradually increased to the standard value for age by 9 months of age. The present
case showed that neonatal PC deficiency without a PROC mutation caused an
intracranial hemorrhage before a slow increase in PC activity.
PMID- 29657899
TI - Exercise Endocrinology: Guidance for Future Research Direction and Focus.
PMID- 29657900
TI - Occipital and Cingulate Hypometabolism are Significantly Under-Reported on 18
Fluorodeoxyglucose Positron Emission Tomography Scans of Patients with Lewy Body
Dementia.
AB - Objective: To determine whether occipital and cingulate hypometabolism is being
under-reported or missed on 18-fluorodeoxyglucose positron emission tomography
(FDG-PET) CT scans in patients with Dementia with Lewy Bodies (DLB). Background:
Recent studies have reported higher sensitivity and specificity for occipital and
cingulate hypometabolism on FDG-PET of DLB patients. Methods: This retrospective
chart review looked at regions of interest (ROI's) in FDG-PET CT scan reports in
35 consecutive patients with a clinical diagnosis of probable, possible, or
definite DLB as defined by the latest DLB Consortium Report. ROI's consisting of
glucose hypometabolism in frontal, parietal, temporal, occipital, and cingulate
areas were tabulated and charted separately by the authors from the reports. A
blinded Nuclear medicine physician read the images independently and marked ROI's
separately. A Cohen's Kappa coefficient statistic was calculated to determine
agreement between the reports and the blinded reads. Results: On the radiology
reports, 25.71% and 17.14% of patients reported occipital and cingulate
hypometabolism respectively. Independent reads demonstrated significant
disagreement with the proportion of occipital and cingulate hypometabolism being
reported on initial reads: 91.43% and 85.71% respectively. Cohen's Kappa
statistic determinations demonstrated significant agreement only with parietal
hypometabolism (p<0.05). Conclusion: Occipital and cingulate hypometabolism is
under-reported and missed frequently on clinical interpretations of FDG-PET scans
of patients with DLB, but the frequency of hypometabolism is even higher than
previously reported. Further studies with more statistical power and receiver
operating characteristic analyses are needed to delineate the sensitivity and
specificity of these in vivo biomarkers.
PMID- 29657901
TI - Triggerable Multivalent Glyconanoparticles for Probing Carbohydrate-Carbohydrate
Interactions.
AB - Carbohydrate-carbohydrate interactions are proposed to be biologically
significant but have lower affinities than the well-studied carbohydrate-protein
interactions. Here we introduce multivalent glyconanostructures where the surface
expression of lactose can be triggered by an external stimulus, and a gold
nanoparticle core enables colorimetric signal outputs to probe binding.
Macromolecular engineering of a responsive polymer "gate" enables the lactose
moieties to be presented only when an external stimulus is present, mimicking how
nature uses enzymes to dynamically regulate glycan expression. Two different
carbohydrate-carbohydrate interactions are investigated using this tool.
PMID- 29657902
TI - Inhibitory Effects of Angiotensin II Receptor Blockade on Human Tenon Fibroblast
Migration and Reactive Oxygen Species Production in Cell Culture.
AB - Purpose: We investigate the effect of angiotensin receptor blockade on the
migration of human Tenon fibroblasts (HTF), using irbesartan, an angiotensin II
receptor type 1 (AT1R) blocker (ARB) as a potential antifibrotic agent in
glaucoma filtration surgery. Methods: Confluent HTF cultures were scratched with
a 1 mL pipette tip and treated with either irbesartan (10, 50, and 100 MUg/mL) or
angiotensin II (2 MUg/mL). The extent of HTF migration up to 30 hours, and cell
number and morphology at 72 hours was evaluated. To assess the effect on reactive
oxygen species (ROS) level, HTF were treated with either irbesartan (10 MUg/mL)
or angiotensin II (2 MUg/mL) for 24 hours after scratching, and then stained with
dihydroethidium (DHE) before evaluation by confocal microscopy. Results:
Irbesartan inhibited HTF migration by 50% to 70% compared to controls (P < 0.05).
Levels of ROS were almost completely attenuated by irbesartan (DHE fluorescence
intensity of 5.68E-09) (P < 0.05). Irbesartan reduced cell numbers by 50% and
induced morphologic changes with loss of pseudopods (P < 0.05). Conversely,
angiotensin II increased cell numbers up to 4-fold while retaining cell
viability. Conclusions: Irbesartan inhibited HTF migration and ROS production. It
also reduced cell numbers and altered HTF morphology. Angiotensin II increased
cell number without altering morphology. This initial study warrants future
investigations for further potential antifibrotic effects of this drug.
Translational Relevance: This in vitro study focused on investigations of
irbesartan's effects on HTF migration, ROS production, as well as HTF cell
numbers and morphology. It suggests a potential therapeutic strategy worth
further exploration with a view towards postoperative wound healing modulation in
glaucoma filtration surgery.
PMID- 29657903
TI - Obstructive Sleep Apnea as a Risk Factor for Atrial Fibrillation: A Meta
Analysis.
AB - Objectives: To conducted a meta-analysis assessing the relationship between
Obstructive Sleep Apnea (OSA) and the risk of Atrial Fibrillation (AF). Methods:
We searched PUBMED, Medline, and Cochrane Library using the keywords "atrial
fibrillation", "obstructive sleep apnea" and "sleep disordered breathing (SDB)".
All subjects included had established diagnosis of OSA/SDB. We then compared the
occurrence of AF versus no AF. Analysis done with Comprehensive Meta-Analysis
package V3 (Biostat, USA). Results: A total of 579 results were generated.
Duplicates were removed and 372 records were excluded based on irrelevant
abstracts, titles, study design not consistent with the stated outcome, or full
text unavailable. Twelve studies meeting the inclusion criteria were reviewed in
full-text; 2 of these articles were eventually removed due to unconfirmed OSA
diagnostic modality, and one was also removed based on a control group
inconsistent with the other studies. Therefore, a total of 9 studies were
included (n=19,837). Sample sizes ranged from n=160 patients to n=6841 patients.
The risk of AF was found to be higher among OSA/SDB versus control group (OR;
2.120, C.I: 1.845-2.436, Z; 10.598 p: <0.001). The heterogeneity observed for the
pooled analysis was Q-value; 22.487 df (Q); 8 P-value; 0.004, I-squared; 64.424
Tau2; 0.098, suggesting appropriate study selection and moderate heterogeneity.
Conclusion: OSA/SDB is strongly associated with AFib confirming the notion that
OSA/SDB populations are high risk for development of AF. Prospective studies are
needed to ascertain the effect of the treatment of OSA/SDB for the prevention of
AF, a growing health burden with serious consequences.
PMID- 29657905
TI - Knowledge of Postgraduate Medical Trainees Regarding Epidemiology.
AB - Introduction An understanding of epidemiology is fundamental for designing
research and understanding evidence-based medicine (EBM). The purpose of our
study was to determine the knowledge of epidemiology among postgraduate medical
trainees. Methods We carried out a cross-sectional study from January 2017 to
June 2017 at the Regional Centre Islamabad, College of Physicians and Surgeons
Pakistan. About 204 postgraduate medical trainee were enrolled in the study after
taking written consent. All of the participants were working as a postgraduate
medical trainee in different hospitals of Rawalpindi and Islamabad. A self
designed structured questionnaire containing 20 multiple choice questions
regarding knowledge of epidemiology was given to all the participants. Knowledge
of epidemiology was measured and compared with gender, hospital, and previous
history of research. Statistical Package for the Social Sciences (SPSS), version
21.0 (IBM, Armonk, NY) was used for data analysis. Results Out of 204
participants, 46.1% participants were males while 53.9% were females. The mean
age of the participant was 28.5 +/- 2.5. Majority fo the trainees (33.8%) were
training in an Internal Medicine residency program. Most of the trainees (76%)
had average knowledge of epidemiology while 18.6% of the trainees had good
knowledge. Only 17.6% had some experience of research projects in the past, but
this was not related to knowledge of epidemiology (p > 0.05). Conclusion Only
18.6% of the postgraduate trainees had good knowledge regarding epidemiology and
76% had average knowledge. This knowledge did not relate to their gender,
hospital settings, and previous experience of conducting research proposals and
articles.
PMID- 29657904
TI - Immunotherapy for Breast Cancer: Current and Future Strategies.
AB - Purpose of Review: The breast tumor microenvironment is immunosuppressive and is
increasingly recognized to play a significant role in tumorigenesis. A deeper
understanding of normal and aberrant interactions between malignant and immune
cells has allowed researchers to harness the immune system with novel
immunotherapy strategies, many of which have shown promise in breast cancer. This
review discusses the application of immunotherapy to the treatment of breast
cancer. Recent Findings: Both basic science and clinical trial data are rapidly
developing in the use of immunotherapy for breast cancer. The current clinical
trial landscape includes therapeutic vaccines, immune checkpoint blockade,
antibodies, cytokines, and adoptive cell therapy. Summary: Despite early
failures, the application of immunotherapeutic strategies to the treatment of
breast cancer holds promise.
PMID- 29657906
TI - Imaging Characteristics of Dural Arteriovenous Fistulas Involving the Vein of
Galen: A Comprehensive Review.
AB - Vein of Galen aneurysmal malformation (VGAM) is a rare angiopathy, which most
commonly presents in infancy. Although very rare, it is associated with high
morbidity and mortality rates. In order to minimize such morbid rates, a prompt
diagnosis followed by a timely initiation of management is crucial. Multiple
antenatal and postnatal imaging techniques for the diagnosis have been described
and discussed in the literature. However, to our knowledge, a comprehensive
review exploring such a list of imaging options for VGAM has never been
established. We aim to review the diagnostic tools to aid in better understanding
of the investigative modalities physicians may choose from when treating patients
with a VGAM.
PMID- 29657907
TI - Comparing Surgical Site Infection and Scar Cosmesis Between Conventional Linear
Skin Closure Versus Purse-string Skin Closure in Stoma Reversal - A Randomized
Controlled Trial.
AB - Introduction Stoma closure is one of the most frequently performed surgeries. The
common complications are surgical site infection (SSI) and poor scar cosmesis.
Purse-string sutures are expected to have less incidence of SSI due to the free
drainage of secretions from the wound and possibly the early detection of a wound
infection. Compared to the conventional linear closure, the purse-string closure
technique is expected to have less wound infection, improved scar cosmesis, and
good patient satisfaction because of a smaller size scar. Hence, a well
structured study is required to substantiate the advantage of this technique.
Methodology This randomized control trial was carried out for two years in a
tertiary care centre in Southern India. Patients with various stoma reversals,
including colostomy, as well as ileostomy reversal, were included in the study.
Patients were divided into Group I - conventional linear skin suturing (n = 40)
and Group II - purse-string closure (n = 40). After the closure of rectus muscle,
the skin is closed using the purse-string method (subcuticular) in the
experimental group. Results Both the groups were comparable with respect to age,
gender, body mass index (BMI), the presence of co-morbidities, and indication for
surgery. Stomal procedures were done (26.3%) for malignant cases. The difference
in mean hospital days for both groups were statistically insignificant (11.95 vs.
9.9; p = 0.927). The incidence of SSI between the groups were statistically
significant (17 vs. 3; p = 0.003). The mean Patient and Observer Scar Assessment
Scoring (POSAS) scores between the groups (65.30 vs. 83.40; p = 0.012) were
statistically significant. This proved significant improvement in scar cosmesis
in purse-string skin closure. At one month postoperative, the purse-string group
had better patient satisfaction (3.08 vs. 4.48; p = 0.001), which was evidenced
by a mean Likert 3 scale score. The mean visual analogue scale (VAS) score did
not show any significant difference in pain between the groups. Conclusion Purse
string skin closure for stoma reversal had significantly less incidence of SSI.
The duration of antibiotic therapy was also less in purse-string skin closure
patients as compared to linear skin closure patients. Purse-string skin closures
significantly improved the scar outcome and patient satisfaction.
PMID- 29657908
TI - A Rare Case of Coronary Artery Embolism in a Patient with d-Transposition of the
Great Arteries with Prior Mustard Repair.
AB - The dextro-transposition of great arteries (d-TGA) is a rare, congenital,
cyanotic heart disease and there is a paucity of data regarding long-term
cardiovascular outcomes. We present a rare case of non-ST-elevation myocardial
infarction (NSTEMI) in a patient with surgically repaired d-TGA. A 43-year-old
male who had previously undergone a Mustard atrial switch palliative procedure
presented with chest pain and diaphoresis and was diagnosed with NSTEMI. A
coronary angiogram revealed a small, underdeveloped, left anterior descending and
a large, left circumflex coronary artery with an acute embolic lesion. The
embolic lesion was secondary to atrial fibrillation and was successfully treated
with aspiration thrombectomy. This case highlights the variations in coronary
anatomy in surgically repaired d-TGA and the importance of recognizing the
potential for long-term complications in these cases.
PMID- 29657909
TI - Exposure of Dural Venous Sinuses: A Review of Techniques and Description of a
Single-piece Troughed Craniotomy.
AB - Intracranial lesions along the falx and tentorium often require exposure of a
dural venous sinus. Craniotomies that cross a sinus should maximize exposure
while minimizing the risk of sinus injury and provide a cosmetically appealing
result with simple reconstruction techniques. We describe the published
techniques for exposing dural venous sinuses, and introduce a new technique for a
single-piece craniotomy exposing the superior sagittal sinus or transverse sinus
using drilled troughs. A review of the literature was performed to identify
articles detailing operative techniques for craniotomies over dural venous
sinuses. Our troughed craniotomy for dural sinus exposure is described in detail
as well as our experience using this technique in 82 consecutive cases from 2007
2015. Five distinct techniques for exposure of the dural venous sinus were
identified in the literature. In our series of patients undergoing a trough
craniotomy, there were no sinus injuries despite a range of various locations and
pathology along the sagittal and transverse sinuses. Our technique was found to
be safe and simple to reconstruct compared to other techniques found in the
literature. A variety of different techniques for exposing the dural venous
sinuses are available. A single-piece craniotomy using a trough technique is a
safe means to achieve venous sinus exposure with minimal reconstruction required.
Surgeons should consider this method when removing lesions adjacent to the falx
or tentorium.
PMID- 29657910
TI - Anterolateral Triangle: A Cadaveric Study with Neurosurgical Significance.
AB - The anterolateral triangle is one of 10 surgical triangles of the cavernous sinus
and serves as an important anatomic landmark for the skull base surgeon. There
are few studies in the English literature that have precisely defined and
measured the borders of the anterolateral triangle and little agreement has been
made regarding the nomenclature within the English literature. A total of 12
midsagittally hemisected adult human cadaveric head halves were dissected to
expose the anterolateral triangle. The triangle was defined and measurements of
the anterior, posterior, and lateral borders were taken. The mean lengths and
standard deviations of the anterior, posterior, and lateral borders were 8.3 +/-
2.2 mm, 5.9 +/- 2.0 mm, and 11.5 +/- 2.9 mm, respectively. The mean area and
standard deviation were 20.46 +/- 9.30 mm2. The anterolateral triangle is helpful
in understanding and planning surgical approaches to the cavernous sinus and
middle cranial fossa. As such, normal anatomic relationships and the sizes of the
anterolateral triangle must first be recognized to better access the pathologic
changes within and around this region.
PMID- 29657911
TI - Origins of tropospheric ozone interannual variation (IAV) over Reunion: A model
investigation.
AB - Observations from long-term ozonesonde measurements show robust variations and
trends in the evolution of ozone in the middle and upper troposphere over Reunion
Island (21.1 degrees S, 55.5 degrees E) in June-August. Here we examine possible
causes of the observed ozone variation at Reunion Island using hindcast
simulations by the stratosphere-troposphere Global Modeling Initiative chemical
transport model (GMI-CTM) for 1992-2014, driven by assimilated Modern-Era
Retrospective Analysis for Research and Applications (MERRA) meteorological
fields. Reunion Island is at the edge of the subtropical jet, a region of strong
stratospheric-tropospheric exchange (STE). Our analysis implies that the large
interannual variation (IAV) of upper tropospheric ozone over Reunion is driven by
the large IAV of the stratospheric influence. The IAV of the large-scale, quasi
horizontal wind patterns also contributes to the IAV of ozone in the upper
troposphere. Comparison to a simulation with constant emissions indicates that
increasing emissions do not lead to the maximum trend in the middle and upper
troposphere over Reunion during austral winter implied by the sonde data. The
effects of increasing emission over southern Africa are limited to the lower
troposphere near the surface in August - September.
PMID- 29657912
TI - Manufacturing Nanoparticles with Orthogonally Adjustable Dispersibility in
Hydrocarbons, Fluorocarbons, and Water.
AB - Invited for this month's cover picture is the group of Prof. Dr. Andreas Hirsch
from Friedrich Alexander University (Germany). The cover picture shows shell-by
shell coated nanoparticle 'chameleons'-wet-chemically surface-modified
nanoparticles that can reversibly adjust their dispersibility to entirely
orthogonal solvent environments. Read the full text of their Full Paper at
https://doi.org/10.1002/open.201800011.
PMID- 29657913
TI - Triple Helicene Cage: Three-Dimensional pi-Conjugated Chiral Cage with Six
[5]Helicene Units.
AB - A three-dimensional pi-conjugated chiral cage with six [5]helicene units (a
triple helicene cage) was synthesized for the first time. Taking advantage of the
Yamamoto coupling reaction, the triflate-substituted triple [5]helicene, a
strained and preorganized precursor, was dimerized to afford the target compound.
Single-crystal X-ray diffraction analysis revealed the unique structural features
of the triple helicene cage: a cage-shaped rigid structure with outer helical
grooves and an inner chiral cavity. All-P and all-M enantiomers were separated
successfully by HPLC over a chiral column and their chiroptical properties were
characterized by circular dichroism spectra.
PMID- 29657914
TI - Manufacturing Nanoparticles with Orthogonally Adjustable Dispersibility in
Hydrocarbons, Fluorocarbons, and Water.
AB - We describe a universal wet-chemical shell-by-shell coating procedure resulting
in colloidal titanium dioxide (TiO2) and iron oxide (Fe3O4) nanoparticles with
dynamically and reversibly tunable surface energies. A strong covalent surface
functionalization is accomplished by using long-chained alkyl-, triethylenglycol
, and perfluoroalkylphosphonic acids, yielding highly stabilized core-shell
nanoparticles with hydrophobic, hydrophilic, or superhydrophobic/fluorophilic
surface characteristics. This covalent functionalization sequence is extended
towards a second noncovalent attachment of tailor-made nonionic amphiphilic
molecules to the pristine coated core-shell nanoparticles via solvophobic (i.e.
either hydrophobic, lipophobic, or fluorophobic) interactions. Thereby,
orthogonal tuning of the surface energies of nanoparticles via noncovalent
interactions is accomplished. As a result, this versatile bilayer coating process
enables reversible control over the colloidal stability of the metal oxide
nanoparticles in fluorocarbons, hydrocarbons, and water.
PMID- 29657915
TI - Macromolecular Crowding May Significantly Affect the Performance of an MRI
Contrast Agent: A 1H NMR Spectroscopy, Microimaging, and Fast-Field-Cycling NMR
Relaxometry Study.
AB - Contrast enhancement agents are often employed in magnetic resonance imaging
(MRI) for clinical diagnosis and biomedical research. However, the current theory
on MRI contrast generation does not consider the ubiquitous presence of
macromolecular crowders in biological systems, which poses the risk of inaccurate
data interpretation and misdiagnosis. To address this issue, herein the
macromolecular crowding effects on MRI contrast agent are investigated with the
1H relaxation rate of water in aqueous solutions of Dotarem with different
concentrations of macromolecules. Two representative macromolecular crowder
systems are used: polyethylene glycol (with no specific secondary structure) and
bovine serum albumin (with compact secondary and tertiary structures). The water
1H relaxation rates in various solutions are measured in a fixed magnetic field
and in variable magnetic fields. The results show significant crowding effects
for both crowders. The relaxation rate is proportional to the concentration of
the MRI contrast agent but shows conspicuous superlinearity with respect to the
concentration of the crowder. The size of polyethylene glycol does not affect the
relaxivity of water in Dotarem solutions. The above effects are verified with T1-
and T2-weighted NMR microimages. These results highlight the importance of the
effect of macromolecular crowding on the MRI contrast agent and are valuable for
understanding the mechanism of MRI contrast agents and designing new-generation
MRI contrast agents.
PMID- 29657917
TI - Hemophagocytic lymphohistiocytosis (HLH) secondary to disseminated histoplasmosis
in the setting of Acquired Immunodeficiency Syndrome (AIDS).
AB - Hemophagocytic lymphohistiocytosis (HLH) is a rare and aggressive disease
involving immune system over-activation leading to hemophagocytosis. HLH requires
early diagnosis and prompt treatment initiation, especially in patients with
Acquired Immunodeficiency Syndrome (AIDS). We present a case of a middle-aged
male with AIDS and renal failure, who developed HLH secondary to disseminated
histoplasmosis. Etoposide chemotherapy as recommended by the HLH 2004 Guidelines
was deferred and treatment focused instead on anti-fungal therapy. Anti
retroviral therapy followed thereafter.
PMID- 29657916
TI - Using New Approaches in Neurobiology to Rethink Stress-Induced Amnesia.
AB - Purpose of Review: Psychological stress can impact memory systems in several
different ways. In individuals with healthy defense and coping systems, stress
results in the formation of negatively valenced memories whose ability to induce
emotional and somatic distress subsides with time. Vulnerable individuals,
however, go on to develop stress-related disorders such as post-traumatic stress
disorder (PTSD) and suffer from significant memory abnormalities. Whether
expressed as intrusive trauma memories, partial amnesia, or dissociative amnesia,
such abnormalities are thought to be the core source of patients' symptoms, which
are often debilitating and implicate an entire socio-cognitive-affective
spectrum. Recent Findings: With this in mind, and focusing on stress-responsive
hippocampal microcircuits, this article highlights recent advances in the
neurobiology of memory that allow us to (1) isolate and visualize memory
circuits, (2) change their activity using genetic tools and state-dependent
manipulations, and (3) directly examine their impact on socio-affective circuits
and global network connectivity. By integrating these approaches, we are now in a
position to address important questions that have troubled psychiatry for a long
time-questions such as are traumatic memories special, and why are stress effects
on memory diverse. Summary: Furthering our fundamental understanding of memory in
the framework of adaptive and maladaptive stress responses has the potential to
boost the development of new treatments that can benefit patients suffering from
psychological trauma.
PMID- 29657918
TI - Effect of arsenic acid withdrawal on hepatotoxicity and disruption of erythrocyte
antioxidant defense system.
AB - We investigated the effects of withdrawal from Sodium arsenite (NaAsO2) on the
hepatic and antioxidant defense system in male Wistar rats using a before and
after toxicant design. Rats were orally gavaged daily with varying doses of
NaAsO2 for a period of 4 weeks. One half of the population was sacrificed and the
remaining half had the toxicant withdrawn for another further 4 weeks.
Biochemical and immunohistochemical techniques were used to assess the impact of
withdrawal on the erythrocyte and hepatic systems. Exposure of Wistar rats to
NaASO2 led to a significant (p < 0.05) increase in hepatic and erythrocyte
markers of oxidative stress (malondialdehyde, thiol contents and hydrogen
peroxide generation). Concurrently, there was a significant (p < 0.05) increase
in hepatic and erythrocyte antioxidant enzymes (glutathione-S-transferase,
glutathione peroxidase and superoxide dismutase) following exposure. Withdrawal
from NaAsO2 exposure led to a decline in both erythrocyte and hepatic markers of
oxidative stress and together with a significant improvement in antioxidant
defense system. Histopathology and immunohistochemistry revealed varying degrees
of recovery in hepatocyte ultrastructure alongside increased expression of the
pro-survival protein Kinase B (Akt/PKB) after 4 weeks of NaAsO2 withdrawal.
Conclusively, withdrawal from exposure led to a partial recovery from oxidative
stress-mediated hepatotoxicity and derangements in erythrocyte antioxidant system
through Akt/PKB pathway.
PMID- 29657919
TI - Exposure to radio-frequency electromagnetic waves alters acetylcholinesterase
gene expression, exploratory and motor coordination-linked behaviour in male
rats.
AB - Humans in modern society are exposed to an ever-increasing number of
electromagnetic fields (EMFs) and some studies have demonstrated that these waves
can alter brain function but the mechanism still remains unclear. Hence, this
study sought to investigate the effect of 2.5 Ghz band radio-frequency
electromagnetic waves (RF-EMF) exposure on cerebral cortex acetylcholinesterase
(AChE) activity and their mRNA expression level as well as locomotor function and
anxiety-linked behaviour in male rats. Animals were divided into four groups
namely; group 1 was control (without exposure), group 2-4 were exposed to 2.5 Ghz
radiofrequency waves from an installed WI-FI device for a period of 4, 6 and 8
weeks respectively. The results revealed that WiFi exposure caused a significant
increase in anxiety level and affect locomotor function. Furthermore, there was a
significant decrease in AChE activity with a concomitant increase in AChE mRNA
expression level in WiFi exposed rats when compared with control. In conclusions,
these data showed that long term exposure to WiFi may lead to adverse effects
such as neurodegenerative diseases as observed by a significant alteration on
AChE gene expression and some neurobehavioral parameters associated with brain
damage.
PMID- 29657920
TI - Sub-acute administration of lower doses of nicotine caused sex-dependent
improvement of renal function in Wistar rats.
AB - The adverse and beneficial health effects of nicotine (NIC), the major alkaloid
found in cigarettes and tobacco, are controversial. Most studies on NIC have
focused on its effects on cardiovascular and nervous functions. This study aimed
at determining dose- and sex-specific effects of sub-acute (28 days) NIC
administration on some indices of kidney function in Wistar rats. Forty rats (20
males and 20 females), 8-9 weeks old (each housed in separate metabolic cage),
were used for this study such that graded doses of NIC (1, 2 and 4 mg/kg i.p. for
28 days) were administered to both sexes while each control received distilled
water at 0.2 mL/100 g i.p. Blood was collected under ketamine anesthesia (10
mg/kg i.m) for analyses and results obtained were compared at p < 0.05. The
result showed beneficial alterations in plasma and urine level of creatinine,
urea and uric acid (p < 0.05) as well as plasma and urine electrolyte level (Na+
and K+) in both sexes (p < 0.05). Also, there was significant improvement in
creatinine clearance (p < 0.05) with no appreciable difference in their
histological examination. Although these beneficial effects were more pronounced
in the female than in the male (p < 0.05), administration at the highest dose
showed potentially deleterious alterations from normal beneficial trend (p <
0.05) in both sexes. It was concluded that sub-acute administration of lower
doses of NIC improves kidney function of Wistar rats; an effect that was more
pronounced in the females than their male counterparts.
PMID- 29657921
TI - Toxic effects of polybrominated diphenyl ethers (BDE 47 and 99) and localization
of BDE-99-induced cyp1a mRNA in zebrafish larvae.
AB - Polybrominated diphenyl ethers (PBDEs) were once widely used as flame retardants
in furniture and electronic products, and contamination persists in developing
countries due to the dismantling of electronic waste. Our previous study
confirmed that 2,2',4,4',5-pentabromodiphenyl ether (BDE-99) induced cytochrome
P450 1A (Cyp1a) via aryl hydrocarbon receptor (Ahr)-mediated signaling in the
zebrafish liver cell line (ZFL) in vitro. In this study, the toxicities of BDE-47
and BDE-99 at environmentally relevant concentrations (50 and 500 nM) were
evaluated in newly hatched zebrafish (Danio rerio) larvae in vivo. A time-course
study (8, 24, 48, and 96 h) was performed. BDE-99 was observed to cause yolk sac
edema and pericardial edema after 72 h of exposure. Real-time polymerase chain
reaction assay and whole-mount in situ hybridization assay confirmed cyp1a
induction by BDE-99 in the liver and intestine. Continuous down-regulation of
trbeta by as much as 2.1-fold after 96 h and transient down-regulation of ttr by
7.1-fold after 24 h indicated the interference of BDE-99 in the thyroid hormone
system. cyp1a induction was also observed in BDE-47-treated larvae, but cellular
localization of cyp1a was not confirmed by whole-mount in situ hybridization. The
induction of four cyp1 genes (cyp1a, cyp1b1, cyp1c1 and cyp1c2) by both BDE
congeners warrants further study to understand the in vivo metabolism of BDE-47
and BDE-99 and the dioxin-like toxicity potencies of the OH-/MeO-PBDEs. The data
obtained in this study will aid the characterization of molecular disorders
caused by PBDEs in fish and help to delineate better models for toxicity
assessment of environmental pollutants in ecological systems and in other
vertebrates such as humans.
PMID- 29657922
TI - Gastro-protective effect of methanol extract of Vernonia amygdalina (del.) leaf
on aspirin-induced gastric ulcer in Wistar rats.
AB - This study investigated the protective effects of methanol extract of Vernonia
amygdalina leaf (MEVA) on aspirin induced gastric ulcer in rats. Thirty Wistar
rats, 150-200 g were divided into six groups as follows: Group 1 (control) rats
received 2 mL/kg of propylene glycol for 28 consecutive days. Group 2 (Ulcer
Control) received 150 mg/kg/day of aspirin suspended in 3 mL of 1%
carboxymethylcellulose in water orally for 3 consecutive days during which the
rats were fasted for the induction of ulcer. Group 3 received cimetidine at 100
mg/kg/day orally for 28 consecutive days and thereafter treated as group 2.
Groups 4, 5 and 6 received MEVA orally at 200, 300 and 400 mg/kg/day respectively
for 28 consecutive days and thereafter were treated with aspirin as group 2. All
the animals were sacrifice at the end of the study to determine the gastric pH,
gastric acidity, gastric ulcer score, haematological indices, superoxide
dismutase (SOD) activity, reduced glutathione (GSH) and Lipid peroxidation (LPO)
levels. The result showed that aspirin significantly (p < 0.05) increased gastric
ulcer score and index, decreased gastric pH, gastric acidity, SOD activity, GSH
level as well as increased LPO level. It induced significant necrosis of the
stomach tissue. Administration of MEVA significantly (p < 0.05) increased gastric
pH, but decreased gastric acid secretion and reversed alteration of
haematological parameters. It also significantly (p < 0.05) increased SOD
activity, GSH level and decreased LPO level. The results suggest that Vernonia
amygdalina possesses gastro-protective properties against aspirin-induced gastric
ulcer.
PMID- 29657923
TI - A HYBRID APPROACH TO SIMULATE TISSUE BEHAVIOR DURING SURGICAL SIMULATION.
AB - Modeling interaction between deformable and rigid objects efficiently and
accurately is one of the most important tasks for interactive surgical
simulation. The Finite Element Method (FEM) has become very popular in this
context due to its versatility in representing elastic bodies with irregular
geometric features and diverse material properties. In this work we propose a
hybrid FEM approach to simulating realistic tissue behavior that uses a non
linear formulation in the vicinity of the interaction while employing a less
accurate and inexpensive linear formulation elsewhere. A semi-implicit time
stepping is used for the non-linear portion of the domain. This avoids expensive
domain decomposition strategies required to maintain consistency at the interface
and allows for regular system assembly using a non-overlapping interface and
single solver for both domains. This study demonstrates the advantages of our
novel approach, especially for the case of real-time surgical simulation.
PMID- 29657925
TI - Biofilm Formation in Nonmultidrug-resistant Escherichia coli Isolated from
Patients with Urinary Tract Infection in Isfahan, Iran.
AB - Background: Escherichia coli is a Gram-negative, opportunistic human pathogen in
which increasing antibiotic resistance is a great concern for continued human
survival. Although biofilm formation is a mechanism that helps E. coli to survive
in unfavorable conditions, according to the importance of biofilm formation in
developing the antibiotic resistance here, we studied the relation between
antibiotic resistance and in vitro qualitative rating method biofilm formation in
E. coli isolated from patients with urinary tract infection (UTI). Materials and
Methods: The clinical isolates of E. coli (n = 100) were collected from urine of
patients with UTI attending Isfahan Alzahra hospital. The strains were confirmed
as E. coli using biochemical tests and molecular method. The Kirby-Bauer disk
diffusion tests were done according to the Clinical and Laboratory Standards
Institute protocol, and the biofilm synthesis was performed by microplate method.
The binary logistic test was applied and P < 0.05 was considered statistically
significant. Results: Our results showed a high outbreak of multidrug-resistant
(MDR) E. coli strains (73%) and the highest resistance was observed toward
ampicillin. The prevalence of biofilm producer isolates was 80% that 29% produced
strong biofilm. The distribution of non-MDR isolates was high among strong
biofilm producers, which shows a significant negative correlation between biofilm
production and MDR pattern (P < 0.001). Conclusions: We found a negative
correlation between MDR phenotype and biofilm formation capacity. This transmits
the concept that more antibiotic susceptibility of strong biofilm producers may
be due to the reduced exposure to multiple antibiotics.
PMID- 29657924
TI - Palliative care for adolescents and young adults with cancer.
AB - Adolescents and young adults (AYAs) with cancer represent a unique and
challenging group of patients with distinct developmental and psychosocial needs
that may be unrecognized or unmet during their cancer experience. Palliative care
refers to the total care of a patient, regardless of his or her disease status,
and aims to improve quality of life by controlling symptoms and alleviating
physical, social, psychological, and spiritual suffering. Integrating palliative
care into standard oncology practice for AYAs is therefore valuable, if not
imperative, in improving their overall cancer experience. In this review, we
aimed to describe the scope, benefits, and challenges of palliative care for AYA
oncology patients. We provide a broad impression of the existing literature
describing or investigating palliative care in this population. Put together, the
evidence suggests that palliative care is not only needed, but can also be
critically beneficial to patients, families, and health care professionals alike.
As we increase public and professional awareness of the needs and applications of
palliative care for AYA patients with cancer, we will ultimately enable better
psychosocial outcomes of the AYA patients and their larger communities.
PMID- 29657926
TI - Efficacy of Group Cognitive-behavioral Therapy in Maintenance Treatment and
Relapse Prevention for Bipolar Adolescents.
AB - Background: Despite conducting wide-ranging of pharmacotherapy for bipolar
adolescents, many of them are showing a deficit in functioning with high relapse
rate. The aim of the current study was to develop a manual and investigate the
efficacy of group cognitive-behavioral therapy (G-CBT) for female bipolar
adolescents. Materials and Methods: During the first qualitative phase of a mixed
methods study, a manual of G-CBT was developed. Then, 32 female bipolar
adolescents aged 12-19 years old, receiving usual maintenance medications (UMM),
were selected. Participants were randomized to the control (UMM) and intervention
group (5, 2 h weekly sessions based on G-CBT manual with UMM). The parents in
intervention group participated in three parallel sessions. All participants
filled the following questionnaires before 1, 3, and 6 months after the
initiation of the study: Young Mania Rating Scale, Children Depression Inventory
and Global Assessment of Functioning. The results were analyzed using SPSS 21
software. The concurrent qualitative phase was analyzed through thematic
analysis. Results: The results showed no significant differences in all
questionnaires' scores through intervention and follow-up sessions (P > 0.05).
However, using cutoff point of CDI, G-CBT was effective for intervention group
(relapse rate: 25% vs. 44.4%). Two themes were extracted from the second
qualitative phase: emotion recognition and emotion regulation, especially in
anger control. Conclusions: The results showed that the addition of G-CBT to UMM
leads to decrease in the depressive scores but has no effect on manic symptoms
and relapse rate.
PMID- 29657928
TI - Cytotoxicity of Sargassum angustifolium Partitions against Breast and Cervical
Cancer Cell Lines.
AB - Background: Marine organisms produce a variety of compounds with pharmacological
activities including anticancer effects. This study attempt to find cytotoxicity
of hexane (HEX), dichloromethane (DCM), and butanol (BUTOH) partitions of
Sargassum angustifolium. Materials and Methods: S. angustifolium was collected
from Bushehr, a Southwest coastline of Persian Gulf. The plant was extracted by
maceration with methanol-ethyl acetate. The extract was evaporated under vacuum
and partitioned by Kupchan method to yield HEX, DCM, and BUTOH partitions. The
cytotoxic activity of the extract (150, 450, and 900 MUg/ml) was investigated
against MCF-7 (breast cancer), HeLa (cervical cancer), and human umbilical vein
endothelial cells cell lines by mitochondrial tetrazolium test assay after 72 h.
Results: The cell survivals of HeLa and MCF-7 cell were decreased by increasing
the concentration of extracts from 150 MUg/ml to 900 MUg/ml. The median growth
inhibitory concentration value of HEX partition was 71 and 77 MUg/ml against HeLa
and MCF-7, dichloromethane partition was 36 and 88 MUg/ml against HeLa and MCF-7,
respectively. BUTOH partition was 25 MUg/ml against MCF-7. Conclusion: This study
reveals that different partitions of S. angustifolium have cytotoxic activity
against cancer cell lines.
PMID- 29657927
TI - Gene Expression Analysis of Two Epithelial-mesenchymal Transition-related Genes:
Long Noncoding RNA-ATB and SETD8 in Gastric Cancer Tissues.
AB - Background: Cancer is the second cause of death after cardiovascular diseases
worldwide. Tumor metastasis is the main cause of death in patients with cancer;
therefore, unraveling the molecular mechanisms involved in metastasis is
critical. Epithelial-mesenchymal transition (EMT) is believed to promote tumor
metastasis. Based on the critical roles of long noncoding RNA-ATB (lncRNA-ATB)
and SETD8 genes in cancer pathogenesis and EMT, in this study, we aimed to assess
expression profile and clinicopathological relevance of these two genes in human
gastric cancer. Materials and Methods: Quantitative real-time polymerase chain
reaction was performed to assess these gene expressions in gastric cancer tissues
and various cell lines. The associations between these gene expressions and
clinicopathological characteristics were also analyzed. Results: Insignificant
downregulation of lncRNA-ATB and significant upregulation of SETD8 in cancerous
versus noncancerous gastric tissues were observed. Among different examined cell
lines, all displayed both genes expression. Except for a significant inverse
correlation between the expression levels of lncRNA-ATB and depth of invasion (T)
and a direct association between SETD8 levels and advanced tumor grades, no
significant association was found with other clinicopathological characteristics.
Conclusion: lncRNA-ATB and SETD8 genes may play a critical role in gastric cancer
progression and may serve as potential diagnostic/prognostic biomarkers in cancer
patients.
PMID- 29657929
TI - Poly(hydroxybutyrate)/chitosan Aligned Electrospun Scaffold as a Novel Substrate
for Nerve Tissue Engineering.
AB - Background: Reconstruction of nervous system is a great challenge in the
therapeutic medical field. Nerve tissue engineering is a novel method to
regenerate nervous system in human health care. Tissue engineering has introduced
novel approaches to promote and guide peripheral nerve regeneration using
submicron and nanoscale fibrous scaffolds. Materials and Methods: In this study,
9 wt% poly(3-hydroxybutyrate) (PHB) solutions with two different ratios of
chitosan (CTS) (15%, and 20%) were mixed in trifluoroacetic acid as a cosolvent.
Thereafter, random and aligned PHB/CTS scaffolds were fabricated by
electrospinning method in an appropriate condition. Results: Average diameters
for aligned PHB, PHB/CTS 85:15 and PHB/CTS 80:20 were obtained as 675 nm, 740.3
nm, and 870.74 nm, which was lesser than random fibers. The solution components
entity authenticity was approved by Fourier transform infrared. The addition of
CTS decreased both water droplet contact angle from 124.79 degrees to 43.14
degrees in random and 110.87 degrees to 33.49 degrees in aligned PHB/CTS
fibrous scaffold. Moreover, alignment of fibers causes tremendous increase in
hydrophilicity of fibrous PHB/CTS substrate. Tensile strength increased from 6.41
MPa for random to 8.73 MPa for aligned PHB/CTS 85:15. Conclusions: Our results
indicated that aligned PHB/CTS 85:15 nanofibers are the desired scaffold than the
random PHB/CTS nanofibers for application in nerve tissue regeneration.
PMID- 29657930
TI - Establishment and Development of the First Biobank of Inflammatory Bowel Disease,
Suspected to Primary Immunodeficiency Diseases in Iran.
AB - Background: Inflammatory bowel disease (IBD) might be an immunodeficiency rather
than an excessive inflammatory reaction. IBD, suspected to primary
immunodeficiency diseases biobank (IBDSPIDB) as a resource for researches can
help improve the prevention, diagnosis, and illness treatment and the health
promotion throughout the society. Therefore, we launched the biobank of IBDSPID
for the first time in Iran. Materials and Methods: This study was designed to
provide the IBDSPIDB to have a high-quality DNA, RNA, and cDNA. Among of 365
patients, 39 have inclusion criteria that were as below: (1) IBD diagnosis before
5 years of age. (2) Resistance to conventional therapy of IBD. (3) Severe IBD.
(4) Signs of SPID (including ear infections or pneumonia or recurrent sinus
within the 1-year period; failure to thrive; poor response to the prolonged use
of antibiotics; persistent thrush or skin abscesses; or a family history of PID).
Results: Out of 39 patients, 51.3% were males. The mean age was 32.92 +/- 15.90
years old. Ulcerative colitis (79.5%) was more than Crohn's disease. The majority
of patients (50.0%) had severe IBDSPID. Resistance to drugs and consanguinity was
12.9% and 47.4%, respectively. Age at onset in 65.8% of patients was after 17
years old. Patients with autoimmune, allergy, and immunodeficiency disease
history were 33.3%, 33.3%, and 10.36%, respectively. RNA and cDNA yields large
quantities of high-quality DNA obtained and stored. Conclusion: Our biobank would
be valuable for future genetic and molecular study to be more about the relation
between IBD and PID.
PMID- 29657932
TI - Normative Ulnar Nerve Conduction Study: Comparison of Two Measurement Methods.
AB - Background: Given the high prevalence rate of ulnar neuropathy and importance of
its proper management, to have a baseline information about the normative value
of motor nerve conduction of first dorsal interosseous (FDI) muscle and abductor
digiti minimi muscle (ADM) and their differences as well as their relation with
different demographic characteristics of our population, we aimed to determine
and compare the mean value of motor conduction velocity of FDI and ADM at forearm
and across the elbow among the normal population. Materials and Methods: In this
cross-sectional study, healthy participants were enrolled in the study. Ulnar
nerve motor nerve conduction velocity (MNCV) was recorded from the ADM and the
FDI at forearm and across the elbow. Mean MNCV of the ulnar nerve recorded from
ADM and FDI was compared. In addition, MNCV of the ulnar nerve measured at the
forearm and across the elbow was compared also. Results: During this study, 165
healthy volunteers selected and participated in the study. Mean of ulnar nerve
MNCV for ADM was significantly lower than FDI, both at forearm and across the
elbow (P < 0.001). Mean of ulnar nerve MNCV was significantly lower at forearm
comparing than elbow level for both ADM and FDI (P < 0.001). Conclusion: The
findings of the current study provide us a baseline data regarding the normative
mean value of ulnar nerve MNCV in different locations, which could be used for
providing an appropriate diagnostic protocol for ulnar nerve neuropathy. However,
further studies among patients suspected with ulnar nerve neuropathy are needed.
PMID- 29657931
TI - Evaluation of Seizure Frequency Distribution in Epileptic Patients with Normal
and Abnormal Electroencephalogram in Al-Zahra Hospital of Isfahan.
AB - Background: Epilepsy is a chronic neurological disorder characterized by seizure
recurrence in patients. Electroencephalogram (EEG) has a diagnostic and
prognostic role in the management of patients. Studies have shown a significant
relation between seizure recurrence and abnormal EEG in newly diagnosed epileptic
patients, and people with first episode of unprovoked seizure. The aim of this
study is to evaluate seizure frequency in chronic epileptic patients on drug
therapy based on normal or abnormal EEG. Materials and Methods: This prospective
cohort study examined seizure recurrence in 59 epileptic patients (50.8%
generalized, 49.2% focal) with normal and abnormal EEG. Data were recorded in
patient medical file, and patients were followed by telephone call or visiting by
neurologist. Results: In this study, 59 patients with a mean age of 29.58 +/-
10.37 years were assessed that 42.4% of them were males and 57.6% were females.
Seizure frequency in patient with specific abnormal EEG was significantly more
than other patients (specific abnormal: 78.9%, nonspecific abnormal: 45.5%, and
normal: 31%, P = 0.005). Seizure recurrence in patients on polytherapy was
significantly higher than others (polytherapy: 76.9% and monotherapy: 27.3%, P <
0.001). In patient with abnormal imaging seizure, frequency was more than other
patients which was nearly significant (P = 0.054). Conclusion: Abnormal EEG and
number of anticonvulsant drugs have a role in seizure recurrence in epileptic
patients.
PMID- 29657933
TI - Relationship between Height of Ethmoid Skull Base and Length of Lateral Lamella
by Sectional Coronal Computed Tomography Scan before Endoscopic Sinus Surgery.
AB - Background: Damage to ethmoid skull base (ESB) and lateral lamella (LL) during
endoscopic sinus surgery (ESS) causes penetration into the brain. This study is
aimed to determine the relationship between the height of ESB and length of LL by
sectional coronal computed tomography (CT) scans before ESS. Materials and
Methods: In a cross-sectional study, 100 patients admitted to ENT clinic of Al
Zahra Hospital, filled the consents regarding the use of CT scan stereotype. Each
stereotype was evaluated and using the software on two sides the height of ESB
and the length of LL were measured, investigated and recorded. Next, the maximum
and minimum height and length in the two sides was measured, and its mean
calculated. Then, the relationship between the length of LL and the height of ESB
was investigated. Results: There was a direct correlation, with the rate of 0.25
between the length of right LL and height of right ESB that was significant
according to Pearson test (P = 0.013). Also, the rate of correlation between the
left LL and height of left ESB was 0.15 that was not significant according to
Pearson test (P = 0.15). Conclusion: Based on this study there was a direct
correlation between height of the right ESB and the length of LL, but this
correlation is very low, about 0.25 and even this correlation was lower in the
case of left, about 0.15, which both of them are not significant. This may be due
to other affecting factors, such as length, slope and angle of fovea ethmoidallis
from the horizontal line.
PMID- 29657934
TI - Comparison of Wound Tape and Suture Wounds on Traumatic Wounds' Scar.
AB - Background: Several methods have been used in wound closure for traumatic wounds,
but it is not clear that which of these methods has more safety and efficacy.
This study aimed to compare scar width due to standard and current treatments in
wound repair by suturing and method of wound restoration using wound tape in
patients with traumatic ulcers. Materials and Methods: This randomized clinical
trial was done in ninety patients with wounds in the facial area. They were
divided randomly into two groups of 45. The wounds in the first group were
sutured, and wound tapes were used to wound closure in the second group. After 2
months, length and width of scar and results of life-size photography were
recorded in a list, especially prepared for this purpose. Results: The mean age
of the patients was 22.7 +/- 12.9 years with 46 males (56.1%) and 36 females
(43.9%). After 2 months, scars width in suture wound group was 2.9 mm and in
wound tape group was 2.5 mm, with no statistically significant difference (P =
0.07). In patients with wound length of >20 mm, scars width was similar between
groups and no significant differences was noted (P = 0.27), but in patients with
wound length of <20 mm, scars width in wound tape group was significantly less
than suture wound group (1.7 vs. 2.5 mm, respectively, P = 0.01). Wound
complications were not significantly different between the two groups.
Conclusion: Findings revealed that scar formation in wounds lower than 20 mm
treated using wound tape was lower than suture, but for wounds between 20 and 50
mm were similar between wound tape and suture.
PMID- 29657935
TI - A Large Primary Subcutaneous Hydatid Cyst in Proximal Thigh: An Unusual
Localization.
AB - Primary muscular hydatidosis is a very rare condition with an incidence of 1%-4%
in endemic regions. This case report describes an unusual location of hydatid
cyst in the sartorius muscle and the application of imaging in its preoperative
diagnosis, choosing a treatment strategy, and monitoring.
PMID- 29657936
TI - Cellular Therapy for Chronic Traumatic Brachial Plexus Injury.
AB - Cellular therapy is being actively pursued as a therapeutic modality in many of
the neurological diseases. A variety of stem cells from diverse sources have been
studied in detail and have been shown to exhibit angiogenetic and
immunomodulatory properties in addition to other neuroprotective effects.
Published clinical data have shown bone marrow mononuclear cell (BMMNC) injection
in neurological disorders is safe and possesses regenerative potential. We
illustrate a case of 27-year-old male with traumatic brachial plexus injury,
administered with autologous BMMNCs intrathecally and intramuscularly, followed
by multidisciplinary rehabilitation. At the follow-up assessment of 3 and 7
months after first cell transplantation, improvements were recorded in muscle
strength and movements. Electromyography (EMG) performed after the intervention
showed a response in biceps and deltoid muscles suggesting the process of
reinnervation at the site of injury. In view of the improvements observed after
the treatment, the patient underwent second cell transplantation 8 months after
the first transplantation. Muscle wasting had completely stopped with an increase
in the muscle girth. No adverse effects were noted. Improvements were maintained
for 4 years. A comprehensive randomized study for this type of injury is needed
to establish the therapeutic benefits of cellular therapy.
PMID- 29657937
TI - The Role of Angiotensin II Infusion on the Baroreflex Sensitivity and Renal
Function in Intact and Bilateral Renal Denervation Rats.
AB - Background: The role of renin-angiotensin system (RAS) in communication between
renal system and cardiovascular system is extremely important. Baroreflex
sensitivity (BRS) index defines as heart rate (HR) alteration versus mean
arterial pressure (MAP) change ratio . Sympathetic nerve is arm of the
baroreflexes and any change in its activity will lead to change in the BRS. The
role of angiotensin II (Ang II) infusion in systemic circulation accompanied with
bilateral renal denervation (RDN) on BRS index and renal function was studied.
Materials and Methods: Seventy-two male and female Wistar rats in 12 groups were
anesthetized and catheterized. The alteration of MAP and HR responses to
phenylephrine infusion compared to control groups was determined in bilateral RDN
rats subjected to treat with Ang II (300 or 1000 ng/kg/min) administration.
Results: The BRS index was elevated in Ang II-treated non-RDN (normal) male rats
gradually and dose dependently (P < 0.05), while this index was significantly
different when compared with RDN male rats (P < 0.05). Accordingly, the BRS index
was significantly lower in RDN than non-RDN male rats, and such observation was
not observed in female rats. The creatinine clearance (insignificantly) and urine
flow (significantly; P < 0.05) were decreased in both non-RDN and RDN male and
female rats treated with Ang II. In RDN model, the serum nitrite levels were
decreased in male and increased in female by Ang II infusion when compared with
vehicle infusion. Conclusion: The Ang II infusion could increase the BRS index in
non-RDN (normal) male rats which is significantly greater than BRS index in RDN
rats.
PMID- 29657938
TI - Formulation of Herbal Gel of Antirrhinum majus Extract and Evaluation of its Anti
Propionibacterium acne Effects.
AB - Background: Antirrhinum majus contains aurone with excellent antibacterial and
antifungal activities. In addition, visible light activates the endogenous
porphyrins of Propionibacterium acne, which results in bacterial death.
Therefore, considering the above-mentioned facts, the aim of the present study
was to prepare a topical herbal gel of A. majus hydroalcoholic extract and to
evaluate its antiacne effects with or without blue light combination as an
activator of the porphyrins. Materials and Methods: Antibacterial activity of the
shoot or petal extracts was evaluated by disc diffusion method and the minimum
inhibitory concentration (MIC) was calculated. Various gel formulations were
developed by the Experimental Design software. The obtained gel formulations were
prepared and tested for pharmaceutical parameters including organoleptic
features, pH, viscosity, drug content, and release studies. Finally, the
antibacterial activity was evaluated against (P. acnes) with or without blue
light. Results: The MIC of the extracts showed to be 0.25 MUg/ml. Evaluation of
the gel formulation showed acceptable properties of the best formulation in
comparison to a gel in the market. Pharmaceutical parameters were also in
accordance with the standard parameters of the marketed gel. Furthermore,
statistical analyses showed significant antibacterial effect for gel when
compared to negative control. However, combination of blue light with gel did not
show any significant difference on the observed antibacterial effect. Conclusion:
Because of the statistically significant in vitro antiacne effects of the
formulated gel, further clinical studies for evaluation of the healing effects of
the prepared gel formulation on acne lesions must be performed.
PMID- 29657939
TI - Cisplatin Alters Sodium Excretion and Renal Clearance in Rats: Gender and Drug
Dose Related.
AB - Background: Nephrotoxicity is one of the side effects of cisplatin (CP) therapy
which is gender related. CP disturbs renal function through glomerular filtration
rate and electrolytes transport disturbances. This study was designed to compare
some markers related to renal function in two protocols of CP treatment in rats.
Materials and Methods: Male and female rats were subjected to receive single
(treat 1; 7.5 mg/kg) and continues doses (treat 2; 3 mg/kg/day for 5 days) of CP,
and the measurements were compared with control animals. Results: The serum level
of blood urea nitrogen (BUN) and creatinine (Cr), and Cr-clearance, kidney tissue
damage score, kidney weight, body weight change, and Na excretion was altered
significantly (P < 0.05) in animals treated with continuous dose of CP (treat 2),
while alteration of BUN and Cr was gender related. The kidney levels of
malondialdehyde and nitrite were significantly different between male and female
in two protocols of treatments. Conclusion: Renal function after CP therapy
alters in rats' gender dependently, and it is related to protocol of treatment.
PMID- 29657940
TI - Levofloxacin-containing versus Clarithromycin-containing Therapy for Helicobacter
pylori Eradication: A Prospective Randomized Controlled Clinical Trial.
AB - Background: This study evaluated the clinical efficacy and tolerability of a 14
day course of bismuth-based quadruple therapy including tinidazole and
levofloxacin in compare to a 14-day bismuth-based quadruple therapy including
clarithromycin as first-line treatment for Helicobacter pylori infection in
Iranian adults. Materials and Methods: The study was a prospective, parallel
group, randomized controlled, clinical trial that conducted on 150 patients with
H. pylori infection. Patients were randomly assigned to the two groups as
follows: first group received pantoprazole 40 mg, bismuth subcitrate 240 mg,
amoxicillin 1 g, and clarithromycin 500 mg (PBAC group), and other group received
pantoprazole 40 mg, bismuth subcitrate 240 mg, amoxicillin 1 g, tinidazole 500 mg
for 7 days, followed by levofloxacin 500 mg for the second 7 days (PBATL group).
Main outcomes were eradication rate, tolerance of treatment, and dyspepsia
severity. Results: The eradication rates for PBAC regimen was 81.1% (95%
confidence interval [CI]: 71.9-90.2) and for PBATL regimen was 70.8% (95% CI:
60.1-81.6), which was not significantly different (P = 0.147). Tolerance of
treatment was similar between groups. The median of severity of dyspeptic after
treatment in PBAC group was 10 [9-14.75], which was similar to PBATL group 10 [9
13.5] (P = 0.690). Conclusion: There is no significant difference between PBAC
and PBATL regimen, and efficacy was similar in both groups. The overall rate of
treatment failure suggests that up to 18%-30% of patients will fail bismuth-based
quadruple therapy and require retreatment for the infection.
PMID- 29657941
TI - Investigation of Frequency Distribution of Breast Imaging Reporting and Data
System (BIRADS) Classification and Epidemiological Factors Related to Breast
Cancer in Iran: A 7-year Study (2010-2016).
AB - Background: The first cause of women mortality due to cancer is breast cancer.
Mammography plays a central part in early detection of breast cancers. The
screening methods can play a major role to reduce the morbidity and mortality
rate due to this malignancy. We sought the basic data in this study on our
population because knowing about the baseline data is apt and vital. Materials
and Methods: In this study, data were collected from a questionnaire, contained
baseline bio data information, and mammographic imaging of the patients came
during 7 years. Breast imaging reporting and data system (BIRADS) score, breast
composition, presence of axillary lymph nodes, microcalcifications, and other
incidental positive findings were determined by a radiologist and analysis was
performed by SPSS package. Results: The most common indication for mammography
was annual screening. The mean age of participants to the study was 55 +/- 7.9
years. The majority (80%) of the patients with known breast cancer (BIRADS 6) had
the extremely dense breast. The most common incidental findings in mammogram
studies were focal asymmetry, architectural distortion, intramammary lymph node
and accessory breasts, respectively. Conclusion: The frequency distribution of
BIRADS classification in our society was clarified. It seems that the breast
cancer risk is higher in women with dense breasts. Architectural distortion was
also correlated to BIRADS score.
PMID- 29657942
TI - Music Therapy and Social Skills in Autism: Underlying Biological Mechanisms.
PMID- 29657943
TI - The Relationship between Tuberculosis and Lung Cancer.
PMID- 29657944
TI - Driving Outcomes among Older Adults: A Systematic Review on Racial and Ethnic
Differences over 20 Years.
AB - The population of older adults (aged 65 years and older) in the United States
will become more racially and ethnically diverse in the next three decades.
Additionally, the growth of the aging population will come with an expansion in
the number of older drivers and an increased prevalence of chronic neurological
conditions. A major gap in the aging literature is an almost exclusive focus on
homogenous, non-Hispanic white samples of older adults. It is unclear if this
extends to the driving literature. A systematic review of SCOPUS, PubMed, CINAHL
Plus, and Web of Science examined articles on driving and racial/ethnic
differences among older adults. Eighteen studies met inclusion criteria and their
results indicate that racial and ethnic minorities face a greater risk for
driving reduction, mobility restriction, and driving cessation. The majority of
studies compared African Americans to non-Hispanic whites but only examined race
as a covariate. Only four studies explicitly examined racial/ethnic differences.
Future research in aging and driving research needs to be more inclusive and
actively involve different racial/ethnic groups in study design and analysis.
PMID- 29657945
TI - THE ROLE OF PROTEIN CHAPERONES IN THE SURVIVAL FROM ANTHRACYCLINE-INDUCED
OXIDATIVE STRESS IN SACCHAROMYCES CEREVISIAE.
AB - Several S. cerevisiae deletion strains involving heat-shock response factors were
among the most sensitive mutants identified in a previous genetic screen for
doxorubicin hypersensitivity. These strains included ydj1Delta, ssz1Delta and
zuo1Delta mutants. In addition, new1Delta, whose function was unknown, also
displayed significant sensitivity to anthracyclines. We further investigated the
basis for the sensitivity of these mutants. We determined that heat-shock could
partially rescue the sensitivity of the strains to doxorubicin, including the
homologous recombination mutant rad52Delta, which is sensitive to doxorubicin
mediated DNA double strand breaks (DSBs). However, none of the heat-shock
response mutants were sensitive to DSBs, but were highly sensitive to reactive
oxygen species (ROS) generated by quinone-ring-containing agents, such as
anthracyclines and menadione. A fluorescent-based assay indicates that
doxorubicin causes protein aggregation. Interestingly, the disaggregase mutant
hsp104Delta is not sensitive to anthracyclines or menadione suggesting that
Hsp104p does not play a role in disaggregating doxorubicin-induced protein
aggregates. However New1p, which has been recently shown to be a novel
disaggregase, is essential for cell viability after exposure to anthracyclines
and menadione and it is not involved in thermotolerance. Our data suggest that in
S. cerevisiae, doxorubicin produces protein aggregation through ROS and requires
Ydj1p and New1p for resolution.
PMID- 29657946
TI - Too Cold to Clot? Does Intraoperative Hypothermia Contribute to Bleeding After
Aortic Surgery?
AB - Background: We determined the impact of intraoperative hypothermia on
postoperative bleeding after thoracic aortic surgery. Methods: We retrospectively
analyzed 98 consecutive patients who underwent aortic surgery with deep
hypothermic circulatory arrest between 2010 and 2014. We evaluated lowest
temperature, absolute decrease in temperature, and rewarming rate. Univariate and
multivariate regression were used to determine relationships between temperature,
clinical characteristics, and measures of postoperative bleeding. Results: The
mean age of patients was 60.5 +/- 15.1 years, with 64.3% male and 60% Caucasian.
The lowest temperatures recorded were 13.5 +/- 4.6 degrees C at the bypass
circuit. Change in hematocrit was associated with ethnicity, preoperative
hematocrit, and rewarming rate. Chest tube output was associated with body mass
index, preoperative platelet count, prior cardiac surgery, cardiopulmonary bypass
(CPB) duration, intraoperative blood product transfusion, lowest surface
temperature, and change in surface temperature. Postoperative packed red blood
cell transfusion was associated with ejection fraction, chronic obstructive
pulmonary disease (COPD), platelet count, partial thromboplastin time, CPB
duration, and lowest blood temperature. Fresh frozen plasma transfusion
correlated with COPD, CPB duration, and final blood temperature. Platelet
transfusion correlated with body mass index and preoperative platelet count.
Unplanned reoperation for bleeding was associated with final temperature and
change in temperature. Conclusion: We found no consistent associations between
intraoperative temperature and indicators of bleeding. Intraoperative cooling
strategies should be based on optimal end-organ protection rather than fear of
postoperative bleeding; rewarming strategies may ameliorate the risk of
coagulopathy.
PMID- 29657947
TI - Modifiable Risk Factors for Early Mortality in Low-Risk Penn Class Aa Acute Type
A Aortic Dissection Patients - A Descriptive Study.
AB - Background: Acute Type A aortic dissection (ATAAD) without end-organ or
generalized ischemia is Penn class Aa and considered low-risk. Nevertheless,
surgical mortality can be considerable in this subgroup and may be related to
modifiable factors. The objective of this study was to analyze 30-day mortality
among ATAAD Penn class Aa patients with special reference to modifiable
perioperative factors. Methods: Among all patients operated for ATAAD from 1990
to 2010, all Penn class Aa patients dying within 30 days were included in a
retrospective descriptive study. Pre- and intraoperative variables related to 30
day mortality were retrieved from medical records and analyzed according to
avoidable or modifiable errors such as initial misdiagnosis, preoperative delay,
adverse events, and forced and unforced additional procedures. Results: Overall
30-day mortality was 13% (31/235). Intraoperative death occurred in 32% (10/31)
of patients. Among patients not dying intraoperatively, stroke was the most
common complication (48%) and cause of death overall, followed by reoperation for
bleeding (33%), respiratory failure (24%), and renal failure (14%). Preoperative
errors were detected in 48% of patients; one-third had initial misdiagnosis
and/or diagnostic delay >= 24 hours. Intraoperative error(s) was noted in 74% of
patients, mainly involving adverse event(s), forced additional procedures, and
assisted bleeding control, with each affecting approximately 45% of patients.
Conclusion: Modifiable errors in pre- and intraoperative management are not
uncommon among Penn class Aa patients and may contribute to 30-day mortality.
Efforts should be made to mitigate the effects of modifiable factors to reduce
early mortality in low-risk ATAAD.
PMID- 29657948
TI - Early Results of the PETTICOAT Technique for the Management of Acute Type A
Aortic Dissection.
AB - Conventional surgical techniques for acute Type A aortic dissection (ATAAD)
generally fail to address residual dissection in the descending aorta. The
persistence of a false lumen is associated with visceral malperfusion in the
acute setting and adverse aortic remodeling in the chronic setting. Hybrid aortic
arch repair techniques may improve perioperative and long-term mortality by
expanding the true lumen and obliterating the false lumen. However, there is a
limit to the extent of aortic coverage due to the concomitant risk of spinal cord
ischemia. In Type B dissection, the PETTICOAT (Provisional Extension To Induce
Complete Attachment) technique, which entails stent graft coverage of the primary
intimal tear followed by bare metal stent placement distally, may improve true
lumen caliber and promote false lumen thrombosis without increasing the risk of
spinal cord ischemia, as intercostal branches remain perfused through the bare
metal stents. The technique of hybrid arch with surgical creation of a Dacron
landing zone covering a stent graft in the proximal descending aorta and bare
metal stents in the thoraco-abdominal aorta is a promising concept in the
treatment of ATAAD.
PMID- 29657949
TI - Page for the General Public.
PMID- 29657950
TI - List of Upcoming Meetings.
PMID- 29657951
TI - Distribution of Thoracic Aortic Calcifications in Patients Undergoing Coronary
Artery Bypass Grafting.
AB - Background: In procedures involving surgical maneuvers such as cannulation,
clamping, or proximal anastomosis where aortic manipulation is inevitable, a
preliminary assessment of atherosclerotic plaques bears clinical significance. In
the present study, our aim was to evaluate the frequency and distribution of
aortic calcifications in patients undergoing coronary artery bypass grafting
(CABG) surgery to propose a morphological classification system. Methods: A total
of 443 consecutive patients with coronary artery disease were included in this
study. Preoperative non-contrast enhanced computed tomography images, in-hospital
follow-up data, and patient characteristics were retrospectively evaluated.
Results: Whereas 33% of patients had no calcifications at any site in the aorta,
7.9%, 75.4%, and 16.7% had calcifications in the ascending aorta, aortic arch,
and descending aorta, respectively. Focal small calcifications were the most
common type of lesions in the ascending aorta (3.9%), whereas 9 patients (1.4%)
had porcelain ascending aorta. We defined four types of patients with increasing
severity and extent of calcifications. Conclusions: Based on the frequency and
distribution of calcifications in the thoracic aorta, we propose a classification
system from least to most severe for coronary artery disease patients who are
candidates for CABG.
PMID- 29657952
TI - Surgical Treatment of Annuloaortic Ectasia - Replace or Repair?
AB - Background: Patients with annuloaortic ectasia may be surgically treated with
modified Bentall or David I valve-sparing procedures. Here, we compared the long
term results of these procedures. Methods: A total of 181 patients with
annuloaortic ectasia underwent modified Bentall (102 patients, Group 1) or David
I (79 patients, Group 2) procedures from 1994 to 2015. Mean age was 62 +/- 11
years in Group 1 and 64 +/- 16 years in Group 2. Group 1 patients were in poorer
health, with a lower ejection fraction and higher functional class. Results:
Early mortality was 3% in Group 1 and 2.5% in Group 2. Patients undergoing a
modified Bentall procedure had a higher incidence of thromboembolism and
hemorrhage, whereas those undergoing a David I procedure had a higher incidence
of endocarditis. Actuarial survival was 70 +/- 6% at 15 years in Group 1 and 84
+/- 7% at 10 years in Group 2. Actuarial freedom from reoperation was 97 +/- 2%
at 15 years in Group 1 and 84 +/- 7% at 10 years in Group 2. In Group 2, freedom
from procedure-related reoperations was 98 +/- 2% at 10 years. At last follow-up,
no cases of moderate or severe aortic regurgitation were observed. Conclusions:
The modified Bentall and David I procedures showed excellent early and late
results. The modified Bentall procedure with a mechanical conduit was associated
with thromboembolic and hemorrhagic complications, whereas the David I procedure
was associated with unexplained occurrences of endocarditis. Thus, the David I
procedure appears to be safe, reproducible, and capable of achieving stable
aortic valve repair and is therefore our currently preferred solution for
patients with annuloaortic ectasia. However, the much shorter follow-up for David
I patients limits the strength of our comparison between the two techniques.
PMID- 29657953
TI - Factors Affecting Follow-Up Compliance in Patients After Endovascular Aneurysm
Repair.
AB - Objective: The purpose of this study was to evaluate potential factors affecting
patient non-compliance after endovascular aneurysm repair. Method: We performed a
retrospective review of patients undergoing elective or emergency endovascular
repair for thoracic, abdominal aorta, or iliac artery aneurysm at a single
institution from November 2007 to March 2014. Compliance to follow-up at 1, 6,
and 12 months was assessed. Factors evaluated included patient demographics, size
of aneurysm, distance between the patient's residence and outpatient clinic,
urgency of surgery, and time of year in which the follow-up visits were
scheduled. Results: During the study period, 205 patients (75% male and 25%
female) fulfilled the inclusion criteria. One-month mortality was 1.1% for
elective procedures and 16.1% for emergency procedures (p = 0.001). Overall
mortality at 12 months was 6.3% and 32.3% for elective and emergency procedures,
respectively (p = 0.0002). Highest compliance was observed at 1 month, with 184
patients (93%) attending. A significant decrease was seen at 6 (n = 102, 54%) and
12 (n = 89, 48%) months. At the 12-month mark, a larger proportion of minority
patients were non-compliant compared with Caucasian patients. Confounders for non
compliance were analyzed using multivariate analysis, and statistical
significance was found for widowed marital status (p = 0.008), travel distance
>25 miles to the outpatient clinic (p = 0.032), and emergency repair of aneurysms
(p = 0.022). Conclusion: Despite emphasizing the importance of follow-up after
endovascular aortic procedures, almost half of the treated patients were non
compliant. Our study identified travel distance, marital status, and urgency of
surgery as factors that may affect patients' compliance to scheduled follow-up
visits.
PMID- 29657954
TI - Automated Predictive Big Data Analytics Using Ontology Based Semantics.
AB - Predictive analytics in the big data era is taking on an ever increasingly
important role. Issues related to choice on modeling technique, estimation
procedure (or algorithm) and efficient execution can present significant
challenges. For example, selection of appropriate and optimal models for big data
analytics often requires careful investigation and considerable expertise which
might not always be readily available. In this paper, we propose to use semantic
technology to assist data analysts and data scientists in selecting appropriate
modeling techniques and building specific models as well as the rationale for the
techniques and models selected. To formally describe the modeling techniques,
models and results, we developed the Analytics Ontology that supports inferencing
for semi-automated model selection. The SCALATION framework, which currently
supports over thirty modeling techniques for predictive big data analytics is
used as a testbed for evaluating the use of semantic technology.
PMID- 29657955
TI - Challenges Across the HIV Care Continuum for Patients With HIV/1 TB Co-infection
in Atlanta, GA.
AB - Background: Antiretroviral therapy (ART) for persons with HIV infection prevents
tuberculosis (TB) disease. Additionally, sequential ART after initiation of TB
treatment improves outcomes. We examined ART use, retention in care, and viral
suppression (VS) before, during, and 3 years following TB treatment for an inner
city cohort in the United States. Methods: Retrospective cohort study among
persons treated for culture-confirmed TB between 2008 and 2015 at an inner-city
hospital. Results: Among 274 persons with culture-confirmed TB, 96 (35%) had HIV
co-infection, including 23 (24%) new HIV diagnoses and 73 (76%) previous
diagnoses. Among those with known HIV prior to TB, the median time of known HIV
was 6 years, and only 10 (14%) were on ART at the time of TB diagnosis. The
median CD4 at TB diagnosis was 87 cells/uL. Seventy-four (81%) patients received
ART during treatment for TB, and 47 (52%) has VS at the end of TB treatment. Only
32% of patients had continuous VS 3 years after completing TB treatment. There
were 3 TB recurrences and 3 deaths post-TB treatment; none of these patients had
retention or VS after TB treatment. Conclusions: Among persons with active TB co
infected with HIV, we found that the majority had known HIV and were not on ART
prior to TB diagnosis, and retention in care and VS post-TB treatment were very
low. Strengthening the HIV care continuum is needed to improve HIV outcomes and
further reduce rates of active TB/HIV co-infection in our and similar settings.
PMID- 29657956
TI - Results of a Hepatitis C Virus Screening Program of the 1945-1965 Birth Cohort in
a Large Emergency Department in New Jersey.
AB - Background: Persons born between 1945 and 1965 account for an estimated 81% of
those infected with hepatitis C virus (HCV) in the United States. However, up to
60% remain undiagnosed. Prior studies have reported HCV screening results from
large urban emergency departments. Methods: This is a retrospective cohort study
of patients in the 1945-1965 birth cohort tested for HCV in a large emergency
department (ED) in New Jersey from June 1, 2016, through December 31, 2016. The
purpose was to report HCV antibody and viral load results of this testing program
located in a small urban/suburban area and to analyze specific characteristics
associated with positive results, such as race/ethnicity and insurance status.
Descriptive statistics were performed, and, using a multivariate logistic
regression model, adjusted odds ratios and 95% confidence intervals were
calculated. Results: A total of 3046 patients were screened: 55.8% were white,
and 17.9% were black; 52.1% had private insurance, 33.4% Medicare, 3.9% Medicaid.
One hundred ninety-two were antibody positive (6.3%). Of 167 with HCV viral load
testing results, 43% had a positive viral load. On multivariate analysis, black
race and Medicaid were independently associated with a positive HCV viral load.
Conclusions: HCV antibody seropositivity was above 6% and twice as high as the
Centers for Disease Control and Prevention estimated prevalence in this birth
cohort. These results indicate that EDs outside of large urban cities are also
important sites for routine HCV screening. Other findings of interest include 43%
with chronic HCV infection and the persistent association between black race and
positive HCV viral load even when adjusted for insurance status.
PMID- 29657957
TI - The Effect of Deep Brain Stimulation Therapy on Fear-Related Capture of Attention
in Parkinson's Disease and Essential Tremor: A Comparison to Healthy Individuals.
AB - In addition to motor symptoms, Parkinson's disease (PD) involves significant non
motor sequelae, including disruptions in cognitive and emotional processing. Fear
recognition appears to be affected both by the course of the disease and by a
common interventional therapy, deep brain stimulation of the subthalamic nucleus
(STN-DBS). Here, we examined if these effects extend to other aspects of
emotional processing, such as attentional capture by negative emotional stimuli.
Performance on an emotional attentional blink (EAB) paradigm, a common paradigm
used to study emotional capture of attention, was examined in a cohort of
individuals with PD, both on and off STN-DBS therapy (n=20). To contrast effects
of healthy aging and other movement disorder and DBS targets, we also examined
performance in a healthy elderly (n=20) and young (n=18) sample on the same task,
and a sample diagnosed with Essential Tremor (ET) undergoing therapeutic deep
brain stimulation of the ventral-intermediate nucleus (VIM-DBS, n=18). All four
groups showed a robust attentional capture of emotional stimuli, irrespective of
aging processes, movement disorder diagnosis, or stimulation. PD patients on
average had overall worse performance, but this decrement in performance was not
related to the emotional capture of attention. PD patients exhibited a robust
EAB, indicating that the ability of emotion to direct attention remains intact in
PD. Congruent with other recent data, these findings suggest that fear
recognition deficits in PD may instead reflect a highly specific problem in
recognition, rather than a general deficit in emotional processing of fearful
stimuli.
PMID- 29657958
TI - Soft-mode driven polarity reversal in ferroelectrics mapped by ultrafast x-ray
diffraction.
AB - Quantum theory has linked microscopic currents and macroscopic polarizations of
ferroelectrics, but the interplay of lattice excitations and charge dynamics on
atomic length and time scales is an open problem. Upon phonon excitation in the
prototypical ferroelectric ammonium sulfate [(NH4)2SO4], we determine transient
charge density maps by femtosecond x-ray diffraction. A newly discovered low
frequency-mode with a 3 ps period and sub-picometer amplitudes induces periodic
charge relocations over some 100 pm, a hallmark of soft-mode behavior. The
transient charge density allows for deriving the macroscopic polarization,
showing a periodic reversal of polarity.
PMID- 29657959
TI - Improvement of Early Antenatal Care Initiation: The Effects of Training Local
Health Volunteers in the Community.
AB - Background: Although antenatal care (ANC) coverage has been increasing in low-
and middle-income countries, the adherence to the ANC initiation standards at
gestational age <12 weeks was inadequate including Thailand. The study aimed to
improve the rate of early ANC initiation by training the existing local health
volunteers (LHVs) in 3 southernmost provinces of Thailand. Methods: A clustered
nonrandomized intervention study was conducted from November 2012 to February
2014. One district of each province was selected to be the study intervention
districts for that province. A total of 124 LHVs in the intervention districts
participated in the knowledge-counseling intervention. It was organized as half
day workshop using 2 training modules each comprising a 30-minute lecture
followed by counseling practice in pairs for 1 hour. Outcome was the rate of
early ANC initiation among women giving birth, and its association with
intervention, meeting an LHV, and months after training was analyzed. Results: Of
6677 women, 3178 and 3499 women were in the control and intervention groups,
respectively. Rates of early ANC were significantly improved after the
intervention (adjusted odds ratio [OR]: 1.29, 95% confidence interval [CI]: 1.17
1.43, P < .001) and meeting an LHV (adjusted OR: 2.06, 95% CI: 1.86-2.29, P <
.001), but lower at 6 months after training (adjusted OR: 0.76, 95% CI: 0.60
0.96, P = .002). Almost all women (99.7%) in the intervention group who met an
LHV reported that they were encouraged to attend early ANC. Conclusion: Training
LHVs in communities by knowledge-counseling intervention significantly improved
early ANC initiation, but the magnitude of change was still limited.
PMID- 29657960
TI - Early Life Stress Alters Adult Inflammatory Responses in a Mouse Model for
Depression.
AB - Increased levels of pro-inflammatory cytokines and hypothalamic pituitary axis
(HPA) activity are strongly associated with depression. Childhood stress and
trauma predispose individuals for increased inflammatory tone and major
depression in later life, suggesting that early life reprogramming of the
stress/immune axis may be involved in the pathogenesis of depression. In this
study, we are using a short duration neonatal maternal separation stress (MS)
paradigm in mice to test if early life stress can impact plasma and brain
inflammatory tone into adulthood. We use ELISA assays to investigate levels of
the pro-inflammatory cytokines IL-1beta, IL-2, IL-6 and TNF-alpha, in both plasma
and brain tissue of mice exposed to MS (STR), their unseparated littermates (LMC)
and unhandled age matched controls (AMC). Cytokine levels are assessed in male
and female adult mice with and without a bacterial lipopolysaccharide (LPS)
induced immune challenge. We present evidence that stress exposure, during the
first week of life, predisposes both male and female mice for increased
inflammatory cytokine secretion, peripherally and in brain tissue, upon adult
exposure to lipopolysaccharide (LPS).
PMID- 29657961
TI - Reproductive Dysfunction from the Stress of Exercise Training is not Gender
Specific: The "Exercise-Hypogonadal Male Condition".
AB - Investigative studies point to participation in exercise training as having
significant detrimental effects upon reproductive hormonal profiles in men.
Specifically, men chronically exposed to training for endurance sports exhibit
persistently reduced basal (resting-state) free and total testosterone
concentrations without concurrent LH elevations. Men displaying these symptoms
have been deemed to exhibit the "Exercise-Hypogonadal Male Condition" (EHMC). The
exact physiological mechanism inducing the reduction of testosterone in these men
is currently unclear, but is postulated to be a dysfunction within the
hypothalamic-pituitary-gonadal regulatory axis. The potential exists for the
reduced testosterone concentrations within EHMC men to be disruptive and
detrimental to some anabolic-androgenic testosterone-dependent physiological
processes. Findings, while limited, suggest spermatogenesis problems may exist in
some cases; thus, infertility risk in such men is a critical concern. Present
evidence suggests the EHMC condition is limited to men who have been persistently
involved in chronic endurance exercise training for an extended period of time,
and thus is not a highly prevalent occurrence. Nevertheless, it is critical that
endocrinologist and fertility clinicians become more aware of the existence of
EHMC as a potential problem-diagnosis in their male patients who exercise.
PMID- 29657962
TI - A Robust Method for Estimating B0 Inhomogeneity Field in the Liver by Mitigating
Fat Signals and Phase-Wrapping.
AB - We developed an optimized and robust method to estimate liver B0 field
inhomogeneity for monitoring and correcting susceptibility-induced geometric
distortion in magnetic resonance images for precision therapy. A triple-gradient
echo acquisition was optimized for the whole liver B0 field estimation within a
single-exhale breath-hold scan on a 3 T scanner. To eliminate chemical-shift
artifacts, fat signals were chosen in-phase between 2 echoes with an echo time
difference (DeltaTE) of 2.3 milliseconds. To avoid phase-wrapping, other 2 echoes
provided a large field dynamic range (1/DeltaTE) to cover the B0 field
inhomogeneity. In addition, using high parallel imaging factor of 4 and a readout
bandwidth of 1955 Hz/pixel, an ~18-second acquisition time for breath-held scans
was achieved. A 2-step, 1-dimensional regularized method for the DeltaB0 field
map estimation was developed, tested and validated in phantom and patient
studies. Our method was validated on a water phantom with fat components and air
pockets; it yielded DeltaB0-field maps that had no chemical-shift and phase
wrapping artifacts, and it had a <0.5 mm of geometric distortion near the air
pockets. The DeltaB0-field maps of the patients' abdominal regions were also free
from phase-wrapping and chemical-shift artifacts. The maximum field inhomogeneity
was found near the lung-liver interface, up to ~300 Hz, resulting in ~2 mm of
distortions in anatomical images with a readout-bandwidth of 440 Hz/pixel. The
field mapping method in the abdominal region is robust; it can be easily
integrated in clinical workflow for patient-based quality control of magnetic
resonance imaging geometric integrity.
PMID- 29657963
TI - Hologenomics: Systems-Level Host Biology.
AB - The hologenome concept of evolution is a hypothesis explaining host evolution in
the context of the host microbiomes. As a hypothesis, it needs to be evaluated,
especially with respect to the extent of fidelity of transgenerational
coassociation of host and microbial lineages and the relative fitness
consequences of repeated associations within natural holobiont populations.
Behavioral ecologists are in a prime position to test these predictions because
they typically focus on animal phenotypes that are quantifiable, conduct studies
over multiple generations within natural animal populations, and collect metadata
on genetic relatedness and relative reproductive success within these
populations. Regardless of the conclusion on the hologenome concept as an
evolutionary hypothesis, a hologenomic perspective has applied value as a systems
level framework for host biology, including in medicine. Specifically, it
emphasizes investigating the multivarious and dynamic interactions between
patient genomes and the genomes of their diverse microbiota when attempting to
elucidate etiologies of complex, noninfectious diseases.
PMID- 29657964
TI - Vive la Persistence: Engineering Human Microbiomes in the 21st Century.
AB - I imagine a future in which children grow up with healthy microbial communities.
Engineering human microbiomes might actually be achievable in the near future, as
we enter an era of hunting for human-adapted bacterial strains and phages.
Furthermore, breath metabolites could allow us to track whether a probiotic
colonizes persistently or a phage has knocked down a microbe of interest. Recent
successes with probiotics, such as bifidobacteria that can break down human milk
oligosaccharides, are making a future in which infants are intentionally
colonized with health-promoting strains seem less unlikely. Viruses that infect
bacteria, bacteriophages, are also important for human health both because of
their role in the human microbiome and because of their potential for use in
phage therapy. Monitoring the outcome of microbiome-focused interventions with
breath volatile sampling is also on the horizon, which could mean real-time
tracking of microbial metabolite production. Studies of early life during
microbiome assembly, when the potential for effective interventions to reduce
disease risk is greatest, are essential.
PMID- 29657965
TI - Considering the Lives of Microbes in Microbial Communities.
AB - Over the last decades, sequencing technologies have transformed our ability to
investigate the composition and functional capacity of microbial communities.
Even so, critical questions remain about these complex systems that cannot be
addressed by the bulk, community-averaged data typically provided by sequencing
methods. In this Perspective, I propose that future advances in microbiome
research will emerge from considering "the lives of microbes": we need to create
methods to explicitly interrogate how microbes exist and interact in native
setting-like microenvironments. This approach includes developing approaches that
expose the phenotypic heterogeneity of microbes; exploring the effects of
coculture cues on cellular differentiation and metabolite production; and
designing visualization systems that capture features of native microbial
environments while permitting the nondestructive observation of microbial
interactions over space and time with single-cell resolution.
PMID- 29657966
TI - Engineering Complexity in Bacterial Regulatory Circuits for Biotechnological
Applications.
AB - Engineering microbial systems allows the generation of new technologies having
significant impact in the biotechnological industry and on human health. In the
past few years, several synthetic biology approaches have been implemented in
bacteria to allow precise engineering of novel regulatory circuits for several
applications. The advent of high-throughput technologies and clustered regularly
interspaced short palindromic repeat (CRISPR)/Cas9-based DNA editing techniques
have been pivotal in this process. Yet, despite the tremendous advances
experienced recently, there are still a number of bottlenecks that need to be
overcome in order to generate high-performance redesigned living machines, and
the use of novel computer-aided approaches would be essential for this task. In
this perspective, we discuss some of the main advances in the field of microbial
engineering and the new technologies and approaches that should allow the
construction of on demand synthetic microbial factories through the redesign of
regulatory complexity.
PMID- 29657967
TI - At the Nexus of History, Ecology, and Hydrobiogeochemistry: Improved Predictions
across Scales through Integration.
AB - To improve predictions of ecosystem function in future environments, we need to
integrate the ecological and environmental histories experienced by microbial
communities with hydrobiogeochemistry across scales. A key issue is whether we
can derive generalizable scaling relationships that describe this multiscale
integration. There is a strong foundation for addressing these challenges. We
have the ability to infer ecological history with null models and reveal impacts
of environmental history through laboratory and field experimentation. Recent
developments also provide opportunities to inform ecosystem models with targeted
omics data. A major next step is coupling knowledge derived from such studies
with multiscale modeling frameworks that are predictive under non-steady-state
conditions. This is particularly true for systems spanning dynamic interfaces,
which are often hot spots of hydrobiogeochemical function. We can advance
predictive capabilities through a holistic perspective focused on the nexus of
history, ecology, and hydrobiogeochemistry.
PMID- 29657968
TI - Inferring the Minimal Genome of Mesoplasma florum by Comparative Genomics and
Transposon Mutagenesis.
AB - The creation and comparison of minimal genomes will help better define the most
fundamental mechanisms supporting life. Mesoplasma florum is a near-minimal, fast
growing, nonpathogenic bacterium potentially amenable to genome reduction
efforts. In a comparative genomic study of 13 M. florum strains, including 11
newly sequenced genomes, we have identified the core genome and open pangenome of
this species. Our results show that all of the strains have approximately 80% of
their gene content in common. Of the remaining 20%, 17% of the genes were found
in multiple strains and 3% were unique to any given strain. On the basis of
random transposon mutagenesis, we also estimated that ~290 out of 720 genes are
essential for M. florum L1 in rich medium. We next evaluated different genome
reduction scenarios for M. florum L1 by using gene conservation and essentiality
data, as well as comparisons with the first working approximation of a minimal
organism, Mycoplasma mycoides JCVI-syn3.0. Our results suggest that 409 of the
473 M. mycoides JCVI-syn3.0 genes have orthologs in M. florum L1. Conversely, 57
putatively essential M. florum L1 genes have no homolog in M. mycoides JCVI
syn3.0. This suggests differences in minimal genome compositions, even for these
evolutionarily closely related bacteria. IMPORTANCE The last years have witnessed
the development of whole-genome cloning and transplantation methods and the
complete synthesis of entire chromosomes. Recently, the first minimal cell,
Mycoplasma mycoides JCVI-syn3.0, was created. Despite these milestone
achievements, several questions remain to be answered. For example, is the
composition of minimal genomes virtually identical in phylogenetically related
species? On the basis of comparative genomics and transposon mutagenesis, we
investigated this question by using an alternative model, Mesoplasma florum, that
is also amenable to genome reduction efforts. Our results suggest that the
creation of additional minimal genomes could help reveal different gene
compositions and strategies that can support life, even within closely related
species.
PMID- 29657969
TI - Earth Microbiome Project and Global Systems Biology.
PMID- 29657970
TI - Nonpareil 3: Fast Estimation of Metagenomic Coverage and Sequence Diversity.
AB - Estimations of microbial community diversity based on metagenomic data sets are
affected, often to an unknown degree, by biases derived from insufficient
coverage and reference database-dependent estimations of diversity. For instance,
the completeness of reference databases cannot be generally estimated since it
depends on the extant diversity sampled to date, which, with the exception of a
few habitats such as the human gut, remains severely undersampled. Further,
estimation of the degree of coverage of a microbial community by a metagenomic
data set is prohibitively time-consuming for large data sets, and coverage values
may not be directly comparable between data sets obtained with different
sequencing technologies. Here, we extend Nonpareil, a database-independent tool
for the estimation of coverage in metagenomic data sets, to a high-performance
computing implementation that scales up to hundreds of cores and includes, in
addition, a k-mer-based estimation as sensitive as the original alignment-based
version but about three hundred times as fast. Further, we propose a metric of
sequence diversity (Nd ) derived directly from Nonpareil curves that correlates
well with alpha diversity assessed by traditional metrics. We use this metric in
different experiments demonstrating the correlation with the Shannon index
estimated on 16S rRNA gene profiles and show that Nd additionally reveals
seasonal patterns in marine samples that are not captured by the Shannon index
and more precise rankings of the magnitude of diversity of microbial communities
in different habitats. Therefore, the new version of Nonpareil, called Nonpareil
3, advances the toolbox for metagenomic analyses of microbiomes. IMPORTANCE
Estimation of the coverage provided by a metagenomic data set, i.e., what
fraction of the microbial community was sampled by DNA sequencing, represents an
essential first step of every culture-independent genomic study that aims to
robustly assess the sequence diversity present in a sample. However, estimation
of coverage remains elusive because of several technical limitations associated
with high computational requirements and limiting statistical approaches to
quantify diversity. Here we described Nonpareil 3, a new bioinformatics algorithm
that circumvents several of these limitations and thus can facilitate culture
independent studies in clinical or environmental settings, independent of the
sequencing platform employed. In addition, we present a new metric of sequence
diversity based on rarefied coverage and demonstrate its use in communities from
diverse ecosystems.
PMID- 29657972
TI - Evaluation of effect of bevacizumab on central subfield macular thickness in the
injected and contralateral (untreated) eye of patients with bilateral diabetic
macular oedema.
AB - Purpose: Antivascular endothelial growth factor (anti-VEGFs)-bevacizumab is
routinely utilised for the treatment of diabetic macular oedema (DME). We plan to
evaluate the impact of bevacizumab on the central subfield macular thickness
(CSMT) of the treated and untreated eye. Methods: A sequential group of patients
with bilateral DME who were treated with unilateral bevacizumab injection were
assessed preinjection and postinjection by Spectral Domain-Optical Coherence
Tomography (SD-OCT) by CSMT. Results: Thirty-one patients, 20 male and 11 female,
were evaluated. Seven patients had type 1 diabetes mellitus and 24 had type 2
diabetes mellitus. In regard to the duration of disease, 23 patients had diabetes
for more than 10 years, seven patients had the condition for 5-10 years and one
patient for more than 5 years. Mean age of the patients was 60.48 years (SD+/
7.13) with the range of 46-72 years. Mean follow-up was 28 days (range 26-35
days). The eyes which received intravitreal injection had median CSMT of 473.2
um(range 321-566 um). The CSMT of the contralateral eye had median of 347.6 um
(range 357-437 um). A median reduction of -132 um (range 93-156 um) could be
observed in the treated eye and -18 um (range 15-22) in the untreated eye after
treatment. Our study also found that eyes with greater CSMT, when injected with
bevacizumab, produced higher responses in the contralateral eye (R2 0.538,
P<0.05). Conclusions: Patients with bilateral DME treated with bevacizumab in one
eye produced a bilateral response. Eyes with greater CSMT due to DME elicited
higher levels of response in the untreated eye.
PMID- 29657971
TI - Genome Reduction in Psychromonas Species within the Gut of an Amphipod from the
Ocean's Deepest Point.
AB - Amphipods are the dominant scavenging metazoan species in the Mariana Trench, the
deepest known point in Earth's oceans. Here the gut microbiota of the amphipod
Hirondellea gigas collected from the Challenger and Sirena Deeps of the Mariana
Trench were investigated. The 11 amphipod individuals included for analyses were
dominated by Psychromonas, of which a nearly complete genome was successfully
recovered (designated CDP1). Compared with previously reported free-living
Psychromonas strains, CDP1 has a highly reduced genome. Genome alignment showed
deletion of the trimethylamine N-oxide (TMAO) reducing gene cluster in CDP1,
suggesting that the "piezolyte" function of TMAO is more important than its
function in respiration, which may lead to TMAO accumulation. In terms of
nutrient utilization, the bacterium retains its central carbohydrate metabolism
but lacks most of the extended carbohydrate utilization pathways, suggesting the
confinement of Psychromonas to the host gut and sequestration from more variable
environmental conditions. Moreover, CDP1 contains a complete formate
hydrogenlyase complex, which might be involved in energy production. The genomic
analyses imply that CDP1 may have developed adaptive strategies for a lifestyle
within the gut of the hadal amphipod H. gigas. IMPORTANCE As a unique but poorly
investigated habitat within marine ecosystems, hadal trenches have received
interest in recent years. This study explores the gut microbial composition and
function in hadal amphipods, which are among the dominant carrion feeders in
hadal habitats. Further analyses of a dominant strain revealed genomic features
that may contribute to its adaptation to the amphipod gut environment. Our
findings provide new insights into animal-associated bacteria in the hadal
biosphere.
PMID- 29657974
TI - Monocular and binocular visual impairment in the UK Biobank study: prevalence,
associations and diagnoses.
AB - Objective: To determine the prevalence of, associations with and diagnoses
leading to mild visual impairment or worse (logMAR >0.3) in middle-aged adults in
the UK Biobank study. Methods and analysis: Prevalence estimates for monocular
and binocular visual impairment were determined for the UK Biobank participants
with fundus photographs and spectral domain optical coherence tomography images.
Associations with socioeconomic, biometric, lifestyle and medical variables were
investigated for cases with visual impairment and matched controls, using
multinomial logistic regression models. Self-reported eye history and image
grading results were used to identify the primary diagnoses leading to visual
impairment for a sample of 25% of cases. Results: For the 65 033 UK Biobank
participants, aged 40-69 years and with fundus images, 6682 (10.3%) and 1677
(2.6%) had mild visual impairment or worse in one or both eyes, respectively.
Increasing deprivation, age and ethnicity were independently associated with both
monocular and binocular visual impairment. No primary diagnosis for the recorded
level of visual impairment could be identified for 49.8% of eyes. The most common
identifiable diagnoses leading to visual impairment were cataract, amblyopia,
uncorrected refractive error and vitreoretinal interface abnormalities.
Conclusions: The prevalence of visual impairment in the UK Biobank study cohort
is lower than for population-based studies from other industrialised countries.
Monocular and binocular visual impairment are associated with increasing
deprivation, age and ethnicity. The UK Biobank dataset does not allow confident
identification of the causes of visual impairment, and the results may not be
applicable to the wider UK population.
PMID- 29657975
TI - Visual pathway function and structure in Wolfram syndrome: patient age, variation
and progression.
AB - Background/aims: To report alterations in visual acuity and visual pathway
structure over an interval of 1-3 years in a cohort of children, adolescents and
young adults who have Wolfram syndrome (WFS) and to describe the range of disease
severity evident in patients with WFS whose ages differed by as much as 20 years
at first examination. Methods: Annual, prospective ophthalmological examinations
were performed in conjunction with retinal nerve fibre layer (RNFL) analysis.
Diffusion tensor MRI-derived fractional anisotropy was used to assess the
microstructural integrity of the optic radiations (OR FA). Results: Mean age of
the 23 patients with WFS in the study was 13.8 years (range 5-25 years). Mean log
minimum angle resolution visual acuity was 0.66 (20/91). RNFL thickness was
subnormal in even the youngest patients with WFS. Average RNFL thickness in
patients with WFS was 57+/-8 u or ~40% thinner than that measured in normal (94+/
10 u) children and adolescents (P<0.01). Lower OR FA correlated with worse visual
acuity (P=0.006). Subsequent examinations showed declines (P<0.05) in visual
acuity, RNFL thickness and OR FA at follow-up intervals of 12-36 months. However,
a wide range of disease severity was evident across ages: some of the youngest
patients at their first examination had deficits more severe than the oldest
patients. Conclusion: The genetic mutation of WFS causes damage to both
pregeniculate and postgeniculate regions of the visual pathway. The damage is
progressive. The decline in visual pathway structure is accompanied by declines
of visual function. Disease severity differs widely in individual patients and
cannot be predicted from their age.
PMID- 29657976
TI - Efficacy of additional topical betamethasone in persistent cystoid macular oedema
after carbonic anhydrase inhibitor treatments in retinitis pigmentosa.
AB - Objective: We investigated the efficacy of additional topical betamethasone in
persistent cystoid macular oedema (CMO) after carbonic anhydrase inhibitors
(CAIs) therapy. Methods and analysis: This retrospective cohort study included 16
eyes of 10 patients with retinitis pigmentosa (RP). All patients were previously
administered CAI for at least 3 months to treat CMO secondary to RP and lacking
an effective reduction (>=11%) of central foveal thickness (CFT). We administered
topical 0.1% betamethasone daily in each affected eye following a preceding
course of the CAI medication as a first treatment. CMO was diagnosed using
spectral-domain optical coherence tomography. CFT was regarded as the average of
vertical and horizontal foveal thickness. Best-corrected visual acuity (BCVA) and
intraocular pressure (IOP) were obtained from patient medical records. We
compared the CFT and BCVA between baseline and the average of 1-3, 5-7, 10-14 and
16-20 months period. Results: In treatments with brinzolamide in 14 eyes,
dorzolamide in 2 eyes and bromfenac in 2 eyes, CFT effectively decreased in 12 of
16 eyes (81%). CFT decreased significantly in 1-3 months (326+/-102 um; n=16;
P=0.029) and 5-7 months (297+/-102 um; n=12; P=0.022) compared with baseline but
not within 10-14 months (271+/-96 um; n=9; P=0.485) or 16-20 months (281+/-134
um; n=9; P=0.289). There were no significant intergroup differences in BCVA
throughout the study. Betamethasone treatment was stopped in three patients
because of IOP elevation. Conclusion: Our data suggested that additional
betamethasone might improve treatments for persistent CMO. Topical steroids could
be an alternative option for managing persistent CMO in RP.
PMID- 29657977
TI - Expert practice patterns and opinions on corneal cross-linking for infectious
keratitis.
AB - Objective: To assess the current opinion and practice patterns from cornea
experts regarding the benefit of corneal cross-linking (CXL) for infectious
keratitis (IK). Methods and analysis: An international survey was distributed to
corneal specialists via an internet survey. The survey data collected were
analysed with descriptive statistics. Results: A survey was sent to 190
recipients, and 29 (15%) respondents completed the survey with an average of 7
years' experience using CXL. A majority of respondents (66%) used CXL to treat IK
due to bacterial, fungal, protozoan or unknown aetiology. Main indications to use
CXL as adjuvant therapy were worsening infiltrate diameter or depth despite
therapy (74%), followed by antibiotic resistance (68%), corneal thinning (53%),
poor compliance with medication (26%) and other reasons (21%). Most respondents
felt CXL would be at least moderately helpful as an adjuvant therapy for
bacterial (96%) or fungal (75%) keratitis; about half (46%) thought it would be
helpful for acanthamoeba keratitis. As sole therapy, fewer respondents believed
CXL would be at least moderately helpful to treat bacterial (75%), fungal (58%)
and acanthamoeba (43%) keratitis. Conclusion: The survey offered insights into
current expert practices and opinions of using CXL as therapy for IK. The results
of this survey serve to guide in the design of future clinical studies.
PMID- 29657978
TI - Relationship between electronically measured medication adherence and vision
related quality of life in a cohort of patients with open-angle glaucoma.
AB - Objective: To investigate whether electronically measured medication adherence is
associated with vision-related quality of life (VRQoL) in patients with open
angle glaucoma. Methods and analysis: This is a 3-year prospective cohort study
of 79 subjects with open-angle glaucoma at a Veterans Affairs medical centre.
Participants returned a medication event monitoring system (MEMS) for their
glaucoma eye-drops and had at least two visits with glaucoma during the study
period. Those taking less than 80% of prescribed glaucoma medication doses were
considered to be non-adherent. Subjects were interviewed using the National Eye
Institute's Visual Function Questionnaire-25 (VFQ-25) at baseline and after 3
years. Results: Thirty per cent (n=24/79) of participants took less than 80% of
prescribed doses of their glaucoma medications at baseline. Patients who did not
adhere to their medications at baseline had lower mean composite VFQ-25 scores at
baseline (70.66+/-20.50 vs 75.91+/-19.12, standardised mean difference=0.27) and
after 3 years (71.68+/-21.93 vs 76.25+/-21.67, standardised mean
difference=0.21). Visual acuity (P=0.03), but not visual field severity (P=0.13)
or medication adherence (P=0.30), was significantly associated with composite VFQ
25 score in an adjusted model. Conclusions: Subjects who were non-adherent to
their glaucoma medications at baseline as assessed by a MEMS device reported
lower VRQoL than adherent subjects at baseline and after 3 years. However, visual
acuity was significantly associated with VRQoL. Future studies should assess
whether improved adherence to eye-drops impacts VRQoL in patients with glaucoma.
PMID- 29657980
TI - Clinical safety of a minor eye conditions scheme in England delivered by
community optometrists.
AB - Objective: The aim of this study was to monitor the activity and evaluate the
clinical safety of a minor eye conditions scheme (MECS) conducted by accredited
community optometrists in Lambeth and Lewisham, London. Methods and analysis:
Optometrists underwent an accredited training programme, including attendance at
hospital eye services (HES) clinics. Patients who satisfied certain inclusion
criteria were referred to accredited MECS optometrists by their general
practitioners (GPs) or could self-refer. Data were extracted from clinical
records. A sample of MECS clinical records was graded to assess the quality of
the MECS optometrists' clinical management decisions. Referrals to the HES were
assessed by the collaborating ophthalmologists and feedback was provided.
Results: A total of 2123 patients (mean age 47 years) were seen over 12 months.
Two-thirds of the patients (67.3%) were referred by their GP. The most common
reasons for patients needing a MECS assessment were 'red eye' (36.7% of
patients), 'painful white eye' (11.1%), 'flashes and floaters' (10.2%); 8.7% of
patients had a follow-up appointment. Of the patients seen, 75.1% were retained
in the community, 5.7% were referred to their GP and 18.9% were referred to the
HES. Of the HES referrals, 49.1% were routine, 22.6% urgent and 28.3% emergency.
Of the records reviewed, 94.5% were rated as appropriately managed; 89.2% of the
HES referrals were considered appropriate. Conclusion: The findings of this study
indicate that optometrists are in a good position to work very safely within the
remits of the scheme and to assess risk.
PMID- 29657979
TI - Ready-made and custom-made eyeglasses in India: a cost-effectiveness analysis of
a randomised controlled trial.
AB - Objective: Ready-made spectacles have been suggested as a less resource-intensive
treatment for the millions of people living with uncorrected refractive error
(URE) in low-income environments. In spite of this interest, there have been no
published economic evaluations examining the cost-effectiveness of ready-made
spectacles. This study aims to determine the relative cost-effectiveness of
offering ready-made spectacles (RMS) relative to no intervention as well as the
relative cost-effectiveness of custom-made spectacles (CS) relative to RMS to
treat URE. Methods and analysis: The relative cost-effectiveness of RMS relative
to CS and no intervention was tested through a cost-effectiveness analysis from
the health service provider perspective conducted alongside a double-masked
randomised controlled trial in an urban hospital in Delhi, India. Participants
were adults aged 18-45 years with >=1 dioptre (D) of URE. Results: There was no
significant difference between the effectiveness of the CS and RMS interventions
in improving visual acuity, but the CS was over four times the price of the RMS
per patient (204 INR (US$2.42) and 792 INR (US$11.22)). The cost per unit
improvement in logarithm of the minimum angle of resolution (logMAR) relative to
baseline with the RMS intervention was 407 INR (US$4.35). Existing estimates of
utility resulting from improvements in visual acuity result in incremental cost
per quality-adjusted life years gained of between 212 INR and 1137 INR (US$0.44
US$23.74) depending on the source of the utility estimate and assumed expected
life of the spectacles. Conclusion: RMS represent a significantly cost-effective
option for spectacle provision in low-resource settings. The RMS programme was
substantially cheaper than an equivalent CS intervention while being effective in
improving visual acuity for the majority of adults with refractive error in this
setting. These findings provide further support for including RMS in programmes
to address URE. Trial registration number: NCT00657670, Results.
PMID- 29657981
TI - Acceptability and use of glaucoma virtual clinics in the UK: a national survey of
clinical leads.
AB - Objective: The purpose of this paper is to describe the findings of a national
survey that aimed to estimate the proportion of Hospital Eye Service (HES) units
using glaucoma virtual clinics, to determine how these services differ and to
gauge clinicians' views and opinions on the safety and acceptability of this
model of care compared with usual care. Methods and analysis: This 12-question
survey was disseminated nationally to 92 clinical lead consultant
ophthalmologists using SurveyMonkey. Results: The response rate was 45.7%. There
were 21 out of the total 42 respondents (50.0%) who were based at an NHS Trust
where glaucoma virtual clinics were already being used and a further 9 (21.4%)
were planning to establish one. Clinical leads largely rated efficiency and
patient safety to be at least equivalent to usual care (92.9%) and 81.0%
perceived glaucoma virtual clinics to be acceptable to patients. The main reasons
for not running glaucoma virtual clinics were insufficient staff (71.4%) and
inadequate space (47.6%). The majority of those running virtual clinics used this
model of care for 'lower risk' patients such as ocular hypertensives (90.5%) and
glaucoma suspects. Conclusion: Glaucoma virtual clinics are employed by a large
proportion of HES units, with many seeking to develop such services. Clinical
leads largely rate efficiency, patient safety and the perception of patient
acceptability to be at least equivalent to usual care.
PMID- 29657982
TI - Epithelial ingrowth following laser in situ keratomileusis (LASIK): prevalence,
risk factors, management and visual outcomes.
AB - The number of laser in situ keratomileusis (LASIK) procedures is continuing to
rise. Since its first application for correcting simple refractive errors over 25
years ago, the role of LASIK has extended to treat other conditions, including
postkeratoplasty astigmatism/ametropia, postcataract surgery refractive error and
presbyopia, among others. The long-term effectiveness, predictability and safety
have been well established by many large studies. However, due to the creation of
a potential interface between the flap and the underlying stroma, interface
complications such as infectious keratitis, diffuse lamellar keratitis and
epithelial ingrowth may occur. Post-LASIK epithelial ingrowth (PLEI) is an
uncommon complication that usually arises during the early postoperative period.
The reported incidence of PLEI ranged from 0%-3.9% in primary treatment to 10%
20% in retreatment cases. It can cause a wide spectrum of clinical presentations,
ranging from asymptomatic interface changes to severe visual impairment and flap
melt requiring keratoplasty. PLEI can usually be treated with mechanical
debridement of the affected interface; however, additional interventions, such as
alcohol, mitomycin C, fibrin glue, ocular hydrogel sealant,
neodymium:yttriumaluminum garnet laser and amniotic membrane graft, may be
required for recurrent or refractory cases. The aims of this review are to
determine the prevalence and risk factors of PLEI; to describe its pathogenesis
and clinical features and to summarise the therapeutic armamentarium and the
visual outcome of PLEI.
PMID- 29657983
TI - Discrete microfluidics for the isolation of circulating tumor cell subpopulations
targeting fibroblast activation protein alpha and epithelial cell adhesion
molecule.
AB - Circulating tumor cells consist of phenotypically distinct subpopulations that
originate from the tumor microenvironment. We report a circulating tumor cell
dual selection assay that uses discrete microfluidics to select circulating tumor
cell subpopulations from a single blood sample; circulating tumor cells
expressing the established marker epithelial cell adhesion molecule and a new
marker, fibroblast activation protein alpha, were evaluated. Both circulating
tumor cell subpopulations were detected in metastatic ovarian, colorectal,
prostate, breast, and pancreatic cancer patients and 90% of the isolated
circulating tumor cells did not co-express both antigens. Clinical sensitivities
of 100% showed substantial improvement compared to epithelial cell adhesion
molecule selection alone. Owing to high purity (>80%) of the selected circulating
tumor cells, molecular analysis of both circulating tumor cell subpopulations was
carried out in bulk, including next generation sequencing, mutation analysis, and
gene expression. Results suggested fibroblast activation protein alpha and
epithelial cell adhesion molecule circulating tumor cells are distinct
subpopulations and the use of these in concert can provide information needed to
navigate through cancer disease management challenges.
PMID- 29657986
TI - Clinical and Translational Radiation Oncology, a new player among the radiation
oncology journals.
PMID- 29657984
TI - B cell, CD8 + T cell and gamma delta T cell lymphocytic alveolitis alters
alveolar immune cell homeostasis in HIV-infected Malawian adults.
AB - Background: HIV infection is associated with increased risk to lower respiratory
tract infections (LRTI). However, the impact of HIV infection on immune cell
populations in the lung is not well defined. We sought to comprehensively
characterise the impact of HIV infection on immune cell populations in the lung.
Methods: Twenty HIV-uninfected controls and 17 HIV-1 infected ART-naive adults
were recruited from Queen Elizabeth Central Hospital, Malawi. Immunophenotyping
of lymphocyte and myeloid cell populations was done on bronchoalveolar lavage
fluid and peripheral blood cells. Results: We found that the numbers of CD8 + T
cells, B cells and gamma delta T cells were higher in BAL fluid of HIV-infected
adults compared to HIV-uninfected controls (all p<0.05). In contrast, there was
no difference in the numbers of alveolar CD4 + T cells in HIV-infected adults
compared to HIV-uninfected controls (p=0.7065). Intermediate monocytes were the
predominant monocyte subset in BAL fluid (HIV-, 63%; HIV+ 81%), while the numbers
of classical monocytes was lower in HIV-infected individuals compared to HIV
uninfected adults (p=0.0006). The proportions of alveolar macrophages and myeloid
dendritic cells was lower in HIV-infected adults compared to HIV-uninfected
controls (all p<0.05). Conclusions: Chronic HIV infection is associated with
broad alteration of immune cell populations in the lung, but does not lead to
massive depletion of alveolar CD4 + T cells. Disruption of alveolar immune cell
homeostasis likely explains in part the susceptibility for LRTIs in HIV-infected
adults.
PMID- 29657985
TI - The Chlamydia muridarum plasmid revisited : new insights into growth kinetics.
AB - Background: Research in chlamydial genetics is challenging because of its
obligate intracellular developmental cycle. In vivo systems exist that allow
studies of different aspects of basic biology of chlamydiae, the murine Chlamydia
muridarum model is one of great importance and thus an essential research tool.
C. muridarum carries a plasmid that has a role in virulence. Our aim was to
compare and contrast the C. muridarum plasmid-free phenotype with that of a
chromosomally isogenic plasmid-bearing strain, through the inclusion phase of the
developmental cycle. Methods: We measured infectivity for plasmid bearing and
plasmid-cured C. muridarum by inclusion forming assays in McCoy cells and in
parallel bacterial chromosome replication by quantitative PCR, throughout the
developmental cycle. In addition to these studies, we have carefully monitored
chlamydial inclusion formation by confocal microscopy and transmission electron
microscopy. A new E.coli/chlamydial shuttle vector (pNigg::GFP) was constructed
using standard cloning technology and used to transform C. muridarum for further
phenotypic studies. Results: We have advanced the definition of the chlamydial
phenotype away from the simple static observation of mature inclusions and
redefined the C. muridarum plasmid-based phenotype on growth profile and
inclusion morphology. Our observations on the growth properties of plasmid-cured
C. muridarum challenge the established interpretations, especially with regard to
inclusion growth kinetics. Introduction of the shuttle plasmid pNigg::GFP into
plasmid-cured C. muridarum restored the wild-type plasmid-bearing phenotype and
confirmed that loss of the plasmid was the sole cause for the changes in growth
and chromosomal replication. Conclusions: Accurate growth curves and sampling at
multiple time points throughout the developmental cycle is necessary to define
plasmid phenotypes. There are subtle but important (previously unnoticed)
differences in the overall growth profile of plasmid-bearing and plasmid-free C.
muridarum. We have proven that the differences described are solely due to the
plasmid pNigg.
PMID- 29657987
TI - Automatic treatment planning improves the clinical quality of head and neck
cancer treatment plans.
AB - Background: Treatment plans for head and neck (H&N) cancer are highly complex due
to multiple dose prescription levels and numerous organs at risk (OARs) close to
the target. The plan quality is inter-planner dependent since it is dependent on
the skills and experience of the dosimetrist. This study presents a blinded
prospective clinical comparison of automatic (AU) and manually (MA) generated H&N
VMAT plans made for clinical use. Methods: MA and AU plans were generated for 30
consecutive patients in Pinnacle3 using the IMRT optimisation module and the new
Autoplan module, respectively. The plan quality was blindedly compared by three
senior oncologists and the best plan was selected for treatment of the patient.
Planning time was measured as the active operator time used. The plan quality was
analysed with DVH metrics and the dose delivery accuracy validated on the
ArcCheck phantom. Results: For twenty-nine out of the thirty patients the AU plan
was chosen for treatment. Target doses were more homogenous with the AU plans and
the OAR doses were significantly reduced, between 0.5 and 6.5 Gy. The average
operator time spent on creating a manual plan was 64 min which was halved by
Autoplan. The AU plans were more modulated as illustrated by an increase in MUs,
which might cause the slightly lower pass rate of 97.7% in the ArcCheck
measurements. Conclusions: Target doses were similar between MA and AU plan,
while AU plans spared all OAR considerably better than the MA plans.
PMID- 29657988
TI - Outcomes for pediatric patients with central nervous system germ cell tumors
treated with proton therapy.
AB - Purpose: We assessed outcomes after proton therapy (PT) for central nervous
system germinomas or non-germinomatous germ cell tumors (NGGCTs) in children.
Patients and methods: We identified children with germ cell tumors of the central
nervous system who received proton therapy in 2006-2009 and extracted information
on tumor response, treatment failures, and toxicity. Results: Of the 20
identified patients (median age 12 years [range 3-16]), 9 had germinoma and 11
NGGCTs; 19 patients received three-dimensional conformal PT and 1 scanning-beam
PT. Fourteen patients had craniospinal irradiation (CSI), 4 had ventricular
irradiation that excluded the 4th ventricle, and 2 had whole-ventricle
irradiation. All received involved-field boosts. At a median follow-up interval
of 5.6 years (range, 0.3-8.2 years), 1 patient with germinoma had an out-of-field
failure in the 4th ventricle and 2 with NGGCT died from disease progression after
CSI. Rates of local control, progression-free survival, and overall survival at 5
years were 89%, 89%, and 100% for patients with germinoma; corresponding rates
for NGGCTs were 82%, 82%, and 82%. The most common late toxicity (9 patients
[45%]) was endocrinopathy. Conclusions: PT for CNS germ cell tumors is associated
with acceptable disease control rates and toxicity profiles.
PMID- 29657989
TI - Perspectives on medical education in radiation oncology and the role of the ESTRO
School.
AB - Radiation oncology is a medical specialty not just delivering ionizing radiation
to cancer patients but also participating as an important partner in the care of
the patient from diagnosis to cure, follow up or end of life. The specialty is
rapidly evolving in a multi- and interdisciplinary setting as multimodality
treatment is becoming frequent. This requires that the medical undergraduate and
postgraduate training evolve to these changes. The ESTRO School has for more than
30 years offered postgraduate training courses in and outside Europe and strives
to develop its services to accommodate the educational needs of a specialty in
constant development. Some of these developments are described in the present
paper.
PMID- 29657990
TI - Independent validation of the prognostic value of cancer stem cell marker
expression and hypoxia-induced gene expression for patients with locally advanced
HNSCC after postoperative radiotherapy.
AB - Objective: To validate the impact of HPV status, cancer stem cell (CSC) marker
expression and tumour hypoxia status in patients with locally advanced head and
neck squamous cell carcinoma (HNSCC), who received postoperative radiotherapy.
The results of the exploration cohort have previously been reported by the German
Cancer Consortium Radiation Oncology Group (DKTK-ROG; Lohaus et al., 2014; Linge
et al., 2016). Materials and methods: For 152 patients with locally advanced
HNSCC the impact of HPV16 DNA status, CSC marker expression and hypoxia
associated gene signatures on outcome of postoperative radiotherapy were
retrospectively analysed. Out of them, 40 patients received postoperative
radiochemotherapy. Cox models presented in a previous study were validated using
the concordance index as a performance measure. The primary endpoint of this
study was loco-regional control. Results were compared to those previously
reported by DKTK-ROG. Results: Loco-regional control, freedom from distant
metastases and overall survival were inferior to the previously reported cohort.
Despite of this, the prognostic value of the combination of HPV infection status,
CSC marker expression (SLC3A2) and tumour hypoxia status could be validated in
univariate analyses using an independent validation cohort. For multivariate
models, the concordance index was between 0.58 and 0.69 in validation, indicating
a good prognostic performance of the models. The inclusion of CD44 and the 15
gene hypoxia signature moderately improved the performance compared to a baseline
model without CSC markers or hypoxia classifiers. Conclusions: The HPV status,
CSC marker expression of CD44 and SLC3A2 as well as hypoxia status are potential
prognostic biomarkers for patients with locally advanced HNSCC treated by
postoperative radiotherapy.
PMID- 29657991
TI - Impact of waiting time on nodal staging in head and neck squamous-cell carcinoma
treated with radical intensity modulated radiotherapy.
AB - Background and purpose: To evaluate the influence of delays for radiotherapy on
survival, recurrence and upstaging for head and neck squamous-cell carcinoma
(HNSCC) with no nodal involvement treated with intensity modulated radiotherapy
(IMRT). Material and methods: This retrospective study included 63 consecutive
patients with HNSCC located in the pharynx and larynx and treated with exclusive
IMRT with or without chemotherapy. Survival, loco-regional or distant failure and
upstaging were analyzed according to the waiting time. Results: Mean waiting time
for treatment was 62.5 days for the hypopharynx subgroup (range = 37-102), 63
days for the larynx subgroup (range = 19-128) and 58.5 days for the oropharynx
subgroup (range = 29-99) (p = 0.725). Nine patients (14%) experienced upstaging.
Loco-regional or distant failure occurred in 18 patients. Beyond a delay of 50
days, 19% of patients had local failure, 17% nodal recurrence and 11% distant
failure. Within a delay of 50 days, no nodal or distant failure was observed and
only 1 patient experienced local recurrence. Upstaging and overall survival were
not significantly affected by an increased waiting time. Conclusion: For N0
patients treated with IMRT for HNSCC, waiting time around 50 days after the
diagnosis was not significantly associated with an excessive risk of upstaging or
recurrence.
PMID- 29657992
TI - Single nucleotide polymorphisms might influence chemotherapy induced nausea in
women with breast cancer.
AB - Background: Women receiving FEC (5 fluorouracil, epirubicin and cyclophosphamide)
chemotherapy (CT) for breast cancer (BC) often experience side effects such as
nausea and vomiting. Individual variations of side effects occur in patients
despite similar cancer therapy. The purpose of this study was to investigate a
possible genetic background as a predictor for individual variations in nausea
induced by CT. Methods: 114 women were included in the study. All women received
adjuvant CT for BC. Self-reported nausea and vomiting was recorded in a
structured diary over ten days following treatment. Blood samples were collected
before the treatment and used for the detection of 48 single nucleotide
polymorphisms (SNPs) in 43 genes. SNPs from each individual woman were analyzed
for their relation to the patient-reported frequency and intensity of nausea and
vomiting. Results: Eighty-four percent (n = 96) of the women reported acute or
delayed nausea or combined nausea and vomiting during the ten days following CT.
Three out of the forty-eight SNPs in the following genes: FAS/CD95, RB1/LPAR6 and
CCL2 were found to be associated with a risk of nausea. Conclusion: SNPs in the
FAS/CD95, RB1/LPAR6 and CCL2 genes were found to be associated with nausea among
women treated with adjuvant FEC for BC. SNPs analysis is fast and cost effective
and can be done prior to any cancer therapy. The association between individual
SNPs and severe side effects from FEC may contribute to a more personalized care
of patients with BC.
PMID- 29657993
TI - The HIV protease and PI3K/Akt inhibitor nelfinavir does not improve the curative
effect of fractionated irradiation in PC-3 prostate cancer in vitro and in vivo.
AB - Background: Radiotherapy has a high curative potential in localized prostate
cancer, however, there are still patients with locally advanced tumours who face
a considerable risk of recurrence. Radiosensitization using molecular targeted
drugs could help to optimize treatment for this high-risk group. The PI3K/Akt
pathway is overexpressed in many prostate cancers and is correlated to
radioresistance. Nelfinavir, an HIV protease inhibitor (HPI), was found to block
this pathway and to radiosensitize cancer cells of different origin. This is the
first study examining the effect of nelfinavir in combination with irradiation on
prostate cancer cell survival in vitro as well as on growth time and local tumour
control in vivo. Methods: The in vitro effect of nelfinavir on radioresponse of
PC-3 was tested by colony formation assay with 10 MUM nelfinavir. In vivo, the
effect of nelfinavir alone and in combination with irradiation was tested in nude
mice carrying PC-3 xenografts. For evaluating tumour growth time, mice were
treated with 80 mg nelfinavir/kg body weight, daily at 5 days per week over 6
weeks. Simultaneous irradiation with 30 fractions and total doses between 30 and
120 Gy was applied to calculate local tumour control for day 180 after treatment.
Results: Nelfinavir inhibited Akt phosphorylation at Ser473 and showed a minor
but significant effect on clonogenic cell survival in vitro with slightly higher
cell survival rates after combined treatment. The treatment of PC-3 xenografts
with nelfinavir alone led to no significant increase of tumour growth time and no
improvement of local tumour control. Conclusions: Despite promising growth delay
effects of nelfinavir in other tumour models and first clinical applications of
this drug as anti-cancer agent, PC-3 prostate cancer cells express no or only
minor sensitivity to nelfinavir treatment alone and no radiosensitizing effect in
vitro or in vivo.
PMID- 29657994
TI - Study of diffusion weighted MRI as a predictive biomarker of response during
radiotherapy for high and intermediate risk squamous cell cancer of the
oropharynx: The MeRInO study.
AB - Introduction and background: A significant proportion of patients with
intermediate and high risk squamous cell cancer of the oropharynx (OPSCC)
continue to relapse locally despite radical chemoradiotherapy (CRT). The toxicity
of the current combination of intensified dose per fraction radiotherapy and
platinum based chemotherapy limits further uniform intensification. If a
predictive biomarker for outcomes from CRT can be identified during treatment
then individualised and adaptive treatment strategies may be employed.
Methods/design: The MeRInO study is a prospective observational imaging study of
patients with intermediate and high risk, locally advanced OPSCC receiving
radical RT or concurrent CRT Patients undergo diffusion weighted MRI prior to
treatment (MRI_1) and during the third week of RT (MRI_2). Apparent diffusion
coefficient (ADC) measurements will be made on each scan for previously specified
target lesions (primary and lymph nodes) and change in ADC calculated. Patients
will be followed up and disease status for each target lesion noted. The primary
aim of the MeRInO study is to determine the threshold change in ADC from baseline
to week 3 of RT that may identify the sub-group of non-responders during
treatment. Discussion: The use of DW-MRI as a predictive biomarker during RT for
SCC H&N is in its infancy but studies to date have found that response to
treatment may indeed be predicted by comparison of DW-MRI carried out before and
during treatment. However, previous studies have included all sub-sites and
biological sub-types. Establishing ADC thresholds that predict for local failure
is an essential step towards using DW-MRI to improve the therapeutic ratio in
treating SCC H&N. This would be done most robustly in a specific H&N sub-site and
in sub-types with similar biological behaviour. The MeRInO study will help
establish these thresholds in OPSCC.
PMID- 29657995
TI - First reported treatment of aggressive hemangioma with intraoperative radiation
therapy and kyphoplasty (Kypho-IORT).
AB - Aggressive hemangiomas invade the spinal canal and/or paravertebral space and may
cause cord compression and neurological symptoms. Radiation therapy was
recognized as an effective strategy for the treatment of aggressive hemangiomas.
Here, it is reported the first case of aggressive vertebral hemangioma treated by
a combination of intraoperative radiation therapy and kyphoplasty (Kypho-IORT).
PMID- 29657996
TI - Significant tumor shift in patients treated with stereotactic radiosurgery for
brain metastasis.
AB - Introduction: Linac-based stereotactic radiosurgery (SRS) for brain metastases
may be influenced by the time interval between treatment preparation and
delivery, related to risk of anatomical changes. We studied tumor position shifts
and its relations to peritumoral volume edema changes over time, as seen on MRI.
Methods: Twenty-six patients who underwent SRS for brain metastases in our
institution were included. We evaluated the occurrence of a tumor shift between
the diagnostic MRI and radiotherapy planning MRI. For 42 brain metastases the
tumor and peritumoral edema were delineated on the contrast enhanced T1weighted
and FLAIR images of both the diagnostic MRI and planning MRI examinations. Centre
of Mass (CoM) shifts and tumor borders were evaluated. We evaluated the influence
of steroids on peritumoral edema and tumor volume and the correlation with CoM
and tumor border changes. Results: The median values of the CoM shifts and of the
maximum distances between the tumor borders obtained from the diagnostic MRI and
radiotherapy planning MRI were 1.3 mm (maximum shift of 5.0 mm) and 1.9 mm
(maximum distance of 7.4 mm), respectively. We found significant correlations
between the absolute change in edema volume and the tumor shift of the CoM (p <
0.001) and tumor border (p = 0.040). Patients who received steroids did not only
had a decrease in peritumoral edema, but also had a median decrease in tumor
volume of 0.02 cc while patients who did not receive steroids had a median
increase of 0.06 cc in tumor volume (p = 0.035). Conclusion: Our results show
that large tumor shifts of brain metastases can occur over time. Because shifts
may have a significant impact on the local dose coverage, we recommend minimizing
the time between treatment preparation and delivery for Linac based SRS.
PMID- 29657998
TI - Dosimetric assessment of an Atlas based automated segmentation for loco-regional
radiation therapy of early breast cancer in the Skagen Trial 1: A multi
institutional study.
AB - The effect of Atlas-based automated segmentation (ABAS) on dose volume histogram
(DVH) parameters compared to manual segmentation (MS) in loco-regional
radiotherapy (RT) of early breast cancer was investigated in patients included in
the Skagen Trial 1. This analysis supports implementation of ABAS in clinical
practice and multi-institutional trials.
PMID- 29657999
TI - Sequential histological findings and clinical response after carbon ion
radiotherapy for unresectable sarcoma.
AB - Background and purpose: The efficacy of carbon ion radiotherapy (CIRT) for bone
and soft tissue sarcoma has been reported recently. Although histological
assessment after CIRT requires skilled interpretation, little information is
presently available. In this study, we report sequential histological findings
after treatment with CIRT, and evaluate the association between these findings
and clinical response. Material and methods: Seven patients with unresectable
sarcoma underwent needle biopsy 12 times at an average of 14.3 months after CIRT
and were included in this study. Results: One patient underwent two biopsies
after CIRT for chordoma. Although a few suspected residual chordoma cells were
observed at 19 and 30 months after CIRT, the tumor continued to shrink at 75
months. Immunohistochemical analysis of post-CIRT specimens revealed CK AE1/3,
EMA, and S100 expression, as in the pre-CIRT specimen. In total, viable tumor
cells were found in 9 of 12 specimens; however, only 2 patients showed recurrent
masses on radiological examination. The other 5 patients had stable disease.
Conclusions: Viable tumor cells after CIRT did not always cause recurrence. This
may be due to observation of dying cells or radiation-induced deformed cells.
Histological evaluation after CIRT should be done carefully.
PMID- 29657997
TI - Beyond checkpoint inhibition - Immunotherapeutical strategies in combination with
radiation.
AB - The revival of cancer immunotherapy has taken place with the clinical success of
immune checkpoint inhibition. However, the spectrum of immunotherapeutic
approaches is much broader encompassing T cell engaging strategies, tumour
specific vaccination, antibodies or immunocytokines. This review focuses on the
immunological effects of irradiation and the evidence available on combination
strategies with immunotherapy. The available data suggest great potential of
combined treatments, yet also poses questions about dose, fractionation, timing
and most promising multimodal strategies.
PMID- 29658000
TI - Plasma proteins as prognostic biomarkers in radiotherapy treated head and neck
cancer patients.
AB - Background: Blood-based protein biomarkers can be a useful tool as pre-treatment
prognostic markers, as they can reflect both variations in the tumor
microenvironment and the host immune response. We investigated the influence of a
panel of plasma proteins for the development of any failure defined as recurrent
disease in the T-, N-, or M-site in HNSCC. Methods: We used a multiplex bead
based approach to analyze 19 proteins in 86 HNSCC patients and 15 healthy
controls. We evaluated the associations between the biomarkers, loco-regional
failure, failure in the T-, N-, or M-site, overall survival (OS), p16 status, and
hypoxia. Results: In 41 p16 positive oropharynx cancer patients we identified a
profile of biomarkers consisting of upregulation of IL-2, IL-4, IL-6, IL-8,
eotaxin, GRO-a, and VEGF and downregulation of VEGFR-1 and VEGFR-2 with a
significantly reduced risk of failure (p < 0.01). None of the individual proteins
were associated with outcome. Conclusion: The identified plasma profile
potentially reflects an activated immune response in a subgroup of the p16
positive patients.
PMID- 29658001
TI - Glut-1 expression in small cervical biopsies is prognostic in cervical cancers
treated with chemoradiation.
AB - Background/purpose: Chemoradiation (CRT) is standard therapy for locally advanced
cervical cancer (LACC). However, there is a lack of biomarkers to identify
patients at high relapse-risk. We examine metabolic (glucose transporter-1 [Glut
1]), hypoxic (hypoxia inducible factor [HIF-1alpha]; carbonic anhydrase [CA-9])
and proliferative (Ki-67) markers for prognostic utility in LACC.
Materials/methods: 60 LACC patients treated with CRT had pre-treatment biopsies.
Immunohistochemistry was performed for Glut-1, HIF-1a and CA-9, to generate a
histoscore from intensity and percentage staining; and Ki-67 scored by percentage
of positive cells. For each biomarker, treatment response and survival was
compared between low and high-staining groups by logrank testing and multivariate
analyses. Results: High Glut-1 expression was associated with inferior
progression-free survival (PFS), (hazard ratio [HR] 2.8, p = 0.049) and overall
survival (OS), (HR 5.0, p = 0.011) on multifactor analysis adjusting for stage,
node positivity, tumour volume and uterine corpus invasion. High Glut-1
correlated with increased risk of distant failure (HR 14.6, p = 0.001) but not
local failure. Low Glut-1 was associated with higher complete metabolic response
rate on post-therapy positron emission tomography scan (odds ratio 3.4, p =
0.048). Ki-67 was significantly associated with PFS only (HR 1.19 per 10 units
increase, p = 0.033). Biomarkers for hypoxia were not associated with outcome.
Conclusions: High Glut-1 in LACC is associated with poor outcome post CRT. If
prospectively validated, Glut-1 may help select patients for more intensive
treatment regimens.
PMID- 29658002
TI - Simultaneous integrated prophylactic cranial irradiation in sino-nasal cancer.
AB - Therapy for small cell cancer and high grade neuroendocrine tumours of the
paranasal sinuses is extrapolated from the treatment of small cell lung cancer
and paranasal cancer of different histologies. Prophylactic cranial irradiation
has proven survival benefit in small cell lung cancer. Two patients with
aggressive cancer of the paranasal sinuses received radiotherapy with
simultaneous integrated prophylactic brain irradiation, using two sequential
plans. Chemotherapy was given before, during and after radiotherapy. None of the
patients had intracranial recurrence. One patient experienced severe, but
transient encephalitis-like symptoms that could only be attributed to
radiotherapy. No long term side effects in the CNS were observed. The treatment
was feasible, but with possible severe, but transient side effects. It should be
considered in cases with head and neck cancer, with a high risk of intracerebral
metastasis, as well as a significant overlap between the primary irradiated
volume and the brain.
PMID- 29658003
TI - Profiling of a panel of radioresistant prostate cancer cells identifies
deregulation of key miRNAs.
AB - Background: miRNAs are increasingly associated with the aggressive phenotype of
prostate tumours. Their ability to control radiobiologically-relevant cellular
processes strengthens their potential as novel markers of response to radiation
therapy. Purpose: To identify miRNAs associated with increased clonogenic
survival following radiation exposure. Material and methods: The miRNA expression
profiles of a panel of 22RV1 cells with varying levels of radiosensitivities
(hypoxic H-22Rv1 cells, RR-22Rv1 cells derived from WT-22Rv1 cells through 2-Gy
fractionated repeated exposure, the associated aged matched cells (AMC-22Rv1) and
the WT-22Rv1 cell lines) were generated and cross-analysed to identify common
miRNAs associated with a radioresistant phenotype. Results: Increased clonogenic
survival following irradiation was associated with significant modifications in
miRNA expression pattern. miR-221 (up) and miR-4284 (down) in RR-22Rv1 and MiR-31
and miR-200c in AMC-22Rv1 were the most uniquely significantly deregulated miRNAs
when compared to WT-22Rv1 cells. miR-200c ranked as the most downregulated miRNAs
in hypoxic, when compared to RR-22Rv1 cells. miR-200a was the only differentially
expressed miRNA between RR-22Rv1 and AMC-22Rv1 cells. miR-210 yielded the highest
fold change in expression in H-22Rv1, when compared to WT-22RV1 cells.
Conclusion: This study identifies candidate miRNAs for the development of novel
prognostic biomarkers for radiotherapy prostate cancer patients.
PMID- 29658004
TI - In cancer cell lines inhibition of SCF/c-Kit pathway leads to radiosensitization
only when SCF is strongly over-expressed.
AB - Background and purpose: The SCF/c-Kit pathway is often overexpressed in human
tumors leading to an enhanced tumorigenesis, proliferation and migration. It was
now tested for NSCLC and prostate cancer cells growing in 2D and 3D whether the
inhibition of this pathway can be used to achieve a significant
radiosensitization and whether a respective biomarker may be identified. Material
and methods: Experiments were performed with different cancer cell lines (NSCLC:
H23, H520, H226, H1975 and PrCa: DU145) growing either under 2D or 3D conditions.
Expression of SCF and c-Kit was determined by RT-PCR and Western blot, SCF was
knocked down by siRNA, c-Kit was inhibited by ISCK03 inhibitor and cell survival
was determined by colony formation assay. Results: There is a profound variation
in the expression of both c-Kit and SCF with no association between each other.
Neither levels did correlate with the respective cellular radiosensitivity
determined for 2D or 3D with only a trend seen for SCF. Knock-down of SCF was
generally found to result in no or only minor reduction of plating efficiency or
cellular radioresistance. A significant reduction was only obtained for H520
cells characterized by an extreme over-expression of SCF. The inhibition of c-Kit
by a specific inhibitor was also found to result only in minor
radiosensitization. Conclusion: Generally, the SCF/c-Kit pathway does not have a
dominant effect on both, cell survival and radioresponse and, as a consequence,
knockdown of this pathway does not result in a strong effect on radioresistance,
except when SCF is strongly over-expressed.
PMID- 29658005
TI - Follow up results of a prospective study to evaluate the impact of FDG-PET on CT
based radiotherapy treatment planning for oesophageal cancer.
AB - Background: This prospective study aims to determine the impact of PET/CT on
radiotherapy planning and outcomes in patients with oesophageal cancer. Methods:
All patients underwent PET/CT scanning in the radiotherapy treatment position,
and received treatment planned using the PET/CT dataset. GTV was defined
separately on PET/CT (GTV-PET) and CT (GTV-CT) datasets. A corresponding PTV was
generated for each patient. Volumetric and spatial analysis quantified the
proportion of FDG-avid disease not included in CT-based volumes. Clinical data
was collected to determine locoregional control and overall survival rates.
Results: 13 (24.1%) of 57 accrued patients had metastatic disease detected on
PET. Median follow up was 4 years. FDG-avid disease would have been excluded from
GTV-CT in 29 of 38 patients (76%). In 5 patients, FDG-avid disease would have
been completely excluded from the PTV-CT. GTV-CT underestimated the cranial and
caudal extent of FDG-avid tumour in 14 (36%) and 10 (26%) patients. 4-Year
overall survival and locoregional failure free survival were 37% and 65%.
Conclusions: PET/CT altered the delineation of tumour volumes when compared to CT
alone, and should be considered standard for treatment planning. Although
clinical outcomes were not improved with PET/CT planning, it did allow the use of
smaller radiotherapy volumes.
PMID- 29658006
TI - The clinical target volume in lung, head-and-neck, and esophageal cancer: Lessons
from pathological measurement and recurrence analysis.
AB - Radiotherapy research has achieved remarkable progress in target volume
definition. Advances in medical imaging facilitate more precise localization of
the gross tumor volume, alongside a more detailed understanding of the geometric
uncertainties associated with treatment delivery that has enabled robust safety
margins to be customized to the specific treatment scenario at hand. By contrast,
the clinical target volume, meant to encompass gross tumor, as well as, adjacent
sub-clinical disease, has evolved very little. It is more often defined by
clinician experience and institutional convention than on a patient-specific
basis. This disparity arises from the inherent invisibility of sub-clinical
disease in current medical imaging. Its incidence and expanse can only be
ascertained via indirect means. This article reviews two such strategies:
histopathological measurements on resection specimen and analyses of locoregional
recurrences after radiotherapy.
PMID- 29658007
TI - Organ preservation in rectal cancer - Challenges and future strategies.
AB - Neoadjuvant radiochemotherapy with subsequent total mesorectal excision is the
standard of care for locally advanced rectal cancer. While this multimodal
strategy has decreased local recurrences rates below 5%, long-term morbidities
are considerable in terms of urinary, sexual or bowel functioning. At the same
time approximately 10-20% of patients have no evidence of residual tumour in
their surgical specimen. Pioneering studies from Brazil have suggested that
surgery can safely be omitted in carefully selected patients with a clinical
complete response after radiochemotherapy. Although confirmatory studies showed
similar results, challenges in terms of optimizing radiochemotherapy for organ
preservation, appropriate selection of patients for non-operative management and
the safety of this approach remain. The present review will summarize the current
data on organ-preservation in rectal cancer and discuss the challenges that need
to be addressed in future trials.
PMID- 29658008
TI - The role of Next-Generation Sequencing in tumoral radiosensitivity prediction.
AB - Technological advances have led to more precise radiation delivery, which has
resulted in significant clinical gains. A better understanding of tumoral
radiosensitivity is still needed to develop strategies and further personalize
radiation treatments. Next-Generation Sequencing (NGS) and system biology have
significantly transformed the field of oncology in the last two decades, but have
only a few clinical applications in radiation oncology. This review describes the
technical aspects and evolutions of NGS and discusses the latest clinical
applications of genomics to predict tumoral radiosensitivity.
PMID- 29658009
TI - Biomarkers for nonclinical infusion reactions in marketed biotherapeutics and
considerations for study design.
AB - The observation of an infusion reaction (IR) in a nonclinical study can cause
concern among investigators and regulators in the development of biotherapeutics.
Biomarkers can be informative to determine whether the reactions are immune
mediated or test-article related and if there is a potential risk to human
subjects. IRs encompass a broad range of adverse events with a variety of
triggers; the focus of this paper is IRs due to cytokine release syndrome or
immune complex formation and the associated biomarkers. Such reactions generally
do not preclude clinical development or marketing approval, because it is widely
accepted that immune-mediated reactions in nonclinical species are not predictive
of human outcomes. Several US approved products (from 2004 to 2016) have
documented IRs in nonclinical species. This review article discusses recent
examples, the biomarkers evaluated, and implications for study design and
conduct.
PMID- 29658010
TI - Impact of Intrinsic Structural Properties on the Hydration of 2:1 Layer
Silicates.
AB - Several 2:1 layer silicates comprising di- and trioctahedral smectites of
different layer charge between 0.2 and 0.4 per formula unit and a trioctahedral
vermiculite were studied by an in situ method that allowed Fourier transform
infrared spectroscopy (FTIR) spectra and water vapor sorption isotherms to be
obtained simultaneously. The particle size and shape of the selected materials
were determined using X-ray diffraction and gas adsorption analyses, which
provided an estimate of the particle size with resulting edge site proportion.
The aim of this study was to elucidate the hydration mechanism in 2:1 layer
silicates during desorption and adsorption of water vapor. Domains in the
desorption and adsorption of water vapor of the smectite samples with a slightly
increasing slope were explained by a heterogeneous layer charge distribution,
which enables the coexistence of different hydration states even under controlled
conditions. Whereas hysteresis was observed over the entire isothermal range of
the smectites, the isotherm of the vermiculite sample only showed hysteresis in
the transition from the monohydrated state (1W) to the bihydrated state (2W). We
also revealed that hysteresis is a function of the layer charge distribution, the
achieved water content, and the particle size with resulting edge site
contribution. Increasing the edge site proportions led to an increased
hysteresis. The findings from the experimental FTIR/gravimetric analysis showed
that the transition from 2W to 1W and backward is visible using infrared
spectroscopy. The shifting of delta(H-O-H) was influenced by the layer charge and
octahedral substitutions. As a final point, we use water as a sensor molecule to
describe the OH groups of the octahedral sheet and show that the observed shifts
result from a change in the tilting angle. Our experimental results were
supported by ab initio thermodynamic simulations that revealed the different
shifting behavior of delta(H-O-H) and delta(M x+-OH-N y+) related to the
differences in surface charge density and octahedral compositions.
PMID- 29658011
TI - A Listeria-derived polypeptide promotes in vivo activation of NK cells for
antitumor therapy.
AB - Immunotherapies have shown promise in treatment of cancer, but more potent and
targeted therapies are needed. Natural killer (NK) cells are lymphocytes with
innate ability to recognize and lyse tumor cells. When activated, they also
produce type II interferon (IFNgamma) to orchestrate the activity of other immune
cells. Strategies to elicit NK cell activation in vivo have potential usefulness
in anti-tumor immunotherapies. Here, we report on a strategy to stimulate NK cell
activation and anti-tumor activity in mice with established B16.F10 murine
melanomas. We and others previously observed that NK cells are rapidly activated
during infection by pathogens such as the bacterium Listeria monocytogenes (Lm).
A secreted Lm virulence protein, p60, and a fragment of p60 termed L1S were
previously shown to stimulate innate immune responses and promote NK cell
activation. We purified recombinant L1S and characterized its activity in cell
culture studies. Recombinant L1S protein was also observed to promote
accumulation and robust NK cell activation in the lungs when given via
intratracheal instillation to control and tumor-bearing mice. Importantly,
therapeutic administration of a single L1S dose was found to significantly reduce
the number and area of "metastatic" tumor nodules on the lungs of mice with
established B16.F10 murine melanomas. Depletion studies showed that these
antitumor effects were dependent on NK cells and IFNgamma. These data provide
proof of concept that administration of a single immune-modulating microbial
polypeptide can be used to therapeutically boost NK cell in vivo activation and
promote anti-tumor responses.
PMID- 29658012
TI - Enzymatic Responses to Alcohol and Tobacco Nicotine-Derived Nitrosamine Ketone
Exposures in Long Evans Rat Livers.
AB - Background: Chronic feeding plus binge administration of ethanol causes very high
blood alcohol concentrations. However, its co-administration with tobacco
Nicotine-Derived Nitrosamine Ketone (NNK) results in somewhat lower blood alcohol
levels, suggesting that NNK and therefore smoking, alters alcohol metabolism in
the liver. To explore this hypothesis, we examined effects of ethanol and/or NNK
exposures on the expression and activity levels of enzymes that regulate their
metabolism in liver. Methods: This study utilized a 4-way model in which Long
Evans rats were fed liquid diets containing 0% or 26% ethanol for 8 weeks, and
respectively i.p injected with saline or 2 g/kg of ethanol 3 times/week during
Weeks 7 and 8. The control and ethanol-exposed groups were each sub-divided and
further i.p treated with 2 mg/kg of NNK or saline (3*/week) in Weeks 3-8. ADH,
catalase and ALDH activities were measured using commercial kits. CYP450 mRNA
levels (17 isoforms) were measured by qRT-PCR analysis. Results: Ethanol
significantly increased hepatic ADH but not catalase or ALDH activity. NNK had no
effect on ADH, ALDH, or catalase, but when combined with ethanol, it increased
ADH activity above the levels measured in all other groups. Ethanol increased
CYP2C7, while NNK increased CYP2B1 and CYP4A1mRNA levels relative to control. In
contrast, dual ethanol + NNK exposures inhibited CYP2B1 and CYP4A1 expression
relative to NNK. Conclusion: Dual exposures to ethanol and NNK increase hepatic
ethanol metabolism, and ethanol and/or NNK exposures alter the expression of
CYP450 isoforms that are utilized in NNK and fatty acid metabolism.
PMID- 29658013
TI - Method for the Culture of Mouse Pulmonary Microvascular Endothelial Cells.
AB - Pulmonary microvascular endothelial cells (ECs) are integral to the alveoli
capillary barrier of the lung. The EC barrier integrity is known to be disrupted
in severe lung diseases such as acute respiratory distress syndrome (ARDS),
pneumonia and pulmonary edema. Mice are commonly used to model these diseases,
dictating an increasingly high demand for murine ECs isolation and culture.
Despite the significant number of protocols for the culture of various types of
murine cells, the isolation of microvascular endothelial cells remains a
challenging procedure. In our manuscript we developed adetailed step-by-step
refined method for isolation murine pulmonary microvascular ECs for in vitro
studies. We separated cells using platelet endothelial cell adhesion molecule
antibody and characterized ECs with antibodies against intercellular adhesion
molecule-1, acetylated-low density lipoprotein, and vascular endothelial (VE)
cadherin. Further, we confirmed microvascular origin of these cells using
Griffonia simplicifolia and Helix pomatia (negative control) staining. Barrier
properties of EC monolayer were characterized by conducting electric cell
substrate impedance sensing experiments with the edemagenic agents,
lipopolysaccharide and nocodazole, and known barrier-protective agents, adenosine
and sphingosine-1-phosphate. The described complete protocol provided consistent
and reproducible results.
PMID- 29658014
TI - Isomeric organic semiconductors containing fused-thiophene cores: molecular
packing and charge transport.
AB - Isomeric TF1 and TF2 with highly fused thiophene cores were designed and
synthesized here, in which a highly planar molecular structure was obtained for
TF1 with the face-to-face sulfur atoms in the lateral region and a twisted
molecular backbone was observed for TF2 with the back-to-back sulfur atoms. It is
worth noting that different intermolecular interactions dominated in TF1 and TF2
caused by their isomeric thiophene cores, in which strong pi-pi stacking was
achieved for TF1, whereas sulphur-involved nonbonding intermolecular interactions
dominated in TF2, leading to the different fluorescence behaviors and also the
altered liquid crystalline phases. Finally, typical P-type charge transport
behaviors were achieved in both TF1- and TF2-based solution-processed OFETs. Also
owing to the much ordered molecular packing in TF1, a higher charge carrier
mobility of 3.7 * 10-3 cm2 V-1 s-1 was achieved for TF1-based OFETs compared to
TF2-based OFETs.
PMID- 29658015
TI - Stretchable V2O5/PEDOT supercapacitors: a modular fabrication process and
charging with triboelectric nanogenerators.
AB - Stretchable energy storage devices are of great importance for the viable
applications of wearable/stretchable electronics. Studies on stretchable energy
storage devices, especially supercapacitors (SCs), have shown encouraging
progress. However, challenges still remain in the pursuit of high specific
capacitances and facile fabrication methods. Herein, we report a modular
materials fabrication and assembly process for stretchable SCs. With a V2O5/PEDOT
composite as the active material, the resulting stretchable SCs exhibited high
areal specific capacitances up to 240 mF cm-2 and good capacitance retention at a
strain of 50%. To demonstrate the facile assembly process, a stretchable
wristband was fabricated by simply assembling SC cells in series to deliver a
voltage higher than 2 V. Charging the wristband with a triboelectric
nanogenerator (TENG) to light an LED was further demonstrated, indicating the
potential to integrate our SCs with environmental energy harvesters for self
powered stretchable devices.
PMID- 29658016
TI - Self-assembly study of nanometric spheres from polyoxometalate-phenylalanine
hybrids, an experimental and theoretical approach.
AB - Herein, we report on the study of supramolecular assemblies based on
polyoxometalates (POMs) upon their modification with amino acids. Two POM-amino
acid hybrids were synthesized by coupling a functionalized Keggin type
polyoxoanion [PW11O39{Sn(C6H4)C[triple bond, length as m-dash]C(C6H4)COOH}]4-
with carboxyl-protected (methyl-ester) phenylalanine or diphenylalanine peptides.
Surprisingly, all compounds, including the initial POM, formed supramolecular
nanospheres in different solvent mixtures, which were examined by scanning
electron microscopy (SEM). Molecular dynamics (MD) simulations for the POM-amino
acid species revealed that the hydrophobic forces are mainly responsible for the
initial aggregation into incipient micelle type structures, in which the organic
arms are buried inside the aggregate while POM polar heads are more exposed to
the solvent with tetrabutyl-ammonium counter cations acting as linkers.
PMID- 29658017
TI - Vertically-aligned Co3O4 arrays on Ni foam as monolithic structured catalysts for
CO oxidation: effects of morphological transformation.
AB - A generic hydrothermal synthesis route has been successfully designed and
utilized to in situ grow highly ordered Co3O4 nanoarray (NA) precursors on Ni
substrates, forming a series of Co3O4 nanoarray-based monolithic catalysts with
subsequent calcination. The morphology evolution of Co3O4 nanostructures which
depends upon the reaction time, with and without CTAB or NH4F is investigated in
detail, which is used to further demonstrate the growth mechanism of Co3O4
nanoarrays with different morphologies. CO is chosen as a probe molecule to
evaluate the catalytic performance over the synthesized Co-based oxide catalysts,
and the effect of morphological transformation on the catalytic activity is
further confirmed via using TEM, H2-TPR, XPS, Raman spectroscopy and in situ
Raman spectroscopy. As a proof of concept application, core-shell Co3O4 NAs-8
presenting hierarchical nanosheets@nanoneedle arrays with a low density of
nanoneedles exhibits the highest catalytic activity and long-term stability due
to its low-temperature reducibility, the lattice distortion of the spinel
structure and the abundance of surface-adsorbed oxygen (Oads). It is confirmed
that CO oxidation on the surface of Co3O4 can proceed through the Langmuir
Hinshelwood mechanism via using in situ Raman spectroscopy. It is expected that
the in situ synthesis of well-defined Co3O4 monolithic catalysts can be extended
to the development of environmentally-friendly and highly active integral
materials for practical industrial catalysis.
PMID- 29658018
TI - Combining photoredox and silver catalysis for azidotrifluoromethoxylation of
styrenes.
AB - The first example of an azidotrifluoromethoxylation of styrenes has been achieved
by synergistic visible-light-mediated photoredox and silver catalysis.
Trifluoromethyl arylsulfonate (TFMS) and the Zhdankin reagent were used as the
trifluoromethoxylation reagent and the azide source, respectively. A good
functional group tolerance and mild reaction conditions of this method are
applicable to late-stage azidotrifluoromethoxylation of complex small molecules.
Furthermore, the mechanistic investigations indicate the single-electron transfer
involved in the reaction.
PMID- 29658019
TI - Accurate theoretical characterization of dioxygen difluoride: a problem resolved.
AB - Dioxygen difluoride is a tough molecule that has defied accurate theoretical
description for many decades. In the present work we have identified the reason
for this resistance: the flatness of the OO, and more important OF, stretching
potential energy curves, which make it difficult to localise the global minimum.
It is not related to the weak multi-reference character. Using high-level CCSD(T)
F12/VTZ-F12 ab initio theory, the global minimum has been properly located and
vibrationally averaged bond lengths obtained. These vibrationally averaged
parameters agree with experimental data to within 0.01 A. Averaging was found
essential to achieve this unprecedented accuracy. We have then simulated the IR
and UV spectra, which compare well with experimental data and permit
identification of the observed transitions.
PMID- 29658020
TI - Human plasma proteome association and cytotoxicity of nano-graphene oxide grafted
with stealth polyethylene glycol and poly(2-ethyl-2-oxazoline).
AB - Polyethylene glycol (PEG) is a gold standard against protein fouling. However,
recent studies have revealed surprising adverse effects of PEG, namely its
immunogenicity and shortened bio-circulation upon repeated dosing. This
highlights a crucial need to further examine 'stealth' polymers for controlling
the protein 'corona', a new challenge in nanomedicine and bionanotechnology.
Poly(2-ethyl-2-oxazoline) (PEtOx) is another primary form of stealth polymer
that, despite its excellent hydrophilicity and biocompatibility, has found
considerably less applications compared with PEG. Herein, we performed label-free
proteomics to compare the associations of linear PEG- and PEtOx-grafted nano
graphene oxide (nGO) sheets with human plasma proteins, complemented by
cytotoxicity and haemolysis assays to compare the cellular interactions of these
polymers. Our data revealed that nGO-PEG enriched apolipoproteins, while nGO
PEtOx displayed a preferred binding with pro-angiogenic and structural proteins,
despite high similarities in their respective top-10 enriched proteins. In
addition, nGO-PEG and nGO-PEtOx exhibited similar levels of enrichment of
complement proteins. Both PEG and PEtOx markedly reduced nGO toxicity to HEK 293
cells while mitigating nGO haemolysis. This study provides the first detailed
profile of the human plasma protein corona associated with PEtOx-grafted
nanomaterials and, in light of the distinctions of PEtOx in chemical
adaptability, in vivo clearance and immunogenicity, validates the use of PEtOx as
a viable stealth alternative to PEG for nanomedicines and bionanotechnologies.
PMID- 29658021
TI - Mechanistic studies on the palladium-catalyzed cross-dehydrogenative coupling of
4-phenoxy-2-coumarins: experimental and computational insights.
AB - Delineating the mechanistic features of C-H activation in aryl-heteroaryl
coupling is an important step in the design of selective, catalytic processes.
Herein we use the intramolecular dehydrogenative coupling of 4-phenoxy-2
coumarins as a model study. Computational results and experimental studies
suggest that CMD is operative in the cleavage of both C-H bonds, and that the
heteroaryl C-H is cleaved initially.
PMID- 29658022
TI - An alkaline-resistant Ag(i)-anchored pyrazolate-based metal-organic framework for
chemical fixation of CO2.
AB - An alkaline-resistant Ag(i)-anchored metal-organic framework has been achieved
via postsynthetic modification of pyrazolate-based linkers. For the first time,
the resultant MOF was completely heterogeneous and recyclable over at least ten
cycles in cyclic carboxylation of propargyl amines and CO2 into oxazolidinones
and tetramic acids under atmospheric pressure of CO2.
PMID- 29658023
TI - Biodistribution studies of ultrasmall silicon nanoparticles and carbon dots in
experimental rats and tumor mice.
AB - Ultrasmall clearable nanoparticles possess enormous potential as cancer imaging
agents. In particular, biocompatible silicon nanoparticles (Si NPs) and carbon
quantum dots (CQDs) hold great potential in this regard. Their facile surface
functionalization easily allows the introduction of different labels for in vivo
imaging. However, to date, a thorough biodistribution study by in vivo positron
emission tomography (PET) and a comparative study of Si vs. C particles of
similar size are missing. In this contribution, ultrasmall (size <5 nm) Si NPs
and CQDs were synthesized and characterized by high-resolution transmission
electron microscopy (HR-TEM), Fourier-transform infrared (FTIR), absorption and
steady-state emission spectroscopy. Subsequent functionalization of NPs with a
near-infrared dye (Kodak-XS-670) or a radiolabel (64Cu) enabled a detailed in
vitro and in vivo study of the particles. For radiolabeling experiments, the
bifunctional chelating agent S-2-(4-isothiocyanatobenzyl)-1,4,7-triazacyclononane
1,4,7-triacetic acid (p-SCN-Bn-NOTA) was conjugated to the amino surface groups
of the respective NPs. Efficient radiolabeling of NOTA-functionalized NPs with
the positron emitter 64Cu was found. The biodistribution and PET studies showed a
rapid renal clearance from the in vivo systems for both variants of the
nanoparticles. Interestingly, the different derivatives investigated exhibited
significant differences in the biodistribution and pharmacokinetic properties.
This can mostly be attributed to different surface charge and hydrophilicity of
the NPs, arising from the synthetic strategy used to prepare the particles.
PMID- 29658025
TI - Metallophthalocyanine-based redox active metal-organic conjugated microporous
polymers for OER catalysis.
AB - We report the design and synthesis of two Co2+ and Zn2+ phthalocyanine (PC)-based
redox active metal-organic conjugated microporous polymers (MO-CMP), CoCMP and
ZnCMP, respectively, obtained by a Schiff base condensation reaction. CoCMP,
where Co2+ is stabilized by N4-coordination of PC, has shown stable and efficient
electrocatalytic activity towards the OER with a low overpotential of 340 mV.
PMID- 29658026
TI - Oral administration of rutile and anatase TiO2 nanoparticles shifts mouse gut
microbiota structure.
AB - The widespread application of TiO2 nanoparticles (NPs) as additives in foods such
as gum, candy and puddings has dramatically increased the human ingestion and
accumulation of these nanomaterials. Although the toxicity of TiO2 NPs has been
extensively studied, their impact on gut microbiota in vivo still needs further
research. In this study, TiO2 NPs with two main crystalline phases anatase and
rutile were orally administrated to mice for 28 days. The dynamic influences of
anatase and rutile on gut microbiota structures were investigated at doses
equivalent to those consumed by people who love to eat candies. The results
showed that titanium accumulated in the spleen, lung, and kidney but had no
significant effects on organ histology. Gavage of rutile NPs but not anatase NPs
resulted in longer intestinal villi and irregular arrangement of villus
epithelial cells. Treatment with TiO2 NPs did not decrease gut microbiota
diversity but shifted their structures in a time-dependent manner. Rutile NPs had
a more pronounced influence on the gut microbiota than anatase NPs. The most
influenced phylum was Proteobacteria, which was significantly increased by rutile
but not by anatase. At the genus level, Prevotella was significantly decreased by
both the TiO2 NPs, Rhodococcus was enriched by rutile NPs, and Bacteroides was
increased by anatase NPs. Overall, these results suggested that chronic
overconsumption of TiO2 NP-containing foods is likely to deteriorate the
gastrointestinal tract and change the structures of microbiota. The crystalline
phases may play an important role in mediating the intestinal impact of TiO2 NPs.
PMID- 29658028
TI - Outstanding Reviewers for Integrative Biology in 2017.
PMID- 29658027
TI - Acid- and reduction-sensitive micelles for improving the drug delivery efficacy
for pancreatic cancer therapy.
AB - One of the major challenges in anticancer therapy is the poor penetration of
anticancer drugs into tumors, especially in solid tumors, resulting in decreased
therapeutic efficacy in vivo. To solve some of these problems, in this study, a
dual-responsive polymeric micellar system has been developed. This system
exhibits an ultrasensitive response to the change of pH value from the
extracellular environment to the intracellular environment, resulting in micellar
swelling in cancer cells via the proton sponge effect. Moreover, once the swelled
micelles escape from the lysosomes in cancer cells, the disulfide linkages are
ruptured by GSH in the cytoplasm, leading to the rapid release of the
encapsulated drugs into the cellular nuclei. The antitumor activity in pancreatic
tumor-bearing mice reveals that this dual-responsive drug delivery system
possesses a long blood circulation time and can significantly promote cell
internalization and intracellular drug release, achieving a high anticancer
efficacy with fewer side effects for normal tissues.
PMID- 29658029
TI - In vitro lipid digestion in raw and roasted hazelnut particles and oil bodies.
AB - Previous studies have proved that the physical encapsulation of nutrients by the
cell walls of plant foods modulates macronutrient bioaccessibility during human
digestion. In this study, we investigated structural factors that modulate lipid
hydrolysis during in vitro digestion of raw and roasted hazelnut particles and
isolated oil bodies. Isolated oil bodies exhibited a significantly higher lipid
hydrolysis compared to hazelnut particles. Moreover, roasting had an impact on
the structure of hazelnut cell walls implying a more efficient diffusion of
digestive fluids and enzymes into the hazelnut cells. Heat treatment also caused
destabilization of oil body interfacial protein membranes, facilitating their
proteolysis under gastric conditions, altering the emulsion properties and
enhancing fatty acid release during intestinal digestion. This study underlined
the barrier role played by the plant cell wall as well as the impact of heat
processing on lipid bioaccessibility in hazelnuts.
PMID- 29658030
TI - Large-scale fabrication of free-standing and sub-MUm PDMS through-hole membranes.
AB - Free-standing polydimethylsiloxane (PDMS) through-hole membranes have been
studied extensively in recent years for chemical and biomedical applications.
However, robust fabrication of such membranes with sub-MUm through-holes, and at
a sub-MUm thickness over large areas is challenging. In this paper, we report a
robust and simple method for large-scale fabrication of free-standing and sub-MUm
PDMS through-hole membranes, combining soft-lithography with reactive plasma
etching techniques. First, arrays of sub-MUm photoresist (PR) columns were
patterned on another spin-coated sacrificial PR layer, using conventional
photolithography processes. Subsequently, a solution of PDMS : hexane at a 1 : 10
ratio was spin-coated over these fabricated arrays. The cured PDMS membrane was
etched in a plasma mixture of sulfur hexafluoride (SF6) and oxygen (O2) to open
the through-holes. This PDMS membrane can be smoothly released with a supporting
ring by completely dissolving the sacrificial PR structures in acetone. Using
this fabrication method, we demonstrated the fabrication of free-standing PDMS
membranes at various sub-MUm thicknesses down to 600 +/- 20 nm, and nanometer
sized through-hole (810 +/- 20 nm diameter) densities, over areas as large as 3
cm in diameter. Furthermore, we demonstrated the potential of the as-prepared
membranes as cell-culture substrates for biomedical applications by culturing
endothelial cells on these membranes in a Transwell-like set-up.
PMID- 29658032
TI - Silver triflate/p-TSA co-catalysed synthesis of 3-substituted isocoumarins from 2
alkynylbenzoates.
AB - In this paper, we describe the silver triflate/p-toluenesulfonic acid co
catalysed synthesis of seventeen isocoumarins and two thieno[2,3-c]pyran-7-ones
starting from 2-alkynylbenzoates and 3-alkynylthiophene-2-carboxylates,
respectively. The reaction proceeds with absolute regioselectivity under mild
reaction conditions and low catalyst loading, to afford the desired products in
good to excellent yields. A conceivable reaction mechanism is proposed and
supported by isotope-exchange tests, 1H NMR studies and ad hoc experiments.
PMID- 29658031
TI - Fully automated, on-site isolation of cfDNA from whole blood for cancer therapy
monitoring.
AB - The potential utility of circulating tumour DNA (ctDNA) in patient blood for
cancer diagnostics and real-time monitoring of disease progression is highly
recognized. However, the lack of automated and efficient methods for cell-free
DNA (cfDNA) isolation from peripheral blood has remained a challenge for broader
acceptance of liquid biopsy in general clinical settings. Here, we demonstrate a
lab-on-a-disc system equipped with newly developed, electromagnetically actuated,
and reversible diaphragm valves that allows fully automated and rapid (<30 min)
isolation of cfDNA from whole blood (>3 ml) to achieve high detection sensitivity
by minimizing the degradation of fragile ctDNA as well as contamination of wild
type DNA from abundant blood cells. As a proof of concept study, we used the lab
on-a-disc to isolate cfDNA from patients with non-small cell lung cancer and
successfully detected epidermal growth factor receptor gene mutations (L858R,
T790M) during targeted drug therapy. The proposed lab-on-a-disc enables a fully
automated, rapid, and point-of-care cfDNA enrichment starting from whole blood to
facilitate the wide use of liquid biopsy in routine clinical practice.
PMID- 29658033
TI - Metal-free oxidative coupling of quinoxalin-2(1H)-ones with arylaldehydes leading
to 3-acylated quinoxalin-2(1H)-ones.
AB - A facile TBHP-mediated direct oxidative coupling of quinoxalin-2(1H)-ones with
arylaldehydes has been developed under metal-free conditions. This method
provided a convenient and efficient approach to various 3-acylated quinoxalin
2(1H)-ones from readily available starting materials with excellent
regioselectivity. This reaction proceeded efficiently under mild conditions over
a broad range of substrates and with functional group tolerance.
PMID- 29658034
TI - Generation of 3-dimensional multi-patches on silica particles via printing with
wrinkled stamps.
AB - A simple route towards patchy particles with anisotropic patches with respect to
a different functionality and directionality is presented. This method is based
on microcontact printing of positively charged polyethylenimine (PEI) on silica
particles using wrinkled stamps. Due to the wrinkled surface, the number of
patches on the particles as well as the distance between two patches can be
controlled.
PMID- 29658035
TI - 1-Bromoethene-1-sulfonyl fluoride (1-Br-ESF), a new SuFEx clickable reagent, and
its application for regioselective construction of 5-sulfonylfluoro isoxazoles.
AB - A new fluorosulfonylation reagent 1-bromoethene-1-sulfonyl fluoride was developed
(1-Br-ESF). This unique reagent possesses three addressable handles (vinyl,
bromide, and sulfonyl fluoride) and has great potential to function as a tris
electrophile and as a sulfur(vi) fluoride exchange (SuFEx) clickable material to
enrich the SuFEx tool cabinet. The application of this reagent for regioselective
synthesis of 5-sulfonylfluoro isoxazoles has been realized through a [3+2]
cycloaddition with N-hydroxybenzimidoyl chlorides. This practical protocol
provides a general and direct route to functionalized isoxazoles possessing
sulfonyl fluoride moieties.
PMID- 29658036
TI - Spirocyclic germanes via transannular insertion reactions of vinyl germylenes
into Si-Si bonds.
AB - The reactions of two cyclic germylene phosphane adducts with monosubstituted
acetylenes caused the formation of spirocyclic germanes, which is postulated to
occur by double acetylene insertion into germylene attached bonds. Further
insertion of the formed cyclic divinylgermylene into transannular Si-Si or Si-Ge
bonds provides the spirocyclic germanes. Thermal treatment of two
germacyclopropenes, formed by the reaction of the two cyclic germylene phosphane
adducts with tolane, also produced spirocyclogermanes. The structures of the
latter require, however, a more complicated mechanistic proposal.
PMID- 29658037
TI - Phase diagram and oxygen-vacancy ordering in the CeO2-Gd2O3 system: a theoretical
study.
AB - We present the phase diagram of Ce1-xGdxO2-x/2 (CGO), calculated by means of a
combined Density Functional Theory (DFT), cluster expansion and lattice Monte
Carlo approach. We show that this methodology gives reliable results for the
whole range of concentrations (x = xGd <= 1). In the thermodynamic equilibrium,
we observe two transitions: the onset of oxygen-vacancy (O-Va) ordering at ca.
1200-3300 K for concentrations xGd = 0.3-1, and a phase separation into CeO2 and
C-type Gd2O3 occurring below ca. 1000 K for all concentrations. We also model
'quenched' systems, with cations immobile below 1500 K, and observe that the
presence of random-like cation configurations does not prevent C-type vacancy
ordering. The obtained transition temperatures for Va ordering agree rather well
with existing experimental data. We analyse the effect of vacancy ordering and
composition on the lattice parameters and relaxation pattern of cations.
PMID- 29658038
TI - A giant 90-nucleus silver cluster templated by hetero-anions.
AB - A 90-nucleus silver cluster (SD/Ag38) cocapped by tBuC6H4S- and PhCOO- ligands
was synthesized using hetero-anion template method. Single-crystal X-ray
diffraction (SCXRD) revealed a 90-nucleus metallic dumbbell-like core constructed
by two large W5O19@Ag38 heads sandwiching one slender (SO4)2@Ag14 waist. Both
W5O198- and SO42- templates were in situ generated and synergistically induced
the formation of the largest known anion-templated silver cluster. Although
SD/Ag38 is emission-silent at room temperature, increasingly brighter near
infrared (NIR) emission at ca. 742 nm was detected on cooling from 293 to 93 K.
PMID- 29658040
TI - Enhancing radical molecular beams by skimmer cooling.
AB - A high-intensity supersonic beam source has been a key component in studies of
molecular collisions, molecule-surface interaction, chemical reactions, and
precision spectroscopy. However, the molecular density available for experiments
in a downstream science chamber is limited by skimmer clogging, which constrains
the separation between a valve and a skimmer to at least several hundred nozzle
diameters. A recent experiment (Sci. Adv., 2017, 3, e1602258) has introduced a
new strategy to address this challenge: when a skimmer is cooled to a temperature
below the freezing point of the carrier gas, skimmer clogging can be effectively
suppressed. We go beyond this proof-of-principle work in several key ways.
Firstly, we apply the skimmer cooling approach to discharge-produced radical and
metastable beams entrained in a carrier gas. We also identify two different
processes for skimmer clogging mitigation-shockwave suppression at temperatures
around the carrier gas freezing point and diffusive clogging at even lower
temperatures. With the carrier clogging removed, we now fully optimize the
production of entrained species such as hydroxyl radicals, resulting in a gain of
30 in density over the best commercial devices. The gain arises from both
clogging mitigation and favorable geometry with a much shorter valve-skimmer
distance.
PMID- 29658039
TI - Enantioselective palladium-catalyzed allylic alkylation reactions in the
synthesis of Aspidosperma and structurally related monoterpene indole alkaloids.
AB - Covering: up to the end of 2017 Enantioselective Pd-catalyzed allylic alkylations
of prochiral enolates represent a powerful tool for the construction of all
carbon quaternary stereocenters. This review describes the emergence of such
reactions as strategic linchpins that enable efficient, stereocontrolled
syntheses of Aspidosperma and related monoterpene indole alkaloids.
PMID- 29658041
TI - Real-time monitoring of the Trojan-horse effect of silver nanoparticles by using
a genetically encoded fluorescent cell sensor.
AB - Silver nanoparticles (AgNPs) are widely incorporated into commercial products due
to their antimicrobial properties. As a consequence, concerns about the adverse
effects induced by AgNPs to humans and the environment need to be carefully
examined. The existing literature reveals that AgNPs exhibit certain toxic
effects, but it remains to be proved whether AgNPs or the ionic silver (Ag+)
released from AgNPs are the main toxic species. Here, a genetically encoded
fluorescent protein sensor with high affinity to Ag+ was developed. The resulting
sensor, MT2a-FRET, was found to be ratiometric, sensitive and selective toward
only Ag+ but inert against AgNPs. This makes this sensor a potential useful tool
for monitoring the real-time intracellular dissolutions of AgNPs. Our data
supported that AgNPs display the "Trojan-horse" mechanism, where AgNPs are
internalized by cells and undergo dissolution intracellularly. We further found
that cells exhibited a detoxification ability to remove active Ag+ from cells in
48 hours.
PMID- 29658042
TI - A pair of new chiral polyoxovanadates with decent NLO properties.
AB - Two pure enantiomers of polyoxovanadate clusters [(l-/d-C4O6H2)2V4O8].2C6N2H18
(abbreviated as 1-L and 1-D) have been synthesized by a two-step method. During
the two-step synthesis process, we first carried out the solvothermal synthesis
and then evaporated the solvent, ending up with orange-yellow crystals. The
unique chiral architectures are built up by a single chiral ligand (tartaric
acid) and polyoxovanadium. The chiralities of the products were confirmed by
circular dichroism (CD) spectra. Due to the presence of protonated free
tetramethyl ethylenediamine (TMEDA) cations, the adjacent clusters and TMEDA
cations can be connected by hydrogen-bonding interactions. The {V4} cluster
linked adjacent clusters via hydrogen bonds to form a 3D supramolecular network.
The open aperture Z-scan spectra of two compounds show that molecular TPA cross
section sigma of 1-L and 1-D are 1412 and 1094 GM, respectively, which illustrate
that they feature decent third-order NLO properties and may have great potential
applications as NLO materials.
PMID- 29658043
TI - In situ follow-up of hybrid alginate-silicate microbeads formation by linear
rheology.
AB - Hybrid alginate-silicate microbeads of about 10-20 MUm were synthesized by
combining alginate crosslinking, silica condensation in a one pot approach using
a food grade emulsion as template. A fine tuning of the formulation composition
(alginate, silica and calcium sources) is necessary in order to obtain core-shell
microbeads instead of unshaped and irregular fragments or even perforated
spherical beads. Importantly, in situ linear rheology provides insights into the
reaction mechanism as a result of the rheological fingerprint profile obtained
during beads formation.
PMID- 29658044
TI - Unique and contrasting structures of homoleptic lanthanum(iii) and cerium(iii)
3,5-dimethylpyrazolates.
AB - Homoleptic [La(Me2pz)3]n (Me2pz = 3,5-dimethylpyrazolato) is a MU-eta2:eta5-Me2pz
coordination polymer with 12-coordinate La atoms in an unusual eta5:eta5 Me2pz
sandwich, whilst the cerium congener forms a molecular tetrametallic cage
[Ce4(Me2pz)12] featuring six different Me2pz coordination modes.
PMID- 29658045
TI - Potential of Borojoa patinoi Cuatrecasas water extract to inhibit nosocomial
antibiotic resistant bacteria and cancer cell proliferation in vitro.
AB - Borojo (Borojoa patinoi Cuatrec.) is a fruit used in Colombian traditional
medicine with supposed antihypertensive, antitumoral, diuretic, healing,
immunological, anti-inflammatory and aphrodisiac effects. To explore the relative
merits in terms of biological activities of borojo aqueous extract (BAE), we
investigated in vitro its antimicrobial activity on nosocomial pathogenic and
multidrug resistant (MDR) strains of Pseudomonas aeruginosa (6), Staphylococcus
aureus (1) and Candida species (6), as well as its cytotoxicity on human
conjunctive Wong-Kilbourne derivative (WKD) cells and Caco-2 cells from
heterogeneous human epithelial colorectal adenocarcinoma. The bacteriostatic
activity was observed overall on P. aeruginosa strains, as evidenced by the
increase of the lag phase (43 hours) and reduction of the maximum growth rate
detected using 187.5 mg BAE per mL. The bactericidal activity, instead, was
observed at 375 mg BAE per mL. On the other hand, BAE showed an anti
proliferative effect against the Caco-2 cell line and was shown to be toxic on
the WKD cell line at concentrations ranging from 0.05 to 187.5 MUg mL-1. The
analysis of the phenolic fraction of the fruit aqueous extract (BAE) using UHPLC
MS/MS showed the presence of 26 compounds, with vanillic, syringic and o-coumaric
acids as the most abundant. Among these molecules, 7.81 ng mL-1 luteolin and
myricetin, singly tested, were able to reduce bacterial growth. To the best of
our knowledge, we are unaware of any previous studies demonstrating the anti
bacterial activity of borojo aqueous extract against antibiotic resistant strains
of P. aeruginosa, and its anti-proliferative effect against WKD and Caco-2 cell
lines. The latter result offers a potential base for new interest and
investigations in relation to colon carcinoma models and borojo fruit
consumption, since in Colombia this fruit is consumed also for its supposed
antitumoral effects.
PMID- 29658046
TI - One-dimensional hierarchical MoO2-MoSx hybrids as highly active and durable
catalysts in the hydrogen evolution reaction.
AB - The hydrogen evolution reaction (HER) is a fundamental process in
electrocatalysis and plays an important role in energy conversion through water
splitting to produce hydrogen. Finding highly effective and scalable HER
catalysts is becoming a very urgent task. In this work, we developed a facile
method to synthesize a one-dimensional hierarchical MoO2-MoSx hybrid
electrocatalyst via the calcination of a one-dimensional (1D) organic-inorganic
MoO3-EDA precursor. The obtained MoO2-MoSx hybrids deliver a low onset potential
of 155 mV, a low Tafel slope of 51.6 mV dec-1 and excellent cycling stability in
acidic electrolytes, suggesting high electrocatalytic activity. Furthermore, MoO2
MoS2 exhibited high cycling stability even after 10 h of continuous operation
under high overpotential; the current density still remained unchanged. The
enhanced HER performances are likely due to high conductivity and more exposed
active sites and the effective integration of MoO2 and MoSx. In a word, these
results fully demonstrated that 1D nanostructured MoO2-MoSx hybrid materials may
have great potential in future clean energy applications.
PMID- 29658047
TI - A structural comparison of casein micelles in cow, goat and sheep milk using X
ray scattering.
AB - The casein micelle is a flexible construct, with its key structural components
being casein proteins and colloidal calcium phosphate nanoclusters. According to
literature, milk from different species exhibits differences in composition and
physicochemical properties. X-ray scattering techniques were used to investigate
and compare the nanoscale structure of casein micelles present in cow, goat and
sheep milk. Although there were differences in the size and density of larger
scale protein structures, at an atomic level the protein structures were similar.
There were also strong similarities in the structure of the calcium-containing
nanoclusters, namely that they had similar sizes and separations within the
casein micelle for all three species.
PMID- 29658048
TI - Chromium chains as polydentate fluoride ligands for actinides and group IV
metals.
AB - The reactions of {Cr6} horseshoe chains {[nPr2NH2]3[Cr6F11(O2CtBu)10]}2, 1 and
precursors of actinides and group IV metals led to a series of ring complexes
[nPr2NH2][Cr7TiF6O2(O2CtBu)16], 2, [nPr2NH2][Cr6Ti2F5O3(O2CtBu)16], 3,
[Cr6ThF7(O2CtBu)15 (Me2SO)], 4, [(nPr2NH2)2(Cr6Th2F12(O2CtBu)16)], 5 and
[nPr2NH2][Cr6U2O2F8(O2CtBu)16(Me2SO)], 6. X-ray structure studies indicate that
the {Cr6} chains maintain their structures in these complexes, acting as
polydentate fluoride ligands. Their static magnetic properties were measured and
fitted by isotropic exchange Hamiltonian. In accordance with 1, the magnetic
exchanges between CrIII are antiferromagnetic, while the exchange interactions
can be modified by the tetravalent metals. For compound 6, ferromagnetic
exchanges JCr-U and JU-U are obtained. EPR spectra of compounds 2-5 were measured
at Q band and were simulated. The spectrum of 2 has the same profile as {Cr7Cd}
and {Cr7Zn} rings with a ground state S = 3/2. 3, 4 and 5 give similar EPR
spectra with S = 0 ground states.
PMID- 29658049
TI - A simple microfluidic platform for rapid and efficient production of the
radiotracer [18F]fallypride.
AB - Herein, we report the development of a simple, high-throughput and efficient
microfluidic system for synthesizing radioactive [18F]fallypride, a PET imaging
radiotracer widely used in medical research. The microfluidic chip contains all
essential modules required for the synthesis and purification of radioactive
fallypride. The radiochemical yield of the tracer is sufficient for multiple
animal injections for preclinical imaging studies. To produce the on-chip
concentration and purification columns, we employ a simple "trapping" mechanism
by inserting rows of square pillars with predefined gaps near the outlet of
microchannel. Microspheres with appropriate functionality are suspended in
solution and loaded into the microchannels to form columns for radioactivity
concentration and product purification. Instead of relying on complicated flow
control elements (e.g., micromechanical valves requiring complex external
pneumatic actuation), external valves are utilized to control transfer of the
reagents between different modules. The on-chip ion exchange column can
efficiently capture [18F]fluoride with negligible loss (~98% trapping
efficiency), and subsequently release a burst of concentrated [18F]fluoride to
the reaction cavity. A thin layer of PDMS with a small hole in the center
facilitates rapid and reliable water evaporation (with the aid of azeotropic
distillation and nitrogen flow) while reducing fluoride loss. During the solvent
exchange and fluorination reaction, the entire chip is uniformly heated to the
desired temperature using a hot plate. All aspects of the [18F]fallypride
synthesis were monitored by high-performance liquid chromatography (HPLC)
analysis, resulting in labelling efficiency in fluorination reaction ranging from
67-87% (n = 5). Moreover, after isolating unreacted [18F]fluoride, remaining
fallypride precursor, and various by-products via an on-chip purification column,
the eluted [18F]fallypride is radiochemically pure and of a sufficient quantity
to allow for PET imaging (~5 mCi). Finally, a positron emission tomography (PET)
image of a rat brain injected with ~300 MUCi [18F]fallypride produced by our
microfluidic chip is provided, demonstrating the utility of the product produced
by the microfluidic reactor. With a short synthesis time (~60 min) and a highly
integrated on-chip modular configuration that allows for concentration, reaction,
and product purification, our microfluidic chip offers numerous exciting
advantages with the potential for applications in radiochemical research and
clinical production. Moreover, due to its simplicity and potential for
automation, we anticipate it may be easily integrated into a clinical
environment.
PMID- 29658050
TI - Aggregation Pheromone of the Bearded Weevil, Rhinostomus barbirostris
(Coleoptera: Curculionidae): Identification, Synthesis, Absolute Configuration
and Bioactivity.
AB - The bearded weevil, Rhinostomus barbirostris (Coleoptera: Curculionidae:
Dryophthorinae), attacks coconut trees, oil palms and other species of Arecaceae.
Besides direct damage, R. barbirostris may be a vector of diseases in coconut and
oil palms, such as stem bleeding (resinosis) and red ring disease. Currently, the
only method to control this weevil is by visual observation of damage and removal
of infected plants. Semiochemical-based trapping could improve the effectiveness
of monitoring and management of R. barbirostris. In comparisons of volatiles
released by R. barbirostris males and females by gas chromatography (GC) two male
specific compounds were observed. GC-mass spectrometry (MS) and GC-Fourier
transform-infrared (FTIR) analyses of the natural compounds suggested these were
diastereoisomers of 5-hydroxy-4-methylheptan-3-one, also known as sitophilure, a
pheromone component of other dryophthorine species. Synthesis of the mixture of
all four stereoisomers of sitophilure was performed in two steps, and the
chemical structures were confirmed by comparing GC retention times and MS and
FTIR spectra of natural and synthetic compounds. The absolute configurations of
the two male-specific compounds were elucidated by enantioselective GC; the major
component was the (4S,5R)-isomer, and the minor component (4S,5S)-sitophilure. In
analyses by GC-electroantennography (EAG) the antennae of male and female R.
barbirostris only responded to the (4S,5R)-isomer of the synthetic sitophilure.
The stereoisomeric mixture of sitophilure was attractive to both sexes of R.
barbirostris in laboratory experiments in the presence of sugar cane volatiles,
and a similar result was obtained in a preliminary field trapping test.
PMID- 29658051
TI - Strategies to enhance the production of pinoresinol and its glucosides by
endophytic fungus (Phomopsis sp. XP-8) isolated from Tu-chung bark.
AB - To improve the production yield of (+)-pinoresinol (Pin), (+)-pinoresinol
monoglucoside (PMG), and (+)-pinoresinol diglucoside (PDG), different methods
were conducted, including co-culture with resveratrol-producing Alternaria sp.
MG1 spores and addition of Tu-chung in a medium at the start of cultivation,
ultrasound treatment (40 kHZ, 10 min) on 5-day culture, and addition of ethanol
and sodium butyrate on Day 3, followed by cultivation for an additional period of
2 days. At the end of the cultivation period (5 days), the liquid phase was
collected for product analysis. Cells were collected for the determination of
gene expression levels and then used in bioconversion using resting cells for
another period of 2 days. The liquid phase was measured to determine the output
of the target products and the expression levels of the key genes related to the
biosynthesis of these compounds. Consequently, co-culture with Alternaria MG1 and
addition of Tu-chung bark in the medium efficiently increased Pin, PMG, and PDG
production yield in the biosynthesis systems using potato dextrose broth medium
and resting cells of Phomopsis sp. XP-8. The key genes related to the
biosynthesis of these compounds were significantly upregulated. However, in the
majority of cases, the addition of ethanol and sodium butyrate, and ultrasound
treatment decreased the production yield of Pin, PMG, and PDG. The change in
production yield was not consistently accompanied by a change in gene expression.
PMID- 29658052
TI - Reduced alphaGlcNAc glycosylation on gastric gland mucin is a biomarker of
malignant potential for gastric cancer, Barrett's adenocarcinoma, and pancreatic
cancer.
AB - Gastric gland mucin secreted from pyloric gland cells, mucous neck cells, and
cardiac gland cells of the gastric mucosa harbors unique O-glycans carrying
terminal alpha1,4-linked N-acetylglucosamine residues (alphaGlcNAc), which are
primarily attached to the scaffold mucin core protein MUC6. alphaGlcNAc acts as
an antibiotic against Helicobacter pylori (H. pylori), a microbe causing gastric
cancer. In addition, mice deficient in A4gnt, which encodes the enzyme alpha1,4-N
acetylglucosaminyltransferase (alpha4GnT) that catalyzes alphaGlcNAc
biosynthesis, spontaneously develop gastric differentiated-type adenocarcinoma,
even if not infected by H. pylori. Thus, alphaGlcNAc prevents gastric cancer as
both an antibiotic and a tumor suppressor (Nakayama in Acta Histochem Cytochem
47:1-9, 2014b). Indeed, in humans alphaGlcNAc loss on MUC6 in differentiated-type
adenocarcinoma is closely associated with poor patient prognosis (Shiratsu et al.
in Cancer Sci 105:126-133, 2014). Recently, we reported reduced alphaGlcNAc
expression on MUC6 in both pyloric gland adenoma of the stomach and chronic
atrophic gastritis, in Barrett's esophagus, and in pancreatic intraductal
papillary-mucinous neoplasm (IPMN)/pancreatic intraepithelial neoplasia (PanIN),
all potentially premalignant conditions. This review discusses whether relatively
reduced levels of alphaGlcNAc in these lesions could serve as a biomarker to
predict malignant potential and cancer progression.
PMID- 29658053
TI - Flow and air conditioning simulations of computer turbinectomized nose models.
AB - Air conditioning for the human respiratory system is the most important function
of the nose. When obstruction occurs in the nasal airway, turbinectomy is used to
correct such pathology. However, mucosal atrophy may occur sometime after this
surgery when it is overdone. There is not enough information about long-term
recovery of nasal air conditioning performance after partial or total surgery.
The purpose of this research was to assess if, based on the flow and
temperature/humidity characteristics of the air intake to the choana, partial
resection of turbinates is better than total resection. A normal nasal cavity
geometry was digitized from tomographic scans and a model was printed in 3D.
Dynamic (sinusoidal) laboratory tests and computer simulations of airflow were
conducted with full agreement between numerical and experimental results.
Computational adaptations were subsequently performed to represent six
turbinectomy variations and a swollen nasal cavity case. Streamlines along the
nasal cavity and temperature and humidity distributions at the choana indicated
that the middle turbinate partial resection is the best alternative. These
findings may facilitate the diagnosis of nasal obstruction and can be useful both
to plan a turbinectomy and to reduce postoperative discomfort. Graphical Abstract
?.
PMID- 29658054
TI - Special issue on cognitive robotics.
PMID- 29658055
TI - Salvage chemotherapy with the combination of oxaliplatin, leucovorin, and 5
fluorouracil in advanced gastric cancer refractory or intolerant to
fluoropyrimidines, platinum, taxanes, and irinotecan.
AB - BACKGROUND: Although nivolumab showed survival benefit in patients with advanced
gastric cancer (AGC) progressing after standard chemotherapy, there is a lack of
data regarding oxaliplatin-based chemotherapy in this clinical setting. METHODS:
We retrospectively evaluated the efficacy and safety of oxaliplatin with l
leucovorin and bolus/continuous infusion of 5-fluorouracil as salvage treatment
in patients with AGC refractory or intolerant to fluoropyrimidines, cisplatin,
taxanes, and irinotecan. RESULTS: Overall, 50 patients treated between December
2009 and December 2013 were included in this analysis. The overall response rate
(ORR) was 21.2% among 33 patients with measurable disease. The median time to
treatment failure (TTF) and overall survival (OS) were 2.4 and 4.2 months. In
multivariate analysis, factors associated with OS included poor performance
status [hazard ratio (HR) 3.20; 95% confidence interval (CI) 1.55-6.60], shorter
time from the start of first-line therapy (HR 2.20; 95% CI 1.18-4.12), and higher
neutrophil/lymphocyte ratio value (HR 4.87; 95% CI 2.32-10.25). In patients (n =
35) with at most one risk factor, the ORR, median TTF, and OS were 26.1%, 3.6,
and 6.7 months, respectively. The most common grade 3 or 4 adverse events were
neutropenia (30%), anemia (22%), febrile neutropenia (8%), and peripheral
neuropathy (8%). Initial and subsequent dose reduction was performed in 18 (36%)
and 23 (46%) patients. There was one treatment-related death caused by septic
infection. CONCLUSIONS: Salvage chemotherapy with the combination of oxaliplatin,
leucovorin, and 5-fluorouracil has a potential activity and is tolerable for
heavily treated AGC with appropriate dose modification and patient selection.
PMID- 29658056
TI - Bone toughening through stress-induced non-collagenous protein denaturation.
AB - Bone toughness emerges from the interaction of several multiscale toughening
mechanisms. Recently, the formation of nanoscale dilatational bands and hence the
accumulation of submicron diffuse damage were suggested as an important energy
dissipation processes in bone. However, a detailed mechanistic understanding of
the effect of this submicron toughening mechanism across multiple scales is
lacking. Here, we propose a new three-dimensional ultrastructure volume element
model showing the formation of nanoscale dilatational bands based on stress
induced non-collagenous protein denaturation and quantify the total energy
released through this mechanism in the vicinity of a propagating crack. Under
tensile deformation, large hydrostatic stress develops at the nanoscale as a
result of local confinement. This tensile hydrostatic stress supports the
denaturation of non-collagenous proteins at organic-inorganic interfaces, which
leads to energy dissipation. Our model provides new fundamental understanding of
the mechanism of dilatational bands formation and its contribution to bone
toughness.
PMID- 29658057
TI - Combined effects of rat Schwann cells and 17beta-estradiol in a spinal cord
injury model.
AB - Spinal cord injury (SCI) is a devastating traumatic event which burdens the
affected individuals and the health system. Schwann cell (SC) transplantation is
a promising repair strategy after SCI. However, a large number of SCs do not
survive following transplantation. Previous studies demonstrated that 17beta
estradiol (E2) protects different cell types and reduces tissue damage in SCI
experimental animal model. In the current study, we evaluated the protective
potential of E2 on SCs in vitro and investigated whether the combination of
hormonal and SC therapeutic strategy has a better effect on the outcome after
SCI. Primary SC cultures were incubated with E2 for 72 h. In a subsequent
experiment, thoracic contusion SCI was induced in male rats followed by sustained
administration of E2 or vehicle. Eight days after SCI, DiI-labeled SCs were
transplanted into the injury epicenter in vehicle and E2-treated animals. The
combinatory regimen decreased neurological and behavioral deficits and protected
neurons and oligodendrocytes in comparison to vehicle rats. Moreover, E2 and SC
significantly decreased the number of Iba-1+ (microglia) and GFAP+ cells
(astrocyte) in the SCI group. In addition, we found a significant reduction of
mitochondrial fission-markers (Fis1) and an increase of fusion-markers (Mfn1 and
Mfn2) in the injured spinal cord after E2 and SC treatment. These data
demonstrated that E2 protects SCs against hypoxia-induced SCI and improves the
survival of transplanted SCs.
PMID- 29658059
TI - Visceral fat area, not body mass index, predicts postoperative 30-day morbidity
in patients undergoing colon resection for cancer.
AB - PURPOSE: Colectomy for cancer in obese patients is technically challenging and
may be associated with worse outcomes. Whether visceral obesity, as measured on
computed tomography, is a better predictor of complication than body mass index
(BMI) or determines long-term oncologic outcomes has not been well characterized.
This study examines the association between derived anthropometrics and
postoperative complication and long-term oncologic outcomes. METHODS:
Retrospective review of patients undergoing elective colectomy for cancer at a
single tertiary-care center from 2010 to 2016. Adipose tissue distribution
measurements, including visceral fat area (VFA), were determined from
preoperative imaging. The primary outcome was 30-day postoperative complication;
secondary outcomes included overall and disease-free survival. Multivariable
logistic regression was performed to determine association between obesity
metrics and outcome. RESULTS: Two hundred and sixty-four patients underwent 266
primary resections of colon cancer. Twenty-eight patients (10.5%) developed major
morbidity (Clavien-Dindo grade >= III). VFA but not BMI was significantly
associated with morbidity in multivariate analysis (p = 0.004, odds ratio 1.99,
95% confidence interval 1.25-3.19). No other imaging-derived anthropometric was
associated with increased morbidity. In receiver operating characteristic
analysis, VFA was predictive of major morbidity (area under curve 0.660). A
cutoff value of VFA >= 191 cm2 was associated with 50% sensitivity and 76%
specificity for predicting major morbidity. Patients with VFA >= 191cm2 had 19.4%
risk of morbidity, whereas those with < 191 cm2 had 7.2% risk (relative risk
ratio 2.69, unadjusted p = 0.004). Neither VFA nor BMI was associated with
overall or disease-free survival. CONCLUSION: VFA but not BMI predicts morbidity
following elective surgery for colon cancer.
PMID- 29658060
TI - Decompressive craniectomy in traumatic brain injury-the discussion must continue.
PMID- 29658061
TI - Tapping the Bioactivity Potential of Residual Stream from Its Pretreatments May
Be a Green Strategy for Low-Cost Bioconversion of Rice Straw.
AB - In this study, it was found that the residual stream from pretreatments of rice
straw exhibited high antioxidant activity. Assays based on the Folin-Ciocalteu
colorimetric method confirmed that the residual stream contained large amounts of
phenolic compounds. Three antioxidant assays were employed to evaluate the
bioactivity of the residual stream. Strong linear correlations existed among the
release of phenolic compounds, saccharification efficiency, and antioxidant
activity. The alkaline pretreatment provided a much greater release of phenolic
compounds, especially phenolic acids, compared to the acid pretreatment, and
consequently, it had stronger linear correlations than the acid pretreatment.
Antibacterial experiments demonstrated the ability of the phenolic compounds in
the residual stream to inhibit the growth of microorganisms, indicating the
potential of these compounds as antimicrobial agents. To discuss the possibility
of the co-production of antimicrobial agents and biofuels/biochemicals, both acid
and alkaline pretreatments were optimized using response surface methodology.
Under the optimal conditions, 285.7 g glucose could be produced from 1 kg rice
straw with the co-production of 3.84 g FA and 6.98 g p-CA after alkaline
pretreatment. These results show that the recovery of phenolic compounds from the
residual stream could be a green strategy for the low-cost bioconversion of rice
straw.
PMID- 29658063
TI - Effects of Modified Palygorskite Supplementation on Egg Quality and Mineral
Element Content, and Intestinal Integrity and Barrier Function of Laying Hens.
AB - This study was conducted to investigate effects of modified palygorskite (MPal)
supplementation on the laying performance, egg quality and mineral element
content, immunity, oxidative status, and intestinal integrity and barrier
function of laying hens. A total of 360 52-week-old Hyline Brown hens were
randomly assigned into four dietary treatments for a 7-week feeding trial. The
birds were fed a basal diet supplemented with 0 (control group), 0.25, 0.5, and 1
g/kg MPal, respectively. The supplementation of MPal did not alter laying
performance and egg quality among groups. Compared with the control group, MPal
inclusion decreased lead (Pb) content in yolks at 49 days, and either 0.5- or 1
g/kg MPal supplementation decreased Pb accumulation in yolks at 25 days and
manganese (Mn) accumulation in yolks at 25 and 49 days. The contents of jejunal
secretory immunoglobulin A (SIgA), ileal SIgA, and immunoglobulin G were
decreased by the dietary 0.5-g/kg MPal supplementation. The supplementation of
MPal also decreased malondialdehyde content in jejunum and ileum, and decreased
serum diamine oxidase activity of the laying hens at 25 and 49 days. The
inclusion of 0.5 and 1 g/kg MPal enhanced villus height in jejunum and ileum, and
also increased the ratio of villus height to crypt depth in ileum. In conclusion,
MPal supplementation decreased Pb and Mn contents in yolks, and exhibited
beneficial effects on the intestinal immunity, oxidative status, and intestinal
integrity and barrier function of laying hens and its optimal dosage was 0.5
g/kg.
PMID- 29658062
TI - Mental health insurance access and utilization among childhood cancer survivors:
a report from the childhood cancer survivor study.
AB - PURPOSE: To describe and compare the prevalence of mental health access,
preference, and use among pediatric cancer survivors and their siblings. To
identify factors associated with mental health access and use among survivors.
METHODS: Six hundred ninety-eight survivors in the Childhood Cancer Survivor
Study (median age = 39.4; median years from diagnosis = 30.8) and 210 siblings
(median age = 40.4) were surveyed. Outcomes included having mental health
insurance coverage, delaying care due to cost, perceived value of mental health
benefits, and visiting a mental health provider in the past year. RESULTS: There
were no differences in mental health access, preferences, and use between
survivors and siblings (p > 0.05). Among respondents with a history of distress,
most reported not having seen a mental health provider in the past year (80.9%
survivors vs. 77.1% siblings; p = 0.60). Uninsured survivors were more likely to
defer mental health services due to cost (24.6 vs. 8.4%; p < 0.001). In
multivariable models, males (OR = 2.96) and survivors with public (OR = 6.61) or
employer-sponsored insurance (ESI; OR = 14.37) were more likely to have mental
health coverage. CONCLUSIONS: Most childhood cancer survivors value having mental
healthcare benefits; however, coverage and use of mental health services remain
suboptimal. The most vulnerable of survivors, specifically the uninsured and
those with a history of distress, are at risk of experiencing challenges
accessing mental health care. IMPLICATIONS FOR CANCER SURVIVORS: Childhood cancer
survivors are at risk for experiencing high levels of daily life stress that is
compounded by treatment-related sequelae. Integrative, system-based approaches
that incorporate financial programs with patient education about insurance
benefits can help reduce some of the financial barriers survivors face.
PMID- 29658064
TI - Allele frequencies and forensic genetic parameters of 10 supplementary and two
CODIS loci in a Japanese population genotyped using an Investigator HDplex Kit.
AB - A total of 344 unrelated Japanese adults were genotyped to determine allele
frequencies and evaluate forensic parameters for 10 autosomal supplementary non
CODIS loci and 2 autosomal CODIS loci using an Investigator(r) HDplex Kit for
complex relationship testing.
PMID- 29658065
TI - Humification process in different kinds of organic residue by composting and
vermicomposting: have microbioreactors really accelerated the process?
AB - The organic matter existing in nature presents as a complex system of various
substances. The humic fraction refers to the humic substances (HS) and consists
of humic acids (HA), fulvic acids (FA), and humins, according to solubility in
aqueous solution. The physical and chemical characteristics of HA, FA, and humins
depend on many factors, among which is the type of original organic material. Two
processes for the stabilization of organic materials are known worldwide:
composting and vermicomposting. Cattle manure, rice straw, sugarcane bagasse, and
vegetable wastes from leaves were the organic residues chosen for the composting
and vermicomposting processes. In this study, the differences between the HS
extracted from such composted and vermicomposted residues were evaluated. The so
extracted HS were evaluated by spectroscopy in the regions of infrared and
ultraviolet-visible, and pyrolysis coupled with gas chromatography with mass
spectrometric detection is applied. Thus, we expect that the results obtained
here indicate which of the two processes is more efficient in the
biotransformation of organic residues in a short period with respect to the HS
content. It was also observed that the basic units of the humic fractions
generated (although they presented different degrees of maturation) are the same.
Altogether, the data reported here bring to light that the structures of the HS
are very similar, differing in quantities. These results can still be
extrapolated to several other raw materials, since the most variable organic
matrices were used here to allow this data extrapolation. In addition, the
process seems to lead to the formation of more aliphatic substances,
counterpoising what is found in the literature.
PMID- 29658066
TI - The effect of simulated acid rain on the stabilization of cadmium in contaminated
agricultural soils treated with stabilizing agents.
AB - Stabilization technology is one of widely used remediation technologies for
cadmium (Cd)-contaminated agricultural soils, but stabilized Cd in soil may be
activated again when external conditions such as acid rain occurred. Therefore,
it is necessary to study the effect of acid rain on the performance of different
stabilizing agents on Cd-polluted agriculture soils. In this study, Cd
contaminated soils were treated with mono-calcium phosphate (MCP), mono-ammonium
phosphate (MAP), and artificial zeolite (AZ) respectively and incubated 3 months.
These treatments were followed by two types of simulated acid rain (sulfuric acid
rain and mixed acid rain) with three levels of acidity (pH = 3.0, 4.0, and 5.6).
The chemical forms of Cd in the soils were determined by Tessier's sequential
extraction procedure, and the leaching toxicities of Cd in the soils were
assessed by toxicity characteristic leaching procedure (TCLP). The results show
that the three stabilizing agents could decrease the mobility of Cd in soil to
some degree with or without simulated acid rain (SAR) treatment. The
stabilization performances followed the order of AZ < MAP < MCP. Acid rain
soaking promoted the activation of Cd in stabilized soil, and both anion
composition and pH of acid rain were two important factors that influenced the
stabilization effect of Cd.
PMID- 29658067
TI - Effect of mono and divalent salts on the conformation and composition of a humic
acid and on atrazine adsorption.
AB - We investigated the effects of sodium and calcium chlorides on the conformation
and composition of a purified Aldrich humic acid (PAHA), as well as on the
adsorption of atrazine. The PAHA was treated with 1, 10, and 100 mM NaCl, CaCl2,
or a mixture of NaCl and CaCl2 (molar ratio 5:1) at pH 7.5 and 8.5. The
conformation of treated PAHA was characterized by atomic force microscopy (AFM)
and transmission electron microscopy (TEM) and spectral changes of functional
groups of PAHA by Fourier transform infrared spectroscopy (FTIR). AFM and TEM
images showed an increase in the aggregation of the PAHA as salinity increased.
FTIR spectra revealed that changes in the aggregation of the PAHA were
principally due to the formation of bridged interactions between calcium and
carboxylate groups in the PAHA. The adsorption of atrazine on > 0.45 MUm PAHA
decreased as salt concentrations and pH increased. This reduction of atrazine
adsorption was explained by the decrease in available adsorption sites due to
agglomeration of PAHA.
PMID- 29658068
TI - Application of the Mahalanobis distance on evaluating the overall performance of
moving-grate incineration of municipal solid waste.
AB - In this study, there were 54 municipal solid waste (MSW) moving-grate
incineration power plants investigated in China. The flue gas emission data of
CO, NOx, SO2, HCl, and particles were collected as monthly means directly from
the plants for 12 consecutive months from 2011 to 2012, as well as the annual
cumulative consumption data of activated carbon, CaO/Ca(OH)2, and #0 diesel.
Eventually, 37 out of the 54 plants were evaluated on the overall performance
using the Mahalanobis distance. As a result, there were 31 total outliers
(potential errors or risks in the operation) detected from the flue gas emission
data in 9 out of 37 plants. The results revealed that the Mahalanobis distance
was an effective method to evaluate the overall performance of MSW moving-gate
incineration from the massive normal-looking flue gas emission data. It was also
illustrated that reducing the frequency of the load changes was more important
than reducing the magnitude of the load changes, especially in the range between
10 and 10% of the load changes. Furthermore, the average consumption of
activated carbon, CaO/Ca(OH)2, and #0 diesel in the MSW moving-grate incineration
power plants was 0.32 +/- 0.13 kg, 7.75 +/- 3.06 kg, and 0.15 +/- 0.12 kg per ton
of MSW, respectively.
PMID- 29658069
TI - Nine prophylactic polishing pastes: impact on discoloration, gloss, and surface
properties of a CAD/CAM resin composite.
AB - OBJECTIVES: To investigate discoloration reduction and changes of surface
properties of a CAD/CAM resin composite after 14 days' storage in red wine and
polishing with nine different prophylactic polishing pastes (PPPs). MATERIALS AND
METHODS: Rectangular discs (N = 172) were fabricated and polished (P4000) using
GC Cerasmart (GC Europe) to investigate different polishing protocols with 1-4
related descending PPPs (22 in total): Cleanic/CLE-Kerr, CleanJoy/CLJ-Voco, Clean
Polish/Super Polish/SPO-Kerr, Clinpro Prophy Paste/CPP-3M, Detartrine/DET
Septodont, Nupro/NUP-Dentsply Sirona, Prophy Paste CCS/CCS-Directa, Proxyt/PXT
Ivoclar Vivadent, and Zircate/ZIR Prophy Paste-Dentsply Sirona. Surface
properties (roughness values (RV)/Ra, Rz, Rv, surface free energy (SFE), surface
gloss (G), and discoloration (DeltaE)) were analyzed before and after storage and
additional polishing. Data were examined using Kolmogorov-Smirnov test, three-way
ANOVA followed by Tukey-B post hoc, Mann-Whitney U, and Kruskal-Wallis H tests
(alpha < 0.05). RESULTS: Regarding RV, CLE, followed by CCS, and CPP showed the
highest values; the lowest presented SPO and DET (p < 0.001). No impact of PPP
was observed on DeltaE values (p = 0.160). The lowest SFE presented DET, followed
by SPO; highest showed CCS followed by NUP and CPP (p < 0.001). Within G, lowest
values were observed for CLE and NUP, followed by CCS, ZIP, and CLJ (p < 0.001);
the highest presented SPO (p < 0.001). Polishing showed generally a positive
impact on SFE values (p < 0.001-p = 0.007), except ZIP (p = 0.322) and CLE (p =
0.083). G increased and RV decreased after polishing (p < 0.001), except SPO,
with no significant change for G (p = 0.786). CONCLUSIONS: Polishing with PPPs
improves the surface properties and is generally recommended. The choice of PPP
has a minor role in removing discolorations. Multi-step systems should be carried
out conscientiously. CLINICAL RELEVANCE: The proper selection of PPP is essential
for the clinical outcome of surface properties of prosthetic restorations. Not
every polishing paste leads to the same final surface quality.
PMID- 29658070
TI - Effect of photobiomodulation therapy on postoperative pain after endodontic
treatment: a randomized, controlled, clinical study.
AB - OBJECTIVE: The aim of this prospective, randomized, clinical study was to assess
the effect of photobiomodulation therapy (PBM) with low-level laser irradiation
(LLLI) on postoperative pain after endodontic treatment. MATERIALS AND METHODS:
Sixty patients, diagnosed with irreversible pulpitis in lower molar teeth,
participated in the study. All treatments were performed by a single operator.
Participants were randomly divided into two groups: in the experimental group
(EG), endodontic treatment was performed with a reciprocating system, immediately
followed by PBM with LLLI; and only endodontic treatment was performed in the
control group (CG). Postoperative pain was assessed by a second examiner, who was
blinded, using two scales: verbal rating scale (VRS) and numerical rating scale
(NRS). Assessment was carried out at 6, 12, and 24 h after treatment. Data were
analyzed using chi-squared, Fisher's exact, Mann-Whitney tests, ordinal, and non
parametric regression analyses. RESULTS: For the prevalence of pain, the
difference between the groups was significant for the evaluations performed after
6 h (p = 0.04) and 24 h (p = 0.02). The difference after 24 h remained
significant after stratification by sex and extrusion of filling material.
Increased pain intensity was associated with extrusion of root canal filling
material to the periapical region in the two scales used. CONCLUSION: The effect
of PBM therapy after endodontic treatment showed a significant decreasein
prevalence of postoperative pain. CLINICAL RELEVANCE: The PBM reduces the
prevalence of postoperative pain and may benefit patients who need endodontic
treatment.
PMID- 29658071
TI - Pathological Remodeling of the Myocardium in Chronic Heart Failure: Role of PGC
1alpha.
AB - Pathological remodeling of the myocardium in chronic heart failure includes the
development of pathological cardiac hypertrophy, reactivation of the fetal
genetic program, and disorders in cardiac energy metabolism. Coactivator-1alpha
of receptor gamma activated by peroxisome proliferator (PGC-1alpha), a
transcription coactivator of nuclear receptors and metabolism master regulator,
plays an important role in cardiac metabolism regulation. Studies on the animals
models of chronic heart failure have demonstrated the development of pathological
cardiac hypertrophy, metabolic disorders, and reactivation of the fetal genetic
program; these processes are mutually related. An important role in regulation of
these processes belongs to PGC-1alpha; its low expression indicates low activity
and down-regulation of this coactivator. Pathological cardiac hypertrophy,
decrease of PGC-1alpha activity, and reactivation of the fetal genetic program in
chronic heart failure are demonstrated.
PMID- 29658072
TI - Circular RNA: New Regulatory Molecules.
AB - Circular RNA are a family of covalently closed circular RNA molecules, formed
from pre-mRNA of coding genes by means of splicing (canonical and alternative
noncanonical splicing). Maturation of circular RNA is regulated by cis- and trans
elements. Complete list of biological functions of these RNA is not yet compiled;
however, their capacity to interact with specific microRNA and play a role of a
depot attracts the greatest interest. This property makes circular RNA active
regulatory transcription factors. Circular RNA have many advantages over their
linear analogs: synthesis of these molecules is conservative, they are universal,
characterized by clearly determined specificity, and are resistant to
exonucleases. In addition, the level of their expression is often higher than
that of their linear forms. It should be noted that expression of circular RNA is
tissue-specific. Moreover, some correlations between changes in the repertoire
and intensity of expression of circular RNA and the development of some
pathologies have been detected. Circular RNA have certain advantages and can
serve as new biomarkers for the diagnosis, prognosis, and evaluation of response
to therapy.
PMID- 29658073
TI - Comparative Characteristics of Discrimination of S. enterica Isolates by
Phagotyping Test and Dienes Test.
AB - We propose an original methodological approach to discrimination of newly
isolated Salmonella enterica strains with the use of Dienes test. Dienes test is
used for identification of P. vulgaris and P. mirabilis strains. It consists in
growth suppression by mobile bacterial strain cultures and the formation of a
demarcation line (Dienes line) between the strains growing towards each other.
Similarities and differences between salmonella phagotyping method and Dienes
test-based discrimination of the strains are detected. The studied sample of
salmonellas was divided into 12 phagotypes. Cluster analysis has shown that most
of the salmonella strains could not be clusterized by both methods.
Discrimination by different methods has shown that the largest clusters contain
the same strains. Clusterization of salmonella strains by different methods shows
moderate congruency. Rand index used for comparison of the results of the sample
clusterization by different methods is 0.88. High heterogeneity of salmonella
strains is presumably explained by heterogeneity of antagonism factors within the
S. enterica species. Intraspecies antagonism is essential for limitation of the
horizontal gene transfer in closely related strains and for increase of the
genetic heterogeneity of salmonella population in the host.
PMID- 29658074
TI - Injection of Multipotent Mesenchymal Stromal Cells as a Cause of Hemorrhages in
the Regional Lymph Nodes: Experimental Study.
AB - Hemorrhagic changes after subcutaneous injection of autologous bone marrow
multipotent mesenchymal cells with transfected GFP gene and additionally stained
cell membranes to WAG rats in the projection of ligated femoral vein were studied
by fluorescent microscopy. Hemorrhages in tissues with experimental acute local
venous occlusion were caused by a combination of venous hypertension with
inflammation around the foreign body - the ligature used for ligation of the
vein. Fibrin found in tissues together with erythrocytes in the hemorrhages could
stimulate the formation of granulations and new vessels instead of damaged or
thrombosed ones. Multipotent mesenchymal stromal cells and their detritus getting
into the regional lymph nodes initiated immune reactions morphologically
confirmed by stubborn hypertrophy and hyperplasia of the lymphoid nodules,
hemorrhages, and manifest diapedesis of erythrocytes to the organ parenchyma and
sinus system.
PMID- 29658075
TI - Induced Pluripotent Stem Cell-Derived Hematopoietic Embryoid Bodies Secrete
Sphingosine-1-Phosphate and Revert Endothelial Injury.
AB - The possibility of sphingosine-1-phosphate production by induced pluripotent stem
cells is examined to assess their potential in treatment of sepsis. The
hematopoietic embryoid bodies were derived from the culture of 6-day-old
differentiated induced pluripotent stem cells. These embryoid bodies secreted
sphingosine-1-phosphate, an important bioactive lipid that regulates integrity of
the pulmonary endothelial barrier, prevents elevation of its permeability, and
impedes the formation of stress fibers in human endotheliocytes derived from
umbilical vein. The data attest to potentiality of induced pluripotent stem cells
in treatment of sepsis.
PMID- 29658076
TI - Expression of Bcl-2 Family Proteins in the Ovarian Follicular Apparatus in the
Acute Period after Experimental Hyperthermia.
AB - The expression of apoptosis regulators (proapoptotic protein Bad and anti
apoptotic protein Bcl-2) was analyzed and Bcl-2/Bad ratio in the follicular
apparatus of the rat ovary was determined on day 3 after hyperthermia (rectal
temperature 43.5 degrees C). Hyperthermia in the catabolic phase leads to
different degrees of activation of the molecular "switches" of apoptosis in cells
of ovarian follicular epithelium. This was seen from increased intensity of
immunohistochemical staining for Bad protein against the background of more
pronounced expression of Bcl-2 protein. On day 3 after exposure to hyperthermia,
Bcl-2/Bad ratio increased, which reflects antiapoptotic protection of cells and
conditions for blockade of mitochondrial pathway of apoptosis in the follicular
apparatus of the ovaries during the acute period after hyperthermia.
PMID- 29658077
TI - In Vivo Experimental Study of Biological Compatibility of Tissue Engineered
Tracheal Construct in Laboratory Primates.
AB - Biological compatibility of a tissue engineered construct of the trachea
(synthetic scaffold) and allogenic mesenchymal stem cells was studied on
laboratory Papio hamadryas primates. Subcutaneous implantation and orthotopic
transplantations of tissue engineered constructs were carried out. Histological
studies of the construct showed chaotically located filaments and mononuclear
cells fixed to them. Development of a fine connective tissue capsule was found at
the site of subcutaneous implantation of the tissue engineered construct. The
intact structure of the scaffold populated by various cell types in orthotopic
specimens was confirmed by expression of specific proteins. The results indicated
biological compatibility of the tissue engineered construct with the mesenchymal
stem cells; no tissue rejection reactions were recorded; simulation of
respiratory disease therapy on Papio hamadryas proved to be an adequate model.
PMID- 29658078
TI - Analysis of the Role of Carriership of Polymorphic Genotypes of ESR1, eNOS, and
APOE4 Genes in the Development of Arterial Hypertension in Men.
AB - We studied the role of the carrier status for polymorphic loci of genes encoding
estrogen receptors (ESR1), endothelial NO synthase (eNOS), and apolipoprotein E
(APOE4) and products of their expression nitrogen oxide (NO) and apolipoprotein
(ApoE) in the development of arterial hypertension in men. Conventionally healthy
volunteers and 149 men with clinical manifestations of stage I-II arterial
hypertension were examined. In men with arterial hypertension, the frequency of
minor allele A of ESR1 gene was higher (27.5 vs. 9.5% in the reference group;
chi2=4.43, p=0.04). The level of NO in the peripheral blood was also higher in
the main group (chi2=3.93, p=0.047). The increase in NO concentration did not
depend on the presence of polymorphic genotypes (GG and GT) of eNOS gene, but the
decrease in ApoE level in blood serum was associated with TC genotype of APOE4
gene (p=0.04). Our results suggest that minor allele A of ESR1 gene is associated
with the development of arterial hypertension in men. Reduced content of ApoE in
blood serum of men with arterial hypertension was associated with APOE4 gene
polymorphism. However, increased level of NO did not depend on polymorphic
genotypes GG and GT of eNOS gene. These polymorphisms are of specific interest as
additional markers of genetic predisposition to the development of arterial
hypertension in middle-age men.
PMID- 29658079
TI - TNFalpha-Induced Expression of Transport Protein Genes in HUVEC Cells Is
Associated with Enhanced Expression of Transcription Factor Genes RELB and NFKB2
of the Non-Canonical NF-kappaB Pathway.
AB - Endothelial HUVEC cells used as an in vitro model of the endothelial monolayer in
placental barrier were activated by TNFalpha in a dose of 2 ng/ml for 24 h.
Significant changes in the expression of genes of the SLC family transport
protein were observed: an increase in the expression of SLC7A2, SLC12A2, SLC9B2,
SLC25A37, SLC16A9, and SLC41A2 and a decrease in the expression of SLC40A1. These
transporters participate in the transport of iron, magnesium, sodium, potassium,
and chloride ions, protons, and amino acids. It was also found that SLC7A2,
SLC12A2, SLC9B2, SLC25A37, and SLC41A2 genes have binding sites for
transcriptional factor RelB that together with NFKB2 is the main effector of the
non-canonical NF-kappaB pathway. The expression of RELB and NFKB2 genes was also
significantly enhanced in TNFalpha-activated HUVEC cells, which can attest to the
important role of the non-canonical NF-kappaB pathway in the regulation of gene
expression of transport proteins in response to TNFalpha stimulation.
PMID- 29658080
TI - Effect of Nanocrystalline Particles of Chitin on Blood Components in Humans and
Experimental Animals.
AB - Nanocrystalline particles of chitin in the form of hydrosol in a concentration of
0.63 mg/ml have no effect on aggregation of human platelets and clotting time of
platelet-poor plasma in coagulation tests. ADP-induced aggregation of human
platelets was inhibited by these nanoparticles in concentrations of 0.63 and 1.00
mg/ml in comparison with the control. Intravenous administration of nanoparticles
in a dose of 1 mg/kg to guinea pigs produced no anticoagulant effect. The
nanocrystalline particles of chitin can be of interest as potential drug delivery
systems.
PMID- 29658081
TI - Changes in Activity of Matrix Metalloproteinases and Serum Concentrations of
Proinsulin and C-Peptide Depending on the Compensation Stage of Type 2 Diabetes
Mellitus.
AB - In patients with type 2 diabetes mellitus, serum activities of MMP-2 and MMP-7
were substantially decreased in comparison with apparently healthy individuals.
At the decompensation stage, along with the increased content of glucose and
glycated hemoglobin, a pronounced (3-fold) increase in proinsulin concentration
was observed. On the contrary, MMP activity and C-peptide concentration decreased
at this stage. The ratio of proinsulin concentration to MMP activity at the
stages of diabetes mellitus compensation and subcompensation was approximately
1:50, while at the stage of decompensation it was 1:12. Thus, the ratio of these
blood serum parameters can be used as an additional diagnostic marker of diabetes
decompensation and severity of its complications.
PMID- 29658082
TI - Effect of Oxidized Dextran on Cytokine Production and Activation of IRF3
Transcription Factor in Macrophages from Mice of Opposite Strains with Different
Sensitivity to Tuberculosis Infection.
AB - We studied differences in the production of pro- and anti-inflammatory cytokines
and IRF3 transcription factor by peritoneal macrophages from mice of opposite
strains CBA/J and C57Bl/6 and the effect of 60-kDa oxidized dextran on these
parameters. Macrophages from C57Bl/6 mice were mainly characterized by the
production of proinflammatory cytokines TNFalpha, IL-12, and MCP-1 (markers of M1
polarization). By contrast, CBA/J mice exhibited a relatively high level of anti
inflammatory cytokine IL-10 and lower expression of proinflammatory cytokines (M2
phenotype). IRF3 content in peritoneal macrophages of CBA/J mice was higher than
in C57Bl/6 mice. Oxidized dextran decreased the expression of IRF3 upon
stimulation of cells from CBA/J mice with LPS, but increased this process in
C57Bl/6 mice. Despite a diversity of oxidized dextran-induced changes in cytokine
production, the data confirm our hypothesis that this agent can stimulate the
alternative activation of macrophages.
PMID- 29658083
TI - Antidiabetic Properties of Low-Molecular-Weight BDNF Mimetics Depend on the Type
of Activation of Post-Receptor Signaling Pathways.
AB - Reduced proliferation and enhanced apoptosis of beta cells in diabetes mellitus
are associated with a deficiency of brain-derived neurotrophic factor (BDNF). Low
molecular weight compounds similar to different BDNF loops were synthesized at
the V. V. Zakusov Research Institute of Pharmacology. They produce a potentiating
effect on TrkB phosphorylation, but differently activate post-receptor signaling
pathways. We compared their effects on the severity of streptozotocin-induced
diabetes mellitus in C57Bl/6 mice. The antidiabetic effect (estimated from the
degree of hyperglycemia and dynamics of body weight) was typical of GSB-214
compound that selectively activates PI3K/Akt. This activity was not revealed in
GTS-201, selective activator of MAPK/Erk. GSB-106 compound activating both
signaling pathways exhibited weak antidiabetic activity. Our results indicate
that the antidiabetic effect is mainly related to activation of the PI3K/Akt
signaling pathway.
PMID- 29658084
TI - Modeling of 3D Structure of Chimeric Constructs Based on Hemagglutinin of
Influenza Virus and Immunogenic Epitopes of Streptococcus Agalactiae.
AB - A project of an experimental recombinant vector vaccine for prevention of
diseases caused by pathogenic streptococci based on ScaAB lipoprotein of
Streptococcus agalactiae and a coldadapted strain of live influenza vaccine as a
vector was developed. The sequence of ScaAB lipoprotein was analyzed and
fragments forming immunodominant epitopes were determined. Chimeric molecules of
influenza virus hemagglutinin H7 carrying insertions of bacterial origin were
constructed. Based on the results of simulation, the most promising variants were
selected; they represented fragments of lipoprotein ScaAB lacking N-terminal
domain bound to hemagglutinin via a flexible linker. These insertions should
minimally modulate the properties of the influenza strain, while retaining
potential immunogenicity to a wide group of pathogenic streptococci.
PMID- 29658086
TI - Coupling of Lipoperoxidation Reactions with Changes in Arterial Blood Pressure in
Hypertensive ISIAH Rats under Conditions of Chronic Stress.
AB - Mature normotensive male WAG rats and stress-sensitive hypertensive ISIAH rats
were exposed to "everyday life stress" modelled by alternation of immobilization
and adaptation. Increased LPO intensity (increased content of substrates with
unsaturated double bonds and primary and secondary LPO products) and reduced
content of some antioxidant protection components (reduced retinol level and
GSH/GSSG ratio) were revealed in the blood of ISIAH rats. These changes
correlated with elevated mean BP. The results can reflect the significant role of
LPO changes in the pathogenesis of stress-induced arterial hypertension.
PMID- 29658085
TI - Effect of Natural Cytokine Complex on the Structure and Metabolism of the Cardiac
Conduction System in the Myocardium under Normally and Increased Hemodynamic
Load.
AB - Effect of natural complex of cytokines with activity of IL-1, IL-2, IL-6, TNF,
MIF, and GTFbeta on the structure and metabolism of conduction cardiomyocytes was
assessed in the control and under acute experimental aortic stenosis. After
systemic administration of the cytokine complex in the control, structural
abnormalities were revealed in a relatively low number of conduction
cardiomyocytes; their relative number increased in the left ventricle and
interventricular septum. When the complex was administered against the background
of aortic stenosis, morphological changes in the conduction system were seen in a
significant number of cells with their plasma imbibition, especially in the left
ventricle and interventricular septum. Systemic administration of the natural
cytokine complex inhibited the major metabolic processes in the conduction
system, both in the control and under conditions of sharply increased hemodynamic
load. In conduction cardiomyocytes, deceleration of glycolysis and citric acid
cycle, inhibition of oxidation of free fatty acids and their metabolites, and
suppression of shuttle mechanisms and biosynthetic reactions were observed.
Increased blood levels of cytokines, primarily of the proinflammatory ones, can
cause structural and metabolic disturbances in the cardiac conduction system and
promote the development of arrhythmias, especially in case of sharply increased
hemodynamic load.
PMID- 29658087
TI - Complex Analysis of Total and Fetal DNA and Cytokines in Blood Plasma of Pregnant
Women with Preeclampsia.
AB - We performed a complex analysis of total and fetal extracellular DNA, 8 cytokines
(IL-2, IL-4, IL-6, IL-8, IL-10, granulocyte-macrophage CSF, IFNgamma, and
TNFalpha) in blood plasma obtained from women with preeclampsia prior to labor
onset. Total (sensitivity 89.47%, specificity 93.75%) and fetal extracellular DNA
(sensitivity 73.68%, specificity 87.5%) were the most accurate parameters
determining preeclampsia. We revealed a high correlation (p=3*10-6) between total
and fetal extracellular DNA levels in the group of preeclampsia. Preeclampsia
significantly increased the levels of macrophage factors IL-10 and IL-6. These
cytokines significantly correlated with the levels of total and fetal
extracellular DNA in the preeclampsia group. In the control group, such
correlations were not observed. These findings obtained suggest that preeclampsia
develops upon increased macrophage activity, leading to destruction of the
placenta trophoblast cells.
PMID- 29658088
TI - Pathogenetic Role of Endothelial Dysfunction in Idiopathic Vestibulopathy.
AB - Comparative analysis of the groups of patients with idiopathic bilateral
vestibular hypofunction and a group of vestibulopathy patients with
vertebrobasilar insufficiency demonstrated identity of the basic and additional
diagnostic parameters in these syndromes as well as similarity in clinical
diagnostic and anamnesis data. In all cases, functional assessment of endothelium
dependent vasodilation and selected biochemical marker sICAM-1 revealed
endothelial dysfunction. Drug correction of endothelial dysfunction positively
affected the manifestations of major and minor features of the syndrome, which
confirmed the contribution of endothelial functional disturbances to the
pathogenesis of bilateral vestibular hypofunction.
PMID- 29658089
TI - Electrophysiological Properties of Rat Vestibular Labyrinth and Their Effect on
Parameters of Transmitted Voltage Pulses.
AB - We propose a new approach to optimization of electrical stimulation of the
vestibular nerve and improving the transfer function of vestibular implant. A
mathematical model of the vestibular organ is developed based on its anatomy, the
model premises, 3D-analysis of MRI and CT images, and mathematical description of
physical processes underlying propagation of alternating electric current across
the tissues of vestibular labyrinth. This approach was tested in vitro on the rat
vestibular apparatus and had been examined anatomically prior to the development
of its mathematical model and equivalent electrical circuit. The experimental and
theoretical values of changes of the gain-phase characteristics of vestibular
tissues in relation to location of the reference electrode obtained in this study
can be used to optimize the electrical stimulation of vestibular nerve.
PMID- 29658090
TI - Bimodal Electrical Properties of Rat Major Artery Segment In Situ.
AB - In experiments on narcotized rats, BP in the left femoral artery as well as local
electrical potential and electrical impedance of the symmetric segment of the
right femoral artery were simultaneously recorded in situ with two extracellular
nonpolarizable Ag/AgCl electrodes located along the artery at a distance of 3 mm
from each other. The pulsatile arterial electrical potentials with amplitude of
100-200 MUV and duration of about 50 msec were recorded, which coincided with the
front of BP wave corrected for a 10-msec delay of the pressure transducer. Under
normal conditions, the pulsatile oscillations of arterial electroimpedance were
in-phase with BP oscillations, so the rising phase of BP was paralleled by
elevation of electroimpedance reflecting constriction of the arterial segment.
This finding is viewed as indicative of periodic myogenic Ostroumov-Bayliss
effect triggered by arterial pulse. After local application of tetrodotoxin (3*10
7 M), procaine (0.5%), or lidocaine (spray 10%) to isolated arterial segment, its
electroimpedance oscillated out-of-phase with BP, so the changes of
electroimpedance were similar to the response of a passive elastic tube to
pulsatile BP. The applied agents completely (tetrodotoxin) or pronouncedly
(procaine, lidocaine) inhibited the pulsatile arterial electrical potential. The
present data indicate the possibility of passive and active modes of arterial
pulsing, which differ by the amplitude of pulsatile arterial electrical potential
as well as by phasic relations between BP and electroimpedance. The possible
physiological role of various modes of pulsing in major arteries is discussed.
PMID- 29658091
TI - Improving the evidence base for services working with youth at-risk of
involvement in the criminal justice system: developing a standardised program
approach.
AB - BACKGROUND: Young people who engage in multiple risk behaviour (high-risk young
people) such as substance abuse, antisocial behaviour, low engagement in
education and employment, self-harm or suicide ideation are more likely to
experience serious harms later in life including homelessness, incarceration,
violence and premature death. In addition to personal disadvantage, these harms
represent an avoidable social and economic cost to society. Despite these harms,
there is insufficient evidence about how to improve outcomes for high-risk young
people. A key reason for this is a lack of standardisation in the way in which
programs provided by services are defined and evaluated. METHODS: This paper
describes the development of a standardised intervention model for high-risk
young people. The model can be used by service providers to achieve greater
standardisation across their programs, outcomes and outcome measures. To
demonstrate its feasibility, the model is applied to an existing program for high
risk young people. CONCLUSIONS: The development and uptake of a standardised
intervention model for these programs will help to more rapidly develop a larger
and more rigorous evidence-base to improve outcomes for high-risk young people.
PMID- 29658094
TI - Modification of polydopamine-coated Fe3O4 nanoparticles with multi-walled carbon
nanotubes for magnetic-MU-dispersive solid-phase extraction of antiepileptic
drugs in biological matrices.
AB - In this study, multi-walled carbon nanotubes were coated on the surface of
magnetic nanoparticles modified by polydopamine. The synthesized composite was
characterized and applied to magnetic-MU-dispersive solid-phase extraction of
oxcarbazepine (OXC), phenytoin (PHT), and carbamazepine (CBZ) from human plasma,
urine, and cerebrospinal fluid samples prior to analysis by a high-performance
liquid chromatography-photodiode array detector. The extraction parameters were
investigated and the optimum condition was obtained when the variables were set
to the following: sorbent type, Fe3O4@polyDA-MWCNTs (length < 2 MUm); sample pH,
6; amount of sorbent, 15 mg; sorption time, 1.5 min at room temperature; type and
volume of the eluent, 2.5 mL methanol; and salt content, none added. Under the
optimized conditions, the calibration curves are linear in the concentration
range 2-2000 ng/mL, the limits of detection are in the range 0.4-3.1 ng/mL, and
the relative standard deviations and relative recoveries of plasma (spiked at 200
ng/mL) and CSF (spiked at 50 ng/mL) are in the ranges 1.4-8.2% and 92.8-96.5%,
respectively. The applicability of the method was successfully confirmed by
extraction and determination of OXC, PHT, and CBZ in biological matrices.
Graphical abstract Magnetic multi-walled carbon nanotube core-shell composites
were applied as magnetic-MU-dispersive solid-phase extraction adsorbents for
determination of antiepileptic drugs in biological matrices.
PMID- 29658093
TI - Metabolomic study of human tissue and urine in clear cell renal carcinoma by LC
HRMS and PLS-DA.
AB - Renal cell carcinoma (RCC) is the most prevalent and lethal malignancy of the
kidney. Despite all the efforts made, no tissue biomarker is currently used in
the clinical management of patients with kidney cancer. A search for possible
biomarkers in urine for clear cell renal cell carcinoma (ccRCC) has been
conducted. Non-targeted metabolomic analyses were performed on paired samples of
surgically removed renal cancer and normal tissue, as well as on urine samples.
Extracts were analyzed by liquid chromatography/high-resolution mass spectrometry
(LC-HRMS). Hydroxybutyrylcarnitine, decanoylcarnitine, propanoylcarnitine,
carnitine, dodecanoylcarnitine, and norepinephrine sulfate were found in much
higher concentrations in both cancer tissues (compared with the paired normal
tissue) and in urine of cancer patients (compared with control urine). In
contrast, riboflavin and acetylaspartylglutamate (NAAG) were present at
significantly higher concentrations both in normal kidney tissue as well as in
urine samples of healthy persons. This preliminary study resulted in the
identification of several compounds that may be considered potential clear cell
renal carcinoma biomarkers. Graphical abstract PLS-DA plot based on LC-MS data
for normal and cancer human tissue samples. The aim of this work was the
identification of up- and downregulated compounds that could potentially serve as
renal cancer biomarkers.
PMID- 29658095
TI - Compatible above-ground biomass equations and carbon stock estimation for small
diameter Turkish pine (Pinus brutia Ten.).
AB - Small trees and saplings are important for forest management, carbon stock
estimation, ecological modeling, and fire management planning. Turkish pine
(Pinus brutia Ten.) is a common coniferous species and comprises 25.1% of total
forest area of Turkey. Turkish pine is also important due to its flammable fuel
characteristics. In this study, compatible above-ground biomass equations were
developed to predict needle, branch, stem wood, and above-ground total biomass,
and carbon stock assessment was also described for Turkish pine which is smaller
than 8 cm diameter at breast height or shorter than breast height. Compatible
biomass equations are useful for biomass prediction of small diameter individuals
of Turkish pine. These equations will also be helpful in determining fire
behavior characteristics and calculating their carbon stock. Overall, present
study will be useful for developing ecological models, forest management plans,
silvicultural plans, and fire management plans.
PMID- 29658096
TI - Effect of low level laser and low intensity pulsed ultrasound therapy on bone
remodeling during orthodontic tooth movement in rats.
AB - BACKGROUND: Quality bone regeneration, which leads to the improvement of bone
remodeling, is essential for orthodontic treatment. In order to improve bone
regeneration and increase the amount of tooth movement, different techniques have
been implemented. The object of this study is to compare the effects of low-level
laser therapy (LLLT), low-intensity pulsed ultrasound (LIPUS), and their
combination on bone remodeling during orthodontic tooth movement. METHODS: Eighty
(80) male, 6-week-old Sprague Dawley rats were grouped in to four groups, the
first group was irradiated with (940 nm) diode laser, second group with LIPUS,
and third group with combination of both LLLT and LIPUS. A forth group used was a
control group in an incomplete block split-mouth design. The LLLT and LIPUS were
used to treat the area around the moving tooth once a day on days 0-7, then the
experiment was ended in each experimental endpoint (1, 3, 7, 14, and 21 days).
For amount of tooth movement, models were imaged and analyzed. Histological
examination was performed after staining with (hematoxylin and eosin) and
(alizarin red and Alcian Blue) stain. One step reverse transcription-polymerase
chain reaction RT-PCR was also performed to elucidate the gene expression of
RANK, RANKL, OPG, and RUNX-2. RESULTS: The amount of tooth movement, the
histological bone remodeling, and the RT-PCR were significantly greater in the
treatment groups than that in the control group. Among the treatment groups, the
combination group was the highest and the LIPUS group was the lowest. CONCLUSION:
These findings suggest that LLLT and LIPUS can enhance the velocity of tooth
movement and improve the quality of bone remodeling during orthodontic tooth
movement.
PMID- 29658097
TI - Anesthetized by chloroform before hanging.
AB - We present a unique case of suicidal hanging. The deceased was a 31-year-old male
who was found hanging from a tree in a dense thicket, with his lower limbs in
contact with the ground (partial suspension). There was an apparatus similar to a
facial mask placed around his nose and mouth. A strong chemical smell was
emanating from the apparatus, which was identified as chloroform (Formyl
trichloride/CHCl3). A ligature with a soft cloth beneath it was around his neck.
A ligature mark was present around the neck. The decedent's blood alcohol levels
were 112 mg/dl. The blood and stomach contents were negative for chloroform. A
complete death investigation, including scene investigation and complete autopsy
examination, confirmed the cause of death as hanging. The manner of death was
suicide. This case highlights how the deceased had used several methods whilst
committing suicide to minimize pain, including the inhalation of chloroform,
which would have also resulted in the inability to engage in protective actions
during the act.
PMID- 29658098
TI - Efficacy of a proactive health and safety risk management system in the fire
service.
AB - BACKGROUND: This study evaluated the efficacy of a fire department proactive risk
management program aimed at reducing firefighter injuries and their associated
costs. METHODS: Injury data were collected for the intervention fire department
and a contemporary control department. Workers' compensation claim frequency and
costs were analyzed for the intervention fire department only. Total, exercise,
patient transport, and fireground operations injury rates were calculated for
both fire departments. RESULTS: There was a post-intervention average annual
reduction in injuries (13%), workers' compensation injury claims (30%) and claims
costs (21%). Median monthly injury rates comparing the post-intervention to the
pre-intervention period did not show statistically significant changes in either
the intervention or control fire department. CONCLUSIONS: Reduced workers'
compensation claims and costs were observed following the risk management
intervention, but changes in injury rates were not statistically significant.
PMID- 29658099
TI - Identification of serotoninergic system components in stallion sperm.
AB - Assisted reproductive techniques have been used on several domestic animals to
preserve desirable traits in strains of high genetic and commercial value;
however in equines its efficiency rate is relatively low. To increase the
conception ratio in stallions, some research groups have used pharmacological
treatments which promote sperm hyperactivation in order to increase male's
fertility rates. In this way, our previous work suggests that serotonin (5-HT)
could be a good pharmacological candidate that facilitates conception rate in
domestic horses. 5-HT is a neurohormone involved in several reproductive
processes, i.e., it enhances hyperactivation, motility, and promotes the acrosome
reaction in mammalian sperm, but it has not been described in the stallion sperm
yet. Therefore, using both immunofluorescence and western blot techniques, we
searched for and found some serotonin markers such as 5-HT, 5-HT1B, 5-HT2A, 5-HT3
receptors, both TPH1 and MAOA enzymes, and serotonin transporter (5-HTT) in
stallion sperm. In addition, we found a non-neuroendocrine cell, V-MAT1
transporter, which has not been previously reported in mammalian sperm. Our
results suggest that serotoninergic system is present in stallion sperm, which
could be a pharmacological target to increase the conception rates in domestic
horses.
PMID- 29658100
TI - Excess iron stress reduces root tip zone growth through nitric oxide-mediated
repression of potassium homeostasis in Arabidopsis.
AB - The root tip zone is regarded as the principal action site for iron (Fe) toxicity
and is more sensitive than other root zones, but the mechanism underpinning this
remains largely unknown. We explored the mechanism underpinning the higher
sensitivity at the Arabidopsis root tip and elucidated the role of nitric oxide
(NO) using NO-related mutants and pharmacological methods. Higher Fe sensitivity
of the root tip is associated with reduced potassium (K+ ) retention. NO in root
tips is increased significantly above levels elsewhere in the root and is
involved in the arrest of primary root tip zone growth under excess Fe, at least
in part related to NO-induced K+ loss via SNO1 (sensitive to nitric oxide 1)/SOS4
(salt overly sensitive 4) and reduced root tip zone cell viability. Moreover,
ethylene can antagonize excess Fe-inhibited root growth and K+ efflux, in part by
the control of root tip NO levels. We conclude that excess Fe attenuates root
growth by effecting an increase in root tip zone NO, and that this attenuation is
related to NO-mediated alterations in K+ homeostasis, partly via SNO1/SOS4.
PMID- 29658101
TI - Abstracts of the 58th Annual Scientific Meeting of the British Society for
Haematology, 16-18 April 2018, Liverpool, UK.
PMID- 29658102
TI - Earfold: A New Technique for Correction of the Shape of the Antihelix.
AB - An absent or poorly defined antihelix often plays a central role in the
perception of the prominent ear. A wide variety of otoplasty techniques have been
described over the last 50 years that aim to reshape, create, or enhance the
definition of the antihelix, which can, in turn, help to reduce the prominence of
an ear. In addition to conventional suture and cartilage-scoring techniques, a
permanent implantable clip system (Earfold(r) ) has recently become available
that is placed using a minimally invasive approach performed under local
anesthesia. In this review, we summarize conventional otoplasty techniques to
correct the antihelix and compare these with the Earfold implantable clip system.
Laryngoscope, 128:2282-2290, 2018.
PMID- 29658104
TI - Comparison of the seventh and eighth edition american joint committee on cancer
oral cavity staging systems.
AB - OBJECTIVE: For the first time in 30 years, the eighth edition of the American
Joint Committee on Cancer (AJCC) Staging Manual offers major changes in the
staging of oral cavity cancer. We evaluated the predictive ability of the new
staging system for oral cavity cancer to validate these changes and hypothesized
that the new system would improve prognostic accuracy. METHODS: We conducted a
retrospective cohort study of patients with oral cavity squamous cell carcinoma
in the National Cancer Data Base from 2009 to 2013 and applied the seventh and
eighth edition staging AJCC staging systems to all patients. Stage-specific
overall survival was calculated using the Kaplan-Meier method and concordance
indices to measure the system's prognostic accuracy. RESULTS: We identified
39,361 patients with a median follow-up of 27.1 months (range 0.1-80.4 months).
In the seventh edition, there were 43.0%, 15.0%, 10.6%, and 25.7% of patients
with pathologic stage I, II, III, and IV disease, respectively. After restaging
based on eighth edition pathological guidelines, 10.0% of patients were upstaged
(38.1%, 18.1%, 14.2%, and 25.2%, respectively, with stage I, II, III, and IV
disease, respectively). The survival concordance index improved from the seventh
to eighth edition for pathological staging (concordance index 0.699 and 0.704,
respectively) and for clinical staging (concordance index 0.714 and 0.715,
respectively). CONCLUSION: We provide validation of the new AJCC staging system
for oral cavity cancer. Eighth edition AJCC staging guidelines upstage a
substantial number of patients with greater depth of invasion or extranodal
extension. This resulted in slightly improved prognostication. LEVEL OF EVIDENCE:
2c. Laryngoscope, 128:2351-2360, 2018.
PMID- 29658103
TI - Genioglossus reflex responses to negative upper airway pressure are altered in
people with tetraplegia and obstructive sleep apnoea.
AB - KEY POINTS: Protective reflexes in the throat area (upper airway) are crucial for
breathing. Impairment of these reflexes can cause breathing problems during sleep
such as obstructive sleep apnoea (OSA). OSA is very common in people with spinal
cord injury for unknown reasons. This study shows major changes in protective
reflexes that serve to keep the upper airway open in response to suction
pressures in people with tetraplegia and OSA. These results help us understand
why OSA is so common in people with tetraplegia and provide new insight into how
protective upper airway reflexes work more broadly. ABSTRACT: More than 60% of
people with tetraplegia have obstructive sleep apnoea (OSA). However, the
specific causes are unknown. Genioglossus, the largest upper-airway dilator
muscle, is important in maintaining upper-airway patency. Impaired genioglossus
muscle function following spinal cord injury may contribute to OSA. This study
aimed to determine if genioglossus reflex responses to negative upper-airway
pressure are altered in people with OSA and tetraplegia compared to non
neurologically impaired able-bodied individuals with OSA. Genioglossus reflex
responses measured via intramuscular electrodes to ~60 brief (250 ms) pulses of
negative upper-airway pressure (~-15 cmH2 O at the mask) were compared between 13
participants (2 females) with tetraplegia plus OSA and 9 able-bodied controls (2
females) matched for age and OSA severity. The initial short-latency excitatory
reflex response was absent in 6/13 people with tetraplegia and 1/9 controls.
Genioglossus reflex inhibition in the absence of excitation was observed in three
people with tetraplegia and none of the controls. When the excitatory response
was present, it was significantly delayed in the tetraplegia group compared to
able-bodied controls: excitation onset latency (mean +/- SD) was 32 +/- 16 vs. 18
+/- 9 ms, P = 0.045; peak excitation latency was 48 +/- 17 vs. 33 +/- 8 ms, P =
0.038. However, when present, amplitude of the excitation response was not
different between groups, 195 +/- 26 vs. 219 +/- 98% at baseline, P = 0.55. There
are major differences in genioglossus reflex morphology and timing in response to
rapid changes in airway pressure in people with tetraplegia and OSA. Altered
genioglossus function may contribute to the increased risk of OSA in people with
tetraplegia. The precise mechanisms mediating these differences are unknown.
PMID- 29658105
TI - The impact of domestication and crop improvement on arbuscular mycorrhizal
symbiosis in cereals: insights from genetics and genomics.
AB - Contents Summary 1135 I. Introduction 1135 II. Recruitment of plant metabolites
and hormones as signals in AM symbiosis 1136 III. Phytohormones are regulators of
AM symbiosis and targets of plant breeding 1137 IV. Variation in host response to
AM symbiosis 1137 V. Outlook 1137 Acknowledgements 1139 References 1139 SUMMARY:
Cereals (rice, maize, wheat, sorghum and the millets) provide over 50% of the
world's caloric intake, a value that rises to > 80% in developing countries.
Since domestication, cereals have been under artificial selection, largely
directed towards higher yield. Throughout this process, cereals have maintained
their capacity to interact with arbuscular mycorrhizal (AM) fungi, beneficial
symbionts that associate with the roots of most terrestrial plants. It has been
hypothesized that the shift from the wild to cultivation, and above all the last
c. 50 years of intensive breeding for high-input farming systems, has reduced the
capacity of the major cereal crops to gain full benefit from AM interactions.
Recent studies have shed further light on the molecular basis of establishment
and functioning of AM symbiosis in cereals, providing insight into where the
breeding process might have had an impact. Classic phytohormones, targets of
artificial selection during the generation of Green Revolution semi-dwarf
varieties, have emerged as important regulators of AM symbiosis. Although there
is still much to be learnt about the mechanistic basis of variation in symbiotic
outcome, these advances are providing an insight into the role of arbuscular
mycorrhiza in agronomic systems.
PMID- 29658106
TI - Acute sialadenitis associated with 2017-2018 influenza A infection: A case
series.
AB - The influenza A virus has accounted for the majority of influenza infections in
the 2017 to 2018 flu season, with the typical clinical presentation including
fever, myalgias, malaise, and nonproductive cough. Notably this season, we have
recognized a cluster of influenza A cases presenting as severe neck and facial
swelling, with the subsequent diagnosis of sialadenitis. Whereas previous authors
have demonstrated isolated case reports of sialadenitis associated with influenza
A infection, herein we describe the clinical history, laboratory values, and
radiographic findings of four patients presenting to our institution in January
2018 with acute sialadenitis and influenza A infection. Laryngoscope, 2500-2502,
2018.
PMID- 29658107
TI - Malleostapedotomy in stapes revision surgery: Is an endoscopic approach possible?
AB - OBJECTIVES/HYPOTHESIS: The purpose of the present study was to show our
preliminary results regarding the endoscopic ear surgery application in
malleostapedotomy surgery. STUDY DESIGN: Case series. METHODS: Six patients (four
females and two males) who underwent endoscopic ear surgery as revision surgery
for otosclerosis were enrolled in this study. For endoscopic malleostapedotomy
surgery, rigid angled endoscopes at 0 degrees and 30 degrees with a length of
14 cm and an outer diameter of 3 and 4 mm were used. A superelastic nitinol
stapes prosthesis was used to connect the malleus neck to the oval window.
RESULTS: During endoscopic ear surgery, a lateral ossicular chain fixation was
present in all cases. The mean operative time in the study group was 81 minutes
(range, 73-89 minutes). A statistically significant difference between
preoperative and postoperative hearing findings was observed (P = .007). No cases
of sensorineural hearing loss or persistent vertigo were observed in the study
sample. No cases of prosthesis displacement or prosthesis extrusion occurred
after a mean follow-up of 11.5 months. CONCLUSIONS: In 100% of treated cases, the
endoscope proved to be adequate for the visualization of the malleus handle and
incus, and of their possible abnormalities. Despite the difficulty related to
endoscopic single-handed work, none of the surgical steps of endoscopic
malleostapedotomy were considered not feasible by endoscopic ear surgery. LEVEL
OF EVIDENCE: 4. Laryngoscope, 2611-2614, 2018.
PMID- 29658108
TI - Maternal residential pesticide use and risk of childhood leukemia in Costa Rica.
AB - Evidence suggests that early-life exposure to pesticides inside the home may be
associated with childhood leukemia, however data from Latin American countries
are limited. We examined whether self-reported maternal residential pesticide use
and nearby pesticide applications-before and after child's birth-were associated
with acute lymphoblastic leukemia (ALL) in the Costa Rican Childhood Leukemia
Study (CRCLS), a population-based case-control study (2001-2003). Cases (n = 251
ALL) were diagnosed between 1995 and 2000 (age <15 years at diagnosis) and were
identified through the Costa Rican Cancer Registry and National Children's
Hospital. Population controls (n = 577) were drawn from the National Birth
Registry. We fitted unconditional logistic regression models adjusted for child
sex, birth year, and socioeconomic status to estimate the exposure-outcome
associations and also stratified by child sex. We observed that self-reported
maternal insecticide use inside the home in the year before pregnancy, during
pregnancy, and while breastfeeding was associated with increased odds of ALL
among boys [adjusted Odds Ratio (aOR) = 1.63 (95% confidence interval [95% CI]:
1.05-2.53), 1.75 (1.13-2.73), and 1.75 (1.12-2.73), respectively. We also found
evidence of exposure-response relationships between more frequent maternal
insecticide use inside the home and increased odds of ALL among boys and girls
combined. Maternal report of pesticide applications on farms or companies near
the home during pregnancy and at any time period were also associated with ALL.
Our study in Costa Rica highlights the need for education to minimize pesticide
exposures inside and around the home, particularly during pregnancy and
breastfeeding.
PMID- 29658109
TI - PGE2 /EP4 antagonism enhances tumor chemosensitivity by inducing extracellular
vesicle-mediated clearance of cancer stem cells.
AB - Cells expressing mesenchymal/basal phenotypes in tumors have been associated with
stem cell properties. Cancer stem cells (CSCs) are often resistant to
conventional chemotherapy. We explored overcoming mesenchymal CSC resistance to
chemotherapeutic agents. Our goal was to reduce CSC numbers in vivo, in
conjunction with chemotherapy, to reduce tumor burden. Analysis of clinical
samples demonstrated that COX-2/PGE2 /EP4 signaling is elevated in basal-like and
chemoresistant breast carcinoma and is correlated with survival and relapse of
breast cancer. EP4 antagonism elicts a striking shift of breast cancer cells from
a mesenchymal/CSC state to a more epithelial non-CSC state. The transition was
mediated by EP4 antagonist-induced extracellular vesicles [(EVs)/exosomes] which
removed CSC markers, mesenchymal markers, integrins, and drug efflux transporters
from the CSCs. In addition, EP4 antagonism-induced CSC EVs/exosomes can convert
tumor epithelial/non-CSCs to mesenchymal/CSCs able to give rise to tumors and to
promote tumor cell dissemination. Because of its ability to induce a CSC-to-non
CSC transition, EP4 antagonist treatment in vivo reduced the numbers of CSCs
within tumors and increased tumor chemosensitivity. EP4 antagonist treatment
enhances tumor response to chemotherapy by reducing the numbers of chemotherapy
resistant CSCs available to repopulate the tumor. EP4 antagonism can collaborate
with conventional chemotherapy to reduce tumor burden.
PMID- 29658110
TI - Prenatal diethylstilbestrol exposure and mammographic density.
AB - In a prospective cohort study of the health effects associated with prenatal
Diethylstilbestrol (DES) exposure, DES was associated with an increased breast
cancer risk after 40 years of age. It is unknown whether it is associated with
greater mammographic density, which strongly predicts breast cancer risk. A
cohort of DES-exposed and unexposed women was assembled at the Mayo Clinic in
1975, and followed through 2012 as part of the National Cancer Institute's DES
follow-up study. Mammographic density from 3,637 mammograms for 332 (222 DES
exposed, 110 unexposed) women in this cohort screened at the Mayo Clinic,
Rochester between 1996 and 2015 was determined clinically using the Breast
Imaging Reporting and Data System (BI-RADS). Any effect of prenatal DES exposure
on mammographic density was estimated using repeated measures logistic
regression. There was no association between prenatal DES exposure and high
mammographic density for either premenopausal [Odds ratios (OR) = 0.92 (95%
Confidence Interval (CI): 0.50, 1.7] or postmenopausal women (OR = 0.90; 95% CI:
0.54, 1.5). Among premenopausal women, associations differed by body mass index
(BMI), with ORs of 1.47 (0.70, 3.1) for women with BMI above the median and 0.53
(0.23, 1.3) for those with BMI below the median (pinteraction = 0.05). Overall,
however, prenatal DES exposure was not associated with high mammographic density
in this sample of DES Study participants. Consequently, this study does not
provide evidence that high mammographic density is involved with the influence of
DES on breast cancer risk.
PMID- 29658112
TI - The influential factors on the morphological changes of upper airway associated
with mouth opening.
AB - OBJECTIVE: This study aimed to evaluate the influential factors on the
morphological changes of upper airway caused by mouth opening (MO). METHODS: One
hundred and thirty-eight obstructive sleep apnea-hypopnea syndrome (OSAHS)
patients were enrolled. Anthropometric and demographic data, Friedman tongue
position (FTP), and tonsil scores were recorded. Overnight polysomnography and
upper airway computed tomography scans under two conditions (mouth closed [MC]
and MO) were acquired. Morphological parameters of upper airway were compared
between MC and MO. Stepwise multiple linear regression analyses were performed
with the variation ratio of upper airway parameters (Para-VRs) from MC to MO as
the dependent variable, with age, gender, body mass index, neck circumference,
waist circumference, four mandibular indexes, net angle or amount of MO, FTP, and
tonsil scores as the independent variables. RESULTS: Overall analysis and
subgroup analyses based on OSAHS severity revealed that the minimal cross
sectional area of oropharyngeal lumen (OXmin) significantly decreased (P < 0.05)
with MO, whereas the minimal cross-sectional area of velopharyngeal lumen (VXmin)
did not significantly change with MO (P > 0.05). The net angle of MO or amount of
MO combined with tonsil scores were identified to have significant positive
correlation with EXP (OXmin-VR), [OXmin-VR was logarithmically transformed with
an exponential function, EXP(n) = en ]; FTP appeared to be more related to EXP
(VXmin-VR). Mouth opening induced a significant increase VXmin for patient
subgroup with FTP grading I and a significant decrease VXmin for patient subgroup
with FTP grading IV (P < 0.05). CONCLUSION: Wider MO combined with larger tonsils
lead to narrower oropharyngeal airway. The relative position of tongue to soft
palate is the main factor influencing the changes of velopharyngeal lumen with
MO. LEVEL OF EVIDENCE: IV. Laryngoscope, 2018.
PMID- 29658113
TI - Has the management of pediatric mucoepidermoid carcinoma of the parotid gland
changed?
AB - OBJECTIVES/HYPOTHESIS: To examine the evolving changes in management of pediatric
mucoepidermoid carcinoma of the parotid gland. STUDY DESIGN: Retrospective
analysis of a large population database. METHODS: Pediatric patients in the
Surveillance, Epidemiology, and End Results database were included from 1973 to
2014 based on a diagnosis of mucoepidermoid carcinoma of the parotid gland using
the International Classification of Diseases for Oncology, Third Edition codes:
C07.9: parotid gland and 8430/3: mucoepidermoid carcinoma. Patients were included
from ages 0 to 18 years. Patients were categorized into 5- or 6-year cohorts
based on their year of diagnosis. Two-year and 5-year survival was calculated
using actuarial or life-table analysis. RESULTS: One hundred sixty-nine pediatric
cases were identified. Eighty of the 169 cases (47.4%) were diagnosed from 2005
to 2014. The number of cases increased steadily across cohorts over time since
1995. Pediatric patients tended to be diagnosed in adolescence (mean age: 13.4
years, range: 3.0-18.0 years). Most patients received surgical management as a
part of their case (95.3% of total cohort). The 5-year disease-specific survival
was > 90% for each cohort. CONCLUSIONS: The age-adjusted incidence rate of
pediatric mucoepidermoid carcinoma of the parotid gland remains low and is not
greatly changing. This cancer is most likely diagnosed in adolescence affecting
both male and female patients equally. Analysis of cases since 1973 revealed that
most patients continue to receive surgical care. Survival for these pediatric
patients continues to remain excellent. Healthcare providers should note these
updates in pediatric mucoepidermoid carcinoma of the parotid gland as effective
diagnosis and management continues to lead to good survival outcomes. LEVEL OF
EVIDENCE: 4 Laryngoscope, 128:2408-2414, 2018.
PMID- 29658111
TI - Architectonic characteristics of the visual thalamus and superior colliculus in
titi monkeys.
AB - Titi monkeys are arboreal, diurnal New World monkeys whose ancestors were the
first surviving branch of the New World radiation. In the current study, we use
cytoarchitectonic and immunohistochemical characteristics to compare titi monkey
subcortical structures associated with visual processing with those of other well
studied primates. Our goal was to appreciate features that are similar across all
New World monkeys, and primates in general, versus those features that are unique
to titi monkeys and other primate taxa. We examined tissue stained for Nissl
substance, cytochrome oxidase (CO), acetylcholinesterase (AChE), calbindin (Cb),
parvalbumin (Pv), and vesicular glutamate transporter 2 (VGLUT2) to characterize
the superior colliculus, lateral geniculate nucleus, and visual pulvinar. This is
the first study to characterize VGLUT2 in multiple subcortical structures of any
New World monkey. Our results from tissue processed for VGLUT2, in combination
with other histological stains, revealed distinct features of subcortical
structures that are similar to other primates, but also some features that are
slightly modified compared to other New World monkeys and other primates. These
included subdivisions of the inferior pulvinar, sublamina within the stratum
griseum superficiale (SGS) of the superior colliculus, and specific koniocellular
layers within the lateral geniculate nucleus. Compared to other New World
primates, many features of the subcortical structures that we examined in titi
monkeys were most similar to those in owl monkeys and marmosets, with the lateral
geniculate nucleus consisting of two main parvocellular layers and two
magnocellular layers separated by interlaminar zones or koniocellular layers.
PMID- 29658114
TI - Proton pump inhibitor use and cancer mortality.
AB - Proton pump inhibitors (PPIs) are commonly used as a supplement to cancer
therapy. Yet, their effect on cancer mortality is largely unknown. Using data
from Danish nationwide registries and Cox models regressing of both propensity
scores and drug use, we estimated hazard ratios (HRs) with 95% confidence
intervals (CIs) for cancer-specific and noncancer death among PPI users (>=2
prescriptions within six months after diagnosis; n = 36,066) compared with
nonusers (<2 prescriptions, n = 311,853) or users of histamine H2 -receptor
antagonists (H2 RA; n = 5,152). Adjusted HRs for cancer-specific mortality among
postdiagnostic PPI users as compared with nonusers or H2 RA users were 1.29 (95%
CI, 1.27-1.32) and 1.15 (95% CI, 1.10-1.20), respectively. HRs for cancer
mortality associated with PPI use were highest for ovarian (1.35; 95% CI, 1.20
1.52) and lowest for esophageal cancer (0.91; 95% CI, 0.81-1.04). The
associations were stronger among new PPI users after cancer diagnosis, indicating
potential confounding. To test the effect of PPIs on tumor growth in a model
system free for confounding factors, we investigated the effect of pantoprazole
on tumor growth in mice. Pantoprazole (5 mg/kg/day) enhanced tumor growth (p =
0.033) and reduced the antitumor activity of gemcitabine (p = 0.008) in
fibrosarcoma-bearing Balb/c mice, but not in immunodeficient Balb/c nude mice. In
breast carcinoma-bearing FVB/N mice, pantoprazole had no effect on tumor growth
alone but it reduced the life-prolonging effect of doxorubicin significantly (p =
0.007). Taken together, these data raise concerns about the increasing use of
PPIs and calls for further studies addressing their safety among cancer patients.
PMID- 29658115
TI - Generalisation and specialisation in hoverfly (Syrphidae) grassland pollen
transport networks revealed by DNA metabarcoding.
AB - Pollination by insects is a key ecosystem service and important to wider
ecosystem function. Most species-level pollination networks studied have a
generalised structure, with plants having several potential pollinators, and
pollinators in turn visiting a number of different plant species. This is in
apparent contrast to a plant's need for efficient conspecific pollen transfer.
The aim of this study was to investigate the structure of pollen transport
networks at three levels of biological hierarchy: community, species and
individual. We did this using hoverflies in the genus Eristalis, a key group of
non-Hymenopteran pollinators. We constructed pollen transport networks using DNA
metabarcoding to identify pollen. We captured hoverflies in conservation
grasslands in west Wales, UK, removed external pollen loads, sequenced the pollen
DNA on the Illumina MiSeq platform using the standard plant barcode rbcL, and
matched sequences using a pre-existing plant DNA barcode reference library. We
found that Eristalis hoverflies transport pollen from 65 plant taxa, more than
previously appreciated. Networks were generalised at the site and species level,
suggesting some degree of functional redundancy, and were more generalised in
late summer compared to early summer. In contrast, pollen transport at the
individual level showed some degree of specialisation. Hoverflies defined as
"single-plant visitors" varied from 40% of those captured in early summer to 24%
in late summer. Individual hoverflies became more generalised in late summer,
possibly in response to an increase in floral resources. Rubus fruticosus agg.
and Succisa pratensis were key plant species for hoverflies at our sites Our
results contribute to resolving the apparent paradox of how generalised
pollinator networks can provide efficient pollination to plant species.
Generalised hoverfly pollen transport networks may result from a varied range of
short-term specialised feeding bouts by individual insects. The generalisation
and functional redundancy of Eristalis pollen transport networks may increase the
stability of the pollination service they deliver.
PMID- 29658116
TI - Differentiating the effects of anger and sadness: A perspective of spontaneous
trait inference.
AB - In the present research, three experiments were conducted to examine the effects
of anger and sadness on spontaneous trait inferences (STIs). Using a probe
recognition paradigm, Experiment 1 revealed that angry participants made more
errors in response to probes following trait-implying behaviours than sad
participants did. Using a false recognition paradigm, Experiments 2 and 3
revealed that angry participants made more errors in response to systematic pair
trials than sad participants did. The three experiments provided convergent
evidence that angry individuals were more inclined to form STIs than sad
individuals were. The current research first demonstrated the different effects
of specific negative mood states (anger vs. sadness) on STIs, providing further
insight into the relationship between mood and STIs.
PMID- 29658118
TI - Transcription factor WRKY22 promotes aluminum tolerance via activation of OsFRDL4
expression and enhancement of citrate secretion in rice (Oryza sativa).
AB - Whilst WRKY transcription factors are known to be involved in diverse plant
responses to biotic stresses, their involvement in abiotic stress tolerance is
poorly understood. OsFRDL4, encoding a citrate transporter, has been reported to
be regulated by ALUMINUM (Al) RESISTANCE TRANSCRIPTION FACTOR 1 (ART1) in rice,
but whether it is also regulated by other transcription factors is unknown. We
define the role of OsWRKY22 in response to Al stress in rice by using mutation
and transgenic complementation assays, and characterize the regulation of OsFRDL4
by OsWRKY22 via yeas one-hybrid, electrophoretic mobility shift assay and ChIP
quantitative PCR. We demonstrate that loss of OsWRKY22 function conferred by the
oswrky22 T-DNA insertion allele causes enhanced sensitivity to Al stress, and a
reduction in Al-induced citrate secretion. We next show that OsWRKY22 is
localized in the nucleus, functions as a transcriptional activator and is able to
bind to the promoter of OsFRDL4 via W-box elements. Finally, we find that both
OsFRDL4 expression and Al-induced citrate secretion are significantly lower in
art1 oswrky22 double mutants than in the respective single mutants. We conclude
that OsWRKY22 promotes Al-induced increases in OsFRDL4 expression, thus enhancing
Al-induced citrate secretion and Al tolerance in rice.
PMID- 29658120
TI - Erroneous conclusions about the safety of hydroxyethyl starch 130/0.4 in
paediatric cardiac patients?
PMID- 29658119
TI - Rice SPX6 negatively regulates the phosphate starvation response through
suppression of the transcription factor PHR2.
AB - Phosphorus (P) is an essential macronutrient for plant growth and development,
but the molecular mechanism determining how plants sense external inorganic
phosphate (Pi) levels and reprogram transcriptional and adaptive responses is
incompletely understood. In this study, we investigated the function of OsSPX6
(hereafter SPX6), an uncharacterized member of SPX domain (SYG1, Pho81 and XPR1)
containing proteins in rice, using reverse genetics and biochemical approaches.
Transgenic plants overexpressing SPX6 exhibited decreased Pi concentrations and
suppression of phosphate starvation-induced (PSI) genes. By contrast, transgenic
lines with decreased SPX6 transcript levels or spx6 mutant showed significant Pi
accumulation in the leaf and upregulation of PSI genes. Overexpression of SPX6
genetically suppressed the overexpression of PHOSPHATE STARVATION RESPONSE
REGULATOR 2 (PHR2) in terms of the accumulation of high Pi content. Moreover,
direct interaction of SPX6 with PHR2 impeded PHR2 translocation into the nucleus,
and inhibited PHR2 binding to the P1BS (PHR1 binding sequence) element. SPX6
protein was degraded in leaves under Pi-deficient conditions, whereas it
accumulated in roots. We conclude that rice SPX6 is another important negative
regulator in Pi starvation signaling through the interaction with PHR2. SPX6
shows different responses to Pi starvation in shoot and root, which differ from
those of other SPX proteins.
PMID- 29658117
TI - Mapping the tumour human leukocyte antigen (HLA) ligandome by mass spectrometry.
AB - The entirety of human leukocyte antigen (HLA)-presented peptides is referred to
as the HLA ligandome of a cell or tissue, in tumours often termed
immunopeptidome. Mapping the tumour immunopeptidome by mass spectrometry (MS)
comprehensively views the pathophysiologically relevant antigenic signature of
human malignancies. MS is an unbiased approach stringently filtering the
candidates to be tested as opposed to epitope prediction algorithms. In the
setting of peptide-specific immunotherapies, MS-based strategies significantly
diminish the risk of lacking clinical benefit, as they yield highly enriched
amounts of truly presented peptides. Early immunopeptidomic efforts were severely
limited by technical sensitivity and manual spectra interpretation. The
technological progress with development of orbitrap mass analysers and enhanced
chromatographic performance led to vast improvements in mass accuracy,
sensitivity, resolution, and speed. Concomitantly, bioinformatic tools were
developed to process MS data, integrate sequencing results, and deconvolute multi
allelic datasets. This enabled the immense advancement of tumour
immunopeptidomics. Studying the HLA-presented peptide repertoire bears high
potential for both answering basic scientific questions and translational
application. Mapping the tumour HLA ligandome has started to significantly
contribute to target identification for the design of peptide-specific cancer
immunotherapies in clinical trials and compassionate need treatments. In contrast
to prediction algorithms, rare HLA allotypes and HLA class II can be adequately
addressed when choosing MS-guided target identification platforms. Herein, we
review the identification of tumour HLA ligands focusing on sources, methods,
bioinformatic data analysis, translational application, and provide an outlook on
future developments.
PMID- 29658121
TI - Epidural volume extension - a reply.
PMID- 29658123
TI - Correction.
PMID- 29658122
TI - Interpreting the Cormack and Lehane classification during videolaryngoscopy.
PMID- 29658124
TI - Cerebral oximetry and postoperative delirium after cardiac surgery - a reply.
PMID- 29658125
TI - Correction.
PMID- 29658126
TI - Safety of hydroxyethyl starch 130/0.4 in paediatric cardiac patients -
statistical note.
PMID- 29658127
TI - Correction.
PMID- 29658128
TI - End-tidal carbon dioxide monitoring during paediatric general anaesthesia - a
reply.
PMID- 29658129
TI - Airway spider or airway spiders?
PMID- 29658130
TI - Cerebral oximetry and postoperative delirium after cardiac surgery.
PMID- 29658131
TI - Epidural volume extension.
PMID- 29658132
TI - Assessing the renal safety of hydroxyethyl starch 130/0.4 in paediatric cardiac
patients.
PMID- 29658133
TI - End-tidal carbon dioxide monitoring during paediatric general anaesthesia.
PMID- 29658134
TI - Safety of hydroxyethyl starch 130/0.4 in paediatric cardiac patients - a reply.
PMID- 29658135
TI - Cross-cultural invariance of NPI-13: Entitlement as culturally specific,
leadership and grandiosity as culturally universal.
AB - The current study explores the problem with the lack of measurement invariance
for the Narcissistic Personality Inventory (NPI) by addressing two issues:
conceptual heterogeneity of narcissism and methodological issues related to the
binary character of data. We examine the measurement invariance of the 13-item
version of the NPI in three populations in Japan, Poland and the UK. Analyses
revealed that leadership/authority and grandiose exhibitionism dimensions of the
NPI were cross-culturally invariant, while entitlement/exploitativeness was
culturally specific. Therefore, we proposed NPI-9 as indicating scalar
invariance, and we examined the pattern of correlations between NPI-9 and other
variables across three countries. The results suggest that NPI-9 is valid brief
scale measuring general levels of narcissism in cross-cultural studies, while the
NPI-13 remains suitable for research within specific countries.
PMID- 29658136
TI - Predatory journals: Enough is enough.
PMID- 29658137
TI - Cutis tricolor parvimaculata in ring chromosome 15 syndrome: A case report.
AB - Ring chromosome 15 syndrome is a rare condition, with approximately 50 cases
reported in the literature. We report a 2-year-old girl with ring chromosome 15
syndrome with hyperpigmented and hypopigmented patches and cognitive and physical
manifestations.
PMID- 29658138
TI - Describing and evaluating healthcare priority setting practices at the county
level in Kenya.
AB - BACKGROUND: Healthcare priority setting research has focused at the macro
(national) and micro (patient level), while there is a dearth of literature on
meso-level (subnational/regional) priority setting practices. In this study, we
aimed to describe and evaluate healthcare priority setting practices at the
county level in Kenya. METHODS: We used a qualitative case study approach to
examine the planning and budgeting processes in 2 counties in Kenya. We collected
the data through in-depth interviews of senior managers, middle-level managers,
frontline managers, and health partners (n = 23) and document reviews. We
analyzed the data using a framework approach. FINDINGS: The planning and
budgeting processes in both counties were characterized by misalignment and the
dominance of informal considerations in decision making. When evaluated against
consequential conditions, efficiency and equity considerations were not
incorporated in the planning and budgeting processes. Stakeholders were more
satisfied and understood the planning process compared with the budgeting
process. There was a lack of shifting of priorities and unsatisfactory
implementation of decisions. Against procedural conditions, the planning process
was more inclusive and transparent and stakeholders were more empowered compared
with the budgeting process. There was ineffective use of data, lack of provisions
for appeal and revisions, and limited mechanisms for incorporating community
values in the planning and budgeting. CONCLUSION: County governments can improve
the planning and budgeting processes by aligning them, implementing a systematic
priority setting process with explicit resource allocation criteria, and adhering
to both consequential and procedural aspects of an ideal priority setting
process.
PMID- 29658139
TI - Evaluating community participation: A comparison of participatory approaches in
the planning and implementation of new primary health-care services in northern
Australia.
AB - Community participation is increasingly seen as a prerequisite for more
acceptable and sustainable health services. It is difficult to evaluate the
extent of participation in health planning and implementation of services, and
there are limited tools available to assist in evaluating such processes. Our
paper reports on community participation as part of the implementation of 2
primary health programs in regional north Queensland, Australia. We define
community participation as collective involvement of people, including
consultation, from a community of place or interest in aspects of health service
development. We pragmatically evaluate and compare the extent of participation by
using a framework developed by Rifkin and colleagues in 1988 and subsequently
refined. Data collected from the implementation of each program were analyzed and
ranked on a spidergram against 5 process indicators: needs assessment,
leadership, resource mobilization, management, and organization. Community
participation was found to vary across the programs but was most extensive in
both programs in identifying need and potential solutions. Both programs
demonstrated high levels of integration of the implementation of health programs
with preexisting community structures. Involving local communities in genuine
opportunities in managing the programs and mobilizing resources was more
challenging. Key differences emerged in the people involved in the programs, the
settings and frameworks used to facilitate implementation. We conclude that
Rifkin's process indicators are a useful starting point for assessing community
participation, particularly for health planners who are required to include
participatory approaches when planning and implementing services. We suggest
areas that require further consideration.
PMID- 29658140
TI - Texture analysis of second-harmonic-generation images for quantitative analysis
of reticular dermal collagen fibre in vivo in human facial cheek skin.
AB - Second-harmonic-generation (SHG) microscopy is a powerful tool for in vivo
visualisation of collagen fibres in human skin because of its specific collagen
selectivity without the need for staining, non-invasiveness and high-resolution
three-dimensional imaging. Although texture analysis of SHG images is a promising
method for the quantitative analysis of well-orientated collagen fibre structure
in the tendon and cornea, there are few attempts to assess cutaneous ageing. In
this study, we applied two texture analysis techniques, namely autocorrelation
(2D-AC) analysis and two-dimensional Fourier transform (2D-FT), to evaluate the
age-dependent changes in reticular dermal collagen fibres in in vivo human cheek
skin. Age-dependent changes in the reticular dermal collagen fibres of female
subjects in their 20s, 40s and 60s clearly appeared in these texture analyses.
Furthermore, the parameter from 2D-AC analysis showed a significantly higher
correlation with skin elasticity measured by a Cutometer(r) . These results
clearly indicate that 2D-AC analysis of SHG images is highly promising for the
quantitative evaluation of age-dependent change in facial collagen fibres as well
as skin elasticity. An appropriate texture analysis will help to provide
quantitative insight into collagen fibre structure and will be useful for the
diagnosis of pathological conditions as well as cutaneous ageing in skin.
PMID- 29658141
TI - Formal and informal inter-organizational coordination: How nurses adapt in
complex pathways.
AB - With the coordination of health care services becoming increasingly complex, the
challenges of fragmentation cannot be solved by administrative restructuring
alone. Attention must also be given to the coordination practices of professional
groups, and, in this respect, the nursing profession is a particularly
interesting case. Based on a qualitative case study of Danish nurses in hospital,
municipality, and general practice, this article addresses the following
question: How does the nursing profession practice formal and informal inter
organisational coordination in complex pathways, and what is the interplay
between the 2 types of coordination? The findings contribute to our knowledge of
coordination at the operative level of health care by identifying specific
informal practices in inter-organisational coordination and by showing how
informal coordination is activated to support formal coordination in a concurrent
organisational and professional ambition of integration. The nurses studied here
proved very loyal to formal inter-organisational coordination mechanisms,
prioritising them as first choices of action. When formal procedures were found
insufficient, however, the nurses temporarily switched to informal coordination.
This was triggered by random encounters with fragmentation, a strong professional
engagement in making things work in the interest of the patient, and a constant
striving to be on top of things. Informal inter-organisational coordination is
broken down into supplementary and by-passing practices, and 4 specific by
passing practices are identified. The discussion offers insight into how a lack
of agency related to formal inter-organisational coordination can be related to
negotiated settlements, and informal coordination is considered in terms of "rule
bending" within complex systems.
PMID- 29658142
TI - Firearm Storage Practices and Risk Perceptions Among a Nationally Representative
Sample of U.S. Veterans With and Without Self-Harm Risk Factors.
AB - Despite the disproportionate use of firearms in Veteran suicides and the well
established link between firearm access and suicide, little is known about how
Veterans store their firearms or what they think about the relationship between
firearm access and suicide risk. Using data from 2015 nationally representative
online survey (response rate 60.9%), we compare characteristics of Veteran
firearm owners with and without self-harm risk factors with respect to how they
store their firearms and their beliefs about suicide risk related to firearms.
Overall, one in three U.S. Veteran firearm owners store household firearms loaded
and unlocked, one in twenty believe that a firearm increases household suicide
risk, and one in four consider their loaded and unlocked firearm to be
inaccessible to suicidal household members. Storage practices and risk
perceptions are similar among those with and without self-reported suicide risk
factors. Affecting risk perceptions may be a critical aspect of interventions
addressing lethal means safety among U.S. Veterans.
PMID- 29658143
TI - A new prognostic model identifies patients aged 80 years and older with diffuse
large B-cell lymphoma who may benefit from curative treatment: A multicenter,
retrospective analysis by the Spanish GELTAMO group.
AB - The means of optimally managing very elderly patients with diffuse large B-cell
lymphoma (DLBCL) has not been established. We retrospectively analyzed 252
patients aged 80-100 years, diagnosed with DLBCL or grade 3B follicular lymphoma,
treated in 19 hospitals from the GELTAMO group. Primary objective was to analyze
the influence of the type of treatment and comorbidity scales on progression-free
survival (PFS) and overall survival (OS). One hundred sixty-three patients (63%)
were treated with chemotherapy that included anthracyclines and/or rituximab,
whereas 15% received no chemotherapeutic treatment. With a median follow-up of 44
months, median PFS and OS were 9.5 and 12.5 months, respectively. In an analysis
restricted to the 205 patients treated with any kind of chemotherapy, comorbidity
scales did not influence the choice of treatment type significantly. Independent
factors associated with better PFS and OS were: age < 86 years, cumulative
illness rating scale (CIRS) score < 6, intermediate risk (1-2) R-IPI, and
treatment with R-CHOP at full or reduced doses. We developed a prognostic model
based on the multivariate analysis of the 108 patients treated with R-CHOP-like:
median OS was 45 vs. 12 months (P = .001), respectively, for patients with 0-1
vs. 2-3 risk factors (age > 85 years, R-IPI 3-5 or CIRS > 5). In conclusion,
treatment with R-CHOP-like is associated with good survival in a significant
proportion of patients. We have developed a simple prognostic model that may aid
the selection patients who could benefit from a curative treatment, although it
needs to be validated in larger series.
PMID- 29658144
TI - Bone fragility in Turner syndrome: Fracture prevalence and risk factors
determined by a national patient survey.
AB - OBJECTIVE: Osteoporosis is considered a comorbidity of adult women with Turner
syndrome (TS). Limited data are available on fracture prevalence in girls and
women with this diagnosis. We aimed to determine the prevalence of fractures in
individuals with TS in the United States and identify risk factors for fracture.
DESIGN: Girls and women with TS were invited to participate in an anonymous, self
report, national survey from November 2016 to March 2017. Non-TS controls were
obtained through direct contacts of TS participants. RESULTS: During childhood (0
12 years), adolescence (13-25 years) and young adulthood (26-45 years), there was
no difference between TS and controls in fracture prevalence. Girls and women
with TS were more likely to report upper extremity fractures, whereas controls
were more likely to report phalangeal fractures. Older women (>45 years) with TS
were more likely to fracture than non-TS controls (P = .01). Balance problems
were more common in individuals with TS than controls (26.5% vs 14.8%, P =
.0006). In TS, those reporting balance problems were 54% more likely to have a
prior fracture than those without balance problems (OR=1.54, 95% CI 1.03, 2.30),
even after controlling for age. There was no significant association between
balance problems and fractures among controls. CONCLUSIONS: In a nationwide
survey, there was no difference in fracture prevalence in younger women with TS
compared with controls. However, the location of fractures differed. After
controlling for age, impaired balance was associated with an increased fracture
risk in TS and may be an underrecognized risk factor for fracture in this
population.
PMID- 29658145
TI - Long-lasting allergic contact dermatitis caused by methylisothiazolinone in wall
paint: A case report.
PMID- 29658146
TI - UVA, metabolism and melanoma: UVA makes melanoma hungry for metastasis.
AB - Ultraviolet (UV) radiation has a plethora of effects on human tissues. In the UV
spectrum, wavelengths above 320 nm fall into the UVA range, and for these, it has
been shown that they induce reactive oxygen species (ROS), DNA mutations and are
capable to induce melanoma in mice. In addition to this, it was recently shown
that UVA irradiation and UVA-induced ROS also increase glucose metabolism of
melanoma cells. UVA irradiation causes a persistent increase in glucose
consumption, accompanied by increased glycolysis, increased lactic acid
production and activation of the pentose phosphate pathway. Furthermore, it was
shown that the enhanced secretion of lactic acid is important for invasion of
melanoma in vitro. The current knowledge of this link between UVA, metabolism and
melanoma, possible mechanisms of UVA-induced glucose metabolism and their
starting points are discussed in this review with focus on ROS- and UVA-induced
cellular stress signalling, DNA damage signalling and DNA repair systems. When
looking at the benefits of UVA-induced glucose metabolism, it becomes apparent
that there are more advantages of these metabolic changes than one would expect.
Besides the role of lactic acid as initiator of protease expression and invasion,
its role for immune escape of melanoma cells and the pentose phosphate pathway
derived nicotinamide adenine dinucleotide phosphate (NADPH) as part of a ROS
detoxification strategy are discussed.
PMID- 29658147
TI - Vertical movement symmetry of the withers in horses with induced forelimb and
hindlimb lameness at trot.
AB - BACKGROUND: The main criteria for lameness assessment in horses are head movement
for forelimb lameness and pelvic movement for hindlimb lameness. However,
compensatory head nod in horses with primary hindlimb lameness is a well-known
phenomenon. This compensatory head nod movement can be easily misinterpreted as a
sign of primary ipsilateral forelimb lameness. Therefore, discriminating
compensatory asymmetries from primary directly pain-related movement asymmetries
is a prerequisite for successful lameness assessment. OBJECTIVES: To investigate
the association between head, withers and pelvis movement asymmetry in horses
with induced forelimb and hindlimb lameness. STUDY DESIGN: Experimental study.
METHODS: In 10 clinically sound Warmblood riding horses, forelimb and hindlimb
lameness were induced using a sole pressure model. The horses were then trotted
on a treadmill. Three-dimensional optical motion capture was used to collect
kinematic data from reflective markers attached to the poll, withers and tubera
sacrale. The magnitude and side (left or right) of the following symmetry
parameters, vertical difference in minimum position, maximum position and range
up were calculated for head, withers, and pelvis. Mixed models were used to
analyse data from induced forelimb and hindlimb lameness. RESULTS: For each mm
increase in pelvic asymmetry in response to hindlimb lameness induction, withers
movement asymmetry increased by 0.35-0.55 mm, but towards the contralateral side.
In induced forelimb lameness, for each mm increase in head movement asymmetry,
withers movement asymmetry increased by 0.05-0.10 mm, in agreement with the head
movement asymmetry direction, both indicating lameness in the induced forelimb.
MAIN LIMITATIONS: Results must be confirmed in clinically lame horses trotting
overground. CONCLUSIONS: The vertical asymmetry pattern of the withers
discriminated a head nod associated with true forelimb lameness from the
compensatory head movement asymmetry caused by primary hindlimb lameness.
Measuring movement symmetry of the withers may, thus, aid in determining primary
lameness location.
PMID- 29658148
TI - Biochemical and biomechanical characterisation of equine cervical facet joint
cartilage.
AB - BACKGROUND: The equine cervical facet joint is a site of significant pathology.
Located bilaterally on the dorsal spine, these diarthrodial joints work in
conjunction with the intervertebral disc to facilitate appropriate spinal motion.
Despite the high prevalence of pathology in this joint, the facet joint is
understudied and thus lacking in viable treatment options. OBJECTIVE: The goal of
this study was to characterise equine facet joint cartilage and provide a
comprehensive database describing the morphological, histological, biochemical
and biomechanical properties of this tissue. STUDY DESIGN: Descriptive cadaver
studies. METHODS: A total of 132 facet joint surfaces were harvested from the
cervical spines of six skeletally mature horses (11 surfaces per animal) for
compiling biomechanical and biochemical properties of hyaline cartilage of the
equine cervical facet joints. Gross morphometric measurements and histological
staining were performed on facet joint cartilage. Creep indentation and uniaxial
strain-to-failure testing were used to determine the biomechanical compressive
and tensile properties. Biochemical assays included quantification of total
collagen, sulfated glycosaminoglycan and DNA content. RESULTS: The facet joint
surfaces were ovoid in shape with a flat articular surface. Histological analyses
highlighted structures akin to articular cartilage of other synovial joints. In
general, biomechanical and biochemical properties did not differ significantly
between the inferior and superior joint surfaces as well as among spinal levels.
Interestingly, compressive and tensile properties of cervical facet articular
cartilage were lower than those of articular cartilage from other previously
characterised equine joints. Removal of the superficial zone reduced the tissue's
tensile strength, suggesting that this zone is important for the tensile
integrity of the tissue. MAIN LIMITATIONS: Facet surfaces were sampled at a
single, central location and do not capture the potential topographic variation
in cartilage properties. CONCLUSIONS: This is the first study to report the
properties of equine cervical facet joint cartilage and may serve as the
foundation for the development of future tissue-engineered replacements as well
as other treatment strategies.
PMID- 29658149
TI - Coagulation parameters following equine herpesvirus type 1 infection in horses.
AB - BACKGROUND: Equine herpesvirus type 1 (EHV-1) is the cause of respiratory
disease, abortion storms, and outbreaks of herpesvirus myeloencephalopathy (EHM).
Infection of the spinal cord is characterised by multifocal regions of virally
infected vascular endothelium, associated with vasculitis, thrombosis and
haemorrhage that result in ischaemia and organ dysfunction. However, the
mechanism of thrombosis in affected horses is unknown. OBJECTIVES: To evaluate
tissue factor (TF) procoagulant activity and thrombin-antithrombin complex (TAT)
levels in horses following infection with EHV-1. STUDY DESIGN: In vitro and in
vivo studies following experimental EHV-1 infection. METHODS: Horses were
infected with EHV-1 and levels of peripheral blood mononuclear cell (PBMC)
associated TF activity; plasma and cerebrospinal fluid (CSF)-derived microvesicle
(MV)-associated TF activity and TAT complexes in plasma were examined. RESULTS:
EHV-1 infection increased PBMC TF procoagulant activity in vitro and in vivo. In
infected horses, this increase was observed during the acute infection and was
most marked at the onset and end of viraemia. However, no significant differences
were observed between the horses that showed signs of EHM and the horses that did
not develop EHM. Significant changes in MV-associated TF procoagulant activity
and TAT complexes were not observed in infected horses. MAIN LIMITATIONS: A small
number of horses typically exhibit clinical EHM following experimental infection.
CONCLUSIONS: The results indicate that EHV-1 infection increases PBMC-associated
TF procoagulant activity in vivo and in vitro. Additional in vivo studies are
needed to better understand the role of TF-dependent coagulation during EHM
pathogenesis in horses.
PMID- 29658150
TI - Proximal patellar tendon pathology can develop during adolescence in young ballet
dancers-A 2-year longitudinal study.
AB - Patellar tendinopathy (tendon pain and dysfunction), or jumper's knee, is
prevalent in adult jumping athletes. Pathology in the proximal patellar tendon is
a key risk factor for developing patellar tendinopathy. When pathology develops
in the proximal patellar tendon is not known, although it is reported to exist in
adolescent athletes. The aim of this study was to follow young jumping athletes
(ballet dancers) through adolescence to identify whether pathology develops and
its relation to the adolescent growth spurt. Fifty-seven elite ballet students
between ages 11 and 18 were monitored for 2 years. Data were collected every 6
months, including an ultrasound scan on their left tendons using ultrasound
tissue characterization (UTC) to quantify intratendinous changes, anthropometric
data to calculate peak height velocity (adolescent growth spurt), participant
reports of any injuries or dance modifications, and a VISA-P and single leg
decline squat for patellar tendon pain. Nine percentage of adolescent dancers
developed pathology during this study, and development was not associated with
growth spurt. Peak height velocity and dance participation/volume both at the
start and throughout the study were similar in those who did develop pathology
and those who did not. Only 2 of 5 participants who developed pathology reported
pain associated with their tendon. Pathology in the proximal patellar tendon can
develop during adolescence.
PMID- 29658151
TI - Towards the automated identification of Chrysomya blow flies from wing images.
AB - The Old World screwworm fly (OWSF), Chrysomya bezziana (Diptera: Calliphoridae),
is an important agent of traumatic myiasis and, as such, a major human and animal
health problem. In the implementation of OWSF control operations, it is important
to determine the geographical origins of such disease-causing species in order to
establish whether they derive from endemic or invading populations. Gross
morphological and molecular studies have demonstrated the existence of two
distinct lineages of this species, one African and the other Asian. Wing
morphometry is known to be of substantial assistance in identifying the
geographical origin of individuals because it provides diagnostic markers that
complement molecular diagnostics. However, placement of the landmarks used in
traditional geometric morphometric analysis can be time-consuming and subject to
error caused by operator subjectivity. Here we report results of an image-based
approach to geometric morphometric analysis for delivering wing-based
identifications. Our results indicate that this approach can produce
identifications that are practically indistinguishable from more traditional
landmark-based results. In addition, we demonstrate that the direct analysis of
digital wing images can be used to discriminate between three Chrysomya species
of veterinary and forensic importance and between C. bezziana genders.
PMID- 29658152
TI - Extending health insurance coverage to the informal sector: Lessons from a
private micro health insurance scheme in Lagos, Nigeria.
AB - BACKGROUND: As a growing number of low- and middle-income countries commit to
achieving universal health coverage, one key challenge is how to extend coverage
to informal sector workers. Micro health insurance (MHI) provides a potential
model to finance health services for this population. This study presents lessons
from a pilot study of a mandatory MHI plan offered by a private insurance company
and distributed through a microfinance bank to urban, informal sector workers in
Lagos, Nigeria. METHODS: Study methods included a survey of microfinance clients,
key informant interviews, and a review of administrative records. RESULTS:
Demographic, health care seeking, and willingness-to-pay data suggested that
microfinance clients, particularly women, could benefit from a comprehensive MHI
plan that improved access to health care and reduced out-of-pocket spending on
health services. However, administrative data revealed declining enrollment, and
key informant interviews further suggested low use of the health insurance plan.
Key implementation challenges, including changes to mandatory enrollment
requirements, insufficient client education and marketing, misaligned incentives,
and weak back-office systems, undermined enrollment and use of the plan.
CONCLUSIONS: Mandatory MHI plans, intended to mitigate adverse selection and
facilitate private insurers' entry into new markets, present challenges for
covering informal sector workers, including when distributed through agents such
as a microfinance bank. Properly aligning the incentives of the insurer and the
agent are critical to effectively distribute and service insurance. Further, an
urban environment presents unique challenges for distributing MHI, addressing
client perceptions of health insurance, and meeting their health care needs.
PMID- 29658153
TI - Cell size, photosynthesis and the package effect: an artificial selection
approach.
AB - Cell size correlates with most traits among phytoplankton species. Theory
predicts that larger cells should show poorer photosynthetic performance, perhaps
due to reduced intracellular self-shading (i.e. package effect). Yet current
theory relies heavily on interspecific correlational approaches and causal
relationships between size and photosynthetic machinery have remained untested.
As a more direct test, we applied 250 generations of artificial selection (c. 20
months) to evolve the green microalga Dunaliella teriolecta (Chlorophyta) toward
different mean cell sizes, while monitoring all major photosynthetic parameters.
Evolving larger sizes (> 1500% difference in volume) resulted in reduced oxygen
production per chlorophyll molecule - as predicted by the package effect.
However, large-evolved cells showed substantially higher rates of oxygen
production - a finding unanticipated by current theory. In addition, volume
specific photosynthetic pigments increased with size (Chla+b), while photo
protectant pigments decreased (beta-carotene). Finally, larger cells displayed
higher growth performances and Fv /Fm , steeper slopes of rapid light curves
(alpha) and smaller light-harvesting antennae (sigmaPSII ) with higher
connectivity (rho). Overall, evolving a common ancestor into different sizes
showed that the photosynthetic characteristics of a species coevolves with cell
volume. Moreover, our experiment revealed a trade-off between chlorophyll
specific (decreasing with size) and volume-specific (increasing with size) oxygen
production in a cell.
PMID- 29658154
TI - Vitamin D and bone health: key involvement of physical activity.
PMID- 29658155
TI - Syntheses and Properties of Metal Nanomaterials with Novel Crystal Phases.
AB - In recent decades, researchers have devoted tremendous effort into the rational
design and controlled synthesis of metal nanomaterials with well-defined size,
morphology, composition, and structure, and great achievements have been reached.
However, the crystal-phase engineering of metal nanomaterials still remains a big
challenge. Recent research has revealed that the crystal phase of metal
nanomaterials can significantly alter their properties, arising from the distinct
atomic arrangement and modified electronic structure. Until now, it has been
relatively uncommon to synthesize metal nanomaterials with novel crystal phases
in spite of the fact that these nanostructures would be promising for various
applications. Here, the research progress regarding the fine control of noble
metal (Au, Ag, Ru, Rh, Pd) and non-noble metal (Fe, Co, Ni) nanomaterials with
novel crystal phases is reviewed. First, synthesis strategies and their phase
transformations are summarized, while highlighting the peculiar characteristics
of each element. The phase-dependent properties are then discussed by providing
representative examples. Finally, the challenges and perspectives in this
emerging field are proposed.
PMID- 29658156
TI - Rhizarian 'Novel Clade 10' Revealed as Abundant and Diverse Planktonic and
Terrestrial Flagellates, including Aquavolon n. gen.
AB - Rhizarian 'Novel Clade 10' (NC10) is frequently detected by 18S rRNA gene
sequencing studies in freshwater planktonic samples. We describe a new genus and
two species of eukaryovorous biflagellate protists, Aquavolon hoantrani n. gen.
n. sp. and A. dientrani n. gen. n. sp., which represent the first morphologically
characterized members of NC10, here named Aquavolonida ord. nov. The slightly
metabolic cells possess naked heterodynamic flagella, whose kinetosomes lie at a
right angle to each other and are connected by at least one fibril. Unlike their
closest known relative Tremula longifila, they rotate around their longitudinal
axis when swimming and only very rarely glide on surfaces. Screening of a wide
range of environmental DNA extractions with lineage-specific PCR primers reveals
that Aquavolonida consists of a large radiation of protists, which are most
diversified in freshwater planktonic habitats and as yet undetected in marine
environments. Earlier-branching lineages in Aquavolonida include less frequently
detected organisms from soils and freshwater sediments. The 18S rRNA gene
phylogeny suggests that Aquavolonida forms a common evolutionary lineage with
tremulids and uncharacterized 'Novel Clade 12', which likely represents one of
the deepest lineages in the Rhizaria, separate from Cercozoa (Filosa), Endomyxa,
and Retaria.
PMID- 29658157
TI - Temperature influences habitat preference of coral reef fishes: Will generalists
become more specialised in a warming ocean?
AB - Climate change is expected to pose a significant risk to species that exhibit
strong behavioural preferences for specific habitat types, with generalist
species assumed to be less vulnerable. In this study, we conducted habitat choice
experiments to determine how water temperature influences habitat preference for
three common species of coral reef damselfish (Pomacentridae) that differ in
their levels of habitat specialisation. The lemon damselfish Pomacentrus
moluccensis, a habitat specialist, consistently selected complex coral habitat
across all temperature treatments (selected based on local average seasonal
temperatures naturally experienced in situ: ambient winter 22 degrees C; ambient
summer 28 degrees C; and elevated 31 degrees C). Unexpectedly, the neon
damselfish Pomacentrus coelestis and scissortail sergeant Abudefduf sexfasciatus,
both of which have more generalist habitat associations, developed strong habitat
preferences (for complex coral and boulder habitat, respectively) at the elevated
temperature treatment (31 degrees C) compared to no single preferred habitat at
22 degrees C or 28 degrees C. The observed shifts in habitat preference with
temperature suggest that we may be currently underestimating the vulnerability of
some habitat generalists to climate change and highlight that the ongoing loss of
complex live coral through coral bleaching could further exacerbate resource
overlap and species competition in ways not currently considered in climate
change models.
PMID- 29658158
TI - Limited evidence for CO2 -related growth enhancement in northern Rocky Mountain
lodgepole pine populations across climate gradients.
AB - Forests sequester large amounts of carbon annually and are integral in buffering
against effects of global change. Increasing atmospheric CO2 may enhance
photosynthesis and/or decrease stomatal conductance (gs ) thereby enhancing
intrinsic water-use efficiency (iWUE), having potential indirect and direct
benefits to tree growth. While increasing iWUE has been observed in most trees
globally, enhanced growth is not ubiquitous, possibly due to concurrent climatic
constraints on growth. To investigate our incomplete understanding of
interactions between climate and CO2 and their impacts on tree physiology and
growth, we used an environmental gradient approach. We combined dendrochronology
with carbon isotope analysis (delta13 C) to assess the covariation of basal area
increment (BAI) and iWUE over time in lodgepole pine. Trees were sampled at 18
sites spanning two climatically distinct elevation transects on the lee and
windward sides of the Continental Divide, encompassing the majority of lodgepole
pine's northern Rocky Mountain elevational range. We analyzed BAI and iWUE from
1950 to 2015, and explored correlations with monthly climate variables. As
expected, iWUE increased at all sites. However, concurrent growth trends depended
on site climatic water deficit (CWD). Significant growth increases occurred only
at the driest sites, where increases in iWUE were strongest, while growth
decreases were greatest at sites where CWD has been historically lowest. Late
summer drought of the previous year negatively affected growth across sites.
These results suggest that increasing iWUE, if strong enough, may indirectly
benefit growth at drier sites by effectively extending the growing season via
reductions in gs . Strong growth decreases at high elevation windward sites may
reflect increasing water stress as a result of decreasing snowpack, which was not
offset by greater iWUE. Our results imply that increasing iWUE driven by
decreasing gs may benefit tree growth in limited scenarios, having implications
for future carbon uptake potential of semiarid ecosystems.
PMID- 29658159
TI - The influence of developmental environment on courtship song in cactophilic
Drosophila.
AB - Closely related species often differ in the signals involved in sexual
communication and mate recognition. Determining the factors influencing signal
quality (i.e. signal's content and conspicuousness) provides an important insight
into the potential pathways by which these interspecific differences evolve. Host
specificity could bias the direction of the evolution of sexual communication and
the mate recognition system, favouring sensory channels that work best in the
different host conditions. In this study, we focus on the cactophilic sibling
species Drosophila buzzatii and D. koepferae that have diverged not only in the
sensory channel used for sexual communication and mate recognition but also in
the cactus species that use as primary hosts. We evaluate the role of the
developmental environment in generating courtship song variation using an
isofemale line design. Our results show that host environment during development
induces changes in the courtship song of D. koepferae males, but not in D.
buzzatii males. Moreover, we report for the first time that host rearing
environment affects the conspicuousness of courtship song (i.e. song volume). Our
results are mainly discussed in the context of the sensory drive hypothesis.
PMID- 29658160
TI - "Butterfly Wings" Stabilize Heptacene.
AB - The synthesis of bisalkynylated derivatives of tetrabenzo[a,c,p,r]heptacene and
tetrabenzo[a,c,l,n]pentacene via two-/fourfold Stille reactions involving a 9
stannafluorene and suitable tetrabromoacenes is reported. These triphenylene
"winged" heptacenes are surprisingly stable and maintain a significant portion of
the electronic properties of heptacenes.
PMID- 29658161
TI - Parallel evolutionary forces influence the evolution of male and female songs in
a tropical songbird.
AB - Given the important role that animal vocalizations play in mate attraction and
resource defence, acoustic signals are expected to play a significant role in
speciation. Most studies, however, have focused on the acoustic traits of male
animals living in the temperate zone. In contrast to temperate environments, in
the tropics, it is commonplace for both sexes to produce complex acoustic
signals. Therefore, tropical birds offer the opportunity to compare the sexes and
provide a more comprehensive understanding of the evolution of animal signals. In
this study, we quantified patterns of acoustic variation in Rufous-and-white
Wrens (Thryophilus rufalbus) from five populations in Central America. We
quantified similarities and differences between male and female songs by
comparing the role that acoustic adaptation, cultural isolation and neutral
genetic divergence have played in shaping acoustic divergence. We found that
males and females showed considerable acoustic variation across populations,
although females exhibited greater population divergence than males. Redundancy
analysis and partial-redundancy analysis revealed significant relationships
between acoustic variation and ecological variables, genetic distance, and
geographic distance. Both ambient background noise and geographic distance
explained a high proportion of variance for both males and females, suggesting
that both acoustic adaptation and cultural isolation influence song. Overall, our
results indicate that parallel evolutionary forces act on male and female
acoustic signals and highlight the important role that cultural drift and
selection play in the evolution of both male and female songs.
PMID- 29658162
TI - Web building and silk properties functionally covary among species of wolf
spider.
AB - Although phylogenetic studies have shown covariation between the properties of
spider major ampullate (MA) silk and web building, both spider webs and silks are
highly plastic so we cannot be sure whether these traits functionally covary or
just vary across environments that the spiders occupy. As MaSp2-like proteins
provide MA silk with greater extensibility, their presence is considered
necessary for spider webs to effectively capture prey. Wolf spiders (Lycosidae)
are predominantly non-web building, but a select few species build webs. We
accordingly collected MA silk from two web-building and six non-web-building
species found in semirural ecosystems in Uruguay to test whether the presence of
MaSp2-like proteins (indicated by amino acid composition, silk mechanical
properties and silk nanostructures) was associated with web building across the
group. The web-building and non-web-building species were from disparate
subfamilies so we estimated a genetic phylogeny to perform appropriate
comparisons. For all of the properties measured, we found differences between web
building and non-web-building species. A phylogenetic regression model confirmed
that web building and not phylogenetic inertia influences silk properties. Our
study definitively showed an ecological influence over spider silk properties. We
expect that the presence of the MaSp2-like proteins and the subsequent
nanostructures improves the mechanical performance of silks within the webs. Our
study furthers our understanding of spider web and silk co-evolution and the
ecological implications of spider silk properties.
PMID- 29658163
TI - A Novel Oncolytic Herpes Capable of Cell-Specific Transcriptional Targeting of
CD133+/- Cancer Cells Induces Significant Tumor Regression.
AB - The topic of cancer stem cells (CSCs) is of significant importance due to its
implications in our understanding of the tumor biology as well as the development
of novel cancer therapeutics. However, the question of whether targeting CSCs can
hamper the growth of tumors remains mainly unanswered due to the lack of specific
agents for this purpose. To address this issue, we have developed the first
mutated version of herpes simplex virus-1 that is transcriptionally targeted
against CD133+ cells. CD133 has been portrayed as one of the most important
markers in CSCs involved in the biology of a number of human cancers, including
liver, brain, colon, skin, and pancreas. The virus developed in this work, Signal
Smart 2, showed specificity against CD133+ cells in three different models
(hepatocellular carcinoma, colorectal cancer, and melanoma) resulting in a loss
of viability and invasiveness of cancer cells. Additionally, the virus showed
robust inhibitory activity against in vivo tumor growth in both preventive and
therapeutic mouse models as well as orthotopic model highly relevant to potential
clinical application of this virus. Therefore, we conclude that targeting CD133+
CSCs has the potential to be pursued as a novel strategy against cancer. Stem
Cells 2018;36:1154-1169.
PMID- 29658164
TI - Concise Review: Bipotent Megakaryocytic-Erythroid Progenitors: Concepts and
Controversies.
AB - Hematopoietic stem and progenitor cells maintain blood formation throughout our
lifetime by undergoing long- and short-term self-renewal, respectively. As
progenitor cells progress through the hematopoiesis process, their
differentiation capabilities narrow, such that the precursors become committed to
only one or two lineages. This Review focuses on recent advances in the
identification and characterization of bipotent megakaryocytic-erythroid
progenitors (MEP), the cells that can further produce two completely different
functional outputs: platelets and red blood cells. The existence of MEP has
sparked controversy as studies describing the requirement for this intermediate
progenitor stage prior to commitment to the erythroid and megakaryocytic lineages
have been potentially contradictory. Interpretation of these studies is
complicated by the variety of species, cell sources, and analytical approaches
used along with inherent challenges in the continuum of hematopoiesis, where
hematopoietic progenitors do not stop at discrete steps on single paths as
classically drawn in hematopoietic hierarchy models. With the goal of improving
our understanding of human hematopoiesis, we discuss findings in both human and
murine cells. Based on these data, MEP clearly represent a transitional stage of
differentiation in at least one route to the generation of both megakaryocytes
and erythroid cells. Stem Cells 2018;36:1138-1145.
PMID- 29658165
TI - Electrochemical monitoring of malondialdehyde biomarker in biological samples via
electropolymerized amino acid/chitosan nanocomposite.
AB - This study reports on the electropolymerization of a low toxic and biocompatible
nanopolymer with entitle poly arginine-graphene quantum dots-chitosan (PARG-GQDs
CS) as a novel strategy for surface modification of glassy carbon surface and
preparation of a new interface for measurement of malondialdehyde (MDA) in
exhaled breath condensate. Electrochemical deposition, as a well-controlled
synthesis procedure, has been used for subsequently layer-by-layer preparation of
GQDs-CS nanostructures on a PARG prepolymerized on the surface of glassy carbon
electrode using cyclic voltammetry techniques in the regime of -1.5 to 2 V. The
modified electrode appeared as an effective electroactivity for detection of MDA
by using cyclic voltammetry, linear sweep voltammetry, and differential pulse
voltammetry. The prepared modified electrode demonstrated a noticeably good
activity for electrooxidation of MDA than PARG. Enhancement of peak currents is
ascribed to the fast heterogeneous electron transfer kinetics that arise from the
synergistic coupling between the excellent properties of PARG and semiconducting
polymer, GQDs as high density of edge plane sites and subtle electronic
characteristics and unique properties of CS such as excellent film-forming
ability, high permeability, good adhesion, nontoxicity, cheapness, and a
susceptibility to chemical modification. The prepared sensor showed 1 oxidation
processes for MDA at potentials about 1 V with a low limit of quantification 5.94
nM. Finally, application of new sensor for determination of MDA in exhaled breath
condensate was suited. In general, the simultaneous attachment of GQDs and CS to
structure of poly amino acids provides new opportunities within the personal
healthcare.
PMID- 29658166
TI - 3D Nanofabrication via Chemo-Mechanical Transformation of Nanocrystal/Bulk
Heterostructures.
AB - Planar nanocrystal/bulk heterostructures are transformed into 3D architectures by
taking advantage of the different chemical and mechanical properties of
nanocrystal and bulk thin films. Nanocrystal/bulk heterostructures are fabricated
via bottom-up assembly and top-down fabrication. The nanocrystals are capped by
long ligands introduced in their synthesis, and therefore their surfaces are
chemically addressable, and their assemblies are mechanically "soft," in contrast
to the bulk films. Chemical modification of the nanocrystal surface, exchanging
the long ligands for more compact chemistries, triggers large volume shrinkage of
the nanocrystal layer and drives bending of the nanocrystal/bulk
heterostructures. Exploiting the differential chemo-mechanical properties of
nanocrystal and bulk materials, the scalable fabrication of designed 3D, cell
sized nanocrystal/bulk superstructures is demonstrated, which possess unique
functions derived from nanocrystal building blocks.
PMID- 29658167
TI - Management of oral anticoagulation in patients with atrial fibrillation: newer
agents, newer conundrums?
PMID- 29658168
TI - Age differences in the prosocial influence effect.
AB - Social influence occurs when an individual's thoughts or behaviours are affected
by other people. There are significant age effects on susceptibility to social
influence, typically a decline from childhood to adulthood. Most research has
focused on negative aspects of social influence, such as peer influence on risky
behaviour, particularly in adolescence. The current study investigated the impact
of social influence on the reporting of prosocial behaviour (any act intended to
help another person). In this study, 755 participants aged 8-59 completed a
computerized task in which they rated how likely they would be to engage in a
prosocial behaviour. Afterwards, they were told the average rating (in fact
fictitious) that other participants had given to the same question, and then were
asked to rate the same behaviour again. We found that participants' age affected
the extent to which they were influenced by other people: children (8-11 years),
young adolescents (12-14 years) and mid-adolescents (15-18 years) all
significantly changed their ratings, while young adults (19-25 years) and adults
(26-59 years) did not. Across the three youngest age groups, children showed the
most susceptibility to prosocial influence, changing their reporting of prosocial
behaviour the most. The study provides evidence that younger people's increased
susceptibility to social influence can have positive outcomes.
PMID- 29658169
TI - Love and Dating Patterns for Same- and Both-Gender Attracted Adolescents Across
Europe.
AB - Sexual orientation is a multidimensional phenomenon, which includes identity,
behavior, and attraction. The attraction component, however, is less studied than
the other two. In this article, we present the development of a two-item measure
to identify adolescents who prefer same- and both-gender partners for love and
dating. The questions were administered to nationally representative samples of
15-year-old adolescents in eight European countries and regions participating in
the Health Behaviour in School-aged Children (HBSC) cross-national study. The
distribution of attraction, as operationalized by preference for the gender of
love and dating partners, was similar across countries. These questions offer an
alternative or supplementary approach to identify same- and both-gender attracted
youth, without administering questions related to sexual identity.
PMID- 29658171
TI - "Anatomists have ever been engaged in contention".
PMID- 29658170
TI - New Ordered Structure of Amorphous Carbon Clusters Induced by Fullerene-Cubane
Reactions.
AB - As a new category of solids, crystalline materials constructed with amorphous
building blocks expand the structure categorization of solids, for which
designing such new structures and understanding the corresponding formation
mechanisms are fundamentally important. Unlike previous reports, new amorphous
carbon clusters constructed ordered carbon phases are found here by compressing
C8 H8 /C60 cocrystals, in which the highly energetic cubane (C8 H8 ) exhibits
unusual roles as to the structure formation and transformations under pressure.
The significant role of C8 H8 is to stabilize the boundary interactions of the
highly compressed or collapsed C60 clusters which preserves their long-range
ordered arrangement up to 45 GPa. With increasing time at high pressure, the
gradual random bonding between C8 H8 and carbon clusters, due to "energy release"
of highly compressed cubane, leads to the loss of the ability of C8 H8 to
stabilize the carbon cluster arrangement. Thus a transition from short-range
disorder to long-range disorder (amorphization) occurs in the formed material.
The spontaneous bonding reconstruction most likely results in a 3D network in the
material, which can create ring cracks on diamond anvils.
PMID- 29658172
TI - Limited evidence for screening for serious pathologies using red flags in
patients with low back pain presenting to the emergency department.
PMID- 29658173
TI - Contrasting results from GWAS and QTL mapping on wing length in great reed
warblers.
AB - A major goal in evolutionary biology is to understand the genetic basis of
adaptive traits. In migratory birds, wing morphology is such a trait. Our
previous work on the great reed warbler (Acrocephalus arundinaceus) shows that
wing length is highly heritable and under sexually antagonistic selection.
Moreover, a quantitative trait locus (QTL) mapping analysis detected a pronounced
QTL for wing length on chromosome 2, suggesting that wing morphology is partly
controlled by genes with large effects. Here, we re-evaluate the genetic basis of
wing length in great reed warblers using a genomewide association study (GWAS)
approach based on restriction site-associated DNA sequencing (RADseq) data. We
use GWAS models that account for relatedness between individuals and include
covariates (sex, age and tarsus length). The resulting association landscape was
flat with no peaks on chromosome 2 or elsewhere, which is in line with
expectations for polygenic traits. Analysis of the distribution of p-values did
not reveal biases, and the inflation factor was low. Effect sizes were however
not uniformly distributed on some chromosomes, and the Z chromosome had weaker
associations than autosomes. The level of linkage disequilibrium (LD) in the
population decayed to background levels within c. 1 kbp. There could be several
reasons to why our QTL study and GWAS gave contrasting results including
differences in how associations are modelled (cosegregation in pedigree vs. LD
associations), how covariates are accounted for in the models, type of marker
used (multi- vs. biallelic), difference in power or a combination of these. Our
study highlights that the genetic architecture even of highly heritable traits is
difficult to characterize in wild populations.
PMID- 29658174
TI - High Capacity, Dendrite-Free Growth, and Minimum Volume Change Na Metal Anode.
AB - Na metal anode attracts increasing attention as a promising candidate for Na
metal batteries (NMBs) due to the high specific capacity and low potential.
However, similar to issues faced with the use of Li metal anode, crucial problems
for metallic Na anode remain, including serious moss-like and dendritic Na
growth, unstable solid electrolyte interphase formation, and large infinite
volume changes. Here, the rational design of carbon paper (CP) with N-doped
carbon nanotubes (NCNTs) as a 3D host to obtain Na@CP-NCNTs composites electrodes
for NMBs is demonstrated. In this design, 3D carbon paper plays a role as a
skeleton for Na metal anode while vertical N-doped carbon nanotubes can
effectively decrease the contact angle between CP and liquid metal Na, which is
termed as being "Na-philic." In addition, the cross-conductive network
characteristic of CP and NCNTs can decrease the effective local current density,
resulting in uniform Na nucleation. Therefore, the as-prepared Na@CP-NCNT
exhibits stable electrochemical plating/stripping performance in symmetrical
cells even when using a high capacity of 3 mAh cm-2 at high current density.
Furthermore, the 3D skeleton structure is observed to be intact following
electrochemical cycling with minimum volume change and is dendrite-free in
nature.
PMID- 29658175
TI - MRI Visualization of Injectable Ureidopyrimidinone Hydrogelators by
Supramolecular Contrast Agent Labeling.
AB - Information about the in vivo location, shape, degradation, or erosion rate of
injected in situ gelating hydrogels can be obtained with magnetic resonance
imaging (MRI). Herein, an injectable supramolecular ureidopyrimidinone-based
hydrogel (UPy-PEG) is functionalized with a modified Gadolinium(III)-DOTA complex
(UPy-Gd) for contrast enhanced MRI. The contrast agent is designed to
supramolecularly interact with the hydrogel network to enable high-quality
imaging of this hydrogel. The applicability of the approach is demonstrated with
successful visualization of the Gd-labeled UPy-PEG hydrogel after targeted
intramyocardial catheter injection in a pig heart.
PMID- 29658176
TI - A Polypyrrole Elastomer Based on Confined Polymerization in a Host Polymer
Network for Highly Stretchable Temperature and Strain Sensors.
AB - For the purpose of stretchable electronics, broad interests have been paid to
elastic conductors by which high tensile strain over 100% can be readily
achieved. Here, a scalable-processing, dyeing-like strategy for highly
stretchable polypyrrole elastomer (1450% in strain) is conceived without
particular topological design. This approach effectively improves the mechanical
properties of the classic insoluble polypyrrole by confined polymerization within
an elastic polymer network. In terms of the easy processing, it is technically
possible to prepare stretchable electronics with arbitrary shape and size for
wearable electronics with low cost. The mechanism of interpenetrated networks
coexisting with microphase separation is comprehensively illustrated at molecular
scale. The as-fabricated polypyrrole elastomers are utilized as temperature or
strain sensors for automatic fishing and region-distinct dual signal sensing.
Further integration of multiple sensors offers immediate alarm for old people
falling at home, which thereby proves its promising potential in practical
applications.
PMID- 29658177
TI - Patterns of statin use and long-term adherence and persistence among older adults
with diabetes.
AB - BACKGROUND: Statins reduce the risk of cardiovascular disease in patients with
diabetes. This study examined the prevalence of statin use and assessed long-term
adherence and persistence among people aged >=65 years with diabetes. METHODS:
Pharmaceutical Benefits Scheme data covering a 10% random sample of the
Australian population were analyzed. Among older adults with diabetes, the yearly
prevalence of statin use was compared via Poisson regression modeling using 2006
as the reference year. A cohort of 7400 new statin users (mean age 72.9 years;
46.2% female) was followed longitudinally. Adherence was assessed via the
proportion of days covered (PDC). Statin discontinuation was defined as the first
>=90 days without statin coverage. RESULTS: The prevalence of statin use
increased from 52.0% in 2006 to 71.2% in 2016 (age and sex-adjusted rate ratio
1.37; 95% confidence interval 1.33-1.41). No gender differences in statin use
were observed, but the likelihood of being dispensed statin decreased with
increasing age. Among the longitudinal cohort, the proportion adherent (PDC
>=0.80) decreased from 54.0% at 6 months to 37.0% at 9 years. Over a mean follow
up of 4.9 years, 66.8% discontinued, and the likelihood of stopping statin
medication within the first year was 42.7%. No age or gender differences in
statin discontinuation were evident. CONCLUSIONS: Statin use has increased among
older adults with diabetes. However, adherence is low and discontinuation is
high. Further investigations into the factors associated with non-adherence or
discontinuation of statins are important so as to optimize statin use towards
achieving the intended cardiovascular benefits among older people with diabetes.
PMID- 29658178
TI - Porous Pt-Ni Nanowires within In Situ Generated Metal-Organic Frameworks for
Highly Chemoselective Cinnamaldehyde Hydrogenation.
AB - Although chemoselective hydrogenation of unsaturated aldehydes is the major route
to highly valuable industrially demanded unsaturated alcohols, it is still
challenging, as the production of saturated aldehydes is more favorable over
unsaturated alcohols from the view of thermodynamics. By combining the structural
features of porous nanowires (NWs) and metal-organic frameworks (MOFs), a unique
class of porous Pt-Ni NWs in situ encapsuled by MOFs (Pt-Ni NWs@Ni/Fex-MOFs) is
designed to enhance the unsaturated alcohols selectivity in the cinnamaldehyde
(CAL) hydrogenation. A detailed catalytic study shows that the porous Pt-Ni
NWs@Ni/Fex -MOFs exhibit volcano-type activity and selectivity in CAL
hydrogenation as a function of Fe content. The optimized porous PtNi2.20
NWs@Ni/Fe4 -MOF is highly active and selective with 99.5% CAL conversion and
83.3% cinnamyl alcohol selectivity due to the confinement effect, appropriate
thickness of MOF and its optimized electronic structure, and excellent durability
with negligible activity and selectivity loss after five runs.
PMID- 29658179
TI - Vav1 downmodulates Akt in different breast cancer subtypes: a new promising
chance to improve breast cancer outcome.
AB - Targeting different members of the Akt pathways is a promising therapeutic chance
in solid tumors including breast cancer. The variable expression levels of Akt
isoforms with opposite effects on tumor growth and metastasis, however, make it
difficult to select the inhibitors to be used for specific breast tumor subtypes.
Using in vitro and in vivo models, we demonstrated here that Vav1, ectopically
expressed in invasive breast tumors derived cells, downmodulates Akt acting at
expression and/or activation levels depending on tumor subtype. The decreased p
Akt1 (Ser473) levels are a common effect of Vav1 upmodulation, suggesting that,
in breast tumor-derived cells and independently of their phenotype, Vav1
interferes with signaling pathways ended to specifically recruit Akt1. Only in ER
negative cell lines, the silencing of Vav1 induced the expression but not the
activation of Akt2. A retrospective analysis of early invasive breast tumors
allowed to establish the prognostic significance of the p-Akt/Vav1 relationship.
In particular, low Vav1 levels negatively influence the follow-up of patients
with low p-Akt in their primary tumors and subjected to adjuvant chemotherapy. As
the use of specific or pan Akt inhibitors may not be sufficient or may even be
detrimental, increasing the levels of Vav1 could be a new approach to improve
breast cancer outcomes. This might be particularly relevant for tumors with a
triple-negative phenotype, for which target-based therapies are not currently
available.
PMID- 29658180
TI - Re: Medical student enquiries on the art of clinical inertia.
PMID- 29658181
TI - Response to Comment on 'Nutrition Screening vs Nutrition Assessment: What's the
Difference?'
PMID- 29658182
TI - Editor's Note.
PMID- 29658184
TI - Comment on 'Nutrition Screening vs Nutrition Assessment: What's the Difference?'
PMID- 29658183
TI - Determining the Accuracy and Reliability of Indirect Calorimeters Utilizing the
Methanol Combustion Technique.
AB - BACKGROUND: Several indirect calorimetry (IC) instruments are commercially
available, but comparative validity and reliability data are lacking. Existing
data are limited by inconsistencies in protocols, subject characteristics, or
single-instrument validation comparisons. The aim of this study was to compare
accuracy and reliability of metabolic carts using methanol combustion as the
cross-laboratory criterion. METHODS: Eight 20-minute methanol burn trials were
completed on 12 metabolic carts. Respiratory exchange ratio (RER) and percent O2
and CO2 recovery were calculated. RESULTS: For accuracy, 1 Omnical, Cosmed Quark
CPET (Cosmed), and both Parvos (Parvo Medics trueOne 2400) measured all 3
variables within 2% of the true value; both DeltaTracs and the Vmax Encore System
(Vmax) showed similar accuracy in measuring 1 or 2, but not all, variables. For
reliability, 8 instruments were shown to be reliable, with the 2 Omnicals ranking
best (coefficient of variation [CV] < 1.26%). Both Cosmeds, Parvos, DeltaTracs, 1
Jaeger Oxycon Pro (Oxycon), Max-II Metabolic Systems (Max-II), and Vmax were
reliable for at least 1 variable (CV <= 3%). For multiple regression, humidity
and amount of combusted methanol were significant predictors of RER (R2 = 0.33, P
< .001). Temperature and amount of burned methanol were significant predictors of
O2 recovery (R2 = 0.18, P < .001); only humidity was a predictor for CO2 recovery
(R2 = 0.15, P < .001). CONCLUSIONS: Omnical, Parvo, Cosmed, and DeltaTrac had
greater accuracy and reliability. The small number of instruments tested and
expected differences in gas calibration variability limits the generalizability
of conclusions. Finally, humidity and temperature could be modified in the
laboratory to optimize IC conditions.
PMID- 29658185
TI - Evaluating Changes in Handgrip Strength in Children With Cystic Fibrosis: A Pilot
Study.
AB - BACKGROUND: Body mass index (BMI) is used to determine nutrition status in
children with cystic fibrosis (CF); however, lean body mass (LBM) is more
strongly associated with pulmonary function. Handgrip strength (HGS) measures
muscle function and is reflective of LBM. The aims of this study were to assess
relationships among HGS, nutrition status, and pulmonary function; changes in HGS
posthospitalization; and any relationship between HGS and nutrient intake.
METHODS: Twenty-three children with CF aged 6-18 years participated. BMI z
scores, nutrition risk scores, and pulmonary function were assessed about 5
months before, days 5-7 of hospitalization, and about 6 weeks
posthospitalization. HGS z scores and arm anthropometrics were measured during
and after hospitalization. Nutrient intakes were assessed during hospitalization.
RESULTS: Mean HGS z score at hospitalization was -1.95 +/- 0.92 and
posthospitalization was -1.59 +/- 1.06 (P = .007). Mean BMI z score
prehospitalization was -0.17 +/- 0.63, at hospitalization was -0.09 +/- 0.64, and
posthospitalization was 0.06 +/- 0.54 (P = .065). Mean forced expiratory volume
in 1 second (FEV1 ) prehospitalization was 93.52 +/- 17.35, at hospitalization
was 85.65 +/- 21.57, and posthospitalization was 95.63 +/- 18.18 (P = .001). No
significant relationship was found between HGS z scores and BMI z scores (P =
.892) or HGS z scores and FEV1 (P = .340). CONCLUSIONS: HGS z scores and FEV1
significantly increased at follow-up. HGS z scores were lower than the standard
even though mean BMI z scores classified participants as normal nutrition status.
PMID- 29658186
TI - Use of an In-line Digestive Cartridge With Enteral Nutrition Improves the Weight
Trajectory of 2 Children With Cystic Fibrosis Complicated by Another Medical
Diagnosis.
AB - This clinical observation describes the enteral nutrition (EN) management of 2
toddlers at high nutrition risk due to cystic fibrosis (CF), exocrine pancreatic
insufficiency, and comorbid medical conditions. The first case report describes a
boy with severe malabsorption after intestinal resection. The second case report
reviews a boy with CF and neuroblastoma. When pancreatic enzyme replacement
therapy with EN was not effective or appropriate, use of an in-line digestive
cartridge was initiated. While using the digestive cartridge, both children
showed improvements in their anthropometric measures. This observation reviews
the nutrition management throughout their clinical course and describes the use
of a digestive cartridge with EN.
PMID- 29658187
TI - Overview of Intensive Care Unit-Related Physical and Functional Impairments and
Rehabilitation-Related Devices.
AB - Rehabilitation after critical illness requires a multidisciplinary effort.
Nutrition support of critically ill patients aims to correct the imbalance
between protein synthesis and protein degradation to maximize strengthening and
muscle mass, whereas physical and occupational therapists focus on optimizing
strength and mobility through functional activity to help intensive care (ICU)
patients return to their precritical illness level of function and improve
quality of life. Early mobility has become the new standard of care for ICU
patients. Therapists utilize various devices and technologies to increase the
feasibility of early mobility and enhance the rehabilitation process to ensure
that patients reach their rehabilitation goals. Tools such as electrical
stimulation, cycle ergometers, dynamic tilt tables, and resistive bands aid in
strengthening. Therapists use safe patient-handling equipment and ambulation aids
to address gait impairments. Adaptive toileting, dressing, bathing, and feeding
tools facilitate greater independence with activities of daily living.
Augmentative and alternative communication devices promote well-being and
communication of basic needs. Splints prevent joint contracture and maintain
functional range of motion. Overall, many rehabilitation devices are safe and
feasible for use in an ICU setting and serve to maximize strength and functional
independence. The purpose of this narrative review is to discuss the benefits and
limitations of available rehabilitation devices in the context of critical
illness rehabilitation goals.
PMID- 29658189
TI - Side Effects of Long-Term Proton Pump Inhibitor Use: A Review.
AB - Proton pump inhibitors (PPIs) are widely used, and concerns about overuse have
been raised. Therefore, side effects are important to be aware of and several
suggested side effects of long-term use have been studied. In this MiniReview, we
sum up the evidence of side effects related to long-term PPI treatment. Suspected
side effects are mainly related to increased susceptibility to infections,
secondary hypergastrinaemia, impeded absorption of micronutrients or
idiosyncratic reactions. Most of the potential side effects have only been
evaluated in observational studies demonstrating conflicting and weak
associations with a substantial risk of confounding. However, a high probability
of causality seems to be established for the side effects increased risk of
gastrointestinal infections and rebound acid hypersecretion following
discontinuation of treatment due to secondary hypergastrinaemia. The risk of side
effects should not be a reason to withhold PPIs from patients with a true
indication, and worry about poorly proven side effects should not lead to
unnecessary discontinuation. The most important safety issue regarding PPI
therapy is to critically evaluate the indication when initiating treatment and
reconsidering the indication in long-term-treated patients.
PMID- 29658190
TI - The 2018 update of the American College of Physicians glycaemic management
recommendations: An invitation to continued inertia?
AB - The management of type 2 diabetes has become increasingly controversial. Tight
control has been advocated for years; however, there was a recent revision
published by the American College of Physicians in which limitations were made to
liberalize glycaemic goals for most diabetics, targeting a level between 7% and
8%. In recent years, the evolution of diabetes care has been such that more
potent drugs, with low risk of hypoglycaemia when used in the absence of insulin
or secretagogues, have made their way into the market place. Use of such agents
has made it easier to achieve greater glycaemic control reasonably safely.
Unfortunately, it appears that there has been a significant amount of inertia
over the past decade, which continues to persist. Relaxing the glycaemic control
targets, as recommended by the American College of Physicians, may only help to
propagate this inertia.
PMID- 29658191
TI - An international study on the feasibility of a standardized combined plasma clot
turbidity and lysis assay: communication from the SSC of the ISTH.
PMID- 29658192
TI - Using group-based trajectory modelling to enhance causal inference in interrupted
time series analysis.
AB - RATIONALE, AIMS, AND OBJECTIVES: Several enhancements have been proposed for
interrupted time series analysis (ITSA) to improve causal inference. Presently,
group-based trajectory modelling (GBTM) is introduced as a complement to ITSA.
GBTM assumes a certain number of discrete groups in the sample have unique
trajectories of the outcome. GBTM is used herein for 2 purposes: (1) to compare
outcomes across all trajectory groups via a stand-alone GBTM and (2) to identify
comparable non-treated units to serve as controls in the ITSA outcome model.
Examples of each are offered. METHOD: The effect of California's Proposition 99
(passed in 1988) for reducing cigarette sales is evaluated by comparing
California to other states not exposed to smoking reduction initiatives. In the
stand-alone GBTM, distinct trajectory groups are identified based on cigarette
sales for the entire observation period (1970-2000). In the second approach, a
GBTM is generated using only baseline period observations (1970-1988), and
treatment effects (difference in post-intervention trends) are then estimated for
the treatment unit versus non-treated units in the treated unit's trajectory
group. RESULTS: In the stand-alone GBTM, 3 distinct trajectory groups were
identified: low-decreasing, medium-decreasing, and high-decreasing (California
and 26 other states were in the low-decreasing group). When using baseline data
for matching, California and 19 non-treated states comprised the low group.
California had a significantly larger decrease in post-intervention cigarette
sales than these controls (P < 0.01). CONCLUSIONS: GBTM enhances ITSA by
providing perspective for the outcome trajectory in the treated unit's group
versus all others and can identify non-treated units to be used for estimating
treatment effects.
PMID- 29658188
TI - Strategy to targeting the immune resistance and novel therapy in colorectal
cancer.
AB - Assessing the CRC subtypes that can predict the outcome of colorectal cancer
(CRC) in patients with immunogenicity seems to be a promising strategy to develop
new drugs that target the antitumoral immune response. In particular, the
disinhibition of the antitumoral T-cell response by immune checkpoint blockade
has shown remarkable therapeutic promise for patients with mismatch repair (MMR)
deficient CRC. In this review, the authors provide the update of the molecular
features and immunogenicity of CRC, discuss the role of possible predictive
biomarkers, illustrate the modern immunotherapeutic approaches, and introduce the
most relevant ongoing preclinical study and clinical trials such as the use of
the combination therapy with immunotherapy. Furthermore, this work is further to
understand the complex interactions between the immune surveillance and develop
resistance in tumor cells. As expected, if the promise of these developments is
fulfilled, it could develop the effective therapeutic strategies and novel
combinations to overcome immune resistance and enhance effector responses, which
guide clinicians toward a more "personalized" treatment for advanced CRC
patients.
PMID- 29658193
TI - Update of Diabetes UK Evidence-Based Nutritional Guidelines for 2018: a
celebration of two leading journals working together to improve nutritional
science and dietetic care for people living with diabetes.
PMID- 29658194
TI - A global climate niche for giant trees.
AB - Rainforests are among the most charismatic as well as the most endangered
ecosystems of the world. However, although the effects of climate change on
tropical forests resilience is a focus of intense research, the conditions for
their equally impressive temperate counterparts remain poorly understood, and it
remains unclear whether tropical and temperate rainforests have fundamental
similarities or not. Here we use new global data from high precision laser
altimetry equipment on satellites to reveal for the first time that across
climate zones 'giant forests' are a distinct and universal phenomenon, reflected
in a separate mode of canopy height (~40 m) worldwide. Occurrence of these giant
forests (cutoff height > 25 m) is negatively correlated with variability in
rainfall and temperature. We also demonstrate that their distribution is sharply
limited to situations with a mean annual precipitation above a threshold of 1,500
mm that is surprisingly universal across tropical and temperate climates. The
total area with such precipitation levels is projected to increase by ~4 million
km2 globally. Our results thus imply that strategic management could in principle
facilitate the expansion of giant forests, securing critically endangered
biodiversity as well as carbon storage in selected regions.
PMID- 29658195
TI - Extracellular vesicles from human saliva promote hemostasis by delivering
coagulant tissue factor to activated platelets.
AB - : Essentials Human salivary extracellular vesicles (EVs) expose coagulant tissue
factor (TF). Salivary EVs expose CD24, a ligand of P-selectin. CD24 and coagulant
TF co-localize on salivary EVs. TF+ /CD24+ salivary EVs bind to activated
platelets and trigger coagulation. SUMMARY: Background Extracellular vesicles
(EVs) from human saliva expose coagulant tissue factor (TF). Whether such TF
exposing EVs contribute to hemostasis, however, is unknown. Recently, in a mice
model, tumor cell-derived EVs were shown to deliver coagulant TF to activated
platelets at a site of vascular injury via interaction between P-selectin
glycoprotein ligand-1 (PSGL-1) and P-selectin. Objectives We hypothesized that
salivary EVs may deliver coagulant TF to activated platelets via interaction with
P-selectin. Methods We investigated the presence of two ligands of P-selectin on
salivary EVs, PSGL-1 and CD24. Results Salivary EVs expose CD24 but PSGL-1 was
not detected. Immune depletion of CD24-exposing EVs completely abolished the TF
dependent coagulant activity of cell-free saliva, showing that coagulant TF and
CD24 co-localize on salivary EVs. In a whole blood perfusion model, salivary EVs
accumulated at the surface of activated platelets and promoted fibrin generation,
which was abolished by an inhibitory antibody against human CD24. Conclusions A
subset of EVs in human saliva expose coagulant TF and CD24, a ligand of P
selectin, suggesting that such EVs may facilitate hemostasis at a site of skin
injury where the wound is licked in a reflex action.
PMID- 29658196
TI - Systematic study of protein sumoylation: Development of a site-specific predictor
of SUMOsp 2.0.
AB - Protein sumoylation is an important reversible post-translational modification on
proteins, and orchestrates a variety of cellular processes. Recently,
computational prediction of sumoylation sites has attracted much attention for
its cost-efficiency and power in genomic data mining. In this work, we developed
SUMOsp 2.0, an accurate computing program with an improved group-based
phosphorylation scoring algorithm. Our analysis demonstrated that SUMOsp 2.0 has
greater prediction accuracy than SUMOsp 1.0 and other existing tools, with a
sensitivity of 88.17% and a specificity of 92.69% under the medium threshold.
Previously, several large-scale experiments have identified a list of potential
sumoylated substrates in Saccharomyces cerevisiae and Homo sapiens; however, the
exact sumoylation sites in most of these proteins remain elusive. We have
predicted potential sumoylation sites in these proteins using SUMOsp 2.0, which
provides a great resource for researchers and an outline for further mechanistic
studies of sumoylation in cellular plasticity and dynamics. The online service
and local packages of SUMOsp 2.0 are freely available at:
http://sumosp.biocuckoo.org/.
PMID- 29658197
TI - Role of geranylgeranylacetone as non-toxic HSP70 inducer in liver surgery:
clinical application.
AB - Heat shock proteins (HSPs) are rapidly synthesized into cells in response to
various types of physical or chemical insults and induce potent resistance to the
stressors. A stress-inducible HSP70 is not expressed in normal conditions, but
once HSP70 is excessively induced under various environmental stresses, HSP70
expressing cells can survive even under lethal conditions. In this review, we
focused on the potential role of HSPs particularly HSP70 in liver surgery. A non
toxic HSP70 inducer, geranylgeranylacetone (GGA), has been introduced to exert a
potent cytoprotective action against liver injury after ischemia/reperfusion,
massive hepatectomy and liver transplantation in animal experiments. We have
tried to explain possible therapeutic benefits of GGA in liver surgery. However,
any dependable clinical application has not been done. One of the reasons is that
any randomized clinical trial has not being carried out in clinical cases.
Therefore, we have advocated the national scale randomized clinical trial for
dependable clinical application of GGA.
PMID- 29658198
TI - The Sapir-Whorf hypothesis and inference under uncertainty.
PMID- 29658199
TI - The Added Value of the Lateral Chest Radiograph for Diagnosing Community Acquired
Pneumonia in the Pediatric Emergency Department.
AB - BACKGROUND: Opinions differ as to the need of a lateral radiograph for diagnosing
community acquired pneumonia in children referred to the emergency department. A
lateral radiograph increases the ionizing radiation burden but at the same time
may improve specificity and sensitivity in this population. OBJECTIVES: To
determine the value of the frontal and lateral chest radiographs compared to
frontal view stand-alone images for the management of children with suspected
community acquired pneumonia seen in a pediatric emergency department. METHODS:
Chest radiographs from 451 children with clinically suspected pneumonia were
retrospectively reviewed. Interpretation of frontal views was compared to
interpretation of combined frontal and lateral view, the latter being the gold
standard. RESULTS: Findings consistent with bacterial pneumonia were diagnosed in
94 (20.8%) of the frontal stand-alone radiographs and in 109 (24.2%) of the
combined frontal and lateral radiographs. The sensitivity, specificity, positive
predictive value, and negative predictive value of the frontal radiograph alone
were 86.2%, 93.9%, 81.7%, and 95.5%, respectively. False positive and false
negative rates were 15% and 21%, respectively, for the frontal view alone. The
number of lateral radiographs needed to diagnose one community acquired pneumonia
was 29. CONCLUSIONS: The lateral chest radiograph improves the diagnosis of
pediatric community acquired pneumonia to a certain degree and may prevent
overtreatment with antibiotics.
PMID- 29658200
TI - Detection of Ureaplasma Species by a Semi-Quantitative PCR Test in Urine Samples:
Can It Predict Clinical Significance?
AB - BACKGROUND: Ureaplasma species (Usp) are the most prevalent genital Mycoplasma
isolated from the urogenital tract of both men and women. Usp may be commensals
in the genital tract but may also be contributors to a number of pathological
conditions of the genital tract. Because they can also just colonize the genital
tract of healthy people, their pathogenic role can be difficult to prove.
OBJECTIVES: The aim of the study was to evaluate the efficacy of a quantitative
polymerase chain reaction (qPCR) method for the discrimination between infection
and colonization by measuring prevalence of Usp in asymptomatic versus
symptomatic patients. METHODS: Urine samples were tested for U. parvum and U.
urealyticum using a semi-quantitative multiplex PCR technique for sexually
transmitted diseases (AnyplexTM STI-7 Detection Kit, Seegene, South Korea). A
total of 250 symptomatic and 250 asymptomatic controls were included. RESULTS: A
strong positive result for U. parvum was significantly more prevalent in
symptomatic compared to asymptomatic patients. This finding was observed
especially in women and in the young group (15-35 years of age). No significant
differences were observed between the prevalence in symptomatic and asymptomatic
patients of U. parvum with low strength of positivity and for U. urealyticum in
all groups by age, gender, and strength of positivity. CONCLUSIONS: The
significant difference between the symptomatic and asymptomatic group in the
highest positivity group for U. parvum using the AnyplexTM STI-7 detection kit in
urine may indicate a high probability of infection rather than colonization,
especially in women and young patients.
PMID- 29658201
TI - Enhancement of Standard ECGs by a New Method for Multi-Cycle Superimposition and
Summation.
AB - BACKGROUND: Since the introduction of the electrocardiogram (ECG) in 1902, the
fundamentals of ECG data acquisition, display, and interpretation in the clinical
arena have not changed much. OBJECTIVES: To present a new method to enhance and
improve acquisition, analysis, and display of the standard ECG. METHODS: We
performed ECG enhancement by superimposition and summation of multiple standard
ECG cycles of each lead, by temporal alignment to peak R wave and voltage
alignment to an improved baseline, at the T-P segment. RESULTS: We enhanced ECG
recordings of 504 patients who underwent coronary angiograms for routine
indications. Several new ECG features were noted on the enhanced recordings.
Examination of a subgroup of 152 patients with a normal rest 12-lead ECG led to
the discovery of a new observation, which may help to distinguish between
patients with and without coronary artery disease (CAD): namely, a spontaneous
cycle-to-cycle voltage spread (VS) at the S-T interval, normalized to VS at the T
P interval. The mean normalized VS was significantly greater in those with CAD
(n=61, 40%) than without (n=91, 60%), 5.61 +/- 3.79 vs. 4.01 +/- 2.1 (P < 0.05).
CONCLUSIONS: Our novel method of multiple ECG-cycle superimposition enhances the
ECG display and improves detection of subtle electrical abnormalities, thus
facilitating the standard rest ECG diagnostic power. We describe, for the first
time, voltage spread at the S-T interval, an observed phenomenon that can help
detect CAD among individuals with normal rest 12-lead ECG.
PMID- 29658202
TI - Physical Training in a Medical Fitness Room for Patients with Chronic Diseases:
Functional and Metabolic Outcomes.
AB - BACKGROUND: Physical inactivity is a pivotal factor in the development and
progression of various chronic diseases. However, most fitness facilities exclude
unhealthy individuals. Therefore, an exercise program that admits such patients
is imperative. OBJECTIVES: To evaluate the effectiveness of a fitness facility
that admits adult subjects with multiple chronic diseases. METHODS: We conducted
a retrospective screening of patient records from the Medical Fitness Facility at
Meir Medical Center, Israel. Intake of subjects was done by a multidisciplinary
team. For each individual, personalized diet and exercise plans were developed
and patients attended the facility twice a week. Each participant was evaluated
at enrolment and after 4 months for well-being, metabolic parameters, exercise
capacity, and laboratory blood tests. RESULTS: A total of 838 individuals were
enrolled, mean age 57 years. Their medical conditions included dyslipidemia
(48.8%), hypertension (37.6%), and diabetes mellitus (24.9%), followed by
musculoskeletal problems (arthropathy 19%, lower back pain 16.1%) and ischemic
heart disease (13.4%). Less common diagnoses were vascular diseases, pulmonary
diseases, and malignancy. Only 40.5% of participants adhered to the regimen with
advanced age being the best predictor for adherence. At the follow-up visit, body
mass index was lower (31.2 vs. 30.2 kg/m2, P <0.0001), exercise capacity
increased (measured as maximal MET; 7.1 vs. 8.1, P < 0.0001), and well-being
improved (measured by Short Form Survey [SF-36]; 69.3 vs. 76.0, P <0.0001).
CONCLUSIONS: We show that a fitness program for patients with multiple chronic
diseases is feasible and effective in improving prognostic parameters, albeit
significantly challenged by adherence limitations.
PMID- 29658203
TI - Crohn's Disease Behavior and Location is Altered when Associated with Primary
Sclerosing Cholangitis.
AB - BACKGROUND: Up to 3.4% of Crohn's disease (CD) patients will be diagnosed with
concomitant primary sclerosing cholangitis (PSC). Despite the worldwide increase
incidence of CD, data on the clinical characteristics of PSC-CD patients are
scarce. OBJECTIVES: To clinically characterize CD in patients who have
concomitant PSC. METHODS: A retrospective case-control analysis was conducted
with 18 CD patients with concomitant PSC who attended the Inflammatory Bowel
Disease Center at the Tel Aviv Sourasky Medical Center between 2011-2014 (PSC-CD
patients). They were matched by age, gender, and disease duration to 90 control
patients (those with CD who did not have concomitant PSC). Disease phenotype
(according to the Montreal classification), demographics, and clinical data were
compared in the two groups. RESULTS: PSC-CD patients were characterized by a
disease that was more frequently limited to the colon (L2) (50% vs. 16%, P =
0.004) and by a non-stricturing and non-penetrating inflammatory phenotype (83%
vs. 33%, P = 0.0001) compared to controls who had an increased prevalence of the
penetrating phenotype (B3) (6% vs. 33% P < 0.05). Use of 5-aminosalicylic acid
agents as a single therapy was significantly more prevalent among PSC-CD patients
than in controls (39% vs. 7%, P < 0.005). In contrast, biologic therapy was
significantly less common among PSC-CD patients compared to controls (17% vs.
52%, P = 0.0086). CONCLUSIONS: Patients with PSC-CD are clinically distinct from
patients with isolated CD, and are characterized by predominant colonic
involvement and an inflammatory, non-stricturing and non-penetrating phenotype.
PMID- 29658204
TI - The Impact of Surgeons: Pathologists Dialog on Lymph Node Evaluation of
Colorectal Cancer Patients.
AB - BACKGROUND: Lymph node (LN) retrieval and assessment is essential for accurate
staging and treatment planning in colorectal cancer (CRC). According to U.S.
National Cancer Institute recommendations, the minimal number of LNs needed for
accurately staging of node-negative CRC is 12. Awareness and implementation of
the guidelines has been shown to improve after assigning an opinion leader who
has a special interest in CRC. OBJECTIVES: To evaluate the impact of dialogue
between surgeons and pathologists in LN evaluation. METHODS: Consecutively
treated CRC patients at the Department of Surgery B at Rambam Medical Center from
January 1, 2000 through July 30, 2005 were identified from hospital discharge
files. Demographic, surgical, and pathological data were extracted. Patients were
divided into two groups. Group I patients underwent surgery before the initiation
of a structured surgical oncology service (January 1, 2000 to October 30, 2004).
Group II patients underwent surgery after the initiation of the service (November
1, 2004 to July 30, 2005). RESULTS: The study comprised 212 patients (Group I:
n=170; Group II: n=42). The median number of LNs examined was 9 in Group I and 14
in Group II (P = 0.003). Only 35% of patients in Group I received adequate LN
evaluation compared to 79% in Group II (P = 0.0001). Patients with left-sided or
rectal cancer were less likely to receive adequate LN evaluation than patients
with right-sided cancers. CONCLUSIONS: A durable improvement in LN evaluation was
realized through a multi-pronged change initiative aimed at both surgeons and
pathologists.
PMID- 29658205
TI - Reduced Suprathreshold Odor Identification in Patients with Pseudotumor Cerebri:
A Non-Randomized Prospective Study.
AB - BACKGROUND: Recent evidence suggests that olfaction is impaired in patients with
pseudotumor cerebri (PTC). OBJECTIVES: To measure suprathreshold olfactory
function by using the University of Pennsylvania Smell Identification Test
(UPSIT), assessing its usefulness for routine clinical use. METHODS: Forty PTC
patients underwent USPIT olfactory testing. RESULTS: Twenty-nine out of 40 (73%)
PTC patients (36 women, 4 men; mean age 34 years) had reduced suprathreshold
smell sensation according to UPSIT scores: 19 (47%) had mild microsmia, 9 (23%)
had moderate microsmia, and one (3%) was classified as having severe microsmia.
The mean UPSIT score of all patients was 32.4 (95% confidence interval 31.4
33.4). Multivariate regression analysis found that UPSIT scores were not related
to disease activity, disease duration, initial intracranial pressure (ICP), or
visual function. CONCLUSIONS: Many PTC patients have reduced suprathreshold
olfactory dysfunction that can be discovered by UPSIT, a rapidly administered
smell test, which is suitable for clinical office use.
PMID- 29658206
TI - Awareness and Functional Outcome of Hip Fracture-Related Falls among Patients
with a History of Recurrent Falling.
AB - BACKGROUND: There is little evidence about awareness and functional outcome of
hip fracture-related falls among patients with a history of recurrent falling.
OBJECTIVES: To measure the awareness of recurrent falling in patients and to
compare their functional outcomes with those who suffered hip fracture after a
sporadic isolated fall. METHODS: A prospective comparative study of patients
after a hip fracture-related fall was conducted. Awareness of falls was measured
and functional outcome was assessed by total and motor Functional Independence
Measure (FIM) score changes and efficiency and scores at admission and on
discharge. RESULTS: Of 97 eligible participants, 49 (50.5%) were recurrent
fallers. Of these recurrent falls, 19 (38.8%) were not reported, 16 (32.7%) were
reported but no action was taken, and 7 (14.3%) were reported and a partial
assessment performed. A full assessment was performed in only 7 cases (14.3%).
FIM scores on admission and discharge were significantly higher in once-fallers.
A multiple linear regression analysis showed that being a once-faller was
independently associated with higher total FIM at admission (beta coefficient =
0.290, P = 0.004), higher motor FIM at admission (beta coefficient = 0.295, P =
0.003), higher total FIM at discharge (beta Coefficient = 0.264, P = 0.009), and
higher motor FIM at discharge (beta coefficient = 0.230, P = 0.023). CONCLUSIONS:
Awareness of the syndrome of recurrent falling is extremely low. Recurrent falls
before a hip fracture-related fall is associated with substantial loss of
functional independence. Being a recurrent faller adversely affects
rehabilitation outcome of hip fracture patients.
PMID- 29658207
TI - Ablation-Induced Change in the Course of Fascicular Tachycardia.
AB - BACKGROUND: Multiform fascicular tachycardia (FT) was recently described as a
ventricular tachycardia (VT) that has a reentrant mechanism using multiple
fascicular branches and produces alternate fascicular VT forms. Ablating the
respective fascicle may cause a change in the reentrant circuit resulting in a
change in morphology. Ablation of the septal fascicle is crucial for successful
treatment. OBJECTIVES: To describe four cases of FT in which ablation induced a
change in QRS morphologies and aggravated clinical course. METHODS: Four out of
57 consecutive FT cases at three institutions were retrospectively analyzed and
found to involve multiform FT. These cases underwent electrophysiological study,
fascicular potential mapping, and electroanatomical mapping. All patients
initially had FT with right bundle branch block (RBBB) and superior axis
morphology. RESULTS: Radiofrequency catheter ablation (RFCA) targeting the distal
left posterior fascicle (LPF) resulted in a second VT with an RBBB-inferior axis
morphology that sometimes became faster and/or incessant and/or verapamil
refractory in characteristics. RFCA in the upper septum abolished the second VT
with no complications and uneventful long-term follow-up. CONCLUSIONS: The change
in FT morphology during ablation may be associated with a change in clinical
course when shifting from one route to another and may aggravate symptoms.
Targeting of the proximal conduction system (such as bifurcation, LPF, left
anterior fascicle, high septal/auxiliary pathway) may serve to solve this
problem.
PMID- 29658208
TI - Exercise in the Management of Chronic Diseases: An Underfilled Prescription.
PMID- 29658209
TI - Upper Extremity Deep Vein Thrombosis: Symptoms, Diagnosis, and Treatment.
AB - BACKGROUND: Upper extremity deep vein thrombosis (UEDVT) is defined as thrombosis
of the deep venous system (subclavian, axillary, brachial, ulnar, and radial
veins), which drains the upper extremities. It can be caused by thoracic outlet
anatomic obstruction, such as Paget-Schroetter syndrome, (primary) or by central
intravenous catheters (secondary). UEDVT may be asymptomatic or present with
acute severe pain and arm swelling. Clinical suspicion should be confirmed by
diagnostic imaging procedures such as duplex ultrasound, computed tomography
scan, or magnetic resonance imaging. UEDVT is managed by anticoagulant treatment.
In addition to that, early thrombolysis aimed at preventing post-deep vein
thrombosis syndrome and thoracic outlet decompression surgery should be given to
patients with primary UEDVT. Anticoagulation without thrombolysis is the
treatment of choice for patients with catheter-related thrombosis. Mandatory
functioning catheters can remain in place with anticoagulant treatment. All other
catheters should be immediately removed. The management of patients with UEDVT
requires an experience multidisciplinary team comprised of internists,
radiologists, hematologists, and vascular surgeons. Understanding the risk
factors for the development of UEDVT, the diagnostic procedures, and the
treatment modalities will improve the outcome of those patients.
PMID- 29658210
TI - Septic Sacroiliitis: A Diagnostic Challenge for the Clinician.
PMID- 29658211
TI - A Case of a Retrocardiac Mass.
PMID- 29658212
TI - Tick-Borne Relapsing Fever with Severe Jarisch-Herxheimer Reaction.
PMID- 29658214
TI - My Medicine, My body: The Serious Physician becomes a Serious Patient.
PMID- 29658213
TI - Successful Use of a Reduced Dose Regimen of Rituximab in a Case of Rheumatoid
Arthritis with Raynaud's Syndrome.
PMID- 29658215
TI - ?
PMID- 29658216
TI - [Prevention of urinary tract infection in the elderly : what's new in long-term
care facilities?]
AB - Urinary tract infections are common in the elderly. They are a frequent reason
for antibiotic prescription in nursing homes and hospitals. Doctors are
confronted daily to the challenge that it is to diagnose urinary tract infections
in older patients. The incidence of asymptomatic bacteriuria and the presence of
unspecific symptoms and signs in this population transform guidelines for
prevention and treatment into some kind of a battle. In this article we will : 1)
make a reminder of the particular features of urinary tract infection in the
elderly person ; 2) present the recommendations made by the experts and 3)
emphasize the prevention of catheter-related urinary tract infection. The latter
has already proven itself. We will also focus on the prevention of multi
resistant bacterial infections through the correct use of antibiotics.
PMID- 29658217
TI - [Management of infectious diarrhea in nursing homes].
AB - Infectious diarrheas are of great concern in nursing homes and can engender
outbreaks. Their importance in terms of morbidity, mortality and health economics
justify the implementation of prevention and control measures. Although past
studies emphasize the importance of infectious diarrheas occurring during
hospitalization, data on nursing homes epidemiology remain scarce. This article
is founded on recent data of the literature, on recommendations for the
management of infectious diarrheas and for prevention and control of outbreaks in
nursing homes.
PMID- 29658218
TI - [Burden of healthcare-associated infections in outpatient care].
AB - There is little evidence on healthcare-associated infections in outpatient care.
The Swiss Federal Office for Public Health commissioned a systematic review to
estimate the incidence of healthcare-associated infections in non-institutional
settings. A total of 126 papers were eligible for data abstraction. The
identified reports and studies were heterogeneous and generally of low quality.
The main outcomes were surgical site infections, central venous catheter-related
bloodstream infections, and urinary tract infections. While no comparison to
inpatients was possible for surgical site infection, the incidence of urinary
tract infection and central venous catheter-related bloodstream infection in
haemodialysis and home intravenous therapy was similar to the incidence of these
infections observed in acute care settings.
PMID- 29658219
TI - [Vancomycine-resistant enterocci (VRE) : a new reality in our hospitals].
AB - Limiting the emergence and spread of multi-resistant bacteria is a global concern
and the management of colonized patient represents a real challenge, especially
in the hospital setting, where risks of acquisition and transmission are
increased. Switzerland is not protected from undesirable trends : for instance,
recent outbreaks of vancomycin-resistant enterococci (VRE) have been reported in
several hospitals in western Switzerland. Since 2011, more than 250 patients have
been tested positive during these outbreak episodes and the molecular analysis of
the documented strains shows an unexpected diversity, including both sporadic and
epidemic strains. This emerging threat requires strict monitoring, prevention and
infection control strategies in our healthcare facilities.
PMID- 29658220
TI - [Multiresistant bacteria : practical aspects for doctors working in ambulatory
practice].
AB - Although preventive measures against the transmission of multidrug-resistant
organisms (MDROs) are well established and protocolized in hospital settings,
their implementation in private ambulatory practice are rarely addressed. Despite
what is sometimes communicated, the risk of transmission of this type of bacteria
outside of a hospital environment is real. Standard precautions are the key to
minimizing this risk. In a number of situations in the ambulatory setting, MDRO
screening (nasal or rectal) is needed and recommended. A system of communication
among healthcare professionals concerning patients known to be carriers of MDROs
should also be one of the strategies aimed at reducing the spread of these
threatening bacteria throughout the health system.
PMID- 29658221
TI - [Prevention of influenza in acute care settings : a multimodal intervention].
AB - Preventing an influenza outbreak in an acute care requires a multimodal
intervention, taking into account the actors involved (influenza virus, staff,
patients, visitors), and the stage of the epidemic. Different means are used for
this purpose : immunization, use of alcohol-based hand rub, identification of
influenza cases, wearing of medical masks, social distance, and antiviral
treatment. In addition, an epidemiological surveillance of influenza cases, in
the general population and within the health facilities, must be associated.
PMID- 29658222
TI - ?
PMID- 29658223
TI - ?
PMID- 29658224
TI - ?
PMID- 29658225
TI - ?
PMID- 29658226
TI - ?
PMID- 29658227
TI - ?
PMID- 29658228
TI - ?
PMID- 29658229
TI - High-speed Raman-encoded molecular imaging of freshly excised tissue surfaces
with topically applied SERRS nanoparticles.
AB - Surface-enhanced Raman scattering (SERS) nanoparticles (NPs) are increasingly
being engineered for a variety of disease-detection and treatment applications.
For example, we have previously developed a fiber-optic Raman-encoded molecular
imaging (REMI) system for spectral imaging of biomarker-targeted SERS NPs
topically applied on tissue surfaces to identify residual tumors at surgical
margins. Although accurate tumor detection was achieved, the commercial SERS NPs
used in our previous studies lacked the signal strength to enable high-speed
imaging with high pixel counts (large fields of view and/or high spatial
resolution), which limits their use for certain time-constrained clinical
applications. As a solution, we explored the use of surface-enhanced resonant
Raman scattering (SERRS) NPs to enhance imaging speeds. The SERRS NPs were
synthesized de novo, and then conjugated to HER2 antibodies to achieve high
binding affinity, as validated by flow cytometry. Under identical tissue-staining
and imaging conditions, the targeted SERRS NPs enabled reliable identification of
HER2-overexpressed tumor xenografts with 50-fold-enhanced imaging speed compared
with our standard targeted SERS NPs. This enables our REMI system to image tissue
surfaces at a rate of 150 cm2 per minute at a spatial resolution of 0.5 mm.
PMID- 29658230
TI - [Preparation of a polyclonal antibody against human LYZL4 and its expression in
the testis].
AB - Objective: To prepare a polyclonal antibody against human lysozyme-like protein 4
(LYZL4) expressed in the prokaryotic system and identify the distribution of
LYZL4 in the testis. METHODS: The full-length cDNA of LYZL4 was cloned into the
pET32a plasmid and the expression of the recombinant LYZL4 (rLYZL4) was induced
by IPTG. The rLYZL4 was purified by Ni-NTA and chitin affinity chromatography
respectively and its bactericidal activity was observed by bilayer agar plate
diffusion assay. The purified rLYZL4 was used as an immunogen to generate the
polyclonal antibody, followed by examination of the antibody titer by ELISA and
its specificity by Western blot. The distribution of LYZL4 in human tissue, sperm
and seminal plasma was identified and its subcellular localization in the testis
was determined by immunohistochemistry. RESULTS: rLYZL4 was expressed efficiently
in the prokaryotic system and exhibited no bacteriolytic activity against M.
lysodeikticus and E. coli. The anti-rLYZL4 polyclonal antibody could bind the
recombinant protein with a high sensitivity and specificity. LYZL4 was identified
in the testis, epididymis and sperm protein extracts and localized in the
acrosomal region of round and elongating spermatids. CONCLUSIONS: An anti-rLYZL4
polyclonal antibody was successfully prepared using the prokaryotic expression
system. LYZL4 was detected in the acrosomal region of round and elongating
spermatids, suggesting an association with the structure and function of the
acrosome.
PMID- 29658231
TI - [Molecular mechanisms of androgens regulating the eNOS expression in rat corpus
cavernosum].
AB - Objective: To investigate whether androgens can regulate the expression of eNOS
in rat corpus cavernosum through AKT3, PIK3CA, CALM, and CAV1 and influence
erectile function. METHODS: Thirty-six 8-week-old male SD rats were randomly
divided into groups A (4-week control), B (6-week control), C (4-week
castration), D (6-week castration), E (4-week castration + testosterone
replacement), and F (6-week castration + testosterone replacement). Both the
testis and epididymis were removed from the rats in groups C, D, E and F, and on
the second day after surgery, the animals of groups E and F were subcutaneously
injected with testosterone propionate at 3 mg per kg of the body weight qd alt
while all the others with isodose oil instead. At 4 weeks (for groups A, C and E)
and 6 weeks (for groups B, D and F) after treatment, we detected the maximum
intracavernous pressure (ICPmax), the mean carotid arterial pressure (MAP) and
their ratio (ICPmax/MAP), measured the level of serum testosterone (T), and
determined the expressions of eNOS, P-eNOS, AKT3, PIK3CA, CALM and CAV1 in the
corpus cavernosum by Western blot and immunohistochemistry. RESULTS: No
statistically significant differences were observed in the body weight and MAP
among different groups. The serum T level and ICPmax/MAP were remarkably lower in
groups C and D than in the other four groups (P<0.01) as well as in groups E and
F than in A and B (P<0.05) but exhibited no significant differences either
between E and F or between A and B. Immunohistochemistry showed that eNOS and P
eNOS were mainly expressed in the vascular endothelial cell membrane and
cavernous vascular lumen, while AKT3, PIK3CA, CALM and CAV1 chiefly in the
vascular endothelial cell cytoplasm and membrane, with a few in the smooth muscle
cells. Western blot analysis manifested that the expressions of eNOS, P-eNOS,
AKT3, PIK3CA, CALM and CAV1 were markedly lower in groups C and D than in A, B, E
and F (P<0.01) as well as in D than in C (P<0.05) but those in groups E and F did
not showed any significant difference from those in A and B, nor E from F or A
from B. CONCLUSIONS: Androgens can improve erectile function by upregulating the
expressions of AKT3, PIK3CA, CALM and CAV1 protein molecules and activating eNOS
after its phosphorylation, though the exact molecular mechanisms are yet to be
further studied.
PMID- 29658232
TI - [Swimming plus medication reduces the expressions of cytokines in rats with
chronic abacterial prostatitis].
AB - Objective: To observe the effects of swimming plus medication on the expressions
of cytokines in rats with chronic abacterial prostatitis (CAP). METHODS: Forty
healthy adult male SD rats were randomly divided into five groups of equal
number, normal control, CAP model control, medication, exercise therapy, and
exercise + medication. The CAP model was made by Xiaozhiling injection, and at 7
days after modeling, the rats in the medication and exercise + medication groups
were treated intragastrically with Qianlie Shutong Capsules (0.016 g/ml) at 20 ml
per kg of the body weight qd, those in the exercise therapy and exercise +
medication groups were made swim at a regular time once a day, 35 minutes on the
first day and 5 minutes more on the second until 50 minutes once, for 4
successive weeks, and those in the normal control, model control and exercise
therapy groups received normal saline only. After 14 and 28 days of treatment,
all the rats were killed and their prostates harvested for observation of
histopathological changes and determination of the expressions of TNF- alpha, IL
1beta and IL-6 in the prostatic tissue homogenate by ELISA. RESULTS: After 14
days of treatment, the expression levels of TNF-alpha, IL-1beta and IL-6 were
significantly elevated in the groups of CAP model control ([183.08+/-8.07] pg/ml,
[57.55+/-3.53] pg/ml and [256.15+/-13.95] ng/L), medication ([118.49+/-8.06]
pg/ml, [42.64+/-4.64 ] pg/ml and [200.74+/-9.33] ng/L), exercise therapy
([169.63+/-10.64] pg/ml, [50.45+/-5.71] pg/ml and [245.23+/-6.49] ng/L), and
exercise + medication ([107.82+/-7.81] pg/ml, [40.35+/-6.93] pg/ml and [187.04+/
10.85] ng/L) as compared with those in the normal control ([20.36+/-1.82] pg/ml,
[14.64+/-1.91] pg/ml and [70.58+/-2.09] ng/L) (P<0.05). At 28 days, the levels of
TNF- alpha, IL-1beta, IL-6 were remarkably lower in the exercise + medication
group ([29.30+/-3.78] pg/ml, [16.91+/-1.24] pg/ml and [ 88.65+/-6.74] ng/L) than
in the medication group ([39.67+/-3.19] pg/ml, [26.27+/-3.49] pg/ml and [110.26+/
6.33] ng/L) (P<0.05) and close to those of the normal control group ([19.34+/
1.76] pg/ml, [13.68+/-1.06] pg/ml and [71.34+/-2.50] ng/L). During the treatment,
no obvious pathological changes were found in the prostate tissue of the normal
control rats, while significant chronic prostatic inflammation was observed in
the CAP models, and the inflammation was relieved in different degrees after
intervention, most significantly in the exercise + medication group. CONCLUSIONS:
Swimming can relieve prostatic inflammation and swimming plus medication can
effectively reduce the expressions of cytokines and alleviate histological damage
in the prostatic tissue of CAP rats.
PMID- 29658233
TI - [Anti-prostate cancer effect of roemerine: An experimental study].
AB - Objective: To investigate the anti-prostate cancer (PCa) effect of roemerine in
vitro and in vivo in the mouse model of PCa. METHODS: We detected the effects of
roemerine on the proliferation, apoptosis and migration of PCa cells DU145,
LNCaP, PC-3 and 22RV1, screened out the sensitive cell line and constructed a
tumor-bearing model in mice for verification of the antitumor efficacy of
roemerine in vivo. RESULTS: Roemerine inhibited the proliferation and migration
of the DU145, LNCaP, PC-3 and 22RV1 cells and induced their apoptosis in
different degrees, particularly those of the LNCaP cells. The average tumor
weight was less in the roemerine intervention group ([1.99+/-0.95] g) than in the
control ([2.95+/-1.04] g), the least in the high-dose roemerine (30 mg/kg) plus
paclitaxel intervention group ([0.90+/-0.16] g). The mean heart, liver, and
kidney indexes were markedly lower in the roemerine (0.58+/-0.06, 6.20+/-0.42 and
1.49+/-0.33) than in the paclitaxel group (0.66+/-0.04, 6.99+/-0.72 and 1.95+/
0.34), while the mean spleen and thymus indexes were remarkably higher in the
former (0.54+/-0.11 and 0.06+/-0.01) than in the latter (0.41+/-0.09 and 0.05+/
0.01). Pathological staining showed a lower degree of malignancy and metastasis
in both the roemerine and the roemerine + paclitaxel intervention group than in
the control, as well as a lower degree of visceral injury in the roemerine and
roemerine + paclitaxel groups than in the paclitaxel group. CONCLUSIONS:
Roemerine has some anti-PCa effect and alleviates adverse reactions in paclitaxel
combination administration.
PMID- 29658234
TI - [Retzius-sparing robot-assisted laparoscopic radical prostatectomy for early
stage prostate cancer (with video)].
AB - Objective: To investigate the application of Retzius-sparing robot-assisted
radical prostatectomy (RS-RARP) in the treatment of early-stage prostate cancer.
METHODS: We retrospectively analyzed the clinical data about 10 cases of early
stage prostate cancer treated by RS-RARP with the Da Vinci Robot Surgical System
from September to October 2016. RESULTS: All the operations were successfully
completed without positive surgical margins. The operation time was 170-250 min
([196+/-25] min), the intraoperative blood loss was 150-500 ml ([260+/-128] ml),
the postoperative hospital stay was 6-7 days, and the catheterization time was 14
days. Urinary continence occurred after catheter removal in 1 patient and was
recovered 1 month later. CONCLUSIONS: RS-RARP is a safe, effective and reliable
method for the treatment of prostate cancer and conducive to the early recovery
of urinary continence.
PMID- 29658235
TI - [Modified Bianchi orchiopexy for median or low cryptorchidism].
AB - Objective: To investigate the effect of modified Bianchi (single incision in the
midline of the scrotum) orchiopexy (MBO) versus that of traditional surgery in
the treatment of median or low cryptorchidism. METHODS: Eighty-two children with
median or low cryptorchidism were treated from February 2013 to February 2014, 46
(53 testes) by MBO and the other 36 by the traditional method of inguinal
incision (control, 40 testes). Comparisons were made in the operation time and
postoperative complications between the two surgical strategies. RESULTS: The
mean operation time was significantly shorter in the MBO group than in the
control ([25+/-6] vs [35+/-4] min, P<0.05). No testicular atrophy, hernias or
hydrocele was found in either group during the 1-2 years of follow-up. Testis
retraction was observed in 3 cases in the MBO group as compared with 2 in the
control (P>0.05). The incision scar was obvious in all the controls, with 1 case
of postoperative inguinal hematoma, but almost invisible in all the MBO cases.
CONCLUSIONS: Modified Bianchi orchiopexy is superior to traditional surgery in
the treatment of median or low cryptorchidism for its advantages of short
operation time, few complications, and satisfactory appearance of the healed
incision.
PMID- 29658236
TI - [Safety and efficacy of China-made sildenafil citrate in the treatment of
erectile dysfunction].
AB - Objective: To evaluate the safety, efficacy and tolerability of China-made
sildenafil citrate (Jinge) in the treatment of ED. METHODS: We conducted a multi
center, randomized, double-blind and placebo-controlled clinical trial among 222
ED patients in five urological or andrological clinics of China. The patients
were randomly assigned to receive sildenafil citrate (SC, n = 111) or placebo (n
= 111) for 8 weeks. We obtained and analyzed the demographic and clinical
characteristics of the patients, the scores of International Index of Erectile
Function (IIEF), the success rate of sexual intercourse, and the incidence of
adverse events. RESULTS: No statistically significant differences were found
between the patients of the SC and those of the placebo group in the mean age
([47.2+/-11.32] yr vs [46.67+/-13.08] yr, P>0.05), psychological etiology (27.93%
vs 23.42%, P>0.05), organic etiology (21.62% vs 29.73%, P>0.05) or mixed etiology
(50.45% vs 46.85%, P>0.05), nor in height, weight, nationality, or history of
smoking, drinking or allergy. Compared with the placebo controls, the SC-treated
patients showed significant increases in the excellence rate of effectiveness
(29.91% vs 78.90%, P<0.01), success rate of sexual intercourse (29.16% vs 63.87%,
P<0.01), and total effectiveness rate (34.58% vs 77.98%, P<0.01). The
effectiveness rates on organic, psychogenic and mixed types ED were remarkably
higher in the SC group (64.52%, 83.33%, and 82.14%) than in the placebo control
(46.15%, 21.21%, and 25.00%) (P<0.01). Mild or temporary adverse events were
observed in 32 cases in the SC group as compared with 13 in the placebo control.
CONCLUSIONS: China-made sildenafil citrate is an effective, safe and well
tolerated drug for ED of different etiologies in the Chinese population.
PMID- 29658237
TI - [Impacts of Chk1 and Chk2 gene expressions on sperm concentration and motility].
AB - Objective: To study the correlation of the gene expressions of Chk1 and Chk2 with
sperm concentration and motility. METHODS: According to sperm concentration and
motility (percentage of progressively motile sperm), we divided 80 semen samples
into four groups of equal number: normal control, oligozoospermia (OS),
asthenospermia (AS), and oligoasthenozoospermia (OAS). We detected the sperm DNA
fragmentation index (DFI) and viability and determined the expressions of Chk1
and Chk2 in the sperm by RT-PCR and Western blot. RESULTS: Statistically
significant differences were not found in sperm DFI among the control, OS, AS,
and OAS groups (21.24+/-6.93, 19.67+/-7.64, 21.52+/-6.92, and 19.28+/-11.55,
P>0.05), but observed in sperm concentration, progressive motility, and viability
between the DFI >30% and DFI <=30% groups (P<0.01). Compared with the normal
control, sperm viability was remarkably decreased in the OS, AS, and OAS groups
([83.48+/-9.87]% vs [63.86+/-9.16]%, [50.45+/-16.99]%, and [39.21+/-15.74]%,
P<0.05). RT-PCR showed remarkable differences among the control, OS, AS, and OAS
groups in the relative expression level of Chk1 mRNA (0.73+/-0.22, 0.62+/-0.14,
1.03+/-0.39, and 0.92+/-0.071, P<0.01), which was correlated positively with
sperm concentration (b = 80.661, P<0.01) but negatively with sperm motility (b =
19.275, P < 0.01), as well as in that of Chk2 mRNA (0.66+/-0.30, 0.27+/-0.09,
0.59+/-0.19, and 0.42 +/- 0.11, P<0.01), which was correlated negatively with
sperm concentration (b = -90.809, P<0.01) but positively with sperm motility (b =
27.507, P <0.01). The relative expression levels of the Chk1 protein were
significantly different among the four groups (0.63+/-0.05, 0.42+/-0.03, 1.13+/
0.08, and 0.87+/-0.07, P<0.01), which was correlated positively with sperm
concentration (b = 55.74, P<0.01) but negatively with sperm motility (b =-22.649,
P<0.01), and so were those of the Chk2 protein (1.23+/-0.36, 0.37+/-0.16, 0.87+/
0.08, and 0.68+/-0.12, P<0.01), which was correlated negatively with sperm
concentration (b =-53.001, P<0.01) but positively with sperm motility (b =
16.676, P < 0.01). CONCLUSIONS: Chk1 and Chk2 are significantly expressed in
human sperm. In case of sperm DNA damage, up-regulated Chk1 expression may
enhance sperm apoptosis and lead to asthenospermia, while increased Chk2
expression may inhibit spermatogenesis and result in oligospermia.
PMID- 29658238
TI - [Routine semen parameters and sperm morphological index of infertile males with
partial globozoospermia].
AB - Objective: To investigate the routine semen parameters and sperm morphological
indexes of the patients with partial globozoospermia (PGZ). METHODS: We included
in this study 100 infertile males with PGZ and another 180 non-PGZ infertile men
as controls. According to the proportion of round-headed sperm (RHS) in the
semen, we classified the PGZ males into five subgroups: 25%-40%, 41%-55%, 56%
70%, 71%-85%, and 86%-99% RHS. We obtained sperm concentration, total sperm
motility, the percentage of progressively motile sperm, teratozoospermia index
(TZI), and sperm deformity index (SDI) from the subjects and compared them among
different groups. RESULTS: Statistically significant differences were found
between the PGZ patients and non-PGZ controls in total sperm motility ([35.76+/
24.88]% vs [62.03+/-10.20]%, P<0.01), the percentage of progressively motile
sperm ([26.11+/-20.39]% vs [45.62+/-6.87]%, P<0.01), the percentage of
morphologically normal sperm ([1.45+/-1.45]% vs [5.98+/-2.21]%, P<0.01), and SDI
(1.33+/-0.11 vs 1.27+/-0.57, P<0.01), but not in age ([29.82+/-4.90] vs [30.33+/
3.59 ] yr, P>0.05), sperm concentration ([46.01+/-40.38] vs [54.00+/-25.15]
*106/ml, P>0.05), or TZI (1.35+/-0.11 vs 1.34+/-0.54, P>0.05). There were also
significant differences among the five PGZ subgroups in total sperm motility,
progressive sperm motility, normal sperm morphology, TZI, and SDI (P<0.01), but
not in age or sperm concentration (P>0.05). Morphologically, the sperm head
changed from heterogeneous to homogeneous with the increased proportion of round
headed sperm. CONCLUSIONS: Different proportions of round-headed sperm are
closely related to routine semen parameters and sperm morphological index in PGZ
patients, which can help clinicians choose the proper assisted reproductive
technology and predict the rate of fertilization for infertile males.
PMID- 29658239
TI - [Gene polymorphisms of estrogen receptor alpha correlated with hypospadias in
children].
AB - Objective: To investigate the association of the polymorphisms of the gene of
estrogen receptor alpha 1 (ESR1) with the risk of hypospadias in children of
Northeast China. METHODS: This study included 95 hypospadias patients aged 3.2+/
0.6 years and 105 children aged 3.1+/-0.7 years as normal controls. Using PCR and
gene sequencing, we determined the genotypes of the polymorphisms of ESR1
rs2077647 and rs6932902 in the two groups of subjects. RESULTS: The results of
PCR and gene sequencing showed statistically significant differences in the
genotype and allele frequency distribution of the polymorphisms rs2077647 (chi2 =
8.552) and rs6932902 (chi2 = 16.251) (P<0.05) in the hypospadias and control
groups. The hypospadias patients, in comparison with the normal controls,
exhibited a markedly higher frequency of the SNP C allele in rs2077647 (OR =
1.410 [1.130-1.759], P<0.05), but a remarkably lower frequency of the SNP G
allele in rs6932902 (OR = 2.263 [1.503-3.408], P<0.01). CONCLUSIONS: The
rs2077647 and rs6932902 polymorphisms of the ESR1 gene are associated with the
risk of hypospadias, and so is its haplotype in children in Northeast China.
PMID- 29658240
TI - [Clinical and genetic features of 45,X maleness: A case report and review of the
literature].
AB - Objective: To explore the relationship between the clinical and genetic features
of a short-statured azoospermia male with the karyotype of 45,X. METHODS: Using
GTG-banded chromosome analysis, we performed karyotyping for a 150 cm-high
infertile male with azoospermia and investigated the presence and location of the
genes on the Y chromosome by FISH and PCR. RESULTS: GTG-banded chromosome
analysis showed the karyotype of the patient to be 45,X,add(14)(p11). The results
of PCR manifested the deletion of AZFa, AZFb, AZFc, and AZFd in the SRY gene.
FISH revealed the translocation of the short arm of the Y chromosome to that of
chromosome 14 and deletion of most proportions of its long arm, with the
disruption site close to the centromere region. The karyotype of the patient was
45,X,der(Y)t(Y;14)(q11;q11.2), 14.ish (SRY+, CEP Y+ , DYZ1-). CONCLUSIONS: The
karyotype of the patient was unbalanced Y/14 translocation. The SRY gene is the
key to maleness. The deletion of AZFa- d induces spermatogenic disturbance, and
the deletion of the q arm of the Y chromosome may be related with short stature.
PMID- 29658241
TI - [Nursing care of prostate cancer patients against radiative proctitisinduced by
CyberKnife treatment].
AB - Objective: To investigate the nursing care of prostate cancer (PCa) patients
againstradioactive proctitisinduced byCyberKnifetreatment. METHODS: Sixty
eightPCapatients undergoingCyberKnife treatment in the observation group
receivedspecialnursing care againstradioactive proctitis. The nursing measures
includedthoserelevant toCyberKnife treatment, prevention ofradioactive proctitis,
skin care, and discharge guidance. Meanwhile, another 54 prostate cancer patients
received traditional nursing care as controls. We compared the incidence rate and
severity of radioactive proctitis between the two groups of patients. RESULTS:
The incidence rate of radioactive proctitiswas markedly lower in the observation
group than in the control (2.9% vs 13.0%, P<0.05), but no statistically
significant difference was observed in the severity of radioactive proctitis
between the two groups of patients. CONCLUSIONS: The special nursing care
againstCyberKnife-induced radioactiveproctitiscan significantlyreduce the
incidence of radioactive proctitis andimprove the effect of CyberKnife treatment
of prostate cancer, which therefore deserves wide clinical application.
PMID- 29658242
TI - [Transcutaneous electrical acupoint stimulation for asthenozoospermia].
AB - Objective: To study the effect of transcutaneous electrical acupoint stimulation
(TEAS) in the treatment of asthenozoospermia. METHODS: We randomly divided 72
asthenozoospermia patients into a 2 Hz TEAS (n = 29), a 100 Hz TEAS (n = 20), and
a blank control group (n = 23), those in the former two groups treated by 30
minutes of TEAS at 2 Hz and 100 Hz respectively, applied to the acupoints of
bilateral Shenshu, left Zusanli, and Guanyuan, once a day for 60 days, while
those in the blank control group left untreated. Using computer-assisted sperm
analysis (CASA), we examined sperm concentration and motility as well as the
percentages of grade a and grade a+b sperm in different groups of the patients.
RESULTS: Compared with the baseline, 2 Hz TEAS significantly increased sperm
motility ([12.76 +/- 1.39] vs [18.89 +/- 2.46]%, P<0.05) and the percentage of
grade a+b sperm ( [10.68 +/- 1.22] vs [16.32 +/- 2.10]%, P<0.05) in the
asthenozoospermic patients, while 100 Hz TEAS improved not only sperm motility
([12.32 +/- 2.21] vs [23.81 +/- 3.42]%, P<0.01) and the percentage of grade a+b
sperm ([10.45 +/- 1.98] vs [20.25 +/- 2.82 ]%, P<0.01), but also the percentage
of grade a sperm ([6.44 +/- 1.16] vs [13.31 +/- 2.30]%, P<0.05). Moreover, in
comparison with the blank control group, 2 Hz TEAS also remarkably increased
sperm motility ([9.57 +/- 1.60] vs [18.89 +/- 2.46]%, P<0.05) and the percentage
of grade a+b sperm ([7.81 +/- 1.31] vs [16.32 +/- 2.10]%, P<0.05) in the
asthenozoosperma patients, while 100 Hz TEAS improved not only sperm motility
([9.57 +/- 1.60] vs [23.81 +/- 3.42]%, P<0.01) and the percentage of grade a+b
sperm ([7.81 +/- 1.31] vs [20.25 +/- 2.82]%, P<0.01) but also the percentage of
grade a sperm ([4.87 +/- 1.01] vs [13.31 +/- 2.30]%, P<0.01). Meanwhile, the rate
of clinical effectiveness was significantly higher in the 100 Hz TEASthan in the
blank control group either in intention-to-treat (ITT) analysis (100% vs 18.18%)
orper-protocol (PP) analysis (90% vs 0%), and so was it than in the 2 Hz TEAS
group based on the data of ITT (100% vs 33.33%). CONCLUSIONS: Both 2 Hz and 100
Hz TEAS are effective for the treatment of asthenozoospermia by improving sperm
motility and vitality.
PMID- 29658243
TI - [Radium-223 for the treatment of bone metastasis of prostate cancer].
AB - Over 80% of the patients with prostate cancer (PCa) develop bone metastasis,
which seriously affects the patients' quality of life and remains a major cause
of morbidity. Radium-223 (Ra-223), a newly approved agent targeting bone
metastasis of PCa, can improve the quality of life and prolong the overall
survival of the PCa patients with bone metastasis. This article presents an
overview of the clinical trials recently published on the management of bone
metastasis of PCa with Ra-223.
PMID- 29658244
TI - [Advances in the studies of lipoic acid in male infertility].
AB - Lipoic acid (LA) is widely present in the body as a disulfide compound with an
extensive biological activity and a strong antioxidant capacity. LA plays an
antioxidant role by removing oxygen free radicals, chelating metal ions, and
regenerating other antioxidants in the body. Recent studies have shown the
effects of LA in the prevention or treatment of male reproductive disorders, such
as idiopathic oligoasthenozoospermia, diabetes mellitus-induced erectile
dysfunction and infertility, serious infection in the reproductive system, and
reproductive system injuries caused by chemotherapeutic agents, ionizing
radiation, environmental toxins, testicular ischemia reperfusion, excessive
exercise, and so on.
PMID- 29658245
TI - [Treatment of erectile dysfunction in patients with spinal cord injury].
AB - The majority of men with spinal cord injury (SCI) suffer from different types and
degrees of erectile dysfunction (ED). The impact of SCL on erectile function
depends on the severity and location of the injury. Some patients can restore the
residual sexual potential in the period of SCI recovery. Treatments of ED in men
with SCI include psychotherapy, medication of oral PDE5 inhibitors,
intracavernous injection of vasoactive drugs, transurethral medication,
application of the vacuum erectile device and penile ring, penile prostheses,
sacral neuromodulation, etc., among which PDE5 inhibitors remain the first option
and usually give good clinical results for those with higher SCI. However,
intracavernous injection of vasoactive drugs and combined treatment are
preferable for those with lower SCI.
PMID- 29658246
TI - [Expression characteristics of the Daxx gene in the mouse testis during
spermatogenesis].
AB - Objective: To investigate the expression characteristic of the Daxx gene in the
mouse testis and its role in spermatogenesis. METHODS: Real-time PCR, Western
blot and immunofluorescence were used in examining the expression characteristics
of DAXX in the testis tissue from wild-type, Sertoli cell-specific androgen
receptor knockout (SCARKO) and androgen receptor knockout (ARKO) mice at
different postnatal weeks . RESULTS: The Daxx gene was highly expressed in the
testis tissue and mainly in the nuclei of the wild-type mice at 4 postnatal
weeks. Compared with the wild-type, the ARKO mice showed a markedly decreased
expression of DAXX (0.299+/-0.026), which displayed a polar distribution in the
spermatogenic cells (0.853+/-0.058) and exhibited no significant difference in
the SCARKO mice (1.000+/-0.015). CONCLUSIONS: The Daxx gene expression is the
highest in the middle-stage development of the mouse testis, significantly
decreased in ARKO mice as compared with the wild-type, and its location
influenced by specific AR knockout in Sertoli cells. DAXX may be involved in the
regulation of spermatogenesis in mice.
PMID- 29658247
TI - [Lentiviral vectors carrying siRNA inhibit S1PR3 gene expression in the corpus
cavernosum smooth muscle cells of rats with spontaneous hypertension].
AB - Objective: To screen lentiviral vectors carrying siRNA which can specifically
down-regulate the gene expression of the sphingosine-1-phosphate receptor 3
(S1PR3) in the corpus cavernosum smooth muscle (CCSM) cells of rats with
spontaneous hypertension (SHT) and investigate the influence of the vectors on
the signaling pathways of ROCK1, ROCK2 and eNOS in the CCSM cells of SHT rats.
METHODS: Using the S1PR3 mRNA sequence of the rat as an interfering target, we
designed and synthesized three pairs of siRNA sequences (siRNA1, 2 and 3)
targeting S1PR3 and one pair of negative control, and then constructed and
packaged them into lentiviral vectors. We cultured the CCSM cells of SHT and
Wistar-Kyoto (WKY) rats in vitro and randomly divided them into groups A (SHT
untransfected control), B (SHT transfected and carrying negative control virus),
C (SHT transfected and carrying siRNA1 targeting S1PR3), D (SHT transfected and
carrying siRNA2 targeting S1PR3), E (SHT transfected and carrying siRNA3
targeting S1PR3), and F (WKY untransfected control). With the multiplicity of
infection (MOI) = 60, we transfected the CCSM cells of the SHT rats with the
lentiviral vector and then determined the expression of the green fluorescent
protein (GFP) as well as the mRNA and protein expressions of S1PR3, ROCK1, ROCK2
and eNOS in the CCSM cells of the SHT and WKY rats by RT-PCR and Western blot.
RESULTS: Gene sequencing proved the successful construction of the lentiviral
vector. The transfection efficiency of the CCSM cells of the rats was >80% in
groups B, C, D and E. Compared with group A, the mRNA and protein expressions of
S1PR3, ROCK1 and ROCK2 exhibited no significant difference in group B but were
remarkably decreased in groups C, D, E and F (P< 0.05), most significantly in
group E, with the inhibition rates of the mRNA and protein expressions of S1PR3
of (34.2+/-2.9) and (77.7+/-4.7)%, those of ROCK1 of (33.3+/-1.4) and (51.1+/
7.3)%, and those of ROCK2 of (30.8+/-3.6) and (58.32+/-5.5)%, respectively. The
mRNA and protein expressions of eNOS in group A showed no significant difference
from those in groups B, C, D and E (P>0.05) but remarkably lower than those in
group F (P< 0.05). Compared with group F, the mRNA and protein expressions of
S1PR3, ROCK1 and ROCK2 were not significantly different from those in group E
(P>0.05) but markedly increased in groups A, B, C and D (P< 0.05), while those of
eNOS remarkably decreased in groups A, B, C, D and E (P< 0.05). CONCLUSIONS: The
three constructed lentiviral vectors carrying siRNA targeting different loci of
the S1PR3 gene could significantly inhibit the expression of S1P3 as well as
RhoA/Rho kinase signaling pathways in the CCSM cells of SHT rats, and the vector
carrying siRNA3 exhibited the highest inhibitory effect.
PMID- 29658248
TI - [Expression of long non-coding RNA H19 in prostate cancer and its effect on the
proliferation and glycometabolism of human prostate cancer cells].
AB - Objective: To study the expression of long non?coding RNA (lncRNA) H19 in human
prostate cancer tissue and its effect on the glycometabolism and growth of human
prostate cancer cells. METHODS: Real?time quantitative RT?PCR (qRT?PCR) was
employed to detect the expression of lncRNA H19 in human prostate tissues from 20
patients with prostate cancer (10 cases of high?Gleason score prostate cancer
[HGPC] and 10 cases of low?Gleason score prostate cancer [LGPC]) and another 5
with benign prostatic hyperplasia (BPH). After transfection of H19 siRNA into the
DU145 and PC?3 prostate cancer cells, the growth of the cells and the H19
expression in the cells were determined by MTT and qRT?PCR respectively, and the
changes in the glycometabolism of the prostate cancer cells were analyzed by
measuring the contents of glucose and lactate in the culture medium.
Non?transfected and transfected negative vectors were used as blank and negative
controls respectively. RESULTS: The relative expression of H19 was significantly
increased in both the HGPC and LGPC tissues (0.725+/-0.385 and 2.086+/-0.542) as
compared with that in the BPH tissue (0.210+/-0.068) (P< 0.01), even higher in
the HGPC than in the LGPC tissue (P< 0.01). After transfection of H19 siRNA, the
expressions of H19 were remarkably decreased in the DU145 and PC?3 prostate
cancer cells in comparison with those in the blank control and negative control
groups (P< 0.01), and so were the proliferation of and the glucose and lactate
levels in the DU145 and PC?3 cells (P< 0.01).
PMID- 29658249
TI - [Vasectomy has no obvious long?term influence on the levels of serum androgens in
aging males].
AB - Objective: To explore the long?term influence of vasectomy on the levels of serum
androgens in aging males. METHODS: Using stratified random sampling, we conducted
a questionnaire survey and physical examinations among 437 adult males aged >=40
years, 232 with and 205 without the history of vasectomy. In addition, we
measured the levels of serum total testosterone (TT), sex?hormone binding
globulin (SHBG), calculated free testosterone (cFT), testosterone secreting index
(TSI), free testosterone index (FTI), and luteinizing hormone (LH). RESULTS:
Compared with the non?vasectomy group, the vasectomy group showed significantly
increased levels of serum TT ([16.01+/-5.41] vs [17.39+/-6.57] nmol/L), SHBG
([58.91+/-36.89] vs [70.28+/-40.90] nmol/L), and LH ([8.86+/-6.49] vs [10.85+/
11.73] IU/L) (all P< 0.05) and a decreased level of FTI (0.33+/-0.15 vs 0.30+/
0.12, P< 0.05). There were no statistically significant differences between the
non?vasectomy and vasectomy groups in cFT ([0.24+/-0.07] vs [0.23+/-0.09] nmol/L)
or TSI ([2.42+/-1.34] vs [2.46+/-1.51] nmol/IU) (both P>0.05), nor after
adjustment for relevant factors in TT (beta: 1.015, 95% CI: -0.180-2.210), SHBG
(beta: 5.118, 95% CI: -2.069-12.305), cFT (beta: 0.003, 95% CI: -0.011-0.018),
FTI (beta: -0.012, 95% CI: -0.035-0.011), TSI (beta: 0.138, 95% CI: -0.131
0.407), and LH (beta: 1.011, 95% CI: -0.811-2.834) (all P>0.05). CONCLUSIONS:
Vasectomy has no obvious long?term influence on the levels of serum androgens in
aging males.
PMID- 29658250
TI - [Dynamic changes of reproductive hormone levels and related factors in old and
middle?aged men in health examination].
AB - Objective: To investigate the dynamic changes of serum reproductive hormone
levels in old and middle?aged males in health examination and their correlation
with age and lipid profile. METHODS: This study included 4 333 men in health
examination from January 2011 to December 2014. The men were aged from 40 to 85
years old and divided into seven five?year?span age groups. We determined the
levels of serum testosterone (T), luteinizing hormone (LH), follicle?stimulating
hormone (FSH), estradiol (E2), progesterone (P), prolactin (PRL), total
cholesterol (TC), triglyceride (TG), low?density lipoprotein cholesterol (LDL?C),
high?density lipoprotein cholesterol (HDL?C), and the testosterone secretion
index (TSI = T/LH). We analyzed the obtained data using SPSS Pram, Kruskal?Wallis
H test, Mann?Whitney U test, exponential regression, and Spearman correlation
analysis. RESULTS: Statistically significant differences were found in LH, FSH,
E2 and TSI among the seven age groups (P< 0.05). The levels of serum LH, FSH and
E2 were significantly higher (P< 0.05) while TSI remarkably lower (P< 0.05) in
the >=70 yr group than in the other six groups. The serum T and E2 levels and TSI
were markedly lower in the 40-44, 45-49 and 50-54 yr groups in 2014 than in the
other three years (P< 0.05), and so were the levels of serum T and TSI in the 55
59 yr group (P< 0.05). The levels of serum LH, FSH and E2 were correlated
positively while those of P, PRL and TSI negatively with age. The serum T level
was correlated positively with HDL?C but negatively with TC, TG and LDL?C. The
levels of serum LH, FSH and E2 showed a yearly average increase of 1.9%, 2.7% and
0.5%, respectively, while TSI an annual mean decline of 2.0% in the 40-85 yr
group. CONCLUSIONS: LH, FSH and E2 were increased while TSI decreased with age in
the >40 years old males. T and TSI were reduced in the 40-59 years old men from
2011 to 2014, and so was E2 in the 40-54 yr group. Low?level testosterone is
closely related to dyslipidemia.
PMID- 29658252
TI - [Single nucleotide polymorphism of the TP53 gene is not correlated with male
infertility].
AB - Objective: To investigate the correlation of the single nucleotide polymorphism
(SNP) rs1042522 of the tumor protein p53 (TP53) gene with the risk of male
infertility. METHODS: This case?control study included 380 male patients with
idiopathic infertility and 398 normal fertile men as controls from the Nanjing
area. We genotyped the SNP rs1042522 of the TP53 gene by Sequence Mass Array and
analyzed the correlation of the SNP with male infertility using the logistic
regression model. RESULTS: Compared with the normal controls, the patients with
idiopathic infertility showed significantly decreased sperm concentration
([77.34+/-49.24] vs [13.13+/-24.96] *106/ml), percentage of progressively motile
sperm ([42.55+/-9.57] vs [10.38+/-5.57]%), serum testosterone level ([14.07+/
5.36] vs [11.89+/-4.50] nmol/L), and follicle?stimulating hormone level ([16.80+/
18.20] vs [4.55+/-7.17] U/L) (P < 0.05) but no statistically significant
differences in other parameters. No correlation was observed between the SNP
frequencies and male infertility and similar results were found in the subgroups
of the cases. CONCLUSIONS: SNP rs1042522 of the TP53 gene is not significantly
correlated with the risk of male infertility.
PMID- 29658251
TI - [Nucleotide polymorphism rs4880 of the SOD2 gene and the risk of male
infertility].
AB - Objective: To investigate the correlation of the single nucleotide polymorphism
(SNP) rs4880 of the superoxide dismutase 2 (SOD2) gene with the risk of male
infertility. METHODS: This case?control study included 519 male patients with
idiopathic infertility (aged 19-40 [28.93+/-4.93] years) in the case group and
338 fertile men (aged 19-40 [28.40+/-4.25] years) in the control group. We
collected the clinical data, genotyped the SNP rs4880 of the SOD2 gene by
Sequenom Mass Array, and analyzed the association of different genotypes with
male infertility using the logistic regression model. RESULTS: Statically
significant differences were observed between the case and control groups in the
level of follicle?stimulating hormone (FSH) ([4.72+/-2.51] vs [15.65+/-17.24]
U/L, P< 0.01), the percentage of progressively mobile sperm ([9.12+/-13.5] vs
[41.95+/-9.03]%, P< 0.01), and sperm concentration ([12.95+/-24.38] vs [72.88+/
45.60] *106/ml, P< 0.01), but not in other parameters. No correlation was found
between male infertility and the heterozygous genotype TC (OR = 0.90, 95% CI:
0.65-1.25, P = 0.516) or the homozygous genotype CC (OR=1.49, 95% CI: 0.38-5.81,
P = 0.566) as compared with the wild genotype TT, and similar results were
obtained in the analysis of the subgroups. CONCLUSIONS: The SNP rs4880 of the
SOD2 gene was not correlated with male infertility, which, however, is to be
supported by further studies with larger samples from more areas.
PMID- 29658253
TI - [Surgical treatment of advanced penile cancer without distant metastasis].
AB - Objective: To investigate the effect of surgery on advanced penile cancer without
distant metastasis and the factors influencing the prognosis. METHODS: Between
September 2007 and July 2015, we treated 8 cases of advanced penile cancer
without distant metastasis by penectomy and lymph node dissection. The patients
were aged 37-67 (mean 51.1) years. We followed up the patients for 4-60 (mean
19.25) months postoperatively and analyzed the surgical effects and the factors
affecting the prognosis. RESULTS: Three of the patients remained alive while the
other 5 (62.5%) died at 4-13 (mean 9) months after surgery. No significant
complications were observed and myocutaneous flap repair showed good prognosis in
4 of the patients with large?area skin defect. CONCLUSIONS: Surgery is
comparatively a valuable option for the treatment of advanced penile cancer
without distant metastasis, though with a poor prognosis, and the important
factor affecting its prognosis is lymph node metastasis. Flap repair can solve
the problem of large?area skin defect after surgery. However, evidence is not yet
sufficient to prove the effectiveness of multimodality therapy of this
malignancy.
PMID- 29658254
TI - [Value of sperm acrosin activity detection in selecting the method of assisted
reproduction for patients with unexplained infertility].
AB - Objective: To investigate the clinical significance of sperm acrosin activity
detection in selecting the method of assisted reproduction for patients with
unexplained infertility (UI). METHODS: This retrospective study included 49 UI
couples treated by IVF?ET (49 cycles) after three failures in intrauterine
insemination (IUI) and another 95 couples with uterine tube obstruction (UTO)
treated by IVF (131 cycles). We analyzed the laboratory data, clinical outcomes
and sperm acrosin activity in the two groups of patients. According to the level
of sperm acrosin activity of the males, we further divided the UI patients into
two subgroups, a < 36 IU/106 sperm group (20 cycles) and a >=36 IU/106 sperm
group (29 cycles), and compared the fertilization rates between the two groups.
RESULTS: Compared with UI couples treated by IVF?ET, the UTO couples treated by
IVF had a significantly lower rate of fertilization (67.0% vs 76.4%, P < 0.05)
and a higher rate of remedial intracytoplasmic sperm injection (ICSI) (20.4% vs
6.1%, P < 0.05), but showed no statistically significant differences in the rates
of MII oocytes, available embryos, high?quality embryos, implantation, and
clinical pregnancy from the latter group (P >0.05). The sperm acrosin activity
was remarkably lower in the UI than in the UTO patients (36.03 vs 61.98 IU/106, P
< 0.01), and so was the fertilization rate in the < 36 IU/106 than in the >=36
IU/106 sperm subgroup (47.7% vs 80.3%, P < 0.01). CONCLUSIONS: The low
fertilization rate caused by decreased sperm acrosin activity may be the main
cause of infertility and the potential factor of UI. When sperm acrosin activity
is < 36 IU/106 sperm, IVF plus short?term fertilization by remedial ICSI should
be preferred to IUI.
PMID- 29658255
TI - [Combination of musk and olibanum promotes proliferation of prostate stem cells
in mice: An experimental study].
AB - Objective: To study the effects of musk?olibanum combination on the proliferation
and differentiation of prostate stem cells. METHODS: We cultured prostate
epithelial cells and urogenital sinus mesenchymal (UGSM) cells from 7-10 d old
C57BL/6 mice and 16-18 d old pregnant C57BL/6 mice, transplanted the mixed
suspension of the two types of cells under the kidney envelope of SCIDCB.17 male
mice, and harvested the transplants 30 days later. We randomly divided the
SCIDCB.17 mice into four groups to be treated intragastrically with musk (n = 8),
olibanum (n = 8), musk+olibanum (n = 7), and normal saline (blank control, n =
8)) respectively, all for 14 days. Then we collected the kidney tissue for
observation of the morphology of the glandular tubes and differentiation of
different subsets of stem cells by HE staining and determination of the
expressions and distribution of P63, CD133, CD117 and Sca?1 by
immunohistochemistry and Western blot. RESULTS: A system was successfully
established for the isolation and mixed culture of Sca?1 Lin?+ CD49f+ (LSC) cells
of prostate stem cells and UGSM cells of the mouse embryonic prostate.
Immunohistochemistry showed positive expressions of P63, CD133, Sca?1, and CD117
in the prostatic acinar epithelia and proved the presence of prostatic acinar
epithelial structure in the transplants. Compared with the blank control group,
the expressions of CD133, Sca?1 and CD117 were significantly increased in the
musk, olibanum, and musk+olibanum groups (P< 0.05), higher in the musk+olibanum
than in the musk or olibanum group (P< 0.05), and their protein expressions were
even more elevated in the musk+olibanum group (P< 0.01), with statistically
significant difference from the olibanum group (P< 0.05). CONCLUSIONS: The
combination of musk and olibanum can improve the proliferation and
differentiation of prostate stem cells.
PMID- 29658256
TI - [Bushen Daozhuo Granules for type III prostatitis: A multicenter randomized
controlled clinical trial].
AB - Objective: To study the safety and efficacy of Bushen Daozhuo Granules (BDG) in
the treatment of type III prostatitis. METHODS: This multi?center randomized
controlled clinical trial included 478 patients with type III prostatitis, 290 in
the trial group and 188 as controls, the former treated with BDG at 200 ml bid
and the latter with tamsulosin hydrochloride sustained?release capsules at 0.2 mg
qd, both for 4 weeks. Before treatment, after 4 weeks of medication, and at 4
weeks after drug withdrawal, we obtained the NIH Chronic Prostatitis Symptom
Index (NIH?CPSI) scores and compared the safety and effectiveness rate between
the two groups of patients. RESULTS: Compared with the baseline, the NIH?CPSI
score was markedly decreased in the control group after 4 weeks of medication
(21.42 +/- 4.02 vs 15.67 +/- 3.65, P < 0.05) but showed no statistically
significant difference from that at 4 weeks after drug withdrawal (19.03 +/-
3.86) (P>0.05), while the NIH?CPSI score in the trial group was remarkably lower
than the baseline both after 4 weeks of medication and at 4 weeks after drug
withdrawal (10.92 +/- 2.06 and 12.91 +/- 2.64 vs 21.58 +/- 3.67, P < 0.05). The
trial group exhibited both a higher rate of total effectiveness and safety than
the control (P < 0.05). CONCLUSIONS: BDG is safe and effective for the treatment
of type III prostatitis.
PMID- 29658257
TI - [Ningmitai Capsules combined with doxycycline hydrochloride for Ureaplasma
urealyticum?positive chronic prostatitis].
AB - Objective: To investigate the effects of Ningmitai Capsules (NMT) combined with
doxycycline hydrochloride (DH) on chronic prostatitis induced by Ureaplasma
urealyticum (Uu). METHODS: This randomized controlled trial included 240 male
patients with Uu?positive chronic prostatitis, treated orally with NMT at 4
capsules tid (n= 35), DH at 100 mg bid (n = 78), and NMT+DH at the corresponding
doses (n = 127), respectively, all for 2 successive weeks. At 1 week after drug
withdrawl, we conducted routine urine analysis, EPS examination, and drug
sensitivity test of the cultured Uu. RESULTS: The positive?to?negative rate of Uu
was significantly higher in the NMT+DH group than in the NMT and DH groups (89.0%
[113/127] vs 54.3% [19/35] and 71.8% [56/78], P< 0.05), so were the cure rate
(25.2% vs 20.0% and 20.5%, P< 0.05) and total effectiveness rate (89.0% vs 54.3%
and 71.8%, P< 0.05). CONCLUSIONS: The combination of Ningmitai Capsules and
doxycycline hydrochloride is more effective than either Ningmitai Capsules or
doxycycline hydrochloride used alone in the treatment of Uu?positive chronic
prostatitis.
PMID- 29658258
TI - [Traditional Chinese medicine fumigation combined with Levofloxacin for type IIIA
prostatitis with the symptoms of damp heat and blood stasis].
AB - Objective: To investigate the clinical effects of traditional Chinese medicine
(TCM) fumigation on type IIIA prostatitis with the symptoms of damp heat and
blood stasis. METHODS: We randomly divided 72 cases of type IIIA prostatitis with
the symptoms of damp heat and blood stasis into an experimental and a control
group of equal number, the former treated with TCM fumigation plus oral
Levofloxacin while the latter with oral Levofloxacin only. After 4 weeks of
treatment, we compared the National Institute of Health Chronic Prostatitis
Symptom Index (NIH?CPSI) score, TCM symptoms score, maxinum flow rate (Qmax), and
average urinary flow rate (Qave) between the two groups of patients. RESULTS: The
total effectiveness rate was significantly higher in the experimental than in the
control group (91.7% vs 61.1%, P< 0.01), while the NIH?CPSI score in the
experimental group was markedly decreased after treatment as compared with the
baseline (14.5 +/- 8.2 vs 26.5 +/- 9.3, P< 0.05) and significantly lower than
that in the control (14.5 +/- 8.2 vs 20.6 +/- 7.9, P< 0.05). Qmax was remarkably
increased in the experimental group after treatment in comparison with the
baseline ([21.2 +/- 4.3] vs [15.8 +/- 3.6] ml/s, P< 0.05) and the control group,
and so was Qave as compared with pretreatment ([16.3 +/- 3.5] vs [10.5 +/- 2.8]
ml/s, P< 0.05) and the control. However, the patients of the control group showed
no statistically significant differences before and after treatment either in
Qmax ([15.4 +/- 3.4] vs [16.1 +/- 2.9] ml/s, P>0.05) or in Qave ([10.9 +/- 2.4]
vs [11.1 +/- 2.9] ml/s, P>0.05). CONCLUSIONS: TCM fumigation combined with
Levofloxacin is an effective therapy for type IIIA prostatitis with the symptoms
of damp heat and blood stasis, which can significantly improve the symptoms,
reduce the NIH?CPSI score, and increase the Qmax and Qave of the patient.
PMID- 29658259
TI - [Glycogen synthase kinase?3 and prostate cancer: An update].
AB - Glycogen synthase kinase?3 (GSK?3alpha and GSK?3beta) are serine/threonine
protein kinases acting on numerous substrates and involved in the regulation of
various cellular functions such as their proliferation, survival, glycogen
metabolism, and autophagy. Accumulating evidence indicates that the expression of
GSK?3alpha is increased mainly in androgen?dependent while that of GSK?3beta in
androgen?independent prostate cancer, and that GSK?3beta is also involved in the
regulation of the transactivation of the androgen receptor (AR) and growth of
prostate cancer. Animal experiments have proved that some GSK?3 inhibitors, such
as lithium, can significantly suppress tumor growth in different animal models of
prostate cancer. The GSK?3 inhibitor is promising to be an important agent for
the clinical management of prostate cancer.
PMID- 29658260
TI - [Impact of Mycoplasma and Chlamydia infections on male reproduction].
AB - Infertility can be attributed to reproductive tract infections (RTI), most
commonly non?gonococcal urethritis, mainly including Mycoplasma and Chlamydia
infections, which may directly or indirectly damage spermatozoa and spermatogenic
cells. In addition, a series of immune responses caused by such infections are
also associated with male infertility. Methods for the clinical detection of
these microbial infections are being constantly improved for more specific and
precise control over the impact of Mycoplasma and Chlamydia infections on male
fertility.
PMID- 29658261
TI - Survivorship Care Preferences: A Pilot Study of Young Adults With Cancer
AB - Background: Adolescents and young adults (AYAs) face increased risk for physical,
social, and cognitive late complications of cancer therapy. Strategies are needed
to better engage AYAs in survivorship research. Objectives: This study aimed to
determine the feasibility of enrolling AYAs within six months of diagnosis to a
survivorship study and assess their health concerns and survivorship care
preferences. Methods: Patients aged 15-34 years who were diagnosed with leukemia,
lymphoma, or sarcoma at three Connecticut hospitals from 2008-2011 were
identified and recruited by mail. Participants and their physicians received a
survivorship care plan. Participants completed surveys at 6 months and 18 months
after diagnosis. Findings: Recruiting AYAs to survivorship research remains
challenging, even when approaching them soon after diagnosis. Novel strategies
are needed for nurses and other healthcare team members to engage AYAs in
survivorship care and research.
PMID- 29658262
TI - Novel Conductive Metal-Organic Framework for a High-Performance Lithium-Sulfur
Battery Host: 2D Cu-Benzenehexathial (BHT).
AB - Despite the high theoretical capacity of lithium-sulfur (Li-S) batteries, their
commercialization is severely hindered by low cycle stability and low efficiency,
stemming from the dissolution and diffusion of lithium polysulfides (LiPSs) in
the electrolyte. In this study, we propose a novel two-dimensional conductive
metal-organic framework, namely, Cu-benzenehexathial (BHT), as a promising sulfur
host material for high-performance Li-S batteries. The conductivity of Cu-BHT
eliminates the insulating nature of most S-based electrodes. The dissolution of
LiPSs into the electrolyte is largely prevented by the strong interaction between
Cu-BHT and LiPSs. In addition, orientated deposition of Li2S on Cu-BHT
facilitates the kinetics of the LiPS redox reaction. Therefore, the use of Cu-BHT
for Li-S battery cathodes is expected to suppress the LiPS shuttle effect and to
improve the overall performance, which is ideal for practical application of Li-S
batteries.
PMID- 29658264
TI - Single Cell Titration-Type Assay for Plasma Membrane Cholesterol Chemical
Potential.
AB - In this paper, a titration-type assay is described that determines the minimum
concentration of cholesterol in solution that is required to drive net influx of
cholesterol to the plasma membrane and thus increase the cholesterol
concentration. The increase in cholesterol in the plasma membrane is detected by
cholesterol diffusion at the site of contact by a cholesterol oxidase-modified
microelectrode. In the presented thermodynamic model, the minimum solution phase
cholesterol concentration that drives influx to the plasma membrane is a close
approximation of the true solution-phase equilibrium concentration of cholesterol
produced from cellular cholesterol efflux and as such it is a quantitative
measure of the chemical potential of cholesterol in the cellular plasma membrane.
This assay provides a measure of cholesterol chemical potential in the living
cellular plasma membrane through reference to a solution concentration which
avoids invoking classic kinetic theory to relate a rate to a specific
thermodynamic activity and which avoids uncertainty associated with mass transfer
phenomena.
PMID- 29658263
TI - Direct Correlations of Grain Boundary Potentials to Chemical States and
Dielectric Properties of Doped CaCu3Ti4O12 Thin Films.
AB - Colossal dielectric constant CaCu3Ti4O12 has been recognized as one of the rare
materials having intrinsic interfacial polarization and thus unusual dielectric
characteristics, in which the electrical state of the grain boundary is critical.
Here, the direct correlation between the grain boundary potential and relative
permittivity is proposed for the CaCu3Ti4O12 thin films doped with Zn, Ga, Mn,
and Ag as characterized by Kelvin probe force microscopy. The dopants are
intended to provide the examples of variable grain boundary potentials that are
driven by chemical states including Cu+, Ti3+, and oxygen vacancy. Grain boundary
potential is nearly linearly proportional to the dielectric constant. This effect
is attributed to the increased charge accumulation near the grain boundary,
depending on the choice of the dopant. As an example, 1 mol % Ag-doped
CaCu3Ti4O12 thin films demonstrate the best relative permittivity as associated
with a higher grain boundary potential of 120.3 mV compared with 82.6 mV for the
reference film. The chemical states across grain boundaries were further verified
by using spherical aberration-corrected scanning transmission electron microscopy
with the simultaneous electron energy loss spectroscopy.
PMID- 29658265
TI - Layer-by-Layer Assembly of Heparin and Peptide-Polyethylene Glycol Conjugates to
Form Hybrid Nanothin Films of Biomatrices.
AB - We investigated the utility of a heparin/peptide-polyethylene glycol conjugate
system to build layer-by-layer (LbL) structures, to assemble tailored multilayer
biomatrices for cell culture. The LbL assembly balances the advantages of
polyelectrolyte systems and protein-based systems. Human umbilical vein
endothelial cells showed distinct responses to the film thickness and structure;
the presence, density, and spatial arrangement of a cell adhesion ligand within
the nanothin film; and the pretreatment of the film with morphogens. The LbL
technique presents a versatile tool for modifying cell culture substrates with
defined and diverse biochemical and structural features, for investigating cell
material interactions.
PMID- 29658266
TI - Enzyme-Based Electrochemical Biosensor for Therapeutic Drug Monitoring of
Anticancer Drug Irinotecan.
AB - Therapeutic drug monitoring (TDM) is the clinical practice of measuring
pharmaceutical drug concentrations in patients' biofluids at designated
intervals, thus allowing a close and timely control of their dosage. To date, TDM
in oncology can only be performed by trained personnel in centralized
laboratories and core facilities employing conventional analytical techniques
(e.g., MS). CPT-11 is an antineoplastic drug that inhibits topoisomerase type I,
causing cell death, and is widely used in the treatment of colorectal cancer. CPT
11 was also found to directly inhibit acetylcholine esterase (AChE), an enzyme
involved in neuromuscular junction. In this work, we describe an enzymatic
biosensor, based on AChE and choline oxidase (ChOx), which can quantify CPT-11.
ACh (acetylcholine) substrate is converted to choline, which is subsequently
metabolized by ChOx to give betaine aldehyde and hydrogen peroxide. The latter
one is then oxidized at a suitably polarized platinum electrode, providing a
current transient proportional to the amount of ACh. Such an enzymatic process is
hampered by CPT-11. The biosensor showed a ~60% maximal inhibition toward AChE
activity in the clinically relevant concentration range 10-10 000 ng/mL of CPT-11
in both simple (phosphate buffer) and complex (fetal bovine serum) matrixes,
while its metabolites showed negligible effects. These findings could open new
routes toward a real-time TDM in oncology, thus improving the therapeutic
treatments and lowering the related costs.
PMID- 29658267
TI - Circular Dichroism Control of Tungsten Diselenide (WSe2) Atomic Layers with
Plasmonic Metamolecules.
AB - Controlling circularly polarized (CP) states of light is critical to the
development of functional devices for key and emerging applications such as
display technology and quantum communication, and the compact circular
polarization-tunable photon source is one critical element to realize the
applications in the chip-scale integrated system. The atomic layers of transition
metal dichalcogenides (TMDCs) exhibit intrinsic CP emissions and are potential
chiroptical materials for ultrathin CP photon sources. In this work, we
demonstrated CP photon sources of TMDCs with device thicknesses approximately 50
nm. CP photoluminescence from the atomic layers of tungsten diselenide (WSe2) was
precisely controlled with chiral metamolecules (MMs), and the optical chirality
of WSe2 was enhanced more than 4 times by integrating with the MMs. Both the
enhanced and reversed circular dichroisms had been achieved. Through integrations
of the novel gain material and plasmonic structure which are both low
dimensional, a compact device capable of efficiently manipulating emissions of CP
photon was realized. These ultrathin devices are suitable for important
applications such as the optical information technology and chip-scale
biosensing.
PMID- 29658268
TI - Open-Source Potentiostat for Wireless Electrochemical Detection with Smartphones.
AB - This paper describes the design and characterization of an open-source "universal
wireless electrochemical detector" (UWED). This detector interfaces with a
smartphone (or a tablet) using "Bluetooth Low Energy" protocol; the smartphone
provides (i) a user interface for receiving the experimental parameters from the
user and visualizing the result in real time, and (ii) a proxy for storing,
processing, and transmitting the data and experimental protocols. This approach
simplifies the design, and decreases both the size and the cost of the hardware;
it also makes the UWED adaptable to different types of analyses by simple
modification of the software. The UWED can perform the most common
electroanalytical techniques of potentiometry, chronoamperometry, cyclic
voltammetry, and square wave voltammetry, with results closely comparable to
benchtop commercial potentiostats. Although the operating ranges of electrical
current and voltage of the UWED (+/-1.5 V, +/-180 MUA) are more limited than most
benchtop commercial potentiostats, its functional range is sufficient for most
electrochemical analyses in aqueous solutions. Because the UWED is simple, small
in size, assembled from inexpensive components, and completely wireless, it
offers new opportunities for the development of affordable diagnostics, sensors,
and wearable devices.
PMID- 29658269
TI - Nonvolatile Control of Magnetocaloric Operating Temperature by Low Voltage.
AB - The limited operating temperature is the main obstacle for the practical
applications of magnetic refrigeration. In this work, the voltage control of
magnetocaloric effect (MCE) is investigated in a La0.7Sr0.3MnO3 (LSMO)/CeO2/Pt
device. Different from the conventional method of volatile manipulating MCE by
large-voltage-induced strain, nonvolatile manipulation of magnetocaloric
operating temperature with good stability is realized in the LSMO film by
applying low voltages of less than 2.3 V. The experimental results demonstrate
that the magnetic entropy change peak temperature for the LSMO film can be
extended from 302 to 312 K by voltage. This nonvolatile effect can be well
understood with the resistive switching mechanism and has potential in promoting
microscale refrigeration technology.
PMID- 29658270
TI - Dual-Mechanism and Multimotion Soft Actuators Based on Commercial Plastic Film.
AB - Soft actuators have attracted a lot of attention owing to their biomimetic
performance. However, the development of soft actuators that are easily prepared
from readily available raw materials, conveniently utilized, and cost-efficient
is still a challenge. Here, we present a simple method to fabricate a
polyethylene-based soft actuator. It has controllable anisotropic structure and
can realize multiple motions, including bidirectional bending and twisting based
on dual mechanisms, which is a rare phenomenon. Especially, the soft actuators
can response at a very small temperature difference (Delta T >= 2.3 degrees C);
therefore, even skin touch can quickly drive the actuator, which greatly broadens
its applications in daily life. The soft actuator could demonstrate a curvature
up to 7.8 cm-1 accompanied by powerful actuation. We have shown that it can lift
an object 27 times its own weight. We also demonstrate the application of this
actuator as intelligent mechanical devices.
PMID- 29658271
TI - Photosensitized Protein-Damaging Activity, Cytotoxicity, and Antitumor Effects of
P(V)porphyrins Using Long-Wavelength Visible Light through Electron Transfer.
AB - Photodynamic therapy (PDT) is a less-invasive treatment for cancer through the
administration of less-toxic porphyrins and visible-light irradiation.
Photosensitized damage of biomacromolecules through singlet oxygen (1O2)
generation induces cancer cell death. However, a large quantity of porphyrin
photosensitizer is required, and the treatment effect is restricted under a
hypoxic cellular condition. Here we report the phototoxic activity of
P(V)porphyrins: dichloroP(V)tetrakis(4-methoxyphenyl)porphyrin (CLP(V)TMPP),
dimethoxyP(V)tetrakis(4-methoxyphenyl)porphyrin (MEP(V)TMPP), and
diethyleneglycoxyP(V)tetrakis(4-methoxyphenyl)porphyrin (EGP(V)TMPP). These
P(V)porphyrins damaged the tryptophan residue of human serum albumin (HSA) under
the irradiation of long-wavelength visible light (>630 nm). This protein
photodamage was barely inhibited by sodium azide, a quencher of 1O2. Fluorescence
lifetimes of P(V)porphyrins with or without HSA and their redox potentials
supported the electron-transfer-mediated oxidation of protein. The
photocytotoxicity of these P(V)porphyrins to HeLa cells was also demonstrated.
CLP(V)TMPP did not exhibit photocytotoxicity to HaCaT, a cultured human skin
cell, and MEP(V)TMPP and EGP(V)TMPP did; however, cellular DNA damage was barely
observed. In addition, a significant PDT effect of these P(V) porphyrins on a
mouse tumor model comparable with the traditional photosensitizer was also
demonstrated. These findings suggest the cancer selectivity of these
P(V)porphyrins and lower carcinogenic risk to normal cells. Electron-transfer
mediated oxidation of biomacromolecules by P(V)porphyrins using long-wavelength
visible light should be advantageous for PDT of hypoxic tumor.
PMID- 29658272
TI - Arsenite Targets the RING Finger Domain of Rbx1 E3 Ubiquitin Ligase to Inhibit
Proteasome-Mediated Degradation of Nrf2.
AB - Activation of the nuclear factor erythroid 2-related factor 2 (Nrf2) antioxidant
response signaling pathway is a major mechanism for the cellular defense against
oxidative stress. Arsenite, a widespread contaminant in drinking water, is known
to induce oxidative stress and activate the Nrf2-dependent signaling pathway
through the stabilization of the Nrf2 protein by inhibiting its ubiquitination
via the Cul3-Rbx1-Keap1 (cullin 3, RING-box 1, and Kelch-like ECH-associated
protein 1) E3 ubiquitin ligase, and its degradation by the 26S proteasome, though
the underlying mechanism, remains elusive. In the present study, we demonstrated
that arsenite could bind to the RING finger domain of Rbx1 in vitro and in cells,
which led to the suppression of Cul3-Rbx1 E3 ubiquitin ligase activity, thereby
impairing the Nrf2 ubiquitination and activating the Nrf2-induced antioxidant
signaling pathway. Our finding provided novel insight into arsenic toxicity by
uncovering a distinct mechanism accounting for arsenite-induced Nrf2 activation.
PMID- 29658274
TI - Valley-Selective Exciton Bistability in a Suspended Monolayer Semiconductor.
AB - We demonstrate robust optical bistability, the phenomenon of two well
discriminated stable states depending upon the history of the optical input, in
fully suspended monolayers of WSe2 at low temperatures near the exciton
resonance. Optical bistability has been achieved under continuous-wave optical
excitation that is red-detuned from the exciton resonance at an intensity level
of 103 W/cm2. The observed bistability is originated from a photothermal
mechanism, which provides both optical nonlinearity and passive feedback, two
essential elements for optical bistability. The low thermal conductance of
suspended samples is primarily responsible for the low excitation intensities
required for optical bistability. Under a finite out-of-plane magnetic field, the
exciton bistability becomes helicity dependent due to the exciton valley Zeeman
effect, which enables repeatable switching of the sample reflectance by light
polarization. Our study has opened up exciting opportunities in controlling light
with light, including its wavelength, power, and polarization, using monolayer
semiconductors.
PMID- 29658273
TI - Dual Role of Humic Substances As Electron Donor and Shuttle for Dissimilatory
Iron Reduction.
AB - Dissimilatory iron-reducing bacteria (DIRB) are known to use humic substances
(HS) as electron shuttles for dissimilatory iron reduction (DIR) by transferring
electrons to HS-quinone moieties, which in turn rapidly reduce Fe(III) oxides.
However, the potential for HS to serve as a source of organic carbon (OC) that
can donate electrons for DIR is unknown. We studied whether humic acids (HA) and
humins (HM) recovered from peat soil by sodium pyrophosphate extraction could
serve as both electron shuttles and electron donors for DIR by freshwater
sediment microorganisms. Both HA and HM served as electron shuttles in cultures
amended with glucose. However, only HA served as an electron donor for DIR.
Metagenomes from HA-containing cultures had an overrepresentation of genes
involved in polysaccharide and to a lesser extent aromatic compound degradation,
suggesting complex OC metabolism. Genomic searches for the porin-cytochrome
complex involved in DIR resulted in matches to Ignavibacterium/Melioribacter,
DIRB capable of polymeric OC metabolism. These results indicate that such taxa
may have played a role in both DIR and decomposition of complex OC. Our results
suggest that decomposition of HS coupled to DIR and other anaerobic pathways
could play an important role in soil and sediment OC metabolism.
PMID- 29658275
TI - Quantifying Reversible Surface Binding via Surface-Integrated Fluorescence
Correlation Spectroscopy.
AB - We present a simple and versatile single-molecule-based method for the accurate
determination of binding rates to surfaces or surface bound receptors. To
quantify the reversible surface attachment of fluorescently labeled molecules, we
have modified previous schemes for fluorescence correlation spectroscopy with
total internal reflection illumination (TIR-FCS) and camera-based detection. In
contrast to most modern applications of TIR-FCS, we completely disregard spatial
information in the lateral direction. Instead, we perform correlation analysis on
a spatially integrated signal, effectively converting the illuminated surface
area into the measurement volume. In addition to providing a high surface
selectivity, our new approach resolves association and dissociation rates in
equilibrium over a wide range of time scales. We chose the transient
hybridization of fluorescently labeled single-stranded DNA to the complementary
handles of surface-immobilized DNA origami structures as a reliable and well
characterized test system. We varied the number of base pairs in the duplex,
yielding different binding times in the range of hundreds of milliseconds to tens
of seconds, allowing us to quantify the respective surface affinities and binding
rates.
PMID- 29658276
TI - Sous-Vide Nonenzymatic Browning of Glucosamine at Different Temperatures.
AB - Sous-vide is an increasingly popular method of cooking under controlled
conditions of temperature and time inside vacuumed pouches to preserve the
nutritional and sensory qualities of food. Sous-vide nonenzymatic browning of
glucosamine (GlcN) was investigated at 50, 60, and 70 degrees C for 12 h.
Changes investigated were pH, color, level of browning, and the concentrations of
the key Maillard and caramelization reaction products, including alpha
dicarbonyls and pyrazines. The concentrations of undesired 4-methylimidazole (4
MEI), 2-acetyl-4(5)-tetrahydroxybutyl imidazole (THI), and 5
hydroxymethylfurfural (5-HMF) were also determined. Six types of caramels were
produced of unique composition with no detectable levels of 4-MEI. GlcN caramels
produced under vacuum were more acidic and lighter in color, containing
significantly less flavorful diacetyl, but more fructosazine (FR) as compared to
nonvacuum caramels. THI concentration was well below the toxicity levels for all
studied caramels. Principal component analyses showed that the incubation
temperature played a key role in determining the composition of caramels.
PMID- 29658278
TI - Bioinspired Diastereoconvergent Synthesis of the Tricyclic Core of Palodesangrens
via Diels-Alder Reaction, LiAlH4-Mediated Isomerization, and Acid-Mediated
Cyclization.
AB - The cyclohexene moiety of the tricyclic 6,7-diaryl-tetrahydro-6 H-benzo[
c]chromene core of palodesangrens could be assembled in a biomimetic and step
economical fashion by the Diels-Alder reaction between the electron-rich ( E)-1,3
butadienylarenes as the diene and the electron-deficient chalcones as the
dienophile. During the reduction of ketone to the corresponding alcohol by
LiAlH4, the mixture of endo and exo isomers underwent a novel diastereoconvergent
LiAlH4-mediated isomerization to install the desired stereochemistry at C10a.
Subsequent pyran ring closure under acidic conditions installed the
stereochemistry at the remaining C6. Overall, the tricyclic core of
palodesangrens could be prepared in three steps and up to 38% yield.
PMID- 29658277
TI - Cavitation Enhancement Increases the Efficiency and Consistency of Chromatin
Fragmentation from Fixed Cells for Downstream Quantitative Applications.
AB - One of the most sensitive, time-consuming, and variable steps of chromatin
immunoprecipitation (ChIP) is chromatin sonication. Traditionally, this process
can take hours to properly sonicate enough chromatin for multiple ChIP assays.
Further, the length of sheared DNA is often inconsistent. In order to faithfully
measure chemical and structural changes at the chromatin level, sonication needs
to be reliable. Thus, chromatin fragmentation by sonication represents a
significant bottleneck to downstream quantitative analysis. To improve the
consistency and efficiency of chromatin sonication, we developed and tested a
cavitation enhancing reagent based on sonically active nanodroplets. Here, we
show that nanodroplets increase sonication efficiency by 16-fold and provide more
consistent levels of chromatin fragmentation. Using the previously characterized
chromatin in vivo assay (CiA) platform, we generated two distinct chromatin
states in order to test nanodroplet-assisted sonication sensitivity in measuring
post-translational chromatin marks. By comparing euchromatin to chemically
induced heterochromatin at the same CiA:Oct4 locus, we quantitatively measure the
capability of our new sonication technique to resolve differences in chromatin
structure. We confirm that nanodroplet-assisted sonication results are
indistinguishable from those of samples processed with traditional sonication in
downstream applications. While the processing time for each sample was reduced
from 38.4 to 2.3 min, DNA fragment distribution sizes were significantly more
consistent with a coefficient of variation 2.7 times lower for samples sonicated
in the presence of nanodroplets. In conclusion, sonication utilizing the
nanodroplet cavitation enhancement reagent drastically reduces the amount of
processing time and provides consistently fragmented chromatin of high quality
for downstream applications.
PMID- 29658279
TI - [The professional and family characteristics, difficulties, contentment and
resources of physicians working at level 3 neonatal intensive care centres.
General overview in Hungary].
AB - INTRODUCTION: A survey that investigates the situation of physicians working at
neonatal intensive care (NIC) centres has not been made since 1997. AIM: To give
an overview of the sociodemographic characteristics; personal and professional
problems; the satisfaction with their job and family roles; their levels of
healthy lifestyle; resources in the families of physicians working at NIC.
METHOD: We have made an examination in Hungary at NIC among physicians from April
2015 till January 2016, with an anonym self-fill-in questionnaire. RESULTS: The
physicians involved in the research (n = 111) are representatively middle-aged
people. They are well-qualified and hold a subordinate post. Most of them have
second jobs. The manager and deputy-manager positions are characteristic for the
men. Most of them live in family. The main problems for them are financial and
professional difficulties, not the family or personal problems. They have
conflicts in families because of finding partners and having children as well as
job problems. They are satisfied with their parenting, partnership and
professional roles but they are not contented with their family role as a
financial safety provider person and as a person living a healthy life. In
difficult situations in their life, they can count on friends and family members,
furthermore they can rely on their workmates and superiors. CONCLUSION: It is the
first research that focuses on the general situation of physicians working at
level 3 NIC centres. This is the first time when a survey investigates their
professional-personal-family life. Orv Hetil. 2018; 159(16): 628-635.
PMID- 29658280
TI - [Randomized, controlled clinical trials with observational follow-up
investigations for evaluating efficacy of antihyperglycaemic treatment. II.
Features of and lessons from the follow-up investigations].
AB - Although the outcomes of the follow-up investigation period of the randomized
clinical studies for evaluating the efficacy of a treatment or an antidiabetic
drug may be confounded or potentially biased by several factors, the results are
widely accepted by the diabetes community. In line with the theory of metabolic
memory or metabolic legacy, early and intensive antihyperglycaemic treatment
should be provided for all diabetic patients as this strategy can result in
beneficial effects even in the long run. The recent cardiovascular safety trials
with new, innovative antidiabetic drugs differ in several aspects from the former
efficacy studies. Ten cardiovascular safety trials were completed so far enabling
to define their unique and common features. It can be anticipated that the era of
randomized, controlled efficacy studies with observational follow-up
investigations came to an end in diabetes research. Nowadays, cardiovascular
safety trials are in the focus of clinical research in diabetology and results of
several ongoing studies are expected with interest in the near future. Orv Hetil.
2018; 159(16): 615-619.
PMID- 29658281
TI - [Treatment of metastatic progression following the synchronous occurrence of
cutaneous and ocular primary melanomas].
AB - The incidence rates of cutaneous melanoma in non-Hispanic whites show an
increasing tendency with age. While uveal melanoma in general is a rare disease,
representing only 4% of all melanomas with an incidence rate of 0.6 per 100 000,
it is still the most frequent malignancy of the eye. Synchronous occurrence of
ocular and cutaneous melanoma is an exceptional rarity, due to the distinct
genetic background of the diseases. We report the case of a 80-year-old man who
underwent total excision of a cutaneous melanoma in 2008. In 2013, he was
diagnosed with uveal melanoma as part of a routine work-up for reduced vision.
The uveal melanoma was treated by brachytherapy. In 2015, liver metastases were
suspected by routine ultrasonography. Core biopsy was carried out, and the
histology confirmed melanoma metastases. The molecular analysis of the cutaneous
lesion showed gain of function mutation of the BRAF V600 K gene, while we found a
wild-type BRAF gene in the metastatic lesion. Based on the recommendation of the
oncoteam, hepatic intra-arterial Epirubicin-Platidiam therapy was introduced. He
received 11 doses of intra-arterial chemotherapy (IAC), in 21 cycles. IAC was
well tolerated without any catheter-related complications or toxicities. Partial
regression of the hepatic metastases were documented in February 2016. After
completing the eleventh cycle of intrahepatic chemotherapy, the disease remained
in complete remission for over a year. The parallel occurrence of cutaneous and
ocular melanoma is rare, however, the metastatic progression in such cases make
the selection of optimal medical therapy challenging. The distinct genetic
background of two melanoma types may help the identification of the source of the
metastatic lesions, in order to guide the treatment decisions. Orv Hetil. 2018;
159(16): 642-647.
PMID- 29658284
TI - [Jozsef Honti MD would be 80].
PMID- 29658283
TI - [Oligo- and polysacharides, proteoglycans].
AB - After clearing up the confusion in the previously used nomenclature, the paper
discusses the family of small-leucin-rich glycoproteins. After mentioning the
family and its role in the organisation of the ground substance and in the
regulation of inflammation, one unique molecule of proteoglycans is presented.
This molecule is the inter-alpha-trypsin inhibitor. It has turned out that this
peculiar molecule originally contains glycosaminoglycan beside the neutral
carbohydrates. After summarizing the numerous connections of the proteoglycan
molecule, the "self-sacrificing" nature of the molecule is presented. It is noted
that the functions of the ovaries are also controlled by this molecule. The
unique structure and fundamental function of the CD44 is also analysed. The CD44
is a transmembrane proteoglycan receptor with diverse functions and actions. The
organisation has intramolecular, transmembrane sections, a stalk and one globular
part and contains glycosaminoglycan and oligosacharids, too. The construction
contains sialic acid residues at end positions of the molecular chains, which
hinder it from joining other sialic acid structures in terminal positions.
Therefore, this molecule has to be separated to open up a way for the sequencing
neutral molecule (e.g., hyaluronan) to be attached. CD44 is the most important,
but not the only receptor of hyaluronan. It can form connections with a lot of
constructions, e.g., blood cells, the human endothelial, adventitial and
mesenchymal. Human extracellular matrix proteinases can change the receptor's
structures. Both the molecular and the supramolecular complexes boast unique
features. The giant hyaluronan chains can connect to hyaluronan-binding
proteoglycans - particularly hyaladherins to hyaluronectins - to create
supramolecular connections and networks. The molecular mass of the fragments of
hyaluronan is in the range of some kDs, while the whole molecule weighs up to
several million Ds. The fragments are independent masses and can form attachments
by themselves. The fragments have important inflammatory effects. Previously
unidentified proteoglycan fragments have also been identified. Five of the ten
identified fragments are secreted after synthetizing and stored in the granula of
human cells. These strange characteristics are connected to the features of
chromogranins/secretogranins. Nevertheless, this is another system of the
organism, the functional, molecular structures of which are synthetized in
neuroendocrine cells. Orv Hetil. 2018; 159(16): 620-627.
PMID- 29658286
TI - Personal Health Coaching as a Type 2 Diabetes Mellitus Self-Management Strategy:
A Systematic Review and Meta-Analysis of Randomized Controlled Trials.
AB - OBJECTIVE: Personal health coaching (PHC) programs have become increasingly
utilized as a type 2 diabetes mellitus (T2DM) self-management intervention
strategy. This article evaluates the impact of PHC programs on glycemic
management and related psychological outcomes. DATA SOURCES: Electronic databases
(CINAHL, MEDLINE, PubMed, PsycINFO, and Web of Science). STUDY INCLUSION AND
EXCLUSION CRITERIA: Randomized controlled trials (RCT) published between January
1990 and September 2017 and focused on the effectiveness of PHC interventions in
adults with T2DM. DATA EXTRACTION: Using prespecified format guided by the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses framework.
DATA SYNTHESIS: Quantitative synthesis for primary (ie, hemoglobin A1c [HbA1c])
and qualitative synthesis for selected psychological outcomes. RESULTS: Meta
analyses of 22 selected publications showed PHC interventions favorably impact
HbA1c levels in studies with follow-ups at <=3 months (-0.32% [95% confidence
interval, CI = -0.55 to -0.09%]), 4 to 6 months (-0.50% [95% CI = -0.65 to
0.35%], 7 to 9 months (-0.66% [95% CI = -1.04 to -0.28%]), and 12 to 18 months (
0.24% [95% CI = -0.38 to -0.10%]). Subsequent subgroup analyses led to no
conclusive patterns, except for greater magnitude of effect size in studies with
conventional (2-arm) RCT design. CONCLUSIONS: The PHC appears effective in
improving glycemic control. Further research is required to assess the
effectiveness of specific program components, training, and supervision
approaches and to determine the cost-effectiveness of PHC interventions.
PMID- 29658285
TI - [Quality of life of patients with Raynaud's disease].
AB - INTRODUCTION: Raynaud's disease is characterized by episodic vasospastic attacks
and digital ischemia usually followed by pain, numbness and cold. Despite the
severity of the symptoms, the investigation of the quality of life in this
disease received less attention yet. AIM: The aim of the study was to examine how
the disease affects the patients' quality of life. METHOD: Semi-structured
interviews were made with 28 patients diagnosed with Raynaud's disease. RESULTS:
Almost every domain of quality of life is negatively affected. The somatic
symptoms cause significant suffering, they are accompanied by loss of
functionality; frequent preventive actions are needed; furthermore they affect
job performance, commuting and sleep quality. Emotional and cognitive burdens and
negative changes in interpersonal relationships were found. CONCLUSION: The
findings of this study show that the disease is present as significant hardship
in every aspect of daily life. Because of the decrease in the quality of life and
the psychological burdens caused by this chronic disease, not only the basic
medical care, but psychological treatment is also indicated. Orv Hetil. 2018;
159(16): 636-641.
PMID- 29658287
TI - Perspectives on Providing And Receiving Preventive Health Care From Primary Care
Providers and Their Patients With Mental Illnesses.
AB - PURPOSE:: Individuals with mental illnesses have higher morbidity rates and
reduced life expectancy compared to the general population. Understanding how
patients and providers perceive the need for prevention, as well as the barriers
and beliefs that may contribute to insufficient care, are important for improving
service delivery tailored to this population. DESIGN:: Cross-sectional; mixed
methods. SETTING:: An integrated health system and a network of federally
qualified health centers and safety net clinics. PARTICIPANTS:: Interviews (n =
30) and surveys (n = 249) with primary care providers. Interviews (n = 158) and
surveys (n = 160) with patients diagnosed with schizophrenia, bipolar, anxiety,
or major depressive disorders. MEASURES:: Semi-structured interviews and surveys.
ANALYSIS:: Thematic analysis for qualitative data; frequencies for quantitative
data. RESULTS:: More than half (n = 131, 53%) of clinicians believed patients
with mental illnesses care less about preventive care than the general
population, yet 88% (n = 139) of patients reported interest in improving health.
Most providers (n = 216, 88%) lacked confidence that patients with mental
illnesses would follow preventive recommendations; 82% (n = 129) of patients
reported they would try to change lifestyles if their doctor recommended.
Clinicians explained that their perception of patients' chaotic lives and lack of
interest in preventive care contributed to their fatalistic attitudes on care
delivery to this population. Clinicians and patients agreed on substantial need
for additional support for behavior changes. Clinicians reported providing
informational support by keeping messages simple; patients reported a desire for
more detailed information on reasons to complete preventive care. Patients also
detailed the need for assistive and tangible support to manage behavioral health
changes. CONCLUSIONS:: Our results suggest a few clinical changes could help
patients complete preventive care recommendations and improve health behaviors:
improving clinician-patient collaboration on realistic goal setting, increasing
visit time or utilizing behavioral health consultants that bridge primary and
specialty mental health care, and increasing educational and tangible patient
support services.
PMID- 29658288
TI - Canadian Nutrition Society: Scientific Abstracts from the 9th Annual Scientific
Meeting / Societe canadienne de nutrition : Resumes scientifiques de la 9e
reunion scientifique annuelle.
PMID- 29658289
TI - Cancer risk following alpha-emitter exposure.
AB - The International Commission on Radiological Protection (ICRP) mandated a task
group (Task Group 64) to review recently published epidemiological studies
related to cancer risk and incorporated alpha emitters, and to evaluate whether
the results might consolidate or challenge assumptions underlying the current
radiation protection system. Three major alpha emitters were considered: radon
and its decay products, plutonium, and uranium. Results came mainly from cohorts
of workers, while for radon, major studies of the general population contributed
to a better understanding of the risk of lung cancer at low and chronic exposure.
Selection criteria for the review were: assessment of individual exposure of the
target organ, long duration of the health survey, availability of attained age at
end of follow-up, and adjustment for major co-factors. Task Group 64 is composed
of members from ICRP Committees 1 and 2 (because epidemiological and dosimetric
expertise were needed) and external experts. A first report (ICRP Publication
115) considered the risk of lung cancer related to inhalation of radon and its
decay products. As the estimated excess risk per unit of exposure was higher by a
factor of 2 compared with a previous ICRP estimate in 1993, Task Group 64
suggested a reconsideration of the reference levels for the workplace and for the
general population. A second report, using the same standardised methodology
(lung cancer baselines, population, life expectancy), will include estimation of
the cancer risk of nuclear workers exposed to plutonium, focusing on the risk of
lung cancer. A comparison of these risks with those of populations exposed to
external gamma radiation alone will be made in the near future. For uranium, the
results related to the organ-specific dose were too sparse to draw reliable
conclusions, despite a recent publication. More research is needed on this topic.
PMID- 29658290
TI - Dietary restriction slightly affects glucose homeostasis and delays plasma
cholesterol removal in rabbits with dietary lipid lowering.
AB - Dietary restriction (DR) has been reported to have beneficial effects on
atherosclerotic progression as well as lipid and glucose metabolism, but little
is known about whether these effects can be enhanced or weakened by dietary lipid
lowering. Here, after 12 weeks of high-cholesterol diet feeding,
hypercholesterolemic rabbits were fed with either a standard chow diet ad libitum
(AL) or a standard chow diet with DR for 16 weeks of dietary lipid lowering. We
found that the DR group exhibited a loss of body weight, smaller internal organs,
and reduced fat mass, while the AL group accumulated more subcutaneous fat than
the baseline group. DR treatment slightly worsened glucose tolerance but enhanced
insulin sensitivity, and a slight effect of DR on insulin secretion was also
observed. After dietary cholesterol withdrawal, rabbits showed persistent
lowering of total cholesterol and triglycerides in plasma. However, the DR group
had significantly higher plasma total cholesterol than the AL group at most time
points during weeks 7 to 16 of lipid lowering. Although both the AL and DR groups
developed more severe atherosclerosis than the baseline group, DR did not improve
atherosclerotic progression or the accumulation of macrophages and smooth muscle
cells. We conclude that DR affected glucose and lipid metabolism but did not
ameliorate atherosclerosis in rabbits when associated with lipid lowering by
dietary cholesterol withdrawal.
PMID- 29658292
TI - Editorial.
PMID- 29658293
TI - Corrigendum.
AB - Corrigendum to ICRP Publication 128: Radiation dose to patients from
radiopharmaceuticals: a compendium of current information related to frequently
used substances. [Ann. ICRP 44(2S), 2015]. DOI: 10.1177/0146645314558019 . The
following error has been identified in Table C.109, p. 280: The value of the
absorbed dose per unit activity administered to the urinary bladder wall for the
1-year-old child after oral administration of 131I-iodide should be 1.3E+00 mGy
MBq-1, not 1.3E-01 as was originally stated. ICRP apologises for any
inconvenience or confusion caused by this error.
PMID- 29658291
TI - Teaching the Concepts of Quality Improvement for Challenging Patient Populations.
PMID- 29658294
TI - ICRP Task Group 95: internal dose coefficients.
AB - Internal doses are calculated using biokinetic and dosimetric models. These
models describe the behaviour of the radionuclides after ingestion, inhalation,
and absorption to the blood, and the absorption of the energy resulting from
their nuclear transformations. The International Commission on Radiological
Protection (ICRP) develops such models and applies them to provide dose
coefficients and bioassay functions for the calculation of equivalent or
effective dose from knowledge of intakes and/or measurements of activity in
bioassay samples. Over the past few years, ICRP has devoted a considerable amount
of effort to the revision and improvement of models to make them more
physiologically realistic representations of uptake and retention in organs and
tissues, and of excretion. Provision of new biokinetic models, dose coefficients,
monitoring methods, and bioassay data is the responsibility of Committee 2 and
its task groups. Three publications in a series of documents replacing the ICRP
Publication 30 series and ICRP Publications 54, 68, and 78 have been issued
[Occupational Intakes of Radionuclides (OIR) Parts 1-3]. OIR Part 1 describes the
assessment of internal occupational exposure to radionuclides, biokinetic and
dosimetric models, methods of individual and workplace monitoring, and general
aspects of retrospective dose assessment. OIR Parts 2-5 provide data on
individual elements and their radioisotopes. Work is also in progress on revision
of dose coefficients for radionuclide intakes by members of the public.
PMID- 29658295
TI - An Assessment of the Impact of Just Culture on Quality and Safety in US
Hospitals.
AB - In pursuit of high reliability, numerous organizations have promoted Just
Culture, but its impact has never been assessed. This report combines data from a
longitudinal survey-based study of clinical peer review practices in a cohort of
457 acute care hospitals with 43 measures from the Hospital Compare database and
interprets them in relation to the long-term trends of Agency for Healthcare
Research and Quality (AHRQ) data on the Hospital Survey of Patient Safety
Culture. In all, 211 of 270 respondents (79%) indicated that their hospital has
adopted Just Culture. More than half believe that it has had a positive impact.
Just Culture implementation and its degree of impact are associated with somewhat
better peer review process, but not with objective measures of hospital
performance. Non-Punitive Response to Error has consistently been the lowest
scoring category (45% positive) in the AHRQ database. Widespread adoption of Just
Culture has not reduced reluctance to report or the culture of blame it targets.
PMID- 29658296
TI - Medical and health surveillance in postaccident recovery: experience after
Fukushima.
AB - The accident at Fukushima Daiichi nuclear power plant occurred following the huge
tsunami and earthquake of 11 March 2011. After the accident, there was
considerable uncertainty and concern about the health effects of radiation. In
this difficult situation, emergency responses, including large-scale evacuation,
were implemented. The Fukushima Health Management Survey (FHMS) was initiated 3
months after the accident. The primary purposes of FHMS were to monitor the long
term health of residents, promote their well-being, and monitor any health
effects related to long-term, low-dose radiation exposure. Despite the severity
of the Fukushima accident and the huge impact of the natural disaster, radiation
exposure of the public was very low. However, there were other serious health
problems, including deaths during evacuation, increased mortality among displaced
elderly people, mental health and lifestyle-related health problems, and social
issues after the accident. The Nuclear Emergency Situations - Improvement of
Medical and Health Surveillance (SHAMISEN) project, funded by the Open Project
For European Radiation Research Area, aimed to develop recommendations for
medical and health surveillance of populations affected by previous and future
radiation accidents. This paper briefly introduces the points that have been
learned from the Fukushima accident from the perspective of SHAMISEN
recommendations.
PMID- 29658297
TI - Numerical investigation of bone remodelling around immediately loaded dental
implants using sika deer (Cervus nippon) antlers as implant bed.
AB - This study combines finite element method and animal studies, aiming to
investigate tissue remodelling processes around dental implants inserted into
sika deer antler and to develop an alternative animal consuming model for
studying bone remodelling around implants. Implants were inserted in the antlers
and loaded immediately via a self-developed loading device. After 3, 4, 5 and 6
weeks, implants and surrounding tissue were taken out. Specimens were scanned by
MUCT scanner and finite element models were generated. Immediate loading and
osseointegration conditions were simulated at the implant-tissue interface. A
vertical force of 10 N was applied on the implant. During the healing time,
density and Young's modulus of antler tissue around the implant increased
significantly. For each time point, the values of displacement, stresses and
strains in the osseointegration model were lower than those of the immediate
loading model. As the healing time increased, the displacement of implants was
reduced. The 3-week immediate loading model (9878 +/- 1965 MUstrain) illustrated
the highest strains in the antler tissue. Antler tissue showed similar
biomechanical properties as human bone in investigating the bone remodelling
around implants, therefore the use of sika deer antler model is a promising
alternative in implant biomechanical studies.
PMID- 29658298
TI - Anti-inflammatory lupane triterpenoids from Menyanthes trifoliata.
AB - A new lupane triterpenoid, 23-O-trans-feruloylcylicodiscic acid (1), as well as
four known analogues (2-5), was isolated from the EtOAc fraction of Menyanthes
trefoliata. The structure of compound 1 was elucidated on the basis of extensive
spectroscopic analysis, including 2D NMR data. The structures of the known
compounds were established by comparison of their spectroscopic data with that in
the literature. Compounds 1, 2, and 4 exhibited certain anti-NO production
activities.
PMID- 29658299
TI - Early to bed and early to rise makes a patient healthy, a hospital wealthy, and a
doctor wise, or not?
PMID- 29658300
TI - Professional ethics, mental health and dangerous decisions - reducing the risk of
dangerousness in world leaders.
PMID- 29658301
TI - Avoiding Pitfalls of Tibiotalocalcaneal Nail Malposition With Internal Rotation
Axial Heel View.
AB - BACKGROUND: Tibiotalocalcaneal (TTC) nails are often used for complex hind foot
arthrodesis and deformity correction. The natural valgus alignment of the
hindfoot creates a challenge to optimum placement of the guidewire and eventual
nail with a straight or valgus-curved nail. METHODS: Five fresh frozen cadavers
were used for placement of a TTC guidewire with standard anterior-posterior (AP),
lateral, and Harris axial heel views as a reference for proper placement. The
limb was then rotated 15 degrees , 30 degrees , and 45 degrees both internally
and externally to evaluate the perceived amount of osseous purchase within the
calcaneus. The TTC nail was then inserted and dissection was performed to
demonstrate proximity of the nail to the sustentaculum tali and neurovascular
structures. RESULTS: A 30 degrees internal rotation Harris axial heel view
demonstrated the most accurate representation of osseous purchase within the
calcaneus with the guidewire and nail placement. When the guidewire was placed
with standard imaging the nail was often ultimately placed in close proximity to
the sustentaculum tali and neurovascular structures. CONCLUSION: Careful
placement of the guidewire prior to reaming and nail placement should be
undertaken to avoid neurovascular injury and to increase osseous purchase. For
optimal guidewire placement, the authors suggest using appropriate anatomic
landmarks and using a 30 degrees internally rotated Harris axial heel view to
verify correct placement. LEVELS OF EVIDENCE: Level V: Expert opinion.
PMID- 29658303
TI - Toxoplasma gondii infection induces the formation of host's nuclear granules
containing poly(A)-binding proteins.
AB - To study the mechanism by which human host cells respond to an infection of
Toxoplasma gondii, we monitored the level of poly(A)-binding protein (PABP), an
indicator of translation. Here, we report an observation of the relocalization of
PABPs in human host cells upon T. gondii infection. Notably, the aggregates of
PABPs formed upon infection are mainly found in the nucleus, which is a different
response from that found after exposure to heat shock. Pyrimethamine treatment of
the infected monolayers inhibits the multiplicity of the parasite and reverses
the relocalization of PABP aggregates. This active interaction between the
infected mammalian host cells and T. gondii appears to be different from that
caused by viral infection.
PMID- 29658304
TI - Victor W. Sidel (7 July 1931-30 January 2018).
PMID- 29658302
TI - Molecular Epidemiology of HIV-1 Virus in Puerto Rico: Novel Cases of HIV-1
Subtype C, D, and CRF-24BG.
AB - HIV-1 subtype B virus is the most prevalent subtype in Puerto Rico (PR),
accounting for about 90% of infection in the island. Recently, other subtypes and
circulating recombinant forms (CRFs), including F(12_BF), A (01_BF), and CRF-39
BF-like, have been identified. The purpose of this study is to assess the
distribution of drug resistance mutations and subtypes in PR. A total of 846
nucleotide sequences from the period comprising 2013 through 2017 were obtained
from our "HIV Genotyping" test file. Phylogenetic and molecular epidemiology
analyses were performed to evaluate the evolutionary dynamics and prevalence of
drug resistance mutations. According to our results, we detected a decrease in
the prevalence of protease inhibitor, nucleoside reverse transcriptase inhibitor
(NRTI), and non-NRTI (NNRTI) resistance mutations over time. In addition, we also
detected recombinant forms and, for the first time, identified subtypes C, D, and
CRF-24BG in PR. Recent studies suggest that non-subtypes B are associated with a
high risk of treatment failure and disease progression. The constant monitoring
of viral evolution and drug resistance mutation dynamics is important to
establish appropriate efforts for controlling viral expansion.
PMID- 29658305
TI - Liver antioxidant and aerobic status improves after metformin and melatonin
administration in a rat model of high-fat diet and mammary carcinogenesis.
AB - Oxidative stress is involved in the development of various cancers. In the
present study, the effect of long-term administration of peroral antidiabetic
metformin and pineal hormone melatonin on liver antioxidant and aerobic status in
female Sprague-Dawley rats carrying mammary tumors induced by N-methyl-N
nitrosourea was evaluated. Both substances were administered in a preventive and
curative manner (12 days before and 16 weeks after the carcinogen application).
Carcinogen administration induced oxidative stress: the level of thiobarbituric
acid reactive substances (TBARS) considered as a marker of reactive oxygen
species (ROS) generation in liver increased as well as the level of oxidatively
modified protein content (OMP; aldehyde and ketone derivates). Metformin
administration restored succinate dehydrogenase and lactate dehydrogenase
activity and associated ROS production and OMP content to the level of intact
rats, with predominant activation of superoxide dismutase (SOD) and glutathione
reductase (GR). Melatonin alone and in combination with metformin also decreased
TBARS content. OMP content decreased in all groups receiving chemoprevention. The
rise in total antioxidant capacity after melatonin and particularly metformin and
melatonin combination might result from the initiation of anaerobic metabolism
and increasing SOD, GR, and glutathione peroxidase activity. Long-term
administration of metformin and melatonin exerts antioxidant properties in liver,
especially in combination.
PMID- 29658306
TI - 'How do they want to know?' Doctors' perspectives on making and communicating a
diagnosis of dementia.
AB - Recent drives to facilitate earlier identification of dementia have led to
increased memory clinic referrals and diagnoses. This study explored the
perspectives of memory clinic doctors on making and delivering diagnoses. Four
focus groups were conducted with 13 psychiatrists and two geriatricians in the
UK. Transcripts were coded line by line using NVIVO. Thematic analysis identified
39 categories, 18 sub-themes and eight overarching themes. Inter-rater
reliability on 31% of the data was 0.89. Increased public awareness of dementia
was viewed positively in facilitating access to diagnosis and treatment. Doctors
viewed diagnosis as a process and expressed concerns about limited pre-diagnostic
counselling and post-diagnostic support. In diagnostic delivery doctors sought to
develop a narrative drawing on the patient's report of symptoms and adjust
explanations to patient preferences and awareness. However, tailoring the
delivery to the individual patient was challenging when meeting for the first
time. These consultations often involved three participants (doctor, patient and
relative), who were felt to have differing needs and expectations. Doctors
emphasized that delicacy was required in deciding in what could be discussed in
front of both parties, however also stressed the importance of explicitly naming
'dementia'. Efforts were made to balance honesty and hope when discussing
prognosis and medication. The work was sometimes emotionally distressing, with
limited supervision. Existing communication training was felt to be inadequate
for consultations involving triads or people with cognitive impairment.
Delivering a dementia diagnosis is a nuanced and challenging task. Negotiating
honest descriptions of a life-limiting condition whilst instilling hope is
further complicated when cognitive impairment affects comprehension.
Misunderstandings at the time of feedback may limit patient opportunities for
informed future planning afforded by early diagnosis. Doctors in memory clinics
would benefit from evidence based training and supervision to prepare them for
these emotionally challenging and complex consultations.
PMID- 29658307
TI - Sunnybrook Protocol for Treatment of Toxic Epidermal Necrolysis.
PMID- 29658308
TI - Granuloma Annulare-Like Granulomatous Reaction to Red Tattoo Pigment.
AB - Cutaneous reactions to tattoos can be attributed either to trauma or to the
exogenous pigment introduced into the skin. Red pigment is associated with a high
sensitizing potential and is the most frequently implicated pigment inducing
various types of histological reactions. Herein, we describe a patient with red
tattoo pigment-induced granulomatous dermatitis that histologically revealed a
very rare granuloma annulare-like reaction.
PMID- 29658310
TI - The Impact of Maternal Depression on Children: A Call for Maternal Depression
Screening.
PMID- 29658309
TI - Individuals with HIV-1 Subtype C Infection and Cryptococcal Meningitis Exhibit
Viral Genetic Intermixing of HIV-1 Between Plasma and Cerebrospinal Fluid and a
High Prevalence of CXCR4-Using Variants.
AB - The genotypic properties of human immunodeficiency virus type 1 (HIV-1) subtype C
in individuals presenting with cryptococcal meningitis (CM) are not well
established. Employing single-genome amplification as well as bulk PCR, cloning
and sequencing strategies, we evaluated the genetic properties of HIV-1 subtype C
env in 16 antiretroviral therapy-naive study participants with CM. Eleven of the
16 participants had matched blood plasma and cerebrospinal fluid (CSF) evaluated,
with the rest having either a plasma or CSF sample evaluated. Before
antiretroviral therapy initiation, matched plasma and CSF-derived env sequences
of all 11 participants displayed genetic intermixing between the two
compartments. Overall, 7 of the 16 (~43.8%) participants harbored CXCR4-using
variants in plasma and/or CSF, according to coreceptor usage prediction
algorithms. This study suggests that HIV-1 subtype C genetic intermixing between
peripheral blood and the central nervous system is common in individuals
presenting with CM, and that CXCR4 usage is present in one or both compartments
in approximately 44% of individuals.
PMID- 29658311
TI - QTL mapping uncovers a semi-dwarf 1 (sdw1) allele in the barley (Hordeum vulgare)
ND23049 line.
AB - In barley, semi-dwarf varieties are attractive for their superior harvest index
and lodging resistance, but many semi-dwarf barley genotypes suffer from poor
spike emergence. We performed a genetic characterization of a semi-dwarf line
(ND23049) that combines short stature, strong stiff culms, and adequate spike
emergence. We developed a doubled haploid (DH) population derived by crossing
ND23049 and the cultivar CLE253. A subset of 88 DH lines and parents were
characterized for plant height in 2013 and 2014 and genotyped. In total, 1984
SNPs (345 unique loci) were used to produce a linkage map of 1127.1 cM. Three
QTLs for plant height were detected in this population and coincided with the
HvGA20ox2/Sdw1, HvBRI1/Uzu1, and HvPRR95 gene loci. The phenotypic variation
explained by each QTL was 75.8%, 7.7%, and 4.1%, respectively, and jointly
explained 83.3% (2013) and 87.7% (2014) of plant height. Our results suggest that
ND23049 contributed the "short" allele at the HvGA20ox2/sdw1 locus while CLE253
provided "short" alleles at the HvBRI1/uzu1 and HvPRR95 loci. We identified a
large deletion (at least 92.7 Kb), including HvGA20ox2 (Sdw1), as the causal
mutation in ND23049. A set of tightly flanked SNP markers will help breeders to
develop improved semi-dwarf varieties.
PMID- 29658312
TI - Mice pancreatic islets protection from oxidative stress induced by single-walled
carbon nanotubes through naringin.
AB - The growing use of carbon nanotubes (CNTs) emphasizes the importance of its
potential toxic effects on the human health. Previous studies proved that CNTs
caused oxidative stress and decreased cell viability. On the other hand, reactive
oxygen species (ROS) and oxidative stress impaired beta-cell functions and
reduced the insulin secretion. However, there is not any study on the effects of
CNTs on islets and beta-cells. Therefore, the present study aimed to evaluate the
effects of single-walled CNTs (SWCNTs) on oxidative stress in islets in addition
to the protective effects of naringin (NRG) as an antioxidant . We examined the
effects of SWCNTs and naringin on islets by 3,4 3-(4,5-dimethylthiazol-2-yl)-2, 5
diphenyltetrazolium bromide (MTT) assay; measurement of insulin secretion, ROS,
and malondialdehyde (MDA); activities of superoxide dismutase (SOD), catalase
(CAT), and glutathione (GSH) peroxidase (GSH-Px); and content of GSH and
mitochondrial membrane potential (MMP). The MTT assay demonstrated that decreased
viability of islets cells was dose-dependent with exposure to SWCNTs. Further
studies revealed that SWCNTs decreased insulin secretion and MMP, induced the
formation of ROS, increased the level of MDA, and decreased the activities of
SOD, GSH-Px, and CAT and content of GSH. Furthermore, the pretreatment of islets
with naringin significantly reverted back these changes. These findings revealed
that SWCNTs might induce the oxidative stress to pancreatic islets, causing the
occurrence of diabetes, and the protective effects of naringin that was mediated
by augmentation of the antioxidant defense system of islets. Our research
indicated the necessity for further in vivo and in vitro researches on the
effects of SWCNTs and naringin on diabetes.
PMID- 29658313
TI - Metabonomic analysis of toxic action of long-term low-level exposure to
acrylamide in rat serum.
AB - This study assessed the effects of long-term, low-dose acrylamide (AA)
administration in rats using ultra-performance liquid chromatography-mass
spectrometry. Forty male Wistar rats were randomly divided into the following
four groups: control, low-dose AA (0.2 mg/kg BW), middle-dose AA (1 mg/kg BW),
and high-dose AA (5 mg/kg BW). AA was administered to rats via drinking water ad
libitum. After 16-week treatment, rat serum was collected for metabonomic
analysis. Biochemical tests were further conducted to verify metabolic
alterations. Eleven metabolites were identified with significant changes in
intensities (increased or reduced) as a result of treatment. These metabolites
included citric acid, pantothenic acid, isobutyryl-l-carnitine, eicosapentaenoic
acid, docosahexaenoic acid, sphingosine 1-phosphate, LysoPC(20:4), LysoPC(22:6),
LysoPE(20:3), undecanedioic acid, and dodecanedioic acid. Results indicate that
chronic exposure to AA at no observed adverse effect level does not exert a toxic
effect on rats at the body metabolism level. AA disturbed the metabolism of
lipids and energy, affected the nervous system of rats, and induced oxidative
stress and liver dysfunction.
PMID- 29658314
TI - Comparing Strategies for Recruiting Small, Low-Wage Worksites for Community-Based
Health Promotion Research.
AB - BACKGROUND: HealthLinks is a workplace health promotion program developed in
partnership with the American Cancer Society. It delivers a package of evidence
based interventions and implementation support to small worksites in low-wage
industries. As part of a randomized, controlled trial of HealthLinks, we studied
approaches to recruiting these worksites. AIMS: This study aims to guide future
recruitment for community-based worksite health promotion interventions by
comparing three approaches, including leveraging relationships with community
partners. METHOD: We recruited 78 small, low-wage worksites in King County,
Washington, to participate in the trial via three approaches: phone calls to
companies on a purchased list ("cold"), phone calls to a list of eligible
companies provided by a health insurer ("lukewarm"), and personal referrals from
local health insurers and brokers ("warm"). Eligible and interested worksites
received an in-person visit from researchers and completed additional steps to
enroll. RESULTS: Of the worksites screened and deemed eligible, 32% of the "cold"
worksites enrolled in HealthLinks, as did 48% and 60%, respectively, of the
"lukewarm" and "warm" worksites. Compared with "warm" worksites, "cold" worksites
were twice as likely to be ineligible. DISCUSSION: Two distinct factors help
explain why "warmer" worksites were more likely to enroll in HealthLinks. First,
eligibility was significantly higher among warmer referrals. Second, most of the
warm-referred worksites eligible for the study agreed to meet in person with the
project team to hear more about the project. CONCLUSIONS: "Warmer" recruitment
approaches yielded higher recruitment. Leveraging relationships with community
partners can help researchers identify and successfully recruit small, low-wage
worksites.
PMID- 29658315
TI - The Use of Theory-Based Formative Research to Design Suicide Prevention Messaging
for U.S. Veterans in Midlife.
AB - BACKGROUND: Communication campaigns offer a viable mechanism to promote suicide
prevention and reinforce mental health for U.S. veterans in midlife, a group with
a high suicide burden. However, little empirical investigation of this type of
messaging has been conducted, with formative campaign research conspicuously
missing from the limited literature. AIMS: Using the theory of planned behavior
as a guide, formative research was conducted to inform the design of suicide
prevention messaging by (a) describing and measuring several theoretical
constructs among our audience and (b) modeling associations between constructs
and intentions to seek help for suicidal behaviors and mental health more
broadly. METHODS: Telephone-based cross-sectional surveys were collected between
2014 and 2016 from a nationally representative sample of veterans with analyses
restricted to those aged between 44 and 65 years ( n = 809). Multiple logistic
regression was used to identify significant factors associated with intentions to
seek help for either suicidal behaviors or mental health. RESULTS: Perceived
behavioral control was one of the largest predictors of intentions to seek help
for both suicidal behaviors and mental health concerns. Descriptive norms were
also significantly associated with suicide-related intentions. Data further
suggest several types of attitudes (i.e., discordant beliefs, stereotypes) to
consider when designing messages for this group. DISCUSSION: This study
represents one of the first efforts to document and describe theoretical
constructs and their influence on intentions among veterans in midlife to
contribute to the development of evidence-based messaging for veterans informed
by a conceptual framework. CONCLUSION: Findings have important implications as
the use of communication strategies for suicide prevention grows increasingly
popular.
PMID- 29658316
TI - NMR-based metabolomics to evaluate the milk composition from Friesian and
autochthonous cows of Northern Italy at different lactation times.
AB - It is well established that different factors affect milk composition in cows and
that milk composition, in turn, affect both technological and nutritional
qualities. In this respect the comprehension of the metabolic variability of milk
composition in relation to the lactation time as well as to the genetic
background may be of paramount importance for the agri-food industries. In the
present study we investigated the variations of the metabolic profiles during
lactation in milks obtained from Friesian and autochthonous races from Northern
Italy by 1H NMR metabolomics. Furthermore, the external factors influencing the
milk composition were minimized: the cows were breeded in the same farm, were fed
with the same diet and were paired for the lactation interval and lactation
stage. Our results showed a difference in milk composition between races and in
relation to late lactation. The PLS-DA analysis permitted to distinguish the
Friesian and autochthonous cow milks at the investigated different lactation
times. Interestingly, the metabolites significantly involved into the
discrimination between races appeared to be also technological property
parameters, highlighting the importance of maintaining the biodiversity of cow
breeds. Therefore, NMR-based metabolomics of milk could represent an informative
tool to identify metabolites involved in milk quality both from a nutritional and
industrial perspective.
PMID- 29658317
TI - Transcriptome characterization and screening of molecular markers in ecologically
important Himalayan species (Rhododendron arboreum).
AB - Rhododendron arboreum is an ecologically prominent species, which also lends
commercial and medicinal benefits in the form of palatable juices and useful
herbal drugs. Local abundance and survival of the species under a highly
fluctuating climate make it an ideal model for genetic structure and functional
analysis. However, a lack of genomic data has hampered additional research. In
the present study, cDNA libraries from floral and foliar tissues of the species
were sequenced to provide a foundation for understanding the functional aspects
of the genome and to construct an enriched repository that will promote genomics
studies in the genera. Illumina's platform facilitated the generation of ~100
million high-quality paired-end reads. De novo assembly, clustering, and
filtering out of shorter transcripts predicted 113 167 non-redundant transcripts
with an average length of 1164.6 bases. Of these, 71 961 transcripts were
categorized based on functional annotations in the Gene Ontology database,
whereby 5710 were grouped into 141 pathways and 23 746 encoded for different
transcription factors. Transcriptome screening further identified 35 419
microsatellite regions, of which, 43 polymorphic loci were characterized on 30
genotypes. Seven hundred and nineteen transcripts had 811 high-quality single
nucleotide polymorphic variants with a minimum coverage of 10, a total score of
20, and SNP% of 50.
PMID- 29658318
TI - What makes children with cerebral palsy vulnerable to malnutrition? Findings from
the Bangladesh cerebral palsy register (BCPR).
AB - PURPOSE: To assess the nutritional status and underlying risk factors for
malnutrition among children with cerebral palsy in rural Bangladesh. MATERIALS
AND METHODS: We used data from the Bangladesh Cerebral Palsy Register; a
prospective population based surveillance of children with cerebral palsy aged 0
18 years in a rural subdistrict of Bangladesh (i.e., Shahjadpur). Socio
demographic, clinical and anthropometric measurements were collected using
Bangladesh Cerebral Palsy Register record form. Z scores were calculated using
World Health Organization Anthro and World Health Organization AnthroPlus
software. RESULTS: A total of 726 children with cerebral palsy were registered
into the Bangladesh Cerebral Palsy Register (mean age 7.6 years, standard
deviation 4.5, 38.1% female) between January 2015 and December 2016. More than
two-third of children were underweight (70.0%) and stunted (73.1%). Mean z score
for weight for age, height for age and weight for height were -2.8 (standard
deviation 1.8), -3.1 (standard deviation 2.2) and -1.2 (standard deviation 2.3)
respectively. Moderate to severe undernutrition (i.e., both underweight and
stunting) were significantly associated with age, monthly family income, gross
motor functional classification system and neurological type of cerebral palsy.
CONCLUSIONS: The burden of undernutrition is high among children with cerebral
palsy in rural Bangladesh which is augmented by both poverty and clinical
severity. Enhancing clinical nutritional services for children with cerebral
palsy should be a public health priority in Bangladesh. Implications for
Rehabilitation Population-based surveillance data on nutritional status of
children with cerebral palsy in Bangladesh indicates substantially high burden of
malnutrition among children with CP in rural Bangladesh. Children with severe
form of cerebral palsy, for example, higher Gross Motor Function Classification
System (GMFCS) level, tri/quadriplegic cerebral palsy presents the highest
proportion of severe malnutrition; hence, these vulnerable groups should be
focused in designing nutrition intervention and rehabilitation programs.
Disability inclusive and focused nutrition intervention programme need to be kept
as priority in national nutrition policies and nutrition action plans specially
in low- and middle-income countries. Community-based management of malnutrition
has the potential to overcome this poor nutritional scenario of children with
disability (i.e., cerebral palsy). The global leaders such as World Health
Organization, national and international organizations should take this in
account and conduct further research to develop nutritional guidelines for this
vulnerable group of population.
PMID- 29658320
TI - Screening of medicinal plants traditionally used in Peruvian Amazon for in vitro
antioxidant and anticancer potential.
AB - Plants mentioned in this study have numerous records in traditional Peruvian
medicine being used in treatment of cancer and other diseases likely to be
associated with oxidative stress. Amongst the eight plant species tested, only
Dysphania ambrosioides exhibited combinatory antioxidant and anti-proliferative
effect on a broad spectrum of cancer cells (DPPH and ORAC values = 80.6 and 687.3
MUg TE/mg extract, respectively; IC50 against Caco-2, HT-29 and Hep-G2 = 129.2,
69.9 and 130.6, respectively). Alkaloids and phenolic compounds might
significantly contribute to anticancer/antioxidant activity of this plant. The
results justify the traditional medicinal use of this plant. Our findings further
suggest that D. ambrosioides might serve as a prospective material for further
development of novel plant-based antioxidant and/or anti-proliferative agents.
Detailed analysis of chemical composition together with toxicology assessments
and in vivo antioxidant/anti-proliferative activity of this plant should be
carried out in order to verify its potential practical use.
PMID- 29658319
TI - Preparation of poly-l-lysine-based nanoparticles with pH-sensitive release of
curcumin for targeted imaging and therapy of liver cancer in vitro and in vivo.
AB - Poly-l-lysine (PLL) nanoparticle (NP) system was prepared for the controlled
release of curcumin (CUR) by pH stimuli, and its theranostic efficacy on cancer
was compared to that of CUR solution in vitro and in vivo. Deoxycholic acid
(DOCA), methoxy polyethylene glycol (MPEG) and cyanine 5.5 (cy5.5) were
conjugated to the amine group of PLL through condensation reaction (PLL-DOCA-MPEG
cy5.5), followed by encapsulation of CUR by dialysis method (PLL-DOCA-MPEG
cy5.5/CUR NPs). The composition, morphology and size distribution of PLL-DOCA
MPEG-cy5.5 NPs were characterized by proton nuclear magnetic resonance (1H NMR),
transmission electron microscopy (TEM) and dynamic light scattering (DLS),
respectively. In vitro tests exhibited that changes in the charge and size of the
NPs at low pH led to the improved cellular uptake of CUR into human hepatoma
Hep3B cell line by electrostatically absorptive endocytosis. PEGylation with MPEG
was turn out to be very effective to have a prolonged blood circulation time, in
turn increased the EPR effect. In addition, the incorporation of Cy5.5 into NPs
provided successful biodistribution images in vivo and ex vivo. Our findings
suggest that PLL-DOCA-MPEG-cy5.5/CUR NPs may have promising applications in
cancer theranosis.
PMID- 29658321
TI - The neurobiology of focus and distraction: The case for incorporating mindfulness
into leadership.
AB - Increasingly health leaders are experiencing greater demands and pressures, which
require the need for better focus while limiting unwarranted distractions. This
article offers a neurobiological explanation of how the brain focuses and becomes
distracted, in order to help health leaders gain insight into their own
effectiveness. Two main neural circuits are contrasted: the mind-wandering
default mode circuit and the attentional central executive system. These two
systems act in an antagonistic pairing, where the degree of toggling between
systems is associated with the degree a person can sustain focus and filter out
unwarranted distractions. Excessive multitasking appears to compromise the neural
switch of these two systems, thereby diminishing our focus and concentration. In
contrast, mindfulness practice is shown to have the opposite effect by enhancing
the neural switch, thereby enhancing leadership focus that can lead to greater
flexibility, foresight, regulation, and creativity. To conclude, leaders who are
excessively distracted, such as with multitasking, may be compromising cognitive
brain functioning, while engaging in mindfulness may replenish the brain and
thereby enhance leaders' ability to sustain focus and tap into higher cognitive
functioning.
PMID- 29658322
TI - Clinical effectiveness and versatility of a sealing hemostatic patch (HEMOPATCH)
in multiple surgical specialties.
AB - INTRODUCTION: Intraoperative surgical sealants and hemostatic agents have been
shown to reduce postoperative complications, transfusions, and hospital resource
utilization. Despite availability of these agents, the incidence and burden of
bleeding remains high and surgeons' requirements for hemostatic control continue
to evolve. A burgeoning class of hemostatic agents are hemostatic patches, which
offer package-to-patient readiness and direct application. In addition,
hemostatic patches may provide tissue sealing capabilities. Areas covered: This
review focuses on the clinical effectiveness, versatility, and surgical
efficiency of HEMOPATCH as a surgical sealant and hemostatic agent in various
surgical specialties including: cardiac, digestive (hepatic, gastrointestinal,
pancreatic), urological, neurological, and endocrine. Expert commentary: Among
hemostatic patches, HEMOPATCH is a valuable tool to stop bleeding without adverse
events across various surgical specialties. Clinical evidence demonstrates the
safety, clinical effectiveness, and versatility of HEMOPATCH as a unique surgical
adjunct in patients undergoing complex and routine surgical procedures. Larger
randomized-controlled clinical studies, or clinical registries, will continue to
be used to evaluate its performance and versatility, particularly for sealing
tissues and closing the dura. In the current field of surgical sealing and
hemostasis, however, HEMOPATCH represents the next step in improving patient
outcomes.
PMID- 29658323
TI - Two new anthraquinone derivatives and one new triarylbenzophenone analog from
Selaginella tamariscina.
AB - Two new anthraquinone derivatives, selaginones A (1) and B (2), and one new
triarylbenzophenone analog, selagibenzophenone B (3), were isolated from
Selaginella tamariscina (Beauv.) Spring. Their structures were established by 1D
, 2D-NMR and HR-ESI-MS data. Compounds 1 and 2 represent the uncommon examples of
aryl substituted anthraquinone derivatives. Especially, compound 2 is a unique
anthranone with exceptional structural feature, in which a p-hydroxyphenyl moiety
is attached to the C-10 position. Compound 3 is the second naturally occurring
triarylbenzophenone and showed moderate activity against SMCC-7721 and MHCC97-H
cell lines with IC50 values of 39.8, 51.5 MUM respectively.
PMID- 29658324
TI - Prediagnostic body size and risk of amyotrophic lateral sclerosis death in 10
studies.
AB - OBJECTIVES AND METHODS: Using pooled multivariable-adjusted rate ratios (RR), we
explored relationships between prediagnostic body-mass-index (BMI), waist-to-hip
ratio (WHR), and weight-gain during adulthood, and ALS in 419,894 women and
148,166 men from 10 community-based cohorts in USA, Europe, and Australia; 428
ALS deaths were documented in women and 204 in men. RESULTS: Higher mid-to-later
adulthood BMI was associated with lower ALS mortality. For 5 kg/m2 increased BMI,
the rate was 15% lower (95% confidence interval [CI]: 4-24%; p = 0.005). Although
a clear linear trend was not evident for WHR at enrollment (p = 0.099)
individuals in the highest cohort-specific quartile had 27% (95% CI: 0-47%; p =
0.053) lower ALS compared to those in the lowest. BMI in early adulthood did not
predict ALS; fewer than 10% of participants had early adulthood BMI >25 kg/m2,
limiting power. Weight-gain during adulthood was strongly associated with lower
ALS; for an additional 1kg gain in weight/year, the RR = 0.43 (95% CI: 0.28-0.65;
p < 0.001). Associations persisted when adjusted for diabetes at enrollment,
restricted to never-smokers, and ALS deaths in the 5 years after enrollment were
excluded (accounting for recent weight loss). CONCLUSIONS: These findings confirm
somewhat conflicting, underpowered evidence that adiposity is inversely
associated with ALS. We newly demonstrate that weight-gain during adulthood is
strongly predictive of lower ALS risk.
PMID- 29658326
TI - Cardioprotective Role of Colchicine Against Inflammatory Injury in a Rat Model of
Acute Myocardial Infarction.
AB - BACKGROUND: Inflammation plays a crucial role in the pathophysiology of
myocardial ischemia/reperfusion (I/R) injury. A clinical trial has recently
reported a smaller infarct size in a cohort of patients with ST-segment elevation
myocardial infarction (MI) treated with a short colchicine course. The mechanism
underlying colchicine-induced cardioprotection in the early MI phase remains
unclear. We hypothesized that a short pretreatment with colchicine could induce
acute beneficial effects by protecting the heart against inflammation in
myocardial I/R injury. METHODS AND RESULTS: Rats were subjected to 40-minute left
anterior descending coronary occlusion, followed by 120-minute reperfusion.
Colchicine (0.3 mg/kg) or a vehicle was administered per os 24 hours and
immediately before surgery. Infarct size was significantly reduced in the
colchicine group (35.6% +/- 3.0% vs 46.6% +/- 3.3%, P < .05). The beneficial
effects of colchicine were associated with an increased systemic interleukin-10
(IL-10) level and decreased cardiac transforming growth factor-beta level.
Interleukin-1beta was found to increase in a "time of reperfusion"-dependent
manner. Colchicine inhibited messenger RNA expression of caspase-1 and pro-IL-18.
Interleukin-1beta injected 10 minutes prior to myocardial ischemia induced
greater infarct size (58.0% +/- 2.0%, P < .05) as compared to the vehicle.
Colchicine combined to IL-1beta injection significantly decreased infarct size
(47.1% +/- 2.2%, P < .05) as compared to IL-1beta alone, while colchicine alone
exhibited a significantly more marked cardioprotective effect than the colchicine
IL-1beta association. CONCLUSION: The cardioprotection induced by a short
colchicine pretreatment was associated with an anti-inflammatory effect in the
early reperfusion phase in our rat MI model.
PMID- 29658325
TI - Fabrication of a drug delivery system that enhances antifungal drug corneal
penetration.
AB - Fungal keratitis (FK) remains a severe eye disease, and effective therapies are
limited by drug shortages and critical ocular barriers. Despite the high
antifungal potency and broad spectrum of econazole, its strong irritant and
insolubility in water hinder its ocular application. We designed and fabricated a
new drug delivery system based on a polymeric vector for the ocular antifungal
application of econazole. This novel system integrates the advantages of its
constituent units and exhibits superior comprehensive performance. Using the new
system, drug content was significantly increased more than 600 folds. The results
of in vivo and in vitro experiments demonstrated that the econazole-loaded
formulation exhibited significantly enhanced corneal penetration after a single
topical ocular administration, excellent antifungal activity, and good tolerance
in rabbits. Drug concentrations and ocular relative bioavailability in the cornea
were 59- and 29-time greater than those in the control group, respectively.
Following the topical administration of one eye drop (50 MUL of 0.3% w/v
econazole) in fungus-infected rabbits, a high concentration of antimycotic drugs
in the cornea and aqueous humor was sustained and effective for 4 h. The
mechanism of corneal penetration was also explored using dual fluorescent
labeling. This novel drug delivery system is a promising therapeutic approach for
oculomycosis and could serve as a candidate strategy for use with various
hydrophobic drugs to overcome barriers in the treatment of many other ocular
diseases.
PMID- 29658327
TI - Adherence to Oral Anticoagulants in Atrial Fibrillation: An Australian Survey.
AB - BACKGROUND: The aim of this study was to investigate the proportion of patients
who have suboptimal adherence to oral anticoagulant (OAC), identify the
predictors of adherence, and determine whether patient-related factors vary
across adherence levels in Australia. METHODS: Respondents were recruited for an
online survey using Facebook. Survey instruments included the Morisky Medication
Adherence Scale, the Anticoagulation Knowledge Tool, the Perception of
Anticoagulant Treatment Questionnaires, and a modified Cancer Information
Overload scale. Predictors of medication adherence were identified using ordinal
regression analysis. RESULTS: Of the 386 responses eligible for analysis, only
54.9% reported a high level of adherence. Participants aged 65 years or younger
were less likely to have high adherence compared to older participants (odds
ratio [OR], 0.54; 95% confidence interval [CI], 0.33-0.88; P = .013), while
females were more likely to be highly adherent compared to males (OR, 1.69; 95%
CI, 1.08-2.64; P = .023). The analyses showed that age, gender, treatment
satisfaction, information overload, concerns about making mistake when taking
OACs, and cost of medication were significant predictors of adherence.
CONCLUSION: Self-reported suboptimal adherence to OAC is common among patients
with atrial fibrillation. A focus on supporting people who are at higher risk of
suboptimal adherence is needed to maximize the benefit of OAC therapy in this
population.
PMID- 29658328
TI - Effects of Enoxaparin on Intravascular Sclerostin Release in Healthy Men.
AB - Sclerostin (Scl) is implicated in vascular calcification and angiogenesis and
localizes within vasculature. Its molecule incorporates a heparin-binding site
that implies also binding to endothelial glycocalyx. We preliminary tested
whether intravenous (IV) low-molecular-weight heparin enoxaparin can stimulate
intravascular release of this calcification inhibitor in humans. Sixteen male
volunteers were injected with a bolus of 1 mg/kg body weight of enoxaparin. After
10 minutes, plasma immunoreactive Scl levels increased uniformly by a mean of
184% versus baseline level of 0.56 +/- 0.17 ng/mL ( P = .0004). Plasma Scl levels
were found still elevated after 2 and 6 hours (with a median of 20.9% and 8.69%,
respectively) and became normal after 24 hours. The percentage of increase
(Delta) in plasma Scl after 10 minutes was directly correlated with enoxaparin
dose per kg/m2 of body mass index (rho = 0.587, P = .017) and strongly inversely
correlated with the preinjection Scl levels (rho = -0.747, P = .0008). A robust
negative association between the DeltaScl increase after 10 minutes and the
DeltaScl decrease after 2 hours versus 10 minutes was observed (rho = -0.835, P <
.0001). Complementary in vitro spiking experiment showed no effects of enoxaparin
addition and whole blood incubation on plasma Scl levels when measured with the
immunoassay. This study shows that enoxaparin has a stimulating effect on the
intravascular release of calcification inhibitor Scl in healthy men. This novel
pharmacological action of the popular anticoagulant drug seems important in
cardiovascular medicine.
PMID- 29658329
TI - Identification of a novel mutation in FGFR1 gene in patients with Kallmann
syndrome by high throughput sequencing.
AB - : Kallmann syndrome (KS) is a rare clinical and genetic heterogeneity disease,
which is familial or sporadic. KS is known to have three patterns of inheritance:
X linked recessive inheritance, autosomal dominant inheritance and rare autosomal
recessive inheritance. Here, we report a sibling pedigree with autosomal dominant
inheritance of KS, and we identified a novel heterozygous frameshift mutation
c.299_300insCCGCAGACTCCGGCCTCTATGC (p.C101Rfs*17) in FGFR1 gene using whole-exome
sequencing (WES). The mutation and affection status were cosegregated. The
mutation is not present in the dbSNP, 1000 Genome, ExAC, and gnomAD databases.
The discovery of this new mutation in the FGFR1 gene enriches the spectrum of
FGFR1 mutations in patients with KS. ABBREVIATIONS: FGFR1: fibroblast growth
factor receptor 1; HH: hypogonadotropic hypogonadism; KS: Kallmann syndrome; MRI:
magnetic resonance imaging; WES: whole-exome sequencing.
PMID- 29658330
TI - Comparative cytotoxicity and apoptotic pathways induced by nanosilver in human
liver HepG2 and L02 cells.
AB - Silver nanoparticles are used in many commercial products in daily life. Exposure
to nanosilver has hepatotoxic effects in animals. This study investigated the
cytotoxicity associated with polyvinylpyrrolidone-coated nanosilver (23.44 +/-
4.92 nm in diameter) exposure in the human hepatoma cell line (HepG2) and normal
hepatic cell line (L02), and the molecular mechanisms induced by nanosilver in
HepG2 cells. Nanosilver, in doses of 20-160 MUg mL-1 for 24 and 48 h, reduced
cell viability in a dose- and time-dependent manner and induced cell membrane
leakage and mitochondria injury in both cell lines; these effects were more
pronounced in HepG2 cells than in L02 cells. Intracellular oxidative stress was
documented by reactive oxygen species (ROS) being generated in HepG2 cells but
not in L02 cells, an effect possibly due to differential uptake of nanosilver by
cancer cells and normal cells. In HepG2 cells, apoptosis was documented by
finding that ROS triggered a decrease in mitochondrial membrane potential, an
increase in cytochrome c release, activation of caspase 3 and caspase 9, and a
decrease in the ratio of Bcl-2/Bax. Furthermore, nanosilver activated the Fas
death receptor pathway by downregulation of nuclear factor-kappaB and activation
of caspase 8 and caspase 3. These results suggest that apoptosis induced by
nanosilver in HepG2 cells is mediated via a mitochondria-dependent pathway and
the Fas death receptor pathway. These findings provide toxicological and
mechanistic information that can help in assessing the effects of nanosilver in
biological systems, including the potential for anticancer activities.
PMID- 29658331
TI - Patients' exposure to PVC plasticizers from ECMO circuits.
AB - BACKGROUND: ECMO is a therapeutic act with a high risk of exposure to
diethylhexylphthalate (DEHP), plasticizer from PVC tubings. The replacement of
this plasticizer with alternative compounds is recommended but the risks
associated with the use of new plasticizers have not been evaluated in ECMO
situations. METHODS: Ex vivo ECMO models were performed with different flow rates
over 6 days to evaluate the migration of plasticizers and their potential toxic
risk for patient. The release of plasticizers during ECMO was measured and
compared to reference value (derived no effect level, DNEL) and to cytotoxic
concentration carried out with MTT test. RESULTS: Trioctyltrimellitate (TOTM),
main plasticizer present in circuit (44% w/w), is weakly released during ECMO.
Concentrations are not cytotoxic and exposure doses are lower than DNEL. In
contrast, DEHP doses are higher than the DNEL despite a lower presence of DEHP in
the circuit (0.2%). We have shown that DEHP is not coming from the circuit but
from the priming bag. Replacing this bag with a multilayer one avoids the
exposure to DEHP. CONCLUSION: Our study shows that circuits made of PVC
plasticized with TOTM against DEHP improves the safety of ECMO.
PMID- 29658332
TI - Structurally diverse diterpenoids from Trigonostemon howii.
AB - Phytochemical investigation on the stems and leaves of Trigonostemon howii
resulted in the isolation of a new abietane diterpenoid, trigohowimine A (1),
along with seven known structurally diverse diterpenoids (2-8). The structure of
1 was elucidated by extensive spectroscopic methods and the known compounds were
identified by comparison with data reported in the literature. New compound 1 was
evaluated for its cytotoxicities against five human cancer cell lines: HL-60,
SMMC-7721, A-549, MCF-7 and SW480. Compound 1 showed significant inhibitory
effects against various human cancer cell lines with IC50 values ranging from
0.82 to 8.53 MUM.
PMID- 29658334
TI - The modified Brunelli procedure: a simple passage for the flexor carpi radialis
tendon graft.
PMID- 29658333
TI - Mucinous breast carcinoma with tall columnar cells.
AB - Mucinous carcinoma of the breast represents 1%-4% of all breast cancers. The
World Health Organization classification divides this type of tumour into three
different subtypes: mucinous carcinoma, mucinous carcinoma with tall columnar
cells (mucinous cystadenocarcinoma and columnar cell mucinous carcinoma) and
signet ring cell carcinoma. A 74-year-old woman presented a tumour with
inflammatory features in the upper outer quadrant of her left breast, 7 cm in
diameter. The core biopsy showed infiltrating ductal carcinoma of no specific
type. The tumour-node-metastasis clinical staging was T4cN3M0 (Stage IIIC). She
received neoadjuvant chemotherapy, underwent left mastectomy with radical
axillary resection and subsequently received radiotherapy and chemotherapy. The
histological examination of the surgical specimen revealed two solid tumors in
the tail of Spence, which corresponded to adenocarcinoma with high columnar
cells. The patient died 16 months after the diagnosis, suffering from pulmonary
metastases and anterior chest wall infiltration. A review of the literature
revealed only 21 reports of mucinous carcinoma of the breast with tall columnar
cells, including our case. This is only the third time that the specific
histological type of columnar cell mucinous carcinoma has been reported in the
literature.
PMID- 29658335
TI - A case report of caecal herniation through the foramen of Winslow.
AB - Caecal herniation through the foramen of Winslow is a rare entity of which
radiologist should be aware. We present a case of this entity in a patient
presenting with diabetic ketoacidosis and ongoing epigastric pain. Abdominal
computed tomography demonstrated herniation of the caecum into the lesser sac via
the foramen of Winslow. Despite the caecum appearing viable at the time of
surgery, the surgeons performed a right hemicolectomy via laparotomy to prevent
future recurrence.
PMID- 29658336
TI - Nodular fasciitis involving the palm.
AB - Nodular fasciitis (NF) is a self-limiting fibrous neoplasm that can be mistaken
for a soft tissue sarcoma. It is characterised by rapid growth, slight pain and
local tenderness. Although it is frequently found in the forearm, a lesion distal
to the wrist is quite rare. We present two unusual cases of NF involving the
palm, supported by detecting ubiquitin specific protease 6 gene rearrangement.
The first patient had non-intraneural NF presenting as peripheral neuropathy
affecting the digital nerve while the second patient suffered from painless, non
tender NF in the palm, which had not regressed spontaneously during the five
months prior to surgery.
PMID- 29658337
TI - Surveillance imaging for sporadic renal angiomyolipoma less than 40 mm: lessons
learnt and recommendations from the experience of a large district general
hospital.
AB - Introduction Sporadic renal angiomyolipomas, although benign in natural can cause
life-threatening spontaneous haemorrhage. Surveillance of smaller lesions is
recommended but there is no guidance on the surveillance interval or modality.
Our aim was to study our sporadic angiomyolipoma population to determine the
growth rate, factors that were associated with a higher growth rate and design a
surveillance programme. Materials and methods All sporadic renal angiomyolipomas
diagnosed between September 2009 and March 2015 were included. Patients with a
diagnosis of tuberous sclerosis were excluded. Results A total of 217 sporadic
renal angiomyolipomas were diagnosed. The median follow-up was 24 months (range
10-118 months). The median size at diagnosis was 9.00 mm with a mean growth rate
of 0.13 mm/year (standard deviation 0.88). One hundred and fifty angiomyolipomas
(69%) were shown to have negative or zero growth. In the remaining 67, 59 had a
growth rate of less than 2.00 mm/year. Size of angiomyolipoma, tumour burden and
age were not associated with a higher growth rate on multivariate analysis.
Conclusion The majority of sporadic angiomyolipomas are small and do not grow.
Our practice is to perform surveillance for those greater than 20 mm, with five
yearly ultrasound scans for 21-29 mm, and two-yearly surveillance for 30-39 mm
tumours.
PMID- 29658338
TI - Chyle leak following an axillary sentinel lymph node biopsy for breast cancer in
a patient with superior vena caval thrombosis - a case report and review of the
literature.
AB - Chyle leak is a very rare complication following an axillary lymph node
dissection. We report a case of chyle leak following sentinel lymph node biopsy
in a patient with breast cancer with superior vena caval thrombosis. To our
knowledge, this is the first case report of chyle leakage following axillary
sentinel lymph node biopsy. We describe the aetiology, prevention and treatment
strategy that can be adopted in these patients.
PMID- 29658340
TI - A novel use of cement as a salvage procedure in patients with complex spinal
injuries with proximal junctional failure.
AB - Long-construct fixations can be complicated by proximal junctional kyphosis. In
elderly, high-risk patients with numerous comorbidities, lengthy surgical times
and increased blood loss associated with revision osteotomies and extension of
fusions are not often tolerated and pose serious life-threatening risks. We
present a salvage technique used in a patient with proximal junctional failure
and demonstrate its role in improving symptoms and functionality in those not
deemed fit for major surgery. Pre- and postoperative pain scores in accordance
with the visual analogue scale, walking distances and radiographs were reviewed
in a patient who underwent the salvage technique to ascertain the subjective and
objective difference in pain and functionality. The patient showed marked
improvement in pain scores and walking distances postoperatively. Additionally,
the degree of proximal junctional kyphosis was lower on postoperative
radiographs. While holding risks of its own, our described technique can be
performed in select cases and is a good salvage procedure in high-risk patients.
It prevents their exposure to the risks that accompany large revision operations
and fusion models with further potential to fail. We recommend that our technique
is performed only at specialist centres.
PMID- 29658339
TI - Refractory hypercalcaemia secondary to localised gastrointestinal stromal tumour.
AB - Gastrointestinal stromal tumours are a rare form of intra-abdominal neoplasm
derived from mesenchymal tissue, typically presenting with abdominal pain,
anaemia or bleeding into the bowel or abdominal cavity. Hypercalcaemia is an
unusual complication, having been documented in only seven previous patients, all
of whom had advanced metastatic disease. We present a case of treatment-resistant
hypercalcaemia in a patient with non-metastatic gastrointestinal stromal tumour,
which resolved following excision of the tumour.
PMID- 29658341
TI - Calcific myonecrosis: diagnostic dilemma.
AB - Calcific myonecrosis is a rare benign condition affecting mainly the muscles of a
single leg compartment. It is thought to follow a history of trauma with a latent
period of years. Patients present with a slowly growing mass. Differential
diagnosis from a malignant tumour can be made from the history and the
distinctive radiographical features of a fusiform lesion with predominantly
peripheral calcifications. Magnetic resonance imaging may be necessary to confirm
the diagnosis; treatment is largely symptomatic.
PMID- 29658342
TI - A rare case of haemorrhagic shock secondary to spontaneous rupture of a branch of
the left colic artery and the right gastric artery.
AB - Spontaneous splanchnic artery rupture is associated with up to 70% mortality.
Affected vessels are often aneurysmal, secondary to atherosclerosis. We report,
to our knowledge, the only case of spontaneous rupture of a branch of the left
colic artery and the right gastric artery, possibly secondary to vasculitis.
PMID- 29658344
TI - Effect of 12-month treatment with metformin and/or oral contraceptives on health
related quality of life in polycystic ovary syndrome.
AB - Health-related quality of life (HRQoL) is impaired in polycystic ovary syndrome
(PCOS), but the effect of treatment with metformin (M) and/or oral contraceptives
(OCP) is undetermined. To assess changes in HRQoL during 12-month randomized
treatment with M, OCP or M + OCP in PCOS. Ninety women with PCOS were randomized
to treatment with M, OCP or M + OCP. HRQoL was evaluated by a PCOS-specific
visual analog scale (PCOS-VAS) regarding 1: Facial hair, 2: Body hair, 3: Acne,
4: Irregular menses, 5: Weight and 6: PCOS in general, and Short Form 36 (SF-36).
PCOS-VAS1(facial hair) improved during treatment with OCP (n = 23) compared to M
(n = 19), and during M + OCP (n = 23) compared to M treatment, whereas changes in
PCOS-VAS2-6 and SF-36 scores were comparable between the three medical
intervention groups. Pooled data (n = 65) showed improved PCOS-VAS scores during
treatment (all p < .05), but changes in PCOS-VAS were unassociated with changes
in BMI or FG-scores despite significant weight-loss during treatment with M (-3.0
kg (-10.3; 0.6)) and M + OCP (-1.9 kg (-4.9; 0.1)) and decreased FG-score during
M + OCP treatment (median (quartiles)). PCOS-VAS scores improved significantly
and to the same extent during treatment with M, OCP or M + OCP.
PMID- 29658343
TI - Older age, higher perceived disability and depressive symptoms predict the amount
and severity of work-related difficulties in persons with multiple sclerosis.
AB - PURPOSE: This cross-sectional study aims to identify the predictors of work
related difficulties in a sample of employed persons with multiple sclerosis as
addressed with the Multiple Sclerosis Questionnaire for Job Difficulties.
MATERIALS AND METHODS: Hierarchical linear regression analysis was conducted to
identify predictors of work difficulties: predictors included demographic
variables (age, formal education), disease duration and severity, perceived
disability and psychological variables (cognitive dysfunction, depression and
anxiety). The targets were the questionnaire's overall score and its six
subscales. RESULTS: A total of 177 participants (108 females, aged 21-63) were
recruited. Age, perceived disability and depression were direct and significant
predictors of the questionnaire total score, and the final model explained 43.7%
of its variation. The models built on the questionnaire's subscales show that
perceived disability and depression were direct and significant predictors of
most of its subscales. CONCLUSIONS: Our results show that, among patients with
multiple sclerosis, those who were older, with higher perceived disability and
higher depression symptoms have more and more severe work-related difficulties.
The Multiple Sclerosis Questionnaire for Job Difficulties can be fruitfully
exploited to plan tailored actions to limit the likelihood of near-future job
loss in persons of working age with multiple sclerosis. Implications for
rehabilitation Difficulties with work are common among people with multiple
sclerosis and are usually addressed in terms of unemployment or job loss. The
Multiple Sclerosis Questionnaire for Job Difficulties is a disease-specific
questionnaire developed to address the amount and severity of work-related
difficulties. We found that work-related difficulties were associated to older
age, higher perceived disability and depressive symptoms. Mental health issues
and perceived disability should be consistently included in future research
targeting work-related difficulties.
PMID- 29658345
TI - Osmolyte taurine induction in UVA exposed human retinal pigment epithelial cells.
AB - AIM: To explore the osmolytes expression in ultraviolet (UVA) stressed human
retinal pigment epithelial cells. METHODS: Osmolyte transporters and vascular
endothelial growth factor (VEGF) messenger RNA (mRNA) were determined by real
time polymerase chain reaction (PCR). Osmolyte uptake was measured by
radioimmunoassay. VEGF concentrations were determined by immunoassay and enzyme
linked immunosorbent assay (ELISA). Osmolyte taurine transporter (TAUT) were
silenced by siRNA technology. RESULTS: Hypertonicity accelerated osmolyte betaine
uptake, myoinositol uptake, and taurine uptake, compared to normotonic stress.
UVA irradiation also accelerated osmolyte transporters expression and osmolytes
uptake. Especially, osmolyte taurine remarkably inhibited VEGF release induced by
UVA irradiation. VEGF in the UVA stressed retinal pigment epithelial cell
supernatant was accumulated slow after taurine preincubation. VEGF expression
increased significantly in UVA-stressed cells after TAUT silencing. Moreover,
taurine reduced the VEGF level in human ocular aqueous humor. CONCLUSION: The
inhibition of VEGF by osmolyte taurine plays the crucial role in retina adaption
to UVA irradiation.
PMID- 29658346
TI - Association between thrombophilia gene polymorphisms and recurrent pregnancy loss
risk in the Iranian population.
AB - : Miscarriage is the most common complication in pregnancy. Considering the
importance of the problem thrombophilia in pregnant women and its association
with recurrent pregnancy loss (RPL), analysis of polymorphisms of genes involved
in thrombophilia can be useful. We investigated the frequency and association
between ten polymorphisms of seven thrombophilia genes and RPL in an Iranian
population. This case-control study was conducted on 200 women with recurrent
pregnancy loss and also on 200 women with at least one successful pregnancy as
the control group. Using PCR-RFLP, DNA from samples were analyzed for carrying
A5279G, A4070G, and FV Leiden of factor V; FXIII (Val34Leu); FII (A20210G); BF (
455 G/A); ITGB3 (1565T/C); 677C/T and 1298A/C of MTHFR; and PAI-1 (-675 I/D,
5G/4G) polymorphisms. The BF(-455 G/A), MTHFR (677 C/T, 1298A/ C), PAI-1 (-675
I/D,4G/ 5G), FV Leiden, FV (A5279G), FXIII (Val34Leu) polymorphisms, which had
shown positive relation, and ITGB3 1565T/C were the polymorphisms with negative
relation to RPL. But in this study it is indicated that there is no significant
association between FII (A20210G) and FV (A4070G) polymorphism and RPL. All the
data acquired from the RPL patients in this experiment illustrate the importance
of screening thrombophilia. Nevertheless, more studies on large-scale populations
may be needed to identify novel genetic variants. ABBREVIATIONS: ASRM: American
Society of Reproductive Medicine; HHCY: hyperhomocysteinemia; MTHFR:
methylenetetrahydrofolate reductase; PCR: polymerase chain reaction; PAGE: poly
acrylamide gel electrophoresis; RPL: recurrent pregnancy loss.
PMID- 29658348
TI - No-Reflow Phenomenon. A New Target for Therapy of Acute Myocardial Infarction
Independent of Myocardial Infarct Size.
PMID- 29658347
TI - Contrast enhanced ultrasound (CEUS) imaging of solid benign focal liver lesions.
AB - INTRODUCTION: Ultrasound is well accepted worldwide for imaging of the liver.
Absences of radiation exposure, low cost and large diffusion are some of the
advantages that make this technique the first to be used in the assessment of
focal liver lesions (FLL). Areas covered: Contrast enhanced ultrasound (CEUS) has
been introduced more than twenty years ago, and its detection rate is comparable
to that of contrast enhanced magnetic resonance imaging (CEMRI) and contrast
enhanced computed tomography (CECT). In this narrative review, we discuss the
main CEUS features of benign liver lesions and controversies in published results
including the gold standard chosen and the quality and knowledge of the preferred
techniques. Expert commentary: CEUS is safe and allows an immediate evaluation of
the nature of FLL. CEUS permits differentiation between malignant and benign FLL
in healthy liver parenchyma by analysing the arterial, portal venous and late
phases. CEMRI and CECT are reliable to characterize FLL but higher costs,
radiation exposure, nephrotoxicity (in particular for CECT) and absence of real
time imaging limit the appropriate evaluation of FLL. Therefore CEUS can be
preferred in most clinical situations, and when results are unclear or suggestive
for malignant FLL, biopsy and histological examination can be directly initiated
avoiding unnecessary additional imaging.
PMID- 29658349
TI - Biodegradable hybrid-structured nanofibrous membrane supported chemoprotective
gene therapy enhances chemotherapy tolerance and efficacy in malignant glioma
rats.
AB - Chemotherapy is ineffective for treating malignant glioma (MG) because of the low
therapeutic levels of pharmaceuticals in tumour tissues and the well-known tumour
resistance. The resistance to alkylators is modulated by the DNA repair protein
O6-alkylguanine-DNA alkyltransferase (AGT). O6-benzylguanine (O6-BG) can
irreversibly inactivate AGT by competing with O6-methylguanine and has been
confirmed to increase the therapeutic activity of alkylators. We developed hybrid
structured poly[(d,l)-lactide-co-glycolide] nanofibrous membranes (HSNMs) that
enable the sequential and sustained release of O6-BG and two alkylators
(carmustine and temozolomide [TMZ]). HSNMs were surgically instilled into the
cerebral cavity of pathogen-free rats and F98 glioma-bearing rats. The release
behaviours of loaded drugs were quantified by using high-performance liquid
chromatography. The treatment results were compared with the rats treated with
intraperitoneal injection of O6-BG combined with surgical implantation of
carmustine wafer and oral TMZ. The HSNMs revealed a sequential drug release
behaviour with the elution of high drug concentrations of O6-BG in the early
phase, followed by high levels of two alkylators. All drug concentrations
remained high for over 14 weeks. Tumour growth was slower and the mean survival
time was significantly prolonged in the HSNM-treated group. Biodegradable HSNMs
can enhance therapeutic efficacy and prevent toxic systemic effects.
PMID- 29658350
TI - Vascular endothelial growth factor C promotes cervical cancer cell invasiveness
via regulation of microRNA-326/cortactin expression.
AB - Vascular endothelial growth factor C (VEGF-C) accelerates cervical cancer
metastasis, while the detailed mechanism remains largely unknown. Recent evidence
indicates that microRNA play a crucial role in controlling cancer cell
invasiveness. In the present study, we investigated the role of miR-326 in VEGF-C
induced cervical cancer cell invasion. VEGF-C expression was higher and miR-326
was much lower in primary cervical cancer specimens than that in non-cancerous
specimens, and a negative correlation between VEGF-C and miR-326 was found. On
cervical carcinoma cell line SiHa cells, treatment with VEGF-C downregulated miR
326 level and increased cortactin protein expression. Transfection with miR-326
mimic reversed cortactin expression induced by VEGF-C, suggesting that VEGF-C
increased cortactin via downregulation of miR-326. VEGF-C activated c-Src and c
Src inhibitor PP2 abolished VEGF-C effect on miR-326 and cortactin expression,
implying that VEGF-C regulated miR-326/cortactin via c-Src signaling. VEGF-C
promoted SiHa cell invasion index, which was largely inhibited by transfection
with miR-326 antagonist or by siRNA against cortactin. In conclusion, our
findings implied that VEGF-C reduced miR-326 expression and increased cortactin
expression through c-Src signaling, leading to enhanced cervical cancer
invasiveness. This may shed light on potential therapeutic strategies for
cervical cancer therapy.
PMID- 29658351
TI - High estrogen exposure may not be detrimental on endometrial receptivity in women
with PCOS.
AB - The study aimed to assess the effect of high estrogen exposure and coasting on
cycle outcome in women at risk for developing ovarian hyperstimulation syndrome
(OHSS). Retrospective case-control study was conducted to figure out the outcomes
of GnRH antagonist cycles in which women were at risk to develop OHSS. Women who
underwent coasting (n = 100) were compared with a control group of women who did
not undergo coasting (n = 287). Effect of endometrial estrogen exposure was
determined by calculating area under curve of temporal estrogen measurements
(AUCEM) through the cycle. Among 387 women with PCOS, 100 cases were required to
undergo coasting to avoid OHSS. All participants reached to embryo transfer stage
and clinical pregnancy rate was 44% in group with coasting whereas 39% in group
without coasting (p > .05). AUCEM was a significant predictor for the cases who
required coasting to avoid OHSS (AUC = 0.754, p < .001). Optimal cut off value
was calculated to be 6762 with 71% sensitivity and 67% specificity. ROC analysis
showed no predictive value of AUCEM for clinical pregnancy in subgroup of women
with coasting (AUC = 0.496, p > .05). Consistently, ROC analysis showed no
predictive value of AUCEM for clinical pregnancy in subgroup of women without
coasting (AUC = 0.494, p > .05). In conclusion, neither coasting nor the high
endometrial estrogen exposure was found to have detrimental effect on endometrial
receptivity and cycle outcome in PCOS.
PMID- 29658352
TI - Chronic subdural collection overlying an intra-axial hemorrhagic lesion in
chronic myelomonocytic leukemia: special report and review of the literature.
AB - INTRODUCTION: Chronic myelomonocytic leukaemia (CMML) is a clonal hematopoietic
stem cell disorder characterized by the presence of an absolute monocytosis in
the peripheral blood (>1 x 109/L) and the presence of myelodysplastic and
myeloproliferative features in the bone marrow. Involvement of the central
nervous system (CNS) is uncommon in CMML. Areas covered: Herein described is a
case report of a CMML patient who presents with symptomatic chronic subdural
collection overlying a haemorrhagic brain lesion, along with diffuse dural
infiltration, after two cycles of azacytidine. Surgical intervention was
performed to alleviate the mass effect on the brain, and obtain a tissue sample
for diagnosis. Histopathological report confirmed brain infiltration with myeloid
leukemic cells. Expert commentary: Despite its rarity, cerebral dissemination
should be considered even in patients with CMML. A multidisciplinary approach,
lead by a hematologist, is mandatory in order to correct the underlying
haematological disorder, with specific attention to the coagulation profile.
Surgical intervention is necessary for symptomatic patients, and should be
performed once an improvement of clinical conditions has been achieved. Despite
appropriate surgical and medical therapy, the prognosis remains poor with high
risk of perioperative complications, such as rebleeding, and progressive systemic
involvement.
PMID- 29658353
TI - Early initiation of zoledronic acid does not impact bone healing or clinical
outcomes of hallux valgus orthomorphia.
AB - Objective This prospective randomized controlled study was performed to determine
whether early drug use for osteoporosis impacts bone healing after orthomorphic
surgery for hallux valgus (HV) in menopausal patients with osteoporosis. Methods
This study included 113 consecutive patients with osteoporosis who underwent a
combination of Lapidus arthrodesis and Akin osteotomy for treatment of HV. The
patients were randomly divided into a zoledronic acid (ZOL) group (5-mg
intravenous injection of ZOL, n = 56) and a placebo group (n = 57); both ZOL and
placebo were administered 1 week postoperatively. Radiographs were taken
preoperatively and at 1, 6, 8, 10, and 12 weeks postoperatively to record the
time of the first tarsometatarsal joint (FTJ) fusion and Akin osteotomy site
healing. Clinical outcomes were evaluated using the American Orthopedic Foot and
Ankle Society (AOFAS) scoring system 24 weeks after surgery. Results There were
no statistically significant differences in the FTJ fusion time after Lapidus
arthrodesis, healing time after Akin osteotomy, or postoperative AOFAS scores
between the two groups. Conclusion Early initiation of ZOL does not impact the
bone healing or clinical outcomes of orthomorphic surgery for HV in
postmenopausal women diagnosed with osteoporosis after a combination of Lapidus
arthrodesis and Akin osteotomy.
PMID- 29658354
TI - Serum chemerin level in women with PCOS and its relation with the risk of
spontaneous abortion.
AB - BACKGROUND AND AIM: Insulin resistance (IR) was recognized as a risk factor for
the occurrence of abortion in patients with polycystic ovary syndrome (PCOS).
Chemerin was an adipokine which could induce IR and associated with reproductive
process closely. However, few studies have inquired the relativity between
chemerin and the occurrence of abortion in patients with PCOS. The aim of this
study was to evaluate the relationship between serum chemerin and the occurrence
of abortion in women with PCOS. METHODS: We recruited 198 women with PCOS to
participate in our study. On the third day of menstrual cycle or a random day in
women with amenorrhea, we obtained their venous blood and measured the fasting
insulin, fasting plasma glucose, total cholesterol, high density lipoprotein
cholesterol, triglyceride, chemerin, and hormones including FSH, E2, P, PRL, LH,
and T. Additionally, BMI, HOMA-IR and LH/FSH of each subject were calculated.
Finally, 58 of them were included in the study, in which 30 of them had normal
pregnancy and the other 28 had an early miscarriage. We compared the biochemical
characteristics between the normal pregnancy group and abortion group by
independent-samples t test. RESULTS: In our study, those with a normal pregnancy
had a lower level of BMI, FINs, HOMA-IR, and chemerin compared to abortion
patients (p < .05). After adjusted for BMI, only chemerin was associated with the
occurrence of abortion in PCOS patients (p < .05). CONCLUSIONS: Serum chemerin
level is associated with the occurrence of abortion in patients with PCOS. Thus,
serum chemerin may serve as a biomarker to identify pregnant women with PCOS who
are at particular risk for later abortion, and who may benefit from prevention
strategies.
PMID- 29658355
TI - Procalcitonin and albumin as prognostic biomarkers in elderly patients with a
risk of bacterial infection.
AB - Aim This study was performed to investigate serum procalcitonin (PCT) and albumin
(Alb) as prognostic biomarkers in elderly patients at risk of bacterial
infection. Methods Serum PCT was measured in 270 hospitalized patients (mean age,
77.4 years) with suspected bacterial infection. The PCT-negative (<0.5 ng/mL) and
PCT-positive (>=0.5 ng/mL) groups comprised 155 and 115 patients, respectively.
Logistic regression analysis was performed with various clinical laboratory test
values as independent variables and PCT positivity/negativity as the dependent
variable. Results C-reactive protein (CRP) was the only independent variable
significantly associated with PCT positivity/negativity. In the survival
analysis, the 30-day in-hospital death rate was significantly higher in the PCT
positive than -negative group. Within the Alb-positive group (>2.5 g/dL), no
significant difference in survival was observed between the PCT-positive and
negative groups. However, within the Alb-negative group (<=2.5 g/dL), the
survival rate was significantly lower in the PCT-positive than -negative group.
PCT was strongly associated with CRP and Alb, and having both PCT positivity and
Alb negativity was a prognostic factor for elderly people at risk of bacterial
infection. Conclusions Combined measurement of PCT with Alb is expected to be a
valuable tool to assess prognosis in elderly people at risk of bacterial
infection.
PMID- 29658356
TI - Percutaneous full endoscopic treatment of cystic lymphangioma of cauda equina: a
case report.
AB - Intraspinal epidural lymphangioma of cauda equina are extremely rare, only three
cases have been reported in the past. We report a 63-year-old female with
lymphangioma at S1-S2 level which was resected under epidural anesthesia using a
percutaneous full endoscope with no evidence of recurrence at 19 months follow
up.
PMID- 29658357
TI - Analysis of clinical data to determine the minimum number of sensors required for
adequate skin temperature monitoring of superficial hyperthermia treatments.
AB - PURPOSE: Tumor response and treatment toxicity are related to minimum and maximum
tissue temperatures during hyperthermia, respectively. Using a large set of
clinical data, we analyzed the number of sensors required to adequately monitor
skin temperature during superficial hyperthermia treatment of breast cancer
patients. METHODS: Hyperthermia treatments monitored with >60 stationary
temperature sensors were selected from a database of patients with recurrent
breast cancer treated with re-irradiation (23 * 2 Gy) and hyperthermia using
single 434 MHz applicators (effective field size 351-396 cm2). Reduced
temperature monitoring schemes involved randomly selected subsets of stationary
skin sensors, and another subset simulating continuous thermal mapping of the
skin. Temperature differences (DeltaT) between subsets and complete sets of
sensors were evaluated in terms of overall minimum (Tmin) and maximum (Tmax)
temperature, as well as T90 and T10. RESULTS: Eighty patients were included
yielding a total of 400 hyperthermia sessions. Median DeltaT was <0.01 degrees C
for T90, its 95% confidence interval (95%CI) decreased to <=0.5 degrees C when
>50 sensors were used. Subsets of <10 sensors result in underestimation of Tmax
up to -2.1 degrees C (DeltaT 95%CI), which decreased to -0.5 degrees C when >50
sensors were used. Thermal profiles (8-21 probes) yielded a median DeltaT < 0.01
degrees C for T90 and Tmax, with a 95%CI of -0.2 degrees C and 0.4 degrees C,
respectively. The detection rate of Tmax >=43 degrees C is >=85% while using >50
stationary sensors or thermal profiles. CONCLUSIONS: Adequate coverage of the
skin temperature distribution during superficial hyperthermia treatment requires
the use of >50 stationary sensors per 400 cm2 applicator. Thermal mapping is a
valid alternative.
PMID- 29658358
TI - Association of MTHFR C677T gene polymorphism with metabolic syndrome in a Chinese
population: a case-control study.
AB - Objective To investigate the association of the MTHFR C677T gene polymorphism
with metabolic syndrome (MetS) in people in Hubei Province, China. Methods A case
control study was conducted with 651 subjects with MetS (MetS group) and 727
healthy controls (control group) at Renmin Hospital of Wuhan University between
January and December 2016. The MTHFR C677T genotype was detected by the gene chip
technique and clinical data were collected. Results Body mass index, waist
circumference, the waist-hip-ratio, systolic and diastolic blood pressure,
fasting blood glucose, fasting insulin, triglyceride, total cholesterol, low
density lipoprotein-cholesterol, and homocysteine levels, and the homeostasis
model assessment of insulin resistance were higher in the MetS group than in
controls. The risk of MetS was higher for the TT genotype and T allele carriers
than for the CC genotype and C allele carriers. With MetS, the TT genotype
increased the risk of elevated blood pressure, fasting glucose levels, and
triglyceride levels. Patients with MetS and the TT genotype showed more severe
abdominal obesity, dyslipidaemia, insulin resistance, elevated blood pressure,
elevated fasting glucose levels, and hyperhomocysteinaemia compared with those
with the CC genotype. Conclusions In this population, MTHFR C677T gene
polymorphism may be a risk factor for MetS.
PMID- 29658359
TI - Two new benzophenones and one new natural amide alkaloid isolated from a mangrove
derived Fungus Penicillium citrinum.
AB - Two new compounds penibenzophenones A-B (1-2), and the synthetic alpha,beta
unsaturated amide alkaloid (E)-tert-butyl(3-cinnamamidopropyl)carbamate (4),
newly identified as a natural product, alone with three known ones (3, 5-6) were
isolated from the EtOAc extract of the endophytic fungus Penicillium citrinum HL
5126 isolated from the mangrove Bruguiera sexangula var. rhynchopetala collected
in the South China Sea. Compound 1 was a chlorinated benzophenone. The structures
of 1-6 were elucidated by extensive NMR spectral interpretation, MS data and X
ray analysis. The new compound 2 displayed cytotoxic activity against human A549
cell lines with an IC50 value of 15.7 MUg/mL, and 1 showed antibacterial activity
against Staphylococcus aureus with a MIC value of 20 MUg/mL.
PMID- 29658360
TI - Hyaluronic acid promotes proliferation and migration of human meniscus cells via
a CD44-dependent mechanism.
AB - PURPOSE: Treatment of meniscal injury is important for osteoarthritis (OA)
prevention. Meniscus cells are divided between inner and outer cells, which have
different characteristics and vascularity. We evaluated the effects of hyaluronic
acid (HA) on the proliferation and migration of human inner and outer meniscus
cells, and investigated the underlying healing mechanisms. MATERIALS AND METHODS:
Lateral menisci from 18 patients who underwent total knee arthroplasty were used.
Meniscus cells were harvested from the outer and inner menisci and evaluated
using migration and proliferation assays after treatment with HA or chondroitin
sulfate (CS). The effects of HA on prostaglandin E2 (PGE2)-induced apoptosis and
gene expression were evaluated. RESULTS: Cell migration and proliferation were
increased by HA in a concentration-dependent manner, in both inner and outer
meniscus cells. PGE2-induced apoptosis and caspase-3/7 activity were suppressed
by HA in both inner and outer meniscus cells, and these effects were blocked by
an anti-CD44 antibody. COL2A1 and ACAN mRNA levels were upregulated following HA
treatment of inner meniscus cells. MMP13 mRNA was downregulated following CS
stimulation of both inner and outer meniscus cells. These results suggest that CS
treatment suppresses the inflammatory reaction rather than providing meniscal
restoration. The phosphatidylinositol 3-kinase (PI3K) and mitogen-activated
protein kinase (MAPK) pathways were activated by HA in both types of meniscus
cells; these effects were blocked by treatment with an anti-CD44 antibody.
CONCLUSIONS: HA promoted human meniscus regeneration by inhibiting apoptosis,
promoting cell migration, and accelerating cell proliferation, potentially
through the PI3K/MAPK pathway via the CD44 receptor.
PMID- 29658361
TI - MyD88 as a therapeutic target for inflammatory lung diseases.
AB - INTRODUCTION: Myeloid differentiation primary response protein 88 (MyD88) is a
critical adaptor protein involved in Toll-like and IL-1 receptor family signaling
controlling innate immune responses and inflammation. Genetic deletion of MyD88
function results in profound suppression of inflammation and reduced resistance
of the host to pathogens indicating non-redundant roles of MyD88. The TIR domain
is critical for MyD88 dimerization and signaling for TLR and IL-1R family
receptor. Areas covered: Emerging evidence suggests that chemical disruption of
the TIR domain attenuates cell activation and inhibits in vivo MyD88-dependent
inflammation. We review the development of MyD88 dimerization disruptors as a
novel therapeutic approach of respiratory diseases with a focus on COPD. Expert
opinion: There is a proof of concept that therapeutic targeting of MyD88 is
feasible and first preclinical data are highly promising. This opens a great
opportunity to treat exacerbations of COPD and other chronic respiratory
diseases. However, extensive preclinical investigations and risk analyses are
required with carefully evaluation of reduced host resistance and opportunistic
infections.
PMID- 29658362
TI - Experiences and psychological distress of fertility treatment and employment.
AB - PURPOSE: This study examined experiences and psychological distress about
fertility treatment in people combining work and treatment. METHODS: Five hundred
and sixty-three participants in the UK completed an online survey asking about
difficulties in combining work and treatment; workplace disclosure, support,
absence and policy; and psychological distress about treatment. RESULTS: Absence
from work and perceptions that treatment has an impact on work and career
prospects were reported by the majority of participants and this was related to
the psychological distress of treatment. Around three quarters of participants
disclosed to their employer and colleagues. The key reason for disclosure was
needing to ask for absence from work and the main reason for non-disclosure was
privacy. Workplace policy relating to managing fertility treatment and support
from colleagues and their employer was related to reduced psychological distress
but workplace policy was reported by less than one quarter of participants.
CONCLUSION: Difficulties experienced in combining work and treatment suggest that
support is needed. Specific workplace policy, guidance for supervisors and
flexibility in fertility clinic times should help support employees during
treatment and reduce psychological distress, thereby potentially influencing
physical health and treatment outcomes.
PMID- 29658363
TI - Therapy of cervical cancer using 131I-labeled nanoparticles.
AB - Objective To evaluate the effectiveness of two kinds of Arg-Gly-Asp (RGD)
targeted 131I-containing nanoliposomes for the treatment of cervical cancer in
vitro and in vivo. Methods The nanoparticle liposomes designated RGD-131I
tyrosine peptide chain (TPC)-L and 131I-RGD-L were prepared. The emulsion solvent
evaporation method was used to encapsulate the polypeptide into liposomes. The
quantity of entrapped polypeptide was measured using UV spectrophotometry. The
labeling rates, radiochemical purities, and total radioactivities were measured
using paper chromatography. Cytotoxicity was assessed using the MTS assay and
flow cytometry. Therapeutic efficacy was monitored using a mouse xenograft model
of cervical cancer. Results The labeling efficiency, radiochemical purity, and
specific radioactivity of RGD-131I-TPC-L were greater than those of 131I-RGD-L.
The cytotoxicity test indicated that late apoptosis of cells treated with RGD
131I-TPC-L and 131I-RGD-L was higher than that of cells treated with Na131I. The
therapeutic effect of RGD-131I-TPC-L was better than that of 31I-RGD-L in the
mouse model. Conclusions The specific activity of liposome-encapsulated RGD-131I
TPC-L was higher than that of 131I-RGD-L, which labeled liposomes directly.
Moreover, the RGD-131I-TPC-L liposomes were more effective for killing
xenografted tumor cells.
PMID- 29658364
TI - Prognostic value of PIVKA-II in hepatocellular carcinoma patients receiving
curative ablation: A systematic review and meta-analysis.
AB - BACKGROUND: Several studies have been conducted to evaluate the prognostic value
of prothrombin induced by vitamin K absence-II (PIVKA-II) overexpression in
hepatocellular carcinoma patients treated with curative ablation. However, the
results remain controversial. The purpose of this meta-analysis was to explore
the correlation between PIVKA-II expression and survival outcomes in these
patients. METHODS: We performed a systematic literature search in PubMed, EMBASE,
Medline, Cochrane Library, and Web of Science to identify the relevant articles
investigating the prognostic value of PIVKA-II in patients with hepatocellular
carcinoma. Combined hazard ratios (HR) and their 95% confidence intervals (CI)
for overall survival and recurrence-free survival were calculated as the analysis
endpoints. RESULTS: A total of 15 cohorts encompassing 5647 patients were
included. The results indicated that elevated PIVKA-II was significantly
associated with poorer overall survival (HR 1.59; 95% CI 1.40, 1.82; P < 0.001)
and recurrence-free survival (HR 1.76; 95% CI 1.42, 2.17; P < 0.001). Similar
results were observed in the subgroup analysis based on sample size, analytical
method, treatment modality, and cut-off value. CONCLUSIONS: This meta-analysis
suggests that elevated PIVKA-II is a predictor of unfavorable overall survival
and recurrence-free survival in hepatocellular carcinoma patients receiving
curative ablation. More rigorous studies are warranted to confirm the clinical
utility of PIVKA-II in determining hepatocellular carcinoma prognosis.
PMID- 29658365
TI - Resveratrol delivery by ultrasound-mediated nanobubbles targeting nucleus
pulposus cells.
AB - AIM: To improve nucleus pulposus cell-targeted therapy for intervertebral disc
degeneration (IDD) by fabricating a novel kind of ultrasound (US)-mediated
poly(lactic-co-glycolic acid) nanobubbles (NBs) as a means of targeted drug
delivery. MATERIALS & METHODS: The resveratrol (RES)-embedded NBs were
synthesized using a double-emulsion method. The active NP cell-targeting
biomarker CDH2 antibody (AbCDH2) was further conjugated to the NBs using a
carbodiimide method. Then, this RES/AbCDH2 NBs were examined by physical
properties, specifc cell-targeting ability, anticatabolism effect in vitro and in
vivo. RESULTS: RES/AbCDH2 NBs exhibited high RES-loading efficiency, and US
triggered accelerated RES release. Furthermore, RES/AbCDH2 NB treatment exhibited
excellent anticatabolic ability in vitro; and in an IDD rabbit model, US-mediated
RES/AbCDH2 NB injection effectively retarded the degenerative process of the
intervertebral disc in vivo. CONCLUSION: The combination of US irradiation and
drug delivery through RES/AbCDH2 NBs can be considered as a novel treatment
option for IDD.
PMID- 29658366
TI - A novel case using femtosecond laser-acquired lenticule for recurrent pterygium:
case report and literature review.
AB - Small incision lenticule extraction (SMILE) is a minimally invasive, safe and
flapless femtosecond laser technique used mainly to correct myopia through
extraction of a corneal lenticule. Lenticules obtained in this way are
transparent and of high quality, and thus, can be used to treat other corneal
diseases. A 65-year-old male patient presented with recurrent pterygium
complicated by thin cornea. The patient was treated surgically using a SMILE
extracted lenticule to avoid further complications and to maintain eyeball
integrity. The lenticule was sutured over the thin section of cornea using 10-0
interrupted nylon sutures and enclosed by a single layer of amniotic membrane.
The patient was evaluated using slit-lamp biomicroscopy and anterior-segment
optical-coherence tomography. During an 8-month follow-up, the graft remained
intact with no sign of rejection and corneal thickness was maintained. Tectonic
keratoplasty using a SMILE-extracted lenticule appears to be a safe, cost
effective and reliable method for treating thin cornea due to repeated surgeries
for recurrent pterygium. This is the first case of recurrent pterygium
complicated by thin cornea managed surgically using a SMILE-extracted lenticule.
PMID- 29658367
TI - The evolution of graduate medical education over the past decade: Building a new
pediatric residency program in an era of innovation.
AB - AIM: In 2011, Johns Hopkins Medicine integrated with All Children's Hospital in
St. Petersburg Florida to create an academic campus nearly 1000 miles from
Baltimore. In 2014, the newly named Johns Hopkins All Children's Hospital
established a new pediatric residency program. At that time, the Association for
Graduate Medical Education had not accredited a new pediatric program in the USA
in over 10 years. METHODS: A unique set of circumstances provided an opportunity
for program developers to build the residency under newly identified core tenets
to create a number of innovative features targeted to address the many calls for
change in graduate medical education. RESULTS: This paper focuses on three of
those innovations and demonstrates how they address the many challenges
introduced by the changing landscape of graduate medical education. CONCLUSION:
Although a full evaluation of our program is only possible after many years, this
article presents the core tenets which guided curricular development and
discusses our experiences thus far. We provide lessons learned for programs
considering similar innovations.
PMID- 29658368
TI - The AAA + ATPase Thorase is neuroprotective against ischemic injury.
AB - Neuronal preconditioning in vitro or in vivo with a stressful but non-lethal
stimulus leads to new protein expression that mediates a profound neuroprotection
against glutamate excitotoxicity and experimental stroke. The proteins that
mediate neuroprotection are relatively unknown and under discovery. Here we find
that the expression of the AAA + ATPase Thorase is induced by preconditioning
stimulation both in vitro and in vivo. Thorase provides neuroprotection in an ATP
dependent manner against oxygen-glucose deprivation (OGD) neurotoxicity or
glutamate N-Methyl-D-aspartate (NMDA) receptor-mediated excitotoxicity in vitro.
Knock-down of Thorase prevents the establishment of preconditioning induced
neuroprotection against OGD or NMDA neurotoxicity. Transgenic overexpression of
Thorase provides neuroprotection in vivo against middle cerebral artery occlusion
(MCAO)-induced stroke in mice, while genetic deletion of Thorase results in
increased injury in vivo following stroke. These results define Thorase as a
neuroprotective protein and understanding Thorase signaling could offer a new
therapeutic strategy for the treatment of neurologic disorders.
PMID- 29658369
TI - Hepatoprotective effect of chiisanoside against acetaminophen-induced acute liver
injury in mice.
AB - This study was designed to investigate the hepatoprotective effect of
chiisanoside (CSS) and its possible mechanisms on acetaminophen (APAP)-induced
acute liver damage in mice. The serum activities of alanine transaminase (ALT),
aspartate transaminase (AST), tumor necrosis factor alpha (TNF-alpha),
interleukin-1 beta (IL-1beta), interleukin-6 (IL-6), and the hepatic levels of
superoxide dismutase (SOD), catalase (CAT), glutathione (GSH) and malondialdehyde
(MDA) were determined using the commercially available assay kits. The hepatic
mRNA levels were measured by RT-PCR. The hepatic protein expressions of nuclear
factor-kappa B (NF-kappaB), MAPK and their phosphorylated isoforms were evaluated
by western blot assays. The results indicated that CSS (240 mg/kg) exhibited the
hepatoprotective effects by inhibiting oxidative stress and inflammation on APAP
induced acute liver injury. Furthermore, the anti-inflammatory activity of CSS is
largely related to the regulation of the NF-kappaB and MAPKs signaling pathways.
These findings suggested that CSS possessed hepatoprotective effect against APAP
induced hepatotoxicity in mice.
PMID- 29658370
TI - Changes in endothelial function, arterial stiffness and blood pressure in
pregnant women after consumption of high-flavanol and high-theobromine chocolate:
a double blind randomized clinical trial.
AB - OBJECTIVES: The aim of this 2-group, parallel, double blind single-centre RCT was
to evaluate the acute and chronic impacts of high flavanol high theobromine
(HFHT) chocolate consumption on endothelial function, arterial stiffness and
blood pressure (BP) in women at risk of preeclampsia. METHODS: 131 pregnant women
considered at risk of preeclampsia based on uterine artery Doppler ultrasound
were divided into two groups (HFHT or low flavanol and theobromine chocolate
(LFLT). Acute changes in plasma flavanol and theobromine, peripheral arterial
tonometry and BP were evaluated at randomization (0, 60 and 120 min after a
single 40-g dose of chocolate) and again 6 and 12 weeks after daily 30-g
chocolate intake. The EndoPAT 2000 provided reactive hyperemia index (RHI) and
adjusted augmentation index (AIx) as markers for endothelial function and
arterial stiffness, respectively. RESULTS: Compared with LFLT, acute HFHT intake
significantly increased plasma epicatechin and theobromine (p < 0.0001),
decreased AIx (p < 0.0001) and increased diastolic BP (3.49 +/- 3.40 mmHg
increase in HFHT group vs 1.55 +/- 2.59 mmHg increase in LFLT group, p = 0.0008).
Chronic HFHT compared with LFLT intake significantly increased plasma theobromine
(p < 0.0001). No other significant within group or between group changes were
observed. CONCLUSIONS: Acute consumption of HFHT, compared to LFLT, increased
plasma epicatechin and theobromine concentrations and decreased arterial
stiffness, with no effect on endothelial function and a marginal increase in
diastolic BP. Chronic HFHT intake increased plasma theobromine, though it did not
have positive impacts on endothelial function, arterial stiffness or BP when
compared to LFLT in pregnant women at risk of PE.
PMID- 29658371
TI - Physician Consultations, Prostate Cancer Knowledge, and PSA Screening of African
American Men in the Era of Shared Decision-Making.
AB - African American (AA)/Black men are more likely to develop aggressive prostate
cancer (PCa), yet less likely to be screened despite guidelines espousing shared
decision-making regarding PCa screening and prostate-specific antigen (PSA)
testing. Given the documented racial disparities in PCa incidence and mortality,
engaging interactions with physicians are especially important for AA/Black men.
Thus, this study evaluated occurrence of physician-patient conversations among
AA/Black men, and whether such conversations were associated with PCa knowledge.
We also quantified the serum PSA values of participants who had, and had not,
discussed testing with their physicians. Self-identified AA/Black men living in
California and New York, ages 21-85, donated blood and completed a comprehensive
sociodemographic and health survey ( n = 414). Less than half (45.2%) of
participants had discussed PCa screening with their physicians. Multivariate
analyses were used to assess whether physician-patient conversations predicted
PCa knowledge after adjusting for key sociodemographic/economic and health-care
variables. Increased PCa knowledge was correlated with younger age, higher income
and education, and having discussed the pros and cons of PCa testing with a
physician. Serum PSA values were measured by ELISA. Higher-than-normal PSA values
were found in 38.5% of men who had discussed PCa screening with a physician and
29.1% who had not discussed PCa screening. Our results suggest that physician
AA/Black patient conversations regarding PCa risk need improvement. Encouraging
more effective communication between physicians and AA/Black men concerning PCa
screening and PSA testing has the potential to reduce PCa health disparities.
PMID- 29658373
TI - Talking emotions: vowel selection in fictional names depends on the emotional
valence of the to-be-named faces and objects.
AB - One prestudy based on a corpus analysis and four experiments in which
participants had to invent novel names for persons or objects (N = 336
participants in total) investigated how the valence of a face or an object
affects the phonological characteristics of the respective novel name. Based on
the articulatory feedback hypothesis, we predicted that /i:/ is included more
frequently in fictional names for faces or objects with a positive valence than
for those with a negative valence. For /o:/, the pattern should reverse. An
analysis of the Berlin Affective Word List - Reloaded (BAWL-R) yielded a higher
number of occurrences of /o:/ in German words with negative valence than in words
with positive valence; with /i:/ the situation is less clear. In Experiments 1
and 2, participants named persons showing a positive or a negative facial
expression. Names for smiling persons included more /i:/s and fewer /o:/s than
names for persons with a negative facial expression. In Experiments 3 and 4,
participants heard a Swahili narration and invented pseudo-Swahili names for
objects with positive, neutral, or negative valence. Names for positive objects
included more /i:/s than names for neutral or negative objects, and names for
negative objects included more /o:/s than names for neutral or positive objects.
These finding indicate a stable vowel-emotion link.
PMID- 29658372
TI - MiR-340 affects gastric cancer cell proliferation, cycle, and apoptosis through
regulating SOCS3/JAK-STAT signaling pathway.
AB - OBJECTIVE: Janus kinase (JAK)-signal transducer and activator of transcription
(STAT) signaling pathway is closely related to tumorigenesis. Suppressors of
cytokine signaling 3 (SOCS3) is a negative regulator of JAK-STAT signaling
pathway. MiR-340 expression is significantly upregulated in gastric cancer (GC)
tissue. This study investigated the role of miR-340 in regulating SOCS3
expression and affecting GC cell proliferation, cycle, and apoptosis. PATIENTS
AND METHODS: Dual luciferase assay was used to verify the targeted relationship
between miR-340 and SOCS3. GC tissue was collected from patients. Normal gastric
mucosal tissue was selected as control. MiR-340, SOCS3, p-JAK, p-STAT3, and
Survivin protein expressions were compared with GES-1 and MKN-28 cells. MKN-28
cells were cultured in vitro and divided into four groups, including miR-NC, anti
miR-340, pSicoR-Blank, and pSicoR-SOCS3 groups. Cell proliferation, cycle, and
apoptosis were detected by flow cytometry. RESULTS: Bioinformatics analysis
revealed the targeted relationship between miR-340 and the 3'-UTR of SOCS3 mRNA.
Dual luciferase assay demonstrated that miR-340 regulated SOCS3 expression. MiR
340 level was significantly elevated, while SOCS3 level was obviously declined in
GC tissue compared with normal mucosal tissue. MiR-340, p-JAK, p-STAT3, and
Survivin expressions were upregulated, whereas SOCS3 expression was reduced in
MKN-28 cells compared with that in GES-1 cells. Anti-miR-340 or pSicoR-SOCS3
transfection markedly increased SOCS3 expression, reduced p-JAK, p-STAT3, and
Survivin levels, attenuated cell proliferation, arrested cell cycle, and enhanced
cell apoptosis in MKN-28 cells. CONCLUSIONS: Downregulation of miR-340 inhibited
GC cell proliferation, arrested cell cycle, and facilitated apoptosis through
upregulating SOCS3 expression to suppress JAK-STAT3 signaling pathway.
PMID- 29658374
TI - Hypercalcemic crisis in third trimenon: evaluating the optimal treatment
strategy.
AB - Hypercalcemia due to primary hyperparathyroidism during pregnancy is a rare
condition and associated with increased morbidity and mortality for the mother
and the unborn child. Whereas parathyroidectomy is favored during the second
trimester, no clear recommendations exist for its management during the third
trimenon. We here report the case of a 26-year-old woman in the 29th week of her
first pregnancy, who was admitted to our clinic with hypertension, intra-uterine
growth retardation and polyhydramnios. Severe hypercalcemia due to primary
hyperparathyroidism was diagnosed (total calcium 3.34 mmol/l; PTH 216 pg/ml), but
no enlarged parathyroid gland could be localized by ultrasound. Treatment with
calcitonin and cinacalcet could not control hypercalcemia. Therefore explorative
surgery was performed and a single parathyroid adenoma was resected, resulting in
normalization of serum calcium levels. The surgical procedure was tolerated well
by the mother and fetus. Hypercalcemia-induced hypertension and polyhydramnios
ameliorated before C-section was performed two weeks later and unrelated to the
intervention. This case report underlines the importance of early diagnosis and
treatment of primary hyperparathyroidism during pregnancy. If diagnosed in the
third trimenon, an interdisciplinary approach is crucial. If medical treatment
fails to sufficiently control hypercalcemia, surgical parathyroid exploration
should be considered even in cases of unsuccessful localization of adenomatous
parathyroid glands.
PMID- 29658375
TI - Pharmacokinetic alterations in poloxamer 407-induced hyperlipidemic rats.
AB - 1. Plasma lipid profile abnormalities in hyperlipidemia can potentially alter the
pharmacokinetics of a drug in a complex manner. To evaluate these pharmacokinetic
alterations in hyperlipidemia and to determine the underlying mechanism(s),
poloxamer 407-induced hyperlipidemic rats (HL rats), a well-established animal
model of hyperlipidemia have been used. 2. In this review, we summarize findings
on the pathophysiological and gene expression changes in drug-metabolizing
enzymes and transporters in HL rats. We discuss pharmacokinetic changes in drugs
metabolized primarily via hepatic cytochrome P450 (CYPs) in terms of alterations
in hepatic intrinsic clearance (CL'int), free fraction in plasma (fu) and hepatic
blood flow rate (QH), depending on the hepatic excretion ratio, as well as drugs
eliminated primarily by mechanisms other than hepatic CYPs. 3. For lipoprotein
bound drugs, increased binding to lipoproteins resulted in lower fu values and
volumes of distribution, with some exceptions. Generally, slower non-renal
clearance (or total body clearance) of drugs that are substrates of hepatic CYP3A
and CYP2C is well explained by the following factors: alterations in CL'int (due
to down-regulation of hepatic CYPs), decreased fu and/or possible decreased QH.
4. These consistent findings across studies in HL rats suggest more studies are
needed at the clinical level for optimal pharmacotherapies for hyperlipidemia.
PMID- 29658376
TI - A leftward perceptual asymmetry when judging the attractiveness of visual
patterns.
AB - Perceptual judgements concerning the magnitude of a stimulus feature are
typically influenced more by the left side of the stimulus than by the right
side. This research examined whether the leftward bias also applies to judgements
of the attractiveness of abstract visual patterns. Across four experiments
participants chose between two versions of a stimulus which either had an
attractive left side or an attractive right side. Experiments 1 and 2 presented
artworks and experiments 3 and 4 presented wallpaper designs. In each experiment
participants showed a significant bias to choose the stimulus with an attractive
left side more than the stimulus with an attractive right side. The leftward bias
emerged at age 10/11, was not caused by a systematic asymmetry in the perception
of colourfulness or complexity, and was stronger when the difference in
attractiveness between the left and right sides was larger. The results are
relevant to the aesthetics of product and packaging design and show that leftward
biases extend to the perceptual judgement of everyday items. Possible causes of
the leftward bias for attractiveness judgements are discussed and it is suggested
that the size of the bias may not be a measure of the degree of hemispheric
specialization.
PMID- 29658377
TI - The Use of the Newest Vital Sign Health Literacy Instrument in Adolescents With
Sickle Cell Disease.
AB - The purpose of this article is to discuss the use of the Newest Vital Sign (NVS)
health literacy instrument in adolescents with sickle cell disease. The NVS
evaluates both literacy and numeracy (the ability to understand and work with
numbers) as well as the ability to locate and apply information. It is important
to validate the NVS for use in adolescents, as the only currently validated
instrument, the Rapid Estimate of Adolescent Literacy in Medicine-Teen (REALM
Teen), does not measure numeracy or the ability to locate or apply information.
This cross-sectional, descriptive, exploratory correlational study included
appraisal of data from completion of the REALM-Teen and NVS instruments by a
convenience sample of 75 adolescents with sickle cell disease. The mean age of
this study sample was 14.7 years ( SD = 2.2). The mean grade level of
participants was 8.7 ( SD = 2.2). Internal consistency for the NVS in this
population was acceptable (alpha = .63). Criterion validity was based on
correlations between raw scores on the NVS and raw scores on the REALM-Teen.
There was a significant moderate, positive correlation between NVS and REALM-Teen
scores ( r = .38, p < .01), demonstrating good criterion validity. Preliminary
evidence for reliability and validity of the NVS in this population was
established.
PMID- 29658378
TI - Improvement of depressive symptoms in patients with moderate-to-severe psoriasis
treated with ustekinumab: an open label trial validated using beck depression
inventory, Hamilton depression rating scale measures and 18fluorodeoxyglucose
(FDG) positron emission tomography (PET).
AB - BACKGROUND: Psoriasis is a chronic skin disease associated with psychiatric co
morbidities, especially depression. Early detection of psychological
vulnerability in patients with psoriasis seems to be of great clinical importance
and significantly impacts the quality of life of the patients. OBJECTIVES: We
sought to clarify the association between psoriasis and depressive symptoms in
patients with moderate-to-severe psoriasis, and to determine the risk factors for
depressive symptoms and analyze the effect of ustekinumab on the symptoms. We
also aimed to evaluate the changes in glucose metabolism using
18fluorodeoxyglucose (FDG) positron emission tomography (FDG-PET). METHODS:
Fifteen patients with moderate-to-severe psoriasis scheduled to be treated with
ustekinumab were enrolled. At baseline and after achieving a 75% reduction in the
Psoriasis Area and Severity Index (PASI) score (PASI75), all patients underwent a
psychiatric interview and FDG-PET. Fifteen healthy volunteers were enrolled for
comparison. RESULTS: Patients with moderate-to-severe psoriasis were more
depressed than those in the control group were (p < .05). The severity of
psoriasis at baseline did not correlate with the depression symptoms. Treatment
with ustekinumab significantly reduced the depressive symptoms, as verified using
Beck Depression Inventory and Hamilton Depression Rating Scale psychiatric
interviews (p < .05). However, FDG-PET of the brain showed no significant
difference before and after PASI75 achievement using ustekinumab injection.
CONCLUSIONS: Patients with moderate-to-severe psoriasis are at an increased risk
for depressive symptoms, and treatment with ustekinumab may be beneficial. FDG
PET does not reflect the changes in depressive symptoms in such patients.
PMID- 29658379
TI - Letters about Published Papers.
PMID- 29658380
TI - Genetic diversity and population genetic structure of the only population of
Aoluguya Reindeer (Rangifer tarandus) in China.
AB - Aoluguya Reindeer is the only reindeer species in China and currently
approximately 1000 Aoluguya Reindeer remain semi-domesticated. A relative low
diversity estimate was found by investigating genetic variability and demographic
history of its population. Mismatch distribution curve of its nucleotide
sequences and neutral test indicate its population has not experienced expansion.
Genetic diversity and population structure were also analysed by using its mtDNA
and microsatellites technology. Statistical results of these analyses showed
there were varying degrees of population inbreeding and suggested that gene flow
existed among its populations at one time. Three mutation models were also used
to detect the bottleneck effect of reindeer population. The genetic variation of
eight populations is relatively small. In addition, the clustering program
STRUCTURE was used to analyse Aoluguya Reindeer population structure, to
determine its optimal K and first time to analyse the phylogenetic status of
Aoluguya Reindeer among other reindeer subspecies. It is recommended that the
government establish a natural conservation area in Aoluguya Reindeer growing
geography, forbade the trade and hunting of Aoluguya Reindeer, and strengthen the
protection of this endangered species.
PMID- 29658382
TI - The impact of digital technologies on point-of-care diagnostics in resource
limited settings.
AB - INTRODUCTION: Simple, rapid tests that can be used at the point-of-care (POC) can
improve access to diagnostic services and overall patient management in resource
limited settings where laboratory infrastructure is limited. Implementation of
POC tests places tremendous strain on already fragile health systems as the
demand for training, supply management and quality assurance are amplified.
Digital health has a major role to play in ensuring effective delivery and
management of POC testing services. Area covered: The ability to digitise
laboratory and POC platforms, including lateral flow rapid diagnostic test
results, can standardize the interpretation of results and allows data to be
linked to proficiency testing to ensure testing quality, reducing interpretation
and transcription errors. Remote monitoring of POC instrument functionality and
utilization through connectivity, allows programs to optimize instrument
placement, algorithm adoption and supply management. Alerts can be built into the
system to raise alarm at unusual trends such as outbreaks. Expert commentary:
Digital technology has had a powerful impact on POC testing in resource limited
settings. Technology, markets, and medical devices have matured to enable
connected diagnostics to become a useful tool for epidemiology, patient care and
tracking, research, and antimicrobial resistance and outbreak surveillance.
However, to unlock this potential, digital tools must first add value at the
point of patient care. The global health community need to propose models for
protecting intellectual property to foster innovation and for safeguarding data
confidentiality.
PMID- 29658383
TI - Comparison of the cost-effectiveness of biologic drugs used for moderate-to
severe psoriasis treatment in the United States.
AB - PURPOSE: To compare the cost-effectiveness of the newly approved biologic drug,
brodalumab, with other commonly used biologics for the treatment of moderate-to
severe psoriasis in the United States. METHODS: An economic model was constructed
in Excel to compare average costs to achieve Psoriasis Area and Severity Index
(PASI) 75, 90 and 100 among moderate-to-severe psoriasis patients treated with
biologics. Total annual costs to health plans associated with treatment with five
different biologics were estimated and cost-effectiveness compared using the
estimated average cost per PASI 75, PASI 90 and PASI 100. RESULTS: Total annual
costs to a health plan per patient with adalimumab, brodalumab, ixekizumab,
secukinumab and ustekinumab were estimated at $51,246, $38,538, $65,484, $57,510
and $57,013. Mean annual treatment costs per PASI 75, 90 and 100 were the lowest
for brodalumab, with the annual cost per PASI 75 for brodalumab, adalimumab,
ixekizumab, secukinumab and ustekinumab estimated at $48,782, $82,655, $77,957,
$75,671 and $87,243, per PASI 90 at $51,383, $119,178, $94,904, $108,509 and
$130,615, and per PASI 100 at $87,585, $284,702, $176,983, $205,393, and
$366,645. CONCLUSIONS: Brodalumab, which had the lowest drug cost and high drug
efficacy, was associated with the lowest cost per PASI 75, 90 and 100 among the
biologics evaluated.
PMID- 29658381
TI - Hydrogen peroxide: a potent inducer of differentiation of human adipose-derived
stem cells into chondrocytes.
AB - Common protocols for chondrogenic differentiation of adipose-derived mesenchymal
stem cells (ADSCs) are generally expensive and time-consuming and, so far, have
not successfully recreated pure chondrocytes. We hypothesise that a low level of
H2O2 may induce differentiation of ADSCs into chondrocytes in a shorter
incubation time and relatively lower cost. Therefore, this study aimed to
comparatively investigate the effectiveness of H2O2-containing or free medium in
the induction of ADSCs to chondrocytes. ADSCs were isolated from the lipoaspirate
of four healthy females and evaluated by immunophenotyping for their CD90, CD73,
CD44, CD34, and CD45 cell surface markers. Chondrogenic differentiation was
carried out using differentiation medium in the presence or absence of 10 and 50
uM H2O2 in normal and three-dimensional culture system. The intracellular
contents of reactive oxygen species (ROS) were detected by flow cytometry and
fluorescence microscopy. The hydroxyproline, was assessed as marker of collagen
and the glycosaminoglycans (GAGs) content was both qualitatively detected and
quantitatively determined. Real-time PCR was performed to determine the gene
expression level of aggrecan (ACAN), type-II collagen, and transcription factor
Sox9. H2O2-treated cells showed pre-chondrocyte morphology on day 1 and
chondrocyte pellets were formed on day 14. H2O2-treated cells induced greater
pellet sizes and showed significantly higher content of GAGs and hydroxyproline
level compared with untreated cells. The gene expression levels of ACAN, collagen
type-II, and Sox9 were markedly upregulated by H2O2. Our findings showed for the
first time that H2O2-containing differentiation medium is potentially more
effective than H2O2-free differentiation medium in the induction of chondrogensis
of ADSCs.
PMID- 29658384
TI - Complications of adult-onset Still's disease and their management.
AB - INTRODUCTION: Adult-onset Still's disease (AOSD) is a rare systemic auto
inflammatory disorder in which management and treatment have considerably
progressed over the past decade. Despite wide use of interleukin (IL)-1 or IL-6
inhibitors, serious complications remain possible. Areas covered: A comprehensive
literature search in MEDLINE via Pubmed was performed to review AOSD's severe and
sometimes life-threatening complications: reactive hemophagocytic
lymphohystiocytosis, coagulation disorders, fulminant hepatitis, cardiac or
pulmonary complications and amyloid A amyloidosis. Expert commentary: Early
recognition and prompt management is essential to significantly decrease morbi
mortality. The key question is to determine whether the complication is related
to the disease itself or related to or favored by (e.g. infection) the ongoing
treatment. For all severe AOSD-related complications, high-dose corticosteroids
and supportive measures remain the first-line treatment. In case of inadequate
response, combination with IL-1 or IL-6 blockers is justified. Cyclosporine A and
etoposide remain of interest, especially in case of reactive hemophagocytic
lymphohysitocytosis. Plasma exchange may be useful in case of thrombotic
microangiopathy. In the near future, new biologic or non-biologic drugs targeting
IL-18 or other cytokines or kinases could be of help.
PMID- 29658385
TI - Toxicity of mixtures of zinc oxide and graphene oxide nanoparticles to aquatic
organisms of different trophic level: particles outperform dissolved ions.
AB - Concomitant releases of various engineered nanoparticles (NPs) into the
environment have resulted in concerns regarding their combined toxicity to
aquatic organisms. It is however, still elusive to distinguish the contribution
to toxicity of components in NP mixtures. In the present study, we quantitatively
evaluated the relative contribution of NPs in their particulate form
(NP(particle)) and of dissolved ions released from NPs (NP(ion)) to the combined
toxicity of binary mixtures of ZnO NPs and graphene oxide nanoplatelets (GO NPs)
to three aquatic organisms of different trophic levels, including an alga species
(Scenedesmus obliquus), a cladoceran species (Daphnia magna), and a freshwater
fish larva (Danio rerio). Our results revealed that the effects of ZnO NPs and GO
NPs were additive to S. obliquus and D. magna but antagonistic to D. rerio. The
relative contribution to toxicity (RCT) of the mixture components to S. obliquus
decreased in the order of RCTGO NP(particle) > RCTZnO NP(particle) > RCTZnO
NP(ion), while the RCT of the mixture components to D. magna and D. rerio
decreased in the order of RCTZnO NP(particle) > RCTGO NP(particle) > RCTZnO
NP(ion). This finding also implies that the suspended particles rather than the
dissolved Zn-ions dictated the combined toxicity of binary mixtures of ZnO NPs
and GO NPs to the aquatic organisms of different trophic level. The alleviation
of the contribution to toxicity of the ionic form of ZnO NPs was caused by the
adsorption of the dissolved ions on GO NPs. Furthermore, the ZnO NP(particle) and
GO NP(particle) displayed a different contribution to the observed mixture
toxicity, dependent on the trophic level of the aquatic organisms tested. The
difference of the contributions between the two particulate forms was mainly
associated with differences in the intracellular accumulation of reactive oxygen
species. Our findings highlight the important role of particles in the ecological
impact of multi-nanomaterial systems.
PMID- 29658386
TI - Finite element evaluation of the newest ISO testing standard for polyethylene
total knee replacement liners.
AB - Current treatment for end-stage osteoarthritis is total knee replacement. Given
that the number of total knee replacement surgeries is expected to approach 3.48
million by 2030, understanding long-term failure is important. One of the
preclinical tests for total knee replacements is carried out using mechanical
wear testing under generic walking conditions. Used for this purpose is the
International Standards Organization's generic walking profile. Recently this
standard was updated by reversing the direction of anterior/posterior translation
and internal/external rotation. The effects of this change have not been
investigated, and therefore, it is unknown if comparisons between wear tests
utilizing the old and new version of the standard are valid. In this study, we
used a finite element model along with a frictional energy-based wear model to
compare the kinematic inputs, contact conditions, and wear from the older and
newer versions of the ISO standard. Simulator-tested components were used to
validate the computational model. We found that there were no visible
similarities in the contact conditions between the old and new versions of the
standard. The new version of the standard had a lower wear rate but covered a
larger portion of the articular surface. Locations of wear also varied
considerably. The results of the study suggest that major differences between the
old and new standard exist, and therefore, historical wear results should be
compared with caution to newly obtained results.
PMID- 29658388
TI - Prostate Cancer Screening Perception, Beliefs, and Practices Among Men in
Bamenda, Cameroon.
AB - Prostate Cancer (CaP) is the most commonly diagnosed cancer among Cameroonian
men. Due to inadequate infrastructure, record keeping, and resources, little is
known about its true burden on the population. There are rural/urban disparities
with regards to awareness, screening, treatment, and survivorship. Furthermore,
use of traditional medicine and homeopathic remedies is widespread, and some men
delay seeking conventional medical treatment until advanced stages of CaP. This
study examined the perceptions, beliefs, and practices of men in Cameroon
regarding late stage CaP diagnoses; identified factors that influence screening
decision; and ascertained how men decided between traditional or conventional
medicine for CaP diagnosis and treatment. Semistructured focus groups were used
to collect data from men in Bamenda, Cameroon. Qualitative data analysis was used
to analyze transcripts for emerging themes and constructs using a socio
ecological framework. Twenty-five men participated in the study, with an average
age of 59. Most of the participants had never received a prostate screening
recommendation. Socioeconomic status, local beliefs, knowledge levels, awareness
of CaP and screening methods, and stigma were prominent themes. A significant
number of Cameroonian men receive late stage CaP diagnosis due to lack of
awareness, attitudes, cultural beliefs, self-medication, and economic limitation.
To effectively address these contributing factors to late stage CaP diagnosis, a
contextually based health education program is warranted and should be tailored
to fill knowledge gaps about the disease, dispel misconceptions, and focus on
reducing barriers to utilization of health services.
PMID- 29658387
TI - Identification of avian vasotocin receptor subtype-specific antagonists involved
in the stress response of the chicken, Gallus gallus.
AB - Vasotocin 1a and 1b receptors (V1aR and V1bR) have been shown to play important
roles in the neuroendocrine regulation of stress responses via the anterior
pituitary (AP) of birds. To identify effective subtype-specific antagonists for
the chicken V1aR (cV1aR) and cV1bR, potential antagonists to the mammalian V1R
were screened against the cV1aR and cV1bR 3D structural models by molecular
docking analysis with determination of binding pocket/amino acid residues
involved in the interaction. The antagonistic effects of the selected ligands
were examined by measuring pro-opiomelanocortin (POMC) heteronuclear RNA (hnPOMC)
levels following the in vitro stress administration to primary chicken AP cells.
Results of in silico analysis showed that the Manning compound and several other
antagonists were bound to cV1bR with higher affinity than the natural agonist,
arginine vasotocin (AVT). Similarities and differences in the antagonist-receptor
binding interface with receptors were characterized for each ligand. Non-peptide
mammalian V1bR antagonists, SSR-149415 and L-368899, were shown to be effective
and had an additive effect in blocking POMC hnRNA expression in pituitary cell
culture studies. SR-49059 antagonized the effect(s) of AVT/CRH on the
downregulation of the cV1aR and the upregulation of the cCRH-R2 expression but
not the cV1bR and cCRH-R1. The Manning compound antagonized the downregulation of
cV1aR, cV1bR and cCRH-R1 and the upregulation of cCRH-R2 expression. The
specificity of antagonists apparently resulted from unique differences in the
interacting residues and their binding affinities. Collectively, these results
provide valuable leads for future development of novel compounds capable of
blocking or attenuating the AP stress response of avian species and perhaps other
non-mammalian vertebrates as well.
PMID- 29658389
TI - Comparison of endoscopic-assisted and temporary keratoprosthesis-assisted
vitrectomy in combat ocular trauma: experience at a tertiary eye center in
Turkey.
AB - Objective This study was performed to compare the functional and anatomical
results of endoscopic-assisted and temporary keratoprosthesis (TKP)-assisted
vitrectomy in patients with combat ocular trauma (COT). Methods The medical
records of 14 severely injured eyes of 12 patients who underwent endoscopy or TKP
implantation in combination with vitreoretinal surgery from 2007 to 2015 were
retrospectively evaluated. The patients' ocular history and functional and
anatomic anterior and posterior segment results were analyzed. Results Eight eyes
(57%) underwent TKP-assisted vitrectomy and six eyes (43%) underwent endoscopic
vitrectomy. The most common cause of COT was detonation of improvised explosive
devices (72%), and the most common type of injury was an intraocular foreign body
(50%). The median time from trauma to surgery and the median surgical time were
significantly shorter in the endoscopy than TKP group. The postoperative
functional and anatomical results were not significantly different between the
two groups. Conclusions TKP-assisted vitrectomy should be performed in eyes
requiring extensive bimanual surgery. In such cases, a corneal graft must be
preserved for the TKP at the end of the surgery. Endoscopy shortens the surgical
time and can reduce the complication rate.
PMID- 29658390
TI - Spontaneous single nucleotide polymorphism in porcine microRNA-378 seed region
leads to functional alteration.
AB - Sequence variation in a microRNA (miRNA) seed region can influence its biogenesis
and effects on target mRNAs; however, in mammals, few seed region mutations
leading to functional alterations have been reported to date. Here, we report the
identification of a single nucleotide polymorphism (SNP) with functional
consequence located in the seed region of porcine miR-378. In vitro analysis of
this rs331295049 A17G SNP showed significantly up-regulated expression of the
mature miR-378 (miR-378/G). In silico target prediction indicated that the SNP
would modulate secondary structure and result in functional loss affecting >85%
of the known target genes of the wild-type miR-378 (miR-378/A), and functional
gain affecting >700 new target genes, and dual-luciferase reporter assay verified
this result. This report of a SNP in the seed region of miR-378 leads to
functional alteration and indicates the potential for substantive functional
consequences to the molecular physiology of a mammalian organism.
PMID- 29658391
TI - TGFbeta1 Promotes Breast Cancer Local Invasion and Liver Metastasis by Increasing
the CD44high/CD24- Subpopulation.
AB - OBJECTIVE: Previous studies have shown that the transforming growth factor beta1
pathway plays an important role in breast cancer metastasis to the liver.
However, the mechanism of this metastasis has not been fully clarified. Cancer
stem cells are essential for the initiation and propagation of tumor metastasis.
The objective of our current study was to define the role of cancer stem cells in
transforming growth factor beta1-mediated breast cancer hepatic metastases.
METHOD: Hematoxylin and eosin staining was used to assess the formation of breast
cancer liver metastases and local invasion. Cancer stem cells surface markers
(CD44, CD24, and Epithelial cell adhesion molecule [EpCAM]), luminal/mesenchymal
markers (keratin8 and alpha smooth muscle actin), and proliferation markers (Ki
67 and cyclinD1) were detected by immunohistochemistry assays. Flow cytometry was
used to evaluate the effect of transforming growth factor beta1 on the CD44+/CD24
cancer stem cell population. Quantitative real-time polymerase chain reaction
was employed to assess the gene expression of the stem cell self-renewal markers
nanog, pou5f1 (coding for Oct4), and sox2. RESULTS: Transforming growth factor
beta1 increased the formation of liver metastases by the MDA-MB231 (MDA) breast
cancer cell line but did not affect the liver metastasis of CD44+/CD24+ noncancer
stem cells. Transforming growth factor beta1 treatment did not significantly
affect tumor proliferation in vitro or in vivo. Transforming growth factor beta1
promoted mammary tumor local invasion. Furthermore, the CD44high/CD24- cancer
stem cell population was also significantly increased by transforming growth
factor beta1 treatment. Besides, the gene expression of the stem cell self
renewal markers (nanog, pou5f1, and sox2) and another stem cell surface marker
(EpCAM) was increased by transforming growth factor beta1 treatment. Finally,
clusters of CD44-positive breast cancer cells were observed in the livers of mice
from the control and transforming growth factor beta1 pretreatment groups.
CONCLUSION: Our results indicate that transforming growth factor beta1 increases
the local invasive capacity and liver metastasis of breast cancer cells by
inducing the CD44high/CD24- cancer stem cell population.
PMID- 29658392
TI - Reconstruction of a Deformed Tumor Based on Fiducial Marker Registration: A
Computational Feasibility Study.
AB - Interstitial photodynamic therapy has shown promising results in the treatment of
locally advanced head and neck cancer. In this therapy, systemic administration
of a light-sensitive drug is followed by insertion of multiple laser fibers to
illuminate the tumor and its margins. Image-based pretreatment planning is
employed in order to deliver a sufficient light dose to the complex locally
advanced head-and-neck cancer anatomy, in order to meet clinical requirements.
Unfortunately, the tumor may deform between pretreatment imaging for the purpose
of planning and intraoperative imaging when the plan is executed. Tumor
deformation may result from the mechanical forces applied by the light fibers and
variation of the patient's posture. Pretreatment planning is frequently done with
the assistance of computed tomography or magnetic resonance imaging in an
outpatient suite, while treatment monitoring and control typically uses
ultrasound imaging due to considerations of costs and availability in the
operation room. This article presents a computational method designed to bridge
the gap between the 2 imaging events by taking a tumor geometry, reconstructed
during preplanning, and by following the displacement of fiducial markers, which
are initially placed during the preplanning procedure. The deformed tumor shape
is predicted by solving an inverse problem, seeking for the forces that would
have resulted in the corresponding fiducial marker displacements. The
computational method is studied on spheres of variable sizes and demonstrated on
computed tomography reconstructed locally advanced head and neck cancer model.
Results of this study demonstrate an average error of less than 1 mm in
predicting the deformed tumor shape, where 1 mm is typically the order of
uncertainty in distance measurements using magnetic resonance imaging or computed
tomography imaging and high-quality ultrasound imaging. This study further
demonstrates that the deformed shape can be calculated in a few seconds, making
the proposed method clinically relevant.
PMID- 29658395
TI - Delaying initiation of electroconvulsive treatment after administration of the
anaesthetic agent and muscle relaxant reduces the necessity of re-stimulation.
AB - OBJECTIVES: To investigate the effect of delaying initiation of electroconvulsive
therapy (ECT) after administration of anaesthetic agent and muscle relaxant.
METHODS: A retrospective cohort study utilizing a case-based analysis comparing
number of re-stimulations, length of seizures, number of ECTs per series and
stimulation dosage before and after introducing a new treatment regimen. In 2013,
ECT was initiated approximately 60-90 seconds after administration of thiopental
and succinylcholine. This interval was increased to 120 seconds in 2014. Ninety
three patients were included (40 in 2013 and 53 in 2014). Outcome measures were
length of seizure, number of re-stimulations, number of ECTs per series and
stimulation dosage. Regression model analyses were conducted with entering year
of treatment (2013 vs. 2014), sex and age as covariates. RESULTS: We showed that
a lowered frequency of re-stimulation was independently associated with the 2014
treatment regimen. No effect of treatment regimen on duration of seizures as
measured clinically or by EEG, on number of treatments per series or on
stimulation dosage was observed. CONCLUSIONS: We found an association between an
increased time interval from administration of thiopental and succinylcholine to
ECT and a lowered risk of re-stimulations. The current study substantially
strengthens the evidence on the benefits of delaying ECT after administration of
anaesthetic agent and muscle relaxant.
PMID- 29658394
TI - Management of staghorn renal stones.
AB - Staghorn stones are large branching stones that fill part of all of the renal
pelvis and renal calyces and they can be complete or partial depending on the
level of occupancy of the collecting system. Although kidney stones are commoner
in men, staghorn stones are less often reported in men compared to women and they
are usually unilateral. Due to the significant morbidity and potential mortality
attributed to staghorn stones, prompt assessment and treatment is mandatory.
Conversely, conservative treatment has been shown to carry a mortality rate of
28% in 10-year period and 36% risk of developing significant renal impairment.
Staghorn stones are, therefore, significant disease entity that should be managed
aggressively and effectively. Generally, the gold standard treatment for staghorn
stones is surgical with a view to achieve stone-free collecting system and
preserve renal function. Percutaneous nephrolithotomy should be the recommended
first-line treatment for staghorn stones. Other non-surgical options are usually
considered in combination with surgery or as monotherapy only if patients are
surgically unfit. The decision for optimal treatment of staghorn stones should be
individualized according to the circumstances of the patient involved and in
order to do so, a closer look at the advantages and disadvantages of each option
is necessary.
PMID- 29658396
TI - Case Studies of Men's Perceptions of Their Online Sexual Interactions With Young
People: An Interpretative Phenomenological Analysis.
AB - The aim of the present study was to gain an understanding of the perspectives of
men who were convicted of committing a sexual offense that included online sexual
grooming. It explores their experiences of illegal interactions with young people
via Internet communication platforms, which progressed to physical meetings.
Semistructured interviews were conducted with two men and analyzed using
interpretative phenomenological analysis (IPA), providing in-depth accounts of
their personal experiences. These are presented in a case study format. Three
dominant themes emerged from the analysis: (a) fulfilling an unmet need, (b)
spiraling cycle of use, and (c) confrontation with reality. Narrative tones
employed by the two men were "being trapped in a lie," analogous to a problem
narrative through which their Internet use was presented as a poor and/or failed
coping strategy to deal with life stress. Through a process of habituation, their
Internet use spiraled out of control. A progression was described in terms of
time spent on the computer, frequency and intensity of online interactions, and
the impact this had on the men's personal lives, highlighting the "compulsive"
nature of such interactions for them. A consideration of individual and
situational vulnerability factors provides new insight into the progression of
online sexual behavior and contributes to our understanding thereof.
PMID- 29658393
TI - Key strategies to improve systems for managing patient complaints within health
facilities - what can we learn from the existing literature?
AB - BACKGROUND: Information from patient complaints - a widely accepted measure of
patient satisfaction with services - can inform improvements in service quality,
and contribute towards overall health systems performance. While analyses of data
from patient complaints received much emphasis, there is limited published
literature on key interventions to improve complaint management systems.
OBJECTIVES: The objectives are two-fold: first, to synthesise existing evidence
and provide practical options to inform future policy and practice and, second,
to identify key outstanding gaps in the existing literature to inform agenda for
future research. METHODS: We report results of review of the existing literature.
Peer-reviewed published literature was searched in OVID Medline, OVID Global
Health and PubMed. In addition, relevant citations from the reviewed articles
were followed up, and we also report grey literature from the UK and the
Netherlands. RESULTS: Effective interventions can improve collection of
complaints (e.g. establishing easy-to-use channels and raising patients'
awareness of these), analysis of complaint data (e.g. creating structures and
spaces for analysis and learning from complaints data), and subsequent action
(e.g. timely feedback to complainants and integrating learning from complaints
into service quality improvement). No one single measure can be sufficient, and
any intervention to improve patient complaint management system must include
different components, which need to be feasible, effective, scalable, and
sustainable within local context. CONCLUSIONS: Effective interventions to
strengthen patient complaints systems need to be: comprehensive, integrated
within existing systems, context-specific and cognizant of the information
asymmetry and the unequal power relations between the key actors. Four gaps in
the published literature represent an agenda for future research: limited
understanding of contexts of effective interventions, absence of system-wide
approaches, lack of evidence from low- and middle-income countries and absence of
focused empirical assessments of behaviour of staff who manage patient
complaints.
PMID- 29658397
TI - Inflammation-coagulation response and thrombotic effects induced by silica
nanoparticles in zebrafish embryos.
AB - Nowadays, nanotechnology environmental health and safety (nanoEHS) is gaining
attention. We previously found that silica nanoparticles (SiNPs) could induce
vascular endothelial damage. However, the subsequent toxicologic response to
SiNPs-induced endothelial damage was still largely unknown. In this study, we
explored the inflammation-coagulation response and thrombotic effects of SiNPs in
endothelial cells and zebrafish embryos. For in vitro study, swollen mitochondria
and autophagosome were observed in ultrastructural analysis. The cytoskeleton
organization was disrupted by SiNPs in vascular endothelial cells. The release of
proinflammatory and procoagulant cytokines including IL-6, IL-8, MCP-1, PECAM-1,
TF and vWF, were markedly elevated in a dose-dependent manner. For in vivo study,
based on the NOAEL for dosimetry selection, and using two transgenic zebrafish,
Tg(mpo:GFP) and Tg(fli-1:EGFP), SiNPs-induced neutrophil-mediated inflammation
and impaired vascular endothelial cells. With the dosage higher than NOAEL, SiNPs
significantly decreased blood flow and velocity, exhibiting a blood
hypercoagulable state in zebrafish embryos. The thrombotic effect was assessed by
o-dianisidine staining, showed that an increasing of erythrocyte aggregation
occurred in SiNPs-treated zebrafish. Microarray analysis was used to screen the
possible genes for inflammation-coagulation response to SiNPs in zebrafish, and
the JAK1/TF signaling pathway was further verified by qRT-PCR and Western blot
assays. For in-deepth study, il6st was knocked down with specific morpholinos.
The whole-mount in situ hybridization and qRT-PCR analysis showed that the
expression jak1 and f3b were attenuated in il6st knockdown groups. In summary,
our data demonstrated that SiNPs could induce inflammation-coagulation response
and thrombotic effects via JAK1/TF signaling pathway.
PMID- 29658399
TI - A Qualitative Study to Assess Barber Perceptions of the Feasibility of the
Employer as a Health Advisor for Obesity Prevention.
AB - Obesity has become a serious issue affecting millions of Americans, especially in
the southern United States. One avenue for addressing obesity is the workplace
setting. This formative research study examined the feasibility of an obesity
prevention worksite intervention in the barbershop for African American
barbershop owners (employers) and barbers (employees). The study proposes an
intervention where the owner of the barbershop would be trained to educate his
barbers about obesity prevention. Twenty in-depth interviews were conducted with
the owners ( n = 5) and barbers ( n = 15) of five barbershops in Statesboro,
Georgia, to determine the feasibility of the intervention. The results of this
study indicated that the owners and barbers all felt that the intervention was
feasible and could be implemented in the barbershop. The owners and barbers felt
that obesity was an important issue in their community. Additional themes
identified include program benefits, empowerment of owners and barbers, and
motivational components to help produce healthy habits. The owners felt
comfortable educating their barbers about obesity prevention, and the barbers
were receptive toward the idea of being educated by their employer. In order for
this intervention to be implemented and effective, it must be tailored to fit
within the barbershop environment. This intervention addresses known health
disparities that exist in the African American community and underscores the need
for additional worksite health promotion programs in medically underserved
communities.
PMID- 29658400
TI - Corrigendum.
AB - Naccashian Z, Hattar-Pollara M, Yu CH (A), et al. Prevalence and predictors of
diabetes mellitus and hypertension in Armenian Americans in Los Angeles. Diabetes
Educ. 2018;44(2):130-143. Original doi:10.1177/0145721718759981 The author name
"Chong (Alex) Ho" was inadvertently published without the last name. The author's
correct name is "Chong Ho (Alex) Yu."
PMID- 29658398
TI - Live-cell imaging reveals the dynamics and function of single-telomere TERRA
molecules in cancer cells.
AB - Telomeres cap the ends of eukaryotic chromosomes, protecting them from
degradation and erroneous recombination events which may lead to genome
instability. Telomeres are transcribed giving rise to telomeric repeat-containing
RNAs, called TERRA. The TERRA long noncoding RNAs have been proposed to play
important roles in telomere biology, including heterochromatin formation and
telomere length homeostasis. While TERRA RNAs are predominantly nuclear and
localize at telomeres, little is known about the dynamics and function of TERRA
molecules expressed from individual telomeres. Herein, we developed an assay to
image endogenous TERRA molecules expressed from a single telomere in living human
cancer cells. We show that single-telomere TERRA can be detected as TERRA RNA
single particles which freely diffuse within the nucleus. Furthermore, TERRA
molecules aggregate forming TERRA clusters. Three-dimensional size distribution
and single particle tracking analyses revealed distinct sizes and dynamics for
TERRA RNA single particles and clusters. Simultaneous time lapse confocal imaging
of TERRA particles and telomeres showed that TERRA clusters transiently co
localize with telomeres. Finally, we used chemically modified antisense
oligonucleotides to deplete TERRA molecules expressed from a single telomere.
Single-telomere TERRA depletion resulted in increased DNA damage at telomeres and
elsewhere in the genome. These results suggest that single-telomere TERRA
transcripts participate in the maintenance of genomic integrity in human cancer
cells.
PMID- 29658401
TI - Probabilistic risk assessment of gold nanoparticles after intravenous
administration by integrating in vitro and in vivo toxicity with physiologically
based pharmacokinetic modeling.
AB - This study aimed to conduct an integrated and probabilistic risk assessment of
gold nanoparticles (AuNPs) based on recently published in vitro and in vivo
toxicity studies coupled to a physiologically based pharmacokinetic (PBPK) model.
Dose-response relationships were characterized based on cell viability assays in
various human cell types. A previously well-validated human PBPK model for AuNPs
was applied to quantify internal concentrations in liver, kidney, skin, and
venous plasma. By applying a Bayesian-based probabilistic risk assessment
approach incorporating Monte Carlo simulation, probable human cell death
fractions were characterized. Additionally, we implemented in vitro to in vivo
and animal-to-human extrapolation approaches to independently estimate external
exposure levels of AuNPs that cause minimal toxicity. Our results suggest that
under the highest dosing level employed in existing animal studies (worst-case
scenario), AuNPs coated with branched polyethylenimine (BPEI) would likely induce
~90-100% cellular death, implying high cytotoxicity compared to <10% cell death
induced by low-to-medium animal dosing levels, which are commonly used in animal
studies. The estimated human equivalent doses associated with 5% cell death in
liver and kidney were around 1 and 3 mg/kg, respectively. Based on points of
departure reported in animal studies, the human equivalent dose estimates
associated with gene expression changes and tissue cell apoptosis in liver were
0.005 and 0.5 mg/kg, respectively. Our analyzes provide insights into safety
evaluation, risk prediction, and point of departure estimation of AuNP exposure
for humans and illustrate an approach that could be applied to other NPs when
sufficient data are available.
PMID- 29658402
TI - Detoxification process of tolaasins, lipodepsipeptides, by Microbacterium sp. K3
5.
AB - Tolaasins are antimicrobial lipodepsipeptides. Here, we report the tolaasins
detoxifying properties of Microbacterium sp. K3-5 (K3-5). The detoxification of
tolaasins by K3-5 was performed by hydrolyzation of cyclic structure of tolaasins
depending on the tolaasin-K3-5 cell interaction. Our data suggest that the cyclic
structure of tolaasins is critical for its interaction to target cells.
PMID- 29658403
TI - Endobronchial stenting on VV-ECMO in a 6-month-old girl with right lung agenesis
and severe stenosis of the left main bronchus.
AB - INTRODUCTION: Lung agenesis is a rare disorder with a variable, but potentially
very bad clinical course. It necessitates complex clinical management, especially
in life-threatening situations. CASE REPORT: We describe a case of a 6-month-old
girl with right lung agenesis who required venovenous extracorporeal membrane
oxygenation (VV-ECMO) due to pneumonia complicated by exacerbated previously
diagnosed left main bronchus stenosis. The stenosis was resolved by endobronchial
intervention and X-ray-guided stent insertion, which enabled weaning from ECMO
and was aimed at preventing such a life-threatening respiratory failure in the
future. Unfortunately, even with the functional stent, the baby died 2 months
post-procedure due to unresolvable bronchial spasms. DISCUSSION: Despite high
endobronchial stenting-related mortality in children, in cases where no effective
pharmacological or surgical alternatives exist, stenting may be safely performed
during VV-ECMO support and be a viable option to overcome critical respiratory
failure caused by bronchial stenosis.
PMID- 29658404
TI - Inhibition of miR-21-5p suppresses high glucose-induced proliferation and
angiogenesis of human retinal microvascular endothelial cells by the regulation
of AKT and ERK pathways via maspin.
AB - The aim of the present study is to investigate the role of miR-21-5p in
angiogenesis of human retinal microvascular endothelial cells (HRMECs). HRMECs
were incubated with 5 mM glucose, 30 mM glucose or 30 mM mannitol for 24 h, 48 h
or 72 h. Then, HRMECs exposed to 30 mM glucose were transfected with miR-21-5p
inhibitor. We found that high glucose increased the expression of miR-21-5p,
VEGF, VEGFR2 and cell proliferation activity. Inhibition of miR-21-5p reduced
high glucose-induced proliferation, migration, tube formation of HRMECs, and
reversed the decreased expression of maspin as well as the abnormal activation of
PI3K/AKT and ERK pathways. Down-regulation of maspin by siRNA significantly
increased the activities of PI3K/AKT and ERK pathways. In conclusion, inhibition
of miR-21-5p could suppress high glucose-induced proliferation and angiogenesis
of HRMECs, and these effects may partly dependent on the regulation of PI3K/AKT
and ERK pathways via its target protein maspin.
PMID- 29658405
TI - Real-time intracochlear imaging of automated cochlear implant insertions in whole
decalcified cadaver cochleas using ultrasound.
AB - OBJECTIVES: This study aimed to determine the feasibility of combining high
frequency ultrasound imaging, automated insertion, and force sensing to yield
more information about cochlear implant insertion dynamics. METHODS: An apparatus
was developed combining these aspects along with software to control implant and
imaging probe positions. Decalcified unfixed human cochleas were implanted at
various speeds, insertion sites, and implant models while imaging near the
implant tip throughout insertion and recording force data from the cochlea
mounting stage. Ultrasound video data were also captured. RESULTS: The basilar
membrane (BM) was frequently penetrated by the implant in either the mid-basal or
lower middle turn. Measurements were also performed of apical BM motion in
response to upstream implant movement at varying insertion speeds. Increasing
insertion speed resulted in greater BM displacement. DISCUSSION: Multiple
insertions per cochlea increase the volume of data per specimen while also
reducing variability due to differences between cochleas. However, to image
inside the cochlea with ultrasound, the bone had to be decalcified, which likely
had a significant effect upon the response of tissue to contact by the implant.
As calcified bone strongly reflects ultrasound, we also found ultrasound imaging
to be an excellent method for easily assessing bone decalcification progress.
CONCLUSION: This technique may be very useful for some studies, although the
confounding effects of bone decalcification may make results of other studies too
difficult to generalize. The approach could be adapted to other real-time imaging
modalities, such as optical coherence tomography.
PMID- 29658406
TI - Designing a neurofeedback device to quantify attention levels using coffee as a
reward system.
AB - PURPOSE: Work performance is closely related to one's attention level. In this
study, a brain-computer interface (BCI) device suitable for office usage was
chosen to quantify the individual's attention levels. METHODS: A BCI system was
adopted to interface brainwave signals to a coffee maker via three ascending
levels of laser detectors. The preliminary test with this prototype was to
characterize the attention level through the collected coffee amount. Here, the
preliminary testing was comparing the correlation between the attention level and
the participants' cumulative grade point average (CGPA) and scores from the 21
item depression, anxiety, and stress scale (DASS-21) and the attentional control
scale (ACS) using ordinal regression. It was assumed that a greater CGPA would
generate a greater attention level. RESULT: The generated coffee amount from the
BCI system had a significant positive correlation with the CGPA (p = 0.004), mild
depression (p = 0.019) and mild and extremely severe anxiety (p = 0.044 and p =
0.019, respectively) and a negative correlation with the ACS score (p = 0.042).
CONCLUSION: This simple and cost-effective prototype has the potential to enable
everyone to know their immediate attention level and predict the possible
correlation to their mental state.
PMID- 29658407
TI - C-mix: A high-dimensional mixture model for censored durations, with applications
to genetic data.
AB - We introduce a supervised learning mixture model for censored durations (C-mix)
to simultaneously detect subgroups of patients with different prognosis and order
them based on their risk. Our method is applicable in a high-dimensional setting,
i.e. with a large number of biomedical covariates. Indeed, we penalize the
negative log-likelihood by the Elastic-Net, which leads to a sparse
parameterization of the model and automatically pinpoints the relevant covariates
for the survival prediction. Inference is achieved using an efficient Quasi
Newton Expectation Maximization algorithm, for which we provide convergence
properties. The statistical performance of the method is examined on an extensive
Monte Carlo simulation study and finally illustrated on three publicly available
genetic cancer datasets with high-dimensional covariates. We show that our
approach outperforms the state-of-the-art survival models in this context, namely
both the CURE and Cox proportional hazards models penalized by the Elastic-Net,
in terms of C-index, AUC( t) and survival prediction. Thus, we propose a powerful
tool for personalized medicine in cancerology.
PMID- 29658408
TI - The compatibility of concurrent high intensity interval training and resistance
training for muscular strength and hypertrophy: a systematic review and meta
analysis.
AB - The purpose of this systematic review and meta-analysis is to assess the effect
of concurrent high intensity interval training (HIIT) and resistance training
(RT) on strength and hypertrophy. Five electronic databases were searched using
terms related to HIIT, RT, and concurrent training. Effect size (ES), calculated
as standardised differences in the means, were used to examine the effect of
concurrent HIIT and RT compared to RT alone on muscle strength and hypertrophy.
Sub-analyses were performed to assess region-specific strength and hypertrophy,
HIIT modality (cycling versus running), and inter-modal rest responses. Compared
to RT alone, concurrent HIIT and RT led to similar changes in muscle hypertrophy
and upper body strength. Concurrent HIIT and RT resulted in a lower increase in
lower body strength compared to RT alone (ES = -0.248, p = 0.049). Sub analyses
showed a trend for lower body strength to be negatively affected by cycling HIIT
(ES = -0.377, p = 0.074) and not running (ES = -0.176, p = 0.261). Data suggests
concurrent HIIT and RT does not negatively impact hypertrophy or upper body
strength, and that any possible negative effect on lower body strength may be
ameliorated by incorporating running based HIIT and longer inter-modal rest
periods.
PMID- 29658410
TI - Should developmental prosopagnosia, developmental body agnosia, and developmental
object agnosia be considered independent neurodevelopmental conditions?
PMID- 29658409
TI - The influence of marital intimacy on urinary and sexual symptom experience among
patients with prostate cancer: a cross-sectional study.
AB - BACKGROUND: Little is known about the influence of how patients with prostate
cancer perceive emotional support from their spouses on their treatment-related
symptoms. AIMS: To explore the influence of marital intimacy on urinary and
sexual symptoms. METHODS: The research participants were 42 men diagnosed with
prostate cancer recruited from a convenience sample from a university hospital in
South Korea. The Expanded Prostate Cancer Index Composite, the Korean Marital
Intimacy Scale, and the Hospital Anxiety and Depression Scale were used to
measure variables of interest. RESULTS: In the hierarchical multiple regression
analysis, higher marital intimacy was associated with more favorable symptom in
the urinary domain. In the sexual domain, none of the models were significant,
and no influence was found for marital intimacy. CONCLUSIONS: Marital intimacy,
measured as perceived emotional support from spouses, was found to positively
influence only the experience of urinary symptoms among South Korean men with
prostate cancer.
PMID- 29658411
TI - A possible neuronal account for the behavioural heterogeneity in congenital
prosopagnosia.
PMID- 29658412
TI - On defining and interpreting dissociations.
PMID- 29658413
TI - Objects and faces, faces and objects ....
PMID- 29658414
TI - Over time, the right results will emerge.
AB - Scientific research involves going beyond the well-trodden and well-tested ideas
and theories that form the core of scientific knowledge. During the time
scientists are working things out, some results will be right, and others will be
wrong. Over time, the right results will emerge. Lisa Randall (Frank B. Baird,
Jr. Professor of Science, Physics Department, Harvard University) We are grateful
to all the commentators for the important and thoughtful comments raised in
response to the Geskin and Behrmann (G & B) literature survey. The issues raised
in the introduction to this Special Issue and in these commentaries not only
address and challenge aspects of the G & B literature review, but contribute
perspectives and extensions that go well beyond the scope of the review. As is
evident from G & B and from the 13 commentaries, many aspects of congenital
prosopagnosia (CP) remain controversial. Adopting the language of the quote
above, the intention of the G & B survey, along with the commentaries and this
response, is to establish a collaborative process from which the right results
(and right theory) will emerge in time. We are grateful to the editor of this
Special Issue, Dr. Brad Mahon, for his support and for facilitating this
collaborative exchange in Cognitive Neuropsychology.
PMID- 29658415
TI - What do associations and dissociations between face and object recognition
abilities tell us about the domain-generality of face processing?
PMID- 29658416
TI - On the use of cognitive neuropsychological methods in developmental disorders.
PMID- 29658417
TI - The face specificity of lifelong prosopagnosia.
PMID- 29658418
TI - Association vs dissociation and setting appropriate criteria for object agnosia.
PMID- 29658419
TI - Decoupling category level and perceptual similarity in congenital prosopagnosia.
PMID- 29658420
TI - Face specificity of developmental prosopagnosia, moving beyond the debate on face
specificity.
PMID- 29658421
TI - The power of how-lessons learned from neuropsychology and face processing.
PMID- 29658423
TI - Prosopdysgnosia? What could it tell us about the neural organization of face and
object recognition?
PMID- 29658422
TI - Congenital prosopagnosia: Deficit diagnosis and beyond.
PMID- 29658424
TI - Commonly associated face and object recognition impairments have implications for
the cognitive architecture.
PMID- 29658425
TI - Work and Female Breast Cancer: The State of the Evidence, 2002-2017.
AB - The authors undertook a scoping review to assess the literature from 2002 to 2017
on the relationship between occupation and female breast cancer. Case-control,
cohort, and meta-analytic studies suggest that women working as flight
attendants, in medical professions, some production positions, sales and retail,
and scientific technical staff are likely to have elevated risk of breast cancer.
In addition, occupational exposures to night-shift work, ionizing radiation, some
chemicals, job stress, and sedentary work may increase risk of breast cancer.
Occupational physical activity appears to decrease risk. Workplace exposures to
passive smoke and occupational exposure to nonionizing radiation do not appear to
affect breast cancer risk. Some studies of occupational categories and workplace
exposures indicate that risk may be modified by duration of exposure, timing of
exposure, dose, hormone-receptor subtypes, and menopausal status at diagnosis.
The compelling data from this review reveal a substantial need for further
research on occupation and breast cancer.
PMID- 29658427
TI - Acknowledgments to Translators of State of the Evidence Review articles.
PMID- 29658426
TI - Work and Breast Cancer: Recommendations to Address Research Needs.
AB - A review of case-control, cohort, and meta-analytic studies on breast cancer,
occupation, and work-related exposures from 2002 to 2017 revealed significant
methodological limitations in the current literature. As part of our review, we
tabulated the demographic and life history data, breast cancer risk factors,
occupational history, and exposure estimates collected and analyzed in each
study. Opportunities exist for future research to explore occupation and breast
cancer more rigorously and with greater nuance by gathering specific data on age
at diagnosis, menopausal status, tumor characteristics, demographics, breast
cancer risk factors, and occupational histories, work roles and settings, and
exposures. Inclusion of workers in the planning and implementation of research on
their occupational risks and exposures is one effective way to refine research
questions and ensure research is relevant to workers' needs and concerns.
PMID- 29658428
TI - Caregivers' Perspectives of Quality of Life of People With Young- and Late-Onset
Alzheimer Disease.
AB - BACKGROUND: Quality of life (QoL) is a growing area of interest in dementia
research. This study aims to investigate the caregivers' perspective about the
QoL of people with young-onset Alzheimer disease (YOAD) and late-onset Alzheimer
disease (LOAD). We also aim to investigate factors that might be associated to
caregivers' perspective in YOAD and LOAD. METHODS: We included 110 people with
Alzheimer disease (PwAD; 53 YOAD) and their primary caregivers. The PwAD
completed assessments about their QoL and cognition. The caregivers provided
information about the PwAD (demographics, QoL, ability to perform activities of
daily living, mood, and dementia severity) and had burden of care assessed.
RESULTS: We did not find a difference in caregivers' perspectives of PwAD QoL
according to the age at onset. However, the linear regression analysis indicated
that caregivers' burden ( P < .01) and PwAD depressive symptoms ( P < .05) were
significantly related to the caregivers' perspective of YOAD QoL. Caregivers'
burden ( P < .01), years of education ( P < .05), and self-reported QoL ( P <
.01) were significantly related to the caregivers' perspective of LOAD QoL.
CONCLUSIONS: The factors that drive the perceptions of caregivers of PwAD QoL may
vary according to the age at onset. The study provides basic information on
caregivers' perspectives of PwAD QoL to create more effective interventions
according to the age at onset.
PMID- 29658429
TI - Color Vision Impairment Differentiates Alzheimer Dementia From Dementia With Lewy
Bodies.
AB - OBJECTIVE: Dementia with Lewy bodies (DLB) is frequently misdiagnosed for
Alzheimer dementia (AD), especially in its earlier stages. We characterized color
vision impairment (CVI) in patients with DLB versus patients with AD to determine
its usefulness in improving accuracy of early diagnosis. METHODS: We
retrospectively reviewed charts of patients with AD, DLB, and patients with mild
cognitive impairment suspected to be in the prodromal phase of DLB (pro-DLB) or
prodromal phase of AD (pro-AD). All patients underwent an online 15-hue color
vision arrangement test. RESULTS: Fifty-two patients were included in this study
with a median age of 77 years, of which 44% were female. No significant
differences in gender, age, or Montreal Cognitive Assessment existed among
patients with AD (n = 15), pro-AD (n = 5), pro-DLB (n = 8), and DLB (n = 24). Of
the 52 patients, 4 (2 AD, 1 DLB, and 1 pro-AD) had CVI history from a young age
and were excluded from final analyses. New-onset CVI prevalence differed
significantly based on diagnosis: patients with pro-AD (20%), patients with AD
(15%), patients with pro-DLB (38%), and patients with DLB (78%, P < .001). In a
stepwise multivariate logistic regression analysis to determine factors
associated with CVI, "diagnosis type" as a binary variable (DLB or pro-DLB vs AD
or pro-AD) was the only variable retained in the model (odds ratio = 9.8 [95% CI:
2.3-42.1], P < .001). CONCLUSIONS: Color vision impairment in patients with DLB
showed a prevalence similar to the core features of DLB (~80%) and can be
supportive to a diagnosis of DLB versus AD. Pending prospective confirmation of
our findings, simple online color vision testing could be incorporated into
multivariate diagnostic tools to possibly improve accuracy of early diagnosis of
DLB.
PMID- 29658431
TI - In silico identification and screening of CYP24A1 inhibitors: 3D QSAR
pharmacophore mapping and molecular dynamics analysis.
AB - Vitamin D is a key signalling molecule that plays a vital role in the regulation
of calcium phosphate homeostasis and bone remodelling. The circulating
biologically active form of vitamin D is regulated by the catabolic mechanism of
cytochrome P450 24-hydroxylase (CYP24A1) enzyme. The over-expression of CYP24A1
negatively regulates the vitamin D level, which is the causative agent of chronic
kidney disease, osteoporosis and several types of cancers. In this study, we
found three potential lead molecules adverse to CYP24A1 through structure-based,
atom-based pharmacophore and e-pharmacophore-based screening methods. Analysis
was done by bioinformatics methods and tools like binding affinity (binding free
energy), chemical reactivity (DFT studies) and molecular dynamics simulation
(protein-ligand stability). Combined computational investigation showed that the
compounds NCI_95001, NCI_382818 and UNPD_141613 may have inhibitory effects
against the CYP24A1 protein.
PMID- 29658430
TI - Adjuvant Pembrolizumab versus Placebo in Resected Stage III Melanoma.
AB - BACKGROUND: The programmed death 1 (PD-1) inhibitor pembrolizumab has been found
to prolong progression-free and overall survival among patients with advanced
melanoma. We conducted a phase 3 double-blind trial to evaluate pembrolizumab as
adjuvant therapy in patients with resected, high-risk stage III melanoma.
METHODS: Patients with completely resected stage III melanoma were randomly
assigned (with stratification according to cancer stage and geographic region) to
receive 200 mg of pembrolizumab (514 patients) or placebo (505 patients)
intravenously every 3 weeks for a total of 18 doses (approximately 1 year) or
until disease recurrence or unacceptable toxic effects occurred. Recurrence-free
survival in the overall intention-to-treat population and in the subgroup of
patients with cancer that was positive for the PD-1 ligand (PD-L1) were the
primary end points. Safety was also evaluated. RESULTS: At a median follow-up of
15 months, pembrolizumab was associated with significantly longer recurrence-free
survival than placebo in the overall intention-to-treat population (1-year rate
of recurrence-free survival, 75.4% [95% confidence interval {CI}, 71.3 to 78.9]
vs. 61.0% [95% CI, 56.5 to 65.1]; hazard ratio for recurrence or death, 0.57;
98.4% CI, 0.43 to 0.74; P<0.001) and in the subgroup of 853 patients with PD-L1
positive tumors (1-year rate of recurrence-free survival, 77.1% [95% CI, 72.7 to
80.9] in the pembrolizumab group and 62.6% [95% CI, 57.7 to 67.0] in the placebo
group; hazard ratio, 0.54; 95% CI, 0.42 to 0.69; P<0.001). Adverse events of
grades 3 to 5 that were related to the trial regimen were reported in 14.7% of
the patients in the pembrolizumab group and in 3.4% of patients in the placebo
group. There was one treatment-related death due to myositis in the pembrolizumab
group. CONCLUSIONS: As adjuvant therapy for high-risk stage III melanoma, 200 mg
of pembrolizumab administered every 3 weeks for up to 1 year resulted in
significantly longer recurrence-free survival than placebo, with no new toxic
effects identified. (Funded by Merck; ClinicalTrials.gov number, NCT02362594 ;
EudraCT number, 2014-004944-37 .).
PMID- 29658433
TI - Feasibility of Transabdominal Electrohysterography for Analysis of Uterine
Activity in Nonpregnant Women.
AB - PURPOSE: Uterine activity plays a key role in reproduction, and altered patterns
of uterine contractility have been associated with important physiopathological
conditions, such as subfertility, dysmenorrhea, and endometriosis. However, there
is currently no method to objectively quantify uterine contractility outside
pregnancy without interfering with the spontaneous contraction pattern.
Transabdominal electrohysterography has great potential as a clinical tool to
characterize noninvasively uterine activity, but results of this technique in
nonpregnant women are poorly documented. The purpose of this study is to
investigate the feasibility of transabdominal electrohysterography in nonpregnant
women. METHODS: Longitudinal measurements were performed on 22 healthy women in 4
representative phases of the menstrual cycle. Twelve electrohysterogram-based
indicators previously validated in pregnancy have been estimated and compared in
the 4 phases of the cycle. Using the Tukey honest significance test, significant
differences were defined for P values below .05. RESULTS: Half of the selected
electrohysterogram-based indicators showed significant differences between menses
and at least 1 of the other 3 phases, that is the luteal phase. CONCLUSION: Our
results suggest transabdominal electrohysterography to be feasible for analysis
of uterine activity in nonpregnant women. Due to the lack of a golden standard,
this feasibility study is indirectly validated based on physiological
observations. However, these promising results motivate further research aiming
at evaluating electrohysterography as a method to improve understanding and
management of dysfunctions (possibly) related to altered uterine contractility,
such as infertility, endometriosis, and dysmenorrhea.
PMID- 29658432
TI - Novel assay system for acidic Peptide:N-glycanase (aPNGase) activity in crude
plant extract.
AB - Acidic peptide:N-glycanase (aPNGase) plays a pivotal role in plant glycoprotein
turnover. For the construction of aPNGase-knockout or -overexpressing plants, a
new method to detect the activity in crude plant extracts is required because
endogenous peptidases present in the extract hamper enzyme assays using
fluorescence-labeled N-glycopeptides as a substrate. In this study, we developed
a new method for measuring aPNGase activity in crude extracts from plant
materials.
PMID- 29658434
TI - Bone Marrow-Derived Cells Trafficking to the Oviduct: Effect of Ischemia
Reperfusion Injury.
AB - The oviduct/fallopian tube is a crucial organ in the mammalian reproductive
tract; it plays a critical role in gamete transportation and early embryo
development. In women, torsion of the fallopian tubes can cause ischemia and
reperfusion (IR) injury. In this study, we tested the effect of this injury on
recruitment of bone marrow-derived cells (BMDCs) to the oviducts of reproductive
age female mice. Bone marrow-derived cells were collected from ubiquitin-green
fluorescent protein-positive male mice and transplanted into wild-type female
mice. Ischemia and reperfusion injury was performed in half of the mice, while
controls received equivalent surgery without oviduct injury. Two weeks following
injury, recruitment of BMDCs to the oviducts was analyzed in both groups.
Ischemia and reperfusion injury caused a greater than 2-fold increase in BMDC
recruitment to the injured oviducts compared to those without injury.
Specifically, the recruitment of BMDCs was localized to the stroma of the
oviduct. We demonstrate that IR injury to oviduct recruits BMDCs to this tissue
and suggest that BMDCs have function in the healing process.
PMID- 29658435
TI - Combined Immunotherapy (OK-432, IL-2) With Chemotherapy Decrease the Recurrence
Rate in Advanced Ovarian Cancer.
AB - OBJECTIVE: In advanced ovarian cancer, traditional therapy included debulking
surgery and postoperative chemotherapy. We proposed immunochemotherapy (IMCT)
combined with picibanil (OK-432), interleukin-2 (IL-2), and traditional platinum-
and taxol-based chemotherapy as a better treatment option for advanced ovarian
cancer. METHODS: We retrospectively reviewed the medical records of 51 patients
with advanced ovarian cancer between 2007 and 2015 at Chang Gung Memorial
Hospital Linkou Medical Center, including 26 patients who were treated with OK
432, IL-2, and platinum- and taxol-based chemotherapy (IMCT group) after
debulking surgery; another 25 were treated with traditional platinum- and taxol
based chemotherapy (traditional chemotherapy group) after debulking surgery. We
analyzed the difference in age, follow-up period, recurrence rate, and diagnosis
to-recurrence period between the 2 groups. We also analyzed the difference in
complete blood cell counts, differentiating counts, and cancer antigen 125 (CA
125) at 1 month after treatment. RESULTS: The recurrence rate between the IMCT
and traditional chemotherapy groups showed a significant difference (53.8% vs
88%; P = .0128). The diagnosis-to-recurrence duration was longer in the IMCT than
in the traditional chemotherapy groups (33.21 vs 25.63 months), although no
statistical significance was found ( P = .4668). In laboratory analysis at 1
month after treatment, the white blood cell, absolute neutrophil, and absolute
lymphocyte counts (ALCs) were significantly higher in the IMCT group. On the
other hand, CA-125 was significantly lower, and ALC was significantly higher in
the nonrecurrence group. CONCLUSIONS: Combined IMCT and chemotherapy have lower
recurrence rate compared to traditional chemotherapy after debulking surgery for
the treatment of advanced ovarian cancer.
PMID- 29658436
TI - Thrombin Alters Human Endometrial Stromal Cell Differentiation During
Decidualization.
AB - Vaginal bleeding and subchorionic hematomas are associated with increased risk of
both early and late pregnancy loss. Thrombin generation may play a pivotal role
in the development of these complications. To determine the effects of thrombin
on human endometrial stromal cells (hESCs), cells were treated with thrombin at
baseline or during decidualization with cyclic adenosine monophosphate
(cAMP)+medroxyprogesterone acetate (MPA). Next-generation RNA sequencing revealed
that markers of decidualization (IGF-1, IGFBP-1, and prolactin [PRL]) were
induced after the initiation of decidualization, whereas thrombin suppressed
insulin-like growth factor ( IGF)-1, Insulin-like growth factor binding protein (
IGFBP)-1, and PRL gene expression at baseline and during decidualization. These
effects were mediated through protease activated receptor (PAR)-1- and PAR-1
independent pathways. Thrombin decreased the secretion of a key marker of
decidualization (PRL), altered the morphological transformation of decidualizing
hESCs, and activated genes involved in matrix degradation and proinflammatory
chemokines ( Interleukin-8 and Interleukin-6). Genes encoding factors important
for matrix stability ( Col1alpha1, LOX) were suppressed. We suggest that
intrauterine bleeding and generation of thrombin accentuates leukocyte
extravasation and endometrial inflammation, impairs decidualization, and
endometrial support of early pregnancy.
PMID- 29658437
TI - Decreased Expression of HOXA10 May Activate the Autophagic Process in Ovarian
Endometriosis.
AB - Autophagy is a survival process that maintains homeostasis in all eukaryotic
cells. Recent studies show an abnormal autophagic activity in endometriosis, but
the role of autophagy is controversial. Homeobox A10 (HOXA10) is a transcription
factor necessary for embryonic and adult uterine development, and studies
indicate that its expression decreases in endometriosis. Homeobox A10 may
negatively regulate autophagy in endometriosis. To test this hypothesis, we
measured the expression levels of autophagic biomarkers (beclin-1 and LC3-II) and
HOXA10 proteins by Western blotting and messenger RNA (mRNA) by quantitative real
time polymerase chain reaction. Furthermore, we evaluated the serum cancer
antigen 125 (CA125) levels by immunoassay. Most tested autophagic biomarker
proteins and mRNAs were upregulated, whereas HOXA10 protein and mRNA were
decreased in ovarian endometriomas compared with eutopic endometria of women with
endometriosis and normal endometria. Compared with normal endometrium, only
protein expression levels of autophagic biomarkers were increased in the eutopic
endometrium of women with endometriosis. Moreover, HOXA10 was found to have a
significant negative correlation with autophagy ( P < .01). Serum CA125 was at a
high level in endometriosis and increased with elevated revised American
Fertility Society staging (I-IV). There was a significant positive correlation
between serum CA125 level and LC3-II protein level and/or LC3-II/LC3-I ratio ( P
< .01) and a significant negative correlation between serum CA125 level and
HOXA10 gene level ( P < .01). In conclusion, our studies support that the
deficiency of HOXA10 may induce autophagy in endometriosis, and the relationship
among CA125, autophagy, and HOXA10 in endometriosis requires additional research.
PMID- 29658438
TI - A Systematic Review of First Trimester Biochemical and Molecular Predictive Tests
for Preeclampsia.
AB - BACKGROUND: Preeclampsia is a multisystem disorder affecting 5%-8% of pregnant
women. Considering the ongoing debate over the predicting value of some
commercial first trimester tests, the aim of this study was to compare the
existing first-trimester screening tests for preeclampsia. METHODS: In this
systematic review, relevant articles published during 2000-2017 were extracted
from PubMed, Science Direct, Scopus, Cochrane Library, ISI Web of Science, and
ProQuest databases. After a thorough evaluation of the 412 potentially eligible
papers, only 28 papers were selected based on the inclusion criteria. RESULTS:
From a total of 412 retrieved studies, 28 papers were found eligible. Most
studies had casecontrol or nested case-control designs. A total of 15164 pregnant
women were evaluated in the reviewed studies. Various tests were applied in the
first trimester of pregnancy to predict the development of preeclampsia. The most
commonly used biomarkers were uterine artery pulsatility index, pregnancy
associated plasma protein A (PAPP-A), adiponectin, human chorionic gonadotropin
(hCG) hormone and inhibin-A. Other tests were used in only one or two studies.
CONCLUSION: Based on this review, a combination of markers should be evaluated
for the identification of high-risk women. Novel methods measuring multiple
markers will hopefully facilitate the development of clinically effective
screening programs in the future.
PMID- 29658439
TI - Hypertension and Aortic Stenosis: A Review.
AB - BACKGROUND: Aortic stenosis is a common form of valvular heart disease which
often coexists with hypertension. These diseases represent two distinct forms of
left ventricular systolic overload and in combination may be associated with
disadvantageous left ventricular remodeling, increased mortality and heart
failure. The treatment of hypertension in aortic stenosis remains a challenging
problem with limited data to guide clinical practice. METHODS: We performed a
comprehensive online literature review to find relevant published literature on
the topic of hypertension and aortic stenosis. RESULTS: In the present
manuscript, we review the pathophysiology of aortic stenosis in combination with
hypertension and the effects on the left ventricle. We also provide general
recommendations for medical therapy for treatment of hypertension in aortic
stenosis and review the available data on specific antihypertensive agents in
this context. CONCLUSIONS: Aortic stenosis and hypertension commonly coexist and
significantly impact the diagnosis and classification of aortic stenosis severity
and symptoms. Treatment of hypertension among patients with severe aortic
stenosis can be challenging. Among specific antihypertensive agents studied in
this context, ACE-inhibitors are the most well studied.
PMID- 29658440
TI - Effects of Transcutaneous Electrical Nerve Stimulation in Autonomic Nervous
System of Hypertensive Patients: A Randomized Controlled Trial.
AB - BACKGROUND: Patients with hypertension have altered autonomic nervous system
function, which are increased sympathetic activity. Transcutaneous Electrical
Nerve Stimulation (TENS) is a useful modality for pain control and has also been
shown to be effective in the reduction of sympathetic activity in healthy
subjects and individuals with cardiovascular diseases. OBJECTIVE: The aim of this
study was to verify the effects of transcutaneous electrical nerve stimulation by
the evaluation of heart rate variability (HRV) in patients with essential
hypertension. METHOD: Twenty-eight patients received an application of low
frequency TENS(4 Hz) n=8, highfrequency TENS (100 Hz) n=10 or placebo TENS n=10
in paravertebral ganglionar region during thirty minutes. RESULTS: After 4 Hz
TENS, there was a decrease in the low-frequency (LFn.u.) component (57.71+/-9.46
vs 45.58+/-13.51, p<0.026) and an increase in the high-frequency (HFn.u.)
component (33.03+/-13.83 vs 45.83+/-20.19, p <0.05) of HRV. After 100 Hz TENS and
placebo, there were no changes in the LF and HF components. No significant
differences were found in systolic blood pressure with low-frequency TENS
(129.37+/- 15.48 vs 126.69 +/- 15.21, p<0.490). There was an increase, although
not significant, with high-frequency TENS (131.00 +/- 15.97 vs 138.75 +/- 25.79,
p<0.121) and placebo (133.80 +/- 29.85 vs 134.80 +/- 29.72, p< 0.800). No
differences were found in the diastolic blood pressure with low-frequency TENS
and placebo, but there was a significant increase in high-frequency TENS (81.00
+/- 11.78 vs 85.65 +/- 13.68, p< 0.018). CONCLUSION: Low-frequency TENS decreases
sympathetic nervous system activity and increases parasympathetic nervous system
activity and high-frequency TENS increases diastolic blood pressure, when applied
on the paravertebral ganglionar region in the hypertensive patients.
PMID- 29658441
TI - Efficacy of Transcranial Direct Current Stimulation Combined with Cognitive
Training in the Treatment of Apathy in Patients with Alzheimer's Disease: Study
Protocol for a Randomized Trial.
AB - BACKGROUND: Apathy, commonly defined as the loss of motivation, is a symptom
frequently encountered in Alzheimer's Disease (AD). The treatment of apathy
remains challenging in the absence of any truly effective medications.
Transcranial Magnetic Stimulation (rTMS) or Transcranial Direct Current
Stimulation (tDCS) can improve cognitive disorders, but do not appear to improve
apathy. Isolated cognitive training also appears to have no effect on apathy. We
propose to test the efficacy of a new procedure for the treatment of apathy in AD
patients consisting of a combination of tDCS and cognitive training, based on the
latest guidelines for the design of therapeutic trials in this field.
METHODS/DESIGN: This article primarily describes the design of a monocentre,
randomized, doubleblind trial to be conducted in France to evaluate the effect of
the combination of tDCS and cognitive training on apathy compared to a group
treated exclusively by cognitive training (sham tDCS). Twenty- four patients
under the age of 90 years with mild-to-moderate Alzheimer's disease (Mini Mental
State Examination score between 15 and 26/30) (MMSE)) presenting clinically
significant apathy evaluated by the Apathy Inventory (AI) and the
NeuroPsychiatric Inventory (NPI) apathy subscore will be enrolled. Severe
depression will be excluded by using the NPI depression subscore. Treatment will
comprise 10 sessions (D0-D11) including tDCS (bilateral prefrontal, temporal and
parietal targets) and Cognitive Training (Cog) (6 simple tasks involving working
memory, language and visuospatial function). After randomization (ratio 2:1), 16
patients will receive the complete treatment comprising tDCS and Cog (group 1)
and 8 patients will be treated exclusively by Cog (sham tDCS) (group 2). The
primary endpoint will be a significant improvement of the AI score by comparing
baseline measures (D-15) to those recorded one month after stopping treatment
(D44). Secondary endpoints will be an improvement of this score immediately after
treatment (D14), 2 weeks (D29) and 2 months (D74) after stopping treatment and
improvement of the MMSE score, NPI apathy subscore, ADAS Cog (Alzheimer Disease
Assessment cognitive Scale subsection), ADCS-ADL (Alzheimer Disease Cooperative
Study-Activities of Daily Living), FAB (Frontal Assessment Battery) and the
latency of P300 evoked potentials at the same timepoints. CONCLUSION: The purpose
of our study is to check the assumption of tDCS and cognitive training efficacy
in the treatment of apathy encountered in AD patients and we will discuss its
effect over time.
PMID- 29658442
TI - Evaluation of In Silico Anti-parkinson Potential of beta-asarone.
AB - INTRODUCTION: Parkinson's disease is affecting millions of people worldwide. The
prevalence of Parkinson's disease is 0.3% globally, rising to 1% in more than 60
years of age and 4% in more than 80 years of age and the figures are thought to
be doubled by 2030. Thus, there is a great need to identify novel therapeutic
strategies or candidate drug molecule which can rescue neuronal degeneration.
beta -asarone has the potential to act as a neuroprotective agent but regarding
its role in Parkinson's disease, very few reports are available. Thus, this study
was undertaken to unlock the potential of beta-asarone against Parkinson's
disease. MATERIAL AND METHODS: The Absorption, Distribution, Metabolism, and
Excretion (ADME) analysis has been done by using Swiss ADME Predictor. The
interactions of beta-asarone with dopaminergic receptors were investigated by
Glide Program 5.0. The crystal structures of dopamine receptors were retrieved
from Research Collaboratory for Structural Bioinformatics- Protein Data Bank
(RCSB-PDB). The structure of beta-asarone was drawn in Chem Draw Ultra 7.0.1.
Finally, the toxicity of beta-asarone has been predicted by using online web
servers like Lazar and Protox. RESULTS AND DISCUSSION: The ADME data of current
investigation has shown good oral bioavailability of beta-asarone. It also showed
a good binding affinity towards dopaminergic receptors. Further, it was found to
be interacting through hydrogen bond with different amino acid residues of D2 and
D3 receptors. However, beta-asarone was predicted to be toxic in various species
of rodents, as per the results of toxicity online web servers. CONCLUSION: Based
on the current finding from ADME and docking studies, these preliminary results
may act as effective precursor tool for the development of beta-asarone as a
promising anti-Parkinson agent. However, furthermore experimental validation
using in-vitro & in-vivo studies is needed to explore their therapeutic andtoxic
effects.
PMID- 29658443
TI - Evaluation of the Effect of Uremic Serum on Hepatic Reductase Functional
Expression.
AB - BACKGROUND: The nonrenal clearance of drugs mediated by hepatic reduction is
selectively altered by kidney disease. This study evaluated the influence of
uremic serum on the expression and activity of reductase enzymes. METHODS: Human
hepatocellular carcinoma cells (HepG2) were incubated with 5% pooled serum
collected from patients with hemodialysis (pre- and post-dialysis session) or
control subjects. The mRNA expression of various aldo-keto (AKR1C) and carbonyl
(CBR) reductases were measured. Reductase metabolic activity was assessed in
human liver cytosol or HepG2 cells using naltrexone as a substrate. RESULTS:
Incubation of cells with pre-dialysis serum resulted in significant upregulation
of AKR1C4 (by 63.2%) and CBR1 (by 34.6%) versus control serum. This increase was
not observed for AKR1C1 and CBR1 with serum collected post-dialysis. While uremic
serum had no effect on reductase activity, some instances with differences in
metabolite formation among individual's pre- and post-dialysis samples were
observed. CONCLUSION: Although uremic serum can upregulate mRNA expression of
several reductases, this effect was not observed at the activity level. Future
studies are necessary to improve our understanding of the mechanistic effects of
impaired kidney function on drug reduction.
PMID- 29658444
TI - Development of 225Ac Radiopharmaceuticals: TRIUMF Perspectives and Experiences.
AB - BACKGROUND: The development of radiopharmaceuticals containing 225Ac for targeted
alpha therapy is an active area of academic and commercial research worldwide.
OBJECTIVES: Despite promising results from recent clinical trials, 225Ac
radiopharmaceutical development still faces significant challenges that must be
overcome to realize the widespread clinical use of 225Ac. Some of these
challenges include the limited availability of the isotope, the challenging
chemistry required to isolate 225Ac from any co-produced isotopes, and the need
for stable targeting systems with high radiolabeling yields. RESULTS: Here we
provide a review of available literature pertaining to these challenges in the
225Acradiopharmaceutical field and also provide insight into how performed and
planned efforts at TRIUMF - Canada's particle accelerator centre - aim to address
these issues.
PMID- 29658446
TI - Colorectal cancers survivors' adherence to lifestyle recommendations and cross
sectional associations with health-related quality of life.
AB - The lifestyle recommendations of the World Cancer Research Fund (WCRF)/American
Institute for Cancer Research (AICR) are primarily intended for cancer
prevention. In the absence of specific recommendations for cancer survivors, we
investigated adherence of colorectal cancer (CRC) survivors to the WCRF/AICR
lifestyle recommendations and associations with health-related quality of life
(HRQoL). The cross-sectional part of the Energy for life after ColoRectal cancer
(EnCoRe) study was conducted in 155 CRC survivors (stage I-III), 2-10 years post
diagnosis. Dietary intake, physical activity and general body fatness were
measured by 7-d food diaries, by questionnaires and accelerometers and BMI,
respectively. Adherence to each of the ten WCRF/AICR recommendations was scored
as 0 (no/low adherence), 0.5 (moderate adherence) or 1 point (complete
adherence), and summed into an overall adherence score (range: 0-10). HRQoL,
disability and distress were assessed by validated questionnaires. Associations
of the overall WCRF/AICR adherence score with HRQoL outcomes were analysed by
confounder-adjusted linear regression. The mean adherence score was 5.1 (sd 1.4,
range: 1.5-8.5). In confounder-adjusted models, a higher adherence score was
significantly associated with the HRQoL dimension better physical functioning
(beta per 1 point difference in score: 2.6; 95 % CI 0.2, 5.1) and with less
fatigue (beta: -3.3; 95 % CI -6.4, -0.1). In conclusion, higher adherence of CRC
survivors to WCRF/AICR lifestyle recommendations for cancer prevention was
associated with better physical functioning and with less fatigue. This study
adds to the limited knowledge on adherence to lifestyle behaviours in CRC
survivors and relationships with quality of life. Prospective studies are needed
to investigate longitudinal associations.
PMID- 29658445
TI - Improved Detection of Circulating miRNAs in Serum and Plasma Following Rapid
Heat/Freeze Cycling.
AB - BACKGROUND: The measurement of circulating miRNAs has proven to be a powerful
biomarker tool for several disease processes. Current protocols for the detection
of miRNAs usually involve an RNA extraction step, requiring a substantial volume
of patient serum or plasma to obtain sufficient input material. OBJECTIVE: Here,
we describe a novel methodology that allows detection of a large number of miRNAs
from a small volume of serum or plasma without the need for RNA extraction.
METHODS: Three MUl of serum or plasma was subjected to three cycles of high and
low temperatures (heat/freeze cycles) followed by miRNA arrays. RESULTS: Our
results indicate that miRNA detection following this process is highly
reproducible when comparing multiple samples from the same subject. Moreover,
this protocol increases the reproducibility of miRNA detection in samples that
were previously subjected to multiple freeze-thaw cycles. Importantly, the
detection of miRNAs from serum vs. plasma following heat/freeze cycling are
highly comparable, indicating that this heat/freeze process effectively
eliminates differences in detection between serum and plasma samples that have
been reported using other sample preparation methodologies. CONCLUSION: We
propose that this method is a potent alternative to current RNA extraction
protocols, substantially reducing the amount of sample necessary for miRNA
detection while simultaneously improving miRNA detection and reproducibility.
PMID- 29658447
TI - [Treatment and prognosis of pulmonary hemorrhage in preterm infants during 2007
2016].
AB - OBJECTIVE: To study the treatment and prognosis of pulmonary hemorrhage in
preterm infants. METHODS: A total of 106 preterm infants diagnosed with pulmonary
hemorrhage, who were hospitalized in the neonatal ward of Peking University Third
Hospital between 2007 and 2016, were enrolled. These patients were divided into
2007-2011 group (34 cases) and 2012-2016 group (72 cases) according to the time
of hospitalization, divided into conventional-frequency ventilation group (43
cases) and high-frequency oscillatory ventilation (HFOV) group (63 cases)
according to the respiratory support method used after the development of
pulmonary hemorrhage, and divided into non-operation group (34 cases) and
operation group (14 cases) according to whether PDA ligation was performed for
the unclosed PDA before pulmonary hemorrhage. The general data, treatment, and
prognosis were compared between different groups. RESULTS: Compared with the 2007
2011 group, the 2012-2016 group had higher rates of HFOV and PDA ligation
(P<0.05), a lower mortality rate during hospitalization (P<0.05), a longer length
of hospital stay (P<0.05), and higher incidence rates of intracranial hemorrhage
and bronchopulmonary dysplasia (P<0.05). Compared with the conventional-frequency
ventilation group, the HFOV group had a lower mortality rate during
hospitalization (P<0.05), a longer length of hospital stay (P<0.05), and higher
incidence rates of intracranial hemorrhage and bronchopulmonary dysplasia
(P<0.05). Compared with the non-operation group, the operation group had a lower
mortality rate during hospitalization (P<0.05), a longer length of hospital stay
(P<0.05), and higher incidence rates of intracranial hemorrhage and
bronchopulmonary dysplasia (P<0.05). CONCLUSIONS: The application of HFOV and PDA
ligation can improve the survival rate of preterm infants with pulmonary
hemorrhage, but the incidence of intracranial hemorrhage and bronchopulmonary
dysplasia is also increased.
PMID- 29658448
TI - [Clinical features and prognosis of preterm infants with varying degrees of
bronchopulmonary dysplasia].
AB - OBJECTIVE: To study the clinical features and prognosis of preterm infants with
varying degrees of bronchopulmonary dysplasia (BPD). METHODS: The clinical data
of 144 preterm infants with a gestational age of <32 weeks who were admitted to
the neonatal intensive care unit from March 2014 to March 2016 and were diagnosed
with BPD were collected. According to the severity of BPD, these preterm infants
were divided into mild group with 81 infants and moderate/severe group with 63
infants. The two groups were compared in terms of perinatal risk factors,
treatment, comorbidities, complications, and prognosis of the respiratory system.
RESULTS: Compared with the mild BPD group, the moderate/severe BPD group had a
significantly higher gestational age and rate of small-for-gestational-age (SGA)
infants (P<0.05), as well as a significantly higher rate of severe preeclampsia
and a significantly lower rate of threatened preterm labor (P<0.05). Compared
with the mild BPD group, the moderate/severe BPD group had a significantly higher
percentage of infants who needed mechanical ventilation at 2 weeks after birth,
longer duration of mechanical ventilation, total time of oxygen therapy, and
length of hospital stay, and higher incidence rates of pneumonia and cholestasis
(P<0.05), as well as a significantly lower application rate of caffeine citrate
(P<0.05). The multivariate logistic regression analysis showed that SGA birth
(OR=5.974, P<0.05), pneumonia (OR=2.590, P<0.05), and mechanical ventilation
required at 2 weeks after birth (OR=4.632, P<0.05) were risk factors for
increased severity of BPD. The pulmonary function test performed at the corrected
gestational age of 40 weeks showed that compared with the mild BPD group, the
moderate/severe BPD group had significantly lower ratio of time to peak tidal
expiratory flow to total expiratory time, ratio of volume to peak tidal
expiratory flow to total expiratory volume, and tidal expiratory flow at 25%
remaining expiration (P<0.05). The infants were followed up to the corrected
gestational age of 1 year, and the moderate/severe BPD group had significantly
higher incidence rates of recurrent hospital admission for pneumonia and
recurrent wheezing (P<0.05). CONCLUSIONS: SGA birth, pneumonia, and prolonged
mechanical ventilation are associated with increased severity of BPD. Infants
with moderate or severe BPD have poor pulmonary function and may experience
recurrent infection and wheezing.
PMID- 29658449
TI - [Intrauterine growth status of twin neonates with a gestational age of 27-40
weeks].
AB - OBJECTIVE: To establish the intrauterine growth curve of twin neonates, and to
investigate the intrauterine growth status of twin neonates. METHODS: Cross
sectional cluster sampling was performed for an on-the-spot investigation of 1
296 live twin neonates who were born in two hospitals in Shenzhen between April
2013 and September 2015. The Lambda-Mu-Sigma method was used for the curve
fitting of body weight, body length, head circumference, chest circumference, and
crown-rump length. RESULTS: The means and 3rd-97th percentile intrauterine growth
curves for body weight, body length, head circumference, chest circumference, and
crown-rump length were obtained for the 1 296 twin neonates with a gestational
age of 27-40 weeks. The curve values of the 1 296 twin neonates for body weight,
body length, head circumference, chest circumference, and crown-rump length were
all lower than those of singleton neonates in Shenzhen that had been reported,
and the difference increased with increasing gestational age. CONCLUSIONS: The
intrauterine growth curves for body weight, body length, head circumference,
chest circumference, and crown-rump length of twin neonates with a gestational
age of 27-40 weeks in Shenzhen obtained in this study can provide a reference for
evaluating the intrauterine growth status of twin neonates among the current
population in Shenzhen.
PMID- 29658450
TI - [Influence of acute pancreatitis in pregnancy on pregnancy outcomes and
neonates].
AB - OBJECTIVE: To study the influence of acute pancreatitis in pregnancy (APIP) on
pregnancy outcomes and neonates. METHODS: A retrospective analysis was performed
for 33 APIP patients and 31 neonates born alive. RESULTS: Of the 33 APIP
patients, 26 (79%) developed APIP in the late pregnancy. Fourteen (45%) patients
had hyperlipidemic APIP, 13 (42%) had biliary APIP, and 4 (13%) had other types
of APIP. According to the severity, 22 (67%) were mild APIP, 5 (15%) were
moderate APIP, and 6 were severe APIP. None of the 33 APIP patients died. Among
the 20 patients with term delivery, 11 underwent termination of pregnancy; among
the 10 patients with preterm delivery, 9 underwent termination of pregnancy; two
patients experienced intrauterine fetal death, and one experienced abortion
during the second trimester. Among the 31 neonates born alive (two of them were
twins), 1 (3%) died, 12 (39%) experienced neonatal hyperbilirubinemia, 8 (26%)
had neonatal hypoglycemia, 6 (19%) had neonatal respiratory distress syndrome, 5
(16%) experienced infectious diseases, and 2 (6%) experienced intracranial
hemorrhage. The hyperlipidemic APIP group had a higher percentage of patients
undergoing termination of pregnancy than the biliary APIP and other types of APIP
groups (P<0.05). The incidence rate of preterm infants in the moderate APIP was
higher than in the mild and severe APIP groups (P<0.05). The mean birth weights
of neonates were the lowest in the moderate APIP group. The incidence rates of
neonatal respiratory distress syndrome, intracranial hemorrhage, and infectious
disease were the lowest in the mild APIP group (P<0.05). CONCLUSIONS: APIP can
lead to adverse pregnancy outcomes and neonatal diseases, which are associated
with the severity of pancreatitis.
PMID- 29658451
TI - [Clinical and genetic analysis of a pediatric patient with sodium taurocholate
cotransporting polypeptide deficiency].
AB - Sodium taurocholate cotransporting polypeptide (NTCP) deficiency is an inborn
error of bile acid metabolism caused by mutations of SLC10A1 gene. This paper
reports the clinical and genetic features of a patient with this disease. A 3.3
month-old male infant was referred to the hospital with the complaint of
jaundiced skin and sclera over 3 months. Physical examination revealed moderate
jaundice of the skin and sclera. The liver was palpable 3.5 cm below the right
subcostal margin with a medium texture. Serum biochemistry analysis revealed
markedly elevated bilirubin (predominantly direct bilirubin) and total bile acids
(TBA), as well as decreased 25-OH-VitD level. On pathological analysis of the
biopsied liver tissue, hepatocyte ballooning and cholestatic multinucleate giant
cells were noted. The lobular architecture was distorted. Infiltration of
inflammatory cells, predominantly lymphocytes, was seen in the portal tracts. In
response to the anti-inflammatory and liver protective drugs as well as fat
soluble vitamins over 2 months, the bilirubin and transaminases levels were
improved markedly while the TBA kept elevated. Because of persisting
hypercholanemia on the follow-up, SLC10A1 gene analysis was performed at his age
of 17.2 months. The child proved to be a homozygote of the reportedly pathogenic
variant c.800C>T (p. Ser267Phe), while the parents were both carriers. NTCP
deficiency was thus diagnosed. The infant was followed up until 34.3 months old.
He developed well in terms of the anthropometric indices and neurobehavioral
milestones. The jaundice disappeared completely. The liver size, texture and
function indices all recovered. However, the hypercholanemia persisted, and the
long-term outcome needs to be observed.
PMID- 29658452
TI - [Clinical features and gene mutations of primary immunodeficiency disease: an
analysis of 7 cases].
AB - This research investigated the clinical features of immunodeficiency disease and
the features of the mutation of its pathogenic genes. All 7 patients were boys
aged 5 months to 4 years and 6 months and had a history of recurrent respiratory
infection and pneumonia, low levels of IgM and IgG, and abnormal absolute values
or percentages of lymphocyte subsets. High-throughput sequencing showed c.1684C>T
mutations in the BTK gene in patient 1 and IVS8+2T>C splice site mutations in the
BTK gene in patient 2. Both of these mutations came from their mothers. Patients
3, 4, and 5 had mutations in the IL2RG gene, i.e., c.298C>T, IVS3-2A>G, and
c.164T>A, among which c.164T>A mutations had not been reported. Patient 6 had
c.204C>G mutations in the RAG2 gene. Patient 7 had complex heterozygous mutations
of c.913C>T and c.824G>A in the RAG2 gene, which came from his father and mother,
respectively. Patients with immunodeficiency disease have abnormal immunological
indices, and high-throughput sequencing helps to make a definite diagnosis.
PMID- 29658453
TI - [BRAF-V600E mutation and its clinical significance in children with Langerhans
cell histiocytosis].
AB - OBJECTIVE: To investigate the clinical significance of BRAF-V600E mutation in
children with Langerhans cell histiocytosis (LCH). METHODS: Real-time
fluorescence quantitative PCR was used to detect BRAF-V600E mutation in paraffin
embedded tissue samples from 26 children with LCH. A retrospective analysis was
performed for the association of BRAF-V600E mutation with clinical features and
prognosis of children with LCH. RESULTS: Of the 26 children, 25 received standard
chemotherapy, with a 2-year overall survival (OS) rate of 100% and a 2-year event
free survival (EFS) rate of 88%. Of the 26 pathological samples, 18 (70%) came
from bone tissue, and the positive rate of BRAF-V600E mutation reached 50%
(13/26). The positive rate of BRAF-V600E gene mutation was not associated with
age, sex, affected organ, clinical classification, early treatment response,
recurrence, and 2-year OS and EFS rates of the children with LCH (P>0.05), but it
was associated with clinical grouping of LCH (P<0.05). CONCLUSIONS: Children with
LCH tend to have a high OS rate and a high incidence rate of BRAF-V600E mutation.
BRAF-V600E mutation is associated with clinical grouping of LCH.
PMID- 29658454
TI - [Clinical features and prognosis of malignancy-associated hemophagocytic
lymphohistiocytosis in children: a clinical analysis of 24 cases].
AB - OBJECTIVE: To investigate the clinical features and prognosis of malignancy
associated hemophagocytic lymphohistiocytosis (MAHS) in children. METHODS: A
retrospective analysis was performed for the primary diseases, clinical features,
and prognosis of 24 children with MAHS. RESULTS: Among the 24 children, 11 (46%)
had MAHS induced by tumor and 13 (54%) had chemotherapy-associated MAHS. As for
primary diseases, 17 children had acute leukemia, 6 had lymphoma, and 1 had
neuroblastoma. The most common clinical manifestations were pyrexia, respiratory
symptoms, and hepatosplenomegaly. The most common laboratory abnormalities were
hemocytopenia, elevated serum ferritin, and elevated lactate dehydrogenase. Of
the 24 children, 22 were treated according to the HLH-2004 protocol and 2 gave up
treatment; 18 children died, 1 was lost to follow-up, and 5 survived. The
survival time ranged from 3 days to 2 years and 4 months (median 28 days).
CONCLUSIONS: Children with MAHS have various clinical features and extremely poor
treatment outcomes.
PMID- 29658455
TI - [Efficacy of early treatment via fiber bronchoscope in children with Mycoplasma
pneumoniae pneumonia complicated by airway mucus obstruction].
AB - OBJECTIVE: To study the efficacy of early treatment via fiber bronchoscope in
children with Mycoplasma pneumoniae pneumonia (MPP) complicated by airway mucus
obstruction. METHODS: According to the time from admission to the treatment via
fiber bronchoscope, the children with MPP who were found to have airway mucus
obstruction under a fiber bronchoscope were randomly divided into early
intervention group (≤3 days; n=40) and late intervention group (>3 days;
n=56). The two groups were compared in terms of clinical data and imaging
recovery.The children were followed for 1-3 months. RESULTS: Of the 96 children,
38 were found to have the formation of plastic bronchial tree, among whom 10 were
in the early intervention group and 28 were in the late intervention group
(P=0.01). Compared with the late intervention group, the early intervention group
had a shorter duration of fever, length of hospital stay, and time to the
recovery of white blood cell count and C-reactive protein (P<0.05), as well as a
higher atelectasis resolution rate (P<0.05). Compared with the late intervention
group, the early intervention group had a higher percentage of children with a
≥ 60% absorbed area of pulmonary consolidation at discharge. After 3 months of
follow-up, the early intervention group had a higher percentage of children with
a ≥ 90% absorbed area of pulmonary consolidation than the late intervention
group (80% vs 55%; P=0.01), and the early intervention group had a lower
incidence rate of atelectasis than the late intervention group (P<0.05).
CONCLUSIONS: Early treatment via fiber bronchoscope can shorten the course of the
disease and reduce complications and sequelae in MPP children with airway mucus
obstruction.
PMID- 29658456
TI - [Predictive value of cord blood 25(OH)D3 for early infantile atopic dermatitis].
AB - OBJECTIVE: To explore the predictive value of cord blood 25(OH)D3 [25(OH)D3] for
infantile atopic dermatitis (AD), and to provide a reference for primary
prevention of early infantile AD. METHODS: The neonates born from July to
September, 2015 were enrolled. The cord blood samples were collected at birth to
measure the level of 25(OH)D3. Outpatient follow-up was conducted for all the
infants at 6 weeks, 3 months, and 6 months after birth. A survey was performed to
investigate the incidence of AD. RESULTS: A total of 67 neonates completed a 6
month follow-up. The incidence of AD was 34% (23/67), and 91% (21/23) of these
cases occurred in the first month after birth. The 23 AD children had a
significantly lower cord 25(OH)D3 level than those without AD (P<0.05). The
children with a cord 25(OH)D3 level <30 nmol/L showed a significantly higher
incidence of AD than those with a cord 25(OH)D3 level ≥30 nmol/L (P<0.05). The
receiver operating characteristic (ROC) analysis showed that the area under the
ROC curve of cord 25(OH)D3 in predicting AD was 0.648 (standard error: 0.075;
95%CI: 0.502-0.795). Its sensitivity, specificity, positive predictive value, and
negative predictive value were 52.2%, 79.5%, 57.1%, and 76.1%, respectively.
Logistic regression analysis showed that low cord 25(OH)D3 level, preference for
seafood during pregnancy, atopic family history, and mixed feeding were risk
factors for infantile AD (P<0.05). CONCLUSIONS: Cord 25(OH)D3 level is inversely
associated with the risk of infantile AD, but it has a low diagnostic value for
this disease.
PMID- 29658457
TI - [Association between copy number variations and risk of overweight/obesity among
Han, Uyghur, and Kazak children].
AB - OBJECTIVE: To study the association between the prevalence of overweight/obesity
and copy number variations (CNVs) among Han, Uyghur, and Kazak children in
Xinjiang, China. METHODS: The kindergartens in Ili, Altay, and Karamay in
Xinjiang were selected as research sites, and stratified cluster sampling was
used to select the children aged 3-7 years. Body height and body weight were
measured, and exfoliated buccal mucosa cells were collected. CNVplex(r) was used
to measure the CNVs of FTO_1, IRX3_1, IRX3_2, MC4R_1, and MC4R_2. RESULTS: A
total of 603 children were surveyed (307 boys and 296 girls). There were 261 Han
children, 194 Uyghur children, and 148 Kazak children. The overweight/obesity
rates in Han, Uyghur, and Kazak children were 28.3%, 10.3%, and 31.1%,
respectively (P<0.001). In Kazak children, the CNVs of IRX3_1 and MC4R_2 were
associated with overweight/obesity (P<0.05). The multivariate logistic regression
analysis showed that the risk of overweight/obesity in Han and Kazak children was
3.443 times (95%CI: 2.016-5.880) and 3.924 times (95%CI: 2.199-7.001),
respectively, that in Uyghur children. The CNV of IRX3_1 was a risk factor for
overweight/obesity (P=0.028, OR=2.251, 95%CI: 1.418-5.651). CONCLUSIONS: The CNV
of IRX3_1 is associated with overweight/obesity in Han, Uyghur, and Kazak
children, and the association between the CNV of IRX3_1 and overweight/obesity in
Kazak children should be taken seriously.
PMID- 29658458
TI - [Verbal and visual-spatial memory in Chinese children with developmental
dyslexia].
AB - OBJECTIVE: To explore the abilities of verbal and visual-spatial memory in
Chinese children with developmental dyslexia. METHODS: Thirty-two children with
developmental dyslexia (aged 8-12 years) and thirty-nine age- and gender-matched
normal children were involved in the study. Their verbal short-term and verbal
working memories were measured using the digit ordering and the digit span tests,
respectively. Their visual-spatial short-term and visual-spatial working memories
were examined using the forward and backward block-tapping tests, respectively.
RESULTS: The DD children scored lower in the digit ordering and the digit span
tests than the control children (P<0.05). The scores for the forward and backward
block-tapping tests did not vary between the two groups (P>0.05). CONCLUSIONS:
The children with DD have the deficits in both verbal short-term memory and
verbal working memory.
PMID- 29658459
TI - [Effect of ceftriaxone on the intestinal epithelium and microbiota in neonatal
mice].
AB - OBJECTIVE: To investigate the effect of ceftriaxone on the intestinal epithelium
and microbiota in mice in the early-life stage, as well as the recovery of the
intestinal epithelium and reconstruction of intestinal microbiota in adult mice.
METHODS: A total of 36 BALB/C neonatal mice were randomly divided into control
group and experimental group, with 18 mice in each group. The mice in the
experimental group were given ceftriaxone 100 mg/kg every day by gavage within 21
days after birth. Those in the control group were given an equal volume of normal
saline by gavage. Immunohistochemistry was used to measure the expression of
Ki67, Muc2, and ZO-1 in the intestinal epithelium. qPCR and next-generation
sequencing were used to analyze the overall concentration and composition of
fecal bacteria. RESULTS: After 21 days of ceftriaxone intervention, the
experimental group had a significant reduction in body weight, a significant
reduction in the expression of Ki67 and ZO-1 and a significant increase in the
expression of Muc2 in intestinal epithelial cells, a significant reduction in the
overall concentration of fecal bacteria, and a significant increase in the
diversity of fecal bacteria compared with the control group (P<0.05). Firmicutes
was the most common type of fecal bacteria in the experimental group, and there
were large amounts of Staphylococcus and Enterococcus. The experimental group had
a certain degree of recovery of the intestinal epithelium, but there were still
significant differences in body weight and the structure of intestinal microbiota
between the two groups at 56 days after birth (P<0.05). CONCLUSIONS: Early
ceftriaxone intervention significantly affects the development of the intestinal
epithelium and the construction of intestinal microbiota in the early-life stage.
The injury of the intestinal microbiota in the early-life stage may continue to
the adult stage and affect growth and development and physiological metabolism.
PMID- 29658460
TI - [Neuroprotective effects of oligodendrocyte precursor cells on white matter
damage in preterm infants].
AB - White matter damage, characterized by demyelination due to the damage of
oligodendrocyte precursor cells (OPCs), is the most common type of brain damage
in preterm infants. Survivors are often subject to long-term neurodevelopmental
sequelae because of the lack of effective treatment. In recent years, it has been
found that cell transplantation has the potential for the treatment of white
matter damage. OPCs are frequently used cells in cell transplantation therapy.
With abilities of migration and myelinization, OPCs are the best seed cells for
the treatment of white matter damage. Several studies have found that OPCs may
not only replace impaired cells to reconstruct the structure and function of
white matter, but also inhibit neuronal apoptosis, promote the proliferation of
endogenous neural stem cells, and enhance the repairment of the blood-brain
barrier. However, the clinical application of OPC transplantation therapy faces
many challenges, such as the effectiveness, risk of tumorigenesis and immune
rejection. With reference to these studies, this article reviewed the development
of myelination, the obtainment of OPCs, the therapeutic mechanism as well as
application research, and analyzed the current challenges of OPC transplantation,
in order to provide a new direction for clinical treatment of white matter damage
in preterm infants.
PMID- 29658461
TI - [Monitoring of kidney injury in preterm infants].
AB - Acute kidney injury (AKI) is a common complication in the neonatal intensive care
unit that causes a high mortality of preterm infants and various chronic kidney
diseases in adulthood. Preterm infants have immature development of the kidneys
at birth. The kidneys continue to develop within a specific time window after
birth. However, due to various factors during pregnancy and after birth, preterm
infants tend to develop AKI. At present, serum creatinine and urine volume are
used for the assessment of kidney injury, and their early sensitivity and
specificity have attracted increasing attention. In recent years, various new
biomarkers have been identified for early recognition of AKI. This article
reviews the features, risk factors, renal function assessment, and
prevention/treatment of AKI of preterm infants, in order to provide a reference
for improving early diagnosis and treatment of AKI in preterm infants and long
term quality of life.
PMID- 29658463
TI - [Preserving humanity in tomorrow's medicine].
PMID- 29658462
TI - [Research advances in immune tolerance of allogeneic cell transplantation in
preterm infants].
AB - Preterm infants are a special group, and related severe neurological,
respiratory, and digestive disorders have high disability/fatality rates.
Allogeneic cell transplantation may be an effective method for the prevention and
treatment of these diseases. At present, animal studies have been conducted for
allogeneic cell transplantation in the treatment of hypoxic-ischemic
encephalopathy, bronchopulmonary dysplasia, and necrotizing enterocolitis. The
main difficulty of this technique is graft-versus-host reaction (GVHR), and
successful induction of immune tolerance needs to be achieved in order to solve
this problem. This article reviews the research advances in immune tolerance of
allogeneic cell transplantation in preterm infants.
PMID- 29658464
TI - [Modulation by SUMO of PKR activation and stability].
PMID- 29658465
TI - [Hypothalamic endospanin 1 dissociates obesity from type 2 diabetes].
PMID- 29658466
TI - [Immunophage synergy is required for successful pulmonary phage therapy].
PMID- 29658467
TI - [The enigmatic IgD class switch recombination].
PMID- 29658468
TI - [Adipocyte cannabinoid receptor CB1: a relevant target for obesity!]
PMID- 29658469
TI - [R-loop-associated genetic instability: why introns matter?]
PMID- 29658470
TI - [Small but sturdy: neuronal-derived exosomes control brain vasculature
integrity].
PMID- 29658471
TI - [P-bodies: microscopic droplets to store mRNAs encoding regulatory proteins].
PMID- 29658473
TI - ?
PMID- 29658472
TI - [Evidence for the widespread use of neonatal screening for sickle cell disease].
PMID- 29658474
TI - [Prospects for applications in human health of nanopore-based sequencing].
AB - High throughput sequencing has opened up new clinical opportunities moving
towards a medicine of precision. Oncology, infectious diseases or human genomics,
many applications have been developed in recent years. The introduction of a
third generation of nanopore-based sequencing technology, addressing some of the
weaknesses of the previous generation, heralds a new revolution. Portability,
real time, long reads and marginal investment costs, these promising new
technologies point to a new shift of paradigm. What are the perspectives opened
up by nanopores for clinical applications?
PMID- 29658475
TI - [Aerobic glycolysis activation through canonical WNT/beta-catenin pathway in
ALS].
AB - Energy is the major determinant of neuronal viability. We focus our synthesis on
the hypothesis of the development of aerobic glycolysis by the stimulation of the
canonical WNT/beta-catenin pathway in amyotrophic lateral sclerosis (ALS). The
stimulation of the canonical WNT/beta-catenin pathway induces the activation of
aerobic glycolysis, also called Warburg effect, via the stimulation of glycolytic
enzymes such as Glut (glucose transporter), PKM2 (pyruvate kinase M2), PDK1
(pyruvate dehydrogenase kinase 1), LDH-A (lactate dehydrogenase A) and MCT-1
(monocarboxylate transporter 1). The aerobic glycolysis consists to a supply of a
large part of glucose into lactate regardless of oxygen. Aerobic glycolysis is
less efficient in terms of ATP production than oxidative phosphorylation due to
the shunt of the TCA cycle. Dysregulation of cellular energy metabolism promotes
cell death and participates to the progression of ALS. Controlling the expression
of the canonical WNT/beta-catenin signaling pathway is an attractive strategy to
regulate aerobic glycolysis initiation and the progression of ALS.
PMID- 29658476
TI - [Microbiota establishment: an in utero colonization decisive for future health?]
AB - Some diseases seem to have a developmental origin. Today, the microbiota is
recognized as a determinant in health and diseases and one important step is its
establishment in the neonate. Some variations in its composition including an
imbalance (also called dysbiosis) have been associated to several pathologies.
Recent studies suggest a bacterial colonization in the non-pregnant uterus, in
the amniotic fluid and in the placenta, which were previously thought sterile.
So, during deve-lopmental phases, the fetus could have encounter bacteria in
utero. These bacteria could contribute to its microbiota establishment before
parturition and therefore before the encounter with all microorganisms from
vaginal, fecal and cutaneous microbiotas according to the delivery mode. However,
studies stating the existence of such in utero microbiota, characterized by a low
biomass, are somewhat disputed.
PMID- 29658477
TI - [PMNDTR mice: a new model to study neutrophils in vivo].
AB - Neutrophils play a key role in host defense against pathogens. They can
contribute to pathological inflammation, and are thought to exacerbate tissue
injury upon exposure to bacterial products, such as endotoxin (LPS). Recent
findings suggest that neutrophils can also participate in adaptive immune
responses and contribute to inflammation resolution. Many discoveries regarding
the in vivo role of neutrophils were made possible by the use of genetically
modified neutrophil-deficient mice, or by the use of neutrophil-depleting
antibodies. Here we describe a new mouse model, PMNDTR mice, in which neutrophils
can be selectively depleted upon injection of diphtheria toxin. Using this model,
we have recently demonstrated that neutrophils play a protective role during
lethal endotoxin-induced systemic shock. This new mouse model presents several
major advantages over more classical models of neutropenia, which are discussed
herein.
PMID- 29658478
TI - ?
PMID- 29658480
TI - [Why are new drugs so expensive?]
AB - Putting an end to an innovation crisis, the reality of which is the subject of
debate, recent pharmaceutical innovations, the result of a combination of
scientific, industrial, financial, political and economic reasons, lead to a
diversification of products and to a strong interest of pharmaceutical companies
for the so-called "niche" products (targeted therapies, rare diseases, etc.).
These new molecules are put on the market at much higher prices than in the past.
In the absence of reliable information on the costs borne by manufacturers, and
knowing that high levels of margins have been observed, these prices raise
legitimate questions. These are also motivated by the lack of relationship
between the price and the therapeutic benefit of these new molecules. In France,
faced with levels of expenditure likely to weaken the financial sustainability of
the social protection system, the public authorities have so far always favored
interventions on prices or the conditions and volume of reimbursement, in
accordance with the existing regulation. Other regulations (use of the statutory
license, group purchase, etc.) could in the future be used in a growing concern
for the efficiency of public expenditure. The difficulties encountered in
regulating a deeply transformed industrial sector call for a reform of national
evaluation and regulation systems.
PMID- 29658479
TI - [The zebrafish model in oncology].
AB - Although cell culture and mouse models will remain a cornerstone of cancer
research, the unique capabilities of the zebrafish outline the potential of this
model for shedding light on cancer biology in vivo. Zebrafish develops cancers
spontaneously, after chemical mutagenesis or through genetic manipulations.
Furthermore, zebrafish cancers are similar to human tumors at the histological
and molecular levels allowing the study of tumor initiation, progression and
heterogeneity. Xenotransplantation of human cancer cells in embryos or adult
zebrafish presents the advantage of following cancer cell behavior in vivo.
Finally, zebrafish embryos are used in molecule screens and contribute to the
identification of novel anti-cancer therapeutic strategies. Here, we review
different involvements of the zebrafish model in cancer research.
PMID- 29658481
TI - [Screening for asymptomatic cancers?]
AB - A recent publication describes a sophisticated "liquid biopsy" procedure that
analyses both tumoral DNA and proteins present in peripheral blood to provide
sensitive detection of different types of cancer. These results represent a
significant advance, but they still fall short of the sensitivity and specificity
required, and it seems unlikely that they could progress to a level at which
screening of the (asymptomatic) general population would have a really favourable
benefit to risk ratio.
PMID- 29658482
TI - Multidisciplinary care for better clinical outcomes: role of pharmacists in
medication management.
PMID- 29658483
TI - Bacteriology and risk factors associated with periprosthetic joint infection
after primary total knee arthroplasty: retrospective study of 2543 cases.
AB - INTRODUCTION: Periprosthetic joint infection after total knee arthroplasty is a
serious complication. This study aimed to identify risk factors and
bacteriological features associated with periprosthetic joint infection after
primary total knee arthroplasty performed at a teaching hospital. METHODS: We
reviewed 2543 elective primary total knee arthroplasties performed at our
institution from 1993 to 2013. Data were collected from the Hong Kong Hospital
Authority's Clinical Data Analysis and Reporting System, the Infection Control
Team, and the joint replacement division registry. The association between
potential risk factors and periprosthetic joint infection was examined by
univariable analysis and multivariable logistic regression. Univariable analyses
were also performed to examine the association between potential risk factors and
bacteriology and between potential risk factors, including bacteriology, and
early-onset infection. RESULTS: The incidence of periprosthetic joint infection
in our series was 1.34% (n=34). The incidence of early-onset infection was 0.39%
(n=24). Of the periprosthetic joint infections, 29.4% were early-onset
infections. In both univariable and multivariable analyses, only rheumatoid
arthritis was a significant predictor of periprosthetic joint infection.
Methicillin-sensitive Staphylococcus aureus was the most common causative
organism. We did not identify any significant association between potential risk
factors and bacteriology. Periprosthetic joint infection caused by skin flora was
positively associated with early-onset infection but the association was not
statistically significant. CONCLUSION: The incidence of periprosthetic joint
infection after elective primary total knee arthroplasty performed at our
institution from 1993 to 2013 was 1.34%. Rheumatoid arthritis was a significant
risk factor for periprosthetic joint infection.
PMID- 29658484
TI - Complexity of syncope in elderly people: a comprehensive geriatric approach.
AB - Syncope is a prevalent health problem among elderly people. It may be neurally
mediated or caused by conditions such as orthostatic hypotension, postprandial
hypotension, and cardiac disorders. A combination of different aetiologies is not
uncommon in the elderly people. Many pathophysiological processes, including age
related physiological changes, co-morbidities, concomitant medication use, and
prolonged bedrest, coexist and predispose elderly people to syncope; advanced age
and cardiac syncope are associated with increased mortality. Recurrent syncope
and its co-morbidities, such as fall-related physical injury, negative
psychological impact, and functional decline, may increase the dependency of
elderly patients. Furthermore, the overlap between falls and syncope, and the
interaction between syncope and frailty complicate management. Available clinical
guidelines for the management of syncope that focus on diagnosis, investigation,
and treatment are therefore insufficient to address syncope in elderly patients.
A comprehensive geriatric approach that considers an individual's functional and
cognitive capacities, as well as medical and psychosocial aspects, would be more
appropriate.
PMID- 29658485
TI - Hyperbaric oxygen therapy: its use in medical emergencies and its development in
Hong Kong.
AB - Hyperbaric oxygen therapy is widely accepted as life-saving treatment for
decompression illness. Yet its use in acute carbon monoxide poisoning has
remained controversial because of inconsistent findings in clinical trials.
Hyperbaric oxygen therapy has an adjunctive role in managing gas gangrene,
necrotising soft-tissue infection, and crush injury, as supported by case series.
Several cases have been reported in the literature detailing the use of
hyperbaric oxygen therapy in patients with severe anaemia in whom blood
transfusion is not possible. Today, use of hyperbaric oxygen therapy in Hong Kong
is limited by low awareness among physicians and patients, a lack of service
access, and inadequate hospital and critical care support for the existing non
hospital facility. The recent introduction of a hospital-based facility is
expected to benefit more patients for whom hyperbaric oxygen therapy is
appropriate. This article reviews the mechanistic basis of and emerging
scientific evidence to support the use of hyperbaric oxygen therapy in a number
of acute medical emergencies, as well as the past and future development of
hyperbaric oxygen therapy in Hong Kong.
PMID- 29658486
TI - Familial eruptive syringoma.
PMID- 29658487
TI - Cystic artery pseudoaneurysm with haemobilia after laparoscopic cholecystectomy.
PMID- 29658488
TI - Pancreatic pseudocyst rupture into the portal vein diagnosed by magnetic
resonance imaging.
PMID- 29658489
TI - Characteristic imaging features of clonorchiasis.
PMID- 29658490
TI - Pleural adenosine deaminase cut-off value for the diagnosis of tuberculous
pleural effusion using the Diazyme assay.
PMID- 29658491
TI - GPU-based Green's function simulations of shear waves generated by an applied
acoustic radiation force in elastic and viscoelastic models.
AB - Shear wave calculations induced by an acoustic radiation force are very time
consuming on desktop computers, and high-performance graphics processing units
(GPUs) achieve dramatic reductions in the computation time for these simulations.
The acoustic radiation force is calculated using the fast near field method and
the angular spectrum approach, and then the shear waves are calculated in
parallel with Green's functions on a GPU. This combination enables rapid
evaluation of shear waves for push beams with different spatial samplings and for
apertures with different f/#. Relative to shear wave simulations that evaluate
the same algorithm on an Intel i7 desktop computer, a high performance nVidia GPU
reduces the time required for these calculations by a factor of 45 and 700 when
applied to elastic and viscoelastic shear wave simulation models, respectively.
These GPU-accelerated simulations also compared to measurements in different
viscoelastic phantoms, and the results are similar. For parametric evaluations
and for comparisons with measured shear wave data, shear wave simulations with
the Green's function approach are ideally suited for high-performance GPUs.
PMID- 29658492
TI - Modeling the cost and benefit of proteome regulation in a growing bacterial cell.
AB - Escherichia coli cells differentially regulate the production of metabolic and
ribosomal proteins in order to stay close to an optimal growth rate in different
environments, and exhibit the bacterial growth laws as a consequence. We present
a simple mathematical model of a growing-dividing cell in which an internal
dynamical mechanism regulates the allocation of proteomic resources between
different protein sectors. The model allows an endogenous determination of the
growth rate of the cell as a function of cellular and environmental parameters,
and reproduces the bacterial growth laws. We use the model and its variants to
study the balance between the cost and benefit of regulation. A cost is incurred
because cellular resources are diverted to produce the regulatory apparatus. We
show that there is a window of environments or a 'niche' in which the unregulated
cell has a higher fitness than the regulated cell. Outside this niche there is a
large space of constant and time varying environments in which regulation is an
advantage. A knowledge of the 'niche boundaries' allows one to gain an intuitive
understanding of the class of environments in which regulation is an advantage
for the organism and which would therefore favour the evolution of regulation.
The model allows us to determine the 'niche boundaries' as a function of cellular
parameters such as the size of the burden of the regulatory apparatus. This class
of models may be useful in elucidating various tradeoffs in cells and in making
in-silico predictions relevant for synthetic biology.
PMID- 29658493
TI - Novel inter-crystal scattering event identification method for PET detectors.
AB - Here, we propose a novel method to identify inter-crystal scattering (ICS) events
from a PET detector that is even applicable to light-sharing designs. In the
proposed method, the detector observation was considered as a linear problem and
ICS events were identified by solving this problem. Two ICS identification
methods were suggested for solving the linear problem, pseudoinverse matrix
calculation and convex constrained optimization. The proposed method was
evaluated based on simulation and experimental studies. For the simulation study,
an 8 * 8 photo sensor was coupled to 8 * 8, 10 * 10 and 12 * 12 crystal
arrays to simulate a one-to-one coupling and two light-sharing detectors,
respectively. The identification rate, the rate that the identified ICS events
correctly include the true first interaction position and the energy linearity
were evaluated for the proposed ICS identification methods. For the experimental
study, a digital silicon photomultiplier was coupled with 8 * 8 and 10 * 10
arrays of 3 * 3 * 20 mm3 LGSO crystals to construct the one-to-one coupling
and light-sharing detectors, respectively. Intrinsic spatial resolutions were
measured for two detector types. The proposed ICS identification methods were
implemented, and intrinsic resolutions were compared with and without ICS
recovery. As a result, the simulation study showed that the proposed convex
optimization method yielded robust energy estimation and high ICS identification
rates of 0.93 and 0.87 for the one-to-one and light-sharing detectors,
respectively. The experimental study showed a resolution improvement after
recovering the identified ICS events into the first interaction position. The
average intrinsic spatial resolutions for the one-to-one and light-sharing
detector were 1.95 and 2.25 mm in the FWHM without ICS recovery, respectively.
These values improved to 1.72 and 1.83 mm after ICS recovery, respectively. In
conclusion, our proposed method showed good ICS identification in both one-to-one
coupling and light-sharing detectors. We experimentally validated that the ICS
recovery based on the proposed identification method led to an improved
resolution.
PMID- 29658495
TI - Internal Hernia Following Robotic Assisted Pancreaticoduodenectomy.
AB - BACKGROUND Robotic assisted pancreaticoduodenectomy (RPD) is reported to be safe
and feasible. Internal hernia (IH) after RPD is a serious but rarely reported
complication. MATERIAL AND METHODS We retrospectively reviewed data of 231
patients who underwent RPD from October 2010 to December 2016. The incidence,
symptoms, time of presentation, and outcome were investigated. RESULTS Five
patients (2.6%) were diagnosed with IH. Significant correlation (P<0.001) between
IH and transverse mesocolon defect was confirmed. In patients without defect
closure, the incidence of IH was 62.5%, while patients who received defect
closure experienced no IH. The median time between initial surgery and occurrence
of IH was 76 days. The main symptoms were abdominal pain, nausea, and vomiting.
All patients received abdominal computed tomography (CT) and were suspected to
have IH according to imaging and symptoms. All patients underwent reoperation (2
laparoscopic and 3 open surgery). The median length of hospital stay was 13 days.
No patient experienced a relapse after treatment. CONCLUSIONS Abdominal pain,
nausea, and vomiting were common symptoms in our study patients who underwent
RPD. IH should be suspected if there is a positive finding on CT. Timely
reoperation is necaAbdominal pain, nausea, and vomiting were common symptoms in
our study patients who underwent RPD. IH should be suspected if there is a
positive finding on CT. Timely reoperation is necessary because IH may cause
intestinal ischemia. Meticulous closure of the mesenteric defect is vital to
avoid IH.essary because IH may cause intestinal ischemia. Meticulous closure of
the mesenteric defect is vital to avoid IH.
PMID- 29658496
TI - [GESTASTRES cohort study protocol on the effects of stress during pregnancy by
measuring the cortisol in women's and newborn's hair].
AB - The aim was to present the longitudinal study protocol on the effects of
perinatal stress from conception to one year of age. Stress is associated to
psychopathological, cardiovascular and inmunological diseases. During pregnancy,
the activation of the Hipotalamic-Pituitary-Adrenal results in an increased
release of cortisol. Stress during pregnancy is related to maternal, fetal and
infant negative outcomes that can last a lifetime. Nevertheless, contradictory
findings have been reported. In this longitudinal study maternal stress is
assessed from a sample of 807 pregnant women through hair cortisol levels and
psychological question- naires during the three trimesters of pregnancy. Besides,
associa- tions with the new-borns' hair cortisol levels, temperament and
neurodevelopment at age 6 and 12 months are assessed. Sociode- mographic,
obstetrics, delivery, fetal and newborn development variables are included in
analysis. Findings will be able to pro- vide a better understanding of perinatal
stress and will improve maternal, fetal and infant outcomes.
PMID- 29658494
TI - Multi-resolution simulation of focused ultrasound propagation through ovine skull
from a single-element transducer.
AB - Transcranial focused ultrasound (tFUS) is emerging as a non-invasive brain
stimulation modality. Complicated interactions between acoustic pressure waves
and osseous tissue introduce many challenges in the accurate targeting of an
acoustic focus through the cranium. Image-guidance accompanied by a numerical
simulation is desired to predict the intracranial acoustic propagation through
the skull; however, such simulations typically demand heavy computation, which
warrants an expedited processing method to provide on-site feedback for the user
in guiding the acoustic focus to a particular brain region. In this paper, we
present a multi-resolution simulation method based on the finite-difference time
domain formulation to model the transcranial propagation of acoustic waves from a
single-element transducer (250 kHz). The multi-resolution approach improved
computational efficiency by providing the flexibility in adjusting the spatial
resolution. The simulation was also accelerated by utilizing parallelized
computation through the graphic processing unit. To evaluate the accuracy of the
method, we measured the actual acoustic fields through ex vivo sheep skulls with
different sonication incident angles. The measured acoustic fields were compared
to the simulation results in terms of focal location, dimensions, and pressure
levels. The computational efficiency of the presented method was also assessed by
comparing simulation speeds at various combinations of resolution grid settings.
The multi-resolution grids consisting of 0.5 and 1.0 mm resolutions gave
acceptable accuracy (under 3 mm in terms of focal position and dimension, less
than 5% difference in peak pressure ratio) with a speed compatible with semi real
time user feedback (within 30 s). The proposed multi-resolution approach may
serve as a novel tool for simulation-based guidance for tFUS applications.
PMID- 29658497
TI - [Addictions profiles as a tool for comparison of chemical and nonchemical
dependencies].
AB - AIM: A clinical and phenomenological comparative evaluation of addictions
(alcoholism, drug addiction, sexual and tobacco dependence, pathological
gambling) to develop integrative methods for the assessment of comparative
performance profiles of addictive disorders. MATERIAL AND METHODS: One hundred
and forty-six patients were examined using clinical, psychometric and statistical
methods. RESULTS AND CONCLUSION: Addiction profiles were described and addictive
potentials were compared. The most pronounced addictive symptoms were found in
groups where the participants were addicted to drugs or to pathological gambling.
The least pronounced symptoms were found in patients addicted to tobacco.
Participants addicted to alcohol were in the middle range.
PMID- 29658498
TI - [Clinical symptoms and personality traits in patients using psychostimulant drugs
and other psychoactive substances].
AB - AIM: To obtain preliminary data on personality and clinical/psychopathological
predictors of combined use of psychoactive drugs in patients with psychostimulant
dependence. MATERIAL AND METHODS: Eighty-nine inpatients were examined. Family
history of drug dependence, personality disorders, clinical/psychopathological
characteristics and disease course (breakdowns, relapses, remissions) were
compared in patients with psychostimulant dependence (n=35) and patients who used
other psychoactive substances (n=54). RESULTS AND CONCLUSION: Combined use of
psychoactive substances is predicted by a complex of factors, including genetic,
biological (neurochemical), psychological (personality characteristics of
comorbidity and social influences). Based on their proportion, three types of
psychostimulant dependence were determined.
PMID- 29658499
TI - [The impact of abuse and neglect in childhood on the development of substance use
disorders].
AB - The review of literature over the last decade addresses the impact of childhood
abuse and neglect on the further development of addictions and mental disorders.
The results of the studies have been analyzed in the aspect of abuse, age and
gender characteristics in childhood and variants of consequences: from
neurodevelopment to behavioral disorders. Childhood abuse and neglect is
associated with the higher risk of posttraumatic stress disorder, suicidal
behavior and some psychiatric diseases. Attention is focused on the fact that
adults with substance use disorders (SUDs) report higher rates of child abuse
than adults without SUDs. The problem demands further investigation to find
possible predictors of substance use disorders in patients who had experienced
stressful life events in childhood.
PMID- 29658500
TI - [The relationship between the family structure and characteristics of the stress
reaction in adolescent addicts].
AB - AIM: To study the relationship between the family structure and characteristics
of the response to stress in adolescent addicts. MATERIAL AND METHODS: The study
included 65 patients who used psychoactive substances and 42 healthy controls. A
set of psychological methods was used. RESULTS AND CONCLUSION: In the group of
adolescent addicts, a low result was revealed on the scale 'family cohesion'. A
high level of development of such mechanisms of psychological defense as denial,
suppression, repression, compensation, substitution, intellectualization and
reactive education was diagnosed in both groups. Significant differences were
revealed only in repression. Such stress coping strategies as self-control,
escape-avoidance and problem-solving were more often used in the main group while
confrontational coping, distancing, seeking social support, accepting
responsibility and positive reassessment were used in the control group. Lower
indices of resilience in adolescent addicts compared to the control group.
PMID- 29658501
TI - [Anhedonia, depression, anxiety, and craving in opioid dependent patients
stabilized on oral naltrexone or naltrexone implant].
AB - AIM: To assess the relationship between long-term naltrexone treatment and
anxiety, depression and craving in opioid dependent individuals. MATERIAL AND
METHODS: Opioid dependent patients (n=306) were enrolled in a three cell
(102ss/cell) randomized, double blind, double dummy, placebo-controlled 6-month
trial comparing extended release implantable naltrexone with oral naltrexone and
placebo (oral and implant). Monthly assessments of affective responses used a
Visual Analog Scale for opioid craving, the Beck Depression Inventory,
Spielberger Anxiety Inventory, and the Ferguson and Chapman Anhedonia Scales.
Between-group outcomes were analyzed using mixed model analysis of variance
(Mixed ANOVA) and repeated measures and the post hoc Tukey test. RESULTS AND
CONCLUSION: Anhedonia, depression, anxiety, and craving for opiates were elevated
at baseline but gradually reduced to normal within the first 1-2 months for
patients who remained in treatment and did not relapse. There were no significant
between-group differences prior to treatment dropout as well as between those who
relapsed and who continued on naltrexone. CONCLUSION: These data do not support
concerns that naltrexone treatment of opioid dependence precipitates anhedonia,
depression, anxiety or craving for opiates.
PMID- 29658502
TI - [Treatment of anxiety disorders in alcohol abusers].
AB - The tendency to anxiety is a characteristic feature of alcohol abusers, and
anxiety can be a symptom of alcohol withdrawal as well as comorbid disorder. The
frequent comorbidity of alcohol use disorders and anxiety is due to a number of
reasons including general hereditary predisposition, mutual conditioning and
similar pathogenesis. Pharmacological therapy of anxiety disorders in alcohol
dependent patients is carried out on the basis of general principles of anxiety
treatment and involves the use of benzodiazepines, antidepressants and, in some
cases, antipsychotics as second-line medicines.
PMID- 29658504
TI - [Alcohol withdrawal syndrome dynamics during treatment with nooclerin (deanoli
aceglumas)].
AB - AIM: To study the efficacy of nooclerin (deanoli aceglumas) in alcohol withdrawal
syndrome assessed by clinical and biochemical characteristics. MATERIAL AND
METHODS: A multicenter, open, randomized, comparative study of nooclerin in the
complex treatment of alcohol withdrawal syndrome included 90 patients. The
patients were randomized into nooclerin group (n=55) and control group (n=35).
RESULTS AND CONCLUSION: Nooclerin reduced alcohol withdrawal symptoms more
significantly throughout the whole study period. There were significant between
group differences on the Clinical Institute Withdrawal Assessment of Alcohol
Scale (CIWA-Ar) and the Multidimensional Fatigue Inventory (MFI-20). However,
patients exhibited no excessive activity. No adverse side-effects were observed.
PMID- 29658503
TI - [The safety and efficacy of alimemazine (teraligen) in relieving anxiety in
patients with alcohol addiction].
AB - AIM: To assess the efficacy of monotherapy of anxiety in alcoholism with
alimemazine (teraligen). MATERIAL AND METHODS: Thirty-six patients with alcohol
addiction were treated with alimemazine in dose 15 mg during 9 month. In control
group (11 patients) teraligen was no used. RESULTS AND CONCLUSION: A significant
positive effect of treatment with teraligen was observed. There were the
improvement in alcohol addiction course, decrease in scores on the Addiction
Severity Index (ASI) from severe to mild level and decrease in trait and state
anxiety measured with the Spielberger-Khanin scale from high to low levels. The
reduction on symptoms of depression from moderate (at baseline) to subdepressive
levels on the Beck Depression Inventory was noted in the end of treatment.
PMID- 29658505
TI - [The possibilities of neurostimulation (sympathetic correction) in the treatment
of amnestic (Korsakoff's) psychosis].
AB - AIM: To evaluate the efficacy of non-invasive multichannel electrical stimulation
(sympathetic correction) in patients with alcohol amnesic (Korsakoff's)
psychosis. MATERIAL AND METHODS: Thirty-seven men, aged 33-48 years, with
Korsakoff's (amnestic) psychosis were studied. The duration of disease varied
from 12 to 24 month. The device of electrical stimulation of neck nerve
structures was used for neuroelectrostimulation. Treatment included 15 sessions
within 3 weeks. During this period, patients did not receive pharmacological
therapy. The Frontal Assessment Battery (FAB), the Montreal Cognitive Assessment
(MoCA) and the Mini-Mental State Examination (MMSE) were used to determine
changes in cognitive state of patients. Electroencephalography with qEEG analysis
and spectral analysis of heart rate variability (HRV) were carried out as well.
RESULTS AND CONCLUSION: Positive effects of treatment were observed in all
patients that suggested the high therapeutic potential of the
neuroelectrostimulation method.
PMID- 29658506
TI - [The relationship between indicators of drug users detection and the population
referral to drug treatment services].
AB - AIM: To examine the relationship between the results of drug users'
identification through medical examination for drug intoxication and the rates of
primary population' referral for drug treatment. MATERIAL AND METHODS: The data
of the state federal statistical observation for period of 2009-2013 were used.
Methods of descriptive statistics and regression analysis were applied. RESULTS
AND CONCLUSION: It has been established that the identification of drug users
during medical examination of citizens for the state of drug intoxication has a
statistically significant effect on the population' drug treatment referral
rates. According to linear regression equations, out of each 100 cases identified
through medical examination, only 15 patients referred to drug treatment
services. From practical point of view, the influence of the first indicator on
the second one is not high: an increase in the number of identified drug users
for each 100 cases leads to an increase in referral rate of patients with drug
dependence syndrome for 2 cases, while the referral rate of drug users with
established diagnosis of the harmful use of drugs increases for 10 patients. The
results of the study highlight the urgent need for developing secondary
prevention programs for those diagnosed with the harmful use of drugs. There is
also a question of further improvement of legislation in the field of law
governed motivation of exposed drug users to seek drug treatment.
PMID- 29658507
TI - [Causes, consequences and overcoming ways of stigmatization of patients with
substance abuse disorders].
AB - Stigmatization of people with drug and alcohol use disorders, its types and main
causes of stigmatization have been analyzed. Main symptoms, types and
consequences of stigmatization as for people with drug and alcohol abuse as well
for society in whole have been described. Based on the complex of traits studied,
the key causes and factors of stigma were analyzed and the variants of
destigmatization of patients were suggested.
PMID- 29658508
TI - [Psychosocial characteristics of alcohol dependence patients committed self
poisoning suicide attempt].
AB - AIM: To determine s psychosocial characteristics of alcohol-dependent patients
committed self-poisoning suicide attempt. MATERIAL AND METHODS: Individuals, who
were admitted to the toxicology department after the self-poisoning suicidal
attempt, were studied. The socio-demographic, personal history data together with
experimental psychological methods (V.P. Dvorschenko Personality Accentuation
Test, AUDIT, Beck Hopelessness and Suicide Intention Scales, Barratt Impulsivity
Scale) were used. RESULTS AND CONCLUSION: Individuals, who attempted suicide and
abused alcohol, were characterized by the higher level of impulsivity, tendency
to drug addiction, reduced ability to self-control and activity planning. They
had excitable accentuation types and committed demonstrative suicidal attempts
more often. Alcohol abuse was positively correlated with a tendency to drug
addiction, impulsivity and was negatively correlated with the true suicide
attempt and tendency to depression. Psychological characteristics of individuals
with alcohol dependence committed suicide attempt differ from individuals without
alcohol abuse.
PMID- 29658509
TI - [Experimental approaches to the investigation of behavioral disorders associated
with prenatal alcohol exposure].
AB - Fetal alcohol spectrum disorders (FASD) is an umbrella term which covers a wide
range of deficits in prenatal and postnatal growth, anatomy and CNS functions
produced by prenatal alcohol exposure. The most severe form of FASD is fetal
alcohol syndrome (FAS) characterized by additional specific craniofacial and
brain malformations. Despite a high prevalence and extensive clinical studies,
the fundamental mechanisms of FASD are still poorly understood. Thereby,
experimental models, which allow better control for both socio-environmental and
genetic factors, are critical to our understanding of FASD. The review is focused
on the effects of exposure to alcohol during the prenatal period in animal
models. The authors outline that prenatally alcohol-induced changes in motor and
executive functions, learning and memory, stress reactivity and affective state
are remarkably parallel between animals and humans. Finally, the authors consider
a potential impact of postnatal social and environmental factors on the outcome
in experimental models of FASD.
PMID- 29658511
TI - Immunometabolism: Old drug, new trick.
PMID- 29658510
TI - A protocol for quantizing total bacterial 16S rDNA in plasma as a marker of
microbial translocation in vivo.
PMID- 29658514
TI - Maternal or neonatal infection: association with neonatal encephalopathy
outcomes.
AB - This corrects the article DOI: 10.1038/pr.2014.47.
PMID- 29658513
TI - B2 adrenergic receptor gene polymorphism effect on childhood asthma severity and
response to treatment.
AB - BackgroundAlterations of B2 adrenergic receptor (beta2AR) can modulate the
severity of asthma and the response to treatment. Therefore, we aimed to evaluate
beta2AR gene polymorphism at codons 16 and 27 and their effect on asthma severity
and response to treatment in asthmatic children.MethodsCase-control study was
conducted on 156 children; 104 of them had bronchial asthma and 52 were healthy
children (control group). Subjects of the study underwent history taking,
clinical examination, pulmonary function tests, serum IgE level assessment, and
identification of beta2AR-16 A46G and beta2AR-27 C79G polymorphism using PCR
Restriction Fragment length polymorphisms (RFLP) test.ResultsThere was a higher
frequency of Arg-Gly genotypes (odds ratio (OR)=6.57; confidence interval (CI):
2.42-18.81, P<0.001) and lower frequency of Arg-Arg (OR=4.7; CI: 2.05-10.95,
P<0.001) among asthmatic children compared with that among controls at codon 16.
The presence or absence of Gly16 or Glu27 either homozygous or heterozygous for
both correlated with the grade of asthma severity. The presence of heterozygous
Arg-Gly and Gln-Glu gives a better response to drug therapy than the presence of
Gly-Gly and Glu-Glu genotypes at codons 16 and 27.ConclusionPolymorphism of
beta2AR at codons 16 and 27 correlates with asthma severity and response to
treatment in asthmatic children.
PMID- 29658515
TI - Assessment of corneal and fatty tissues biomechanical response in dynamic
tonometry tests by using inverse models.
AB - PURPOSE: The assessment of corneal biomechanics is essential for studying
ophthalmological operations, such as refractive surgeries, and for more accurate
estimation of intraocular pressure. The chief aim of the current study is to
characterize corneal and fatty tissues in order to construct a model to predict
eye globe behavior during dynamic tonometry tests. METHODS: In the present study,
images from corneal deformation, acquired from Corvis ST tonometer, were
processed. Then, corneal pure displacement and eye globe retraction were
calculated. Utilizing inverse finite element method, corneal material properties
were calculated in order to predict pure deformation obtained from Corvis ST.
Using a similar approach, material parameters of fatty tissue were estimated in
order to predict the eye globe retraction. The model used for fatty tissue was
considered as corneal boundary condition in a forward finite element model to
create a joint model, which could simulate corneal behavior in dynamic tonometry
tests. RESULTS: It was shown that an isotropic material model is accurate enough
to predict corneal deformation in dynamic tonometry tests. Moreover, effects of
IOP on the estimated material properties were investigated. Finally, utilizing
the joint model, it was demonstrated that there is strong correlation between
corneal stiffness and the biomechanical parameter introduced by Corvis ST.
CONCLUSIONS: An eye globe model was constructed and characterized by two distinct
inverse models for corneal and fatty tissue. This model can be utilized for
predicting eye globe behavior during dynamic tonometry tests besides other
ophthalmological operations.
PMID- 29658516
TI - Optimization of differentiation time of mesenchymal-stem-cell to tenocyte under a
cyclic stretching with a microgrooved culture membrane and selected measurement
cells.
AB - PURPOSE: There is a need for efficient stem cell-to-tenocyte differentiation
techniques for tendon tissue engineering. More than 1 week is required for
tenogenic differentiation with chemical stimuli, including co-culturing. Research
has begun to examine the utility of mechanical stimuli, which reduces the
differentiation time to several days. However, the precise length of time
required to differentiate human bone marrow-derived mesenchymal stem cells
(hBMSCs) into tenocytes has not been clarified. Understanding the precise time
required is important for future tissue engineering projects. Therefore, in this
study, a method was developed to more precisely determine the length of time
required to differentiate hBMSCs into tenocytes with cyclic stretching stimulus.
METHODS: First, it had to be determined how stretching stimulation affected the
cells. Microgrooved culture membranes were used to suppress cell orientation
behavior. Then, only cells oriented parallel to the microgrooves were selected
and evaluated for protein synthesis levels for differentiation. RESULTS: The
results revealed that growing cells on the microgrooved membrane and selecting
optimally-oriented cells for measurement improved the accuracy of the
differentiation evaluation, and that hBMSCs differentiated into tenocytes in
approximately 10 h. CONCLUSIONS: The differentiation time corresponded to the
time required for cellular cytoskeleton reorganization and cellular morphology
alterations. This suggests that cells, when subjected to mechanical stimulus,
secrete mRNAs and proteins for both cytoskeleton reorganization and
differentiation.
PMID- 29658517
TI - Balance disturbances coefficient as a new value to assess ability to maintain
balance on the basis of FFT curves.
AB - PURPOSE: The aim of this study was to formulate a new balance disturbances
coefficient enabling objective balance assessment on the basis of fast Fourier
transform curves. The article presents the method of coefficient calculation and
possible ways of its interpretation. METHODS: 11 healthy participants took part
in the experiment. There were four measurements: two in real environment with
eyes open and eyes closed as well as two in virtual environment with scenery
(surroundings) oscillating with frequency 0.7 Hz and 1.4 Hz. Scenery was
displayed by means of the Oculus Rift system, whereas position of centre of
pressure was measured with the use of Zebris FDM-S platform. Obtained centre of
pressure positions were used to calculate fast Fourier transform, and then
balance disturbances coefficient. RESULTS: Comparisons of coefficient values
obtained for the whole group and two selected participants were presented in
order to explain how to interpret and use the coefficient. For better explanation
of coefficient interpretation the most popular time domain stabilometric
quantities and fast Fourier transform curves were presented as well. CONCLUSIONS:
The balance disturbances coefficient makes it possible to quantitatively and
objectively determine, on the basis of fast Fourier transform curves, the
influence of the oscillating scenery on the tested person as well as show how the
overall equilibrium of that person was disturbed.
PMID- 29658519
TI - Biomechanical evaluation of tendon connection with novel suture techniques.
AB - PURPOSE: Achilles tendon rupture is a severe injury with poor curative effect due
to its anatomical characteristic and mechanical peculiarity. Internal fixation of
limited loop (IFLL) with steel-wire has been applied on patients with tendon
rupture to fix the broken ends before physical rehabilitation. The purpose of
this study is to investigate the biomechanical property and radiological
characteristic of such suture technique for the repairment of tendon rupture.
METHODS: Tendons of pigs' hint feet were separated for the biomechanical study.
Suture surgery was performed according to the protocol of IFLL. Biomechanical
Testing Machine was adopted to conduct the biomechanical tensile load
examination. The maximal load, elastic modulus and tendon stiffness of the
stitched tendons with or without reinforcement were examined. RESULTS: The
maximum tensile load of the stitched tendons using IFLL reached 1/4 of the
uninjured tendon's maximum tensile load, indicating that such suture technique is
capable of providing enough tension for the ruptured tendon. Surprisingly,
tendons fixed with titanium wire showed the highest load tension, which was
comparable to the undamaged tendon. Therefore, we found the biomechanical basis
of using IFLL in effectively connecting the rupture ends of tendons. CONCLUSIONS:
In conclusion, we provide biomechanical evidence for the use of IFLL in treatment
of Achilles tendon rupture, by providing enough strength for the ankle function.
Such suture technique could help the patients with better rehabilitation and
reduced in-hospital stay after Achilles tendon injury.
PMID- 29658518
TI - Stabilometric indicators as an element of verifying rehabilitation of patients
before and after reconstruction of anterior cruciate ligament.
AB - PURPOSE: The aim of the study was to evaluate effectiveness of rehabilitation in
patients before and after rACL, based on stabilographic indicators. METHODS: The
research group was comprised of 31 men aged 20-57 with anterior cruciate ligament
injury, qualified for reconstruction surgery. A measurement of static
stabilometric indicators and muscle strength was taken twice for each patient -
before surgery and after 6 months. To assess stabilographic indicators the
stabilographic platform was used and to asses muscle strength a dynamometer was
used. In order to assess knee function the Lysholm scale and VAS scale were used.
RESULTS: The rehabilitation programme improved static stability of the knee in
the frontal plane, which is manifested by a significant shortening of the SPML
path length. Rehabilitation proceedings should focus on improving static
stability of the knee joint in the sagittal plane, because the results obtained
indicate only a slight shortening of the SPAP length. The results of the Lysholm
and VAS scales point to a positive influence of the applied rehabilitation.
CONCLUSION: Inclusion into rehabilitation diagnostic tools to assess
stabilometric indicators enables for effective verification of rehabilitation
proceedings focused on restoring body posture control before and after the rACL.
PMID- 29658520
TI - Biomechanical characterization of slope walking using musculoskeletal model
simulation.
AB - PURPOSE: Upslope and downslope walking are basic activities necessary for normal
daily living in community, and they impose greater joint load on the lower
extremities than during level walking. Thus, the purpose of this study was to
quantify the resultant and shear forces in the hip and knee joints during slope
walking. METHODS: Twelve healthy volunteers were evaluated when walking under
level and 10 degrees up- and downslope conditions. Three-dimensional gait
analysis was conducted using a 7-camera optoelectronic motion analysis system
combined with a force plate to measure ground reactive force. Joint forces in the
hip and knee joints were estimated using musculoskeletal model simulation.
RESULTS: Results showed that the resultant hip force was increased significantly
to 117.2% and 126.9%, and the resultant knee force was increased to 133.5% and
144.5% in up- and downslope walking, respectively, compared to that of level
walking. Furthermore, increased shear force in the hip and knee joints was noted
during both slope walking conditions. CONCLUSIONS: This information may be
beneficial for therapists advising elderly people or patients with osteoarthrosis
on an appropriate gait pattern, gait assistive devices, or orthoses according to
their living environment.
PMID- 29658521
TI - Ratios of torques of antagonist muscle groups in female soccer players.
AB - PURPOSE: An increase in the value of the hamstring-to-quadriceps (H/Q) ratio with
an increase in angular velocity may effectively prevent injuries of the back of
the thigh. Previous studies have found that the conventional H/Q ratio was
unaltered along with an increasing angular velocity in females. Therefore, this
study aimed to investigate the relationships between the conventional H/Q ratio
and angular velocity in a group of female soccer players. METHODS: The study was
carried out on a group of 16 female soccer players (age: 20.7 +/- 3.9 years, body
height: 166.1 +/- 5.8 cm, body mass: 58.4 +/- 6.2 kg, training experience: 8.8 +/
4.1 years). Measurements of peak torque of extensors and flexors of the knee
joint under static conditions and under isokinetic conditions (at angular
velocities of 30 degrees /s, 60 degrees /s, 90 degrees /s and 120 degrees /s)
were carried out using a Biodex dynamometer. RESULTS: There was a statistically
significant increase in the conventional H/Q ratio with an increase in angular
velocity. These differences occurred between measurements at angular velocities
of 0 degrees /s and 30 degrees /s, and 30 degrees /s and 60 degrees /s.
CONCLUSIONS: As previously found for males, an increase in conventional H/Q ratio
with increased angular velocity was also present in this group of female players.
This phenomenon should reduce the number of injuries of the muscles of back of
the thigh. Coaches should pay attention to increasing the level of strength in
the group of knee joint flexor muscles so as to make the value of the H/Q ratio
appropriately high and increasing with increasing angular velocity.
PMID- 29658522
TI - Effects of anthropometric factors on postural stability in individuals with
hearing impairment.
AB - PURPOSE: Identification of factors that affect postural stability may help to
improve diagnostic accuracy and enhance the quality of treatment and
rehabilitation. This study sought to assess the relationship between postural
stability parameters and anthropometric factors of persons with hearing
impairment (HI). METHODS: The study included 128 individuals - 42 subjects with
HI and 86 without HI (healthy controls). Research methodology included an
interview and a medical examination, anthropometric measurements and
stabilometric tests on platforms with stable and unstable surfaces. RESULTS: In
the group of female study participants with HI, significant correlations were
only noted between body height and the Fall Risk Index (FRI). In the group of
male subjects with HI, the study revealed significant correlations between FRI
and body mass, BMI, % MM (muscle mass percentage) and % FAT (fat percentage).
Moreover, moderate correlation was found between COP path with eyes open and body
mass, while high correlation was observed between COP path with eyes open and
BMI, % MM and % FAT. No significant correlation was noted between FRI and body
height in men with HI. CONCLUSIONS: The examination of correlations between
postural stability and body build of persons with HI did not confirm the effects
of body height on postural stability in the examined group of individuals with
HI, but revealed a greater influence of somatic parameters (body mass, BMI, % MM,
% FAT) on postural stability in hearing-impaired men.
PMID- 29658523
TI - Determination of electrophysical and structural properties of human cancellous
bone and synthetic bone substitute material using impedance spectroscopy and X
ray powder diffraction.
AB - Electrophysical stimulation is used to support fracture healing and bone
regeneration. For design optimization of electrostimulative implants, in
combination with applied human donor bone or synthetic bone scaffolds, the
knowledge of electrophysical properties is fundamental. Hence further
investigations of the structural properties of native and synthetic bone is of
high interest to improve biofunctionality of bone scaffolds and subsequent
healing of the bone defect. The investigation of these properties was taken as an
objective of this study. Therefore, surgically extracted fresh cylindrical and
consecutively ashed cancellous bone samples from human osteoarthritic femoral
heads were characterized and compared to synthetic bone substitute material.
Thereby, impedance spectroscopy is used to determine the electrophysical
properties and X-ray powder diffraction (XRD) for analysis of structural
information of the bone samples. Conductivity and permittivity of fresh and ashed
cancellous bone amounted to 1.7?10-2 S/m and 7.5?106 and 2?10-5 S/m and 7.2?103,
respectively. Electrical conductivity and dielectric permittivity of bone
scaffold resulted in 1.7?10-7 S/m and 49. Analysis of the structural properties
showed that the synthetic bone scaffolds made of Brushite exhibited some
reflections which correspond to the native bone samples. The information in
present study of the bone material (synthetic and autologous) could be used for
later patient individual application of electrostimulative implants.
PMID- 29658524
TI - Reference values of spatiotemporal parameters, joint angles, ground reaction
forces, and plantar pressure distribution during normal gait in young women.
AB - PURPOSE: The aim of this study was to establish the reference values of
spatiotemporal parameters, joint angles, ground reaction forces and plantar
pressure distribution collected simultaneously on the same measurement path
during normal gait in a homogenous group of young, healthy women. METHODS: The
studied group consisted of 28 healthy women aged 21 years on average. The motion
capture system BTS Smart-D, 2 AMTI force platforms and Footscan pedobarographic
platform were used in this research. The 14-metre measurement path and the 6
metre distance that examined women had to walk through before entering the
measurement area ensured that a natural gait pattern was recorded. Statistical
analysis was performed to evaluate differences between right and left lower
extremities. RESULTS: The applied method enabled collecting several parameters
regarding normal female gait biomechanics such as: spatiotemporal parameters,
angle-time characteristics as well as range of motion of pelvis, hip, knee and
ankle joints in gait cycle, force-time characteristics and peaks of ground
reaction force components in stance phase, peak pressure and time of loading of
defined foot regions in stance phase. CONCLUSIONS: The results may be used by
clinicians, physiotherapists or researchers as a reference in diagnosing gait
disorders or evaluating patient's walking pattern. In recent literature there are
some disparities in gait parameters reference values even regarding similar
research groups. These differences may arise from distinct method, slower or
faster gait, other laboratory environment etc. This should be considered and more
than one source of normative values should be checked when searching for
reference data.
PMID- 29658525
TI - Countermovement depth - a variable which clarifies the relationship between the
maximum power output and height of a vertical jump.
AB - PURPOSE: The aim of this study was to identify the determinants of peak power
achieved during vertical jumps in order to clarify relationship between the
height of jump and the ability to exert maximum power. METHODS: One hundred young
(16.8+/-1.8 years) sportsmen participated in the study (body height 1.861 +/-
0.109 m, body weight 80.3 +/- 9.2 kg). Each participant performed three jump
tests: countermovement jump (CMJ), akimbo countermovement jump (ACMJ), and spike
jump (SPJ). A force plate was used to measure ground reaction force and to
determine peak power output. The following explanatory variables were included in
the model: jump height, body mass, and the lowering of the centre of mass before
launch (countermovement depth). A model was created using multiple regression
analysis and allometric scaling. RESULTS: The model was used to calculate the
expected power value for each participant, which correlated strongly with real
values. The value of the coefficient of determination R2 equalled 0.89, 0.90 and
0.98, respectively, for the CMJ, ACMJ, and SPJ jumps. The countermovement depth
proved to be a variable strongly affecting the maximum power of jump. If the
countermovement depth remains constant, the relative peak power is a simple
function of jump height. CONCLUSIONS: The results suggest that the jump height of
an individual is an exact indicator of their ability to produce maximum power.
The presented model has a potential to be utilized under field condition for
estimating the maximum power output of vertical jumps.
PMID- 29658526
TI - Curve fitting problem: torque - velocity relationship with polynomials and
Boltzmann sigmoid functions.
AB - PURPOSE: The aim of this study was to investigate the curve fitting and model
selection problem of the torque-velocity relationship of elbow flexors and
extensors in untrained females. The second goal was to determine the optimal
models in different function classes and the best, among the optimal ones.
Lastly, test the best models to predict the torque were tested. METHODS: Using
the polynomials (second - fourth degree) and Boltzmann sigmoid functions, and a
different presentation of data points (averages, a point cloud, etc.), we
determined the optimal models by both error criteria: minimum residual sum of
squares and minimum of the maximal absolute residue. To assess the best models,
we applied Akaike and Bayesian information criteria, Hausdorff distance and the
minimum of the smallest maximal absolute residue and the predictive torque
velocity relationships of the best models with torque values, calculated beyond
the experimental velocity interval. RESULTS: The application of different error
and model selection criteria showed that the best models in the majority of cases
were polynomials of fourth degree, with some exceptions from second and third
degree. The criteria values for the optimal Boltzmann sigmoids were very close to
those of the best polynomial models. However, the predicted torque-velocity
relationships had physiological behavior only in Boltzmann's sigmoid functions,
and their parameters had a clear interpretation. CONCLUSION: The results obtained
suggest that the Boltzmann sigmoid functions are suitable for modeling and
predicting of the torque-velocity relationship of elbow flexors and extensors in
untrained females, as compared to polynomials, and their curves are
physiologically relevant.
PMID- 29658527
TI - The differences between overground and treadmill walking in nonlinear, entropy
based and frequency variables derived from accelerometers in young and older
women - preliminary report.
AB - PURPOSE: The aim of this study was to compare gait stability and variability
between walking conditions and age groups. METHODS: Twenty-six healthy younger
and older females participated. Trunk acceleration in the vertical (V), medial
lateral (ML) and anteriorposterior (AP) directions during 5 minutes walking
overground and 3 minutes walking on the treadmill at self-selected speed were
recorded. Root mean square and standard deviations of acceleration, stride time
and its variability, Lyapunov exponents (LE), multiscale entropy (MSE) and
harmonic ratios (HR) were computed. RESULTS: Both age groups showed significantly
higher stride time variability and short-term LE in all directions during
overground walking. For the older group, overground walking showed higher V and
AP standard deviation. Significantly lower values for overground walking were
observed for long-term LE (V and ML for the younger group, ML for the older
group), HR (ML for the older group) and MSE (V for the older group). Significant
age-related differences were found for V long-term LE for overground walking.
CONCLUSIONS: The present findings suggest that both linear and advanced
computational techniques for gait stability and variability assessment in older
adults are sensitive to walking conditions.
PMID- 29658528
TI - Gait changes in patients after reconstruction of facial bones with fibula and
iliac crest free vascularized flaps.
AB - PURPOSE: Patients with malignant tumours of the oral cavity require its surgical
removal and reconstruction of the bone and soft tissues. The grafts are obtained
either from leg (fibula) or pelvis (iliac crest). The removal of grafts from the
locomotor apparatus can impair the gait. The aim of this study was to find out
how the localization of donor site influences the gait pattern. METHODS: Results
obtained for 30 patients were analyzed (16 fibula graft, 14 iliac crest graft).
Patients underwent instrumented gait analyses three times (VICON system): before
surgery, 2-4 month after the surgery, and 4-8 months after the surgery. RESULTS:
In both groups several gait parameters were changed. Two parameters changed in
both groups: gait speed and cadence. In patients receiving iliac crest graft the
changed gait variables were: pelvic rotation, hip range in sagittal plane
(operated side), knee range in sagittal plane (operated side), foot dorsiflexion
in swing on both sides. In patients receiving fibula flap the changed gait
variables were: tilt, range motion of the tilt, minimum hip flexion (operated
side), time to maximum knee flexion (non-operated side), GGI (non-operated side)
and step length (nonoperated side). CONCLUSIONS: The primary gait deviations
occurring after surgery, and the compensatory mechanisms which subsequently arise
depend on the localization of graft donor site. The results indicate that the
patients in whom fibula flap was used have less problems with gait pattern after
the surgery than the patients receiving iliac crest graft.
PMID- 29658529
TI - The effect of trabecular bone storage method on its elastic properties.
AB - PURPOSE: The purpose of the study was to evaluate the effects of different
methods of trabecular bone storage on changes in its elastic properties. METHODS:
186 porcine trabecular bone samples were divided into 6 groups, approximately 30
samples each. Five groups were stored using the following methods: in buffered
10% formalin solution at room temperature, frozen at -21 ?C, in the open air at
room temperature, in 96% alcohol solution and in 50% alcohol solution at room
temperature. The samples were subjected to compression test to measure the
elastic modulus. The samples after the first measurement were subjected to
further measurements for 14 weeks, every 2 weeks. The sixth group was used to
determine the effects of 10 freeze-thaw cycles on changes in the elastic modulus.
A Kolmogorov-Smirnov test at significance level p = 0.05 was used to determine
the significance of changes in time. RESULTS: The changes in elastic properties
caused by the different storage methods were statistically insignificant, except
for the group of samples stored in the open air. The changes in elastic modulus
after 10 freeze-thaw cycles were also statistically insignificant. CONCLUSIONS:
Except for the storage method in the open air, other storage methods did not
significantly affect changes in elastic properties of the trabecular bones after
14 weeks. No effects of 10 freeze-thaw cycles on changes in elastic modulus were
observed.
PMID- 29658530
TI - The comparative study of influence of lactic and glycolic acids copolymers type
on properties of daunorubicin loaded nanoparticles and drug release.
AB - PURPOSE: The aim of this study was to compare the physico-chemical and biological
properties of polymeric nanoparticles obtained from poly(DL-lactide-co-glycolide)
(PLGA) with different ratios of monomers loaded with daunorubicin (DNR). METHODS:
DNR-loaded nanoparticles (NPs) were prepared with use of modified simultaneous
double-emulsion solvent evaporation/diffusion technique. NPs were characterized
using dynamic light scattering, atomic force microscopy, transmission electron
microscopy, scanning electron microscopy, and differential scanning calorimetry
and Fourier transform infrared spectroscopy. RESULTS: NPs with DNR were differing
in size and zeta potential, depending on the type of polymer. The data obtained
show that total content of DNR correlates with the values of the binding constant
of DNR with polymers. The release of DNR from NPs proceeds predominantly for
polymers with lower binding constants. The in vitro study of NPs on the MCF-7
cells showed similar activity of particles and substances while for the
anthracycline-resistant MCF-7Adr cells the cytotoxicity of the nanoparticles was
3 to 7 times higher depending on the type of copolymer. CONCLUSIONS: PLGA DNR
loaded nanoparticles can be used to overcome multidrug resistance (MDR) as well
as for reducing the frequency of DNR reception due to the prolonged effect, which
allows maintaining the concentration of the drug at the required level. The
usefulness of binding constant calculations for obtaining nanoparticles with the
maximum drug loading was proven. The rate of drug administration and the
frequency of administration can be calculated based on the DNR release profiles
and release parameters that depend on polymer type.
PMID- 29658531
TI - Preliminary assessment of vibration impacts generated by the public transport
systems on pregnant women based on subjective reactions.
AB - PURPOSE: Research efforts summarised in this study were a part of preliminary
investigation to evaluate the impact of pregnant women's exposure to vibrations
generated by public transport system when using. METHODS: The assessment of the
problem and discomfort for pregnant women, caused by the public transportation is
based on a questionnaire including questions relating to the women's subjective
feelings on well-being or discomfort when exposed to vibration during the ride in
passenger cars or when using means of public transport. In the second stage of
investigation, vibrations transmitted onto a sitting person during the tram or
car ride were measured. RESULTS: The survey shows that when travelling on a tram
the women complained mostly of digestive or neurological disorders, during the
car ride they suffered most acutely from digestive disorders, sensed enhanced
foetus movements and reported problems with the osteoarticular system. Amplitude
frequency characteristics of the investigated vehicle types reveal that vibration
amplitudes registered during the ride in a motor vehicle are higher than on tram
(in the analysed frequency range). Furthermore, vibration accelerations in the z
axis direction registered during the tram ride exceed the vibration discomfort
threshold, particularly for the 1/3 octave bands 5 and 6.3 Hz. These are
frequency ranges corresponding to resonance frequencies of vital organs in the
abdominal cavity (4.5-10 Hz). CONCLUSIONS: Correlating these results with
frequencies reported in literature associated with subjective human responses to
vibration, shows that pregnant women using public transport are likely to suffer
from a variety of ailments caused by vibrations generated during the ride.
PMID- 29658532
TI - Changes of albumin secondary structure after palmitic acid binding. FT-IR
spectroscopic study.
AB - PURPOSE: Albumin is an universal transport protein. Plasma pool of free fatty
acids arising from triglyceride hydrolysis, critical in energy metabolism and
etiology of metabolic disorders is transported by albumin. According to various
studies albumin has from seven to nine binding sites with diverse affinity to
long chain fatty acids. X-ray diffraction crystallography measurements have
provided data only for pure human serum albumin or albumin with fully saturated
binding sites. These results have shown that amount of ?-helices is higher after
fatty acids binding. Molecular mechanics simulations suggest that binding of
fatty acids in two high-affinity sites leads to major conformational changes in
albumin structure. The aim of this research was to investigate albumin secondary
structure upon gradually increasing fatty acids to protein mole ratio. METHODS:
Fourier transform infrared spectroscopy was applied to study changes of bovine
serum albumin (as an analogue of human serum albumin) ?-helical structures after
binding palmitic acid in a range of 0-20 palmitic acid: albumin molar ratios
representing pure protein, partial, full saturation and excess binding sites
capacity. RESULTS: Amount of ?-helices was increasing along with the amount of
palmitic acid: bovine serum albumin molar ratio and reached maximum value around
2 mol/mol. CONCLUSIONS: Our studies confirmed molecular mechanics simulations and
crystallographic studies. Palmitic acid binding in two high-affinity sites leads
to major structural changes, filling another sites only slightly influenced
bovine serum albumin secondary structure. The systematic study of fatty acids and
albumin interactions, using an experimental model mimicking metabolic disorders,
may results in new tools for personalized nanopharmacotherapy.
PMID- 29658533
TI - Accelerometer profile of motion of the pelvic girdle in butterfly swimming.
AB - PURPOSE: The aim of the study was to develop a method to measure and analyse
kinematics of movement of the pelvic girdle in butterfly swimming in order to
support training of technical skills. METHODS: A device for recording triaxial
accelerations and triaxial rotational angular velocities was mounted on the
dorsal part of the pelvic girdle of athlete. The measurements were performed in
ten elite butterfly swimmers (age: 23.1 +/- 3.7 years, body height: 187.6 +/- 4.3
cm, body mass 83.4 +/- 6.33 kg). The task of the athlete was to swim one length
of short course pool at maximal intensity. Individual mean graphical and
numerical profiles of the kinematics of the movement of the pelvic girdle was
computed, within the average cycle based on five consecutive cycles. RESULTS:
Statistical analysis of numerical individual parameters of profiles of the
athletes studied revealed statistically significant differences between the
swimmers. Statistically significant correlations were also found between personal
best times in 50 m swimming (r = -0.76, p < 0.05) and 100 m swimming (r = -0.76,
p < 0.05) and duration of the part of the cycle connected with the decline in
velocity from maximum translational motion velocity obtained during propulsion
with the upper and lower limbs to minimum value of the velocity obtained before
the beginning of propulsion only with the lower limbs. CONCLUSION: The proposed
measurement method, presentation and analysis of the profile of the pelvic girdle
motion in butterfly swimming represents a good tool for fast and effective
qualitative and quantitative biomechanical evaluation of movement technique
components.
PMID- 29658535
TI - [Priorities for the future for a year celebrations of the past].
PMID- 29658534
TI - The influence of osteoporotic bone structures of the pelvic-hip complex on stress
distribution under impact load.
AB - PURPOSE: The aim of this study was to determine the effect of bone mineral
density (BMD) on the stress distribution in pelvic-hip complex (PHC) model which
included bone structures and soft tissues. Bone mass changes in osteoporosis and
osteopenia were considered in this analysis. In addition, the relations between
force direction and stress distribution causing PHC fractures were determined.
METHODS: This paper presents the development and validation of a detailed 3D
finite element model with high anatomical fidelity of the PHC and BMD changes in
trabecular and cortical bones, modelled based on CT scans. 10 kN loading was
induced on a model consisting of 8 ligaments, the pelvis, sacrum, femur in front
and side directions. RESULTS: For validation, the results of this model were
compared to physiological stress in standing position and previous results with
high-energy crashes under side impact load. Analysis of side-impact indicated the
influence of BMD on femoral neck fractures, acetabular cartilage and sacroiliac
joint delaminations. Front-impact analysis revealed the inferior pubic ramus,
femoral neck fractures and soft tissue injuries, i.e., acetabular cartilage and
symphysis pubis in osteoporosis and osteopenia. CONCLUSIONS: The elaborated PHC
model enables effective prediction of pelvis injuries in high-energy trauma,
according to Young-Burgess classification, and the determination of the influence
of BMD reduction on pelvis trauma depending on force direction. The correlation
between BMD and stress distribution causing varying injuries was determined.
PMID- 29658536
TI - [Education, motivations and working conditions of a cohort of nurses attending to
an open-competition].
AB - : . Education, motivations and working conditions of a cohort of nurses attending
to an open-competition. INTRODUCTION: In the last decade, the progressive
reduction of staff turnover decreased together with the number of open
competitions, now attended by thousands of candidates, portraying an image of
massive unemployment in the nursing profession. AIM: To describe the socio
demographic profile, the studies, previous work experiences and motivations of a
cohort of nurses participats a maxi-competition in Lombardy region. METHODS: A
questionnaire with 38 questions was administered to collect the socio-demographic
data, information on education and work activities, their experiences and skills
and the motivations for participating to the competition. RESULTS: The 6365
candidates were mainly women; unmarried and young people (mean age 26 years),
with a degree in nursing obtained mainly in three years (44%). Over all 80% of
respondents started to work within 1 year post-graduation; 57% were participating
for the first time to a competition; 27% of candidates were currently employed on
a temporary or permanent basis. Nurses would like to work in specialty areas such
as critical care units, emergency departments and operating rooms, although their
practical training was mainly in medicines and general surgeries. CONCLUSIONS:
Most nurses are employed few months after graduation. The massive participation
to public competitions depends on the limited number of procedures and not on the
excess of nurses. A public competition with thousands of candidates, does not
allow to select the most competent nurses and should be reformed.
PMID- 29658537
TI - [Missed nursing care terminologies, theoretical concepts and measurement
instruments: a literature review].
AB - : . Missed nursing care terminologies, theoretical concepts and measurement
instruments: a literature review. INTRODUCTION: In the last 15 years the amount
of studies on missed nursing care has increased, highlighting the global
dimensions of this phenomenon. OBJECTIVE: To identify (a) the terms and the
conceptual models currently available; (b) the measurement tools validated in the
field of missed care both at the international and at the national levels.
METHOD: In December 2017 a literature search was conducted by accessing Cochrane
Library, MEDLINE, CINAHL, Scopus and Web of Science databases. The search
provided 819 results; after removing duplicates, 273 abstracts were evaluated and
33 studies included. RESULTS: In the field of missed care, three main approaches
have been developed to date: (a) Tasks Undone (TU), (b) Implicit Rationing (IR)
and (c) Missed Nursing Care (MC). Each of these approaches has used different
definitions, conceptual frameworks and measurement tools and has originated in
different contexts. Those studies conducted in Italy have been performed mostly
within the MC approach, by adopting the same definition, conceptual framework and
instrument. CONCLUSIONS: Overall the missed nursing care definition, its
conceptual model and the MISSCARE survey tool reflect the most widespread
approach in the field, both at the international and Italian levels. There is a
need to develop a common theoretical approach, definition and tool aimed at
increasing the consistency of evaluations as well as the opportunity of
international comparisons.
PMID- 29658538
TI - [Effectiveness of oral nutritional supplements to prevent hospital malnutrition.
Overview of systematic reviews].
AB - : . Effectiveness of oral nutritional supplements to prevent hospital
malnutrition. Overview of systematic reviews. INTRODUCTION: Although oral
nutritional supplements (ONSs) are among most popular interventions to prevent a
condition of hospital malnutrition, their real benefits need to be clarified.
AIM: To evaluate in adults the effectiveness of ONSs to prevent hospital
malnutrition. METHODS: Papers retrieval by querying biomedical databases The
Cochrane Library, Medline, Embase, CINAHL. Overview of systematic reviews
evaluated for methodological quality according to R-AMSTAR checklist with
narrative synthesis of results. RESULTS: Twenty-five systematic reviews met the
inclusion criteria. Methodological quality was better in more recent studies. Low
moderate quality evidence showed a trend for decreasing mortality, complications,
length of stay; there was no clear reduction in readmissions. Inadequate data do
not allow to assess the impact on quality of life. The intervention seems
promising for subjects over 75 years, malnourished, with pathological conditions
of geriatric interest, in hospital or long-term care services, but differences
are not always significant. The definition of malnutrition, screening procedures
and assessment tools adopted varies widely, as well as the characteristics of
participants, intervention and duration of studies included in systematic
reviews; this makes difficult to estimate the net benefit due to ONSs.
CONCLUSIONS: The intervention should be included in a general approach to
nutrition in clinical practice. At present the role of ONSs to prevent hospital
malnutrition is still unclear.
PMID- 29658539
TI - [The voice of nurses on cuts and restructurations of National Health Systems].
AB - . The recent global economic recession has affected nursing working conditions in
terms of salary reductions, increased workload and staff shortages. Poor nursing
working conditions are associated with higher levels of burnout. A literature
review was conducted to identify contributions of European nurses from 2010 on
the consequences of the economic crisis on the health services. Only few
contributions were identified and from a limited number of countries (UK, Italy,
Spain and Greece).
PMID- 29658541
TI - [Nurses and drugs. A new journal: Competence 4].
PMID- 29658540
TI - [About meta-analyses].
AB - . Based on the publication in this issue of AI&R of a metanalytic assessment of
the degree and the quality of the available evidences on the clinical efficacy of
an otherwise diffuse utilization of nutritional integration on a spectrum of
fragile patients, the methodological comment is focused on the limitations,
biases, misleading implications which are today threatening the role of
metanalytical analyses of existing literature, to produce useful-reliable
evidences in areas where the representativeness of the source of data is
substantially scarce. The exposure to such risk appears to be specifically
worrying in the field of nursing care, due to the very limited availability of
randomized and other "controlled" prospective original studies with hard end
points.
PMID- 29658542
TI - [Precision medicine and omic sciences: promises and facts].
AB - . Precision Medicine refers to the tailoring of medical treatment to the
individual characteristics of each patient. It aims at classifying individuals
into subpopulations that differ in their susceptibility to a disease, in the
biology or prognosis, or in their response to a specific treatment. In this paper
a general overview of precision medicine is offered, focusing on promises and
limitations, and stressing its importance also for the nursing profession.
PMID- 29658543
TI - Rosamine with pyronine-pyridinium skeleton: unique mitochondrial targetable
structure for fluorescent probes.
AB - Two rosamine-based probes (1a-b) with pyronine-pyridinium skeleton were designed
and prepared. Probe 1a bearing boron ester unit was oxidized and eliminated upon
addition of hydrogen peroxide (H2O2), and the emission spectrum exhibited OFF-ON
response accompanied by 33-fold fluorescent enhancement. In contrast, the
fluorescence intensity of probe 1b enhanced 58 times after the dinitrophenyl
ether part within the probe was removed by nucleophilic substitution with
hydrosulfide (H2S). The design concept was based on the d-PET process in pyronine
pyridinium structures, and free 4-pyridinyl-substituted pyronine dye showing
strong fluorescence was released followed by elimination. Furthermore, as
biocompatible molecules, probes 1a-b have been successfully applied for imaging
in live HeLa and Ges-1 cells, and all of them can serve as mitochondrial
targetable probes in red channel for detecting independent species.
PMID- 29658544
TI - Aerosol processing: a wind of innovation in the field of advanced heterogeneous
catalysts.
AB - Aerosol processing is long known and implemented industrially to obtain various
types of divided materials and nanomaterials. The atomisation of a liquid
solution or suspension produces a mist of aerosol droplets which can then be
transformed via a diversity of processes including spray-drying, spray pyrolysis,
flame spray pyrolysis, thermal decomposition, micronisation, gas atomisation,
etc. The attractive technical features of these aerosol processes make them
highly interesting for the continuous, large scale, and tailored production of
heterogeneous catalysts. Indeed, during aerosol processing, each liquid droplet
undergoes well-controlled physical and chemical transformations, allowing for
example to dry and aggregate pre-existing solid particles or to synthesise new
micro- or nanoparticles from mixtures of molecular or colloidal precursors. In
the last two decades, more advanced reactive aerosol processes have emerged as
innovative means to synthesise tailored-made nanomaterials with tunable surface
properties, textures, compositions, etc. In particular, the "aerosol-assisted sol
gel" process (AASG) has demonstrated tremendous potential for the preparation of
high-performance heterogeneous catalysts. The method is mainly based on the low
cost, scalable, and environmentally benign sol-gel chemistry process, often
coupled with the evaporation-induced self-assembly (EISA) concept. It allows
producing micronic or submicronic, inorganic or hybrid organic-inorganic
particles bearing tuneable and calibrated porous structures at different scales.
In addition, pre-formed nanoparticles can be easily incorporated or formed in a
"one-pot" bottom-up approach within the porous inorganic or hybrid spheres
produced by such spray drying method. Thus, multifunctional catalysts with
tailored catalytic activities can be prepared in a relatively simple way. This
account is an overview of aerosol processed heterogeneous catalysts which
demonstrated interesting performance in various relevant chemical reactions like
isomerisation, hydrogenation, olefin metathesis, pollutant total oxidation,
selective oxidation, CO2 methanation, etc. A short survey of patents and
industrial applications is also presented. Our objective is to demonstrate the
tremendous possibilities offered by the coupling between bottom up synthesis
routes and these aerosol processing technologies which will most probably
represent a major route of innovation in the mushrooming field of catalyst
preparation research.
PMID- 29658545
TI - Current characterization methods for cellulose nanomaterials.
AB - A new family of materials comprised of cellulose, cellulose nanomaterials (CNMs),
having properties and functionalities distinct from molecular cellulose and wood
pulp, is being developed for applications that were once thought impossible for
cellulosic materials. Commercialization, paralleled by research in this field, is
fueled by the unique combination of characteristics, such as high on-axis
stiffness, sustainability, scalability, and mechanical reinforcement of a wide
variety of materials, leading to their utility across a broad spectrum of high
performance material applications. However, with this exponential growth in
interest/activity, the development of measurement protocols necessary for
consistent, reliable and accurate materials characterization has been outpaced.
These protocols, developed in the broader research community, are critical for
the advancement in understanding, process optimization, and utilization of CNMs
in materials development. This review establishes detailed best practices,
methods and techniques for characterizing CNM particle morphology, surface
chemistry, surface charge, purity, crystallinity, rheological properties,
mechanical properties, and toxicity for two distinct forms of CNMs: cellulose
nanocrystals and cellulose nanofibrils.
PMID- 29658546
TI - Influence of molecular weight between crosslinks on the mechanical properties of
polymers formed via ring-opening metathesis.
AB - The apparent molecular weight between crosslinks (Mc,a) in a polymer network
plays a fundamental role in the network mechanical response. We systematically
varied Mc,a independent of strong noncovalent bonding by using ring-opening
metathesis polymerization (ROMP) to co-polymerize dicyclopentadiene (DCPD) with a
chain extender that increases Mc,a or a di-functional crosslinker that decreases
Mc,a. We compared the ROMP series quasi-static modulus (E), tensile yield stress
(sigmay), and fracture toughness (KIC and GIC) in the glassy regime with
literature data for more polar thermosets. ROMP resins showed high KIC (>1.5 MPa
m0.5), high GIC (>1000 J m-2), and 4-5 times higher high rate impact resistance
than typical polar thermosets with similar Tg values (100 degrees C to 178
degrees C). The overall E values were lower for ROMP systems. The sigmay
dependence on Mc,a and T-Tg for ROMP resins was qualitatively similar to more
polar thermosets, but the overall sigmay values were lower. In contrast to more
polar thermosets, the KIC and GIC values of the ROMP resins showed strong Mc,a
and T-Tg dependence. High rate impact (~104-105 s-1) trends were similar to the
KIC and GIC behavior, but were also correlated to sigmay. Overall, a ductile
failure mode was observed for quasi-static and high rate results for a linear
ROMP polymer (Mc,a = 1506 g mol-1 due to chain entanglement), and this gradually
transitioned to a fully brittle failure mode for highly crosslinked ROMP polymers
(Mc,a <= 270 g mol-1). Molecular dynamics (MD) simulations showed that low Mc,a
ROMP resins were more likely to form molecular scale nanovoids. The higher chain
stiffness in low Mc,a ROMP resins inhibited stress relaxation in the vicinity of
these nanovoids, which correlated with brittle mechanical responses. Overall,
these differences in mechanical properties were attributed to the weak non
covalent interactions in ROMP resins.
PMID- 29658547
TI - A ratiometric iron probe enables investigation of iron distribution within tumour
spheroids.
AB - Iron dysregulation is implicated in numerous diseases, and iron homeostasis is
profoundly influenced by the labile iron pool (LIP). Tools to easily observe
changes in the LIP are limited, with calcein AM-based assays most widely used. We
describe here FlCFe1, a ratiometric analogue of calcein AM, which also provides
the capacity for imaging iron in 3D cell models.
PMID- 29658548
TI - A solution NMR toolset to probe the molecular mechanisms of amyloid inhibitors.
AB - The self-association of the amyloid beta (Abeta) peptide into toxic oligomers is
implicated in the early events leading to Alzheimer's disease (AD). Blocking the
formation of Abeta oligomers and their interactions with the extracellular and
cellular environment through small molecules and biopharmaceuticals is therefore
a promising preventive strategy for AD. However, given the heterogeneity and
transient nature of the Abeta oligomeric species, detailed structural and kinetic
characterizations of such oligomers and oligomer:inhibitor complexes have proven
to be challenging. Here, we discuss recent advancements in solution NMR that have
been instrumental in overcoming these limitations and we provide two
representative examples of Abeta inhibitors from our work to demonstrate the
applications of such experiments, i.e. EGCG and human serum albumin.
PMID- 29658552
TI - Exfoliation of ultrathin FePS3 layers as a promising electrocatalyst for the
oxygen evolution reaction.
AB - Few-layer ternary FePS3 nanosheets, prepared via chemical vapor transport
synthesis and ball-milling exfoliation, exhibit excellent electrocatalytic
performance for the oxygen evolution reaction in an alkaline medium. Combined
with first principles calculations, our X-ray spectroscopy and HRTEM results
clearly reveal that the introduction of in-plane defects in FePS3 layers after
exfoliation and formation of a FePS3-FeOOH heterostructure during the OER process
largely contribute to the catalytic activity enhancement.
PMID- 29658553
TI - Protein-protein interactions within photosystem II under photoprotection: the
synergy between CP29 minor antenna, subunit S (PsbS) and zeaxanthin at all-atom
resolution.
AB - The assembly and disassembly of protein complexes within cells are crucial life
sustaining processes. In photosystem II (PSII) of higher plants, there is a
delicate yet obscure balance between light harvesting and photo-protection under
fluctuating light conditions, that involves protein-protein complexes. Recent
breakthroughs in molecular dynamics (MD) simulations are combined with new
approaches herein to provide structural and energetic insight into such a complex
between the CP29 minor antenna and the PSII subunit S (PsbS). The microscopic
model involves extensive sampling of bound and dissociated states at atomic
resolution in the presence of photo-protective zeaxanthin (Zea), and reveals well
defined protein-protein cross-sections. The complex is placed within PSII, and
macroscopic connections are emerging (PsbS-CP29-CP24-CP47) along the energy
transfer pathways from the antenna to the PSII core. These connections explain
macroscopic observations in the literature, while the previously obscured atomic
scale details are now revealed. The implications of these findings are discussed
in the context of the Non-Photochemical Quenching (NPQ) of chlorophyll
fluorescence, the down-regulatory mechanism of photosynthesis, that enables the
protection of PSII against excess excitation load. Zea is found at the PsbS-CP29
cross-section and a pH-dependent equilibrium between PsbS dimer/monomers and the
PsbS-CP29 dissociation/association is identified as the target for engineering
tolerant plants with increased crop and biomass yields. Finally, the new MD based
approaches can be used to probe protein-protein interactions in general, and the
PSII structure provided can initiate large scale molecular simulations of the
photosynthetic apparatus, under NPQ conditions.
PMID- 29658554
TI - A sinter-resistant catalyst using an alumina support recycled from AlP fumigation
residue: trash to treasure.
AB - Sintering is a long-standing issue especially in high temperature catalytic
applications. In this paper, we report an effective method to slow down metal
particle migration and coalescence (PMC) by using a thermally stable alumina
support. Noteworthily, the alumina sample was developed from AlP fumigation
residue, which is a very dangerous substance for living creatures and environment
protection. By optimizing the heated hydrolysis and ball-milling conditions, we
recycled a phosphate-stabilized alumina material that retained a 117 m2 g-1
surface area after 1050 degrees C hydrothermal aging. The catalyst using this
newly developed alumina support had Pd dispersion 1.7 times higher than that
using a commercial alumina support after aging. The kinetics and XPS experiments
showed that phosphate neither participated in the catalytic reaction process nor
changed the active sites. This catalyst also exhibited extraordinary water
tolerance and durability, making it a promising material in automotive exhaust
purification and other catalytic applications.
PMID- 29658556
TI - Thermodynamic versus non-equilibrium stability of palmitic acid monolayers in
calcium-enriched sea spray aerosol proxy systems.
AB - Of the major cations in seawater (Na+, Mg2+, Ca2+, K+), Ca2+ is found to be the
most enriched in fine sea spray aerosols (SSA). In this work, we investigate the
binding of Ca2+ to the carboxylic acid headgroup of palmitic acid (PA), a marine
abundant fatty acid, and the impact such binding has on the stability of PA
monolayers in both equilibrium and non-equilibrium systems. A range of Ca2+
conditions from 10 MUM to 300 mM was utilized to represent the relative
concentration of Ca2+ in high and low relative humidity aerosol environments. The
CO2- stretching modes of PA detected by surface-sensitive infrared reflection
absorption spectroscopy (IRRAS) reveal ionic binding motifs of the Ca2+ ion to
the carboxylate group with varying degrees of hydration. Surface tensiometry was
used to determine the thermodynamic equilibrium spreading pressure (ESP) of PA on
the various aqueous CaCl2 subphases. Up to concentrations of 1 mM Ca2+, each
system reached equilibrium, and Ca2+:PA surface complexation gave rise to a lower
energy state revealed by elevated surface pressures relative to water. We show
that PA films are not thermodynamically stable at marine aerosol-relevant Ca2+
concentrations ([Ca2+] >= 10 mM). IRRAS and vibrational sum frequency generation
(VSFG) spectroscopy were used to investigate the surface presence of PA on high
concentration Ca2+ aqueous subphases. Non-equilibrium relaxation (NER)
experiments were also conducted and monitored by Brewster angle microscopy (BAM)
to determine the effect of the Ca2+ ions on PA stability. At high surface
pressures, the relaxation mechanisms of PA varied among the systems and were
dependent on Ca2+ concentration.
PMID- 29658557
TI - Ab initio quantum transport in polycrystalline graphene.
AB - Synthesis techniques such as chemical vapor deposition yield graphene in
polycrystalline flakes where single-crystal domains are separated by grain
boundaries (GBs) of irregular shape. These structural defects are mostly made up
of pentagon-heptagon pairs and represent an important source of scattering, thus
strongly affecting electronic mobilities in polycrystalline graphene (PG). In the
present article, first-principles simulations are performed to explore charge
transport through a GB in PG using the Landauer-Buttiker formalism implemented
within the Green's function approach. In ideal GB configurations, electronic
transport is found to depend on their topology as already suggested in the
literature. However, more realistic GBs constructed out of various carbon rings
and with more complex periodicities are also considered, possibly inducing
leakage currents. Finally, additional realistic disorder such as vacancies, a
larger inter-connectivity region and out-of plane buckling is investigated. For
specific energies, charge redistribution effects related to the detailed GB
topology are found to substantially alter the transmissions. Altogether, the
transport gap is predicted to be inversely proportional to the smallest
significant periodic pattern and nearly independent of the interface
configuration.
PMID- 29658555
TI - Membrane-containing virus particles exhibit the mechanics of a composite material
for genome protection.
AB - The protection of the viral genome during extracellular transport is an absolute
requirement for virus survival and replication. In addition to the almost
universal proteinaceous capsids, certain viruses add a membrane layer that
encloses their double-stranded (ds) DNA genome within the protein shell. Using
the membrane-containing enterobacterial virus PRD1 as a prototype, and a
combination of nanoindentation assays by atomic force microscopy and finite
element modelling, we show that PRD1 provides a greater stability against
mechanical stress than that achieved by the majority of dsDNA icosahedral viruses
that lack a membrane. We propose that the combination of a stiff and brittle
proteinaceous shell coupled with a soft and compliant membrane vesicle yields a
tough composite nanomaterial well-suited to protect the viral DNA during
extracellular transport.
PMID- 29658559
TI - Modern science of a legacy problem: mercury biogeochemical research after the
Minamata Convention.
PMID- 29658558
TI - Nanoencapsulation of phase change materials for advanced thermal energy storage
systems.
AB - Phase change materials (PCMs) allow the storage of large amounts of latent heat
during phase transition. They have the potential to both increase the efficiency
of renewable energies such as solar power through storage of excess energy, which
can be used at times of peak demand; and to reduce overall energy demand through
passive thermal regulation. 198.3 million tons of oil equivalent were used in the
EU in 2013 for heating. However, bulk PCMs are not suitable for use without prior
encapsulation. Encapsulation in a shell material provides benefits such as
protection of the PCM from the external environment and increased specific
surface area to improve heat transfer. This review highlights techniques for the
encapsulation of both organic and inorganic PCMs, paying particular attention to
nanoencapsulation (capsules with sizes <1 MUm). We also provide insight on future
research, which should focus on (i) the development of multifunctional shell
materials to improve lifespan and thermal properties and (ii) advanced mass
manufacturing techniques for the economically viable production of PCM capsules,
making it possible to utilize waste heat in intelligent passive thermal
regulation systems, employing controlled, "on demand" energy release/uptake.
PMID- 29658560
TI - A substituent-tolerant synthetic approach to N/P-"loaded" heteroarenes.
AB - Tetrazines react with OCP-1 through a reverse electron demand Diels-Alder process
to produce 3,6-disubstituted-1,2,4-diazaphosphinin-5-olates. DFT calculations
reveal that both Diels-Alder and subsequent aromatization barriers are low for
both EWG and ED tetrazine substituents. The structure of the solid sodium salt
shows the interaction of Na+ with aryloxide and also both nitrogens of a
neighboring anion, leading to coordination polymer character. 1,2,4
Diazaphosphinin-5-olates react as nucleophiles towards MeI and R3SiCl,
respectively, and were installed on the (Ph3P)2Ru(CO)H fragment to investigate
their properties as ligands.
PMID- 29658561
TI - Plasma cholesterol lowering in an AngII-infused atherosclerotic mouse model with
moderate hypercholesterolemia.
AB - Atherosclerosis is the main underlying causes of cardiovascular disease. There is
a well-established association between high blood cholesterol levels and the
extent of atherosclerosis. Furthermore, atherosclerosis has been proposed to
augment abdominal aortic aneurysm (AAA) formation. As patients with AAA often
have parallel atherosclerotic disease and are therefore often on cholesterol
lowering therapy, it is not possible to fully address the independent effects of
plasma cholesterol lowering (PCL) treatment on AAA. The present study
investigated the effect of angiotensin II (AngII)-infusion in modestly
hypercholesterolemic Ldlr-/-Apob100/100Mttpflox/floxMx1-Cre mice with or without
PCL treatment on a morphological and molecular level, in terms of atherosclerosis
and AAA development. AngII infusion in the study mice resulted in an increased
atherosclerotic lesion area and increased infiltration of inflammatory
leukocytes, which was not observed in mice with PCL induced prior to AngII
infusion. This suggested that AngII infusion in this mouse model induced
atherosclerosis development, and that plasma cholesterol levels represent a
controlling factor. Furthermore, AngII infusion in Ldlr-/
Apob100/100Mttpflox/floxMx1-Cre mice caused a modest aneurysmal phenotype, and no
differences in AAA development were observed between the different study groups.
However, the fact that modest hypercholesterolemic mice did not develop AAA in a
classical aneurysmal model indicated that plasma cholesterol levels are important
for disease development.
PMID- 29658562
TI - MicroRNA-34a mediates atrial fibrillation through regulation of Ankyrin-B
expression.
AB - Atrial fibrillation (AF) has a high prevalence and recurrence rate, and is
associated with substantial mortality. However, its underlying mechanisms are not
thoroughly understood. Increasing attention has been paid to the roles of
microRNAs (miRs) in the pathogenesis of cardiovascular disease, including miR-1
and miR-133 (in the electrophysiological response), and miR-34a (in cardiac
fibrosis). Recently, Ankyrin-B (Ank-B), an adaptor protein, has been demonstrated
to be associated with AF. As a predicted target gene of miR-34a, the present
study aimed to investigate if miR-34a has a role in AF via regulation of Ank-B
expression. Western blot analysis revealed that the expression levels of Ank-B
was lower in the atrial tissue of AF patients than in individuals with sinus rate
(SR); however, reverse transcription-quantitative polymerase chain reaction data
demonstrated that miR-34a expression exhibited the opposite pattern. Dual
luciferase assays following the specific overexpression or inhibition of miR-34a
indicated that the 3' untranslated region of Ankyrin 2 (the gene encoding Ank-B)
contained binding sites for miR-34a. Furthermore, the expression levels of Ank-B
and sodium-calcium exchanger 1 (an Ank-B binding partner important in Ca2+
homeostasis), as well as intracellular Ca2+ signaling detected by Fluoro-3 AM,
were altered following the modulation of miR-34a expression. Thus, miR-34a may
serve an important role in early electrophysiological remodeling and the
development of AF via the regulation of Ank-B expression. These results offer
valuable insight into the underlying mechanism of AF, and provide a promising
target for developing clinical diagnostic tools and potential therapies for
patients with AF.
PMID- 29658563
TI - Preclinical evaluation of a novel engineered recombinant human anti-CD44v6
antibody for potential use in radio-immunotherapy.
AB - CD44v6 is overexpressed in a variety of cancers, rendering it a promising target
for radio-immunotherapy (RIT). In this study, we have characterized a novel
engineered recombinant monoclonal anti-CD44v6 antibody, AbN44v6, and assessed its
potential for use in RIT using either 177Lu or 131I as therapeutic radionuclides.
In vitro affinity and specificity assays characterized the binding of the
antibody labeled with 177Lu, 125I or 131I. The therapeutic effects of 177Lu
AbN44v6 and 131I-AbN44v6 were investigated using two in vitro 3D tumor models
with different CD44v6 expression. Finally, the normal tissue biodistribution and
dosimetry for 177Lu-AbN44v6 and 125I-AbN44v6/131I-AbN44v6 were assessed in vivo
using a mouse model. All AbN44v6 radioconjugates demonstrated CD44v6-specific
binding in vitro. In the in vitro 3D tumor models, dose-dependent therapeutic
effects were observed with both 177Lu-AbN44v6 and 131I-AbN44v6, with a greater
significant therapeutic effect observed on the cells with a higher CD44v6
expression. Biodistribution experiments demonstrated a greater uptake of 177Lu
AbN44v6 in the liver, spleen and bone, compared to 125I-AbN44v6, whereas 125I
AbN44v6 demonstrated a longer circulation time. In dosimetric calculations, the
critical organs for 177Lu-AbN44v6 were the liver and spleen, whereas the kidneys
and red marrow were considered the critical organs for 131I-AbN44v6. The
effective dose was in the order of 0.1 mSv/MBq for both labels. In conclusion,
AbN44v6 bound specifically and with high affinity to CD44v6. Furthermore, in
vitro RIT demonstrated growth inhibition in a CD44v6-specific activity-dependent
manner for both radioconjugates, demonstrating that both 177Lu-AbN44v6 and 131I
AbN44v6 may be promising RIT candidates. Furthermore, biodistribution and
dosimetric analysis supported the applicability of both conjugates for RIT. The
CD44v6-specific therapeutic effects observed with radiolabeled AbN44v6 in the 3D
tumor models in vitro, combined with the beneficial dosimetry in vivo, render
AbN44v6 a potential candidate for RIT.
PMID- 29658564
TI - C-terminal binding protein-2 mediates cisplatin chemoresistance in esophageal
cancer cells via the inhibition of apoptosis.
AB - C-terminal binding protein-2 (CtBP2) is a transcriptional co-repressor that is
associated with tumorigenesis and tumor progression. It has been reported to
predict a poor prognosis in several human cancers, including esophageal squamous
cell carcinoma (ESCC). The present study aimed to investigate the involvement of
CtBP2 in the cisplatin (DDP) resistance of the ECA109 ESCC cell line and its
effect on the expression of apoptosis-associated proteins. Constructed
recombinant lentiviruses were used for the knockdown or overexpression of CtBP2
in ECA109 cells, and the expression of CtBP2 was measured using reverse
transcription-quantitative polymerase chain reaction and western blotting
following transfection. MTT assays, Hoechst 33342 staining and flow cytometry
(FCM) were applied to detect the influence of CtBP2 on the DDP-induced viability
and apoptosis of the transfected ECA109 cells. In addition, the levels of
apoptosis-associated proteins, including p53, B-cell lymphoma 2 (Bcl-2), Bcl-2
associated X protein (Bax) and activated caspase-3 were investigated in the
transfected ECA109 cells. Stable ECA109 cells with CtBP2 overexpression or
knockdown were successfully established. The results of the MTT, Hoechst 33342
and FCM assays demonstrated that overexpression of CtBP2 attenuated the reduction
of cell viability and inhibited the cell apoptosis induced by DDP. Furthermore,
the western blotting results indicated that CtBP2 overexpression inhibited the
DDP-induced apoptosis of ECA109 cells via the reduction of p53, activated caspase
3 and Bax expression, and promotion of Bcl-2 expression. Therefore, the present
study indicated that CtBP2 reduced the susceptibility of ECA109 cells to DDP by
regulating the expression of apoptosis-related proteins, suggesting that it may
be a promising therapeutic target in ESCC in the future.
PMID- 29658565
TI - (-)-Epicatechin protects against myocardial ischemia-induced cardiac injury via
activation of the PTEN/PI3K/AKT pathway.
AB - Flavonol (-)-epicatechin (EPI) is primarily contained in green tea (Camellia
sinensis) and cocoa beans (Theobroma cacao), and has been demonstrated to be
beneficial for the health of the cardiovascular system. However, the effect and
the underlying mechanism of EPI on myocardial ischemia induced cardiac injury has
not yet been determined. Therefore, the present study aimed to detect whether EPI
inhibited myocardial ischemia injury. An in vivo mouse myocardial ischemia model
was induced by the ligation of left descending coronary artery for 7 days. EPI (1
mg/kg/day) was administrated 10 days prior to myocardial ischemia operation. The
in vitro mouse myocardial ischemia model was induced by cultivating neonatal
mouse cardiomyocytes under anoxia condition for 12 h. Cardiomyocytes were treated
with EPI (5 uM) for 1 h and then incubated under anoxia conditions. Mouse hearts
and cultured cardiomyocytes were used for hematoxylin-eosin, masson,
ultrasonography, terminal dUTP nick end-labeling, immunofluorescence, western
blotting and MTT assays. Results revealed that myocardial ischemia-induced mouse
cardiac injury was significantly inhibited by EPI, as evidenced by decreased
myocardial apoptosis, cardiac fibrosis and myocardial hypertrophy and improved
cardiac function. In addition, it was confirmed that EPI serves a protective
effect against myocardial ischemia via the phosphatase and tensin homolog
(PTEN)/phosphoinositide 3-kinase (PI3K)/protein kinase B (AKT) signaling pathway,
which was reversed by the PI3K inhibitor, LY294002. The protective role of EPI in
myocardial apoptosis was further confirmed on mouse cardiomyocytes following
anoxia treatment in vitro. In conclusion, the data suggested that EPI protects
against myocardial ischemia induced cardiac injury through the PTEN/PI3K/AKT
signaling pathway in vivo and in vitro, which may provide clinical therapeutic
approaches and targets for cardiac ischemia injury.
PMID- 29658566
TI - PEEK-biphasic bioceramic composites promote mandibular defect repair and
upregulate BMP-2 expression in rabbits.
AB - The present study aimed to investigate whether bone morphogenetic protein-2 (BMP
2) was involved in the repair of mandibular defects using polyether-ether-ketone
biphasic bioceramic (PEEK-BBC) composites in rabbits. PEEK-BBC composites with
abundant and interconnected pores were prepared by calcination and characterized
by scanning electron microscope. A mandibular defect model in rabbits was
established using dental grinder to produce a square hole. A total of 60 rabbits
were divided into four groups: Control, sham, surgery, and PEEK. In the PEEK
group, the holes were filled with the PEEK-BBC composite stents. In the surgery
group, the holes were produced but not filled with the composite stents. In the
sham group, only the molar grooves were exposed and grinding was not performed.
Animals without any treatment served as the control group. The success rate of
model establishment was 100%. At 4, 8, and 16 weeks after the model was
established, samples were collected from the molding sites. Bone repair was
evaluated by H&E staining and Goldner trichrome staining. Bone structures in both
control and sham groups were intact. A small number of osteocytes were observed
in the surgery group. However, in the PEEK group, osteocytes were already
evidently present in the composites at 4 weeks after surgery. At 8 and 16 weeks,
there were large numbers of osteocytes in the pores of the composites. The mRNA
and protein expression levels of BMP-2 were determined by reverse transcription
quantitative polymerase chain reaction and western blotting, respectively. The
mRNA and protein expression levels of BMP-2 between the control and sham groups
were similar and were continuously stable. However, following defect treatment,
BMP-2 mRNA and protein expression was upregulated, which was enhanced by the PEEK
BBC composites. In conclusion, PEEK-BBC composites promoted the growth of
osteocytes and repaired mandibular defects in rabbits, potentially via the
upregulation of BMP-2 expression.
PMID- 29658567
TI - HepaCAM inhibits the malignant behavior of castration-resistant prostate cancer
cells by downregulating Notch signaling and PF-3084014 (a gamma-secretase
inhibitor) partly reverses the resistance of refractory prostate cancer to
docetaxel and enzalutamide in vitro.
AB - Castration-resistant prostate cancer (CRPC) continues to be a major challenge in
the treatment of prostate cancer (PCa). The expression of hepatocyte cell
adhesion molecule (HepaCAM), a novel tumor suppressor, is frequently
downregulated or lost in PCa. Overactivated Notch signaling is involved in the
development and progression of PCa, including CRPC. In this study, we found that
the activities of Notch signaling were elevated, while HepaCAM expression was
decreased in CRPC tissues compared with matched primary prostate cancer (PPC)
tissues. In addition, HepaCAM negativity was found to be associated with a worse
progression-free survival (PFS). Furthermore, the overexpression of HepaCAM
induced by transfection with a HepaCAM overexpression vector (Ad-HepaCAM) exerted
antitumor effects by decreasing the proliferation, and suppressing the invasion
and migration of bicalutamide-resistant (Bica-R) cells and enzalutamide-resistant
(Enza-R) cells. Importantly, we found that the antitumor effects of HepaCAM on
the resistant cells were associated with the downregulation of Notch signaling.
Moreover, we revealed that PF-3084014 (a gamma-secretase inhibitor) re-sensitized
Enza-R cells to enzalutamide, and sequential dual-resistant (E+D-R) cells to
docetaxel. Additionally, the findings of this study demonstrated that the use of
PF-3084014 alone exerted potent antitumor effect on the resistant cells in vitro.
On the whole, this study indicates that HepaCAM potentially represents a
therapeutic target and PF-3084014 may prove to a promising agent for use in the
treatment of refractory PCa.
PMID- 29658568
TI - Circular RNA circCDK13 suppresses cell proliferation, migration and invasion by
modulating the JAK/STAT and PI3K/AKT pathways in liver cancer.
AB - Circular RNAs have recently been disclosed as potential biomarkers for human
cancers. This study aimed to characterize the expression and function of a novel
circular RNA, circCDK13, in liver cancer progression, as well as to elucide the
underlying mechanisms. For this purpose, circCDK13 expression was quantitatively
analyzed by RT-PCR in various liver cancer cell lines and human cancerous
tissues. The migration, cell cycle progression, proliferation and invasion of
liver cancer cells with an enhanced circCDK13 expression were evaluated by 3-(4,5
dimethylthiazol-2-yl)-5-(3-carboxymethoxyphenyl)-2-(4-sulfophenyl)-2H
tetrazolium, inner salt (MTS) assay, flow cytometry and the Transwell culture
system. Microarray and western blot analyses were performed to explore the
underlying signaling mechanisms. The role of circCDK13 in liver cancer was
finally examined by tumorigenicity assay using nude mice. The results revealed
that circCDK13 expression was suppressed in various liver cancer lines and tissue
samples from patients with liver cancer (hepatocellular carcinoma). The induced
overexpression of circCDK13 in the liver cancer cells markedly inhibited their
migration rates, altered cell cycle progression, and suppressed the cell
migratory and invasive capacities. Microarray analysis also identified numerous
downstream genes regulated by circCDK13, particularly those in the Janus tyrosine
kinase (JAK)/signal transducer and activator of transcription (STAT) and
phosphoinositide 3-kinase (PI3K)/AKT signaling pathways. The results of the
tumorigenicity assay revealed that circCDK13 overexpression significantly
inhibited liver cancer progression in nude mice. On the whole, the findings of
this study indicate that circCDK13 is a novel circular RNA that suppresses liver
cancer progression, and that these suppressive effects are possibly mediated via
the JAK/STAT and PI3K/AKT signaling pathways.
PMID- 29658569
TI - beta-catenin nuclear translocation induced by HIF-1alpha overexpression leads to
the radioresistance of prostate cancer.
AB - Hypoxia-inducible factor-1alpha (HIF-1alpha) is known to play crucial roles in
tumor radioresistance; however, the molecular mechanisms responsible for the
promotion of tumor radioresistance by HIF-1alpha remain unclear. beta-catenin is
known to be involved in the metastatic potential of prostate cancer (PCa). In
this study, to investigate the role of HIF-1alpha and beta-catenin in the
radioresistance of PCa, two PCa cell lines, LNCaP and C4-2B, were grouped as
follows: Negative control (no treatment), HIF-1alpha overexpression group
(transfected with HIF-1alpha overexpression plasmid) and beta-catenin silenced
group (transfected with HIF-1alpha plasmids and beta-catenin-shRNA). Cell
proliferation, cell cycle, cell invasion and radiosensitivity were examined under
normal or hypoxic conditions. In addition, radiosensitivity was examined in two
mouse PCa models (the LNCaP orthotopic BALB/c-nu mice model and the C4-2B
subcutaneous SCID mice model). Our results revealed that in both the LNCaP and C4
2B cells, transfection with HIF-1alpha overexpression plasmid led to an enhanced
beta-catenin nuclear translocation, while beta-catenin silencing inhibited beta
catenin nuclear translocation. The enhanced beta-catenin nuclear translocation
induced by HIF-1alpha overexpression resulted in an enhanced cell proliferation
and cell invasion, an altered cell cycle distribution, decreased apoptosis, and
improved non-homologous end joining (NHEJ) repair under normal and irradiation
conditions. Similar results were observed in the animal models. HIF-1alpha
overexpression enhanced beta-catenin nuclear translocation, which led to the
activation of the beta-catenin/NHEJ signaling pathway and increased cell
proliferation, cell invasion and DNA repair. These results thus suggest that HIF
1alpha overexpression promotes the radioresistance of PCa cells.
PMID- 29658570
TI - JWA suppresses the invasion of human breast carcinoma cells by downregulating the
expression of CXCR4.
AB - Breast cancer is the second leading cause of cancer-associated mortality, and
metastatic breast cancer is responsible for 90% of patient mortalities. Given
that JWA represses the proliferation, invasion and metastasis of a number of
other human tumor cells, including melanoma, esophageal, hepatocellular and
gastric carcinomas, via mitogen-activated protein kinase or integrin signaling,
the present study investigated the expression and function of JWA in human breast
cancers. The results showed that the expression level of JWA was significantly
reduced in human primary breast cancers when compared with the paired adjacent
tissues. Downregulating JWA enhanced, while overexpressing JWA suppressed, the
migration and invasion abilities of the two breast cancer cell lines, MDA-MB-468
and MDA-MB-231, without affecting their proliferations in vitro. In addition, JWA
negatively regulated the surface expression of CXCR4 in the two cell lines via
proteasome degradation, though not via transcriptional inhibition. Functionally,
normalizing the disturbed expressions of CXCR4 largely reversed the inhibitory
effect of JWA on cell invasion. These data demonstrated that JWA suppressed the
migration/invasion of breast carcinoma cells by downregulating the expression of
CXCR4, and suggested that JWA may harbor prognostic and therapeutic potential in
patients with breast cancer.
PMID- 29658571
TI - Upregulation of HOXA1 promotes tumorigenesis and development of non-small cell
lung cancer: A comprehensive investigation based on reverse transcription
quantitative polymerase chain reaction and bioinformatics analysis.
AB - Homeobox A1 (HOXA1) serves an oncogenic role in multiple cancer types. However,
the role of HOXA1 in non-small cell lung cancer (NSCLC) remains unclear. In the
present study, use of reverse transcription-quantitative polymerase chain
reaction and the databases of The Cancer Genome Atlas (TCGA), Oncomine, Gene
Expression Profiling Interactive Analysis and the Multi Experiment Matrix were
combined to assess the expression of HOXA1 and its co-expressed genes in NSCLC.
Bioinformatic analyses, such as Gene Ontology (GO), Kyoto Encyclopedia of Genes
and Genomes (KEGG), and network and protein-protein interaction analyses, were
used to investigate the underlying molecular mechanism effected by the co
expressed genes. Additionally, the potential miRNAs targeting HOXA1 were
investigated. The results showed that HOXA1 was upregulated in NSCLC. The area
under the curve of HOXA1 indicated a moderate diagnostic value of the HOXA1 level
in NSCLC. According to GO and KEGG analyses, the co-expressed genes may be
involved in 'dGTP metabolic processes', 'network-forming collagen trimers',
'centromeric DNA binding' and 'the p53 signaling pathway'. Three miRNAs (miR-181b
5p, miR-28-5p and miR-181d-5p) targeting HOXA1 were each predicted by 10
algorithms; miR-181b and miR-181d levels were downregulated in LUSC tissues
compared with those in normal lung tissues based on data from the TCGA database,
and inverse correlations were found between HOXA1 and miR-181b (r=-0.205,
P<0.001) and miR-181d (r=-0.106, P=0.020). We speculate that HOXA1 may be the
direct target of miR-181b-5p or miR-181d-5p in LUSC, and HOXA1 may serve a
significant role in NSCLC by regulating various pathways, particularly the p53
signaling pathway. However, the detailed mechanism should be verified by
functional experiments.
PMID- 29658572
TI - PKR inhibition mediates endotoxin tolerance in macrophages through inactivation
of PI3K/AKT signaling.
AB - Following long-term exposure to endotoxins, macrophages enter an
immunosuppressive state that renders them unable respond to subsequent exposures
to endotoxin, a phenomenon that is termed 'endotoxin tolerance'. Endotoxin
tolerance increases the risks of secondary infection and mortality in patients
with sepsis. In endotoxin-tolerant macrophages, the mixed variation of gene
transcription is referred to as macrophage reprogramming. The mechanisms
underlying macrophage reprogramming remain unclear at present. Interferon-induced
double-stranded RNA-dependent protein kinase (PKR) is a widely expressed
serine/threonine protein kinase. In addition to antiviral effects, PKR regulates
the transcription of inflammatory cytokines by affecting transcription factors.
However, the role of PKR in macrophage reprogramming remains to be elucidated. In
the present study, the expression of inflammatory cytokines differed in
lipopolysaccharide (LPS)-tolerant RAW264.7 macrophages compared with LPS
activated macrophages. Specifically, reverse transcription-quantitative
polymerase chain reaction results demonstrated that the mRNA levels of tumor
necrosis factor-alpha, interleukin-1beta (IL-1beta), C-X-C motif chemokine ligand
11, C-C motif chemokine ligand (CCL17), CCL22 and suppressor of cytokine
signaling 3 were decreased, and mRNAs levels of arginase-1 (Arg1) and nitric
oxide synthase 2 (iNOS) were increased, in LPS-tolerant macrophages compared with
LPS-activated macrophages. Furthermore, western blot analysis demonstrated that
the protein levels of phosphorylated (p)-PKR were significantly decreased in the
LPS-tolerant cells. PKR activation with rotenone (10 uM) abrogated endotoxin
tolerance by increasing the levels of the IL-1beta, CCL17 and CCL22 mRNAs and
decreasing the levels of the Arg1 and iNOS mRNAs. Furthermore, western blotting
demonstrated that AKT was markedly inactivated in endotoxin-tolerant cells, as
indicated by reduced p-AKT levels. However, levels of p-AKT were markedly
increased following rotenone-induced PKR activation in endotoxin-tolerant cells.
Ly294002 (10 uM), a phosphatidylinositol-4,5-bisphosphate 3-kinase (PI3K)/AKT
signaling inhibitor, partially reversed the rotenone-induced alleviation of
endotoxin tolerance. These results demonstrated that PKR inhibition mediated
endotoxin tolerance in macrophages, and these effects were partially mediated by
PI3K/AKT signaling. PKR may be a potential target for the treatment of endotoxin
tolerance in patients with sepsis.
PMID- 29658573
TI - Hedgehog signaling regulates the expression levels of inflammatory mediators in
cigarette-induced airway inflammation.
AB - Chronic obstructive pulmonary disease (COPD) is a persistent airway inflammation
influenced by cigarette smoke. Previous studies have reported that Hedgehog (Hh)
signaling is aberrantly activated by cigarette smoke and dysregulated in COPD.
The present study explored the role of the Hh signaling pathway on the expression
levels of certain inflammatory mediators in cigarette-induced airway
inflammation. Herein, a total of three A549 cell populations were generated: The
A0 group as control cells, the A1 group cells treated with nicotine at a
concentration of 10 uM for 12, 24 and 48 h, and the A2 group cultured
simultaneously with nicotine and cyclopamine for the same duration. The total
concentrations of the inflammatory mediators interleukin-6 (IL-6), IL-8 and tumor
necrosis factor (TNF)-alpha, and an anti-inflammatory cytokine, IL-10, were
assessed in all of the cells by ELISA and western blotting. The protein levels of
sonic hedgehog (Shh), glioma-associated oncoprotein 1 (Gli1) and Smoothened (Smo)
in nicotine-induced Hh signaling were also detected. The results indicated that
A549 had increased levels of IL-6, IL-8 and TNF-alpha when cultured with nicotine
when compared with the control cells. By contrast, the expression levels of these
inflammatory mediators decreased with varying degrees when treated with
cyclopamine that blocked the Hh signaling pathway. The IL-10 expression levels
exhibited the reverse. The expressions of the Shh, Gli1 and Smo proteins were
higher in the A1 group when compared with the control and decreased with
cyclpoamine treatment. In conclusion, the Hh signaling pathway may partly have an
impact on cigarette-induced airway inflammation via the regulation of
inflammatory mediators. Thus, blocking Hh signaling and diminishing the airway
inflammation reaction may serve as a potential therapy for COPD.
PMID- 29658574
TI - Screening for implicated genes in colorectal cancer using whole-genome gene
expression profiling.
AB - To identify biologically relevant genes associated with the pathogenesis of
colorectal cancer (CRC), genome wide expression profiles of 17 pairs of CRC tumor
and adjacent tissues, previously published in a DNA microarray study, were
analyzed. Cytoscape, String tools and DAVID tools were used to investigate the
biological pathways encoded by the genes identified as being either upregulated
or downregulated in CRC, to determine protein-protein interactions and to
identify potential hub genes associated with CRC. As a result, a total of 3,264
genes were identified as being differentially expressed in CRC and adjacent
tissues, including 1,594 downregulated and 1,670 upregulated genes. Furthermore,
306 genes were revealed to be clustered in a complex interaction network, and the
top 20 hub genes in this network were determined by application of the Matthews
Correlation Coefficient algorithm. In addition, the patterns of the expression
levels of the 20 hub genes were investigated using reverse transcription
quantitative polymerase chain reaction. Gene Ontology analysis revealed that four
of the 20 hub genes encoded small subunit processome components (UTP3 small
subunit processome component; UTP14 small subunit processome component; UTP 18
small subunit processome component; and UTP20 small subunit processome component)
and a further four encoded WD repeat domains (WD repeat-containing protein 3, WD
repeat domain 12, WD repeat-containing protein 43 and WD repeat-containing
protein 75). In conclusion, the present DNA microarray study identified genes
involved in the pathogenesis of CRC. Furthermore, it was revealed that hub genes
identified from among the total identified upregulated and downregulated genes in
CRC encoding subunit processome components and WD repeat domains may represent
novel target molecules for future treatments of CRC.
PMID- 29658575
TI - Differences between carcinoma of the cecum and ascending colon: Evidence based on
clinical and embryological data.
AB - Developing rapidly from the cecal diverticulum in a 5-week-old embryo, the cecum,
which is developed from the caudal limb of the midgut loop, is different from the
ascending colon. The aim of this study was to analyze the different
clinicopathological and biological characteristics of patients with carcinoma of
the cecum and ascending colon. We accessed data for 59,035 patients with
adenocarcinomas of the cecum and ascending colon from the Surveillance,
Epidemiology and End Results database to explore the potential associations
between the clinicopathological characteristics and overall survival.
Furthermore, we analyzed the differences in gene expression between the two
segments in the Gene Expression Omnibus database. The results were validated in
The Cancer Genome Atlas database, as well as with another independent dataset
from the First Affiliated Hospital of Xi'an Jiaotong University. The results of
this study revealed the potential prognostic differences between adenocarcinoma
of the cecum and ascending colon, which may be caused by the differential
expression levels of the SLCO1B3 gene. When including the expression levels of
SLCO1B3 in intraoperatively examined lymph nodes, 8 factors were found able to
predict the prognosis of patients with carcinomas of the cecum and ascending
colon. As regards the surgical therapeutic strategies, the resection of >15 local
lymph nodes is appropriate for improving the prognosis of patients.
PMID- 29658576
TI - Paclitaxel suppresses proliferation and induces apoptosis through regulation of
ROS and the AKT/MAPK signaling pathway in canine mammary gland tumor cells.
AB - Paclitaxel is a diterpenoid compound, derived from the pacific yew (Taxus
brevifolia) berry, which exhibits antineoplastic effects against various types of
cancer. However, the antitumor effects and the molecular mechanisms of paclitaxel
on canine CHMm cells remain to be elucidated. The aim of the present study was to
investigate the antitumor effects of paclitaxel on CHMm cells and identify
relevant signal transduction pathways modulated by paclitaxel using multiple
methods including MTT assay, flow cytometry, acridine orange/ethidium bromide
staining, transmission electron microscopy, determination of cellular reactive
oxygen species (ROS), superoxide dismutase (SOD) and malondiadehyde (MDA) and
western blotting, the data indicated that paclitaxel decreased cell viability,
induced G2/M-phase cell cycle arrest, suppressed the expression of cyclin B1 and
induced apoptosis in a dose-dependent manner. In addition, paclitaxel upregulated
the expression of Bax and cytochrome c, but reduced expression of apoptosis
regulator Bcl-2, resulting in activation of caspase-3, chromatin condensation,
karyopyknosis, intracellular vacuolization, increased production of ROS and MDA,
and decreased activity of SOD. However, these effects were inhibited when CHMm
cells were treated with N-acetyl-L-cysteine. Furthermore, treatment with
paclitaxel inhibited the level of of phospho (p)-RAC-alpha serine/threonine
protein kinase (AKT) and p-ribosomal protein S6 kinase proteins, and promoted
phosphorylation of P38 mitogen-activated protein kinase (MAPK) and p-90 kDa
ribosomal protein S6 kinase 1 proteins in CHMm cells. It was observed that
paclitaxel in combination with pharmacological inhibitors of the P38 and
phosphatidylinositol-4,5-bisphosphate 3-kinase (PI3K) signaling pathways
(SB203580 and LY294002, respectively) exerted synergistic inhibitory effects on
the proliferation of the CHMm cells. The results of the present study
demonstrated that paclitaxel inhibited tumor cell proliferation by increasing
intrinsic apoptosis through inhibition of the PI3K/AKT signaling pathway and
activation of MAPK signaling pathway in CHMm cells.
PMID- 29658577
TI - Interleukin 29 activates expression of tissue inhibitor of metalloproteinase 1 in
macrophages via toll-like receptor 2.
AB - Obesity and diabetes are characterized by low grade chronic inflammation status
and insulin resistance in adipose tissue associated with metalloproteinase
inhibitor 1 (TIMP1). Interleukin (IL)29, exhibits multiple immune regulatory
activities. However, the role of IL29 and its effects on TIMP1 remain to be
elucidated. The present study was designed to investigate the effects of IL29 on
expression of TIMP1 in macrophages associated with inflammation in adipose
tissue. IL29 and high glucose were used to activate Raw264.7 cells and primary
macrophages with or without antibody-mediated inhibition of toll like receptor
(TLR) 2 and TLR4. TIMP1 was measured in culture media of Raw264.7 cells and
primary macrophages by ELISA. IL29 and high glucose increased TIMP1 levels in
Raw264.7 cells and primary macrophages. Antibody-mediated inhibition of TLR2 or
TLR2 gene knockout decreased TIMP1 levels activated by IL29, however not by high
glucose in the medium of Raw264.7 cells and primary macrophages. Furthermore,
antibody-mediated inhibition of TLR4 or TLR4 gene knockout decreased TIMP1 levels
which were stimulated by high glucose, not by IL29 in the medium of Raw264.7
cells and primary macrophages. The results of the present study indicate that
TLR2 is involved in IL29-stimulated TIMP1 expression in Raw264.7 cells and
primary macrophages.
PMID- 29658578
TI - Combined bioinformatics analysis reveals gene expression and DNA methylation
patterns in osteoarthritis.
AB - Osteoarthritis (OA) is a common type of arthritis, which may cause pain and
disability. Alterations in gene expression and DNA methylation have been proven
to be associated with the development of OA. The aim of the present study was to
identify potential therapeutic targets and associated processes for OA via the
combined analysis of gene expression and DNA methylation datasets. The gene
expression and DNA methylation profiles were obtained from the Gene Expression
Omnibus, and differentially expressed genes (DEGs) and differentially methylated
sites (DMSs) were identified in the present study, using R programming software.
The enriched functions of DEGs and DMSs were obtained via the Database for
Annotation, Visualization and Integrated Discovery. Finally, cross analysis of
DEGs and DMSs was performed to identify genes that exhibited differential
expression and methylation simultaneously. The protein-protein interaction (PPI)
network of overlaps between DEGs and DMSs was obtained using the Human Protein
Reference Database; the topological properties of PPI network overlaps were
additionally obtained. Hub genes in the PPI network were further confirmed via
reverse transcription-quantitative polymerase chain reaction (RT-qPCR). The
results of the present study revealed that the majority of DEGs and DMSs were
upregulated and hypomethylated in patients with OA, respectively. DEGs and DMSs
were primarily involved in inflammatory, immune and gene expression regulation
associated processes and pathways. Cross analysis revealed 30 genes that
exhibited differential expression and methylation in OA simultaneously.
Topological analysis of the PPI network revealed that numerous genes, including G
protein subunit alpha1 (GNAI1), runt related transcription factor 2 (RUNX2) and
integrin subunit beta2 (ITGB2), may be involved in the development of OA.
Additionally, RT-qPCR analysis of GNAI1, RUNX2 and ITGB2 provided further
confirmation. Numerous known and novel therapeutic targets were obtained via
network analysis. The results of the present study may be beneficial for the
diagnosis and treatment of OA.
PMID- 29658579
TI - Identification of TYR mutations in patients with oculocutaneous albinism.
AB - Oculocutaneous albinism (OCA) is a set of autosomal recessive disorders
characterized by hypopigmented hair, skin and eyes. Homozygous or compound
heterozygous mutations in the tyrosinase (TYR) gene can cause OCA1, which is the
most common and severe subtype of albinism. In the present study, 17 patients
with non-syndromic OCA were enrolled from eight provinces of China and were non
consanguineous, with the exception of Patient 4000301. Total genomic DNA was
isolated from peripheral blood. Screening was performed for the whole exons and
their flanking regions of the TYR gene using Sanger sequencing and the
pathogenicity of variants was predicted using in silico analysis. In total, 12
TYR mutations were identified in 10 patients, respectively. Of these, two
patients carried homozygous mutations and eight patients carried compound
heterozygous mutations. Among the 12 TYR mutations, two missense mutations
c.1198T>G (p.W400G) and c.819G>T (p.Q273H) were novel. The results of the present
study expand the mutation spectrum of the TYR gene, which may further assist in
the prenatal examination and genetic diagnosis of OCA.
PMID- 29658580
TI - Norepinephrine inhibits the cytotoxicity of NK92-MI cells via the beta2
adrenoceptor/cAMP/PKA/p-CREB signaling pathway.
AB - Norepinephrine (NE) can regulate natural killer (NK) cell activity, but the
mechanism remains unclear. In the present study the roles of adrenergic receptors
(ARs) in inhibiting NK92-MI cells-mediated cytotoxicity by NE were investigated.
To examine the effect of NE on NK92-MI cytotoxicity, a lactate dehydrogenase
release cytotoxicity assay was used to determine the cytotoxicity of NK92-MI
cells against K562 cells. To evaluate the possible function of the alpha, beta1
and beta2 AR in mediating NE-induced effects, NK92-MI cells were pre-incubated
with phenol-amine, CGP20712A and ICI118551 prior to stimulation by NE. To
evaluate the role of cyclic adenosine monophosphate (cAMP)-protein kinase A (PKA)
signaling pathway in the inhibitory effect on cytotoxicity of NK92-MI cell by NE,
NK92-MI cells were pre-incubated with PKA inhibitor Rp-8-Br-cAMP prior to
stimulation by NE. It was demonstrated that NE decreased cytotoxicity and
downregulated the expression of perforin, granzyme B and interferon (IFN)-gamma
of NK92-MI cells in a dose-dependent manner. Blocking NE functional receptors by
ARs antagonists, particularly of beta2 AR antagonist, suppressed the inhibitory
effect of NE on cytotoxicity and expression of perforin, granzyme B, IFN-gamma of
NK92-MI cells significantly. Blockade of beta2 AR in NE treated NK92-MI cells
resulted in a reduction of the expression of phosphorylated (p)-cAMP-responsive
element-binding protein (CREB) and intracellular cAMP concentration. Inhibiting
the activity of PKA by Rp-8-Br-cAMP in NE treated NK92-MI cells resulted in
increased cytotoxicity. The results of the present study suggest that NE can
inhibit cytotoxicity and expression of perforin, granzyme B, IFN-gamma of NK92-MI
cell mainly via the beta2-AR/cAMP/PKA/p-CREB signaling pathway.
PMID- 29658581
TI - MicroRNA-146a/Toll-like receptor 4 signaling protects against severe burn-induced
remote acute lung injury in rats via anti-inflammation.
AB - The present study investigated the preventive effects of microRNA (miR)-146a
against severe burn-induced remote acute lung injury (ALI) in rats and the
underlying mechanism. The surface area of the skin was immersed in 100C water for
5-10 sec on the dorsal surface. The expression level of miR-146a was
significantly downregulated in rats with burn-induced ALI. Downregulation of miR
146a increased inflammation, and inducible nitric oxide synthase (iNOS) and
cyclooxygenase-2 (COX-2) expression in a model of ALI in vitro via the promotion
of the Toll-like receptor (TLR)4/nuclear factor (NF)-kappaB signaling pathway. In
addition, the overexpression of miR-146a reduced inflammation, and iNOS and COX-2
protein expression in the model of ALI in vitro via the suppression of the
TLR4/NF-kappaB signaling pathway. A TLR4 inhibitor reduced the function of anti
miR-146a on inflammation in the model of ALI. Collectively, the results of the
present study demonstrated the preventive effects of miR-146a against severe burn
induced remote ALI in rats through the anti-inflammatory-regulated TLR4/NF-kappaB
signaling pathway.
PMID- 29658582
TI - Sirt1/Nrf2 signalling pathway prevents cognitive impairment in diabetic rats
through anti-oxidative stress induced by miRNA-23b-3p expression.
AB - In the present study the exact roles and mechanisms underlying the effect of
miRNA-23b-3p on the cognitive impairment of diabetic rats were investigated. The
in vivo model of diabetes was established in Wistar rats via a single injection
of streptozotocin (STZ). Cognitive function was evaluated using a Morris water
maze test. Oxidative stress was measured using ELISA kits, and the protein
expression levels of B-cell lymphoma 2-associated X protein, silent information
regulator 1 (SIRT1), nuclear factor erythroid 2-related factor 2 (Nrf2) and GAPDH
were measured by western blot analysis. Micro (mi)RNA-23b-3p mimics were employed
to increase miRNA-23b-3p expression in the in vitro model. Overexpression of
miRNA-23b-3p increased oxidative stress (as indicated by the levels of
glutathione peroxidase, glutathione, superoxide dismutase and malondialdehyde)
and apoptosis in neurocytes following high-glucose treatment. The overexpression
of miRNA-23b-3p also suppressed SIRT1 and Nrf2 expression in neurocytes following
high-glucose treatment; it also promoted the SIRT1-induced inhibition of
apoptosis and oxidative stress. The promotion of SIRT1 also decreased the effect
of miRNA-23b-3p on cognitive impairment in diabetic rats. In conclusion, miRNA
23b-3p prevents the cognitive impairment of diabetic rats via anti-oxidative
stress effects and the Sirt1/Nrf2 signaling pathway.
PMID- 29658584
TI - miR-145-5p reduces proliferation and migration of hepatocellular carcinoma by
targeting KLF5.
AB - MicroRNAs (miRs) are important in hepatocellular carcinoma (HCC) progression. miR
145-5p acts as a tumor suppressor in certain malignancies, however, its role in
HCC remains unclear. The present study aimed to perform a functional analysis of
miR-145-5p in HCC in order to elucidate its role in the pathogenesis of HCC.
Reverse transcription-quantitative polymerase chain reaction (RT-qPCR) was
performed to analyze tissue and cellular expression of miR-145-5p in HCC.
Following miRNA mimics transfection, cell viability, apoptosis and cells
migration were determined by Cell Counting kit-8, Annexin V-FITC/propidium iodide
staining and Transwell analyses. The target of miR-145-5p was analyzed by
luciferase reporter assay and western blot analysis. It was observed that miR-145
5p was significantly decreased in HCC tissues and cell lines. Overexpression of
miR-145-5p significantly increased apoptosis, reduced cell proliferation and
suppressed HCC cell migration. Kruppel-like factor 5 (KLF5) is regarded as a
target of miR-145-5p in HCC cells. In addition, KLF5 overexpression partially
attenuated the tumor suppressive effects of miR-145-5p. KLF5 expression was
negatively associated with levels of miR-145-5p in HCC tissues. The present study
demonstrated that miRNA-145-5p may, by targeting KLF5, partially suppress HCC
cell growth and motility. The results of the present study suggested that miRNA
145-5p alteration in HCC may serve a role in the progression of HCC.
PMID- 29658583
TI - Intraductal papillary mucinous neoplasms of the pancreas: Clinical association
with KRAS.
AB - Intraductal papillary mucinous neoplasms of the pancreas (IPMN) are among the
most important precancerous lesions in the pancreas. V-Ki-ras 2 Kirsten rat
sarcoma viral oncogene homolog (KRAS) is one of the most important genes involved
in pancreatic neoplasms, and exhibits a high mutation rate in pancreatic ductal
adenocarcinomas and pancreatic intraepithelial neoplasia. The present study aimed
to further elucidate the associations among IPMN subtypes (gastric, intestinal,
pancreatobiliary and oncocytic), pathological classifications [low-grade,
intermediate-grade, and high-grade IPMN, and associated minimally invasive
carcinoma (invasive depth <=0.5 cm) and advanced invasive carcinoma (invasive
depth >0.5 cm)]. A total of 56 cases of IPMN were studied using scorpion
amplified refractory mutation system analysis of KRAS mutations, pathological
features and prognosis. KRAS mutations were identified in 50% (28/56 cases). The
frequency was 60% (9/15 cases) in gastric-type, 52.6% (10/19 cases) in intestinal
type, 47.3% (9/19 cases) in pancreatobiliary-type and zero (0/3 cases) in
oncocytic-type IPMN. Except for oncocytic type IPMN, the frequencies of KRAS
mutations in IPMN with low, intermediate and high grade, and IPMN-associated
carcinoma were 58.3% (7/12 cases), 27.3% (3/11 cases), 80% (4/5 cases) and 56%
(14/25 cases), respectively. With more advanced dysplasia and invasion, the
prevalence of KRAS mutations in intestinal-type IPMN increased (P=0.012). The
Kaplan-Meier survival curve demonstrated that survival rate was not associated
with KRAS mutation (log-rank test; P=0.308). The prevalence of KRAS mutations was
lowest in intestinal-type IPMN, and was in proportion to the degree of dysplasia
and invasion. Therefore, KRAS mutation in IPMN does not correlate with
histological subtype, dysplasia grade, depth of invasion or survival.
PMID- 29658585
TI - Inhibitors of heat shock protein 90 augment endothelin-1-induced heat shock
protein 27 through the SAPK/JNK signaling pathway in osteoblasts.
AB - It has been previously reported that endothelin-1 (ET-1) stimulates the induction
of heat shock protein (HSP) 27 through the activation of p38 mitogen-activated
protein (MAP) kinase and stress-activated protein kinase/c-Jun N-terminal kinase
(SAPK/JNK) in osteoblast-like MC3T3-E1 cells. The present study investigated
whether HSP90, a high-molecular-weight HSP, was implicated in the ET-1-stimulated
HSP27 induction in MC3T3-E1 cells. The effects of HSP90 inhibitors on the
induction of HSP27 were examined. The HSP90 inhibitors geldanamycin and 17
demethoxygeldanamycin (17-DMAG) significantly amplified HSP27 induction
stimulated by ET-1 in a dose-dependent manner. In addition, onalespib (another
HSP90 inhibitor) significantly strengthened the ET-1-induced HSP27 protein
levels. The ET-1-stimulated phosphorylation of p38 MAP kinase was minimally
affected by geldanamycin, 17-DMAG or onalespib. Onalespib and 17-DMAG
significantly enhanced the ET-1-induced phosphorylation of SAPK/JNK. In addition,
SP600125, a SAPK/JNK inhibitor, notably reduced the amplification by onalespib of
ET-1-induced HSP27. These results suggest that HSP90 limits ET-1-stimulated HSP27
induction at a point upstream of SAPK/JNK in osteoblasts. These results suggest
that HSP90 may be a novel clinical target for metabolic bone diseases, including
osteoporosis.
PMID- 29658586
TI - Preparation and characterization of calcium carbonate microspheres and their
potential application as drug carriers.
AB - The influence of lecithin from egg yolk (LE) on calcium carbonate (CaCO3)
biomineralization was investigated. In the present study, spherical CaCO3
particles were synthesized via coprecipitation in the presence of LE. LE
multilamellar liposomes were first tuned by sonication to provide better control
over the nucleation of CaCO3. Subsequently, monodisperse microspheres ~2 um in
size were generated by controlling the aggregation and growth of CaCO3 under
appropriate concentrations of LE. In contrast to unstable vaterite, the
microspheres generated in aqueous solution remained stable for at least 10 days
without transforming into calcite, due to the strong interaction between the LE
and calcium ions. The microspheres as drug carriers of doxorubicin (DOX) were
assessed and were observed to have a good encapsulation efficiency, sustained
drug release without a burst release and notable pH sensitivity. In addition, in
vivo tumor inhibition examination demonstrated that DOX-loaded CaCO3 microspheres
formulation had more superior efficacy to significantly restrain tumor growth.
These novel LE/CaCO3 hybrids may provide novel options for various biomedical
applications.
PMID- 29658588
TI - Autophagy inhibition potentiates SAHA-mediated apoptosis in glioblastoma cells by
accumulation of damaged mitochondria.
AB - Glioblastoma multiforme (GBM), often referred to as a grade IV astrocytoma, is
the most invasive type of tumor arising from glial cells. The main treatment
options for GBM include surgery, radiation and chemotherapy. However, these
treatments tend to be only palliative rather than curative. Poor prognosis of GBM
is due to its marked resistance to standard therapy. Currently, temozolomide
(TMZ), an alkylating agent is used for treatment of GBM. However, GBM cells can
repair TMZ-induced DNA damage and therefore diminish the therapeutic efficacy of
TMZ. The potential to evade apoptosis by GBM cells accentuates the need to target
the non-apoptotic pathway and/or inhibition of pro-survival strategies that
contribute to its high resistance to conventional therapies. In recent studies,
it has been demonstrated that HDAC inhibitors, such as vorinostat (suberoyl
anilide hydroxamic acid; SAHA) can induce autophagy in cancer cells, thereby
stimulating autophagosome formation. In addition, a lysosomotropic agent such as
chloroquine (CQ) can result in hyper-accumulation of autophagic vacuoles by
inhibiting autophagosome-lysosome fusion, which can drive the cell towards
apoptosis. Hence, we postulated that combination treatment with SAHA and CQ may
lead to increased formation of autophagosomes, resulting in its hyper
accumulation and ultimately inducing cell death in GBM cells. In the present
study, we demonstrated that CQ co-treatment enhanced SAHA-mediated GBM cell
apoptosis. Inhibition of the early stage of autophagy by 3-methyladenine pre
treatment reduced cell death confirming that apoptosis induced by CQ and SAHA was
dependent on autophagosome accumulation. We also demonstrated that autophagy
inhibition led to enhanced ROS, mitochondria accumulation and reduced
mitochondrial membrane potential resulting in cell death. The present study
provides cellular and molecular evidence concerning the combined effect of SAHA
and CQ which can be developed as a therapeutic strategy for the treatment of
glioblastoma in the future.
PMID- 29658587
TI - Androgen receptor-mediated upregulation of quaking affects androgen receptor
related prostate cancer development and anti-androgen receptor therapy.
AB - The androgen receptor (AR) has a crucial role in prostate cancer. RNA-binding
protein-mediated post-transcriptional regulation is important in the initiation
and development of cancer. The present study attempted to elucidate the mutual
association of AR and RNA-binding protein quaking (QKI) in the development of
prostate cancer. Dual-luciferase reporter demonstrated that AR can positively
regulate the expression of QKI in prostate cancer cell lines due to its effective
transcription regulating function. In addition, QKI may increase expression of AR
by heat shock protein 90, which is a coactivator of AR, and silencing QKI can
increase the sensitive of Casodex, which is an antagonist of AR in castration
resistant prostate cancer. This may be a new strategy for advanced prostate
cancer.
PMID- 29658589
TI - Autophagy regulation and its dual role in blood cancers: A novel target for
therapeutic development (Review).
AB - Autophagy, a physiological process in which cellular components are degraded by
the lysosome for cell homeostasis, plays an important role in cell metabolism,
including cell proliferation, differentiation, survival and apoptosis. Recent
studies indicate that autophagy serves as a survival mechanism by eliminating
misfolded proteins and attenuating DNA damage. Autophagy can also suppress tumor
growth, depending upon the cell context and functional status. Dysfunction of
autophagy may be closely linked to the initiation and development of various
diseases, including hematological malignancies. Mounting evidence highlights the
dual role of autophagy in blood cancers through multifarious signal pathways.
Therefore, strategies targeting autophagy will develop innovative therapeutic
approaches for blood cancers, improve the efficacy of chemotherapy, and bring
significant benefits for patients.
PMID- 29658590
TI - Cucurbitacin D impedes gastric cancer cell survival via activation of the iNOS/NO
and inhibition of the Akt signalling pathway.
AB - Cucurbitacin D (CuD), isolated from plants from the Cucurbitaceae family, is a
potential antitumour agent since it inhibits proliferation, migration and
metastasis of cancer cells. Despite CuD antitumour activity in cancer cells, the
effects of CuD on gastric cancer cell lines remain unclear. The present study
aimed to investigate the effects of CuD on gastric cancer cell growth and death.
Human gastric cancer cell lines (AGS, SNU1 and Hs746T) were cultured and treated
with different concentrations of CuD (0, 0.25, 0.5, 1 and 2 uM). Cell
proliferation was assessed using Cell Counting Kit-8 assay. Oxidative stress was
evaluated by generation of reactive oxygen species (ROS). Cell apoptosis was
assessed by terminal deoxynucleotidyl transferase 2'-deoxyuridine-5'-triphosphate
nick-end labelling (TUNEL) staining. Levels of intracellular Ca2+ and adenosine
triphosphate (ATP) were also assessed. In the present study, CuD effectively
inhibited cell proliferation, triggered ROS generation and induced apoptosis in
gastric cancer cells (AGS, SNU1 and Hs746T). Treatment with CuD increased
intracellular Ca2+ and ATP levels. CuD also stimulated the expression of
inducible nitric oxide synthase (iNOS), which augmented nitric oxide production.
In addition, CuD activated the mitochondrial apoptosis pathway, which increased
the expression of Bax and the release of cleaved caspace-9 (C-caspase-9) and
cytochrome c, decreased the expression of B-cell lymphoma 2 (Bcl-2). The
mechanism of action of CuD involved the regulation of the protein kinase
B/mechanistic target of rapamycin (Akt/mTOR) pathway. We confirmed the effects of
CuD on gastric tumours via an in vivo xenograft gastric tumour model. In
conclusion, CuD inhibited Akt and activated the iNOS pathway, leading to higher
ROS and nitric oxide production, which accelerated gastric cancer cell apoptosis.
PMID- 29658591
TI - The biological role of autophagy in regulating and controlling the proliferation
of liver cancer cells induced by bufalin.
AB - Autophagy is an important mechanism which regulates the processes of cell growth
and death. The biological role of autophagy in regulating and controlling the
proliferation of liver cancer cells by bufalin remains unknown. In the present
study we investigated the effect of bufalin on autophagy of liver cancer cells.
The growth inhibition and autophagy of liver cancer cells were detected using
acridine orange fluorescence staining, flow cytometry and transmission electron
microscopy. Combined with autophagy inhibitors (3-MA and CQ), CCK8 staining and
western blot analysis were used to detect the effect of bufalin on the
proliferation and autophagy-related protein expression in HCC-LM3 cells at the
indicated time-points. Results indicated that combined with autophagy inhibitors
3-MA and CQ, the inhibitive effect of bufalin on the proliferation of HCC-LM3
cells was significantly enhanced. When combined with autophagy inhibitors 3-MA or
CQ, bufalin significantly reduced the autophagosome and acidic vesicles in HCC
LM3 cells. When combined with autophagy inhibitors 3-MA and/or CQ for 12 h,
bufalin significantly inhibited the expression of LC3-I and Beclin-1 in HCC-LM3
cells, and upregulated the expression of LC3-II and P62 in HCC-LM3 cells. When
combined with autophagy inhibitors 3-MA and/or CQ for 24 h, bufalin significantly
inhibited the LC3-II expression in HCC-LM3 cells, and upregulated the LC3-I, P62
and Beclin-1 expression in HCC-LM3 cells. When combined with autophagy inhibitors
3-MA and/or CQ for 48 h, bufalin significantly inhibited the expression of LC3-II
and Beclin-1 in HCC-LM3 cells, and upregulated the expression of LC3-I and P62 in
HCC-LM3 cells. These findings indicated that bufalin induced cell autophagy and
inhibited proliferation of liver cancer cells by influencing the expression of
autophagy related proteins including LC3-I, LC3-II, P62 and Beclin-1 in liver
cancer cells. The autophagic state of liver cancer cells affected the inhibitory
effect of bufalin on the proliferation of liver cancer cells.
PMID- 29658592
TI - In vitro cytotoxic effect of PARP inhibitor alone and in combination with nab
paclitaxel on triple-negative and luminal A breast cancer cells.
AB - In the present study, the in vitro cytotoxic effect of poly(ADP-ribose)
polymerase (PARP) inhibitor alone and in combination with nab-paclitaxel was
evaluated on human triple-negative breast cancer (TNBC) cell line MDA-MB-231 and
human luminal A breast cancer cell line MCF-7. For this purpose, cell index (CI)
values obtained from xCELLigence Real-Time Cell Analysis (RTCA) DP instrument,
mitotic index (MI), labelling index (LI) and apoptotic index (AI) analysis among
cell kinetic parameters were used. As a result of PARP inhibitor application,
there was a significant decrease in CI, MI and LI and a significant increase in
AI for all the experimental groups. After application of PARP inhibitor in
combination with nab-paclitaxel, the CI values were decreased for both cell
lines, and the differences between the control and all the experimental groups
were statistically significant (P<0.01) for all applications. PARP inhibitor,
alone or in combination with nab-paclitaxel offers a promising treatment modality
in different breast cancer subtypes.
PMID- 29658593
TI - Laterally spreading features of gastrointestinal stromal tumors: A
clinicopathological study.
AB - To elucidate the histopathological features of laterally spreading
gastrointestinal stromal tumors (GISTs), we retrospectively examined 52 GISTs
grossly completely resected from 50 patients. Laterally spreading features were
identified in 7 GISTs (13%), and were localized within non-thickened regions of
the muscularis propria adjacent to the main GISTs, ranging in length from 0.12 to
0.7 cm (mean, 0.3 cm). The laterally spreading features involved the muscular
surgical margins in 2 cases. The morphologies of the laterally spreading cells
resembled those of tumor cells in 4 cases, but were comprised of more slender
spindle cells with smaller nuclei compared with those in the respective main
GISTs. Compared with the main GISTs, KIT+ and discovered on GIST 1+
immunostaining features of the spreading lesions were similar in 4 cases, and
were weaker or diminished in the other 3 cases. There were no differences in
CD34+ staining features between the main GISTs and the laterally spreading
lesions. One patient with laterally spreading GIST succumbed to the disease 2.5
years after the surgery, while the other 6 patients were alive without the
recurrence of disease 0.4-19.2 years after the surgery. The laterally spreading
features were associated with a pedunculated GIST (P=0.006), but not older age
(P=0.312), sex (P=0.969), tumor size (P=0.430), mucosal invasion (P=0.666) or
higher risk category (P=0.872). Results of the present study indicate that
resection of a >=1-cm muscular safety margin, and not mucosa or submucosa, is
required for microscopically negative surgical margins, particularly for
pedunculated GISTs.
PMID- 29658594
TI - Age-dependent decreases in insulin-like growth factor-I and its receptor
expressions in the gerbil olfactory bulb.
AB - Insulin-like growth factor-I (IGF-I) is a multifunctional protein present in the
central nervous system. A number of previous studies have revealed alterations in
IGF-I and its receptor (IGF-IR) expression in various regions of the brain.
However, there are few reports on age-dependent alterations in IGF-I and IGF-IR
expressions in the olfactory bulb, which contains the secondary neurons of the
olfactory system. The present study examined the cellular morphology in the
olfactory bulb by using cresyl violet (CV) staining at postnatal month (PM) 3 in
the young group, PM 6 in the adult group and PM 24 in the aged group in gerbils.
In addition, detailed examinations were performed of the protein levels and
immunoreactivities of IGF-I and IGF-IR in the olfactory bulb in each group. There
were no significant changes in the cellular morphology between the three groups.
The protein levels and immunoreactivities of the IGF-I and IGF-IR were the
highest in the young group and they decreased with age. He protein levels and
immunoreactivities of the IGF-I and IGF-IR were the lowest in the aged group. In
brief, our results indicate that IGF-I and IGF-IR expressions are strong in young
olfactory bulbs and significantly reduced in aged olfactory bulbs. In conclusion,
subsequent decreases in IGF-I and IGF-IR expression with age may be associated
with olfactory decline. Further studies are required to investigate the roles of
IFG-I and IGF-IR in disorders of the olfactory system.
PMID- 29658595
TI - Huaier suppresses proliferative and metastatic potential of prostate cancer PC3
cells via downregulation of Lamin B1 and induction of autophagy.
AB - Prostate cancer is one of the most common malignancies occurring in males.
Although large advances have been made in the pathogenesis of prostate cancer,
the development of drugs with high efficacy and low toxicity for the treatment of
prostate cancer is urgently needed. Recently, more and more attention has been
paid to the antitumor effect of Traditional Chinese Medicine (TCM) worldwide.
Trametes robiniophila Murr. (Huaier) has been applied as a type of TCM drug for
~1,600 years. Huaier exhibits excellent clinical efficacy in the treatment of
cancer, including prostate cancer. However, the mechanisms underlying the anti
prostate cancer effect of Huaier remain largely unclear. In the present study, we
revealed that Huaier aqueous extract inhibited the proliferative and metastatic
capabilities of human prostate cancer PC3 cells through CCK-8 assay, in vitro
scratch assay and Transwell assay. Moreover, decreased Lamin B1 was implicated in
Huaier-induced suppression of proliferative and metastatic potential of PC3
cells. Intriguingly, we demonstrated that Huaier treatment induced autophagic
cell death in PC3 cells. This study sheds new light on the mechanisms underlying
the activity of Huaier against prostate cancer and provides a new theoretical
basis for the clinical application of Huaier in prostate cancer.
PMID- 29658596
TI - MicroRNA-616 promotes the progression of ovarian cancer by targeting TIMP2.
AB - MicroRNAs (miRNAs), a group of short (~20 nt) non-coding RNAs, play critical
roles in the development and progression of ovarian cancer (OC). The role of miR
616, a recently identified cancer-associated miRNA, has never been examined in OC
before. The present study demonstrated that the level of miR-616 was increased in
OC tissues. A high miR-616 level was associated with poor tumor differentiation
and advanced tumor-node-metastasis (TNM) stage. Survival analysis revealed that
an elevated level of miR-616 was associated with poor prognosis of OC patients as
demonstrated by decreased overall survival (OS) and disease-free survival (DFS).
Overexpression of miR-616 promoted the migration, invasion as well as epithelial
mesenchymal transition (EMT) of A2780 cells. Knockdown of miR-616 inhibited these
biological functions. Immunohistochemical (IHC) staining revealed that OC tissues
with high miR-616 levels exhibited a significantly decreased level of E-cadherin
and an increased level of N-cadherin. Furthermore, tissue inhibitor of
metalloproteinases 2 (TIMP2) was confirmed to be a direct downstream target of
miR-616. Inhibition of TIMP2 expression was required for the promoting effects of
miR-616 on the metastasis and EMT of OC cells. Collectively, this study revealed
that miR-616 promoted the progression of OC by enhancing cell migration, invasion
and EMT.
PMID- 29658597
TI - Antitumoral effect of Ocoxin, a natural compound-containing nutritional
supplement, in small cell lung cancer.
AB - Lung cancer is the most frequently diagnosed neoplasia and represents the leading
cause of cancer-related deaths worldwide. Due to this fact, efforts to improve
patient survival through the introduction of novel therapies, as well as
preventive actions, are urgently required. Considering this scenario, the
antitumoral action of the composite formulation Ocoxin(r) oral solution (OOS),
that contains several antitumoral compounds including antioxidants, was tested in
small cell lung cancer (SCLC) in vitro and in vivo preclinical models. OOS
exhibited anti-SCLC action that was both time and dose dependent. In vivo OOS
decreased the growth of tumors implanted in mice without showing signs of
toxicity. The antitumoral effect was due to inhibition of cell proliferation and
increased cell death. Genomic and biochemical analyses indicated that OOS
augmented p27 and decreased the functioning of several routes involved in cell
proliferation. In addition, OOS caused cell death by activation of caspases.
Importantly, OOS favored the action of several standard of care drugs used in the
SCLC clinic. Our results suggest that OOS has antitumoral action on SCLC, and
could be used to supplement the action of drugs commonly used to treat this type
of tumor.
PMID- 29658598
TI - Protein kinase, membrane-associated tyrosine/threonine 1 is associated with the
progression of colorectal cancer.
AB - The protein kinase, membrane-associated tyrosine/threonine 1 (PKMYT1) is known to
inhibit precocious entry into mitosis by phosphorylating CDK1 at Thr14 and Tyr15
residues. However, the functional importance of PKMYT1 in colorectal cancer (CRC)
remains unknown. Thus, it is important to elucidate whether PKYMT1 is
indispensable in the tumorigenesis of CRC. To investigate the functional
importance of PKMYT1 in CRC tumorigenesis, PKMYT1 was knocked down in CRC cell
lines such as SW480, SW620, HCT116 and HT29 by siRNA. PKMYT1-depleted CRC cells
were analyzed to determine proliferation, migration, invasion and colony forming
ability. In addition, 179 patient-derived samples were used to find the
correlation of the expression of PKMYT1 with the prognosis of CRC patients. By
siRNA-mediated loss of function of PKMYT1, we observed that proliferation,
migration, invasion and colony forming ability of CRC cell lines were
significantly impaired in the absence of PKMYT1 in vitro. Furthermore, by
analyzing patient-derived samples, we revealed the association of PKMYT1 with the
overall survival rate of CRC patients. These results indicated that PKMYT1 plays
an essential oncogenic role in CRC and could serve as a good therapeutic target
for the treatment of CRC.
PMID- 29658599
TI - Screening potential biomarkers for colorectal cancer based on circular RNA chips.
AB - The aim of the present study was to screen colorectal cancer (CRC) tissue and
adjacent tissue for differences in circular RNA (circRNA) expression, to analyze
the related miRNAs and messenger RNAs (mRNAs), and to investigate the circRNA
expression in CRC and its function. The circRNA expression profile was generated
using CapitalBio microarray technology. The differentially expressed circRNAs
were identified with GeneSpring 12.5 software. Subsequently, the related mRNAs of
the differentially expressed circRNAs were annotated with the molecule annotation
system (MAS) 3.0, and the diseases, pathways and functional enrichment analysis
of these mRNAs were performed using the KEGG orthology-based annotation system
(KOBAS) 3.0. In addition, the target miRNAs of differentially expressed circRNAs
were screened using the miRanda algorithm. The circRNA/miRNA network was
constructed for the top 8 most significant differentially expressed circRNAs with
Cytoscape software 3.4.0. A total of 10,245 differentially expressed circRNAs
were identified, including 6,264 upregulated ones, and 3,981 downregulated ones.
The related mRNAs were enriched in 462 KEGG diseases, 411 FunDO, 669 NHGRI GWAS
catalog, and 845 OMIM; and 1,334 Reactomes, 281 KEGG pathways, 117 PANTHER and
193 BioCyc; and 11,606 Gene Ontology (GO) terms. A total of 133 circRNA/miRNA
pairs were involved in the circRNA/miRNA network. hsa_circ_0126897_CBC1 may be a
potential biomarker for CRC, and the cell cycle was closely associated with the
occurrence and development of CRC.
PMID- 29658600
TI - PDK1 inhibitor GSK2334470 synergizes with proteasome inhibitor MG-132 in multiple
myeloma cells by inhibiting full AKT activity and increasing nuclear accumulation
of the PTEN protein.
AB - Phosphoinositide-dependent kinase 1 (PDK1) is generally active in multiple
myeloma (MM) and higher expression than other hematopoietic cells, which is
associated with the drug resistance and the disease progression. Previous studies
have demonstrated that PDK1 can be targeted therapeutically in MM. In the present
study, we examined the combination effect of GSK2334470 (GSK-470), a novel and
highly specific inhibitor of PDK1, with proteasome inhibitor MG-132 in MM cell
lines. GSK-470 monotherapy significantly inhibited growth of MM cell lines and
induced apoptosis that was associated with the activation of both the intrinsic
mitochondrial pathway and the extrinsic death receptor pathway. Moreover, GSK-470
demonstrated synergistic growth inhibitory effects with MG-132. Notably,
treatment with these inhibitors resulted in an almost complete inhibition of
phosphorylation of mammalian target of rapamycin on Ser2448 and Ser2481 and full
activation of AKT. The combination therapy also caused an upregulation of PTEN
and an increased nuclear accumulation of PTEN protein. Collectively, our results
provide the rationale for novel combination treatment with PDK1 inhibitor and
proteasome inhibitors to improve outcomes in patients with MM.
PMID- 29658601
TI - Silencing of casein kinase 2 inhibits PKC-induced cell invasion by targeting MMP
9 in MCF-7 cells.
AB - Casein kinase 2 (CK2) is a serine/threonine protein kinase that has been
considered to represent an important factor in mammary tumorigenesis. Increased
expression of matrix metalloproteinase-9 (MMP-9) via nuclear factor-kappaB (NF
kappaB) activation has been demonstrated to promote breast cancer cell invasion.
In the present study, the involvement of CK2 in protein kinase C (PKC) induced
cell invasion in MCF-7 breast cancer cells was investigated as well as the
underlying molecular mechanisms. The mRNA and protein levels of MMP-9 in MCF-7
cells were investigated using reverse transcription-quantitative polymerase chain
reaction, western blot analyses and a zymography assay. Cell invasiveness was
investigated using a Matrigel invasion assay, and it was revealed that small
interfering RNA specific for CK2 suppressed PKC induced cell invasion by
regulating MMP-9 expression via activation of the p38 kinase/c-Jun N-terminal
kinase/NF-kappaB pathway. In addition, it was demonstrated that CK2 inhibitors
[apigenin (20 uM), emodin (20 uM) or 2-dimethylamino-4,5,6,7-tetrabromo-1H
benzimidazole (2 uM)] suppressed PKC induced cell invasion and MMP-9 expression.
The results of the present study suggested that CK2 is an important factor
involved in the induction of MCF-7 breast cancer cell invasion by PKC. Therefore,
CK2 may represent novel candidates for therapy intended to inhibit invasion in
breast cancer.
PMID- 29658602
TI - Expression and significance of autonomic nerves and alpha9 nicotinic
acetylcholine receptor in colorectal cancer.
AB - The present study evaluated the distribution of sympathetic and parasympathetic
nerves and the expression of the alpha9 nicotinic acetylcholine receptor
(alpha9nAChR) and investigated their potential association with colorectal cancer
(CRC) development. The distribution of autonomic nerves and alpha9nAChR in CRC
was detected by immunohistochemistry, which was then used to analyze their
association with clinicopathological parameters and prognosis. Sympathetic fibers
were primarily observed in the stroma adjacent to cancer cells, whereas
parasympathetic fibers were primarily observed in the stroma away from cancer
cells. Patients with samples positive for sympathetic nerve fibers had less lymph
node invasion and a better prognosis compared with patients with samples negative
for sympathetic nerve fibers. The expression of parasympathetic nerves in
patients >60 years old was increased compared with patients <=60 years old. The
expression of parasympathetic nerves in patients with lymph node invasion was
increased compared with patients without lymph node invasion. The detection of
parasympathetic nerves gradually increased as CRC (T stage) advanced. Patients
with parasympathetic negative samples had better prognoses compared with patients
with parasympathetic positive samples. The expression of alpha9nAChR was
principally localized in cellular membranes and the cytoplasm of CRC tissues and
it was revealed to have a positive association with the number of parasympathetic
nerves. Increased alpha9nAChR expression was observed in patients >60 years old
compared with patients <60 years old. The detection rate of alpha9nAChR in
tissues from patients with lymph node invasion was increased compared with
patients without lymph node invasion. The detection of alpha9nAChR gradually
increased as the CRC stage advanced. The prognoses for patients with alpha9nAChR
negative tissue were improved compared with the prognoses for patients with
alpha9nAChR positive tissue. Sympathetic nerves were primarily detected in the
early phases of CRC and indicated a good prognosis. Parasympathetic nerves and
alpha9nAChR were principally observed in the late phases of cancer and indicated
a poor prognosis. The present study revealed that parasympathetic nerves may
promote the progression of CRC through alpha9nAChR.
PMID- 29658603
TI - Anticancer effect of exogenous hydrogen sulfide in cisplatin-resistant A549/DDP
cells.
AB - Despite huge advances in lung cancer treatment, resistance to cisplatin-based
chemotherapy remains one of the major obstacles, and the elucidation of cisplatin
resistance remains challenging. As an important biological and pharmacological
mediator, hydrogen sulfide (H2S) performs a variety of homeostatic functions
related to cancer formation and development. However, the effects of H2S on
cisplatin-resistance lung cancer remain largely unknown. In the present study, we
investigated the anticancer effects and relevant mechanisms of NaHS (an exogenous
donor of H2S) on A549/DDP cells (cisplatin-resistant). The intracellular H2S was
first evaluated using a fluorescence probe in A549 (cisplatin-sensitive) and
A549/DDP cells. We found that H2S production was markedly decreased in A549/DDP
cells compared with that in A549 cells, accomplished by the downregulation of
cystathionine beta-synthase (CBS), an endogenous H2S-producing enzyme. In view of
these findings, we then observed the effects of NaHS treatment on A549/DDP cells.
The results showed that NaHS exposure exhibited an inhibitory effect on cell
viability and the IC50 of cisplatin in A549/DDP cells decreased markedly during
NaHS treatment (800 umol/l). In addition, our data revealed that NaHS treatment
of A549/DDP cells resulted in the induction of apoptosis, cell cycle arrest and
inhibition of cell migration and invasion. Finally, we demonstrated that the
marked changes in the A549/DDP cell response to NaHS may be triggered by the
activation of p53, and overexpression of p21, caspase-3, Bax and MMP-2, as well
as the downregulation of Bcl-xL. The findings of the present study provide novel
evidence that NaHS administration may represent a new strategy for the treatment
of cisplatin-resistant lung cancer.
PMID- 29658604
TI - Oncogenic miR-96-5p inhibits apoptosis by targeting the caspase-9 gene in
hepatocellular carcinoma.
AB - The aberrant expression or alteration of microRNAs (miRNAs/miRs) contributes to
the development and progression of cancer. In the present study, the functions of
miR-96-5p in hepatocellular carcinoma (HCC) were investigated. It was identified
that miR-96-5p expression was significantly upregulated in primary HCC tumors
compared with their non-tumorous counterparts. A copy number gain was frequently
observed at chromosomal region 7q32.2 in which the MIR96 locus is located,
suggesting that gene amplification may be one of the mechanisms by which miR-96
5p expression is increased in HCC. Transfection of miR-96-5p mimic into HCC cells
decreased the expression of CASP9, which encodes caspase-9, the essential
initiator caspase in the mitochondrial apoptotic pathway, at the mRNA and protein
levels. A putative binding site for miR-96-5p was identified in the CASP9 3'
untranslated region, and the results of a luciferase assay indicated that CASP9
is a potential direct target of miR-96-5p. The miR-96-5p mimic increased
resistance to doxorubicin- and ultraviolet-induced apoptosis through the decrease
in caspase-9 expression in HCC cells. Transfection of miR-96-5p inhibitor
enhanced the cytotoxic effect of doxorubicin by increasing caspase-9 expression
in the HCC cells, suggesting a synergistic effect between the miR-96-5p inhibitor
and doxorubicin. In conclusion, the results of the present study suggest that miR
96-5p, which is frequently upregulated in HCC, inhibits apoptosis by targeting
CASP9. Therefore, miR-96-5p may be a potential therapeutic target for HCC.
PMID- 29658605
TI - Aerobic exercise regulates blood lipid and insulin resistance via the toll-like
receptor 4-mediated extracellular signal-regulated kinases/AMP-activated protein
kinases signaling pathway.
AB - Diabetes mellitus is a complicated metabolic disease with symptoms of
hyperglycemia, insulin resistance, chronic damage and dysfunction of tissues, and
metabolic syndrome for insufficient insulin production. Evidence has indicated
that exercise treatments are essential in the progression of type-II diabetes
mellitus, and affect insulin resistance and activity of islet beta-cells. In the
present study, the efficacy and signaling mechanism of aerobic exercise on blood
lipids and insulin resistance were investigated in the progression of type-II
diabetes mellitus. Body weight, glucose metabolism and insulin serum levels were
investigated in mouse models of type-II diabetes mellitus following experienced
aerobic exercise. Expression levels of inflammatory factors, interleukin (IL)-6,
high-sensitivity C-reactive protein, tumor necrosis factor-alpha and leucocyte
differentiation antigens, soluble CD40 ligand in the serum were analyzed in the
experimental mice. In addition, expression levels of toll-like receptor 4 (TLR-4)
were analyzed in the liver cells of experimental mice. Changes of oxidative
stress indicators, including reactive oxygen species, superoxide dismutase,
glutathione and catalase were examined in the liver cells of experimental mice
treated by aerobic exercise. Expression levels and activity of extracellular
signal-regulated kinases (ERK) and AMP-activated protein kinase (AMPK) signaling
pathways were investigated in the liver cells of mouse models of type-II diabetes
mellitus after undergoing aerobic exercise. Aerobic exercise decreased the
expression levels of inflammatory factors in the serum of mouse models of type-II
diabetes mellitus. The results indicated that aerobic exercise downregulated
oxidative stress indicators in liver cells from mouse models of type-II diabetes
mellitus. In addition, the ERK and AMPK signaling pathways were inactivated by
aerobic exercise in liver cells in mouse models of type-II diabetes mellitus. The
activity of ERK and AMPK, and the function of islet beta-cells were observed to
be improved in experimental mice treated with aerobic exercise. Furthermore,
blood lipid metabolism and insulin resistance were improved by treatment with
aerobic exercise. Body weight and glucose concentration of serology was markedly
improved in mouse models of type-II diabetes mellitus. Furthermore, TLR-4
inhibition markedly promoted ERK and AMPK expression levels and activity. Thus,
these results indicate that aerobic exercise may improve blood lipid metabolism,
insulin resistance and glucose plasma concentration in mouse models of type-II
diabetes mellitus. Thus indicating aerobic exercise is beneficial for improvement
of blood lipid and insulin resistance via the TLR-4-mediated ERK/AMPK signaling
pathway in the progression of type-II diabetes mellitus.
PMID- 29658606
TI - Chloroquine inhibits cell growth in human A549 lung cancer cells by blocking
autophagy and inducing mitochondrial-mediated apoptosis.
AB - Chloroquine (CQ) has been revealed to exhibit antitumor activity in several human
tumors including lung cancer as mono- or add-on therapy. The antitumor effect of
CQ appears to depend on the tumor type, stage and genetic context. Few studies
have focused on the mechanism concerning the antitumor effect of CQ monotherapy
and the cause and effect relationship among autophagy, apoptosis and CQ in human
lung A549 cells. Therefore, the present study aimed to identify the antitumor
effect of CQ monotherapy and analyze the possible mechanism. In the present
study, we demonstrated that CQ suppressed human A549 cell growth in a dose- and
time-dependent manner. CQ-mediated growth inhibition in A549 cells was
characterized by the targeting of the PI3K/AKT pathway, thus, inducing
mitochondria-mediated apoptosis at relatively higher concentrations by
downregulating Bcl-2 expression, increasing the expression level of Bax,
decreasing mitochondrial membrane potential, releasing cytochrome c from the
mitochondria into the cytosol, activating caspase-3 and cleaving PARP.
Collectively, these findings may offer a new rationale for using CQ as a lung
cancer therapy drug in clinical practice.
PMID- 29658607
TI - Key signaling pathways, genes and transcription factors associated with
hepatocellular carcinoma.
AB - The purpose of the present study was to investigate the underlying molecular
mechanism of hepatocellular carcinoma (HCC) using bioinformatics approaches. The
microarray dataset GSE64041 was downloaded from the Gene Expression Omnibus
database, which included 60 tumor liver samples and 60 matched control samples.
Differentially expressed genes (DEGs) between HCC and control groups were
identified. Then functional enrichment analyses, protein-protein interaction
(PPI) network, sub-network and integrated transcription factor (TF)-microRNA
(miRNA)-target network analyses were performed for these DEGs. A total of 378
DEGs were obtained, including 101 upregulated and 277 downregulated DEGs. In
addition, functional enrichment analysis for DEGs in the sub-network revealed
'cell division' and 'cell cycle' as key Gene Ontology (GO) terms and pathways.
Topoisomerase (DNA) IIalpha (TOP2A) and integrin subunit alpha2 (ITGA2) were hub
nodes in the PPI network. TOP2A, cyclin dependent kinase 1 (CDK1) and polo like
kinase 1 (PLK1) were revealed to be hub nodes in the sub-network. Finally, 4 TFs
including forkhead box M1 (FOXM1), E2F transcription factor 4 (E2F4), SIN3
transcription regulator family member A (SIN3A) and transcription factor 7 like 1
(TCF7L1) were obtained through integrated network analysis. TOP2A, ITGA2, PLK1
and CDK1 may be key genes involved in HCC development. 'Cell division' and 'cell
cycle' were indicated to act as key GO terms and Kyoto Encyclopedia of Genes and
Genomes pathways in HCC. In addition, FOXM1, TCF7L1, E2F4 and SIN3A were revealed
to be key TFs associated with HCC.
PMID- 29658608
TI - IPSC-MSC inhibition assessment in Raw 264.7 cells following oxygen and glucose
deprivation reveals a distinct function for cardiopulmonary resuscitation.
AB - Hypoxia is a serious stress state. The nervous system is less tolerant to
hypoxia, and cell death due to hypoxia is irreversible. With the incidence of
cardiovascular disease gradually increasing, the sudden cardiac death rate is
additionally increasing. Although cardiopulmonary resuscitation (CPR) is an
important development, recovery is frequently poor. In a successful recovery
population, ~40% of the population was in a vegetative state or subsequently
succumbed to their condition, and ~20% had brain damage. Therefore, the recovery
of the brain is of particular importance in CPR. Immune disorders are one of the
major mechanisms of cerebral resuscitation following CPR. Studies have
demonstrated that induced pluripotent stem cell-derived mesenchymal stem cells
(IPSC-MSCs) have a strong immune regulatory effect during tissue repair and anti
inflammatory effects. IPSC-MSCs may inhibit the inflammatory response by means of
the inflammatory reaction network to improve brain function following CPR,
although the cellular and molecular mechanisms remain unclear. Macrophages are a
bridge between innate immune and specific immune responses in the body;
therefore, it was hypothesized that macrophages may be the important effector
cell of the role of IPSC-MSCs in improving brain function following recovery of
spontaneous respiration and circulation subsequent to cardiopulmonary
resuscitation. In the present study, IPSC-MSCs were applied to the oxygen and
glucose deprivation (OGD) model. It was observed that intervention with IPSC-MSCs
was able to alter the polarization direction of macrophages. The difference in
the proportions of M1 and M2 macrophages was statistically significant at 6, 12,
24 and 48 h (P=0.037, P<0.05) in the OGD + IPSC-MSCs group (M1, 33.48+/-5.6%; M2,
50.84+/-6.9%) and in the OGD group (M1, 83.55+/-7.3%; M2, 11.41+/-3.2%), and over
time this trend was more obvious. The polarization direction of macrophages is
associated with the neurogenic locus notch homolog protein 1 (Notch-1) signaling
pathway. In conclusion, it was observed that IPSC-MSCs may be associated with
altered macrophage polarization, which may be accomplished by inhibiting the
Notch-1 signaling pathway.
PMID- 29658610
TI - Overexpression of IL-9 induced by STAT3 phosphorylation is mediated by miR-155
and miR-21 in chronic lymphocytic leukemia.
AB - Interleukin-9 (IL-9) can function as both a positive and negative regulator of
immune response, however the role of IL-9 in tumor immunity is poorly understood.
Chronic lymphocytic leukemia (CLL) is the most common chronic lympho
proliferative disorder. Twenty CLL patients from 2010 to 2011 were recruited in
the study. Expression and phosphorylation of transcription factor STAT3 and
differential microRNAs (miRs) in peripheral blood mononuclear cells (PBMCs) from
CLL patient samples were analyzed. In a previous study, we found a high level of
IL-9 in CLL patients. Concomitantly, overexpression of pSTAT3, miR-155, and miR
21 were observed in PBMCs from CLL patients in the present study. To elucidate
whether there was interaction among IL-9, STAT3, miR-155, and miR-21, MEC-1 cells
were used for further study. Our results revealed that there was no detectable IL
9 in the culture medium of MEC-1 cells. However, the IL-9 protein could be
detected using western blotting in MEC-1 cells. Notably, when recombinant human
IL-9 (rIL-9) was added to the medium of culturing MEC-1 cells, the expression
levels of pSTAT3 and IL-9 in MEC-1 cells were increased in a time-dependent
manner, which could be blocked by STAT3 inhibitor. Both miR-155 and miR-21 could
increase IL-9 expression, which could also be suppressed by the inhibitor of
STAT3. Our data indicated that the existence of the novel 'extracellular IL
9/pSTAT3/miR-155/miR-21/intracellular IL-9' positive feedback system in CLL
cells, provides a novel insight in the pathogenesis and possible therapeutic
strategy of CLL.
PMID- 29658609
TI - Survivin knockdown induces senescence in TTF-1-expressing, KRAS-mutant lung
adenocarcinomas.
AB - Survivin plays a key role in regulating the cell cycle and apoptosis, and is
highly expressed in the majority of malignant tumors. However, little is known
about the roles of survivin in KRAS-mutant lung adenocarcinomas. In the present
study, we examined 28 KRAS-mutant lung adenocarcinoma tissues and two KRAS-mutant
lung adenocarcinoma cell lines, H358 and H441, in order to elucidate the
potential of survivin as a therapeutic target. We found that 19 (68%) of the 28
KRAS-mutant lung adenocarcinomas were differentiated tumors expressing thyroid
transcription factor-1 (TTF-1) and E-cadherin. Patients with tumors
immunohistochemically positive for survivin (n=18) had poorer outcomes than those
with survivin-negative tumors (n=10). In the H358 and H441 cells, which expressed
TTF-1 and E-cadherin, survivin knockdown alone induced senescence, not apoptosis.
However, in monolayer culture, the H358 cells and H441 cells in which survivin
was silenced, underwent significant apoptosis following combined treatment with
ABT-263, a Bcl-2 inhibitor, and trametinib, a MEK inhibitor. Importantly, the
triple combination of survivin knockdown with ABT-263 and trametinib treatment,
clearly induced cell death in a three-dimensional cell culture model and in an in
vivo tumor xenograft model. We also observed that the growth of the H358 and H441
cells was slightly, yet significantly suppressed in vitro when TTF-1 was
silenced. These findings collectively suggest that the triple combination of
survivin knockdown with ABT-263 and trametinib treatment, may be a potential
strategy for the treatment of KRAS-mutant lung adenocarcinoma. Furthermore, our
findings indicate that the well-differentiated type of KRAS-mutant lung tumors
depends, at least in part, on TTF-1 for growth.
PMID- 29658611
TI - Effects of nicotine on the metabolism and gene expression profile of Sprague
Dawley rat primary osteoblasts.
AB - Smoking is a risk factor associated with bone and oral diseases, particularly
periodontitis. Nicotine, the major toxic component of tobacco, is able to affect
the quality and quantity of bone. Osteoblasts serve an important role in bone
formation. Thus far, the effects of nicotine on metabolism-associated gene and
protein expression in osteoblasts have been controversial and the mechanisms
remain unclear. The present study assessed alterations in osteogenic activity by
performing a Cell Counting kit-8 assay to investigate proliferation, Annexin V
fluorescein isothiocyanate/propidium iodide staining to investigate apoptosis,
alizarin red staining to investigate the formation of mineralized nodules,
reverse transcription-quantitative polymerase chain reaction and western blotting
to investigate the mRNA and protein levels of collagen I, alkaline phosphatase,
bone osteocalcin, bone sialoprotein and osteopontin; and mRNA microarray
expression analysis, Kyoto Encyclopedia of Genes and Genomes and Gene Ontology
analysis to investigate the whole genome expression profile of Sprague-Dawley
(SD) rat primary osteoblasts following treatment with different concentrations of
nicotine. The results demonstrated that nicotine inhibited proliferation,
promoted early apoptosis and inhibited mineralized nodule formation in a dose
dependent manner by regulating alkaline phosphatase activity and the expression
of osteoblast metabolism-associated genes and proteins. According to microarray
analysis, several genes associated with bone metabolism and genes in the Hedgehog
and Notch signaling pathways were downregulated significantly in nicotine-treated
osteoblasts. The results of the present study indicated that nicotine may serve
an inhibitory, dose-dependent role in SD rat primary osteoblasts that may be
caused by the perturbation of genes and signaling pathways associated with bone
formation. These results may provide a theoretical basis for future research
regarding bone metabolism and targeted treatment of oral diseases associated with
smoking.
PMID- 29658612
TI - let-7d-3p is associated with apoptosis and response to neoadjuvant chemotherapy
in ovarian cancer.
AB - Altered expression of microRNAs contributes to the heterogeneous biological
behavior of human malignancies and it may correlate with the clinical
pathological features of patients. The let-7 microRNA family is frequently
downregulated in human cancers and its aberrant expression may be a useful marker
for prediction of the clinical response to therapy in patients. In the present
study, we analyzed the expression of three members of the let-7 family (let-7a
3p, let-7d-3p and let-7f), which remains largely uncharacterized in ovarian
cancer tissues. We also investigated the function of let-7d-3p in the apoptosis
and sensitization to chemotherapy in ovarian cancer cells. Our data from stem
loop quantitative RT-PCR showed that expression of let-7a-3p and let-7d-3p, but
not let-7f, was significantly (P<0.04) upregulated in ovarian tumors relative to
that noted in normal ovarian tissues. Markedly, an increased expression of let-7d
3p (also known as let-7d-3*) was associated with positive response to
carboplatin/paclitaxel treatment in ovarian cancer patients. To investigate the
biological relevance of let-7d-3p, we knocked down its expression in SKOV-3
ovarian cancer cell line using antagomiRs. Loss of function analysis showed that
inhibition of let-7d-3p significantly (P<0.05) impaired cell proliferation and
activated apoptosis. In contrast, scratch/wound healing and Transwell chamber
assays showed that migration and invasion abilities were not affected in the let
7d-3p-deficient SKOV-3 cancer cells. Notably, Annexin V assays showed a
significant (P<0.05) increase in cell death of cancer cells treated with the let
7d-3p inhibitor plus carboplatin indicating a synergistic effect of the drug with
antagomiR therapy. Gene ontology classification of predicted targets of let-7d-3p
identified a number of genes involved in cellular pathways associated with
therapy resistance such as ABC transporters, HIF-1, RAS and ErbB signaling. In
summary, our findings showed that inhibition of let-7d-3 activates apoptosis and
that its upregulation is associated with a positive response of ovarian cancer
patients to carboplatin/paclitaxel chemotherapy.
PMID- 29658613
TI - Detection of survivin expression in bladder cancer and renal cell carcinoma using
specific monoclonal antibodies.
AB - Survivin, which is highly expressed in the majority of tumors, but not in most
normal adult tissues, has been identified to have significant clinical
applications. In the present study, using survivin-specific monoclonal antibodies
(mAbs), we aimed to establish methods for detecting the expression of survivin in
cancer cell lines, serum samples, urine samples and cancer tissues from patients
with bladder cancer (BCa) and renal cell carcinoma (RCC), and to evaluate the
efficacy of survivin as a tumor marker in the surveillance of BCa and RCC. First,
mAbs were labeled with horseradish peroxidase (HRP), and a sandwich enzyme-linked
immunosorbent assay (ELISA) with mAbs and HRP-conjugated mAbs was developed to
detect survivin expression in serum and urine samples from BCa and RCC patients,
with samples from healthy controls (HCs) used for comparison. The HRP-conjugated
mAbs were also used to detect survivin expression in cancer cell lines by western
blotting. Survivin expression in cancer tissues from BCa patients was also
evaluated by immunohistochemistry. The results showed that the sandwich ELISA was
successfully established, and significantly higher expression of survivin was
subsequently detected in BCa and RCC patients as compared with HCs in both
urinary and serum samples (P<0.05), and was more pronounced in urine. The HRP
mAbs could recognize survivin in cancer cell lines. Western blotting and
immunohistochemistry results confirmed survivin expression in the 5637 BCa cell
line, as well as BCa tissues. In addition, the expressions of survivin in BCa
tissues, urine and serum were consistent in our study. In conclusion, the
sandwich ELISA successfully established in the present study was of high
sensitivity and specificity in the detection of survivin expression. The results
also indicated that survivin is a potential tumor marker for the surveillance of
BCa and RCC.
PMID- 29658614
TI - Scaling up action on the prevention and control of noncommunicable diseases in
the WHO Eastern Mediterranean Region.
PMID- 29658615
TI - The integration and management of noncommunicable diseases in primary health
care.
PMID- 29658616
TI - Multiple tobacco use among young adult waterpipe smokers in Egypt.
AB - Background: The use of multiple tobacco products is an emerging trend. Studies on
multiple use among waterpipe smokers are limited. Objectives: We aimed to
estimate the proportion of other tobacco products used by current adult waterpipe
smokers in Egypt and identify the determinants of multiple tobacco product use.
Methods: Population-based surveys were conducted using interview questionnaires
during 2015-2017 in urban Cairo and rural Menoufia. Participants aged 18 years
and older were selected using purposive quota non-random sampling. The total
sample included 2 014 participants. We analysed the data on 1 490 current
waterpipe smokers. Variables recorded included: tobacco use, health beliefs,
waterpipe smoking behaviour, sociodemographic characteristics, and perceived
effectiveness of pictorial health warnings on waterpipe tobacco packs. Current
waterpipe smokers were classified as waterpipe-only users and multiple tobacco
product users. Results: Almost half (47.9%) of the current waterpipe smokers used
multiple tobacco products; 93.4% were dual users and 6.6% poly-users. The other
tobacco products used were cigarettes (86.4%), electronic nicotine delivery
systems (ENDS) (7.0%) or both (6.6%). Multiple users were more likely to be
younger than waterpipe-only users. Young adult female waterpipe smokers used ENDS
12 times more than young adult males (48.8% versus 4.1% respectively). Non-daily
waterpipe smoking, usually smoking at cafes, higher education and knowledge of
pictorial health warnings were independent predictors of multiple tobacco product
use. Conclusion: Multiple tobacco product use was common among current waterpipe
smokers in our study. Interventions to tackle non-cigarette and multiple tobacco
use, especially in young adults, are urgently needed.
PMID- 29658617
TI - Assessment of salt concentration in bread commonly consumed in the Eastern
Mediterranean Region.
AB - Background: Hypertension is the most important cardiovascular risk factor in the
World Health Organization (WHO) Eastern Mediterranean Region. Excessive salt and
sodium intake is directly related to hypertension, and its reduction is a
priority of WHO. Bread is the leading staple food in the Region; therefore,
reducing the amount of salt added to bread could be an effective measure for
reducing salt intake. Aim: The study sought to determine the levels of sodium and
salt in locally produced staple bread from 8 countries in the Region. Methods:
Bread samples were collected randomly from bakeries located in the capital cities
of the selected countries. The samples were analysed for sodium content using
atomic absorption spectroscopy. Results: The mean salt content of breads varied
from 4.28 g/kg in Jordan to 12.41 g/kg in Tunisia. The mean salt and sodium
content in bread for all countries was 7.63 (SD 3.12) and 3.0 (SD 1.23) g/kg,
respectively. The contribution of bread to daily salt intake varied considerably
between countries, ranging from 1.3 g (12.5%) in Jordan to 3.7 g (33.5%) in
Tunisia. Conclusion: Interventions to reduce population salt intake should target
reduction of salt in bread in all countries. The amount of salt added to bread
should be standardized and relevant legislation developed to guide bakers.
Setting an upper limit for salt content in flat bread (pita or Arabic bread) at
0.5% is strongly recommended. However, salt levels at <= 1% would be appropriate
for other kind of breads.
PMID- 29658618
TI - Implementation of the International Code of Marketing of Breastmilk Substitutes
in the Eastern Mediterranean Region.
AB - Background: Optimal breastfeeding practices and appropriate complementary feeding
improve child health, survival and development. The countries of the Eastern
Mediterranean Region have made significant strides in formulation and
implementation of legislation to protect and promote breastfeeding based on The
International Code of Marketing of Breast-milk Substitutes (the Code) and
subsequent relevant World Health Assembly resolutions. Aim: To assess the
implementation of the Code in the Region. Methods: Assessment was conducted by
the World Health Organization (WHO) Regional Office for the Eastern Mediterranean
using a WHO standard questionnaire. Results: Seventeen countries in the Region
have enacted legislation to protect breastfeeding. Only 6 countries have
comprehensive legislation or other legal measures reflecting all or most
provisions of the Code; 4 countries have legal measures incorporating many
provisions of the Code; 7 countries have legal measures that contain a few
provisions of the Code; 4 countries are currently studying the issue; and only 1
country has no measures in place. Further analysis of the legislation found that
the text of articles in the laws fully reflected the Code articles in only 6
countries. Conclusion: Most countries need to revisit and amend existing national
legislation to implement fully the Code and relevant World Health Assembly
resolutions, supported by systematic monitoring and reporting.
PMID- 29658619
TI - Prevalence of risk factors for noncommunicable diseases in adults: key findings
from the Pakistan STEPS survey.
AB - Background: Pakistan lacks data on the prevalence of risk factors for common
noncommunicable diseases (NCDs). Objectives: This study aimed to determine the
prevalence of risk factors for NCDs among a population-based sample in Punjab and
Sindh provinces, Pakistan. Methods: This study was conducted in 2013-2014. The
NCD risk factors examined were: current daily smoking, eating fewer than 5
servings of fruits/vegetable a day, low physical activity, overweight and
obesity. A total of 7 710 households were selected and 1 adult was enrolled from
each household. Data were collected using the WHO STEPS instrument (Step 1 and
2), and analysed according to the STEPS statistical plan. Results: The prevalence
of tobacco use was 19.7%. The majority of the respondents (96.5%) consumed fewer
than 5 servings of fruits/vegetables a day, 41.5% had a low level of physical
activity, 26.3% were overweight and 14.9% were obese. The prevalence of stage I
and stage II hypertension, including those on medication, was 37% and 15.9%
respectively. The prevalence of NCD risk factors differed significantly by sex
and occupation (P = 0.0001) but not by age group (P = 0.118), level of education
(P = 0.668) and province (P = 0.056). Only 0.6% of the sample had none of the 5
NCD risk factors while 40% had 3-5. Conclusion: The high prevalence of NCD risk
factors in Punjab and Sindh provinces is of concern. Urgent public health
interventions are needed to reduce them, especially in youth and young adults.
PMID- 29658620
TI - Impact of economic sanctions on access to noncommunicable diseases medicines in
the Islamic Republic of Iran.
AB - Background: It has been argued that economic sanctions and the economic crisis
have adversely affected access to drugs. Aim: To assess the impact of economic
sanctions on the Iranian banking system in 2011 and Central Bank in 2012 on
access to and use of drugs for noncommunicable diseases (NCDs). Methods: An
interrupted time series study assessed the effects of sanctions on drugs for
diabetes (5 drug groups), asthma (5 drug groups), cancer (14 drugs) and multiple
sclerosis (2 drugs). We extracted data from national reference databases on the
list of drugs on the Iranian pharmaceutical market before 2011 for each selected
NCD and their monthly sales. For cancer drugs, we used stratified random sampling
by volume and value of sales, and source of supply (domestic or imported). Data
were analysed monthly from 2008 to 2013. Results: Market availability of 13 of 26
drugs was significantly reduced. Ten other drugs showed nonsignificant reductions
in their market availability. Interferon alpha2b usage reduced from 0.014 defined
daily doses per 1000 inhabitants per day (DID) in 2010 to 0.008 in 2013; and
cytarabine from 1.40 mg per 1000 population per day in 2010 to 0.96 in 2013.
Selective beta2-adrenoreceptor agonists usage reduced from 8.4 to 6.8 DID in the
same time period. Conclusion: There is strong evidence that sanctions have had a
negative effect on access to drugs, particularly those that depended on the
import of their raw material or finished products.
PMID- 29658621
TI - Scaling up prevention and control of noncommunicable diseases in the WHO Eastern
Mediterranean Region.
AB - Surveillance is an essential component in the campaign to prevent and control
noncommunicable diseases (NCDs), both globally and in the Eastern Mediterranean
Region (EMR). In order to address the increasing burden from these diseases,
countries must first evaluate their own systems and see what steps need to be
taken to improve preparedness. Therefore, the WHO Regional Office for the Eastern
Mediterranean in Cairo, Egypt, conducts country capacity surveys on a regular
basis to compare each Member State's NCD provision to the Framework for Action to
implement the UN Political Declaration (2011). Ten progress indicators cover
governance and planning, reducing risk factors and healthcare provision. Each one
is scored for whether a country is fully, partially or not achieving this goal.
This review focuses on comparing the Progress Monitor reports for the 22 EMR
countries in 2015 and 2017. While the criteria used to assess some of the
indicators have been updated over this period, many categories still show strong
improvements. However, others still require extensive work if countries are to
meet the sustainable development goal of reducing by 25% the number of premature
deaths from NCDs by the year 2025.
PMID- 29658622
TI - MPOWER, needs and challenges: trends in the implementation of the WHO FCTC in the
Eastern Mediterranean Region.
AB - Background: WHO MPOWER aims to help countries prioritize tobacco control measures
in line with the WHO Framework Convention on Tobacco Control. Objectives: This
paper assessed the progress and challenges in implementing the 6 priority
policies of MPOWER in countries of the WHO Eastern Mediterranean Region since
2011. Methods: A checklist was developed and scores assigned based on the MPOWER
indicators (maximum score 37). MPOWER data for the Region in the 2015 and 2017
tobacco control reports were extracted and scored. Data from similar analyses for
2011 and 2013 were also included. Countries were ranked by scores for each
indicator for 2015 and 2017 and for overall scores for 2011 to 2017. Results: The
Islamic Republic of Iran, Egypt and Pakistan had the highest scores in 2015 (33,
29 and 27 respectively) and the Islamic Republic of Iran, Pakistan and Yemen had
the highest scores in 2017 (34, 31 and 27 respectively). The indicators with the
highest and lowest combined score for all countries were for advertising bans and
compliance with smoke-free policies: 67 and 18 respectively in 2015, and 73 and
15 respectively in 2017. Most countries (15/22) had higher total scores in 2017
than 2015: Afghanistan, Bahrain and Syrian Arab Republic had the greatest
increases. The total score for the Region increased from 416 out of a maximum
score of 814 in 2011 to 471 in 2017. Conclusions: Although notable achievements
have been made in the Region, many challenges to policy implementation remain and
require urgent action by governments of the countries of the Region.
PMID- 29658623
TI - Tobacco advertising, promotion and sponsorship in entertainment media: a
phenomenon requiring stronger controls in the Eastern Mediterranean Region.
AB - Tobacco use and placement of tobacco products in television (TV) productions and
movies is a way to promote tobacco use while avoiding tobacco advertising bans
that exist in most countries. The fact that such productions are broadcast widely
and viewed by millions, including children and young people, is of concern. This
paper reviews the evidence on the use of tobacco advertising, promotion and
sponsorship (TAPS) in TV and films in the Eastern Mediterranean Region and the
ways to combat it. Evidence from Egypt shows considerable and increasing use of
tobacco products by actors on screen, including female actors, in programmes
aired during Ramadan in 2015-2017. A study of Iranian movies in 2015 showed that
tobacco scenes in Iranian movies were increasing. In 2014, the WHO Regional
Office for the Eastern Mediterranean held a consultative meeting on TAPS in
drama. The consultation recommended regulating the tobacco presence in movies and
TV through complete implementation of Article 13 of the WHO FCTC, and raising the
issue to the WHO FCTC Conference of the Parties. In 2016, the Conference of the
Parties called on parties to consider scaling up the implementation of WHO FCTC
Article 13 and monitoring the use of TAPS in entertainment media in accordance
with national legislation. A comprehensive approach is essential to end the
tobacco industry's use of TV productions and movies to promote their products.
PMID- 29658624
TI - Review of the nutrition situation in the Eastern Mediterranean Region.
AB - This situation analysis for the World Health Organization Eastern Mediterranean
Region focuses on specific nutrition indicators, namely low birth weight,
exclusive breastfeeding, under- and overnutrition (anthropometric indicators) and
anaemia. The regional average prevalence of low birth weight and exclusive
breastfeeding was estimated at 19.31% and 29.3%, respectively. Stunting, wasting
and underweight had an average prevalence of 28%, 8.69% and 18%, respectively.
Afghanistan, Djibouti, Pakistan, Sudan and Yemen had the highest burden of
stunting (> 30%). Prevalence of anaemia ranged from 7.4% to 88% in children aged
< 5 years and from 19.9% to 63% in women of childbearing age. Of concern is the
increasing trend in overweight and obesity among adults and children. Average
prevalence of overweight and obesity was 27% and 24% in adults and 16.5% and 4.8%
in school-aged children, respectively. The highest levels of obesity were
reported from Bahrain, Kuwait, Qatar and the United Arab Emirates. This review
highlights the double burden of malnutrition in countries of the Region and calls
for the prioritization of policies aimed at improving the population's
nutritional status.
PMID- 29658625
TI - The development of the noncommunicable diseases emergency health kit.
AB - The noncommunicable diseases (NCDs) emergency health kit was developed in
response to the growing prevalence of NCDs in low and middle-income countries.
Under conditions of conflict or following natural disasters, regular treatment of
this category of diseases is often disrupted and rarely prioritized. This leads
to greater morbidity and mortality both in the short and long term. The Eastern
Mediterranean Region (EMR) has both a high incidence of NCDs and currently is the
site of several major conflicts and hosts most of the world's refugees.
Therefore, the WHO Regional Office for the Eastern Mediterranean initiated the
development of the NCD emergency health kit to provide a structured set of
medications, equipment and renewables to supply the needs of a population of 10
000 people over a period of 3 months following disruption of normal medical
services. This report discusses the rationale and anticipated use of the NCD
emergency health kit followed by the selection criteria, structure, content and
quantification process of the kit. Finally, the next steps are examined,
including the procurement, logistics and monitoring and evaluation process of the
kit.
PMID- 29658626
TI - GABRIC Diabetes School: an innovative education centre for people with diabetes.
AB - Diabetes prevalence and deaths attributable to diabetes continue to rise across
globally. Diabetes Self-Management Education and Support (DSME/S) is a critical
resource designed to help people with diabetes (PWD) successfully selfmanage
their disease; however, its utilization is too low. In the Islamic Republic of
Iran, there are currently limited structured educational programmes and no
national standards for DSME/S protocol. In response to this, the GABRIC Diabetes
Education Association (GDEA) has been developed as a school for diabetics, which
has a comprehensive DSME/S programme for PWD with 18 distinct courses on 5 levels
for 8 target groups. In addition, GABRIC has developed a database registry with
more than 100 000 members throughout the country, of whom 95% are diabetic with a
proportion of 82% Type 2 diabetes and 13% Type 1 diabetes. The success of the
GABRIC school model results is yet to be investigated through study trials, and
offers a fruitful line of research.
PMID- 29658627
TI - Scaling up cancer care in the WHO Eastern Mediterranean Region.
PMID- 29658628
TI - Workshop on WHO Framework Convention on Tobacco Control to promote the Protocol
to eliminate illicit trade in tobacco products.
PMID- 29658629
TI - Scaling up implementation of the United Nations Declaration on Prevention and
Control of Noncommunicable Diseases.
PMID- 29658631
TI - Royal Australasian College of Surgeons 87th Annual Scientific Congress Sydney,
New South Wales, 7-11 May 2018.
PMID- 29658630
TI - Lumbar sympathectomy versus prostanoids for critical limb ischaemia due to non
reconstructable peripheral arterial disease.
AB - BACKGROUND: Peripheral arterial disease (PAD) is a common circulatory problem
that can lead to reduced blood flow to the limbs, which may result in critical
limb ischaemia (CLI), a painful manifestation that occurs when a person is at
rest. The mainstay of treatment for CLI is surgical or endovascular repair.
However, when these means of treatment are not suitable, due to anatomical
reasons or comorbidities, treatment for pain is limited. Lumbar sympathectomy and
prostanoids have both been shown to reduce pain from CLI in people who suffer
from non-reconstructable PAD, but there is currently insufficient evidence to
determine if one treatment is superior. Due to the severity of the rest pain
caused by CLI, and its impact on quality of life, it is important that people are
receiving the best pain relief treatment available, therefore interest in this
area of research is high. OBJECTIVES: To compare the efficacy of lumbar
sympathectomy with prostanoid infusion in improving symptoms and function and
avoiding amputation in people with critical limb ischaemia (CLI) due to non
reconstructable peripheral arterial disease (PAD). SEARCH METHODS: The Cochrane
Vascular Information Specialist (CIS) searched the Specialised Register (last
searched 29 March 2017) and CENTRAL (2017, Issue 2). The CIS also searched
clinical trials databases for ongoing or unpublished studies. SELECTION CRITERIA:
Randomised controlled trials (RCTs), with parallel treatment groups, that
compared lumbar sympathectomy (surgical or chemical) with prostanoids (any type
and dosage) in people with CLI due to non-reconstructable PAD. DATA COLLECTION
AND ANALYSIS: Three review authors independently selected trials, extracted data
and assessed risk of bias. Any disagreements were resolved by discussion. We
performed fixed-effect model meta-analyses, when there was no overt sign of
heterogeneity, with risk ratios (RRs) and 95% confidence intervals (CIs). We
graded the quality of evidence according to GRADE. MAIN RESULTS: We included a
single study in this review comparing lumbar sympathectomy with prostanoids for
the treatment of CLI in people with non-reconstructable PAD. The single study
included 200 participants with Buerger's disease, a form of PAD, 100 in each
treatment group, but only 162 were actually included in the analyses. The study
compared an open surgical technique for lumbar sympathectomy with the prostanoid,
iloprost, and followed participants for 24 weeks.Risk of bias was low for most
evaluated domains. Due to the nature of the treatment, blinding of the
participants and those providing the treatment would be impossible as a surgical
procedure was compared with intravenous injections. It was not mentioned if
blinded assessors evaluated the study outcomes, therefore, we judged subjective
outcomes (i.e. pain reduction) to be at unclear risk of detection bias and
objective outcomes (i.e. ulcer healing, amputation and mortality) at low risk of
detection bias. We also rated the risk of attrition bias as unclear; 38 out of
200 (19%) participants were not included in the analysis without clear
explanation (16 of 100 in the iloprost arm and 22 of 100 in the sympathectomy
arm). The quality of evidence was low due to serious imprecision because the
study numbers were low and there was only one study included.The single included
study reported on the outcome of complete healing without pain or major
amputation, which fell under three separate outcomes for our review: relief of
rest pain, complete ulcer healing and avoidance of major amputation. We chose to
keep the outcome as a singularly reported outcome in order to not introduce bias
into the outcomes, which may have been the case if reported separately. The
limited evidence suggests participants who received prostaglandins had improved
complete ulcer healing without rest pain or major amputation when compared with
those who received lumbar sympathectomy (RR 1.63, 95% CI 1.30 to 2.05), but as it
was the only included study, we rated the data as low-quality and could not draw
any overall conclusions. The study authors stated that more participants who
received prostaglandins reported adverse effects, such as headache, flushing,
nausea and abdominal discomfort, but only one participant experienced severe
enough adverse effects to drop out. Five participants who underwent lumbar
sympathectomy reported minor wound infection (low-quality evidence). There was no
reported mortality in either of the treatment groups (low-quality evidence).The
included study did not report on claudication distances, quality of life or
functional status, ankle brachial pressure index (ABPI), tissue oxygenation or
toe pressures, or progression to minor amputation, complications or provide any
cost-effectiveness data. AUTHORS' CONCLUSIONS: Low-quality evidence from a single
study in a select group of participants (people with Buerger's disease) suggests
that prostaglandins are superior to open surgical lumbar sympathectomy for
complete ulcer healing without rest pain or major amputation, but possibly incur
more adverse effects. Further studies are needed to better understand if
prostaglandins truly are more efficacious than open surgical lumbar sympathectomy
and if there are any concerns with adverse effects. It would be of great
importance for future studies to include other forms of PAD (as Buerger's disease
is a select type of PAD), other methods of sympathectomy as well as data on
quality of life, complications and cost-effectiveness.
PMID- 29658632
TI - Abstract Journal Rural Surgery.
PMID- 29658633
TI - Abstract Journal Quality & Safety with Surgical Directors Program.
PMID- 29658634
TI - Measurement of concentrations of four chemical ultraviolet filters in human
breast tissue at serial locations across the breast.
AB - The human population is widely exposed to benzophenone-3 (BP-3),
octylmethoxycinnamate (OMC), 4-methylbenzilidenecamphor (4-MBC) and homosalate
from their use in consumer goods to absorb ultraviolet (UV) light. Their
oestrogenic activity and presence in human milk suggest a potential to influence
breast cancer development. In this study, high-performance liquid chromatography
tandem mass spectrometry was used to measure concentrations of these UV filters
in human breast tissue from three serial locations across the breast from 40
women undergoing mastectomy for primary breast cancer. One or more of these UV
filters were quantifiable in 101 of 120 (84%) of the tissue samples and at least
one breast region for 38 of 40 women. BP-3 was measured in 83 of 120 (69%) tissue
samples and at least one breast region for 33 of 40 women (range 0-26.0 ng g-1
tissue). OMC was measured in 89 of 120 (74%) tissue samples and at least one
breast region for 33 of 40 women (range 0-58.7 ng g-1 tissue). 4-MBC was measured
in 15 of 120 (13%) tissue samples and at least one breast region for seven of 40
women (range 0-25.6 ng g-1 tissue). Homosalate was not detected in any sample.
Spearman's analyses showed significant positive correlations between
concentrations of BP-3 and OMC in each of the three breast regions. For ethical
reasons cancerous tissue was not available, but as the location of the cancer was
known, Mann-Whitney U-tests investigated any link between chemical concentration
and whether a tumour was present in that region or not. For the lateral region,
more BP-3 was measured when a tumour was present (P = .007) and for OMC the P
value was .061. For seven (of 40) women with measurable 4-MBC, six of seven had
measurable 4-MBC at the site of the tumour.
PMID- 29658635
TI - Carlos L. Ballare.
PMID- 29658636
TI - Taking the step: from Evo-Devo to plant-microbe interaction evolution with the
liverwort Marchantia.
PMID- 29658637
TI - Gaining a global perspective on Fagaceae genomic diversification and adaptation.
PMID- 29658638
TI - Unravelling the mode of action of plant proteases.
PMID- 29658639
TI - Endoscopic full-thickness resection for gastrointestinal submucosal tumors.
AB - Endoscopic full-thickness resection (EFTR) is a "changing-concept" endoscopic
resection technique, which safely allows resecting deep submucosal tumors (SMTs)
in the gastrointestinal (GI) wall. It's a highly promising endoscopic procedure
that allows full-thickness excision of a small piece of the complete GI wall by
using only a flexible endoscope. EFTR is a meeting point between surgery and
endoscopy and probably the onset of many prospective combined minimally invasive
therapeutic techniques that science will explore. In this review, use of the EFTR
technique for gastrointestinal SMTs is highlighted, focusing on some technical
aspects, indications, contraindications and outcomes.
PMID- 29658640
TI - Treatment for difficult bile duct stones: Balloon enteroscopy assisted-endoscopic
retrograde cholangiopancreatography.
PMID- 29658641
TI - Current strategies for the endoscopic management of difficult-to-treat bile duct
stones in Japan.
PMID- 29658642
TI - Endoscopic management of colorectal tumors less than 10 mm in size: Current
status and future perspectives in Japan from a questionnaire survey.
PMID- 29658643
TI - Laparoscopic endoscopic cooperative surgery for gastric cancer with severe
fibrosis.
PMID- 29658644
TI - Current status and future perspectives of endoscopic full-thickness resection.
AB - Flexible endoscopy has developed from a diagnostic tool for tissue biopsy
sampling to a treatment tool for endoscopic resection of neoplasms in the
digestive tract. In the near future, one of the advanced endoscopic techniques,
endoscopic full-thickness resection (EFTR), is expected to be a feasible
endoscopic procedure. In the present review, systematic review of conventional
exposed EFTR was carried out. Search queries were (endoscopic full-thickness
resection or EFTR) (over-the-scope clip or OTSC) (Overstitch System) from 2015 to
2017. Four retrospective, single-center studies with regard to conventional EFTR
were identified. With regard to indication for conventional exposed EFTR,
gastrointestinal stromal tumor was a good indication for EFTR. Mean tumor size of
all four studies was 20.71 mm. In two studies, endoclips were used to close the
resected opening without any complications, but the other two studies reported
complications such as delayed perforation even using OTSC. Procedure times were
reported from a minimum of 40 min to a maximum of 105 min. We also refer to
introduction of a newly developed procedure of EFTR (non-exposed EFTR), and
development of a new suturing device in Japan.
PMID- 29658645
TI - Preface.
PMID- 29658646
TI - Case of multiple cholesterol common bile duct stones that were refractory to
endoscopic mechanical lithotripsy.
PMID- 29658647
TI - Potential of artificial intelligence-assisted colonoscopy using an endocytoscope
(with video).
PMID- 29658648
TI - Current status and feasibility of endoscopic full-thickness resection in Japan:
Results of a questionnaire survey.
PMID- 29658649
TI - Challenges associated with the pathological diagnosis of colorectal tumors less
than 10 mm in size.
AB - Various techniques including cold snare polypectomy and endoscopic mucosal
resection are used for the removal of small colorectal polyps. Specimens of
resected polyps are prepared in pathology laboratories and analyzed to make a
pathological diagnosis. However, reports on how different resection methods
influence the pathological diagnosis are limited. This article discusses the
problems associated with the failure of polyp retrieval and fragmentation of
small specimens during collection and the effects of certain parameters on the
pathological diagnosis, particularly with regard to surgical margins. In the
future, although pathologists are expected to encounter problems as a result of
minor findings that are not clinically problematic, relatively rare cases such as
submucosal invasion by a small carcinoma should not be overlooked.
PMID- 29658650
TI - Endoscopic management of bile duct stones in patients with surgically altered
anatomy.
AB - Bile duct stones in patients with surgically altered anatomy still pose a
challenge to endoscopists. For successful endoscopic management of bile duct
stones, there are multiple hurdles: Intubation to the afferent limb, biliary
cannulation, ampullary intervention and stone extraction. The major advancement
in this area is the development of dedicated device-assisted endoscopes for
endoscopic retrograde cholangiopancreatography (ERCP). In patients with Billroth
II reconstruction, a high technical success rate is reported using a duodenoscope
but can be complicated by a potentially high perforation rate. In patients with
Roux-en-Y reconstruction, device-assisted ERCP shows high technical success and
low adverse event rates. Meanwhile, endoscopic papillary large balloon dilation
enables safe and effective stone extraction with less use of endoscopic
mechanical lithotripsy in patients with a dilated distal bile duct, but
intraductal lithotripsy is sometimes necessary for management of very large bile
duct stones. In cases with difficult stones, alternative approaches such as
laparoscopy-assisted ERCP and endoscopic ultrasound (EUS)-guided intervention are
increasingly reported with preliminary but promising results. However,
comparative studies are still lacking in this area and prospective randomized
controlled trials are warranted in terms of safety, efficacy and cost
effectiveness.
PMID- 29658651
TI - Peroral endoscopic submucosal tumor resection.
PMID- 29658652
TI - Additional chromoendoscopy for colorectal lesions initially diagnosed with low
confidence by magnifying narrow-band imaging: Can it improve diagnostic accuracy?
AB - BACKGROUND AND AIM: Magnifying chromoendoscopy has been one of the most reliable
diagnostic methods for distinguishing neoplastic from non-neoplastic lesions. The
aim of this prospective study was to clarify the clinical usefulness of
magnifying chromoendoscopy for colorectal polyps initially diagnosed with low
confidence (LC) by magnifying narrow-band imaging (NBI). METHODS: Consecutive
adult patients who underwent total colonoscopic examination with magnifying NBI
between July and December 2016 at Sano Hospital were prospectively recruited.
Endoscopists were asked to carry out additional magnifying chromoendoscopy for
cases that had been initially diagnosed as Japan NBI Expert Team (JNET) Type 1 or
2A with LC by magnifying NBI. We investigated the diagnostic performance of
magnifying NBI for polyps diagnosed as JNET Type 1 or 2A with LC (first phase)
and that of subsequent magnifying chromoendoscopy (second phase) in
differentiating neoplasia from non-neoplasia. RESULTS: In 50 patients, we
analyzed 53 polyps classified as JNET Type 1 or 2A with LC prediction. Accuracy
and negative predictive value of magnifying NBI (first phase) were 58.5% (95% CI,
44.1-71.9%) and 66.0% (95% CI, 36.6-77.9%), and those of magnifying
chromoendoscopy (second phase) were 66.0% (95% CI, 51.7-78.5%) and 61.1% (95% CI,
43.5-76.9%), respectively. CONCLUSION: Regardless of the findings of additional
chromoendoscopy, all polyps should be resected and submitted for
histopathological examination when the confidence level in differentiating
adenomatous from hyperplastic polyps by magnifying NBI is low.
PMID- 29658653
TI - Endoscopic excavation technique for gastric gastrointestinal stromal tumor: A
case report.
PMID- 29658654
TI - Cold snare polypectomy technique is a procedure with a low bleeding risk.
PMID- 29658655
TI - Current endoscopic strategies for managing large bile duct stones.
AB - Common bile duct stones are a relatively common occurrence and can often lead to
devastating complications. Endoscopic retrograde cholangiopancreatography was
introduced in the 1970s for management of common bile duct stones. Most common
bile duct stones can be removed with simple techniques such as endoscopic
sphincterotomy and balloon trawling. However, large bile duct stones continue to
pose some difficulty in achieving complete extraction. In this article, we will
review some of the established techniques such as the use of endoscopic papillary
large balloon dilatation, mechanical lithotripsy, and cholangioscopy-assisted
techniques. We will look at the recent literature to help clarify the particular
methods and answer some of the questions surrounding these methods.
PMID- 29658656
TI - Comparison between endoscopic and laparoscopic removal of gastric submucosal
tumor.
AB - BACKGROUND AND AIM: A retrospective study was conducted to compare two resection
methods, namely, endoscopic resection (ER) procedures (endoscopic submucosal
dissection [ESD], endoscopic muscularis dissection [EMD], and endoscopic full
thickness resection [EFTR]) and laparoscopic resections (LR) (laparoscopic
endoscopic cooperative surgery [LECS] and laparoscopic wedge resection). METHODS:
Seventy-three patients who underwent ER (N = 33: ESD, N = 4; EMD, N = 15; EFTR, N
= 14) or LR (N = 39: LECS, N = 16; wedge resection, N = 23) for gastric
submucosal tumor (G-SMT) smaller than 50 mm were included in this study.
Patient/tumor characteristics and intra/postoperative factors were compared
between the ER and LR groups. RESULTS: The ER group had a significantly higher
percentage of intraluminal growing type of tumor (100% vs 41%) and smaller tumor
size (23 vs 33 mm) than the LR group. The ER group had a significantly shorter
operative time (93 vs 145 min) and less blood loss (13 vs 30 mL) than the LR
group. In the ER group, three patients who had tumors located on the anterior
wall of the stomach required laparoscopic closure after EFTR because of
difficulty in endoscopic closure of the gastric-wall defect. Postoperative
complication rates and duration of postoperative hospital stays did not differ
between the two groups. CONCLUSIONS: ER may be technically feasible, safe, less
invasive, and oncologically appropriate options for selected patients with the
intraluminal growing type of G-SMT smaller than 30 mm. EFTR may be more
reasonable alternatives to LR in selected patients with a small G-SMT located on
the lesser curvature side.
PMID- 29658657
TI - Endoscopic ultrasonography-guided antegrade treatment for bile duct stone with
multi-step approach in a patient with surgically altered anatomy.
PMID- 29658658
TI - [Icaritin promotes maturation and mineralization of mouse osteoblast MC3T3-E1
cells through CXCR4/SDF-1 signal pathway].
AB - Objective: To investigate the effect of icaritin on maturation and
mineralization of mouse osteoblast MC3T3-E1 cells and its mechanism.
Methods: The cultured MC3T3-E1 cells were divided into blank control
group, CXC chemokine receptor type 4 (CXCR4) inhibitor (AMD3100) group, icaritin
group, and icaritin plus AMD3100 group. The expression of CXCR4, stromal cell
derived factor 1 (SDF-1) and osteogenesis-related genes and proteins were
detected by real-time RT-PCR and Western blotting after drug treatment for 24 h.
The alkaline phosphatase (ALP) activity was determined with ALP kit on d3 and d6;
calcium nodules were detected by alizarin red staining after drug treatment for
14 d. Results: Real time RT-PCR showed that compared with the blank
control group, relative expressions of CXCR4, SDF-1 and osteogenesis
related genes in icaritin group were significantly increased (P<0.05 or
P<0.01); After AMD3100 treatment, the relative expression of CXCR4
gene was decreased (P<0.05). Western blot showed that compared with the
blank control group, relative expressions of CXCR4, SDF-1 and osteogenesis
related proteins in the icaritin group were significantly increased (all
P<0.01), but were decreased after AMD3100 was added (all P<0.01).
The ALP activity of icaritin group was significantly higher than that of blank
control group (all P<0.01) on d3 and d6 after drug treatment, while the
activity of ALP was significantly decreased after AMD3100 treatment (all
P<0.01). At d14 after drug treatment, compared with the blank control
group, the area of alizarin red staining was increased in the icaritin group,
while it was significantly reduced after the addition of AMD3100.
Conclusion: Icaritin may promote maturation and mineralization of mouse
osteoblast MC3T3-E1 cells through CXCR4/SDF-1 signaling pathway.
PMID- 29658659
TI - [Effect of resveratrol on peak bone mass in growing rats].
AB - Objective: To investigate the effect of resveratrol on peak bone mineral
density and bone mass in growing rats. Methods: Thirty-six female healthy
Wistar rats were randomly divided into control group, icariin group and
resveratrol group with 12 rats in each group. Icariin (25 mg.kg
1.d-1), resveratrol (8.4 mg.kg-1.d-1) or
equal volume of distilled water were given by gavage to icariin group,
resveratrol group and control group, respectively. The rats were sacrificed after
12 weeks. The organ indexes were calculated and pathology sections were observed;
the bone mineral density (BMD), bone biomechanics, serum bone metabolism index,
and results of micro-CT scan were analyzed. Results: During the
experiment, the body weight of rats showed an increasing trend and there was no
significant difference among three groups (P>0.05). There were no
significant differences in organ index of vital organs and pathological changes
among the groups (all P>0.05). Compared with the control group, the whole
body BMD, and the BMDs of femur and vertebrae in icariin and resveratrol groups
were significantly increased after 12 weeks (all P<0.05). The maximum load
values of femur and vertebrae, as well as elastic modulus of vertebrae in icariin
and resveratrol groups were significantly higher than those in control group
(P<0.05 or P<0.01). Micro-CT scan showed that the volumetric BMD,
number of trabecular, trabecular thickness and bone volume/tissue volume of the
cancellous bone in icariin and resveratrol groups were significantly higher and
the trabecular separation was significantly lower than those in the control group
(P<0.05 or P<0.01); while there was no significant difference in
volumetric BMD of cortical bone for femur. The contents of osteocalcin in icariin
and resveratrol groups were significantly higher than those in control group (all
P<0.05), while the contents of tartarte-resistant acid phosphatase 5b
(TRACP5b) were significantly lower than those in control group (all
P<0.05).Conclusion: Resveratrol can inhibit bone resorption and
enhance bone formation, so as to improve the peak bone mass and bone density,
enhance bone strength and improve the microstructure of bone tissue in young
rats.
PMID- 29658660
TI - [Effect of low frequency low intensity electromagnetic fields on maturation and
mineralization of rat skull osteoblasts in vitro].
AB - Objective: To compare the effects of 50 Hz 1.8 mT sinusoidal magnetic
field (SEMF) and 50 Hz 0.6 mT pulsed electromagnetic field(PEMF) on the
maturation and mineralization of rat calvaria osteoblasts. Methods:
Primary cultured rat calvarial osteoblasts were divided into 3 groups:blank
control group, SEMF group and PEMF group. The rats in SEMT and PEMT groups were
treated with 50 Hz 1.8 mT SEMF or 50 Hz 0.6 mT PEMF for 90 min/d, respectively.
Western blotting and Real-time RT-PCR were used to detect the protein and mRNA
expressions of Collagen-1, bone morphogenetic protein 2 (BMP-2), osterix (OSX)
and Runt-associated transcription factor 2(Runx-2). The alkaline phosphatase(ALP)
activity was detected by ALP test kits at d6 and d9 after treatment, and by ALP
staining using azo coupling at d10 after treatment. The formation of calcium
nodules was observed by alizarin red staining. Results: Compared with
blank control group, the protein and mRNA expressions of Collagen-1, BMP-2, OSX
and Runx-2 in SEMT and PEMT groups were significantly increased (P <0.01
or P <0.05); while the mRNA expressions of Collagen-1 and BMP-2 in PEMF
group were significantly higher than those in SEMF group. After 6 days treatment,
the activity of ALP in PEMF group was significantly higher than that in blank
control group (P<0.05), while such difference was not observed in SEMF
group (P>0.05); after 9 days treatment, the activities of ALP in both PEMF
and SEMP groups were significantly higher than that in blank control group (all
P<0.05), but the difference between PEMF and SEMF groups was not
significant (P>0.05). After 10 days treatment, ALP staining was increased
in both PEMF and SEMF groups compared with that in blank control group (all
P<0.01), and the stained area was bigger in PEMF group than that in SEMF
group (P<0.05). After 12 days treatment, calcium nodules were increased in
PEMF and SEMF groups compared with that in blank control group (all
P<0.01), and more calcium nodules were observed in PEMF group than SEMF
group (P<0.05). Conclusion: Both 50 Hz 1.8 mT that in SEMF and 50
Hz 0.6 mT PEMF can promote the maturation and mineralization of osteoblasts, and
the effect of PEMF is more marked.
PMID- 29658661
TI - [Biomechanical and biocompatible enhancement of reinforced calcium phosphate
cement via RGD peptide grafted chitosan nanofibers].
AB - Objective: To analysis the biomechanical and biocompatible properties of
calcium phosphate cement (CPC) enhanced by chitosan short nanofibers(CSNF) and
Arg-Gly-Asp (RGD). Methods: Chitosan nanofibers were prepared by
electrospinning, and cut into short fibers by high speed dispersion. CPC with
calcium phosphorus ratio of 1.5:1 was prepared by Biocement D method. The
composition and structure of CPC, CSNF, RGD modified CSNF (CSNF-RGD), CSNF
enhanced CPC (CPC-CSNF), RGD modified CPC-CSNF (CPC-CSNF-RGD) were observed by
infrared spectrum, X-ray diffraction (XRD) and scan electron microscopy (SEM).
The mechanical properties were measured by universal mechanical testing
instrument. The adhesion and proliferation of MC3T3 cells were assessed using
immunofluorescence staining and MTT method. Results: The distribution of
CSNF in the scaffold was homogeneous, and the porous structure between the
nanofibers was observed by SEM. The infrared spectrum showed the characteristic
peaks at 1633 nm and 1585 nm, indicating that RGD was successfully grafted on
chitosan nanofibers. The XRD pattern showed that the bone cement had a certain
curability. The stain-stress test showed that break strengths were (17.74+/-0.54)
MPa for CPC-CSNF and (16.67+/-0.56) MPa for CPCP-CSNF-RGD, both were higher than
that of CPC(all P<0.05). The immunofluorescence staining and MTT results
indicated that MC3T3 cells grew better on CPC-CSNF-RGD after 240 min of
culture(all P<0.05). Conclusion: CSNF-RGD can improve the
biomechanical property and biocompatibility of CPC, indicating its potential
application in bone tissue repair.
PMID- 29658662
TI - [Application of mechanically reinforced 45S5 Bioglass(r)-derived
bioactive glass-ceramic porous scaffolds for bone defect repairing in rabbits].
AB - Objective: To evaluate the application of mechanically reinforced 45S5
Bioglass(r)-derived glass ceramic porous scaffolds for repair of bone
defect in rabbits. Methods: The BG-ZnB powders were added into the 45S5
Bioglass(r) powder/paraffin microsphere mixtures and were sintered at
900C to obtain porous scaffolds with highly bioactive BG-ZnB of 0%, 2% or 4% of
mass fraction (denoted as 45S5/ZnB0, 45S5/ZnB2, 45S5/ZnB4). Phase composition,
porosity and compression properties of three kinds of as-sintered scaffolds were
characterized by X-ray analysis, mercury porosimetry, and mechanical test. Thirty
six male New Zealand rabbits with critical-sized femoral bone defects were
randomly divided into three groups (45S5/ZnB0 group, 45S5/ZnB2 group and
45S5/ZnB4 group, 12 for each), and were implanted with three kinds of porous
scaffolds respectively. X-ray, micro-CT three-dimensional reconstruction and
tissue slice staining were used to detected the efficiency of bone regeneration
at 6 and 16 weeks after operation. The growth of newly formed bone was observed
using HE, Masson staining and EnVision method. Results: Phase compositions
of 45S5/ZnB2 and 45S5/ZnB4 were the same with 45S5/ZnB0, but the average pore
size and porosity of the scaffolds were decreased with the increase of BG-ZnB
content. 45S5/ZnB2 and 45S5/ZnB4 scaffolds exhibited higher compressive strength,
osteogenesis and trabecular density than those of the 45S5/ZnB0 scaffold (all
P<0.05). With the mechanical reinforcement of BG-ZnB increased, the
content of new bone, collagen type I and osteocalcin increased.
Conclusion: Low-melt BG-ZnB-assisted sintering is a promising approach to
improve the mechanical strength of 45S5 Bioglass(r).
PMID- 29658663
TI - [microRNA-222 regulates proliferation and apoptosis of fibroblasts in
hypertrophic scar via matrix metalloproteinase 1].
AB - Objective: To explore the effect of microRNA(miR)-222 on cell
proliferation and apoptosis of fibroblasts in hypertrophic scar (HS) and the
underlying mechanisms. Methods: The expression of miR-222 in the HS and
the normal skin tissues was detected by real-time RT-PCR. The HS fibroblasts were
transfected with miR-222 mimic and miR-222 inhibitor respectively. The cell
viability was tested with MTT assay, cell cycle distribution and apoptosis were
detected with flow cytometry and the expression levels of proliferation,
apoptosis and cell cycle related proteins were determined with Western blot.
Direct target of miR-222 was evaluated by dual-luciferase reporter assay.
Results: miR-222 was significantly up-regulated in HS tissues compared
with normal skin tissues(P<0.05). Overexpression of miR-222 enhanced the
cell viability of HS fibroblasts; increased mRNA and protein expressions of
proliferating cell nuclear antigen (PCNA), collagen alpha-1 (I) chain (Col1A1)
and collagen alpha-1 (III) chain (Col3A1); increased cell population in S phase
and protein expressions of cyclin D1, cyclin E1 and cyclin-dependent kinases 1
(CDK1); inhibited cell apoptosis and reduced protein expressions of caspase-3/9.
Overexpression of MMP1 attenuated the effects of miR-222 on the cell viability
and apoptosis in fibroblasts, reduced expression levels of PCNA, cyclin D1 and
the expression of caspase-3 was increased. Conclusion: miR-222 enhances
cell proliferation and inhibits cell apoptosis of HS fibroblasts through negative
regulation of MMP1, which suggests that miR-222 and MMP1 might be used as novel
biomarkers and targets in diagnostic and therapeutic approaches for HS.
PMID- 29658664
TI - [Primary cilium acts as an oxygen sensor in PC12 cells].
AB - Objective: To investigate the function of primary cilium as an oxygen
sensor in PC12 cells. Methods: The PC12 cells were transfected with IFT88
siRNA. The nuclear translocation of hypoxia inducible factor-1alpha (HIF-1alpha),
nuclear factor erythroid-2 related factor 2 (Nrf2), and ciliogenesis were
observed by immunofluorescence staining; and the mRNA expressions of HIF
1alpha, Nrf2, vascular endothelial growth factor (VEGF) and superoxide
dismutase (SOD) were detected by real-time RT-PCR. Results: The
ciliogenesis was inhibited in PC12 cells transfected with IFT88 siRNA. In hypoxia
group and scramble control group, nuclear translocations of HIF-1alpha and Nrf2
were observed and mRNA expressions of HIF-1alpha, Nrf2, VEGF were
increased, and those of SOD were decreased. While in PC12 cells
transfected with IFT88 siRNA, nuclear translocations of HIF-1alpha and Nrf2 were
not observed, and mRNA expressions of HIF-1alpha, Nrf2, VEGF were
inhibited, and mRNA expression of SOD was increased. Conclusion:
Primary cilia may act as an oxygen sensor to transfer the information related to
hypoxia and oxidative stress into cells, activating intracellular defense
mechanism against the hypoxic injuries.
PMID- 29658665
TI - [Application of enhanced recovery program in laparoscopic distal pancreatectomy].
AB - Objective: To evaluate the feasibility and safety of applying enhanced
recovery after surgery (ERAS) protocol in patients undergoing laparoscopic distal
pancreatectomy. Methods: Data of 36 patients undergoing laparoscopic
distal pancreatectomy from May 2016 to May 2017 in the First Affiliated Hospital,
Zhejiang University School of Medicine were reviewed. The patients were divided
into ERAS group (n=12) and control group (n=24). The patients in
ERAS group received a series of enhanced recovery procedures, including
multimodal analgesia, early off-bed activity and early oral food-taking, etc.
Operation time, intraoperative blood loss, time to first flatus, postoperative
complications, and length of postoperative hospital stay were evaluated.
Results: There were no statistically significant differences in operation
time and intraoperative blood loss between ERAS group and control group (all
P>0.05). The time to first flatus and length of postoperative hospital
stay were significantly shortened in ERAS group (all P<0.05). The ERAS
group had lower incidence of postoperative complications (41.7% vs. 66.7%), and
the complications in ERAS group tended to be milder, but the differences failed
to show statistical significance (all P>0.05). Conclusion: The ERAS
protocol for laparoscopic distal pancreatectomy can significantly promote
gastrointestinal function recovery and shorten postoperative hospital stay, and
may reduce the incidence of postoperative complications.
PMID- 29658666
TI - [Application of modified lateral window for maxillary sinus floor augmentation].
AB - Objective: To evaluate the clinical efficacy of modified lateral window
for maxillary sinus floor augmentation (MSFA). Methods: Fifty-five
patients who visited the Stomatology Hospital Affiliated to Zhejiang University
School of Medicine between June 2012 and October 2014 were enrolled in the study.
Patients underwent MSFA with Bio-Oss grafts based on modified access window.
During the operation the vertical height of the bony window was reduced from 6-8
mm of conventional oval window to 4-5 mm of slot-shaped window. The sinus
membrane was detached completely via the lateral access and large particle Bio
Oss graft was placed in the sub-mucosal space. The implant survival, graft
height, graft volume and resorption rates were measured. Intra-op and post-op
complications were recorded. Results: There were 86 implants inserted. The
2-4 year cumulative survival rates were 97.67% by implant-based analysis and
96.36% by patient-based analysis. The residual bone height was (4.7+/-2.6) mm and
bone width was (8.4+/-2.7) mm. The bone height of implantation site immediately
after operation was (16.1+/-2.5) mm and it was (16.2+/-2.2) mm at restoration.
The bone heights at 1 and 2 years after operation were (14.9+/-2.5) mm and
(13.6+/-2.6) mm, respectively. The graft height was (10.6+/-2.8) mm and the graft
volume was (1569+/-745) mm3 immediately after operation. The
resorption rate of graft height 6 months after operation was 3.79% and that of
graft volume was 7.87%. The 1-year accumulative resorption rate of graft height
was 6.63% and that of graft volume was 10.89%. The 2-year accumulative resorption
rate of graft height was 7.58% and that of graft volume was 15.26%. Small
membrane perforation during MSFA was observed in 5 cases and all were
successfully repaired by a collagen Bio-Gide membrane. Conclusion: The
modified lateral technique obtains high implant survival rate, excellent graft
stability and low complication rate at 2-4 year clinical follow-up, indicating
that it is a safe, predictable and minimally invasive surgical method for severe
atrophic maxillary posterior dentition.
PMID- 29658667
TI - [Endoscopic trans-fistula drainage for gastroesophageal anastomotic fistula with
para-fistula abscess after esophagectomy].
AB - Objective: To evaluate the efficiency and safety of endoscopic trans
fistula drainage (ETFD) for gastroesophageal anastomotic fistula with para
fistula abscess after esophagectomy. Methods: Among 456 esophageal cancer
patients receiving esophagectomy between February 2012 and February 2017 in Sir
Run Run Shaw Hospital, 15 cases were diagnosed as gastroesophageal anastomotic
fistula with para-fistula abscess after surgery. Seven cases received ETFD
treatment (ETFD group), and 8 cases received conventional treatment (control
group). Recovery of inflammatory markers and fistula, length of hospital stay
after esophagectomy and total medical expenses were compared between ETFD group
and control group. Results: All patients recovered in ETFD group. Time of
white cell count returning to normal and decline of C-reactive protein, time of
fistula healing and length of hospital stay after esophagectomy in ETFD group
were significantly shorter than those of control group (all P<0.05). And
medical expenses in ETFD group was also lower (P<0.05). Conclusion:
ETFD is effective and safe for gastroesophageal anastomotic fistula with para
fistula abscess after esophagectomy.
PMID- 29658668
TI - [Effect of Arg188Gln (G/A) mutation on enzymatic activity of kynureninase].
AB - Objective: To verify whether the enzymatic activity of kynureninase (KYNU)
could be changed by the Arg188Gln (G/A) mutation. Methods: The total RNA
of human hepatic tissue was extracted and the KYNU gene cDNA was amplified
by RT-PCR. Primers were designed according to the sequences around the site
Arg188Gln of KYNU gene and the Arg188Gln (G/A) mutant KYNU cDNA was
generated by site-directed mutagenesis. Both the wild-type and mutant-type
KYNU genes were subcloned into pcDNA vectors and the recombinant plasmids
were constructed. After being transfected into human embryonic kidney 293
(HEK293) cells, the expression of KYNU recombinant plasmids were assessed by
Western blot. The enzymatic activities of KYNU were detected by high performance
liquid chromatography (HPLC). Results: The KYNU enzyme activities were
expressed in both wild and mutant HEK293 cells. Michaelis constants (Km) of the
wild and mutant KYNU were (9.833+/-0.513) MUmol/L and (29.900+/-0.265) MUmol/L,
respectively (P<0.05). The maximum velocities (Vmax) of the wild and
mutant KYNU were (0.700+/-0.096) nmol.mg-1.min-1 and
(0.084+/-0.003) nmol.mg-1.min-1, respectively
(P<0.05). Conclusion: Arg188Gln (G/A) mutation can decrease the
enzymatic activity of KYNU.
PMID- 29658669
TI - [Segmented biodegradable esophageal stents in a porcine model: preclinical
evaluation of degradation, complications and tissue reactions].
AB - Objective: To evaluate the feasibility of two types of segmented
biodegradable esophageal stents in treatment of refractory benign esophagus
strictures. Methods: Uncovered biodegradable segmented stent and fully
covered biodegradable segmented stent were implanted into the porcine esophagus
(6 for each). Data on biodegradation, complications, and tissue reactions were
compared between two groups. Results: All animals kept good general
conditions; no death, decreased food intake, weight loss and malnutrition were
observed. No perforation, ulcer, hemorrhage, stent migration and severe
complications occurred. Stents degradation commenced at week 3. Stents structure
breakage and complete stents absorption occurred at week 7-8 and week 9-10 in
uncovered stents. While in fully-covered stents, stents structure breakage and
complete stents absorption occurred at week 8-9 and week 10-11. Hyperplasia was
prominent at week 1-3 and ameliorated at week 6 after stent implantation. A
longer degradation period was present in fully-covered stents than in uncovered
stents, while fully-covered stents induced tissue reactions at early stage were
mild. Conclusions: The application of biodegradable esophageal stents with
a segmented trunk in refractory benign esophagus strictures worth further
investigation. The fully-covered stent has longer degradation period, which may
be more suitable for clinical use.
PMID- 29658670
TI - [Effect of exogenous L-arginie on survival of extended dorsal perforator flaps in
rats].
AB - Objective: To investigate the effect of exogenous L-Arg on the survival of
extended perforator flap in rats. Methods: Sixteen male Sprague Dawley
rats were randomly divided into L-Arg group (n=8) and control
group(n=8). The extended dorsal three-vascular territory perforator flaps
were made in rats. L-Arg (400 mg.kg-1.d-1) was injected
intraperitoneally in L-Arg group 1d before operation, immediately and 1-7 d after
operation, while the same volume of saline was injected intraperitoneally in
control group at the same time points. The appearance and distribution of blood
vessels were observed, and the flap survival areas were measured 7d after
operation. The tissue samples were harvested from choke zone II for histological
study and the expression of vascular endothelial growth factor (VEGF) was
detected by immunohistochemistry and Western blot, respectively. Results:
After 7d, the clearer vascular structure and more new vessels in choke zone II
were observed in L-Arg group. The survival rate of flap in L-Arg group was
(88.42+/-4.19)%, which was significantly higher than that in control
group[(76.52+/-5.37)%, t=3.707, P<0.01]. The microvessel density
and caliber of choke zone II in L-Arg group was (29.47+/-5.28)/mm2
and(47.27+/-5.32)MUm, which were significantly higher than those in control group
(t=2.694 and 2.389, P<0.05 or P<0.01). The immunohistochemistry and
Western blot showed that the expression of VEGF in choke zone II of L-Arg group
was significantly higher than that in control group (t=9.428 and
3.054,P<0.05 or P<0.01). Conclusion: Exogenous L-Arg can
increase the survival rate of extended dorsal perforator skin flap through
promoting vascularization and dilatation of vessels in choke zone II in rats.
PMID- 29658671
TI - [A case of insulinoma complicated with secondary adrenal insufficiency].
AB - A middle-aged male patient with recurrent hypoglycemia was diagnosed as
insulinoma according to the symptoms and the laboratory tests. Laboratory tests
also showed low cortisol and adrenocortico-tropic hormone (ACTH) levels,
therefore adrenal insufficiency was diagnosed and hydrocortisone was given. The
hormone replacement therapy was terminated after surgery, and ACTH and cortisol
levels returned to normal.
PMID- 29658672
TI - [Present situation and prospect of enhanced recovery after surgery in pancreatic
surgery].
AB - Enhanced recovery after surgery is a multimodal perioperative strategy according
to the evidence-based medicine and multidisciplinary collaboration, aiming to
improve the restoration of functional capacity after surgery by reducing surgical
stress, optimal control of pain, early oral diet and early mobilization. Compared
with other sub-specialty in general surgery, pancreatic surgery is characterized
by complex disease, highly difficult procedure and more postoperative
complications. Accordingly, pancreatic surgery shares a slow development in
enhanced recovery after surgery. In this review, the feasibility, safety,
application progress, prospect and controversy of enhanced recovery after surgery
in pancreatic surgery are discussed.
PMID- 29658673
TI - [Application of rehabilitation medicine in enhanced recovery after surgery].
AB - Enhanced recovery after surgery (ERAS) has been widely used in perioperative
optimization. As an important component of ERAS, rehabilitation medicine mainly
focuses on perioperative physical fitness management, respiratory training,
exercise training to reduce the incidence of postoperative pulmonary infection,
improve gastrointestinal and cardiopulmonary function. This paper explains
rehabilitation medicine for respiratory, musculoskeletal, cardiovascular and
digestive systems during the perioperative period.
PMID- 29658674
TI - Resection of the caudate lobe for the treatment of hilar cholangiocarcinoma.
Systematic review and meta-analysis.
AB - INTRODUCTION: Whether the resection of the caudate lobe, in association with
major hepatectomy, improves outcomes in hilar cholangiocarcinoma is
controversial. EVIDENCE ACQUISITION: We performed a systematic literature review
on all studies published from June 1979 to September 2016. Inclusion criteria for
eligibility were the presence of parallel-groups of patients treated with major
hepatectomy with either caudate lobe resection (CLR), or not (NCLR), in adult
population, reporting data on overall survival (OS). We ran out a random-effect
meta-analysis for survival data. EVIDENCE SYNTHESIS: Six retrospective studies
with 969 patients (643 CLR and 326 NCLR) were included. The probability of death
was significantly lower in CLR group than in NCLR group (HR 0.65; 95%CI 0.44
0.97; p = 0.035). The median survival time was in favor of CLR (WMD 3.46; 95%CI
1.02-5.90, p = 0.005]. Patients who underwent CLR were more likely to receive a
R0 resection than those who did not (OR 8.26; 95% CI 2.45- 27.87; p = 0.001). No
moderator effects were detected at meta-regression for operative time,
postoperative complication rate and pathologic findings. CONCLUSIONS: Despite the
paucity of data and the retrospective nature of the included studies, our results
suggest that major hepatectomy plus caudate lobe resection may improve the
likelihood of R0 resection and the overall survival in patients with hilar
cholangiocarcinoma.
PMID- 29658676
TI - A historical perspective on rectal cancer treatment: from the prehistoric era to
the future.
PMID- 29658675
TI - Local excision for rectal cancer: a minimally invasive option.
AB - Transanal excision (TAE) with conventional retractors and transanal endoscopic
microsurgery (TEM) are two well established minimally invasive surgical options
for the treatment of selected rectal cancers. TEM is nowadays considered the
standard of care for the transanal excision of rectal tumors, since it is
associated with significantly better quality of excision and lower rates of
recurrence than TAE. When compared with rectal resection and total mesorectal
excision, TEM has lower postoperative morbidity and better functional outcomes,
with similar long-term survival rates in selected early rectal cancers. More
recently, transanal minimally invasive surgery (TAMIS) has been developed as an
alternative to TEM. Possible benefits of TAMIS are under evaluation.
PMID- 29658677
TI - Acute complications following endoscopic intragastric balloon insertion for
treatment of morbid obesity in elderly patients. A single center experience.
AB - BACKGROUND: Obesity is a serious disease, with an increasing incidence also among
subjects over 60 years old; surgical management has proven to be the most
effective in the production of significant and durable weight loss. Intragastric
balloon (IGB) treatment, promotes a reduction of five to nine body mass index
(BMI) units in 6 months with an impressive improvement of obesity-associated
comorbidities. METHODS: Two hundred and twenty five patients, 106 men (47.1%) and
119 women (52.9%), were evaluated at our institution to be submitted to a IGB
positioning. Of these, 12 patients (8 women and 4 men) were more than 60 years
old. For all patients BMI, co-morbidities, weight loss and complications were
recorded. Chi-square test was used to evaluate differences in complications rate
between elderly and other patients. RESULTS: For the 12 elderly patients, we
recorded a mean excess weight loss rate (EWL%) of 31.4. About complications, we
recorded 2 severe esophagitis requiring IGB removal and 1 late gastric
perforation. A higher complications rate was found in elderly population and the
comparison with other patients revealed a significant difference (p<0.001).
CONCLUSIONS: Our results underline that IGB treatment in elderly patients is safe
and effective in terms of weight loss and improvement in comorbidities. IGB can
cause complications which, sometimes, can be severe such as esophageal damage and
gastric perforation. For the management of complications, we highly recommend a
close follow-up in all patients and a deepened instrumental study in every
suspect case.
PMID- 29658678
TI - Emergency surgery for bowel obstruction in extremely aged patients.
AB - BACKGROUND: As a result of the increasing of life expectancy, the incidence of
pathologies that can lead to operation for bowel obstruction is also increasing.
Comorbidities and reduced physiological reserve can decrease elderly patients'
ability to tolerate operations especially in an emergency context. We
retrospectively evaluated the treatment and outcomes of a cohort of patients aged
more than 85 years who underwent emergency surgery for intestinal occlusion.
METHODS: 278 patients who were admitted to our Institution and operated for acute
bowel obstruction have been included in our study. We divided the study
population in 2 groups (group A: patients aged > 85 years old; group B patients
aged <= 85 years). We evaluated the differences between the two groups in terms
of intestinal occlusion aetiology, surgical procedures, morbidity and mortality
rates. RESULTS: Group A consisted of 57 patients, group B of 221; elderly
patients trend in ASA score classification was significantly towards high risk
for elderly group; statistical analysis did not show differences in terms of
bowel obstruction aetiology (except colon volvulus, more frequent in advanced
age), type of procedure, duration of hospital stay, procedure - related
complication rate. Perioperative mortality was significantly higher in elderly
group, due to the mayor incidence of cardiovascular and respiratory fatal events
directly related to pre - existing comorbidities. CONCLUSIONS: Despite the high
surgical risk, early diagnosis and treatment of the obstructive disease can lead
to achieve encouraging outcomes also in extremely advanced age; an aggressive
evaluation of comorbidies and the cardio - respiratory risks reduction, when
possible, could be useful in improve postoperative outcomes in terms of
mortality.
PMID- 29658679
TI - Iatrogenic spleen injury during minimally invasive left colonic flexure
mobilization: the quest for evidence-based results.
AB - INTRODUCTION: To assess the frequency, risk factors and outcomes of iatrogenic
spleen injury during minimally invasive colo-rectal surgery with a particular
focus on the routine splenic flexure mobilization tehcnique. EVIDENCE
ACQUISITION: Exclusion criteria: 1) topic not pertinent to the main topic of the
review; 2) all case reports, editorials, conference highlights were excluded.
After a title and abstract first selection and a final full-text analysis has
been performed. The results of the selected articles are presented. EVIDENCE
SYNTHESIS: The iatrogenic splenic injury rate during colorectal surgery is 0.96%.
The iatrogenic injuries cause around 20% of all splenectomy. Ligaments over
traction is the most frequent mechanism of damage. The routine splenic flexure
mobilization is a matter of scientific debate. Risk factors - open surgery, male
sex, peripheral vascular disease, malignant neoplasia, diverticulitis, emergency
surgery and teaching-hospital status. There is a risk difference according to the
procedure: transverse colectomy has the highest risk, followed by left colectomy
and total colectomy. CONCLUSIONS: The routine mobilization of the left colonic
flexure is a debated topic. However, according to some authors (including our
experience), this procedure is not a risk factor and it may be advantageous: 1)
it does not excessively prolong the total operative time; 2) better surgical
skills development; 3) the tension-related ischemia is avoided; 4) wider
oncological dissection. Technical accuracy with cautious dissection/visualization
can reduce the rate of iatrogenic splenic damage. Laparoscopy decreases the rate
of splenic injury by almost 3.5 times. Robotic surgery may have the potential to
further reduce this complication, but more data are needed on the topic.
PMID- 29658680
TI - Rectal cancer and the pathologist.
AB - Examination of the rectum by pathologists is instrumental in the management of
patients affected by rectal carcinoma. That role includes evaluation of multiple
gross and microscopic features that convey prognostic implications. The analysis
is based on the authors' experience handling rectal specimens along with review
of the pertinent literature in these areas: margins of excision, quality of the
mesorectum, diligence and techniques to sample lymph nodes, tumor budding,
grading of residual amount of carcinoma after preoperative therapy,
vascular/perineural invasion, and staging the tumor. Pathologists must
communicate the findings in a clear manner. Evaluation of margins and
completeness of mesorectum are markers of the quality of surgical excision. The
number of lymph nodes obtained and examined is dependent in great part on the
diligence of the pathologist finding them in the mesenteric adipose tissue. There
are grades for budding and response to prior chemoradiation therapy. The location
of vascular invasion (extramural vs. intramural) may predict aggressive behavior.
Pathologists proactively are to choose sections of tumor for molecular testing.
Meticulous macro- and microscopic evaluation of specimens for rectal carcinoma by
pathologist is needed to determine an accurate assessment of staging and other
prognostic factors. The modern pathologists play a pivotal part in the care and
management of patients suffering from rectal adenocarcinoma. That role goes from
the initial histological diagnosis to the gross and microscopic examination of
the excised specimens. Based on that examination pathologists issue statements
that not only evaluate the quality of the surgical procedure, but also through
the application of molecular tests they give light on prognostic factors and
information for therapeutic purposes.
PMID- 29658681
TI - Laparoscopic radical prostatectomy in 2018: 20 years of worldwide experiences,
experimentations, researches and refinements.
AB - INTRODUCTION: After the first feasibility report in 1997, a growing interest has
risen in the urologic community for laparoscopic radical prostatectomy (LRP) and
several authors have contributed to the evolution of the technique. We attempt a
review of the available evidences and provide a broad framework of different
technical refinements considering their impact on pentafecta. EVIDENCE
ACQUISITION: The PubMed/Medline database was searched. Duplicates and "Expert
opinion" papers were removed. Studies were included according to the aim of the
present paper to present a selected review on LRP and report our personal
experience. EVIDENCE SYNTHESIS: In 1999 Guillonneau et al codified their
transperitoneal-posterior-antegrade technique for LRP. Since then, several
modifications of the transperitoneal approach were published and the
extraperitoneal route was also proposed. Sparing the bladder neck and
reconstructing the posterior muscolofascial plate were proven to improve
continence rate. Nerve- sparing LRP were performed in order to maximize post
operative recovery of the sexual function. Novel techniques to ligate the
Santorini plexus and sew the urethrovesical anastomosis provided improvement in
operative time, intraoperative blood loss and reduced the incidence of
postoperative urinary-leakages. In the recent years, the single-site approach as
pushed the limits of LRP and three-dimensional (3D) systems for endoscopic
surgery were developed. CONCLUSIONS: Thanks to several technical improvements,
LRP provides brilliant oncologic and functional outcomes and it is now considered
the treatment of choice in many institutions worldwide. Although it is a
technically demanding procedure, the recent introduction of 3D systems will
reduce the steepness of its learning curve.
PMID- 29658683
TI - Extrafascial robot-assisted laparoscopic radical prostatectomy (EF-RALP) in
locally advanced prostate cancer.
AB - INTRODUCTION: Up to 26.5% of new dewly diagnosed prostate cancers (PCa) are
locally advanced (LA). Although traditionally discouraged in this setting,
radical prostatectomy (RP) lowers the risk of metastatic progression and cancer
specific death. We report a review of the available evidences and describe our
surgical technique of Extrafascial robot-assisted RP. EVIDENCE ACQUISITION: The
PubMed/Medline database was searched for "prostate cancer", "high-risk", "locally
advanced", "prostatectomy". Duplicates and expert opinion papers were removed.
EVIDENCE SYNTHESIS: RP is an option in selected patients with LA-PCa and >10
years life expectancy. Five, 10 and 15 years after open RP, disease free survival
rates were 85%, 73% and 67%. At the same time-points, cancer specific survival
and overall survival were 95%, 90%, 79% and 90%, 76%, 53%, respectively. Post
operative potency was achieved by 25% of the patients while 79% were continent.
Robotic prostatectomy provides comparable cancer control outcomes, but it is
associated with a lower transfusion rate and a shorter hospitalization time. The
concept of "extrafascial prostatectomy" was introduced in 2000 by Villers: this
surgical approach reduces the incidence of mid- and postero-lateral positive
margins (28% vs 51%, when compared to intrafascial; p = 0.08), expecially in pT3
cancers, but markedly affects potency. CONCLUSIONS: Robot-assisted RP is an
option in patients with LA-PCa. Removing the prostate gland and the seminal
vesicles still contained inside their aponeurotic covering, minimize the risk of
positive surgical margins and clinical recurrence.
PMID- 29658682
TI - Sphincter-saving proctectomy for rectal cancer with transanal tube NO COIL and
without ostoma: clinical outcomes, cost effectiveness and quality of life in
elderly.
AB - BACKGROUND: Colorectal cancer is one of the most common invasive cancers, and it
is responsible for considerable physical and psychosocial morbidity specially in
older patients. However, only few reports focused on quality of life, cost
effectiveness and clinical outcomes of rectal cancer patients undergone to
surgery. This retrospective study compares short-term and long- term outcomes in
rectal cancer patients with more and less 75 years of age. METHODS: Four hundred
consecutive patients underwent to radical surgery for rectal adenocarcinoma and
they were collected in a prospective institutional database and divided into two
groups: group 1 (>= 75 years, n =98); group 2 (<75 years, n=302). Rectal anterior
resection (RAR) with sphincter-saving restorative proctectomy and with
application of silicone transanal tube NO COIL (r) 60-80 mm long, was the only
procedure considered. Main clinical and pathological data were assessed and
compared. RESULTS: Statistically significant differences between the two groups
were detected regard to comorbidities and the emergency presentation. Overall
survival is lower in patients over 75 age, but cancer-related survival is not
different between the two groups. CONCLUSIONS: Although advanced age is
associated with higher morbidity and mortality, in our experience, itself is not
a contraindication for surgical sphincter-saving proctetomy in rectal cancer
patients. The absence of a stoma improve also, in both groups, the cost
effectiveness and the patients quality of life: psychological morbidity,
sexuality, levels of anxiety and depression, body image.
PMID- 29658684
TI - Role of adjuvant chemoradiotherapy after endoscopic treatment of early-stage
esophageal cancer: a systematic review.
AB - INTRODUCTION: Esophagectomy combined with lymphadenectomy is currently
recommended for patients with high-risk early-stage esophageal cancer after
endoscopic treatment (i.e. submucosal tumor invasion [sm2-3], presence of
lymphovascular invasion and/or poor tumor differentiation) given the high risk of
lymph node metastases. Unfortunately, some patients do not have the physiologic
capability to endure surgery. For these patients chemoradiotherapy (CRT)
following endoscopic treatment could be an alternative. The aim of this
systematic review was to evaluate the evidence on the safety and efficacy of
endoscopic treatment combined with CRT in patients with high-risk early-stage
esophageal cancer. EVIDENCE ACQUISITION: A systematic literature search was
performed to identify studies reporting on the safety and efficacy of CRT
following endoscopic mucosal resection (EMR) or endoscopic submucosal dissection
(ESD) in patients with esophageal cancer invading the muscularis mucosae or
submucosa. Primary outcomes were locoregional recurrence (LRR), disease-free
survival (DFS) and overall survival (OS). The secondary outcome was the
occurrence of treatment-related adverse events. EVIDENCE SYNTHESIS: Six studies
were included, comprising a total of 168 patients with early-stage esophageal
cancer that underwent endoscopic treatment followed by CRT. Most studies were
retrospective case series and included small numbers of patients (11 to 66). All
patients had T1a(m3) or T1b(sm1-3) esophageal squamous cell carcinoma. Adjuvant
treatment consisted of cisplatin and 5-fluorouracil with concurrent radiotherapy;
doses ranging from 40 to 60 Gy. The overall LRR rate ranged between 0-9%.
Reported 3-year DFS and OS rates ranged between 69-100% and 87-100%,
respectively. In all studies ESD and/or EMR was safely performed without serious
complications. The observed CRT treatment-related toxicity (grade >=3) ranged
between 0% and 32%. CONCLUSIONS: This review demonstrates that the current
available literature lacks large prospective adequately powered studies and does
not allow any firm conclusion regarding the role of endoscopic treatment combined
with adjuvant CRT for patients with high-risk early-stage esophageal cancer.
PMID- 29658685
TI - Minimally invasive posterior extraforaminal approach for presacral schwannoma of
L5: a technical note.
PMID- 29658686
TI - Management decisions in treating large vestibular schwannoma: a staged technique.
PMID- 29658687
TI - Influence of Growth Temperature on the Characteristics of Single-Junction p-i-n
InGaP Solar Cells.
AB - Single-junction p-i-n InGaP solar cells are grown at various temperatures from
620 to 700 degrees C by low pressure metalorganic chemical vapor deposition on
GaAs (001) substrates. The short circuit current density of the p-i-n InGaP solar
cells increases by up to 38.8% when the growth temperature is reduced from 700 to
620 degrees C, while the open circuit voltage and fill factor show relatively
small changes. The external quantum efficiency, especially, in the wavelength
regime below 500 nm, is improved for the p-i-n InGaP solar cells grown at lower
temperatures. The improvement might be attributed to the reduced absorption loss
of the photons in the n-InGaP emitter region. The highest conversion efficiency
of 11.01% is attributed from the p-i-n InGaP solar cell grown at 640 degrees C.
Electron mobility and concentration of undoped InGaP layers are investigated as a
function of the growth temperature and correlated with the p-i-n InGaP solar cell
performance.
PMID- 29658688
TI - Low Permeable Hydrocarbon Polymer Electrolyte Membrane for Vanadium Redox Flow
Battery.
AB - Polymer electrolyte membrane (PEM) confirms the life span of vanadium redox flow
battery (VRFB). Products from Dupont, Nafion membrane, is mainly used for PEM in
VRFB. However, permeation of vanadium ion occurs because of Nafion's high
permeability. Therefore, the efficiency of VRFB decreases and the prices becomes
higher, which hinders VRFB's commercialization. In order to solve this problem,
poly(phenylene oxide) (PPO) is sulfonated for the preparation of low-priced
hydrocarbon polymer electrolyte membrane. sPPO membrane is characterized by
fundamental properties and VRFB cell test.
PMID- 29658689
TI - Direct Conversion of Methane Over Oxide-Type Catalysts Supported on Mesoporous
Silica Under Electric Discharge.
AB - Direct conversion of methane over oxide-type catalysts supported on mesoporous
material under the dielectric barrier discharge plasma was investigated in the
present study. The oxide catalysts (MgO and NiO) supported on SBA-15 was prepared
by a hydrothermal and wet-impregnation method. The low-angle XRD patterns and TEM
indicate that the ordered mesoporous structure was well maintained during the
catalyst preparation and reaction processes. We also conducted direct conversion
of methane using the catalysts under the plasma. As a result, the specific
surface area of bare SBA-15 was much higher than that of the NiO/SBA-15, followed
by MgO/SBA-15. Overall, the CH4 conversion increased with increasing the specific
surface area. And C2 selectivity decreased with increasing the specific surface
area.
PMID- 29658690
TI - Tin Oxide/Carbon Nanocomposites as the Electrode Material for Supercapacitors
Using a Liquid Phase Plasma Method.
AB - Tin oxide/carbon nanocomposite (TOCNC) was synthesized using a liquid phase
plasma method, to be used as the electrode of supercapacitor. Spherical tin oxide
amorphous nanoparticles with the size of 5 nm were dispersed uniformly on
activated carbon powder (ACP) surface. The quantity of tin oxide nanoparticle
precipitate increased with increasing LPP duration and the specific capacitance
of TOCNC increased with increasing LPP duration. The TOCNC prepared through the
LPP process showed smaller resistances and larger initial resistance slopes than
bare ACP and this effect was intensified by increasing the LPP process duration.
PMID- 29658691
TI - Design and Fabrication of Implantable Neural Probes with Monolithically
Integrated Light-Emitting Diodes for Optogenetic Applications.
AB - We report an implantable neural probe with monolithically integrated light
emitting diodes (LEDs) and recording site for optogenetic applications. The
device were designed and fabricated with 2-inch gallium nitride on silicon
epitaxial wafer. The neural probe consisted of three MULEDs (a mesa size of 310 *
41 mm2) and four electrical recording sites, which had a total length of 6.72 mm
(PCB bonding region + implanting region). The designed implantable neural probe
was successfully processed by the conventional LED fabrication and Si
microfabrcation. These methods can offer relatively rapid and easy fabrication.
For fabricated MULEDs, the optical and electrical properties were measured and
characterized. At 1 mA, the emission wavelength was around 460 nm and it was
slightly blue-shifted with the increase of injection current. Also, the optical
power density was about 1 mW/mm2 at an electrical input power of 3.5 mW, and it
was increased to 6.3 mW/mm2 at 24 mW.
PMID- 29658692
TI - Efficient Transport Networks in a Dual Electron/Lithium-Conducting Polymeric
Composite for Electrochemical Applications.
AB - In this work, an all-functional polymer material composed of the electrically
conductive poly(3,4-ethylenedioxythiophene):poly(4-styrenesulfonic acid)
(PEDOT:PSS) and lithium-conducting poly(ethylene oxide) (PEO) was developed to
form a dual conductor for three-dimensional electrodes in electrochemical
applications. The composite exhibits enhanced ionic conductivity (~10-4 S cm-1)
and, counterintuitively, electronic conductivity (~45 S cm-1) with increasing PEO
proportion, optimal at a monomer ratio of 20:1 PEO:PEDOT. Microscopy reveals a
unique morphology, where PSS interacts favorably with PEO, destabilizing PEDOT to
associate into highly branched, interconnected networks that allow for more
efficient electronic transport despite relatively low concentrations. Thermal and
X-ray techniques affirm that the PSS-PEO domain suppresses crystallinity,
explaining the high ionic conductivity. Electrochemical experiments in lithium
cell environments indicate stability as a function of cycling and improved
overpotential due to dual transport characteristics despite known issues with
both individual components.
PMID- 29658693
TI - Polyacrylamide Gel-Contained Zinc Finger Peptide as the "Lock" and Zinc Ions as
the "Key" for Construction of Ultrasensitive Prostate-Specific Antigen SERS
Immunosensor.
AB - In this work, we adopted polyacrylamide gel-contained zinc finger peptide (PZF)
as a "lock" of Raman signal and zinc ions (Zn2+) as a sensitive "key", which was
converted from target-captured ZnO NPs, to achieve the measurement of prostate
specific antigen (PSA). Owing to the lock effect from PZF, the surface-enhanced
Raman scattering (SERS) tag toluidine blue (TB) connected on Ag NP-coating silica
wafer was sheltered leading to low Raman response. Meanwhile, target PSA can
specifically connect with antibody 2-coupled ZnO nanocomplexes (ZnO@Au@Ab2) and
antibody 1-coupled magnetic (CoFe2O4@Au@Ab1) nanocomposite through sandwich
immunoassay. In the presence of HCl, the ZnO NPs would convert into Zn2+ to open
the PZF because Zn2+ can specifically react with zinc finger peptide to destroy
the PZF structure forming abundant pores. In this way, Zn2+ could act as the key
of Raman signal to open the PZF structure obtaining a strong Raman signal of TB.
The proposed SERS sensor can have a quantitative detection of PSA within the
range of 1 pg mL-1 to 10 ng mL-1 with a detection limit of 0.65 pg mL-1. The
interaction between zinc finger peptide and Zn2+ was firstly applied in SERS
sensor for the sensitive detection of PSA. These results demonstrated that the
new designed SERS biosensor could be a promising tool in biomarker diagnosis.
PMID- 29658694
TI - Giant Negative Piezoresistive Effect in Diamond-like Carbon and Diamond-like
Carbon-Based Nickel Nanocomposite Films Deposited by Reactive Magnetron
Sputtering of Ni Target.
AB - Piezoresistive properties of hydrogenated diamond-like carbon (DLC) and DLC-based
nickel nanocomposite (DLC:Ni) films were studied in the range of low
concentration of nickel nanoparticles. The films were deposited by reactive high
power pulsed magnetron sputtering (HIPIMS) of Ni target, and some samples were
deposited by direct current (dc) reactive magnetron sputtering for comparison
purposes. Raman scattering spectroscopy, energy-dispersive X-ray spectrometry
(EDS), and X-ray photoelectron spectroscopy (XPS) were used to study the
structure and chemical composition of the films. A four-point bending test was
applied to study piezoresistive properties of the films. For some samples
containing less than 4 at. % Ni and for the samples containing no Ni (as defined
by both EDS and XPS), a giant negative piezoresistive effect was observed. The
giant negative piezoresistive effect in DLC films deposited by either reactive
HIPIMS or dc magnetron sputtering of Ni target was explained by possible
clustering of the sp2-bonded carbon and/or formation of areas with the decreased
hydrogen content. It was suggested that the tensile stress-induced rearrangements
of these conglomerations have resulted in the increased conductivity paths.
PMID- 29658695
TI - PdAg Bimetallic Nanoalloy-Decorated Graphene: A Nanohybrid with Unprecedented
Electrocatalytic, Catalytic, and Sensing Activities.
AB - Recent reports about the promising and tunable electrocatalytic activity and
stability of nanoalloys have stimulated an intense research activity toward the
design and synthesis of homogeneously alloyed novel bimetallic
nanoelectrocatalysts. We herein present a simple one-pot facile wet-chemical
approach for the deposition of high-quality bimetallic palladium-silver (PdAg)
homogeneous nanoalloy crystals on reduced graphene (Gr) oxide sheets.
Morphological, structural, and chemical characterizations of the so-crafted
nanohybrids establish a homogeneous distribution of 1:1 PdAg nanoalloy crystals
supported over reduced graphene oxide (PdAg-Gr). The PdAg-Gr nanohybrids exhibit
outstanding electrocatalytic, catalytic, and electroanalytical performances. The
PdAg-Gr samples were found to exhibit exceptional durability when subjected to
repeated potential cycles or long-term electrolysis. In the CVs recorded for fuel
cell reactions, viz. methanol oxidation reaction and oxygen reduction reaction,
and for detoxification of environmental pollutants, viz. electroreduction of
methyl iodide and chloroacetonitrile over PdAg-Gr with potential sweep rate of 25
mVs-1, the peak potentials were observed to be just -0.221, -0.297, (vs Ag/AgCl,
3 M KCl) -1.508, and -1.189 V (vs Fc+/Fc), respectively. The potential of PdAg-Gr
nanohybrid for simultaneous and sensitive electrochemical sensing and estimation
of hydroxybenzene isomers with very low detection limits (0.05 MUM for
hydroquinone, 0.06 MUM for catechol, 6.7 nM for 4-aminophenol, and 13.7 nM for 2
aminophenol) is demonstrated. Additionally, PdAg-Gr was observed to offer
excellent solution-phase catalytic performance in bringing about the reduction of
notorious environmental pollutant 4-nitrophenol to pharmaceutically important 4
aminophenol with an apparent rate constant ( kapp) of 3.106 * 10-2 s-1 and a
normalized rate constant ( knor) of 6.21 * 102 s-1 g-1. The presented synthetic
scheme besides being high yielding, low cost, and easy to carry out results in
the production of PdAg-Gr nanohybrids with stability and activity significantly
better than most of the nanomaterials purposefully designed and testified so far
by various groups.
PMID- 29658697
TI - Enhanced Impact Resistance of Three-Dimensional-Printed Parts with Structured
Filaments.
AB - Net-shape manufacture of customizable objects through three-dimensional (3D)
printing offers tremendous promise for personalization to improve the fit,
performance, and comfort associated with devices and tools used in our daily
lives. However, the application of 3D printing in structural objects has been
limited by their poor mechanical performance that manifests from the layer-by
layer process by which the part is produced. Here, this interfacial weakness is
overcome using a structured, core-shell polymer filament where a polycarbonate
(PC) core solidifies quickly to define the shape, whereas an olefin ionomer shell
contains functionality (crystallinity and ionic) that strengthen the interface
between the printed layers. This structured filament leads to improved
dimensional accuracy and impact resistance in comparison to the individual
components. The impact resistance from structured filaments containing 45 vol %
shell can exceed 800 J/m. The origins of this improved impact resistance are
probed using X-ray microcomputed tomography. Energy is dissipated by delamination
of the shell from PC near the crack tip, whereas PC remains intact to provide
stability to the part after impact. This structured filament provides tremendous
improvements in the critical properties for manufacture and represents a major
leap forward in the impact properties obtainable for 3D-printed parts.
PMID- 29658696
TI - Improved Method for the Incorporation of Heme Cofactors into Recombinant Proteins
Using Escherichia coli Nissle 1917.
AB - Recombinant production of heme proteins in Escherichia coli is often limited by
the availability of heme in the host. Therefore, several methods, including the
reconstitution of heme proteins after production but prior to purification or the
HPEX system, conferring the ability to take up external heme have been developed
and used in the past. Here we describe the use of the apathogenic E. coli strain
Nissle 1917 (EcN) as a suitable host for the recombinant production of heme
proteins. EcN has an advantage over commonly used lab strains in that it is able
to take up heme from the environment through the heme receptor ChuA. Expression
of several heme proteins from different prokaryotic sources led to high yield and
quantitative incorporation of the cofactor when heme was supplied in the growth
medium. Comparative UV-vis and resonance Raman measurements revealed that the
method employed has significant influence on heme coordination with the EcN
system representing the most native situation. Therefore, the use of EcN as a
host for recombinant heme protein production represents an inexpensive and
straightforward method to facilitate further investigations of structure and
function.
PMID- 29658698
TI - Hydrophobic Antifouling Electrospun Mats from Zwitterionic Amphiphilic
Copolymers.
AB - A porous material that is both hydrophobic and fouling-resistant is needed in
many applications, such as water purification by membrane distillation. In this
work, we take a novel approach to fabricating such membranes. Using the
zwitterionic amphiphilic copolymer poly(trifluoroethyl methacrylate- random
sulfobetaine methacrylate), we electrospin nonwoven, porous membranes that
combine high hydrophobicity with resistance to protein adsorption. By changing
the electrospinning parameters and the solution composition, membranes can be
prepared with a wide range of fiber morphologies including beaded, bead-free,
wrinkly, and ribbonlike fibers, with diameters ranging between ~150 nm and 1.5
MUm. The addition of LiCl to the spinning solution not only helps control the
fiber morphology but also increases the segregation of zwitterionic groups on the
membrane surface. The resultant electrospun membranes are highly porous and very
hydrophobic, yet resist the adsorption of proteins and retain a high contact
angle (~140 degrees ) even after exposure to a protein solution. This makes these
materials promising candidates for the membrane distillation of contaminated
wastewater streams and as self-cleaning materials.
PMID- 29658699
TI - Facile Synthesis of a Pentiptycene-Based Highly Microporous Organic Polymer for
Gas Storage and Water Treatment.
AB - Rigid H-shaped pentiptycene units, with an intrinsic hierarchical structure, were
employed to fabricate a highly microporous organic polymer sorbent via Friedel
Crafts reaction/polymerization. The obtained microporous polymer exhibits good
thermal stability, a high Brunauer-Emmett-Teller surface area of 1604 m2 g-1,
outstanding CO2, H2, and CH4 storage capacities, as well as good adsorption
selectivities for the separation of CO2/N2 and CO2/CH4 gas pairs. The CO2 uptake
values reached as high as 5.00 mmol g-1 (1.0 bar and 273 K), which, along with
high adsorption selectivity values (e.g., 47.1 for CO2/N2), make the pentiptycene
based microporous organic polymer (PMOP) a promising sorbent material for carbon
capture from flue gas and natural gas purification. Moreover, the PMOP material
displayed superior absorption capacities for organic solvents and dyes. For
example, the maximum adsorption capacities for methylene blue and Congo red were
394 and 932 mg g-1, respectively, promoting the potential of the PMOP as an
excellent sorbent for environmental remediation and water treatment.
PMID- 29658700
TI - 3D-Printed Graphene/Polylactic Acid Electrodes Promise High Sensitivity in
Electroanalysis.
AB - Additive manufacturing provides a unique tool for prototyping structures toward
electrochemical sensing, due to its ability to produce highly versatile, tailored
shaped devices in a low-cost and fast way with minimized waste. Here we present
3D-printed graphene electrodes for electrochemical sensing. Ring- and disc-shaped
electrodes were 3D-printed with a Fused Deposition Modeling printer and
characterized using cyclic voltammetry and scanning electron microscopy.
Different redox probes K3Fe(CN)6:K4Fe(CN)6, FeCl3, ascorbic acid, Ru(NH3)6Cl3,
and ferrocene monocarboxylic acid) were used to assess the electrochemical
performance of these devices. Finally, the electrochemical detection of picric
acid and ascorbic acid was carried out as proof-of-concept analytes for sensing
applications. Such customizable platforms represent promising alternatives to
conventional electrodes for a wide range of sensing applications.
PMID- 29658701
TI - Functional Annotation of LigU as a 1,3-Allylic Isomerase during the Degradation
of Lignin in the Protocatechuate 4,5-Cleavage Pathway from the Soil Bacterium
Sphingobium sp. SYK-6.
AB - Sphingobium sp. SYK-6 is a Gram-negative soil bacterium that contributes to the
degradation of lignin. Lignin provides structural support and protection to
plants as a complex aromatic heteropolymer. The lignin degradation pathway of
guaiacyl moieties leads to the intermediate, protocatechuate (PCA), which is
further degraded via the 4,5-cleavage pathway in which PCA is ultimately
metabolized to pyruvate and oxaloacetate. In this pathway, LigI has been shown to
catalyze the hydrolysis of 2-pyrone-4,6-dicarboxylate to (4 E)-oxalomesaconate
(OMA). Here we have demonstrated, using 1H and 13C nuclear magnetic resonance
spectroscopy, that LigU catalyzes the isomerization of the double bond between C4
and C5 in (4 E)-OMA to (3 Z)-2-keto-4-carboxy-3-hexenedioate (KCH), where the
double bond has migrated to be between C3 and C4 via a 1,3-allylic isomerization.
LigU is most closely related in amino acid sequence to methylaconitate isomerase
(PrpF) from Shewanella oneidensis and methylitaconate-Delta-isomerase (Mii) from
Eubacterium barkeri. The kinetic constants for the isomerization of OMA to KCH by
LigU at pH 8.0 were determined to be 1300 +/- 120 s-1 and (7.7 +/- 1.5) * 106 M-1
s-1 for kcat and kcat/ Km, respectively. We have also shown that the product of
the LigU-catalyzed reaction is the preferred substrate for the LigJ hydratase. In
this reaction, LigJ catalyzes the hydration of KCH to 4-carboxy-4-hydroxy-2
oxoadipate.
PMID- 29658702
TI - Inhibition and Regulation of the Ergothioneine Biosynthetic Methyltransferase
EgtD.
AB - Ergothioneine is an emerging factor in cellular redox homeostasis in bacteria,
fungi, plants, and animals. Reports that ergothioneine biosynthesis may be
important for the pathogenicity of bacteria and fungi raise the question as to
how this pathway is regulated and whether the corresponding enzymes may be
therapeutic targets. The first step in ergothioneine biosynthesis is catalyzed by
the methyltransferase EgtD that converts histidine into N-alpha
trimethylhistidine. This report examines the kinetic, thermodynamic and
structural basis for substrate, product, and inhibitor binding by EgtD from
Mycobacterium smegmatis. This study reveals an unprecedented substrate binding
mechanism and a fine-tuned affinity landscape as determinants for product
specificity and product inhibition. Both properties are evolved features that
optimize the function of EgtD in the context of cellular ergothioneine
production. On the basis of these findings, we developed a series of simple
histidine derivatives that inhibit methyltransferase activity at low micromolar
concentrations. Crystal structures of inhibited complexes validate this structure
and mechanism-based design strategy.
PMID- 29658703
TI - Robust and Conductive Red MoSe2 for Stable and Fast Lithium Storage.
AB - Two-dimensional (2D) layered transition-metal dichalcogenides (LTMDs) display
various crystal phases with distinct symmetries, structures, and physical
properties. Exploring and designing different structural phases in two dimensions
could provide an avenue for switching material properties, aiming at practical
applications for potential fields. Here we demonstrate a conceptually designed
approach to narrow the band gap of MoSe2 and obtain a conductive red MoSe2
nanosheet. By introducing the high valence state of Mo species and constructing
the Mo-O bonding on the surface of the MoSe2 nanosheets, the electronic
properties can be modified and the conductivity is accordingly improved, an
effect that significantly improves their lithium storage capacity and high-rate
capability. We anticipate that the exploration of the conductive red MoSe2 with
tunable band gap could help us unlock more potential crystal structures of LTMD
based and even other 2D materials for further applications.
PMID- 29658704
TI - Identification of Noncatalytic Lysine Residues from Allosteric Circuits via
Covalent Probes.
AB - Covalent modifications of nonactive site lysine residues by small molecule probes
has recently evolved into an important strategy for interrogating biological
systems. Here, we report the discovery of a class of bioreactive compounds that
covalently modify lysine residues in DegS, the rate limiting protease of the
essential bacterial outer membrane stress response pathway. These modifications
lead to an allosteric activation and allow the identification of novel residues
involved in the allosteric activation circuit. These findings were validated by
structural analyses via X-ray crystallography and cell-based reporter systems. We
anticipate that our findings are not only relevant for a deeper understanding of
the structural basis of allosteric activation in DegS and other HtrA serine
proteases but also pinpoint an alternative use of covalent small molecules for
probing essential biochemical mechanisms.
PMID- 29658705
TI - Tungsten Hydride Phosphorus- and Arsenic-Bearing Molecules with Double and Triple
W-P and W-As Bonds.
AB - Laser ablation of tungsten metal provides W atoms which react with phosphine and
arsine during condensation in excess argon and neon, leading to major new
infrared (IR) absorptions. Annealing, UV irradiation, and deuterium substitution
experiments coupled with electronic structure calculations at the density
functional theory level led to the assignment of the observed IR absorptions to
the E=WH3 and HE?WH2 molecules for E = P and As. The potential energy surfaces
for hydrogen transfer from PH3 to the W were calculated at the coupled-cluster
CCSD(T)/complete basis set level. Additional weak bands in the phosphide and
arsenide W-H stretching region are assigned to the molecules with loss of H from
W, E=WH2. The electronic structure calculations show that the E=WH3 molecules
have a W-E triple bond, the HE?WH2 molecules have a W-E double bond, and the H2E
WH molecules have a W-E single bond. The formation of multiple E-W bonds leads to
increasing stability for the isomers.
PMID- 29658706
TI - Differences in the Triacylglycerol and Fatty Acid Compositions of Human Colostrum
and Mature Milk.
AB - Human colostrum is important for immune system development and plays a protective
role for infants. However, the comprehensive exploration of lipids, which account
for 3-5% of milk, and their biological functions in human colostrum was limited.
In present study, the triacylglycerol (TAG) and fatty acid (FA) compositions of
human colostrum and mature milk were analyzed and compared. Variations were
observed in both the TAG and FA compositions. The concentrations of
18:1/18:1/16:0 TAG, high-molecular-weight and unsaturated TAGs were significantly
higher in colostrum, whereas mature milk contained more low/medium-molecular
weight TAGs and medium-chain FAs. Furthermore, there were also specific TAGs in
both colostrum and mature milk. Our data highlighted targets for further
investigation to elucidate the biological function of lipids in colostrum milk.
In addition, the comprehensive analysis of TAGs in Chinese colostrum might help
in designing infant formula for Chinese babies, especially the preterm ones.
PMID- 29658708
TI - Halogen Photoelimination from SbV Dihalide Corroles.
AB - Main-group p-block metals are ideally suited for mediating two-electron reactions
because they cycle between M n and M n+2 redox states, as the one-electron state
is thermodynamically unstable. Here, we report the synthesis and structure of an
SbIII corrole and its SbVX2 (X = Cl, Br) congeners. SbIII sits above the corrole
ring, whereas SbV resides in the corrole centroid. Electrochemistry suggests
interconversion between the SbIII and SbVX2 species. TD-DFT calculations indicate
a HOMO -> LUMO+2 parentage for excited states in the Soret spectral region that
have significant antibonding character with respect to the Sb-X fragment. The
photochemistry of 2 and 3 in THF is consistent with the computational results, as
steady-state photolysis at wavelengths coincident with the Soret absorption of
SbVX2 corrole lead to its clean conversion to the SbIII corrole. This ability to
photoactivate the Sb-X bond reflects the proclivity of the pnictogens to rely on
the PnIII/V couple to drive the two-electron photochemistry of M-X bond
activation, an essential transformation needed to develop HX-splitting cycles.
PMID- 29658709
TI - Transformation of Polycyclic Aromatic Hydrocarbons and Formation of
Environmentally Persistent Free Radicals on Modified Montmorillonite: The Role of
Surface Metal Ions and Polycyclic Aromatic Hydrocarbon Molecular Properties.
AB - This paper presents the transformation of PAHs (phenanthrene, anthracene,
benzo[a]anthracene, pyrene, and benzo[a]pyrene) on montmorillonite clays that are
modified by transition-metal ions [Fe(III), Cu(II), Ni(II), Co(II), or Zn(II)] at
room temperature (~23 degrees C). The decay of these PAHs follows first-order
kinetics, and the dependence of the observed rate constants ( kobs, day-1) on the
presence of metal ions follows the order Fe(III) > Cu(II) > Ni(II) > Co(II) >
Zn(II). The values of kobs show reasonable linear relationships with the
oxidation potentials of the PAHs and the redox potentials of the metal ions.
Notably, transformation of these PAHs results in the formation of environmentally
persistent free radicals (EPFRs), which are of major concern due to their adverse
effects on human health. The potential energy surface (PES) calculations using
density functional theory were performed to understand the trends in kobs and the
plausible mechanisms for radical formation from the PAHs on modified clays. The
yields and stability of these EPFRs from anthracene and benzo[a]pyrene on clay
surfaces varies with both the parent PAH and the metal ion. The results
demonstrated the potential role of metals in the formation and fate of PAH
induced EPFR at co-contaminated sites.
PMID- 29658710
TI - [Ag2M(Te2O5)2]SO4 (M = CeIV or ThIV): A New Purely Inorganic d/f-Heterometallic
Cationic Material.
AB - Two new isotypic d/f-heterometallic purely inorganic cationic materials,
[Ag2M(Te2O5)2]SO4 (M = CeIV or ThIV), were synthesized using the metal oxides
(MO2 and TeO2), silver nitrate, and sulfuric acid under mild hydrothermal
conditions. The prepared materials were characterized via single-crystal X-ray
diffraction, which revealed that the materials possess a 3D framework of corner
sharing Te2O52- units. The tellurite framework creates four unique pores, three
of which are occupied by the MIV and AgI metal centers. The tellurite network,
metal coordination, and total charge yield a cationic framework, which is charge
balanced by electrostatically bound sulfate anions residing in the largest of the
four framework pores. These materials also possess AgI in a ligand-imposed linear
geometry.
PMID- 29658711
TI - Oxidative Radical Intermolecular Trifluoromethylthioarylation of Styrenes by
Arenediazonium Salts and Copper(I) Trifluoromethylthiolate.
AB - An efficient oxidative radical intermolecular trifluoromethylthioarylation of
styrenes with arenediazonium salts and copper(I) trifluoromethylthiolate under
mild conditions is described for the first time. The reactions provide good
yields of the corresponding trifluoromethylthioarylation products with broad
substrate scope and excellent functional group compatibility.
PMID- 29658712
TI - Sharp Transition from Nonmetallic Au246 to Metallic Au279 with Nascent Surface
Plasmon Resonance.
AB - The optical properties of metal nanoparticles have attracted wide interest.
Recent progress in controlling nanoparticles with atomic precision (often called
nanoclusters) provide new opportunities for investigating many fundamental
questions, such as the transition from excitonic to plasmonic state, which is a
central question in metal nanoparticle research because it provides insights into
the origin of surface plasmon resonance (SPR) as well as the formation of
metallic bond. However, this question still remains elusive because of the
extreme difficulty in preparing atomically precise nanoparticles larger than 2
nm. Here we report the synthesis and optical properties of an atomically precise
Au279(SR)84 nanocluster. Femtosecond transient absorption spectroscopic analysis
reveals that the Au279 nanocluster shows a laser power dependence in its excited
state lifetime, indicating metallic state of the particle, in contrast with the
nonmetallic electronic structure of the Au246(SR)80 nanocluster. Steady-state
absorption spectra reveal that the nascent plasmon band of Au279 at 506 nm shows
no peak shift even down to 60 K, consistent with plasmon behavior. The sharp
transition from nonmetallic Au246 to metallic Au279 is surprising and will
stimulate future theoretical work on the transition and many other relevant
issues.
PMID- 29658713
TI - Stabilization Mechanism of the Tetragonal Structure in a Hydrothermally
Synthesized BaTiO3 Nanocrystal.
AB - Higher OH concentration is identified in tetragonal barium titanate (BaTiO3)
nanorods synthesized by a hydrothermal method with a 10 vol % ethylene glycol
solvent (Inada, M.; et al. Ceram. Int. 2015, 41, 5581-5587). This is apparently
inconsistent with the known fact that higher OH concentration in the conventional
hydrothermal synthesis makes pseudocubic BaTiO3 nanocrystals more stable than the
tetragonal one. To understand where and how the introduced OH anions are located
and behave in the nanocrystals, we applied ab initio analysis to several possible
microscopic geometries of OH locations, confirming the relative stability of the
tetragonal distortion over the pseudocubic one because of the preference of trans
type configurations of OH anions. We also performed Fourier transform infrared
and X-ray diffraction analysis, all being consistent with the microscopic picture
established by the ab initio geometrical optimizations.
PMID- 29658714
TI - Activation of TRPV3 by Wood Smoke Particles and Roles in Pneumotoxicity.
AB - Wood/biomass smoke particulate materials (WBSPM) are pneumotoxic, but the
mechanisms by which these materials affect lung cells are not fully understood.
We previously identified transient receptor potential (TRP) ankyrin-1 as a sensor
for electrophiles in WBSPM and hypothesized that other TRP channels expressed by
lung cells might also be activated by WBSPM, contributing to pneumotoxicity.
Screening TRP channel activation by WBSPM using calcium flux assays revealed
TRPV3 activation by materials obtained from burning multiple types of wood under
fixed conditions. TRPV3 activation by WBSPM was dependent on the chemical
composition, and the pattern of activation and chemical components of PM agonists
was different from that of TRPA1. Chemical analysis of particle constituents by
gas chromatography-mass spectrometry and principal component analysis indicated
enrichment of cresol, ethylphenol, and xylenol analogues, plus several other
chemicals among the most potent samples. 2,3-, 2,4-, 2,5-, 2,6-, 3,4-, and 3,5
xylenol, 2-, 3-, and 4-ethylphenol, 2-methoxy-4-methylphenol, and 5,8
dihydronaphthol were TRPV3 agonists exhibiting preferential activation versus
TRPA1, M8, V1, and V4. The concentration of 2,3- and 3,4-xylenol in the most
potent samples of pine and mesquite smoke PM (<3 MUm) was 0.1-0.3% by weight,
while that of 5,8-dihydronaphthol was 0.03%. TRPV3 was expressed by several human
lung epithelial cell lines, and both pine PM and pure chemical TRPV3 agonists
found in WBSPM were more toxic to TRPV3-over-expressing cells via TRPV3
activation. Finally, mice treated sub-acutely with pine particles exhibited an
increase in sensitivity to inhaled methacholine involving TRPV3. In summary,
TRPV3 is activated by specific chemicals in WBSPM, potentially contributing to
the pneumotoxic properties of certain WBSPM.
PMID- 29658715
TI - Accurate and Efficient Parallel Implementation of an Effective Linear-Scaling
Direct Random Phase Approximation Method.
AB - An efficient algorithm for calculating the random phase approximation (RPA)
correlation energy is presented that is as accurate as the canonical molecular
orbital resolution-of-the-identity RPA (RI-RPA) with the important advantage of
an effective linear-scaling behavior (instead of quartic) for large systems due
to a formulation in the local atomic orbital space. The high accuracy is achieved
by utilizing optimized minimax integration schemes and the local Coulomb metric
attenuated by the complementary error function for the RI approximation. The
memory bottleneck of former atomic orbital (AO)-RI-RPA implementations (
Schurkus, H. F.; Ochsenfeld, C. J. Chem. Phys. 2016 , 144 , 031101 and Luenser,
A.; Schurkus, H. F.; Ochsenfeld, C. J. Chem. Theory Comput. 2017 , 13 , 1647 -
1655 ) is addressed by precontraction of the large 3-center integral matrix with
the Cholesky factors of the ground state density reducing the memory requirements
of that matrix by a factor of [Formula: see text]. Furthermore, we present a
parallel implementation of our method, which not only leads to faster RPA
correlation energy calculations but also to a scalable decrease in memory
requirements, opening the door for investigations of large molecules even on
small- to medium-sized computing clusters. Although it is known that AO methods
are highly efficient for extended systems, where sparsity allows for reaching the
linear-scaling regime, we show that our work also extends the applicability when
considering highly delocalized systems for which no linear scaling can be
achieved. As an example, the interlayer distance of two covalent organic
framework pore fragments (comprising 384 atoms in total) is analyzed.
PMID- 29658716
TI - Effects of Substituents on the Aromatic Ring of Lignano-9,9'-lactone on Plant
Growth Inhibitory Activity.
AB - The relationship between the structure of lignano-9,9'-lactone (2,3
dibenzylbutyrolactone) and its activity as a plant growth regulator has been
clarified. Derivatives with higher plant growth inhibitory activity than natural
dimethylmatairesinol 4 were synthesized. The 2-hydroxy-7- Z-ene derivative 42
displayed growth inhibitory activity against both the shoots (IC50 = 0.65 mM) and
roots (IC50 = 0.43 mM) of lettuce seedlings. Regiospecific activity was observed.
The 2,3-dihydroxy derivative 24 showed the highest growth inhibitory activity
against both the shoots (IC50 = 0.36 mM) and roots (IC50 = 0.13 mM) of Italian
ryegrass seedlings. A similar level of activity to 24 against just the roots of
Italian ryegrass seedlings was maintained when the hydroxy group at position 3 of
the 2,3-dihydroxy derivative 24 was replaced by a fluorine atom. The (2-hydroxy,4
fluoro)-derivative 33 also showed growth inhibitory activity against both the
shoots (IC50 = 0.79 mM) and roots (IC50 = 0.14 mM) of Italian ryegrass seedlings.
The level of activity was found to depend on the positions of the two hydroxy
groups or the hydroxy group and fluorine atom on the aromatic ring. The
importance of the lignano-9,9'-lactone structure was also shown.
PMID- 29658718
TI - Metal-Controlled Switching of Enantioselectivity in the Mukaiyama-Michael
Reaction of alpha,beta-Unsaturated 2-Acyl Imidazoles Catalyzed by Chiral Metal
Pybox Complexes.
AB - Metal-directed switching of enantioselectivity in the Mukaiyama-Michael reaction
of silyl enol ethers to alpha,beta-unsaturated 2-acyl imidazoles using the same
chiral indapybox ligand has been reported. The utility of this approach has been
portrayed in the synthesis of both enantiomers of optically active delta-keto
acid and ester as well as 3,4-dihydropyran-2-one. Moreover, enantioswitching in
the construction of the tertiary stereocenter adjacent to a gem-dimethyl group
has been achieved.
PMID- 29658707
TI - Metal Catalysts for Heterogeneous Catalysis: From Single Atoms to Nanoclusters
and Nanoparticles.
AB - Metal species with different size (single atoms, nanoclusters, and nanoparticles)
show different catalytic behavior for various heterogeneous catalytic reactions.
It has been shown in the literature that many factors including the particle
size, shape, chemical composition, metal-support interaction, and metal
reactant/solvent interaction can have significant influences on the catalytic
properties of metal catalysts. The recent developments of well-controlled
synthesis methodologies and advanced characterization tools allow one to
correlate the relationships at the molecular level. In this Review, the
electronic and geometric structures of single atoms, nanoclusters, and
nanoparticles will be discussed. Furthermore, we will summarize the catalytic
applications of single atoms, nanoclusters, and nanoparticles for different types
of reactions, including CO oxidation, selective oxidation, selective
hydrogenation, organic reactions, electrocatalytic, and photocatalytic reactions.
We will compare the results obtained from different systems and try to give a
picture on how different types of metal species work in different reactions and
give perspectives on the future directions toward better understanding of the
catalytic behavior of different metal entities (single atoms, nanoclusters, and
nanoparticles) in a unifying manner.
PMID- 29658717
TI - Asymmetric Synthesis of Gonytolide A: Strategic Use of an Aryl Halide Blocking
Group for Oxidative Coupling.
AB - The first synthesis of the chromanone lactone dimer gonytolide A has been
achieved employing vanadium(V)-mediated oxidative coupling of the monomer
gonytolide C. An o-bromine blocking group strategy was employed to favor para-
para coupling and to enable kinetic resolution of (+/-)-gonytolide C. Asymmetric
conjugate reduction enabled practical kinetic resolution of a chiral, racemic
precursor and the asymmetric synthesis of (+)-gonytolide A and its atropisomer.
PMID- 29658719
TI - Treated Wastewater Changes the Export of Dissolved Inorganic Carbon and Its
Isotopic Composition and Leads to Acidification in Coastal Oceans.
AB - Human-induced changes in carbon fluxes across the land-ocean interface can
influence the global carbon cycle, yet the impacts of rapid urbanization and
establishment of wastewater treatment plants (WWTPs) on coastal ocean carbon
cycles are poorly known. This is unacceptable as at present ~64% of global
municipal wastewater is treated before discharge. Here, we report surface water
dissolved inorganic carbon (DIC) and sedimentary organic carbon concentrations
and their isotopic compositions in the rapidly urbanized Jiaozhou Bay in
northeast China as well as carbonate parameters in effluents of three large WWTPs
around the bay. Using DIC, delta13CDIC and total alkalinity (TA) data and a
tracer model, we determine the contributions to DIC from wastewater DIC input,
net ecosystem production, calcium carbonate precipitation, and CO2 outgassing.
Our study shows that high-DIC and low-pH wastewater effluent represents an
important source of DIC and acidification in coastal waters. In contrast to the
traditional view of anthropogenic organic carbon export and degradation, we
suggest that with the increase of wastewater discharge and treatment rates,
wastewater DIC input may play an increasingly more important role in the coastal
ocean carbon cycle.
PMID- 29658720
TI - Adsorption Behavior of Lysozyme at Titanium Oxide-Water Interfaces.
AB - We present an in situ X-ray reflectivity study of the adsorption behavior of the
protein lysozyme on titanium oxide layers under variation of different
thermodynamic parameters, such as temperature, hydrostatic pressure, and pH
value. Moreover, by varying the layer thickness of the titanium oxide layer on a
silicon wafer, changes in the adsorption behavior of lysozyme were studied. In
total, we determined less adsorption on titanium oxide compared with silicon
dioxide, while increasing the titanium oxide layer thickness causes stronger
adsorption. Furthermore, the variation of temperature from 20 to 80 degrees C
yields an increase in the amount of adsorbed lysozyme at the interface.
Additional measurements with variation of the pH value of the system in a region
between pH 2 and 12 show that the surface charge of both protein and titanium
oxide has a crucial role in the adsorption process. Further pressure-dependent
experiments between 50 and 5000 bar show a reduction of the amount of adsorbed
lysozyme with increasing pressure.
PMID- 29658721
TI - Interception of Secondary Amide Ylide with Sulfonamides: Catalyst-Controlled
Synthesis of N-Sulfonylamidine Derivatives.
AB - A novel, secondary amide activation strategy has been developed through the in
situ generation of ylides from amides and diazoacetates. Under the developed
reaction conditions, Mn-catalyzed ylide formation and interception reaction by
sulfonamide delivered a variety of N-sulfonylamidines. Notably, when highly
active Zn(OTf)2 was used as the catalyst, further N-H insertion products were
obtained. In contrast with traditional methods, our amide activation strategy is
distinguished by accessible starting material, inexpensive catalyst, and broad
substrate scope.
PMID- 29658722
TI - Evidence for cis Amide Bonds in Peptoid Nanosheets.
AB - Peptoid nanosheets are supramolecular protein-mimetic materials that form from
amphiphilic polypeptoids with aromatic and ionic side chains. Nanosheets have
been studied at the nanometer scale, but the molecular structure has been
difficult to probe. We report the use of 13C-13C dipolar recoupling solid-state
NMR measurements to reveal the configuration of backbone amide bonds selected by
13C isotopic labeling of adjacent alpha-carbons. Measurements on the same
molecules in the amorphous state and in nanosheets revealed that amide bonds in
the center of the amino block of peptoid (NaeNpe)7-(NceNpe)7 (B28) favor the
trans configuration in the amorphous state and the cis configuration in the
nanosheet. This unexpected result contrasts with previous NMR and theoretical
studies of short solvated peptoids. Furthermore, examination of the amide bond at
the junction of the two charged blocks within B28 revealed a mixture of both cis
and trans configurational states, consistent with the previously predicted
brickwork-like intermolecular organization.
PMID- 29658723
TI - When fruits lose to animals: Disorganized search of semantic memory in
Parkinson's disease.
AB - OBJECTIVE: The semantic fluency task is widely used in both clinical and research
settings to assess both the integrity of the semantic store and the effectiveness
of the search through it. Our aim was to investigate whether nondemented
Parkinson's disease (PD) patients show an impairment in the strategic exploration
of the semantic store and whether the tested semantic category has an impact on
multiple measures of performance. METHOD: We compared 74 nondemented PD patients
with 254 healthy subjects in a semantic fluency test using relatively small
(fruits) and large (animals) semantic categories. Number of words produced,
number of explored semantic subcategories, and degree of order in the produced
sequences were computed as dependent variables. RESULTS: PD patients produced
fewer words than healthy subjects did, regardless of the category. Number of
subcategories was also lower in PD patients than in healthy subjects, without a
significant difference between categories. Critically, PD patients' sequences
were less semantically organized than were those of controls, but this effect
appeared in only the smaller category (fruits), thus pointing to a lack of
strategy in exploring the semantic store. CONCLUSIONS: Our results show that the
semantic fluency deficit in PD patients has a strategic component, even though
that may not be the only cause of the impaired performance. Furthermore, our
evidence suggests that the semantic category used in the test influences
performance, hence providing an explanation for the failure by previous studies,
which often used large categories such as animals, to detect strategy deficits in
PD. (PsycINFO Database Record
PMID- 29658724
TI - Sustaining prospective memory functioning in amnestic mild cognitive impairment:
A lifespan approach to the critical role of encoding.
AB - OBJECTIVE: Prospective memory (PM), the ability to remember to perform future
activities, is a fundamental requirement for independent living. PM tasks pervade
our daily lives, and PM failures represent one of the most prominent memory
concerns across the entire life span. This study aimed to address this issue by
exploring the potential benefits of specific encoding strategies on memory for
intentions across healthy adulthood and in the early stages of cognitive
impairment. METHOD: PM performance was explored through an experimental paradigm
in 96 participants: 32 amnestic mild cognitively impaired patients aged 64-87
years (M = 6.75, SD = 5.88), 32 healthy older adults aged 62-84 years (M = 76.06,
SD = 6.03), and 32 younger adults 18-22 years (M = 19.75, SD = 1.16). The
potential benefit of the use of enactment (i.e., physically simulating the
intended action) at encoding to support an autonomous performance despite
neuronal degeneration was assessed. RESULTS: PM was consistently identified as a
sensitive and specific indicator of cognitive impairment. Importantly, enacted
encoding was consistently beneficial for PM performance of all the participants,
but especially so in the case of healthy and cognitively impaired older adults.
These positive results have unveiled the potential of this encoding technique to
optimize attentional demands through an adaptive allocation of strategic
resources across both healthy and cognitively impaired samples. Theoretical
implications of this work are discussed as well as the considerable translational
potential to improve social well-being. CONCLUSIONS: A better understanding of
the strategies that can enhance PM offers the potential for cost-effective and
widely applicable tools which may support independent living across the adult
life span. (PsycINFO Database Record
PMID- 29658725
TI - I scan, therefore I decline: The time course of difficulty monitoring in humans
(homo sapiens) and macaques (macaca mulatta).
AB - The study of nonhumans' metacognitive judgments about trial difficulty has grown
into an important comparative literature. However, the potential for associative
learning confounds in this area has left room for behaviorist interpretations
that are strongly asserted and hotly debated. This article considers how
researchers may be able to observe animals' strategic cognitive processes more
clearly by creating temporally extended problems within which associative cues
are not always immediately available. We asked humans and rhesus macaques to
commit to completing spatially extended mazes or to decline completing them
through a trial-decline response. The mazes could sometimes be completed
successfully, but other times had a constriction that blocked completion. A
deliberate, systematic scanning process could preevaluate a maze and determine
the appropriate response. Latency analyses charted the time course of the
evaluative process. Both humans and macaques appeared, from the pattern of their
latencies, to scan the mazes through before committing to completing them. Thus
monkeys, too, can base trial-decline responses on temporally extended evaluation
processes, confirming that those responses have strategic cognitive-processing
bases in addition to behavioral-reactive bases. The results also show the value
of temporally and spatially extended problems to let researchers study the
trajectory of animals' online cognitive processes. (PsycINFO Database Record
PMID- 29658726
TI - Factor structure and criterion validity across the full scale and ten short forms
of the CES-D among Chinese adolescents.
AB - We systematically examined the factor structure and criterion validity across the
full scale and 10 short forms of the Center for Epidemiological Studies
Depression Scale (CES-D) with Chinese youth. Participants were 5,434 Chinese
adolescents in Grades 7 to 12 who completed the full CES-D; 612 of them further
completed a structured diagnostic interview with the major depressive disorder
(MDD) module of the Kiddie Schedule for Affective Disorder and Schizophrenia for
School-age Children. Using a split-sample approach, a series of 4-, 3-, 2-, and 1
factor models were tested using exploratory structural equation modeling and
cross-validated using confirmatory factor analysis; the dimensionality was also
evaluated by parallel analysis in conjunction with the scree test and aided by
factor mixture analysis. The results indicated that a single-factor model of
depression with a wording method factor fitted the data well, and was the optimal
structure underlying the scores of the full and shortened CES-D. Additionally,
receiver operating characteristic curve analyses for MDD case detection showed
that the CES-D full-scale scores accurately detected MDD youth (area under the
curve [AUC] = .84). Furthermore, the short-form scores produced comparable AUCs
with the full scale (.82 to .85), as well as similar levels of sensitivity and
specificity when using optimal cutoffs. These findings suggest that depression
among Chinese adolescents can be adequately measured and screened for by a single
factor structure underlying the CES-D scores, and that the short forms provide a
viable alternative to the full instrument. (PsycINFO Database Record
PMID- 29658727
TI - The influence of context in the subjective evaluation of "negative" alcohol
related consequences.
AB - College students may subjectively evaluate the consequences of drinking in
unexpected ways, rating "negative" consequences as neutral or even positive
experiences. We previously gathered qualitative evidence for several contextual
factors that may influence one's subjective evaluations (e.g., social influences,
intoxication level, concurrent positive consequences). The purpose of the present
study was to quantitatively investigate whether student evaluations of
consequences differ by various contextual factors. We administered an anonymous
online survey to 214 college students (76% female, 69% drinkers, 48% heavy
drinkers) in return for academic credit, on which they reported how positively or
negatively they would evaluate 6 alcohol-related consequences (e.g., vomiting,
being rude, blacking out) under different conditions. Paired samples t tests were
used to compare evaluation ratings, averaged across consequences, under different
hypothetical contextual conditions (e.g., among friends vs. strangers). Out of 16
contextual comparisons, all but one (first-time vs. repeated consequence)
revealed significant differences in subjective evaluations; the pattern held in
reduced samples of just drinkers or just heavy drinkers. Examples include that
consequences were viewed more negatively (a) if occurring at either a party or
bar versus alone in one's home/dorm, (b) if friends express concern versus find
it funny, (c) if the consequence was expected versus unexpected, and (d) if there
are lasting consequences versus none. When using recent consequences in feedback
based interventions to build motivation to change, it may be useful to discuss
the context of a consequence and how this influences the perceived aversiveness
of that consequence. (PsycINFO Database Record
PMID- 29658728
TI - "Beyond sacrificial harm: A two-dimensional model of utilitarian psychology":
Correction.
AB - Reports an error in "Beyond Sacrificial Harm: A Two-Dimensional Model of
Utilitarian Psychology" by Guy Kahane, Jim A. C. Everett, Brian D. Earp, Lucius
Caviola, Nadira S. Faber, Molly J. Crockett and Julian Savulescu (Psychological
Review, Advanced Online Publication, Dec 21, 2017, np). The copyright attribution
was incorrectly listed, and the Creative Commons CC-BY license disclaimer was
incorrectly omitted from the author note. The correct copyright is "(c) 2017 The
Author(s)" and the omitted disclaimer is presented in the erratum. All versions
of this article have been corrected. (The following abstract of the original
article appeared in record 2017-57422-001.) Recent research has relied on trolley
type sacrificial moral dilemmas to study utilitarian versus nonutilitarian modes
of moral decision-making. This research has generated important insights into
people's attitudes toward instrumental harm-that is, the sacrifice of an
individual to save a greater number. But this approach also has serious
limitations. Most notably, it ignores the positive, altruistic core of
utilitarianism, which is characterized by impartial concern for the well-being of
everyone, whether near or far. Here, we develop, refine, and validate a new scale
the Oxford Utilitarianism Scale-to dissociate individual differences in the
'negative' (permissive attitude toward instrumental harm) and 'positive'
(impartial concern for the greater good) dimensions of utilitarian thinking as
manifested in the general population. We show that these are two independent
dimensions of proto-utilitarian tendencies in the lay population, each exhibiting
a distinct psychological profile. Empathic concern, identification with the whole
of humanity, and concern for future generations were positively associated with
impartial beneficence but negatively associated with instrumental harm; and
although instrumental harm was associated with subclinical psychopathy, impartial
beneficence was associated with higher religiosity. Importantly, although these
two dimensions were independent in the lay population, they were closely
associated in a sample of moral philosophers. Acknowledging this dissociation
between the instrumental harm and impartial beneficence components of utilitarian
thinking in ordinary people can clarify existing debates about the nature of
moral psychology and its relation to moral philosophy as well as generate
fruitful avenues for further research. (PsycINFO Database Record (c) 2018 APA,
all rights reserved).
PMID- 29658729
TI - A structural model of intrinsic motivation: On the psychology of means-ends
fusion.
AB - The term intrinsic motivation refers to an activity being seen as its own end.
Accordingly, we conceptualize intrinsic motivation (IM) as (perceived) means-ends
fusion and define an intrinsicality continuum reflecting the degree to which such
fusion is experienced. Our means-ends fusion (MEF) theory assumes four major
antecedents of activity-goal fusion: (a) repeated pairing of the activity and the
goal, (b) uniqueness of the activity-goal connection, (c) perceived similarity
between the activity and its goal, and (d) temporal immediacy of goal attainment
following the activity. MEF theory further identifies two major consequences of
the activity-goal fusion (i.e., manifestations of intrinsic motivation): (a)
perceived instrumentality of the activity to goal attainment and consequent
activity engagement, and (b) goal-related affective experience of the activity.
Empirical evidence for MEF theory comes from diverse fields of psychological
inquiry, including animal learning, brain research, and social cognition.
(PsycINFO Database Record
PMID- 29658730
TI - A dynamic dual process model of risky decision making.
AB - Many phenomena in judgment and decision making are often attributed to the
interaction of 2 systems of reasoning. Although these so-called dual process
theories can explain many types of behavior, they are rarely formalized as
mathematical or computational models. Rather, dual process models are typically
verbal theories, which are difficult to conclusively evaluate or test. In the
cases in which formal (i.e., mathematical) dual process models have been
proposed, they have not been quantitatively fit to experimental data and are
often silent when it comes to the timing of the 2 systems. In the current
article, we present a dynamic dual process model framework of risky decision
making that provides an account of the timing and interaction of the 2 systems
and can explain both choice and response-time data. We outline several
predictions of the model, including how changes in the timing of the 2 systems as
well as time pressure can influence behavior. The framework also allows us to
explore different assumptions about how preferences are constructed by the 2
systems as well as the dynamic interaction of the 2 systems. In particular, we
examine 3 different possible functional forms of the 2 systems and 2 possible
ways the systems can interact (simultaneously or serially). We compare these dual
process models with 2 single process models using risky decision making data from
Guo, Trueblood, and Diederich (2017). Using this data, we find that 1 of the dual
process models significantly outperforms the other models in accounting for both
choices and response times. (PsycINFO Database Record
PMID- 29658731
TI - Supporting and enhancing scientific rigor.
AB - The purpose of this editorial is to provide an update on recent, ongoing, and new
practices the Journal of Applied Psychology has implemented to further support
and enhance scientific rigor. In line with the previous editorial, the practices
discussed in the following text broadly seek to enhance scientific rigor and
transparency in the empirical research published by providing the reader
sufficient information needed to verify the accuracy and validity of study
findings and inferences and enable the accumulation of knowledge through
replications and extensions of primary research as well as meta-analyses.
(PsycINFO Database Record
PMID- 29658732
TI - When and how experienced incivility dissuades proactive performance: An
integration of sociometer and self-identity orientation perspectives.
AB - In this article we build on relational Sociometer Theory (Leary, 2005; Leary &
Baumeister, 2000) to posit the impact of the belongingness threat of experienced
incivility in one's work team on employee feelings of ostracism and subsequent
engagement in proactive performance. Integrating the social-relational framework
of Self-Identity Orientation Theory (Brewer & Gardner, 1996; Cooper & Thatcher,
2010), we nuance our predictions by hypothesizing that chronic self
identification orientations influence both the effect that experiencing
incivility in one's work team exerts on feeling ostracized, and the impact that
feeling ostracized has on subsequent employee proactive performance. Using a
sample of 212 employees and their 51 supervising managers employed in an Internet
service and solution company in China, we found support for our hypothesized
model. Implications for theory and practice are discussed. (PsycINFO Database
Record
PMID- 29658733
TI - The paradox of agency: Feeling powerful reduces brokerage opportunity recognition
yet increases willingness to broker.
AB - Research suggests positions of brokerage in organizational networks provide many
benefits, but studies tend to assume everyone is equally able to perceive and
willing to act on brokerage opportunities. Here we challenge these assumptions in
a direct investigation of whether people can perceive brokerage opportunities and
are willing to broker. We propose that the psychological experience of power
diminishes individuals' ability to perceive opportunities to broker between
people who are not directly connected in their networks, yet enhances their
willingness to broker. In Study 1, we find that employees in a marketing and
media agency who had a high sense of power were likely to see fewer brokerage
opportunities in their advice networks. In Study 2, we provide causal evidence
for this claim in an experiment where the psychological experience of power is
manipulated. Those who felt powerful, relative to those who felt little power,
tended to see fewer brokerage opportunities than actually existed, yet were more
willing to broker, irrespective of whether there was a brokerage opportunity
present. Collectively, these findings present a paradox of agency: Individuals
who experience power are likely to underperceive the very brokerage opportunities
for which their sense of agency is suited. (PsycINFO Database Record
PMID- 29658734
TI - Build or buy? The individual and unit-level performance of internally versus
externally selected managers over time.
AB - At some point, hiring managers in all organizations face the decision of whether
to fill open positions with internal candidates (e.g., through promotions) or to
hire external candidates (e.g., from competitors or new entrants into the labor
market). Despite this ubiquitous choice, surprisingly little research has
compared the effectiveness of internal and external selection or has identified
situations in which 1 approach may be better than the other. The authors use
theory on human capital resources to predict differences between internal and
external hires on manager- and unit-level outcomes. Analysis of data from a quick
service retail organization (N = 3,697) suggested that internally hired managers
demonstrated higher levels of individual job performance and commanded lower
starting salaries than externally hired managers. At the unit-level, operations
led by internal hires demonstrated higher performance on organization-specific
criteria (i.e., service performance), whereas no internal-external differences
were found on more general criteria (i.e., financial performance). They also
found some evidence that differences in unit service performance decreased over
time (but did not diminish completely) as external hires improved at a slightly
faster rate than internal hires. Overall, these findings underscore the
complexity of the recurring "build or buy" decision. The results also suggest
that internal hires generally outperform external hires, both individually and
collectively, and they do so for less money. (PsycINFO Database Record
PMID- 29658735
TI - Centralization of member voice in teams: Its effects on expertise utilization and
team performance.
AB - Voice, or the expression of work-related suggestions or opinions, can help teams
access and utilize members' privately held knowledge and skills and improve
collective outcomes. However, recent research has suggested that sometimes,
rather than encourage positive outcomes for teams, voice from members can have
detrimental consequences. Extending this research, we highlight why it is
important to consider voice centralization within teams, or the extent to which
voice is predominantly emanating from only a few members rather than equally
spread across all members. We argue that, under certain circumstances, voice
centralization is harmful to the utilization of members' expertise in the team
and, thereby, to team performance. Specifically, we propose that voice
centralization is likely to have negative effects when it occurs around members
who are more socially dominant or are less reflective. We find support for our
arguments in a sample of 78 teams (319 team members) working on graduate student
projects in a business school over a semester. Overall, through our theory and
results, we showcase why it is important for future studies to examine the
distribution of voice among team members. (PsycINFO Database Record
PMID- 29658736
TI - Seeing their side versus feeling their pain: Differential consequences of
perspective-taking and empathy at work.
AB - Perspective taking and empathic concern (empathy) have each been proposed as
constructive approaches to social relationships. However, their potential
distinctions, limitations, and consequences in task contexts are not well
understood. We meta-analytically examined 304 independent samples to uncover
unique effects of perspective taking and empathic concern on important work
related outcomes. We develop and test a contingency model of those effects, based
on three facets of psychological interdependence: outcome, hierarchical (or power
asymmetry), and social category (or in-group/out-group distinctions). Results
revealed perspective taking and empathic concern to have positive impacts on
being supportive of others, but the effects of empathic concern were stronger. In
contrast, perspective taking was an asset and empathy was a liability for
capturing value in strategic interactions (e.g., negotiations). Effects of
perspective taking and empathic concern were differentially contingent on
psychological interdependence. The impact of perspective taking, but not of
empathic concern, was attenuated or reversed under negative outcome
interdependence; perspective-taking leads to advantage taking in competitive
contexts. Perspective taking was particularly beneficial when the actor had high
power, but empathic concern's benefits were independent of hierarchy. Finally,
social dissimilarity had no detectable impact on the effects of perspective
taking or empathic concern, contrary to our theorizing. Overall results suggest
two key conclusions. First, perspective taking and empathic concern have powerful
effects on work-related outcomes. Second, each construct has its own distinctive
and predictable impacts. We conclude by offering practical suggestions for
improving workplace interactions through perspective taking and empathic concern.
(PsycINFO Database Record
PMID- 29658737
TI - Negative beliefs about accepting coworker help: Implications for employee
attitudes, job performance, and reputation.
AB - For more than 30 years, researchers have investigated interpersonal helping in
organizations, with much of this work focusing on understanding why employees
help their colleagues. Although this is important, it is also critical that
employees are willing to accept assistance that is offered by peers. Indeed,
helping behavior should only enhance individual and organizational effectiveness
if employees are actually willing to accept offers of assistance. Unfortunately,
employees may sometimes have reservations about accepting help from their peers.
In four studies, we examine the negative beliefs that employees have about
accepting help from coworkers. In Study 1, we use inductive research to
qualitatively understand why employees accept or decline coworker help. In Study
2, we develop a preliminary, second-order reflective measure of negative beliefs
about accepting coworker help that is indicated by the five specific (first
order) reservations about accepting help identified in Study 1-diminished image,
reciprocity obligation, self-reliance, coworker mistrust, and coworker
incompetence. In Study 3, we refine our scale and demonstrate its convergent,
discriminant, and criterion-related validity. Finally, in Study 4, we investigate
the consequences of negative beliefs about accepting coworker help. We find that
those who hold more negative beliefs are less likely to receive help from peers
(and supervisors), report more negative job attitudes, and have lower levels of
in-role performance, citizenship behavior, and creativity. Furthermore, employees
with more negative beliefs about accepting help from coworkers are seen less
favorably by their supervisors. Implications and future research directions are
discussed. (PsycINFO Database Record
PMID- 29658738
TI - "Revised scoring and improved reliability for the Communication Patterns
Questionnaire": Correction to Crenshaw et al. (2017).
AB - Reports an error in "Revised scoring and improved reliability for the
Communication Patterns Questionnaire" by Alexander O. Crenshaw, Andrew
Christensen, Donald H. Baucom, Norman B. Epstein and Brian R. W. Baucom
(Psychological Assessment, 2017[Jul], Vol 29[7], 913-925). In the article, Table
5 item content was incorrectly ordered. The corrected table is present in the
erratum. (The following abstract of the original article appeared in record 2016
43610-001.) The Communication Patterns Questionnaire (CPQ; Christensen, 1987) is
a widely used self-report measure of couple communication behavior and is well
validated for assessing the demand/withdraw interaction pattern, which is a
robust predictor of poor relationship and individual outcomes (Schrodt, Witt, &
Shimkowski, 2014). However, no studies have examined the CPQ's factor structure
using analytic techniques sufficient by modern standards, nor have any studies
replicated the factor structure using additional samples. Further, the current
scoring system uses fewer than half of the total items for its 4 subscales,
despite the existence of unused items that have content conceptually consistent
with those subscales. These characteristics of the CPQ have likely contributed to
findings that subscale scores are often troubled by suboptimal psychometric
properties such as low internal reliability (e.g., Christensen, Eldridge, Catta
Preta, Lim, & Santagata, 2006). The present study uses exploratory and
confirmatory factor analyses on 4 samples to reexamine the factor structure of
the CPQ to improve scale score reliability and to determine if including more
items in the subscales is warranted. Results indicate that a 3-factor solution
(constructive communication and 2 demand/withdraw scales) provides the best fit
for the data. That factor structure was confirmed in the replication samples.
Compared with the original scales, the revised scales include additional items
that expand the conceptual range of the constructs, substantially improve
reliability of scale scores, and demonstrate stronger associations with
relationship satisfaction and sensitivity to change in therapy. Implications for
research and treatment are discussed. (PsycINFO Database Record
PMID- 29658740
TI - Twin classroom dilemma: To study together or separately?
AB - There is little research to date on the academic implications of teaching twins
in the same or different classroom. Consequently, it is not clear whether twin
classroom separation is associated with positive or negative educational
outcomes. As a result, parents and teachers have insufficient evidence to make a
well-informed decision when twins start school. This study addresses two research
questions: Are there average positive or negative effects of classroom
separation? Are twins taught in different classes more different from each other
than twins taught in the same class? Twin pairs from two large representative
samples from Quebec (Canada) and the United Kingdom were evaluated across a large
age range (7 to 16 years) on academic achievement, several cognitive abilities
and motivational measures. Our results show almost no sizable positive or
negative average effect of classroom separation on twins' achievement, cognitive
ability and motivation. Twin pairs at age 12 (Quebec, Canada) and at age 16
(United Kingdom) were slightly more similar on achievement if placed in the same
classroom, with slightly greater similarity among monozygotic twins than
dizygotic twins. However, the few effects found were weak, and it remains unclear
whether they result from classroom separation or other factors. These results
suggest that in terms of educational outcomes, policymakers should not impose
rigid guidelines to separate twin pairs during their education. The choice of
whether to educate twin pairs together or separately should be up to parents,
twins and teachers, in response to twins' individual needs. (PsycINFO Database
Record
PMID- 29658739
TI - Child inhibitory control and maternal acculturation moderate effects of maternal
parenting on Chinese American children's adjustment.
AB - The goals of this study were to examine: (a) bidirectional associations between
maternal parenting (physical punishment and guilt induction) and Chinese American
preschool children's psychosocial adjustment and (b) the role of maternal
cultural orientation and child temperament in moderating parenting effects.
Participants were Chinese American mothers and children (N = 163, Mage = 4.56,
53% boys). Mothers reported on their parenting practices at both Wave 1 (W1) and
Wave 2 (W2) and their cultural orientations and children's inhibitory control at
W1. Teachers rated children's prosocial, internalizing, and externalizing
behaviors at both W1 and W2. A Bayesian approach to path analysis was utilized to
investigate how parenting, child inhibitory control, and maternal cultural
orientations work together to predict the development of children's prosociality
and psychosocial problems. Results showed that for Chinese immigrant mothers who
were highly acculturated toward the American culture and for children with low
levels of inhibitory control, maternal use of physical punishment predicted more
externalizing problems in children. Child inhibitory control and maternal
enculturation were directly associated with less W2 child internalizing and
externalizing behaviors. Moreover, physical punishment predicted more
internalizing behavior, whereas guilt induction predicted less child
internalizing behavior. Maternal guilt induction also prospectively predicted
more prosocial behavior but only for children with low levels of inhibitory
control. Finally, only one child effect was significant: More W1 internalizing
behavior predicted less W2 physical punishment. These effects held after
controlling for temporal stabilities of the constructs and demographic
covariates. Findings are discussed within the cultural context of the study.
(PsycINFO Database Record
PMID- 29658742
TI - Child and contextual effects in the emergence of differential maternal
sensitivity across siblings.
AB - The present study examined the effects of socioeconomic status (SES) and sibling
differences in birth weight on sibling differences in the receipt of maternal
sensitivity (i.e., differential parenting). It was hypothesized that sibling
differences in birth weight would predict absolute differential parenting across
the sibship (i.e., the more different siblings' birth weight, the more different
the level of sensitivity in the family, overall) and child-specific differential
parenting (i.e., relatively heavier siblings receiving more sensitivity, compared
to his or her counterpart within the family). It was also hypothesized that there
would be greater sibling differences in birth weight in lower SES settings.
Multiparous mothers were recruited within two weeks of childbirth and filmed
interacting with each of their children when younger siblings were 1.60 years (SD
= .16, N = 396 younger siblings) and next-older siblings were 4.05 (SD = .75; N =
396 older siblings). Videotapes were coded for maternal sensitivity. Multilevel
path-analysis revealed that lower-SES families exhibited greater sibling
differences in birth weight, which corresponded to greater absolute differential
parenting. Also, heavier siblings received relatively higher levels of
sensitivity within the family. This study demonstrates that child and contextual
factors operate together in predicting differential parenting. (PsycINFO Database
Record
PMID- 29658741
TI - Interparental hostility and children's externalizing symptoms: Attention to anger
as a mediator.
AB - This study examined children's attention biases to negative emotional stimuli as
mediators of associations between interparental hostility and children's
externalizing symptoms. Participants included 243 children (Mage = 4.60 years)
and their parents and teachers across three annual measurement occasions. Cross
lagged latent change analyses revealed that the association between interparental
hostility and children's externalizing symptoms was mediated by children's
attention to angry, but not sad or fearful, adult faces. Consistent with
defensive exclusion models, the multimethod, multi-informant assessment of
interparental hostility at Wave 1 specifically predicted decreases in children's
attention to angry faces from Waves 1 to 2 in a visual search task. Declines in
children's attention to anger, in turn, predicted increases in teacher reports of
their externalizing problems across the three waves. Follow-up analyses further
indicated that children's decreasing levels of emotional security in the
interparental relationship were associated with the decreases in children's
attention to angry stimuli. Results are discussed in relation to how they inform
and advance information processing and social threat models in developmental
psychopathology. (PsycINFO Database Record
PMID- 29658743
TI - Which button will I press? Preference for correctly ordered counting sequences in
18-month-olds.
AB - Correct counting respects the stable order principle whereby the count terms are
recited in a fixed order every time. The 4 experiments reported here tested
whether precounting infants recognize and prefer correct stable-ordered counting.
The authors introduced a novel preference paradigm in which infants could freely
press two buttons to activate videos of counting events. In the "correct"
counting video, number words were always recited in the canonical order ("1, 2,
3, 4, 5, 6"). The "incorrect" counting video was identical except that the number
words were recited in a random order (e.g., "5, 3, 1, 6, 4, 2"). In Experiment 1,
18-month-olds (n = 21), but not 15-month-olds (n = 24), significantly preferred
to press the button that activated correct counting events. Experiment 2 revealed
that English-learning 18-month-olds' (n = 21) preference for stable-ordered
counting disappeared when the counting was done in Japanese. By contrast,
Experiment 3 showed that multilingual 18-month-olds (n = 24) preferred correct
stable-ordered counting in an unfamiliar foreign language. In Experiment 4,
multilingual 18-month-olds (N = 21) showed no preference for stable-ordered
alphabet sequences, ruling out some alternative explanations for the Experiment 3
results. Overall these findings are consistent with the idea that implicit
recognition of the stable order principle of counting is acquired by 18 months of
age, and that learning more than one language may accelerate infants'
understanding of abstract counting principles. (PsycINFO Database Record
PMID- 29658744
TI - When does cognitive decline begin? A systematic review of change point studies on
accelerated decline in cognitive and neurological outcomes preceding mild
cognitive impairment, dementia, and death.
AB - Older adults who ultimately develop dementia experience accelerated cognitive
decline long before diagnosis. A similar acceleration in cognitive decline occurs
in the years before death as well. To evaluate preclinical and terminal cognitive
decline, past researchers have incorporated change points in their analyses of
longitudinal data, identifying point estimates of how many years prior to
diagnosis or death that decline begins to accelerate. The current systematic
review aimed to summarize the published literature on preclinical and terminal
change points in relation to mild cognitive impairment (MCI), dementia, and
death, identifying the order in which cognitive and neurological outcomes decline
and factors that modify the onset and rate of decline. A systematic search
protocol yielded 35 studies, describing 16 longitudinal cohorts, modeling change
points for cognitive and neurological outcomes preceding MCI, dementia, or death.
Change points for cognitive abilities ranged from 3-7 years prior to MCI
diagnosis, 1-11 years prior to dementia diagnosis, and 3-15 years before death.
No sequence of decline was observed preceding MCI or death, but the following
sequence was tentatively accepted for Alzheimer's disease: verbal memory,
visuospatial ability, executive functions and fluency, and last, verbal IQ. Some
of the modifiers of the onset and rate of decline examined by previous
researchers included gender, education, genetics, neuropathology, and
personality. Change point analyses evidence accelerated decline preceding MCI,
dementia, and death, but moderators of the onset and rate of decline remain
ambiguous due to between-study modeling differences, and coordinated analyses may
improve comparability across future studies. (PsycINFO Database Record
PMID- 29658745
TI - Stability of genetic and environmental influences on executive functions in
midlife.
AB - Research on executive functions (EFs) has revealed that individual differences in
general EF abilities are highly correlated across the first few decades of life,
especially at the level of genetic influences. Our work has also provided
evidence for substantial heritability of this Common EF factor in midlife, but it
remains unclear whether individual differences in Common EFs continue to show
strong stability in middle age. We examined data from 1,464 middle-aged twins
from the Vietnam Era Twin Study of Aging, most of whom completed 7
neuropsychological measures of EFs at 2 points in middle age (Mages = 56 and 62).
Confirmatory factor analysis indicated that individual differences in Common EF,
a latent factor explaining variation in seven neuropsychological EF tasks, were
highly correlated across this 6-year period (r = .97), and that the same genetic
and environmental influences were operating across this interval (genetic and
shared environmental correlations = 1.0, nonshared environment correlation =
.95). Similar phenotypic and genetic stability was observed for a Working Memory
(WM)-Specific latent factor, which explained additional variance in working
memory span tasks not captured by Common EF (r = .98, genetic correlation = 1.0,
nonshared environmental correlation = .88). There was a large mean-level
performance decline in Common EF (d = -.60) but not WM-Specific (d = -.03). These
results suggest that there is substantial decline in Common EF abilities across
middle age but that individual differences are almost perfectly stable. (PsycINFO
Database Record
PMID- 29658747
TI - Is subjective memory change in old age based on accurate monitoring of age
related memory change? Evidence from two longitudinal studies.
AB - Subjective memory change (SMC) in adulthood involves the perception that one's
memory has declined from earlier levels of function. SMC has been conjectured to
be more accurate than concurrent subjective memory because people use themselves
as a standard of comparison. We used data from two longitudinal studies to
contrast the accurate-monitoring-of-change hypothesis-actual memory change
predicts SMC-against a constructed-judgment hypothesis that rated SMC is a
function of rescaling concurrent memory beliefs without accessing actual memory
change. It states that actual memory change has no predictive validity for SMC
independent of concurrent memory beliefs. Data from both the Berlin Aging Study
and the Health and Retirement Study (HRS) showed that older adults' current
memory complaints strongly predicted current SMC, and that there was little
relationship of longitudinally measured memory change to SMC, controlling on
memory complaints. In the HRS there were reliable latent-growth-curve slope
correlations of over .20 for change in episodic memory with both slopes of change
in SMC and in memory complaints, yet little relationship of SMC slopes to
episodic memory slopes, controlling on memory-complaint slopes. The results
falsify the accurate-monitoring-of-change hypothesis regarding the origins of SMC
in older adults. (PsycINFO Database Record
PMID- 29658746
TI - Older adults show impaired modulation of attentional alpha oscillations: Evidence
from dichotic listening.
AB - Auditory attention is critical for selectively listening to speech from a single
talker in a multitalker environment (e.g., Cherry, 1953). Listening in such
situations is notoriously more difficult and more poorly encoded to long-term
memory in older than in young adults (Tun, O'Kane, & Wingfield, 2002). Recent
work by Payne, Rogers, Wingfield, and Sekuler (2017) in young adults demonstrated
a neural correlate of auditory attention in the directed dichotic listening task
(DDLT), where listeners attend to one ear while ignoring the other. Measured
using electroencephalography, differences in alpha band power (8-14 Hz) between
left and right hemisphere parietal regions mark the direction to which auditory
attention is focused. Little prior research has been conducted on alpha power
modulations in older adults, particularly with regard to auditory attention
directed toward speech stimuli. In the current study, an older adult sample was
administered the DDLT and delayed recognition procedures used by Payne et al.
(2017). Compared to young adults, older adults showed reduced selective attention
in the DDLT, evidenced by a higher rate of intrusions from the unattended ear.
Moreover, older adults did not exhibit attention-related alpha modulation
evidenced by young adults, nor did their event-related potentials (ERPs) to
recognition probes differentiate between attended or unattended probes. Older
adults' delayed recognition did not reveal a pattern of suppression of unattended
items evidenced by young adults. These results serve as evidence for an age
related decline in selective auditory attention, potentially mediated by age
related decline in the ability to modulate alpha oscillations. (PsycINFO Database
Record
PMID- 29658748
TI - Cognitive ability does not predict objectively measured sedentary behavior:
Evidence from three older cohorts.
AB - Higher cognitive ability is associated with being more physically active. Much
less is known about the associations between cognitive ability and sedentary
behavior. Ours is the first study to examine whether historic and contemporaneous
cognitive ability predicts objectively measured sedentary behavior in older age.
Participants were drawn from 3 cohorts (Lothian Birth Cohort, 1936 [LBC1936] [n =
271]; and 2 West of Scotland Twenty-07 cohorts: 1950s [n = 310] and 1930s [n =
119]). Regression models were used to assess the associations between a range of
cognitive tests measured at different points in the life course, with sedentary
behavior in older age recorded over 7 days. Prior simple reaction time (RT) was
significantly related to later sedentary time in the youngest, Twenty-07 1950s
cohort (p = .04). The relationship was nonsignificant after controlling for long
standing illness or employment status, or after correcting for multiple
comparisons in the initial model. None of the cognitive measures were related to
sedentary behavior in either of the 2 older cohorts (LBC1936, Twenty-07 1930s).
There was no association between any of the cognitive tests and the number of sit
to-stand transitions in any of the 3 cohorts. The meta-analytic estimates for the
measures of simple and choice RT that were identical in all cohorts (n = 700)
were also not significant. In conclusion, we found no evidence that objectively
measured sedentary time in older adults is associated with measures of cognitive
ability at different time points in life, including cognitive change from
childhood to older age. (PsycINFO Database Record
PMID- 29658749
TI - Age-related within-task adaptations in sequential decision making: Considering
cognitive and motivational factors.
AB - Many decisions require sequentially searching through the available alternatives.
In these tasks, older adults have been shown to perform worse than younger
adults, but the reasons why age differences occur are still unclear. In the
present research, we tackle this question by investigating which strategies older
and younger adults adopt and how these strategies relate to individual
differences in cognitive (mental speed, working memory capacity) and motivational
(need for cognitive closure) variables. To achieve this goal, we conducted two
studies in which older and younger adults performed a computerized sequential
choice task. Study 1 indicated that older adults changed their decision-making
strategies throughout the task by reducing the number of options they considered.
This change in strategy did not decrease performance because searching less
allowed older adults to choose more promising options. In the second study we
manipulated whether a long or short search was optimal. In the beginning older
adults performed worse than younger adults independent of whether short or long
search was adaptive. However, in the second half of the task we found age
differences in performance when long search was required, but not when short
search was required. In both studies whether or not older adults changed their
strategy depended on their need for cognitive closure, suggesting that avoiding
cognitive closure facilitates adaptive flexibility. Together, the two studies
provide evidence for compensatory strategy adaptations among older adults
completing sequential choice tasks. (PsycINFO Database Record
PMID- 29658750
TI - Emotional arousal may increase susceptibility to fraud in older and younger
adults.
AB - Financial fraud is a societal problem for adults of all ages, but financial
losses are especially damaging to older adults who typically live on fixed
incomes and have less time to recoup losses. Persuasion tactics used by fraud
perpetrators often elicit high levels of emotional arousal; thus, studying
emotional arousal may help to identify the conditions under which individuals are
particularly susceptible to fraud. We examined whether inducing high-arousal
positive (HAP) and high-arousal negative (HAN) emotions increased susceptibility
to fraud. Older (ages 65 to 85) and younger (ages 30 to 40) adults were randomly
assigned to 1 of 3 emotional arousal conditions in a laboratory task: HAP, HAN,
or low arousal (LA). Fraud susceptibility was assessed through participants'
responses to misleading advertisements. Both HAP and HAN emotions were
successfully induced in older and younger participants. For participants who
exhibited the intended induced emotional arousal, both the HAP and HAN
conditions, relative to the LA condition, significantly increased participants'
reported intention to purchase falsely advertised items. These effects did not
differ significantly between older and younger adults and were mitigated in
participants who did not exhibit the intended emotional arousal. However,
irrespective of the emotional arousal condition to which older adults were
assigned (HAP, HAN, or LA), they reported greater purchase intention than did
younger adults. These results inform the literature on fraud susceptibility and
aging. Educating consumers to postpone financial decisions until they are in calm
emotional states may protect against this common persuasion tactic. (PsycINFO
Database Record
PMID- 29658752
TI - Age-related changes in older adults' anger and sadness: The role of perceived
control.
AB - This longitudinal study examined the experience of sadness and anger in a sample
of older adults. Based on the discrete emotion theory of affective aging, it was
expected that sadness, but not anger, would increase in older adulthood over
time. In addition, we hypothesized that inter- and intraindividual differences in
low perceptions of control would be more strongly associated with sadness than
anger. The 10-year study followed 187 community-dwelling older adults (Mage =
72.25, SDage = 5.81). At each of six waves, participants' levels of sadness,
anger, perceived control, and sociodemographic characteristics were assessed.
Hierarchical linear modeling demonstrated that sadness, but not anger, linearly
increased over time. These increases in sadness were evident only among older
adults who reported low (but not high) levels of perceived control across the
study period, and who experienced longitudinal declines (but not increases) in
perceived control. In addition, nonlinear within-person reductions in perceived
control predicted participants' sadness in the entire sample, but were associated
with anger only in early, and not in advanced, old age. These findings support
the discrete emotion theory of affective aging by documenting the distinctiveness
of older adults' anger and sadness. These two negative emotions differ in terms
of both age-related changes and predictive person-related perceptions of control.
(PsycINFO Database Record
PMID- 29658751
TI - Age differences in emotion regulation effort: Pupil response distinguishes
reappraisal and distraction for older but not younger adults.
AB - In previous research, older adults show greater emotional benefits from
distracting themselves than from reappraising an event when strategically
regulating emotion. Older adults also demonstrate an attentional preference to
avoid, while younger adults show a bias toward approaching negative stimuli. This
suggests a possible age-related differentiation of cognitive effort across
approach and avoidance of negative stimuli during emotion regulation. In this
study, we tracked cognitive effort via pupil dilation during the use of
distraction (avoidance) and reappraisal (approach) strategies across age. Forty
eight younger adults (M = 20.94, SD = 1.78; 19 men) and 48 older adults (M =
68.82, SD = 5.40; 15 men) viewed a slideshow of negative images and were
instructed to distract, reappraise, or passively view each image. Older adults
showed greater pupil dilation during reappraisal than distraction, but younger
adults displayed no difference between conditions-an effect that survived when
controlling for gaze patterns. Gaze findings revealed that older adults looked
less within images during active emotion regulation compared with passive viewing
(no difference between distraction and reappraisal), and younger adults showed no
difference across strategies. Younger adults gazed less within the most emotional
image areas during distraction, but this did not significantly contribute to
pupil response. Our findings support that distraction is less cognitively
effortful than reinterpreting negative information in later life. These findings
could be explained by older adults' motivational bias to disengage from negative
information because of the age-related positivity effect, or compensation for
decreased working memory resources across the life span. (PsycINFO Database
Record
PMID- 29658753
TI - Aging and attention to self-selected emotional content: A novel application of
mobile eye tracking to the study of emotion regulation in adulthood and old age.
AB - Previous studies of attentional deployment to a single stream of experimenter
selected affective stimuli have found that compared to younger adults, older
adults attend relatively more to positive and less to negative stimuli, and this
can relate to better mood for them. Past studies of situation selection have
yielded a contrasting picture of age similarity. In everyday life, attentional
deployment is fundamentally and dynamically related to situation selection, but
prior studies have investigated them only in isolation. We present new research
using mobile eye tracking to test for age differences in selections of emotional
stimuli and attention to self-selected choices after a negative mood induction.
Younger, middle-aged, and older individuals (N = 150) were either instructed to
specifically try to regulate their mood state or not before having their
selections, attention, and mood recorded. We used a database-oriented method to
analyze fixations to positive, negative, and neutral videos once selected.
Findings suggested more similarities than differences among age groups in what
material was selected, how participants attended to selected material, and how
their choices and attention predicted mood. Situation selection also had a more
consistent relationship with mood than attentional deployment. These results
suggest that age differences in attention are less apparent when participants
have flexibility to avoid and choose stimuli than when viewing a predetermined
fixed set of stimuli. Thus, emotion regulation strategies of selection and
attention may show more age similarities when they interact than when studied in
isolation. (PsycINFO Database Record
PMID- 29658754
TI - Family rituals in pediatric epilepsy: Links to parental competence and
adaptation.
AB - This cross-sectional study examined the associations between family ritual
meaning and 3 indicators of parental adaptation (anxious and depressive symptoms
and quality of life) via 2 dimensions of parental competence-satisfaction and
efficacy-in parents of children with epilepsy. Two hundred Portuguese parents of
children diagnosed with epilepsy for at least 6 months completed self-report
measures assessing the main study variables. Our results showed that when parents
reported stronger family ritual meaning, they also reported higher levels of
parental satisfaction and efficacy, which were in turn were associated with lower
psychological distress (anxious and depressive symptoms) and better quality of
life of parents. This pattern of results was significant regardless of the
severity of children's epilepsy, age group, and family socioeconomic level. In
the context of pediatric epilepsy, empirical evidence was found for the role of
family ritual meaning in being directly and indirectly associated with parents'
adaptation outcomes by higher levels of parental satisfaction and efficacy.
Focusing intervention targets on what families naturally do and recognize as
their own ritual meaning may be a favorable route to address those at risk of
psychological distress and lower quality of life. (PsycINFO Database Record
PMID- 29658755
TI - Interparental conflict and long-term adolescent substance use trajectories: The
role of adolescent threat appraisals.
AB - Although interparental conflict (IPC) has been linked directly and indirectly
(via adolescents' appraisals) with a wide range of adolescent outcomes, little is
known about the implications of IPC and related adolescent threat appraisals for
substance use. Drawing on the cognitive-contextual framework, we test competing
hypotheses about how IPC may impact adolescent substance use outcomes,
specifically testing whether (a) threat appraisals are directly related to
escalation in alcohol and tobacco use over adolescence, or (b) threat appraisals
are indirectly associated with substance use through their impact on adolescent
internalizing problems. Family data from 768 2-caregiver families were analyzed
for this study. Adolescents (53% female) were followed on 7 occasions starting in
the fall of 6th grade (mean age = 11.3 years) through the spring of 11th grade.
IPC and family demographic data were collected from parents. Youth provided data
on their appraisals of conflict, internalizing problems, and substance use. Using
longitudinal growth curve models, findings supported threat appraisals as a
direct risk factor for escalating cigarette use, but not escalating alcohol use,
during adolescence. In the alcohol trajectory model, IPC was a direct predictor
of increases in alcohol use over time. These findings indicate that high levels
of threat appraisals are a specific and direct risk for greater increases in
cigarette use over the course of adolescence and that IPC confers risk for
increasing rates of alcohol use over adolescence. (PsycINFO Database Record
PMID- 29658756
TI - Stress spillover, African Americans' couple and health outcomes, and the stress
buffering effect of family-centered prevention.
AB - This study investigated (a) the stress spillover pathways linking contextual
stressors, changes in couple relationship functioning and depressive symptoms,
and changes in individuals' physical health, and (b) the stress-buffering effect
of participation in an efficacious, family centered prevention program designed
to protect couples from the deleterious effects of stressors. The sample
consisted of 346 rural African American couples (63% married) who participated in
a randomized controlled trial of the Protecting Strong African American Families
(ProSAAF) program. Participants were assessed at three time points across 17
months. Results examining stress spillover within the control group indicated
that elevated current, but not prior, financial hardship was associated with
decreased effective communication, relationship satisfaction, and relationship
confidence as well as increased depressive symptoms; current levels of racial
discrimination also predicted greater depressive symptoms. Relationship
confidence and relationship satisfaction, but not communication or depressive
symptoms, in turn predicted declines in self-reported physical health. Results
examining stress-buffering effects suggested that participation in ProSAAF
protected individuals' relationship confidence from declines associated with
elevated financial hardship. In addition, the indirect effect linking financial
hardship to declines in physical health through relationship confidence that
emerged among participants in the control group was no longer evident for ProSAAF
couples. Results highlight the effect of contextual stressors on African
Americans' couple and individual well-being and the potential for the ProSAAF
program to provide a constructed resilience resource, protecting couple's
confidence in their relationship from the negative effects of financial hardship
and, consequently, promoting physical health. (PsycINFO Database Record
PMID- 29658757
TI - Adult adiposity linked to relationship hostility for low-cortisol reactors.
AB - Past research on the relation between hostility in intimate relationships and
adiposity has yielded mixed findings. The present study investigated whether the
association between relationship hostility and adiposity is moderated by people's
biological reactions to couple conflict. Cohabiting adult couples (N = 117
couples) engaged in two conflict interactions, before and after which salivary
cortisol levels were measured. Results revealed an association between
relationship hostility and adiposity, but this association was concentrated among
people with relatively low levels of cortisol reactivity to couple conflict.
Results are interpreted in light of research demonstrating that cortisol
reactivity can become blunted over time in response to repeated stressors. These
results provide precision to etiological models of obesity by identifying
cortisol reactivity as a factor that moderates the association between
relationship hostility and adiposity. (PsycINFO Database Record
PMID- 29658758
TI - Coparenting in the family of origin and new parents' couple relationship
functioning.
AB - To better understand the long-term implications of coparenting quality for adult
child outcomes, we examined the associations between coparenting quality in the
family of origin (Generation 1; G1), and attachment avoidance and anxiety and
perceived relationship functioning of new parents (Generation 2; G2) using a
dyadic approach. Dual-earner families expecting their first child (n = 182) were
followed across the transition to parenthood and assessed at the third trimester
of pregnancy (3T) and 9 months after childbirth (9M). At 3T, parents reported on
the coparenting quality in their families of origin, and attachment avoidance and
anxiety. At 9M, the participants reported their perceptions of couple
relationship functioning-dyadic adjustment and negative interaction. We found
that at 9M, G1's coparenting quality predicted not only G2's own perceptions but
also G2's partners' perceptions of relationship functioning. Further, mediational
analyses showed that parents' G1 coparenting quality was associated with higher
G2 self- and partner-perceived dyadic adjustment and lower G2 self-perceived
negative interaction through G2 parents' lower attachment anxiety and avoidance.
G1 coparenting quality was negatively associated with G2 partner-perceived
negative interaction through G2 parents' lower attachment anxiety. Our findings
suggest that coparenting relationships have long-term implications for human
development even into adulthood. (PsycINFO Database Record
PMID- 29658759
TI - Parent contributions to friendship stability during the primary school years.
AB - The present study examines whether characteristics of parents predict the
stability of a child's best friendships across the primary school years.
Participants included 1,523 Finnish children (766 boys) who reported involvement
in a total of 1,326 reciprocated friendship dyads in the 1st grade (M = 7.16
years old). At the onset of the study, mothers and fathers completed
questionnaires describing their own parenting (i.e., behavioral control,
psychological control, and affection toward the child) and depressive symptoms.
Child scores for peer status (i.e., acceptance and rejection) were derived from
1st grade peer nomination data. Discrete-time survival analyses predicted the
occurrence and timing of friendship dissolution, across 1st to 6th grades, for
friendships that began in 1st grade. Parent depression and parent psychological
control uniquely predicted subsequent child friendship dissolution, above and
beyond the contribution of peer status variables. (PsycINFO Database Record
PMID- 29658762
TI - Relationships with former stepgrandparents after remarriage dissolution.
AB - Increases in stepfamily formation and longevity suggest that more children have
stepgrandparent relationships than ever before. Because remarriages end in
divorce more often than first marriages, many children experience the involuntary
dissolution of stepgrandparent ties. Little is known about stepgrandparent
relationships in general, and even less is known about how these relationships
are affected by remarriage dissolution. Guided by symbolic interaction theory,
the purpose of this study was to understand how stepgrandchildren make sense of
their relationships with former stepgrandparents. We explored their perceptions
of why relationships were or were not maintained and the impact of continued or
dissolved ties on their personal well-being. Former stepgrandchildren (N = 29)
aged 18 to 37 were interviewed about their former stepgrandparents. The quality
and continuity of these relationships were contingent on stepgrandchildren's
relationships with former stepparents, biological parents' relationships with
former stepgrandparents, and efforts by former stepgrandparents to remain
involved. Losing ties with former stepgrandparents was upsetting, especially when
relationships with biological grandparents were not close. Individuals who
maintained relationships with former stepgrandparents benefitted from continued
access to valuable resources (e.g., positive role models, additional sources of
love and support). Our findings have important implications for clinicians' and
researchers' understanding of the effects of remarriage dissolution on children
as well as the intergenerational efforts that may be critical for preserving
meaningful stepfamily ties. (PsycINFO Database Record
PMID- 29658760
TI - Child internalizing problems and mother-child discrepancies in maternal
rejection: Evidence for bidirectional associations.
AB - We investigated the bidirectional associations between mother-child discrepancies
in their perceptions of maternal rejection and children's internalizing problems
over 10 years from pre/early adolescence to early adulthood. Mothers' reports of
rejection and involvement in the parent-child relationship, the children's
perception of the mother's rejection, and children's self-report of internalizing
problems were collected from a sample of 360 low-income ethnically diverse urban
mother-child dyads at three time points (T1, T2, and T3) with 5-year intervals.
Children were on average 12.6 years old at T1 (54% girls). Using a series of
nested path analyses, we found that mother-child discrepancies while reporting
maternal rejection at T1 were predictive of lower ratings of maternal involvement
at T2 (beta = -.14), which predicted higher levels of internalizing problems at
T3 (beta = -.16). The presence of mother's affective disorder was related to T1
mother-child discrepancies (beta = .14). Regarding bidirectional associations,
children's internalizing problems predicted maternal involvement across all time
points, whereas T2 maternal involvement predicted T3 child internalizing
problems. Discrepancies showed small associations with child internalizing
problems both concurrently and over time. The findings highlight the importance
of early discrepancies in the perception of maternal rejection for child
internalizing symptoms. (PsycINFO Database Record
PMID- 29658763
TI - The Birth Experiences Questionnaire: A brief measure assessing psychosocial
dimensions of childbirth.
AB - Childbirth is an important life event that has been understudied by
psychologists. Parents may find birth to be stressful, painful, and frightening,
or feel supported and calm. Birth experiences can be shaped both by preexisting
psychological vulnerabilities and by medical events that occur during childbirth.
The birth experience may influence both parent and child well-being, helping to
shape the health of the new family. This paper introduces the Birth Experiences
Questionnaire (BEQ), a brief 10-item measure designed to assess stress, fear, and
partner support during birth. We administered the BEQ to 51 couples (102 parents)
within 1-2 days of their child's birth. Categorical principal component analysis
was used to test reliability and factor structure. The BEQ showed good
reliability (Cronbach's alpha = .81 for mothers, 0.80 for fathers) and internal
consistency, suggesting it is acceptable for use as a unifactorial measure. The
most variability was explained by a 3-factor solution, with the 3 factors
reflecting Stress, Support, and Fear for mothers and Stress, Support, and
Violation of Expectations for fathers. Prenatally assessed stress, depression,
pregnancy-specific anxiety, and social support were all predictive of parents'
BEQ scores. BEQ scores were also associated with infant Apgar scores and with
couples' negative emotion word usage during an open-ended birth narrative. The
BEQ differentiated between parents who had more medically complex births (e.g.,
labor induction, complications, and C-section delivery) versus less complex
births. In conclusion, the BEQ can be administered shortly after birth to both
parents, and may capture important dimensions of the perinatal experience.
(PsycINFO Database Record
PMID- 29658761
TI - Longitudinal associations of maternal depression and adolescents' depression and
behaviors: Moderation by maltreatment and sex.
AB - This study explored the longitudinal relationships among maternal depressive
symptoms, children's depressive symptoms, aggression, and rule breaking and
tested the moderating effects of maltreatment and child sex. A sample of 175
biological mother-child dyads (86 maltreated and 89 comparison) were seen at
three time points, beginning at an average child age of 10.87 years. Results from
cross-lagged models showed maternal depressive symptoms were related to higher
levels of children's depressive symptoms but not children's aggression or rule
breaking. Rule breaking predicted maternal depressive symptoms only in the
comparison group. Child sex moderated some relationships. Boys' depression
predicted maternal depressive symptoms, whereas maternal depressive symptoms
predicted aggression among girls. There was no evidence in this sample that child
maltreatment increased the effects of maternal depressive symptoms on child
outcomes. These results suggest that attention in clinical practice to the
importance of addressing maternal depression as well as addressing children's
functioning should continue into adolescence. (PsycINFO Database Record
PMID- 29658764
TI - A randomized controlled trial comparing family mediation with and without
motivational interviewing.
AB - Family mediation is a widely used approach to assist separated parents to resolve
conflicts about parenting arrangements for their children, yet frequently parents
undertaking mediation do not reach a mutually agreeable resolution. In Australia,
where the current study was conducted, separated parents must attempt family
mediation before they can seek to appear in the family court for custody issues.
We compared mediation enhanced with motivational interviewing (MI) with mediation
as usual (MAU) in a randomized controlled trial. One hundred and seventy-seven
separated-parent dyads were recruited from a community-based telephone mediation
service, and randomized to receive MI or MAU. Outcome of mediation was classified
as no agreement, partial agreement on some of the areas in dispute, and full
agreement. We assessed parental psychological distress, emotional attachment to
former partner by the coparent, child adjustment, and coparental conflict before
and after the mediation, and at 3-month follow-up. MI had double the rate of full
agreements of MAU (33% vs. 16%), and a lower rate of no agreement than MAU
parents (33% vs. 42%). Psychological distress, coparental conflict and attachment
to the former partner declined from pre- to postmediation in both conditions, but
child adjustment was unchanged. There was no difference between MAU and MI on any
of these outcomes. MI enhanced achievement of agreements but did not enhance
other outcomes. Conducting the study in a busy community mediation service
created some challenges that compromised implementation of the study which might
influence the reliability of findings. However, the possibility that MI enhances
agreement in mediation warrants further investigation. (PsycINFO Database Record
PMID- 29658765
TI - Premarital education and later relationship help-seeking.
AB - Despite evidence that empirically supported couple therapies improve marital
relationships, relatively few couples seek help when they need it. Low-income
couples are particularly unlikely to engage in relationship interventions despite
being at greater risk for distress and dissolution than their higher-income
counterparts. The present study aimed to clarify how premarital education
influences couples' progression through different stages of later help-seeking,
as identified in prior research. Using 5 waves of self-report data from a sample
of 431 ethnically diverse newlywed couples living in low-income neighborhoods,
analyses revealed that wives who received premarital education later considered
seeking therapy at a higher level of relationship satisfaction and lower level of
problem severity than those who did not receive premarital education, though this
was not true for husbands. Wives who received premarital education were also more
likely as newlyweds to say that they would seek therapy if their relationship was
in trouble, though husbands were not. Spouses who considered seeking therapy were
more likely to follow through with participation if they had received premarital
education, whereas if they had not received premarital education they were more
likely to consider seeking therapy without following through. Similarly, among
couples who received therapy, those who also received premarital education sought
therapy earlier than those who did not receive premarital education, though not
at a higher level of relationship satisfaction. Taken together, these results
suggest that participation in premarital education is linked with later help
seeking by empowering couples to take steps throughout their marriage to maintain
their relationship. (PsycINFO Database Record
PMID- 29658766
TI - (Why) do victims become perpetrators? Intergenerational transmission of parental
violence in a representative German sample.
AB - Child maltreatment can severely impair children's emotional and physical well
being as well as their individual development across the life span. In 2011, the
Criminological Research Institute of Lower Saxony (Germany), conducted a
nationally representative victim survey on diverse forms of victimizations (N =
11,428). Among other things, experienced and exerted parental violence as well as
participants' knowledge regarding the abolition of the parental right of corporal
punishment were assessed. Apart from providing current estimates of the
prevalence of experienced and exerted parental violence in Germany, we identified
specific risk markers for the intergenerational transmission of parental
violence. In summary, 52.6% of the participants reported experiences of at least
one incident of at least minor parental violence until the age of 16 years, and
22.9% had exerted at least once at least one act of minor violence against their
own children. Nonparametric conditional inference tree analyses revealed
experienced parental violence as the most important risk marker for exerting
parental violence. Furthermore, the number of children currently cared for,
parental age, and origin as well as knowledge about the current legal situation
regarding corporal punishment were significantly associated with exerting
parental violence. For individuals without a history of parental violence, lack
of experienced parental warmth and an age between 33 and 40 years increased the
risk of exerting parental violence. The results are discussed in terms of their
implications for designing effective prevention and intervention strategies.
(PsycINFO Database Record
PMID- 29658767
TI - Quality indicators in colonoscopy. The colonoscopy procedure.
AB - The aim of the project this paper is part of was to propose quality and safety
procedures and indicators to facilitate quality improvement in digestive
endoscopy units. In this second issue, procedures and indicators are suggested
regarding colonoscopy. First, a diagram charting the previous and subsequent
steps of colonoscopy was designed. A group of experts in health care quality
and/or endoscopy, under the auspices of the Sociedad Espanola de Patologia
Digestiva (SEPD), performed a qualitative review of the literature regarding
colonoscopy-related quality indicators. Subsequently, using a paired-analysis
method, the aforementioned literature was selected and analyzed. A total of 13
specific indicators were found aside of the common markers elsewhere described,
ten of which are process-related (one pre-procedure, seven procedure, and two
post-procedure markers) while the remaining three are outcome-related. Quality of
evidence was assessed for each one of them using the GRADE (Grading of
Recommendations Assessment, Development and Evaluation) classification.
PMID- 29658768
TI - Idiopathic portal hypertension with regard to thiopurine treatment.
AB - Idiopathic portal hypertension (IPH) is an infrequent adverse reaction to the use
of thiopurines that tends to be overlooked. Herein, we present a patient with
ileocolic Crohn's disease treated with azathioprine who presented ascites,
esophageal varices and splenomegaly without any signs of liver cirrhosis. A
portal hemodynamics study revealed a normal portosystemic gradient compatible
with presinusoidal portal hypertension. Finally, IPH was diagnosed after a liver
biopsy. IPH secondary to thiopurines is due to a 6-thioguanine nucleotide (6-TGN)
dependent reaction and occurs predominantly between three months and three years
after the start of treatment. The onset is usually insidious and thrombocytopenia
is the first manifestation. The definitive diagnosis is obtained by liver biopsy.
PMID- 29658770
TI - The new mandate and work of ICRP Committee 4.
AB - Committee 4 of the International Commission on Radiological Protection (ICRP) is
charged with the development of principles and recommendations on radiological
protection of people and the environment in all exposure situations. For the term
beginning in July 2017, the Committee has a total of 18 members from 12
countries. The programme of work includes a wide range of activities in five
major thematic areas. The first is the consolidation and preparation of reports
elaborating application of the system of protection in existing exposure
situations. Second is the continuation of work on emergency exposure situations,
and ICRP updates to recommendations in light of the accident at Fukushima Daiichi
nuclear power plant. Third is examination of fundamentals of protection
recommendations, including the ethical principles underlying the recommendations
and application of those principles in practical decision making. Fourth is the
new area of integration of protection of the environment into the system of
protection. Finally, Committee 4 continues work to prepare specific topical
reports on subjects in which additional information is useful to understand and
apply the Commission's recommendations in particular circumstances.
PMID- 29658769
TI - Multimodality imaging assessment of endoleaks post-endovascular aortic repair.
AB - Endoleaks are a common complication of endovascular aortic repair (EVAR). As a
result, patients require lifelong imaging surveillance following EVAR. In current
clinical practice, evaluation for endoleaks is predominantly performed with CT
angiography (CTA). Due to the significant cumulative radiation burden associated
with repetitive CTA imaging, as well as the repeated administration of
nephrotoxic contrast agent, contrast-enhanced ultrasound (CEUS) and magnetic
resonance angiography (MRA) have evolved as potential modalities for lifelong
surveillance post-EVAR. In this paper, multimodality imaging, including CTA, CEUS
and MRA, for the surveillance of endoleaks is discussed. Further, new CTA
techniques for radiation reduction are elaborated. Additionally, imagery for
three cases of aortic endoleak detection using CTA and five cases using MRA are
presented. Imaging for different types of endoleaks with CTA, MRA and CEUS are
presented. For lifelong endoleak surveillance post-EVAR, CTA is still regarded as
the imaging modality of choice. However, advancements in CEUS and MRA technique
enable partial replacement of CTA in certain patients.
PMID- 29658771
TI - Diagnostic performances of superb microvascular imaging, shear wave elastography
and shape index in pediatric lymph nodes categorization: a comparative study.
AB - OBJECTIVE: To determine the diagnostic utility of a vascularity index via superb
microvascular imaging in lymph nodes of children with malignant lymphoma and
acute lymphadenitis compared to normal lymph nodes. METHODS: We performed a
retrospective study for multiparametric lymph node (LN) evaluation. Malignant
lymphoma diagnosed via histopathological examination and lymph nodes receiving an
acute lymphadenitis diagnosis based on clinical and laboratory findings
constituted the study subgroups. We calculated a shape index [SI (percent of
shortest to longest diameter)] using grayscale ultrasonography and elasticity and
velocity values via shear wave elastography (SWE) as well as a vascularity index
(VI) using superb microvascular imaging (SMI) for comparison with normal lymph
nodes. RESULTS: 45 lymph nodes diagnosed with malignant lymphoma, 72 lymph nodes
diagnosed with acute lymphadenitis and 146 normal lymph nodes were evaluated. For
differentiating lymphoma from normal lymph nodes, vascularity index cut-off
values higher than 15% represented a diagnostic accuracy of 95%; cut-off
elasticity values higher than 17 kPa exhibited a diagnostic accuracy of 99%.
Optimal VI, elasticity, velocity and SI cut-off values in differentiating
lymphoma from lymphadenitis were 15%, 17 kPa, 2.45 m sn-1 (p < 0.001) and 65% (p
< 0.002) with calculated diagnostic accuracies of 83, 87, 88 and 68%,
respectively. CONCLUSION: Vascularity index values obtained via superb
microvascular imaging and SWE would be reasonably useful in differentiating
malignant lymphoma and acute lymphadenitis from normal LNs. SWE would be more
efficient in distinguishing malignant lymph nodes from acute lymphadenitis
compared with superb microvascular imaging. Advances in knowledge: Vascularity
index by superb microvascular imaging would be a novel Doppler parameter in
differentiating both lymphoma from lymphadenitis and also lymphadenitis from
normal lymph nodes.
PMID- 29658773
TI - How Tapping into "Energy" Can Trigger a Paradigm Shift in Biomedicine.
PMID- 29658772
TI - The role of ketogenic diets in the therapeutic management of adult and paediatric
gliomas: a systematic review.
AB - AIM: We performed a systematic review of the evidence for effectiveness and
acceptability of different ketogenic diets (KDs) in the therapeutic management of
gliomas. METHODS: The search strategy included searches of seven electronic
databases. Data extraction and quality assessment were undertaken independently
by two authors. RESULTS: No randomized clinical trials were identified. Six
studies (n = 39) met the eligibility criteria for this review - all were case
series or reports and therefore at high risk of bias. All studies reported
overall or progression-free survival; however the effectiveness of KD
interventions could not be established. Dietary acceptability was not reported.
CONCLUSION: The effectiveness and acceptability of KDs in the management of
gliomas is unknown and high quality randomized controlled trials are needed.
PMID- 29658774
TI - A Simple Linear Space Algorithm for Computing Nonoverlapping Inversion and
Transposition Distance in Quadratic Average Time.
AB - In the sequence alignment problem, it is important to compare DNA sequences to
retrieve relevant information and align these sequences. An inversion and a
translocation are important operations in comparing DNA sequences in biosequence
analysis. The alignment problem with nonoverlapping inversions and translocations
is to find an alignment with nonoverlapping inversions and translocations for the
given two strings X and Y. This problem has interesting application for finding a
common sequence from two mutated sequences. A linear space and quadratic average
time algorithm to compute the mutation distance between two strings of the same
length under nonoverlapping inversions and transpositions is presented in this
article. The recursive formula for this purpose is novel to the best of our
knowledge. The space costs of the algorithms to solve the same problem are
typically quadratic, and thus, our original algorithm is the first linear space
algorithm to solve the mutation distance problem.
PMID- 29658775
TI - Altering allergenicity of cow's milk by food processing for applications in
infant formula.
AB - Cow's milk-based infant formulas have a long tradition in infant nutrition,
although some infants are unable to use them due to presence of several known
allergens. Various processing methods have been identified capable of reducing
cow's milk protein allergenicity including thermal and non-thermal methods and
their combinations. Heat treatment and enzymatic hydrolysis have been in
production of hypoallergenic infant formulas. However, modulation of allergenic
epitopes depends on the extent of heat treatment applied, which consequently may
also reduce a nutritional value of these proteins. In addition, enzymatic
hydrolysis may not target allergenic epitopes thus allergenicity may persist;
however released peptides may have detrimental impact on taste and functional
properties of final products. Modulation of allergenicity of milk proteins
appears to require a concerted effort to minimize detrimental effects as clinical
studies conducted on commercial hypoallergenic formulas demonstrated persistence
of allergic symptoms. This article covers traditional and novel processing
methods and their impact on reduction of cow's milk allergenicity in milk-based
infant formulas.
PMID- 29658776
TI - Toward Recovering Allele-specific Cancer Genome Graphs.
AB - Integrated analysis of structural variants (SVs) and copy number alterations in
aneuploid cancer genomes is key to understanding tumor genome complexity. A
recently developed algorithm, Weaver, can estimate, for the first time, allele
specific copy number of SVs and their interconnectivity in aneuploid cancer
genomes. However, one major limitation is that not all SVs identified by Weaver
are phased. In this article, we develop a general convex programming framework
that predicts the interconnectivity of unphased SVs with possibly noisy allele
specific copy number estimations as input. We demonstrated through applications
to both simulated data and HeLa whole-genome sequencing data that our method is
robust to the noise in the input copy numbers and can predict SV phasings with
high specificity. We found that our method can make consistent predictions with
Weaver even if a large proportion of the input variants are unphased. We also
applied our method to The Cancer Genome Atlas (TCGA) ovarian cancer whole-genome
sequencing samples to phase SVs left unphased by Weaver. Our work provides an
important new algorithmic framework for recovering more complete allele-specific
cancer genome graphs.
PMID- 29658777
TI - Simple Comparative Analyses of Differentially Expressed Gene Lists May
Overestimate Gene Overlap.
AB - Comparing the overlap between sets of differentially expressed genes (DEGs)
within or between transcriptome studies is regularly used to infer similarities
between biological processes. Significant overlap between two sets of DEGs is
usually determined by a simple test. The number of potentially overlapping genes
is compared to the number of genes that actually occur in both lists, treating
every gene as equal. However, gene expression is controlled by transcription
factors that bind to a variable number of transcription factor binding sites,
leading to variation among genes in general variability of their expression.
Neglecting this variability could therefore lead to inflated estimates of
significant overlap between DEG lists. With computer simulations, we demonstrate
that such biases arise from variation in the control of gene expression.
Significant overlap commonly arises between two lists of DEGs that are randomly
generated, assuming that the control of gene expression is variable among genes
but consistent between corresponding experiments. More overlap is observed when
transcription factors are specific to their binding sites and when the number of
genes is considerably higher than the number of different transcription factors.
In contrast, overlap between two DEG lists is always lower than expected when the
genetic architecture of expression is independent between the two experiments.
Thus, the current methods for determining significant overlap between DEGs are
potentially confounding biologically meaningful overlap with overlap that arises
due to variability in control of expression among genes, and more sophisticated
approaches are needed.
PMID- 29658778
TI - ShRangeSim: Simulation of Single Nucleotide Polymorphism Clusters in Next
Generation Sequencing Data.
AB - Genomic variations are in the focus of research to uncover mechanisms of host
pathogen interactions and diseases such as cancer. Nowadays, next-generation
sequencing (NGS) data are analyzed through dedicated pipelines to detect them.
Surrogate NGS data in conjunction with genomic variations help to evaluate
pipelines and validate their outcomes, fostering selection of proper tools for a
given scientific question. I describe how existing approaches for simulating NGS
data in conjunction with genomic variations fail to model local enrichments of
single nucleotide polymorphisms (SNPs), so called SNP clusters. Two distributions
for count data are applied to publicly available collections of genomic
variations. The results suggest modeling of SNP cluster sizes by overdispersion
aware distributions.
PMID- 29658779
TI - Fully Closed-Loop Multiple Model Probabilistic Predictive Controller Artificial
Pancreas Performance in Adolescents and Adults in a Supervised Hotel Setting.
AB - BACKGROUND: Initial Food and Drug Administration-approved artificial pancreas
(AP) systems will be hybrid closed-loop systems that require prandial meal
announcements and will not eliminate the burden of premeal insulin dosing.
Multiple model probabilistic predictive control (MMPPC) is a fully closed-loop
system that uses probabilistic estimation of meals to allow for automated meal
detection. In this study, we describe the safety and performance of the MMPPC
system with announced and unannounced meals in a supervised hotel setting.
RESEARCH DESIGN AND METHODS: The Android phone-based AP system with remote
monitoring was tested for 72 h in six adults and four adolescents across three
clinical sites with daily exercise and meal challenges involving both three
announced (manual bolus by patient) and six unannounced (no bolus by patient)
meals. Safety criteria were predefined. Controller aggressiveness was adapted
daily based on prior hypoglycemic events. RESULTS: Mean 24-h continuous glucose
monitor (CGM) was 157.4 +/- 14.4 mg/dL, with 63.6 +/- 9.2% of readings between 70
and 180 mg/dL, 2.9 +/- 2.3% of readings <70 mg/dL, and 9.0 +/- 3.9% of readings
>250 mg/dL. Moderate hyperglycemia was relatively common with 24.6 +/- 6.2% of
readings between 180 and 250 mg/dL, primarily within 3 h after a meal. Overnight
mean CGM was 139.6 +/- 27.6 mg/dL, with 77.9 +/- 16.4% between 70 and 180 mg/dL,
3.0 +/- 4.5% <70 mg/dL, 17.1 +/- 14.9% between 180 and 250 mg/dL, and 2.0 +/-
4.5%> 250 mg/dL. Postprandial hyperglycemia was more common for unannounced meals
compared with announced meals (4-h postmeal CGM 197.8 +/- 44.1 vs. 140.6 +/- 35.0
mg/dL; P < 0.001). No participants met safety stopping criteria. CONCLUSIONS:
MMPPC was safe in a supervised setting despite meal and exercise challenges.
Further studies are needed in a less supervised environment.
PMID- 29658781
TI - Evaluation of the radiation dose in the thyroid gland using different protective
collars in panoramic imaging.
AB - BACKGROUND: The value for the use of thyroid shield is one of the issues in
radiation protection of patients in dental panoramic imaging. OBJECTIVES: The
objective of this research is to investigate the attenuation characteristics of
some models of thyroid shielding in dental panoramic examinations. METHODS: The
effects of five different types of lead and lead-free (Pb-equivalent) shields on
dose reduction of thyroid gland were investigated using implanted
Thermoluminescence Dosemeters (TLDs) in head-neck parts of a Rando phantom.
RESULTS: The results show that frontal lead and Pb-equivalent shields can reduce
the thyroid dose around 50% and 19%, respectively. CONCLUSIONS: It can be
concluded that the effective shielding area is an important parameter in thyroid
gland dose reduction. Lead frontal collars with large effective shielding areas
(>~300 cm2 but not necessarily very large) are appropriate for an optimized
thyroid gland dose reduction particularly for the critical patients in dental
panoramic imaging. Regardless of the shape and thickness, using the Pb-equivalent
shields is not justifiable in dental panoramic imaging.
PMID- 29658780
TI - Inter- and intra-observer variability of an anatomical landmark-based, manual
segmentation method by MRI for the assessment of skeletal muscle fat content and
area in subjects from the general population.
AB - OBJECTIVES: Changes in skeletal muscle composition, such as fat content and mass,
may exert unique metabolic and musculoskeletal risks; however, the
reproducibility of their assessment is unknown. We determined the variability of
the assessment of skeletal muscle fat content and area by MRI in a population
based sample. METHODS: A random sample from a prospective, community-based cohort
study (KORA-FF4) was included. Skeletal muscle fat content was quantified as
proton-density fat fraction (PDFF) and area as cross-sectional area (CSA) in
multi-echo Dixon sequences (TR 8.90 ms, six echo times, flip angle 4 degrees ) by
a standardized, anatomical landmark-based, manual skeletal muscle segmentation at
level L3 vertebra by two independent observers. Reproducibility was assessed by
intraclass correlation coefficients (ICC), scatter and Bland-Altman plots.
RESULTS: From 50 subjects included (mean age 56.1 +/- 8.8 years, 60.0% males,
mean body mass index 28.3 +/- 5.2) 2'400 measurements were obtained.
Interobserver agreement was excellent for all muscle compartments (PDFF: ICC0.99,
CSA: ICC0.98) with only minor absolute and relative differences (-0.2 +/- 0.5%,
31 +/- 44.7 mm2; -2.6 +/- 6.4% and 2.7 +/- 3.9%, respectively). Intra-observer
reproducibility was similarly excellent (PDFF: ICC1.0, 0.0 +/- 0.4%, 0.4%; CSA:
ICC1.0, 5.5 +/- 25.3 mm2, 0.5%, absolute and relative differences, respectively).
All agreement was independent of age, gender, body mass index, body height and
visceral adipose tissue (ICC0.96-1.0). Furthermore, PDFF reproducibility was
independent of CSA (ICC0.93-0.99). Conclusions: Quantification of skeletal
muscle fat content and area by MRI using an anatomical landmark-based, manual
skeletal muscle segmentation is highly reproducible. Advances in knowledge: An
anatomical landmark-based, manual skeletal muscle segmentation provides high
reproducibility of skeletal muscle fat content and area and may therefore serve
as a robust proxy for myosteatosis and sarcopenia in large cohort studies.
PMID- 29658782
TI - Phylogenetic Copy-Number Factorization of Multiple Tumor Samples.
AB - Cancer is an evolutionary process driven by somatic mutations. This process can
be represented as a phylogenetic tree. Constructing such a phylogenetic tree from
genome sequencing data is a challenging task due to the many types of mutations
in cancer and the fact that nearly all cancer sequencing is of a bulk tumor,
measuring a superposition of somatic mutations present in different cells. We
study the problem of reconstructing tumor phylogenies from copy-number
aberrations (CNAs) measured in bulk-sequencing data. We introduce the Copy-Number
Tree Mixture Deconvolution (CNTMD) problem, which aims to find the phylogenetic
tree with the fewest number of CNAs that explain the copy-number data from
multiple samples of a tumor. We design an algorithm for solving the CNTMD problem
and apply the algorithm to both simulated and real data. On simulated data, we
find that our algorithm outperforms existing approaches that either perform
deconvolution/factorization of mixed tumor samples or build phylogenetic trees
assuming homogeneous tumor samples. On real data, we analyze multiple samples
from a prostate cancer patient, identifying clones within these samples and a
phylogenetic tree that relates these clones and their differing proportions
across samples. This phylogenetic tree provides a higher resolution view of copy
number evolution of this cancer than published analyses.
PMID- 29658784
TI - Fast Metagenomic Binning via Hashing and Bayesian Clustering.
AB - We introduce GATTACA, a framework for fast unsupervised binning of metagenomic
contigs. Similar to recent approaches, GATTACA clusters contigs based on their
coverage profiles across a large cohort of metagenomic samples; however, unlike
previous methods that rely on read mapping, GATTACA quickly estimates these
profiles from kmer counts stored in a compact index. This approach can result in
over an order of magnitude speedup, while matching the accuracy of earlier
methods on synthetic and real data benchmarks. It also provides a way to index
metagenomic samples (e.g., from public repositories such as the Human Microbiome
Project) offline once and reuse them across experiments; furthermore, the small
size of the sample indices allows them to be easily transferred and stored.
Leveraging the MinHash technique, GATTACA also provides an efficient way to
identify publicly available metagenomic data that can be incorporated into the
set of reference metagenomes to further improve binning accuracy. Thus, enabling
easy indexing and reuse of publicly available metagenomic data sets, GATTACA
makes accurate metagenomic analyses accessible to a much wider range of
researchers.
PMID- 29658783
TI - Fibrosarcoma arising in the paranasal sinus: a clinicopathological and
radiological analysis.
AB - OBJECTIVES: To analyze the clinicopathological features and the CT and MRI
features of patients with paranasal sinus fibrosarcoma. METHODS: Seven patients
with surgically and pathologically confirmed paranasal sinus fibrosarcoma were
enrolled. Their CT and MRI data and imaging features were retrospectively
analyzed in detail. RESULTS: The study participants were two males and five
females (median age, 43 years; range, 22-73 years). CT or MRI showed a well
defined (n = 5) or ill-defined (n = 2), irregular (n = 6) or oval (n = 1) mass,
with heterogeneous (n = 7) density. The lesions were isointense (n = 4) or
hypointense (n = 2) on T1 weighted images, and showed heterogeneous (n = 6) mild
hypointensity on T2 weighted images. Expansive (n = 6) and osteolytic (n = 1)
bone destruction were observed. The tumors showed marked heterogeneous delayed
enhancement (n = 6) on contrast-enhanced MRI images. CONCLUSION: Paranasal sinus
fibrosarcomas should be included in the differential diagnosis when a sinonasal
neoplasm appears as a well- or ill-defined unilateral large irregular mass with
characteristic mild hypointensity on T2 weighted MR images and shows expansive or
osteolytic bone destruction and a marked heterogeneous delayed contrast
enhancement pattern.
PMID- 29658785
TI - Smartphone use and schema-based learning in dentomaxillofacial radiology
practice: a case report from one College of Dentistry.
AB - OBJECTIVES: This study investigates the students' satisfaction and awareness of
competency towards smartphone use and schema-based learning in dental radiology
practice. METHODS: Third year students undertaking a dental radiology practicum
at a dental school were divided into two groups: one group received traditional
clinical training, whereas the other group used smartphones in classes and
received new training using schema assignments. At the end of the course,
students' satisfaction with the training and self-awareness of their competency
were surveyed, and their achievement was assessed. RESULTS: Although students'
satisfaction with smartphone-based training was generally high, it was less than
that of students trained by traditional instruction. However, most students that
received smartphone-based training had higher self-scored competency before than
after training. The smartphone group scored higher on true/false or multiple
choice questions, whereas the traditional group scored higher on short-answer
questions. CONCLUSIONS: Smartphone education with schema based assignment proved
to be attractive in dental radiology, but students showed less satisfaction, and
need to meet the requirements of evidence-based practice. Although the full use
of smartphone education with schema is not recommended in dental education, we
think that it could be try to use as a supplementary approach with traditional
didactic method to facilitate student's exploration and self-study to cope with
rapid change in educational environment.
PMID- 29658786
TI - Imaging findings of mammary and systemic silicone deposition secondary to breast
implants.
AB - In patients with silicone breast implants, implant rupture can occur, which can
be intra- or extracapsular. Following implant rupture, silicone can travel
through the lymphatic system into regional and distant lymph nodes. The purpose
of this pictorial essay is to present findings of silicone implant rupture with
intramammary and systemic silicone deposition as seen on dual energy CT,
ultrasound, mammogram, PET/CT and MRI. We include imaging findings of silicone
deposition in the breast in cases of intra- and extracapsular rupture. We also
present silicone deposition in mediastinal, axillary, and internal mammary lymph
nodes, as well as in the liver and spleen. To our knowledge, deposition of
silicone in the liver and spleen has not been previously demonstrated on cross
sectional imaging. While all imaging modalities were able to detect silicone in
the spleen, ultrasound appeared to be more sensitive than dual energy CT or MRI
for detection of silicone deposition in the liver.
PMID- 29658787
TI - Sodium Phenylbutyrate Inhibits Tumor Growth and the Epithelial-Mesenchymal
Transition of Oral Squamous Cell Carcinoma In Vitro and In Vivo.
AB - Sodium phenylbutyrate (SPB) as a salt of 4-phenylbutyric acid (4-PBA) has been
reported to be an ammonia scavenger, histone deacetylase inhibitor, and an
endoplasmic reticulum stress inhibitor in various diseases, including
neurological diseases, inflammatory disorders, and carcinogenesis. Although
phenylbutyrate showed effective antitumor properties in many cancers, its role in
oral squamous cell carcinoma (OSCC) remains further characterized. Thus, the OSCC
cell lines CAL27, HSC3, and SCC4 were treated with a series of doses of SPB for
different times. The IC50 of three cell lines for SPB was determined to be 4.0,
3.7, and 3.0 mM. The CCK-8 assay indicated that the treatment of SPB induced
continuous inhibition of cell vitality of three cell lines. Apoptosis was
assessed by Hoechst assay that showed that SPB could significantly promote cell
apoptosis. Moreover, the apoptosis-related pathway was analyzed, and the results
showed that the expression of antiapoptosis factor BCL-2 was downregulated by SPB
but the cleavage of caspase-3 was increased. Meanwhile, it was found that SPB
also impaired the migration and invasion of OSCC cells in vitro. Mechanistically,
the transforming growth factor-beta (TGFB) related epithelial-mesenchymal
transition (EMT) was inhibited by SPB with decreased mesenchymal marker N
cadherin and increased epithelial marker E-cadherin. Furthermore, the antitumor
effect of SPB in vivo was also demonstrated. The administration of SPB induced
remarkably tumor regression with decreased tumor volume, and the TGFB level and
EMT phenotype in vivo were also inhibited. These data demonstrated that the
treatment of SPB could function as antitumor therapeutics for OSCC.
PMID- 29658788
TI - Literature Search and Review.
PMID- 29658789
TI - Effect of 2-Phenylethanol as Antifungal Agent and Common Antifungals
(Amphotericin B, Fluconazole, and Itraconazole) on Candida Species Isolated from
Chronic and Recurrent Cases of Candidal Vulvovaginitis.
AB - The antifungal effects of 2-phenylethanol are clearly visible through its
intervention in Candida morphogenesis. Chronic and recurrent vulvovaginitis,
however, does not respond to this standard experimental therapy; therefore, the
study presented in this article investigated the effect of common antifungal
drugs (amphotericin B [AMB], fluconazole [FLU], and itraconazole [ITC]), in
combination with 2-phenylethanol, on the Candida species isolated from cases of
chronic and recurrent vulvovaginitis, thereby allowing the recommendation of a
more appropriate treatment option. Forty isolates from patients with chronic and
recurrent vaginal candidiasis were investigated in this experimental study. The
specimens were examined by direct microscopy, culturing, and PCR to identify the
species. The antifungal effects of 2-phenylethanol and conventional drugs, both
alone and in combination, were determined in duplicate. Finally, the findings
were analyzed. In this study, 40 strains of Candida species were identified,
whose agents were Candida albicans (95%) and Candida africana (5%). After 48 h,
the minimum inhibitory concentration (MIC) range of the 2-phenylethanol was 800
3,200 MUg/mL. Also, in the final study on the MIC levels of common antifungal
drugs, AMB (0.42 MUg/mL) had the lowest MIC, FLU (40.51 MUg/mL) had the highest
MIC, and the combination of ITC and 2-phenylethanol had the lowest fractional
inhibitory concentration index (FICI) of any of the combinations (FICI range,
0.26-1.03). Combining FLU and ITC with 2-phenylethanol can effectively increase
their antifungal effect.
PMID- 29658790
TI - A High-Throughput Time-Resolved Fluorescence Energy Transfer Assay to Screen for
Modulators of RGS7/Gbeta5/R7BP Complex.
AB - G protein-coupled receptors (GPCRs) are excellent drug targets exploited by
majority of the Food and Drug Administration-approved medications, but when
modulated, are often accompanied by significant adverse effects. Targeting of
other elements in GPCR pathways for improved safety and efficacy is thus an unmet
need. The strength of GPCR signaling is tightly regulated by regulators of G
protein signaling (RGS) proteins, making them attractive drug targets. We focused
on a prominent RGS complex in the brain consisting of RGS7 and its binding
partners Gbeta5 and R7BP. These complexes play critical roles in regulating
multiple GPCRs and essential physiological processes, yet no small molecule
modulators are currently available to modify its function. In this study, we
report a novel high-throughput approach to screen for small molecule modulators
of the intramolecular transitions in the RGS7/Gbeta5/R7BP complex known to be
involved in its allosteric regulation. We developed a time-resolved fluorescence
energy transfer-based in vitro assay that utilizes full-length recombinant
proteins and shows consistency, excellent assay statistics, and high level of
sensitivity. We demonstrated the potential of this approach by screening two
compound libraries (LOPAC 1280 and MicroSource Spectrum). This study confirms the
feasibility of the chosen strategy for identifying small molecule modulators of
RGS7/Gbeta5/R7BP complex for impacting signaling downstream of the GPCRs.
PMID- 29658791
TI - High-Throughput Gene Expression Profiles to Define Drug Similarity and Predict
Compound Activity.
AB - By adding biological information, beyond the chemical properties and desired
effect of a compound, uncharted compound areas and connections can be explored.
In this study, we add transcriptional information for 31K compounds of Janssen's
primary screening deck, using the HT L1000 platform and assess (a) the
transcriptional connection score for generating compound similarities, (b)
machine learning algorithms for generating target activity predictions, and (c)
the scaffold hopping potential of the resulting hits. We demonstrate that the
transcriptional connection score is best computed from the significant genes only
and should be interpreted within its confidence interval for which we provide the
stats. These guidelines help to reduce noise, increase reproducibility, and
enable the separation of specific and promiscuous compounds. The added value of
machine learning is demonstrated for the NR3C1 and HSP90 targets. Support Vector
Machine models yielded balanced accuracy values >=80% when the expression values
from DDIT4 & SERPINE1 and TMEM97 & SPR were used to predict the NR3C1 and HSP90
activity, respectively. Combining both models resulted in 22 new and confirmed
HSP90-independent NR3C1 inhibitors, providing two scaffolds (i.e., pyrimidine and
pyrazolo-pyrimidine), which could potentially be of interest in the treatment of
depression (i.e., inhibiting the glucocorticoid receptor (i.e., NR3C1), while
leaving its chaperone, HSP90, unaffected). As such, the initial hit rate
increased by a factor 300, as less, but more specific chemistry could be
screened, based on the upfront computed activity predictions.
PMID- 29658792
TI - Scandium and terbium radionuclides for radiotheranostics: current state of
development towards clinical application.
AB - Currently, different radiometals are in use for imaging and therapy in nuclear
medicine: 68Ga and 111In are examples of nuclides for positron emission
tomography (PET) and single photon emission computed tomography (SPECT),
respectively, while 177Lu and 225Ac are used for beta-- and alpha-radionuclide
therapy. The application of diagnostic and therapeutic radionuclides of the same
element (radioisotopes) would utilize chemically-identical radiopharmaceuticals
for imaging and subsequent treatment, thereby enabling the radiotheranostic
concept. There are two elements which are of particular interest in this regard:
Scandium and Terbium. Scandium presents three radioisotopes for theranostic
application. 43Sc (T1/2 = 3.9 h) and 44Sc (T1/2 = 4.0 h) can both be used for
PET, while 47Sc (T1/2 = 3.35 d) is the therapeutic match-also suitable for SPECT.
Currently, 44Sc is most advanced in terms of production, as well as with pre
clinical investigations, and has already been employed in proof-of-concept
studies in patients. Even though the production of 43Sc may be more challenging,
it would be advantageous due to the absence of high-energetic gamma-ray emission.
The development of 47Sc is still in its infancy, however, its therapeutic
potential has been demonstrated preclinically. Terbium is unique in that it
represents four medically-interesting radioisotopes. 155Tb (T1/2 = 5.32 d) and
152Tb (T1/2 = 17.5 h) can be used for SPECT and PET, respectively. Both
radioisotopes were produced and tested preclinically. 152Tb has been the first Tb
isotope that was tested (as 152Tb-DOTATOC) in a patient. Both radionuclides may
be of interest for dosimetry purposes prior to the application of radiolanthanide
therapy. The decay properties of 161Tb (T1/2 = 6.89 d) are similar to 177Lu, but
the coemission of Auger electrons make it attractive for a combined beta-/Auger
electron therapy, which was shown to be effective in preclinical experiments.
149Tb (T1/2 = 4.1 h) has been proposed for targeted alpha-therapy with the
possibility of PET imaging. In terms of production, 161Tb and 155Tb are most
promising to be made available at the large quantities suitable for future
clinical translation. This review article is dedicated to the production routes,
the methods of separating the radioisotopes from the target material, preclinical
investigations and clinical proof-of-concept studies of Sc and Tb radionuclides.
The availability, challenges of production and first (pre)clinical application,
as well as the potential of these novel radionuclides for future application in
nuclear medicine, are discussed.
PMID- 29658793
TI - Growing BI-RADS category 3 lesions on follow-up breast ultrasound: malignancy
rates and worrisome features.
AB - OBJECTIVE: To determine the frequency and malignancy rate among growing Breast
Imaging Reporting and Data System (BI-RADS) category 3 lesions diagnosed on
follow-up breast ultrasound and to evaluate the radiological and clinical
features associated with malignancy. Methods: Of the 38,482 women who underwent
breast ultrasound between January 2010 and December 2011, 11,582 (30.1%) patients
had 12,514 BI-RADS category 3 lesions. Patients whose lesions showed >=20%
enlargement on follow-up ultrasound were selected for this study. Radiological
and clinical features including increase in the maximum diameter and
anteroposterior dimension, morphological changes determined via ultrasound,
palpability, multiplicity, new mass, baseline breast ultrasound indication and
mammographic BI-RADS category were evaluated to determine their association with
malignancy. Multivariate analyses were used to identify independent predictors of
malignancy. Results: The frequency of growing BI-RADS category 3 lesions on
follow-up ultrasound was 5.9% (738 of 12,514). Of 527 lesions examined in 459
patients with a follow-up duration of at least 24 months or with available
pathological results, 26 proved to be malignant (4.9%). Multivariate analyses
further indicated that sonographic morphological changes (OR, 7.662, p < 0.001)
and development of suspicious features on follow-up mammography (OR, 3.812, p =
0.009) were associated with malignancy. Enlargement without associated suspicious
mammography or sonographic morphological abnormalities had only 1.9 % (BI-RADS
category 3) chance of malignancy. Conclusion: The malignancy rate for growing
BI-RADS category 3 lesions is 4.9%. Sonographic morphological changes and
suspicious mammographic features in these tumors are significantly associated
with malignancy. Advances in knowledge: For lesions with an interval growth in
the anteroposterior dimension of <=50% without morphological changes, together
with a benign mammogram, follow-up rather than an immediate biopsy can be
recommended to reduce false-positive biopsy results. The risk of malignancy in
lesions with a size increment but with no morphologic change on sonography is
only 1.9%, compatible with continued BI-RADS category 3 classification.
PMID- 29658794
TI - Views of general practitioners toward physiotherapy management of osteoarthritis
a qualitative study.
AB - BACKGROUND: Osteoarthritis (OA) is a major cause of pain and physical disability,
and general practitioners (GPs) are usually the first point of contact for
patients. Physiotherapy has been shown as effective in the management of lower
limb OA. The aim was to explore the beliefs of GPs on the physiotherapy
management of lower-limb OA in primary care. METHODS: This is a qualitative study
evaluating GP views about physiotherapy in Sheffield, South Yorkshire, UK.
Participating GPs were recruited by systematic sampling, and invitation was given
to GPs in 10 practices in the four localities in Sheffield. Semistructured
interviews were completed and framework analysis was used to analyze the data.
RESULTS: Eight GPs were interviewed and six themes emerged from analysis of the
data: perspective on OA, management strategy, views on patients, views on
physiotherapy, working collaboratively, and suggestions for service improvements.
GPs had a positive impression and knowledge of physiotherapy, but lacked
understanding of the processes involved in treatment and limited awareness of
clinical guidelines regarding the management of OA. Improvements in communication
and collaborative working were critical issues suggested by the participants.
CONCLUSION: This study found that GPs who were interviewed had a limited
understanding on the role of physiotherapists and of clinical guidelines.
Interprofessional communication was not as good as it should have been. A
reconfiguration of the Sheffield musculoskeletal pathway may help achieve more
effective collaborative working and a better outcome for patients.
PMID- 29658796
TI - Lichen sclerosus of the oral mucosa: clinical and histopathological findings.
Review of the literature and a case report.
AB - OBJECTIVES: Lichen sclerosus (LS) is a rare, chronic mucocutaneous disease that
most frequently affects the female genital area. Oral manifestations are seldom
detected; only 36 well-documented and histopathologically verified oral LS cases
have been published. MATERIALS AND METHODS: Here we describe one patient affected
by oral (LS) and review of the literature 1957-2017. RESULTS: Findings in our
review suggest a female to male ratio of 1.64:1. It is most commonly diagnosed at
the age of 10-29 years (46%). Oral LS can appear as symptomless, irregular
shaped, porcelain-white and flat lesions situated asymmetrically. Lesion is
commonly sole, well-demarcated area and its size varies from 2 mm (small macula)
to 7 cm (large plaque). The most common sites in the oral cavity include the
labial mucosa, lips and gingiva. The histopathological criteria include atrophy
and sometimes hyperkeratosis of the epithelium, hydropic degeneration of basal
cells, hyalinization of the lamina propria, lymphocyte infiltration beneath the
zone of hyalinization and scantiness of elastin. Surgical excision is an
effective treatment for small lesions; intralesional triamcinolone or
corticosteroid injections are used for larger lesions. CONCLUSIONS: Oral LS may
be under-recognized due to its asymptomatic nature and rarity.
PMID- 29658797
TI - Physical activity during hospitalization: Activities and preferences of adults
versus older adults.
AB - OBJECTIVE: Inactivity during hospitalization leads to a functional decline and an
increased risk of complications. To date, studies focused on older adults. This
study aims to compare the physical activities performed by older adult and adult
hospitalized patients. METHODS: Patients hospitalized for >3 days at a university
hospital completed a questionnaire regarding their physical activities (% of days
on which an activity was performed divided by the length of stay) and physical
activity needs during hospitalization. Crude and adjusted comparisons of older
adult (>60 years) and adult (<=60 years) patients were performed using parametric
testing and regression analyses. RESULTS: Of 524 patients, 336 (64%) completed
the questionnaire, including 166 (49%) older adult patients. On average, the
patients were physically active on 35% or less of the days during their
hospitalization. Linear regression analysis showed no significant associations
between being an older adult and performing physical activities after adjusting
for gender, length of stay, surgical intervention, and meeting physical activity
recommendations prior to hospitalization. Most patients were well informed
regarding physical activity during hospitalization; however, the older adult
patients reported a need for information regarding physical activities after
hospitalization more frequently (odds ratios, 2.47) after adjusting for
educational level, gender, and physical therapy during hospitalization.
CONCLUSIONS: Both older adult and adult patients are physically inactive during
hospitalization, and older adult patients express a greater need for additional
information regarding physical activity after hospitalization than adult
patients. Therefore, personalized strategies that inform and motivate patients to
resume physical activities during hospitalization are needed regardless of age.
PMID- 29658795
TI - An update and systematic review on drug therapies for the treatment of refractory
chronic cough.
AB - INTRODUCTION: Chronic Cough (CC) is common and often associated with significant
comorbidity and decreased quality of life. In up to 50% of cases, the cough is
refractory despite extensive investigation and treatment trials. It is likely
that the key abnormality in refractory CC is dysfunctional, hypersensitive
sensory nerves, similar to conditions such as laryngeal hypersensitivity and
neuropathic pain. Areas covered: The aim of this systematic review is to assess
drug therapies for refractory CC. The authors review the current management of CC
and provide discussion of the similarities between neuropathic pain and
refractory CC. They review repurposed and new pharmacological treatments. Several
meta-analyses were performed to compare the efficacy of treatments where
possible. Expert opinion: Repurposed pain medications such as gabapentin and
pregabalin reduce the frequency of cough and improve quality of life. Along with
speech pathology, they are important and alternate treatments for refractory CC.
However, more treatments are needed and the P2X3 ion channel receptor antagonists
show the most promise. With a better understanding of neuronal activation and
sensitisation and their signal processing in the brain, improved animal models of
cough, and the use of validated cough measurement tools, more effective
treatments will develop.
PMID- 29658798
TI - Mock ECHO: A Simulation-Based Medical Education Method.
AB - PROBLEM: This study was designed to develop a deeper understanding of the
learning and social processes that take place during the simulation-based medical
education for practicing providers as part of the Project ECHO(r) model, known as
Mock ECHO training. The ECHO model is utilized to expand access to care of common
and complex diseases by supporting the education of primary care providers with
an interprofessional team of specialists via videoconferencing networks.
INTERVENTION: Mock ECHO trainings are conducted through a train the trainer model
targeted at leaders replicating the ECHO model at their organizations. Trainers
conduct simulated teleECHO clinics while participants gain skills to improve
communication and self-efficacy. CONTEXT: Three focus groups, conducted between
May 2015 and January 2016 with a total of 26 participants, were deductively
analyzed to identify common themes related to simulation-based medical education
and interdisciplinary education. Principal themes generated from the analysis
included (a) the role of empathy in community development, (b) the value of
training tools as guides for learning, (c) Mock ECHO design components to
optimize learning, (d) the role of interdisciplinary education to build community
and improve care delivery, (e) improving care integration through collaboration,
and (f) development of soft skills to facilitate learning. OUTCOME: Mock ECHO
trainings offer clinicians the freedom to learn in a noncritical environment
while emphasizing real-time multidirectional feedback and encouraging knowledge
and skill transfer. LESSONS LEARNED: The success of the ECHO model depends on
training interprofessional healthcare providers in behaviors needed to lead a
teleECHO clinic and to collaborate in the educational process. While building a
community of practice, Mock ECHO provides a safe opportunity for a diverse group
of clinician experts to practice learned skills and receive feedback from
coparticipants and facilitators.
PMID- 29658799
TI - The validity and reliability of the ADL-Glittre test for children.
AB - OBJECTIVE: The ADL-Glittre was created to assess more comprehensively the
essential activities of daily living in adults with chronic obstructive pulmonary
disease. The aim of this study was to validate the ADL-Glittre test adapted for
children (TGlittre-P) and verify its reliability. METHODS: This is a cross
sectional study with 87 healthy children aged 6 to 14 years (mean 10.36 +/- 2.32
years). Biometric and spirometry data were collected from all participants. On
the same day, part of the sample (36 children included in the validation process)
performed two 6MWT and two TGlittre-P (30-minute interval between them). The
other part of the sample just performed two TGlittre-P for the reliability
process. Pearson and Spearman correlation tests were used to verify the
correlation between the time spent on the TGlittre-P and the distance walked in
the 6MWT. The intraclass correlation coefficient (ICC) was also used to assess
the reproducibility of the TGlittre-P. RESULTS: The TGlittre-P showed a moderate
negative correlation with the 6MWT (r = -0.490; p = 0.002; 95%CI -0.712 to
0.233). However, the behavior of the physiological variables that were monitored
during the tests was similar and showed to be reproducible (ICC = 0.843; p =
0.000; 95%CI 0.695 to 0.911). CONCLUSION: The TGlittre-P proved to be a valid and
reliable assessment of the functional capacity of healthy children aged 6 to 14
years.
PMID- 29658800
TI - Nail involvement in patients with moderate-to-severe alopecia areata treated with
oral tofacitinib.
AB - BACKGROUND: A few anecdotal case reports demonstrated that tofacitinib improved
nail changes associated with AA. OBJECTIVE: To investigate nail changes in
patients with AA treated with tofacitinib and evaluate the relationship between
nail and hair responses to tofacitinib. METHODS: This is a retrospective study of
33 adult patients with moderate-to-severe AA treated with oral tofacitinib
monotherapy for at least 4 months. RESULTS: Fifteen patients had nail involvement
and demonstrated more severe hair loss than those without nail involvement (p =
.040). However, there was no significant difference in hair regrowth between two
groups. Of 15 patients with nail involvement, 11 (73.3%) showed improvement
regardless of type of nail change; the first improvement was observed at a median
of 5 months (range, 1-11) after administration. Nail improvement was associated
with neither initial severity of hair loss nor hair response to tofacitinib. Nail
improvement tended to occur later than hair regrowth. CONCLUSIONS: Oral
tofacitinib monotherapy improves nail involvement associated with AA. Nail
involvement is not a poor prognosis factor in hair regrowth with tofacitinib
treatment and there is no evident relationship between nail and hair responses.
PMID- 29658801
TI - The effects of tandem skiing on posture and heart rate in children with profound
intellectual and multiple disabilities.
AB - PURPOSE: The objective of study was to determine the effect of tandem ski (TS)
activity on postural control and cardiac activity in children with profound
intellectual and multiple disabilities (PIMDs). METHOD: Twenty children with PIMD
and 20 age-matched controls (typically developed (TD) children) participated.
Body segment movements were measured with inertial sensors (Physilog(r)) placed
on the head, C7, trunk (including ECG) and pelvis with a seat reference. Each
participant was measured during a 12-turn slalom pattern. RESULTS: In each group,
significant differences were observed between the head vs. trunk and head vs.
pelvis angular speeds (p<0.001). In both groups, heart rate differed
significantly during rest (PIMD 99 bpm, TD 97 bpm), exercise (PIMD 140 bpm, TD
139 bpm; rest vs. exercise p<0.001) and recovery (PIMD 101 bpm, TD 107 bpm;
exercise vs. recovery p<0.001). CONCLUSIONS: In children with PIMD, TS elicits
active postural control associated with cardiac activities similar to that of the
controls.
PMID- 29658802
TI - A Qualitative Analysis of Narrative Preclerkship Assessment Data to Evaluate
Teamwork Skills.
AB - : Construct: Students entering the health professions require competency in
teamwork. BACKGROUND: Although many teamwork curricula and assessments exist,
studies have not demonstrated robust longitudinal assessment of preclerkship
students' teamwork skills and attitudes. Assessment portfolios may serve to fill
this gap, but it is unknown how narrative comments within portfolios describe
student teamwork behaviors. APPROACH: We performed a qualitative analysis of
narrative data in 15 assessment portfolios. Student portfolios were randomly
selected from 3 groups stratified by quantitative ratings of teamwork performance
gathered from small-group and clinical preceptor assessment forms. Narrative data
included peer and faculty feedback from these same forms. Data were coded for
teamwork-related behaviors using a constant comparative approach combined with an
identification of the valence of the coded statements as either "positive
observation" or "suggestion for improvement." RESULTS: Eight codes related to
teamwork emerged: attitude and demeanor, information facilitation, leadership,
preparation and dependability, professionalism, team orientation, values team
member contributions, and nonspecific teamwork comments. The frequency of codes
and valence varied across the 3 performance groups, with students in the low
performing group receiving more suggestions for improvement across all teamwork
codes. CONCLUSIONS: Narrative data from assessment portfolios included specific
descriptions of teamwork behavior, with important contributions provided by both
faculty and peers. A variety of teamwork domains were represented. Such feedback
as collected in an assessment portfolio can be used for longitudinal assessment
of preclerkship student teamwork skills and attitudes.
PMID- 29658803
TI - Evening types demonstrate reduced SSRI treatment efficacy.
AB - Selective serotonin reuptake inhibitors (SSRIs) have a profound effect on the
circadian system's response to environmental light, which may impact treatment
outcomes for patients depending on their habitual light exposure patterns. Here,
we investigated the relationship between time-of-day preference, depressive
symptoms and self-reported antidepressant treatment response. Evening types
reported having taken a higher number of antidepressant medications in the
previous 5 years and lower SSRI efficacy than morning types. While undergoing
SSRI treatment, evening types also reported more depressive symptoms and
suicidality. It is concluded that time-of-day preference may prove informative in
predicting SSRI treatment responses.
PMID- 29658804
TI - Comparison of Effects of Endurance and Strength Training Programs in Patients
with COPD.
AB - : Comparisons between endurance and strength training in chronic obstructive
pulmonary disease (COPD) patients have produced equivocal findings when examining
physical function and health-related quality of life (HRQL). One reason for these
differences may be due to individual patient responses to the different training
modalities. PURPOSE: To compare changes in physical function and HRQL in a group
of COPD patients completing both an endurance and a strength training program.
METHODS: Eleven mildly diseased patients completed a three month endurance
training program and, approximately 5 years later, completed a three month
strength training program. Changes in 6 minute walk distance (6 MW), time to rise
from a chair five times (CRT), and the total score and subscores from the SF-36
and Chronic Respiratory Disease Questionnaire (CRQ) were examined. RESULTS: The
forced expiratory volume as a percent of predicted remained relatively constant
over the 5 years (61.1 +/- 5.9 vs. 60.0 +/- 10.3). Endurance and strength
training increased 6 MW by 48.2 +/- 11.2 (p = 0.008) and 39.8 +/- 9.8 (p = 0.001)
meters, respectively. Endurance and strength training decreased CRT by 4.8 +/-
0.7 (p = 0.001) and 1.3 +/- 1.2 (p = 0.056) seconds, respectively. Endurance
training resulted in greater improvements in HRQL as compared to strength
training. CONCLUSION: These results show that walk distance improves as a result
of participating in either an endurance or a strength training program. However,
an endurance training program leads to greater improvements in both general and
disease specific measures of HRQL.
PMID- 29658805
TI - Subclinical hypothyroidism is not a risk factor for polycystic ovary syndrome in
obese women of reproductive age.
AB - Obese women are at high risk for polycystic ovary syndrome (PCOS). Subclinical
hypothyroidism (SCH) has been associated with weight gain, insulin resistance and
impaired fertility, which are also factors involved in PCOS. However, there is
limited information regarding the influence of SCH on the presence of PCOS. In
order to determine whether SCH increases the prevalence of PCOS, we performed a
cross-sectional study in a cohort of reproductive-aged obese women. All subjects
underwent anthropometric evaluation, laboratory tests and ultrasound examination.
Diagnosis of PCOS was based on the Rotterdam criteria. A total of 534 obese women
were included and 108 (20.2%) of them were diagnosed with SCH. Patients with SCH
showed similar insulin resistance, comparable androgen levels, and higher
triglycerides levels (1.7 vs. 1.5 mmol/L, p = .002) compared to those with normal
thyroid status. The frequency of PCOS did not differ between the two groups
(56.1% for normal thyroid function vs. 60.2% for subclinical hypothyroidism, p =
.514). In logistic regression analysis, SCH was not an independent risk factor
for PCOS after adjusting for confounding factors (OR = 0.984, 95% CI 0.581
1.667). For the first time, our results suggest that SCH does not increase the
risk of PCOS in obese women of reproductive age.
PMID- 29658806
TI - Nanobodies and Cancer: Current Status and New Perspectives.
AB - Reducing the dimension of antigen-binding proteins to an only immunoglobulin
domain has been one of the objectives of antibody manufacturing. Heavy chain
antibodies were encountered while attempting to separate the blood serum proteins
of dromedaries. Later the term "nanobodies" (Nbs) was introduced. The
advantageous features of Nbs comprise little immunogenicity, stability at
low/high pH, capacity to target antigens that are less antigenic, and, lastly,
easy capability to be used for therapy against tumor cells. Presently, Nbs have
been used for several medical and biotechnological purposes. Numerous Nb-derived
formats have been positively proved useful for targeting drug delivery, and
bioimaging.
PMID- 29658807
TI - Effect of bright light therapy on delayed sleep/wake cycle and reaction time of
athletes participating in the Rio 2016 Olympic Games.
AB - This study investigated the effect of using an artificial bright light on the
entrainment of the sleep/wake cycle as well as the reaction times of athletes
before the Rio 2016 Olympic Games. A total of 22 athletes from the Brazilian
Olympic Swimming Team were evaluated, with the aim of preparing them to compete
at a time when they would normally be about to go to bed for the night. During
the 8-day acclimatization period, their sleep/wake cycles were assessed by
actigraphy, with all the athletes being treated with artificial light therapy for
between 30 and 45 min (starting at day 3). In addition, other recommendations to
improve sleep hygiene were made to the athletes. In order to assess reaction
times, the Psychomotor Vigilance Test was performed before (day 1) and after (day
8) the bright light therapy. As a result of the intervention, the athletes slept
later on the third (p = 0.01), seventh (p = 0.01) and eighth (p = 0.01) days
after starting bright light therapy. Regarding reaction times, when tested in the
morning the athletes showed improved average (p = 0.01) and minimum reaction time
(p = 0.03) when comparing day 8 to day 1. When tested in the evening, they showed
improved average (p = 0.04), minimum (p = 0.03) and maximum reaction time (p =
0.02) when comparing day 8 to day 1. Light therapy treatment delayed the
sleep/wake cycles and improved reaction times of members of the swimming team.
The use of bright light therapy was shown to be effective in modulating the
sleep/wake cycles of athletes who had to perform in competitions that took place
late at night.
PMID- 29658808
TI - Defining antimicrobial stewardship competencies for undergraduate health
professional education in the United Kingdom: A study protocol.
AB - Multi-drug resistant infections have been identified as one of the greatest
threats to human health. Healthcare professionals are involved in an array of
patient care activities for which an understanding of antimicrobial stewardship
is important. Although antimicrobial prescribing and stewardship competencies
have been developed for healthcare professionals who adopt the role of a
prescriber, competencies do not exist for other medicine-related stewardship
activities. Undergraduate education provides an ideal opportunity to prepare
healthcare professionals for these roles and activities. This report presents a
protocol for a study designed to provide national consensus on antimicrobial
stewardship competencies appropriate for undergraduate healthcare professional
education. A modified Delphi process will be used in which a panel of Experts,
comprising members from across the United Kingdom, with expertise in prescribing
and medicines management with regard to the education and practice of healthcare
professionals, and antimicrobial prescribing and stewardship, will be invited to
take part in two survey rounds. The competencies developed will be applicable to
all undergraduate healthcare professional education programmes. They will help to
standardise curricula content and enhance the impact of antimicrobial stewardship
education.
PMID- 29658809
TI - The Gaussian Graphical Model in Cross-Sectional and Time-Series Data.
AB - We discuss the Gaussian graphical model (GGM; an undirected network of partial
correlation coefficients) and detail its utility as an exploratory data analysis
tool. The GGM shows which variables predict one-another, allows for sparse
modeling of covariance structures, and may highlight potential causal
relationships between observed variables. We describe the utility in three kinds
of psychological data sets: data sets in which consecutive cases are assumed
independent (e.g., cross-sectional data), temporally ordered data sets (e.g., n =
1 time series), and a mixture of the 2 (e.g., n > 1 time series). In time-series
analysis, the GGM can be used to model the residual structure of a vector
autoregression analysis (VAR), also termed graphical VAR. Two network models can
then be obtained: a temporal network and a contemporaneous network. When
analyzing data from multiple subjects, a GGM can also be formed on the covariance
structure of stationary means-the between-subjects network. We discuss the
interpretation of these models and propose estimation methods to obtain these
networks, which we implement in the R packages graphicalVAR and mlVAR. The
methods are showcased in two empirical examples, and simulation studies on these
methods are included in the supplementary materials.
PMID- 29658810
TI - Early Changes in eDiary COPD Symptoms Predict Clinically Relevant Treatment
Response at 12 Weeks: Analysis from the CRYSTAL Study.
AB - Early detection of treatment response is important in the long-term treatment and
management of patients with chronic obstructive pulmonary disease (COPD). This
analysis evaluated whether early improvement in symptoms, recorded in the first 7
or 14 days via an electronic diary (eDiary) compared with baseline, can predict
clinically meaningful treatment responders at 12 weeks. CRYSTAL was a 12-week,
randomized, open-label study that demonstrated the increased effectiveness of
indacaterol/glycopyrronium (IND/GLY) or glycopyrronium (GLY), after a direct
switch from on-going baseline therapies, in patients with symptomatic COPD and
moderate airflow obstruction. The co-primary endpoints were trough forced
expiratory volume in 1 second (FEV1) and transition dyspnea index (TDI) at Week
12. Patients' symptom status was recorded daily in an eDiary. Of 4,389 patients
randomized, 3,936 and 3,855 reported symptoms on Days 7 and 14, respectively.
Patients who reported an early decrease in symptoms on Day 7 or 14 were more
likely to achieve the minimal clinically important difference of >=100 mL in
trough FEV1 or >= 1 point in TDI at Week 12. Using stepwise multivariate
regression models we identified as best predictors of FEV1 responders the
decrease in wheeze on Day 7, and nighttime symptoms and wheeze on Day 14; best
predictors of TDI responders were decrease in nighttime symptoms and wheeze on
Day 7, and nighttime symptoms, sputum and wheeze on Day 14. Early symptom
improvement at Day 7 or 14, especially wheeze and nighttime symptoms, may
identify patients with clinically important improvement in lung function and
dyspnea at Week 12.
PMID- 29658811
TI - Parathyroid Autotransplantation During thyroid Surgery. Where we are? A
Systematic Review on Indications and Results.
AB - INTRODUCTION: Hypoparathyroidism still represents an important concern in thyroid
surgery. Careful dissection with identification and preservation of parathyroid
glands in situ remains the best way to maintain gland vitality and avoid post
operative failure. Nevertheless, parathyroid glands are still inadvertently
removed in up to 11% of cases. Parathyroid autotransplantation may represent the
only way to restore parathyroid gland functionality in case of inadvertent
removal or devascularization during thyroid surgery. Despite this, there is still
no agreement on the effectiveness of this procedure. The present systematic
review is focused on the mainly debated matters regarding the procedure,
indications, technique and results. METHODS: This review has been carried out
according to PRISMA statement and checklist. The research item was:
(((parathyroid autotransplantation[Title]) OR parathyroid reimplantation[Title])
NOT hyperparathyroidism[Title]) AND english[Language]. RESULTS: A total of 31
studies have been found according to limitations already described, reporting
from 4 to 890 procedures, with a total amount of 4088 PA. Among these studies,
there are only 7 prospective case-series evaluations, 2 prospective randomized
trials, while the other 22 studies are retrospective evaluations. The main
concerns are: when and how to perform autotransplantation; in which anatomical
site; which result to be expected, and how to manage and control the graft.
Conslusions: Parathyroid autotransplantatin is an important tool to avoid or
minimize the risk for hypoparathyroidism following thyroid surgery in selected
cases. Parathormone assay can help the surgeon to determine when reimplantation
is indicated or not. Further studies could theoretically give definitive results.
PMID- 29658812
TI - Late chronotype and high social jetlag are associated with burnout in evening
shift workers: Assessment using the Chinese-version MCTQshift.
AB - Chronotypes are associated with shift work tolerance and sleep in shift workers,
and sleep mediates the impact of shift work on mental health. However, the role
of chronotype in the association between shift work and mental health has not
been clarified. In this study, we aimed to examine the association between
chronotype and burnout in shift workers, using the validated Munich ChronoType
Questionnaire for shift workers (MCTQshift). A total of 288 shift workers with
irregular shift frequencies were recruited and completed the Chinese-version
MCTQshift and the Morningness-Eveningness Questionnaire (MEQ). Chronotypes were
assessed by the calculation of corrected mid-sleep time (MSFSC) from mid-sleep
time on free days (MSF) based on their exact shift schedules. Another 26 evening
shift nurses were monitored with actigraphy for at least two consecutive evening
shifts and the following two free days. Burnout was evaluated using the
Copenhagen Burnout Inventory. We found that MSFESC, MSFE and mid-sleep time on
workdays (MSWE) had normal distributions and correlated significantly with MEQ
scores (r = - 0.47, -0.45 and -0.47, respectively; all p < 0.001). MSW was more
closely correlated with actigraphy-derived mid-sleep time on the free day before
workdays than that on workdays (r = 0.61 and 0.48, respectively, p < 0.05). Sleep
duration was significantly longer on workdays among evening-shift workers who
slept late on workdays than those who slept early (beta = 0.59, p < 0.001). After
demographic and work characteristics were adjusted for in linear regression
models, late chronotype and high social jetlag were associated with burnout
scores in evening-shift workers. In conclusion, the Chinese-version MCTQshift is
a valid tool for chronotype assessment. Interventions to improve sleep in shift
workers should be tailored to chronotype due to variations in sleep behavior.
Late chronotype may be an inherent feature of mental health problems, because the
association with burnout was significant in both day workers in previous studies
and shift workers.
PMID- 29658813
TI - Kinematic upper extremity performance in people with near or fully recovered
sensorimotor function after stroke.
AB - BACKGROUND: Clinical scales for upper extremity motor function may not capture
improvement among higher functioning people with stroke. OBJECTIVE: To describe
upper extremity kinematics in people with stroke who score within the upper 10%
of the Fugl-Meyer Assessment (FMA-UE) and explore the ceiling effects of the FMA
UE. DESIGN: A cross-sectional study design was used. PARTICIPANTS: People with
stroke were included from the Stroke Arm Longitudinal Study at University of
Gothenburg together with 30 healthy controls. The first analysis included
participants who achieved FMA-UE score > 60 within the first year of stroke
(assessed at 3 days, 2 weeks, 4 weeks, 3 months, or 12 months post stroke). The
second analysis included participants with submaximal FMA-UE (60-65 points, n =
24) or maximal FMA-UE score (66 points, n = 21) at 3 months post stroke.
MEASUREMENTS: The kinematic analysis of a standardized drinking task included
movement time, velocity and strategy, joint angles of the elbow, and shoulder and
trunk displacement. RESULTS: The high FMA-UE stroke group showed deficits in
seven of eight kinematic variables. The submaximal FMA-UE stroke group was
slower, had lower tangential and angular peak velocity, and used more trunk
displacement than the controls. In addition, the maximal FMA-UE stroke group
showed larger trunk displacement and arm abduction during drinking and lower peak
angular velocity of the elbow. CONCLUSIONS: Participants with near or fully
recovered sensorimotor function after stroke still show deficits in movement
kinematics; however, the FMA-UE may not be able to detect these impairments.
PMID- 29658814
TI - Combined Spring and Deltoid Ligament Repair in Adult-Acquired Flatfoot.
AB - BACKGROUND: Adult-acquired flatfoot deformity (AAFD) is usually due to a
combination of mechanical failure of the osteoligamentous complex that maintains
the medial longitudinal arch of the foot and attenuation or complete tear of the
posterior tibial tendon. Magnetic resonance imaging studies in patients with
flatfoot deformities have reported the posterior tibial tendon to be pathologic
in up to 100% of patients, the spring ligament in up to 87%, and the deltoid
ligament in 33%. Many studies in the literature describe reconstruction of the
spring ligament or the deltoid ligament associated with AAFD, but there is no
study in which both (spring and deltoid) ligaments are reconstructed at the same
time. We describe a novel technique to reconstruct the deltoid ligament and the
spring ligament at the same time. METHODS: We described the technique and
evaluated 10 consecutive patients with AAFD and insufficient ankle and midfoot
ligaments. RESULTS: We found no postoperative complications, stiffness, or loss
of correction. CONCLUSION: We present a novel technique to reconstruct the failed
deltoid and spring ligament during flatfoot correction. It is unique in that it
uses internal brace augmentation with FiberTape(r) to help and protect the soft
tissue healing. LEVEL OF EVIDENCE: Level IV, retrospective case series.
PMID- 29658815
TI - Oxidative stress, NOx/l-arginine ratio and glutathione/glutathione S-transferase
ratio as predictors of 'sterile inflammation' in patients with alcoholic
cirrhosis and hepatorenal syndrome type II.
AB - Continuous intake of alcohol leads to liver cirrhosis because of imbalance of
oxidative stress/antioxidative defense and chronic 'sterile inflammation'.
Hepatorenal syndrome (HRS) is the most severe complication of liver cirrhosis.
The aim of our study was to assess: (1) the oxidative stress/antioxidative
defense markers such as malondialdehyde (MDA), oxidative glutathione (GSH) and
glutathione S-transferase (GST), (2) inflammation [C-reactive protein (CRP)], and
(3) nitrate/nitrite levels (NOx) and its substrate L-arginine level. The study
enrolled three groups: a group with cirrhosis and HRS (48 patients), a group with
cirrhosis without HRS (32 patients), and a control group (40 healthy blood
donors). All the patients with cirrhosis and HRS had type II HRS. MDA
concentration was significantly higher in the groups with cirrhosis with and
without HRS. Significant positive correlation was documented between the MDA
level and de Ritis coefficient (AST/ALT), a marker of liver damage severity;
between MDA and inflammation (CRP); between MDA and NOx concentration in the
groups with cirrhosis with and without HRS. The correlation between MDA and
creatinine level was significant in the group with HRS. The levels of GSH and GST
were significantly lower in the groups with cirrhosis with and without HRS. The
results of the study revealed that an increase in MDA and NOx concentration,
along with decreased values of antioxidative defense and L-arginine, may indicate
that liver damage can have an influence on progression to renal failure.
PMID- 29658816
TI - A mixed treatment comparison of toxicity of gemcitabine combined with different
targeted drugs in the treatment of advanced or metastatic pancreatic cancer.
AB - The mixed treatment comparison study was performed in order to compare the
toxicities of Gemcitabine and different targeted drug combinations in the
treatment of advanced/metastatic pancreatic cancer (PC). Searches were performed
from the inception of PubMed and Cochrane Library databases to February 2017.
This study included randomized controlled trials (RCTs) of Gemcitabine and
different targeted drug combinations in the treatment of advanced/metastatic PC.
Odds ratio (OR) values were calculated by direct and indirect comparisons, and
the surface under the cumulative ranking curves (SUCRA) were drawn. A total of
six RCTs were finally incorporated into the study. These studies included six
therapy regimens: Gemcitabine + Axitinib, Gemcitabine + Trametinib, Gemcitabine +
Sorafenib, Gemcitabine + Bevacizumab, Gemcitabine + Erlotinib and Gemcitabine +
Tipifarnib. The results showed that Gemcitabine + Axitinib combinations showed
lower incidence rates of rashes (all grades) in comparison to Gemcitabine +
Trametinib and Gemcitabine + Erlotinib combinations. Compared with Gemcitabine+
Trametinib combinations, Gemcitabine + Axitinib combinations showed lower
incidence rates of diarrhea (grade >= 3). Moreover, the cluster analyses results
revealed that Gemcitabine + Axitinib combinations and Gemcitabine + Sorafenib
combinations showed lower incidence rates of hematotoxicity, while Gemcitabine +
Axitinib combinations showed lower incidence rates of non-hematotoxicity.
Collectively, the data provided strong evidence of Gemcitabine + Axitinib
combinations showing lower incidence rates of non-hematotoxicity, and Gemcitabine
+ Axitinib and Gemcitabine + Sorafenib combinations may have lower incidence
rates of hematotoxicity in the treatment of advanced/metastatic PC.
PMID- 29658817
TI - Racial and Ethnic Differences in Advance Care Planning: Results of a Statewide
Population-Based Survey.
AB - BACKGROUND: Few studies have focused on racial and ethnic differences in advance
care planning other than advance directives among population-based samples of
adults across the lifespan. METHODS: Using data from a statewide random-digit
dial telephone survey of adults 18 years or older (n = 1851), we investigated
racial and ethnic differences in (1) designation of a healthcare agent (HCA); and
(2) communication of goals, values, and preferences for end-of-life care with
healthcare providers, a HCA, or other family members and friends. RESULTS: Less
than half (44%, 95% confidence interval [CI] = 41.3%-47.0%) of all participants
had named a HCA. In multivariable analyses, participants who identified as
Hispanic (adjusted odds ratio [aOR] = 0.4, 95% CI = 0.2-0.7) or non-Hispanic
other (aOR = 0.6, 95% CI = 0.4-0.9) were less likely than non-Hispanic whites to
have named a HCA. Only 14.5% (95% CI = 12.6%-16.5%) of all participants had ever
had a conversation with a healthcare provider about their end-of-life care
wishes, with no differences by race/ethnicity. Over half (53.9%, 95% CI = 51.0%
56.8%) of all participants reported having had conversations with someone other
than a healthcare provider about their end-of-life wishes. In multivariable
analyses, non-Hispanic whites were more likely than Hispanics (aOR = 0.5, 95% CI
= 0.3-0.7), black/African Americans (aOR = 0.5, 95% CI = 0.3-0.9), and non
Hispanic others (aOR = 0.7, 95% CI = 0.5-1.0) to report having had such
conversations. CONCLUSIONS: Racial and ethnic minorities may be disadvantaged in
the quality of care they receive if they have a serious illness and are unable to
make decisions for themselves because most have not talked to anyone about their
goals, values, or preferences for care.
PMID- 29658819
TI - Child and Family Factors Associated With Child Maltreatment in Vietnam.
AB - This study aims to explore possible risk factors for child maltreatment in
Vietnam by investigating the association of child and family factors with
different types of child maltreatment (i.e., sexual abuse, physical abuse,
emotional abuse, witnessing parental conflict, and neglect) and the occurrence of
multiple types of child maltreatment. Cross-sectional data of 1,851 secondary and
high school students aged 12 to 17 years (47.3% boys) in four provinces of
Northern Vietnam were obtained using self-report questionnaires. Results
indicated that the likelihood of emotional abuse, witnessing parental conflict,
and experiencing multiple types of child maltreatment during lifetime increased
with age. Boys had a higher risk than girls on lifetime sexual abuse, and past
year and lifetime physical abuse. Living in a single parent family was the risk
factor related to most types of child maltreatment including lifetime sexual
abuse, neglect, and multiple types of child maltreatment, and both past year and
lifetime witnessing parental conflict. Interestingly, low socioeconomic status
(SES) and parental unemployment were associated with a decreased risk on
experiencing emotional abuse in the past year and during lifetime, respectively.
"Tiger parenting," a parenting style observed frequently in East Asian parents,
may be more common in families with high SES and might explain this finding. This
study highlights the importance of prioritizing single parent families in
parenting programs and implementing child maltreatment interventions early
because of the risk on child maltreatment increased with age. More research on
emotional abuse and "Tiger parenting" in Vietnam could clarify the association of
emotional abuse with high SES and parental employment. Finally, the underlying
mechanisms of the risk factors in Vietnam should be studied more to inform
interventions.
PMID- 29658818
TI - A long non-coding SINEUP RNA boosts semi-stable production of fully human
monoclonal antibodies in HEK293E cells.
AB - Use of monoclonal antibodies is emerging as a highly promising and fast
developing scenario for innovative treatment of viral, autoimmune and tumour
diseases. The search for diagnostic and therapeutic antibodies currently depends
on in vitro screening approaches, such as phage and yeast display technologies.
Antibody production still represents a critical step for preclinical and clinical
evaluations. Accordingly, improving production of monoclonal antibodies
represents an opportunity, to facilitate downstream target validations. SINEUP
RNAs are long non-coding transcripts, possessing the ability to enhance
translation of selected mRNAs. We applied SINEUP technology to semi-stable
production of monoclonal antibodies in HEK293E cells, which allows for episomal
propagation of the expression vectors encoding the heavy and light chains of
IgGs. Co-expression of SINEUP RNA with mRNAs encoding heavy and light chains of
IgG4s was able to increase the production of different anti-CLDN1 antibodies up
to three-fold. Improved production of monoclonal antibodies was achieved both in
transiently transfected HEK293E cells and in cellular clones with stable
expression of the SINEUP. Compared to antibody preparations obtained under
standard conditions, the anti-CLDN1 IgG4s produced in the presence of the SINEUP
transcript showed unaltered post-translational modifications, and retained the
ability to recognize their target. We thus propose SINEUP technology as a
valuable tool to enhance semi-stable antibody production in human cell lines.
PMID- 29658820
TI - Umbilical Microbiome and Laparoscopic Surgery: A Descriptive Clinical Study.
AB - BACKGROUND: The human skin microbiome consists of colonizing and pathogen germs.
The umbilical dimple is a humid environment prone to bacterial colonization and
growth. In the laparoscopic era, the umbilicus has become the most common site of
entrance into the peritoneum. The aim of this study was to describe the
characteristics of umbilical microflora before antiseptic skin preparation.
METHODS: Descriptive single-center study. A series of patients who underwent
laparoscopic/open and elective/urgent abdominal surgery over a 2-month period
were included. Patients were admitted the same day or the day before surgery.
Before antiseptic skin preparation, microbiological samples were taken from the
umbilicus, left upper abdominal quadrant, and nostril. A delayed referral time
(>30 minutes) of the skin swabs to the microbiology laboratory was an exclusion
criterion. RESULTS: Overall, 70 patients were included. The median age was 64
years (range 16-89) and a laparoscopic approach was used in 82.8% of cases. The
most commonly performed operation was laparoscopic fundoplication for
gastroesophageal reflux (25.8%). In the umbilicus, the most frequent colonizing
bacteria were coagulase-negative Staphylococcus species and Corynebacterium.
Opportunistic pathogens were found in 10 umbilical swabs compared with 8 in the
left upper quadrant (14.2% versus 11.4%, p = .800). Overall, 50% of patients with
umbilical opportunistic pathogens had a medium/high bacterial load compared with
62.5% in the left upper quadrant (p = .958). No postoperative surgical site
infection was recorded in the study sample. CONCLUSIONS: Both the umbilicus and
the left upper quadrant appear to be safe entry sites in laparoscopic surgery.
Meticulous hygiene of these anatomical areas is strongly recommended before
surgery regardless of the type of antiseptic solution and the short-term
antibiotic prophylaxis. In-hospital preoperative showers may be unnecessary for
well-educated patients.
PMID- 29658821
TI - Top Ten Tips for Palliative Care Clinicians Caring for Cancer Patients Receiving
Immunotherapies.
AB - Patients with cancer face an ever-changing landscape of tumor-directed therapies
available to improve quality of life and potentially increase survival. The most
recent advances, immunotherapeutics, offer a novel way to target cancer cells by
engaging the body's own immune system. Using an expert panel of oncologists,
palliative medicine physicians, and dual-trained specialists, we discuss current
immunotherapies and their clinical uses, potential side effects and management
strategies, and the implications of these newer treatments on goals of care
conversations and care coordination. We aim to further engage palliative care
specialists in the active care of cancer patients receiving immunotherapeutics
and use a "Top 10" tips format to concisely present practical learning points to
busy clinicians.
PMID- 29658822
TI - [Cluster-randomized, controlled evaluation of stress management training for high
school students].
AB - : Cluster-randomized, controlled evaluation of stress management training for
high school students Abstract. OBJECTIVE: One fifth of German adolescents show
elevated levels of mental health problems. The development of mental health
problems is often closely related to experiences of stress. Hence, fostering
competences in coping with stress offers an approach for counteracting the
development of psychological problems. The aim of the present study is to test a
stress management training of 3 x 90 minutes in a German high school, 11th grade.
METHOD: A cluster-randomized, controlled design was used. The primary outcome was
stress. Secondary outcome criteria were knowledge about stress, coping behaviour,
emotional and behavioural problems as well as health-related quality of life.
Students from twenty-one courses from four schools participated in the study.
RESULTS: The sample comprised 286 students (58.25 % girls; mean age 16.58 +/-
0.65). Changes in stress levels from pre- to post-evaluation did not differ
significantly between intervention and control group. With regard to secondary
criteria, apart from a significant increase in knowledge no further effects were
observed. An exploratory moderation analysis hints at a positive association
between baseline levels of stress and effectiveness of the training. CONCLUSIONS:
The results question whether the universal application of a brief cognitive
behavioural intervention is appropriate to prevent stress in this population.
Future research should, inter alia, investigate the potential of indicated
prevention regarding stress.
PMID- 29658823
TI - Totally Laparoscopic Mini-ALPPS Using a Novel Approach of Laparoscopic-Assisted
Transmesenteric Portal Vein Embolization.
AB - BACKGROUND: The initial mortality rates of associating liver partition and portal
vein occlusion for staged hepatectomy (ALPPS) were high. However, recent data
from the International Registry show a continuous reduction of early mortality
and major morbidity due to risk adjustment in patient selection and less invasive
techniques in stage-1 surgery. During the first ALPPS International Consensus in
2015, we introduced a paradigm inversion of ALPPS, the so-called "Mini-ALPPS."
METHODS: We combined a partial liver partition with a novel technique of
laparoscopic-assisted percutaneous cannulation of the inferior mesenteric vein
for intraoperative transmesenteric portal vein embolization. We report here for
the first time, a case of a successful totally laparoscopic Mini-ALPPS, and
describe in detail the technical aspects of this new approach. RESULTS: A 61-year
old man with a 6 cm hepatocellular carcinoma compromising the right glissonian
pedicle in a fibrotic liver was treated by an extended right hepatectomy using
the laparoscopic Mini-ALPPS approach. The patient had an uneventful first stage
and was discharged 3 days after. A CT scan performed on postoperative day 8
showed sufficient future liver remnant volume after a 59% hypertrophy. An
extended right hepatectomy was uneventfully completed and the patient was
discharged 5 days after surgery. The histopathological analysis indicated
advanced F4 liver fibrosis and negative tumor margins. CONCLUSIONS: This
technical innovation allows avoiding a laparotomy to access the mesenteric venous
territory and the risks of liver remnant injuries during percutaneous
transhepatic approach. This new alternative may result of great utility not only
in ALPPS but also for many different circumstances and scenarios.
PMID- 29658824
TI - Video-Assisted Thoracoscopic Surgery Lobectomy Performed Satisfaction and
Complications of Patients During Hands-On Training Courses.
AB - AIM: It was aimed to concern about the satisfaction and procedural complications
of patients during the thoracoscopy exist of hands-on training in this present
study. PATIENTS AND METHODS: The patients with non-small-cell carcinoma underwent
video-assisted thoracoscopic surgery (VATS) lobectomy during hands-on training
courses at thoracoscopic center in our hospital and collected from January 2009
and December 2014. The rates of satisfaction and complications of patients were
compared from hands-on training group and control group. Potential risk factors
associated with post-VATS complications of patients and thoracoscopist-related
variables were analyzed. There were 54 patients join in six meetings with hands
on thoracoscopy training in our center. RESULTS: There was no significant
difference between patients for hands-on training group (n = 54) and control
group (n = 54), including sex, age, BMI, smoking, PpoFEV1 and comorbidities. The
satisfaction rate and the incidence of complication were similar between the two
groups. CONCLUSION: Univariate analyses showed that elder age, heart disease,
chronic obstructive pulmonary disease, long operative time, and first-time
mentorship were significantly associated with post-VATS complications of patients
in hands-on training group. We should pay more attention to the characteristics
of patent and the experience of mentor before VATS hands-on training courses.
PMID- 29658825
TI - Alternative kinship structures, resilience and social support among immigrant
trans Latinas in the USA.
AB - Latinas comprise the largest racial/ethnic group of trans women (male-to-female
transgender people) in New York City, where HIV seroprevalence among trans
Latinas has been found to be as high as 49%. Despite this population's high risk
of HIV, little is known about resilience among trans Latinas that may provide
protective health factors. Six focus groups and one in-depth interview were
conducted with 34 low-income trans/gender-variant people of colour who attended
transgender support groups at harm reduction programmes in New York City. This
paper reports on data from 13 participants who identified as immigrant trans
Latinas. Focus groups were coded and analysed using thematic qualitative methods.
The majority of immigrants were undocumented but reported having robust social
support. Unique characteristics of immigrant trans Latinas included alternative
kinship structures and sources of income. Social creativity was used to develop
achievable ways in which to improve their health outcomes. Resilience was evident
in informal kinship dynamics, formal support groups, gender-transition,
educational access and skills training and substance use reduction. Individual
level resilience increased as a result of strong community-level resilience.
PMID- 29658826
TI - College Students' Experiences with, and Willingness to Use, Different Types of
Telemental Health Resources: Do Gender, Depression/Anxiety, or Stress Levels
Matter?
AB - OBJECTIVE: Telemental health (TMH) resources are plentiful; however, we know
little about college students' opinions about such resources. We aimed to examine
students' previous use of and willingness to use several types of TMH resources.
PARTICIPANTS: Students (N = 662) from two U.S. Midwestern colleges participated.
METHODS: Using an online survey in spring 2017, we measured students' depression,
anxiety, stress, and suicidal thoughts, preferences for care options during
distress, and use and interest in anonymous chats with trained nonprofessionals,
online therapy, and self-help resources. RESULTS: Overall, 10.1-13.8% had
experience with these TMH resources; however, 24.6-40.1% expressed willingness to
try them. At-risk students, especially those higher in depression/anxiety scores,
showed greater use of and willingness to use some applications. CONCLUSIONS:
Counseling centers might consider endorsing TMH resources as potential pathways
to care. TMH resources might help broaden reach with minimal cost, reduce mental
health help-seeking barriers, and provide support to at-risk populations.
PMID- 29658827
TI - Finite Element Method-Based Kinematics and Closed-Loop Control of Soft, Continuum
Manipulators.
AB - This article presents a modeling methodology and experimental validation for soft
manipulators to obtain forward kinematic model (FKM) and inverse kinematic model
(IKM) under quasi-static conditions (in the literature, these manipulators are
usually classified as continuum robots. However, their main characteristic of
interest in this article is that they create motion by deformation, as opposed to
the classical use of articulations). It offers a way to obtain the kinematic
characteristics of this type of soft robots that is suitable for offline path
planning and position control. The modeling methodology presented relies on
continuum mechanics, which does not provide analytic solutions in the general
case. Our approach proposes a real-time numerical integration strategy based on
finite element method with a numerical optimization based on Lagrange multipliers
to obtain FKM and IKM. To reduce the dimension of the problem, at each step, a
projection of the model to the constraint space (gathering actuators, sensors,
and end-effector) is performed to obtain the smallest number possible of
mathematical equations to be solved. This methodology is applied to obtain the
kinematics of two different manipulators with complex structural geometry. An
experimental comparison is also performed in one of the robots, between two other
geometric approaches and the approach that is showcased in this article. A closed
loop controller based on a state estimator is proposed. The controller is
experimentally validated and its robustness is evaluated using Lypunov stability
method.
PMID- 29658828
TI - Sustainable Telemedicine: Designing and Building Infrastructure to Support a
Comprehensive Telemedicine Practice.
AB - BACKGROUND: Telemedicine services in medical institutions are often developed in
isolation of one another and not as part of a comprehensive telemedicine program.
The Center for Connected Care is the administrative home for a broad range of
telehealth services at Mayo Clinic. This article speaks of real-time video
services, referenced as telemedicine throughout. INTRODUCTION: This article
discusses how a large healthcare system designed and built the infrastructure to
support a comprehensive telemedicine practice. MATERIALS AND METHODS: Based on
analysis of existing services, Mayo Clinic developed a multifaceted operational
plan that addressed high-priority areas and outlined clear roles and
responsibilities of the Center for Connected Care and that of the clinical
departments. The plan set priorities and a direction that would lead to long-term
success. The plan articulated the governing and operational infrastructure
necessary to support telemedicine by defining the role of the Center for
Connected Care as the owner of core administrative operations and the role of the
clinical departments as the owners of clinical telemedicine services. Additional
opportunities were identified to develop product selection processes,
implementation services, and staffing models that would be applied to ensure
successful telemedicine deployment. RESULTS: The telemedicine team within the
Center for Connected Care completed 45 business cases resulting in 54
implementations. The standardization of core products along with key operational
offerings around implementation services, and the establishment of a 24/7 support
model resulted in improved provider satisfaction and fewer reported technical
issues. CONCLUSION: The foundation for long-term scalability and growth was
developed by centralizing operations of telemedicine services, implementing
sustainable processes, employing dedicated qualified personnel, and deploying
robust products.
PMID- 29658829
TI - Playground love: sex work, pleasure, and self-affirmation in the urban nightlife
of Indonesian waria.
AB - Indonesian transgender women, locally and internationally recognised as waria,
share some lifestyle patterns that have emerged under conditions of limited
social acceptance. These patterns include involvement in sex work. The high
number of waria who are sex workers is usually explained in economic terms.
However, their presence in certain locations around the city known for waria sex
work is not only for work, and quite often not even for sex. Waria street
nightlife fosters waria agency, which emerges from self-affirmation through
pleasurable bodily practices involving intimate (sexual partners) and both
proximate (other waria and men nearby) and distant others (structuring ideals).
Drawing on fieldwork conducted between 2010 and 2015 in Java and West Papua, this
paper describes the political and economic organisation of sex work among waria,
then highlights the social and sensorial qualities of waria street nightlife.
PMID- 29658830
TI - 'You'll always stay right': understanding vaginal products and the motivations
for use among adolescent and young women in rural KZN.
AB - The use of vaginal products may increase the risk of HIV infection by affecting
the vaginal biome. Understanding what vaginal products young women are using, and
why, is key to assessing the complexity of sexual health and risk. This study
reports on findings from research with adolescent and young women in rural
KwaZulu-Natal about the vaginal products they use and motivations for using them.
The study identified over 26 products that young women used to enhance their
sexual experience and found some young women spent time preparing and sourcing
vaginal products in order to pleasure and retain partners. Opinions differed
about vaginal product use. While some women perceived that vaginal products could
provide a means of out-performing other women, retaining a partner and providing
sexual autonomy, there was a stigma attached to using them. Study findings
highlight the social value of using vaginal products, especially in settings
where partner retention is linked to economic survival. Expanding our
understanding of what products are used and the reasons young women use them
warrants continued investigation.
PMID- 29658831
TI - Short-burst interval treadmill training walking capacity and performance in
cerebral palsy: a pilot study.
AB - PURPOSE: To examine the effect of short-burst interval locomotor treadmill
training (SBLTT) on walking capacity and performance in cerebral palsy (CP).
METHODS: Twelve children with spastic diplegic CP (average 8.6 years) across
Gross Motor Function Classification System levels II (8) and III (4) were
randomized to 20 SBLTT sessions over 4 or 10 weeks. SBLTT consisted of
alternating 30 seconds of slow and fast walking for 30 minutes/session. Outcomes
included the 10 m walk test, one-minute walk test (1MWT), and timed-up-and go
(TUG) (capacity) and StepWatch (performance) collected at baseline, post, and 6
weeks post. RESULTS: Fast speed (+.11, p = .04; +.11 m/s, p = .006), 1MWT (+11.2;
+11.7 m, p = .006) and TUG (-1.7; -1.9 seconds, p = .006) improved post SBLTT and
6 weeks, respectively. Walking performance increased: average strides/day (+948;
+1712, p < .001) and percent time in high strides rates (+0.4, p = 0.07; +0.2, p
= .008). CONCLUSIONS: Pilot study suggests SBLTT may improve short-term walking
capacity and performance.
PMID- 29658832
TI - THE ASSOCIATIONS BETWEEN HYPOVITAMINOSIS D, HIGHER PTH LEVELS WITH BONE MINERAL
DENSITIES, AND RISK OF THE 10-YEAR PROBABILITY OF MAJOR OSTEOPOROTIC FRACTURES IN
CHINESE PATIENTS WITH T2DM.
AB - OBJECTIVE: In the current study, we investigated the vitamin D status, and its
relationships with parathyroid hormone (PTH) levels, bone mineral density (BMD),
and the 10-year probability of fractures in Chinese patients with type 2 diabetes
mellitus (T2DM). METHODS: This was a cross-sectional study of 785 patients. BMDs
at the lumbar spine (L2-4), femoral neck (FN), and total hip (TH) were measured
by dual-energy X-ray absorptiometry (DXA). Serum levels of 25-hydroxyvitamin D
(25(OH)D) and intact PTH were also quantified. The 10-year probability of
fracture risk (major osteoporotic fracture [MOF] and hip fracture [HF]) was
assessed using the fracture risk assessment tool (FRAX). RESULTS: The prevalence
of vitamin D deficiency was 82.3%, and the mean 25(OH)D level was 36.9 +/- 15.2
nmol/L. The adequate group had higher BMDs at the FN and TH and lower MOF risk
than the inadequate groups. Lower 25(OH)D was associated with higher PTH ( r =
0.126, P<.001). PTH was negatively correlated with BMDs at 3 sites and positively
correlated with MOF and HF, but this relationship disappeared in the adequate
subgroup. Multivariate stepwise regression analysis revealed that PTH was the
determinant of MOF (standard beta = 0.073, P = .010) and HF (standard beta =
0.094, P = .004). CONCLUSION: Our results identified a significantly high rate of
vitamin D deficiency among Chinese patients with T2DM. PTH is an important risk
factor responsible for the higher 10-year probability of osteoporotic fractures
in diabetic patients, especially in those with lower vitamin D levels.
ABBREVIATIONS: AKP = alkaline phosphatase; ALB = serum albumin; BMD = bone
mineral density; BMI = body mass index; Ca = calcium; CKD = chronic kidney
disease; Cr = creatinine; FN = femoral neck; FRAX = fracture risk assessment
tool; HbA1c = glycated hemoglobin A1c; HF = hip fracture; L2-4 = lumbar spine;
MOF = major osteoporotic fracture; 25(OH)D = 25-hydroxyvitamin D; P = phosphorus;
PTH = parathyroid hormone; T2DM = type 2 diabetes mellitus; TH = total hip; UA =
uric acid.
PMID- 29658833
TI - GONADOPENIA AND AGING IN MEN.
AB - OBJECTIVE: The decrease in testosterone levels that occurs with aging has become
an important clinical issue both due to the growth of the geriatric population
and patient interest in testosterone therapy. The decision to assess for
testosterone deficiency and the ability to determine whether the benefits exceed
the risks require a comprehensive evaluation of the aging patient. This article
is part of a series of papers focused on the endocrinology of aging. This review
addresses common issues needed for clinical decision making, including how to
interpret test results, differential diagnosis, potential impact of testosterone
treatment on insulin resistance and cardiovascular disease, and options for
therapy. METHODS: Papers reviewed were identified through literature searches
conducted on PubMed. RESULTS: Assessment of testosterone levels in the geriatric
male requires an understanding of the limitations of the assay that is used, the
symptoms associated with low testosterone, the impact of comorbid conditions on
levels, and risks of therapy. Successful treatment requires setting realistic
expectations of the benefits of replacement therapy. CONCLUSION: While the
prevalence of low testosterone concentrations is increased with aging, the common
comorbidities such as obesity and diabetes may contribute to changes in
testosterone levels. Clinical trial evidence shows modest benefit for treatment
of low testosterone in the presence of symptoms. Assessment of the geriatric male
should include evaluation of their testosterone level in the context of their
functional status and comorbidities. ABBREVIATIONS: CDC = Centers for Disease
Control and Prevention; CI = confidence interval; CVD = cardiovascular disease;
DXA = dual-energy X-ray absorptiometry; EMAS = European Male Aging Study; FDA =
U.S. Food and Drug Administration; FHS = Framingham Heart Study; HDL = high
density lipoprotein; HOMA-IR = homeostasis model assessment of insulin
resistance; LH = luteinizing hormone; OR = odds ratio; PSA = prostate-specific
antigen; SHBG = sex hormone-binding globulin; T2DM = type 2 diabetes mellitus;
vBMD = volumetric bone mineral density.
PMID- 29658834
TI - ALTERATIONS IN THYROID HORMONE LEVELS FOLLOWING GROWTH HORMONE REPLACEMENT EXERT
COMPLEX BIOLOGICAL EFFECTS.
AB - OBJECTIVE: Alterations in the thyroid axis are frequently observed following
growth hormone (GH) replacement, but uncertainty exists regarding their clinical
significance. We aimed to compare fluctuations in circulating thyroid hormone
levels, induced by GH, to changes in sensitive biological markers of thyroid
hormone action. METHODS: This was a prospective observational clinical study.
Twenty hypopituitary men were studied before and after GH replacement. Serum
thyroid-stimulating hormone (TSH), thyroid hormones, and insulin-like growth
factor 1 were measured. Changes in thyroid hormone concentrations were compared
to alterations in resting metabolic rate and cardiac time intervals. Health
related quality of life (QOL) was assessed by disease-sensitive and generic
questionnaires. RESULTS: Following GH replacement, free thyroxine concentration
declined and free triiodothyronine level increased. Resting energy expenditure
increased, particularly in subjects with profound hypopituitarism, including TSH
deficiency (16.73 +/- 1.75 kcal/kg/min vs. 17.96 +/- 2.26 kcal/kg/min; P = .01).
Alterations in the thyroid axis were more pronounced in subjects with a
low/normal baseline respiratory quotient (RQ) who experienced a paradoxical rise
in RQ (0.81 vs. 0.86; P = .01). Subjects with a high baseline RQ experienced a
slight but nonsignificant fall in RQ without alteration in thyroid axis. The
isovolumetric contraction time was shortened during the study; however, this did
not reach statistical significance. Improvements in QOL were observed despite
alterations in thyroid axis. CONCLUSION: Changes in the thyroid axis following GH
replacement are associated with complex tissue-specific effects. These
fluctuations may induce a hypothyroid phenotype in some tissues while appearing
to improve the biological action of thyroid hormone in other organs.
ABBREVIATIONS: AGHDA = Assessment of Growth Hormone Deficiency in Adulthood;
CHOox = carbohydrate oxidation; ET = ejection time; fT3 = free triiodothyronine;
fT4 = free thyroxine; GH = growth hormone; GHD = growth hormone deficiency; HB-RQ
= high baseline respiratory quotient; HPT = hypothalamic-pituitary-thyroid; ICT =
isovolumetric contraction time; IGF-1 = insulin-like growth factor 1; IRT =
isovolumetric relaxation time; LB-RQ = low baseline respiratory quotient; LV =
left ventricular; NHP = Nottingham Health Profile; QOL = quality of life; REE =
resting energy expenditure; RQ = respiratory quotient; rT3 = reverse
triiodothyronine; SF-36 = Short Form 36; TSH = thyroid-stimulating hormone; T3 =
triiodothyronine; T4 = thyroxine; TT3 = total triiodothyronine; TT4 = total
thyroxine.
PMID- 29658835
TI - SONOGRAPHIC FEATURES OF MULTIFOCAL PAPILLARY THYROID CARCINOMAS.
AB - OBJECTIVE: It is uncertain whether papillary thyroid carcinomas (PTCs) of the
same subtype display similar sonographic features. This retrospective analysis of
pre-operative sonographic and pathologic findings aimed to assess whether PTCs of
the same subtype share sonographic features. METHODS: Before undergoing thyroid
surgery, 137 patients underwent ultrasound (US) examination. A single radiologist
used a picture archiving and communication system and pathologic reports to
investigate all sonographic features of the largest and second largest PTCs.
Additionally, the radiologist evaluated the similarity of sonographic features
between primary (largest), secondary (second largest), and daughter (secondary
with same subtype as the primary) PTCs. RESULTS: Of the 137 PTC patients, 48
(35.0%) had multiple PTCs; however, 5 had no US images of the secondary PTC. Of
the 43 secondary PTCs with US images, 9 (20.9%) secondary PTCs were of a
different subtype than the primary PTC and revealed sonographic features that
differed from those of the primary PTC. Of the 48 patients with multiple PTCs,
the subtype was the same in the primary and secondary PTCs in 34 (70.8%)
patients. Of the 34 daughter PTCs, 32 (94.1%) had sonographic features similar to
those of the primary PTC, whereas 2 (5.9%) showed different sonographic features
than the primary PTC. There was no significant difference between primary and
daughter PTCs in the size, location, sonographic features, or Korean Thyroid
Imaging Reporting and Data System category ( P>.05). CONCLUSION: Daughter PTCs
show similar sonographic features as the primary PTC. ABBREVIATIONS: K-TIRADS =
Korean Thyroid Imaging Reporting and Data System; PTC = papillary thyroid
carcinoma; US = ultrasound.
PMID- 29658836
TI - Lawrence A. Frohman, MD, An "Outstanding Leader in Endocrinology" (1935-2018).
PMID- 29658837
TI - Immigration as a crisis tendency for HIV vulnerability among racialised women
living with HIV in Ontario, Canada: an anti-oppressive lens.
AB - South Asian immigrant women in Canada face unique structural barriers that
influence their HIV vulnerability. Using an intersectional and anti-oppressive
lens, we explored the role of immigration in bringing about changes in gender
roles and the structure of gender relations and their effect on HIV risk among
immigrant women as they experienced crisis tendencies in the face of hegemonic
masculinity. Informed by Connell's theory of gender, the study entailed in-depth
interviews with 12 self-identified South Asian immigrant women living in the
Greater Toronto Area, in Ontario, Canada. A thematic analysis yielded four
themes: power relations, emotional relations, gendered division of labour and
social norms. Our findings revealed interdependencies between immigration and
each of structural, individual and normative factors (the themes) as they pertain
to crisis tendencies when patriarchy is disrupted. Given the rapid increase in
global immigration, the connections between transnationalism and hegemony, and
the established link between immigration and HIV, future research should extend
this work to other immigrant communities.
PMID- 29658838
TI - Effectiveness of translational manipulation under interscalene block for the
treatment of adhesive capsulitis of the shoulder: A nonrandomized clinical trial.
AB - STUDY DESIGN: Nonrandomized controlled trial. OBJECTIVE: To determine whether
translational manipulation under anesthesia/local block (TMUA) adds to the
benefit of mobilization and range of motion exercise for improving pain and
functional status among patients with adhesive capsulitis of the shoulder (AC).
BACKGROUND: TMUA has been shown to improve pain and dysfunction in patients with
AC. This intervention has not been directly compared to physical therapy
treatment without TMUA in a prospective trial. METHODS: Sixteen consecutive
patients with a primary diagnosis of AC were divided into two groups. Patients in
the first (TMUA) group received a session of translational manipulation under
interscalene block, followed by six sessions of manipulation and exercise.
Patients in the comparison group received seven sessions of manipulation and
exercise. Outcome measures taken at baseline and 3, 6, 12 months and 4 years
included Shoulder Pain and Disability Index (SPADI) scores. Four-year outcomes
included percent of normal ratings, medication use, and activity limitations.
RESULTS: Both groups showed improved SPADI scores across all follow-up times
compared to baseline. The TMUA group showed a greater improvement in SPADI scores
than the comparison group at 3 weeks, with no significant differences in SPADI
scores at other time points. However, at 4 years, significantly more subjects in
the comparison group (5 of 8) had activity limitations versus subjects in the
TMUA group (1 of 8). No subject experienced a complication from either
intervention protocol. CONCLUSION: Physical therapy consisting of manual therapy
and exercise provides benefit for patients with AC. Translational manipulation
under local block may be a useful adjunct to manual therapy and exercise for
patients with AC.
PMID- 29658839
TI - Comparison of Emergency Cholecystectomy with Delayed Cholecystectomy After
Percutaneous Transhepatic Gallbladder Drainage in Patients with Moderate Acute
Cholecystitis.
AB - INTRODUCTION: Patients with moderate (grade II) acute cholecystitis patients, as
defined by the 2013 Tokyo Guidelines, were retrospectively compared with respect
to emergency cholecystectomy (EC) and delayed cholecystectomy (DC) after
percutaneous transhepatic gallbladder drainage (PTGBD) to determine the better
treatment strategy. METHODS: Forty-nine of 103 patients with PTGBD and 47 of 54
patients with EC were assessed for eligibility from January 2013 to January 2017.
Patients with the following conditions were included: (i) moderate (grade II)
acute cholecystitis diagnosed by the 2013 Tokyo Guidelines; (ii) no common bile
duct stones; (iii) no atrophic cholecystitis; (iv) no decompensated liver
cirrhosis and massive ascites; (v) no diffuse peritonitis; (vi) surgeons are
professors or associate professors; and (vii) PTGBD is not the only procedure for
the patient defined by clinicians. The preoperative characteristics and
postoperative outcomes were analyzed. PTGBD was performed by experienced
interventional radiologists and cholecystectomy was performed by professors or
associate professors. RESULTS: Patients in the EC and PTGBD + DC groups had
similar demographic, clinical, preoperative laboratory, and imaging
characteristics. Both PTGBD and EC resolved the cholecystitis quickly. Compared
to the PTGBD + DC group, EC patients had more intraoperative bleeding (101 +/-
125 mL versus 33 +/- 37 mL, P = .003), longer duration of postoperative abdominal
drainage (9.0 +/- 12.9 days versus 3.4 +/- 2.1 days, P = .041), more patients
converted to open cholecystectomy (OC; 19.1% versus 4.1%, P = .021), more OC
patients (14.9% versus 0%, P = .005), more patients with gangrenous cholecystitis
(40.4% versus 8.2%, P < .001), more cholecystitis patients with perforation
(12.8% versus 0%, P = .012), a higher incidence of respiratory failure (14.8%
versus 2.0%, P = .029), more admissions to the intensive care unit (ICU) (21.3%
versus 2.0%, P = .003), and longer postoperative hospital stays (8.2 +/- 3.2 days
versus 11.6 +/- 4.6 days, P < .001) in the PTGBD + DC group. In addition, there
were statistically more OC patients (63.2% versus 14.3%, P = .001) in the
nonbiliary surgeon group than the biliary surgeon group. CONCLUSION(S): In
patients with moderate (grade II) acute cholecystitis, PTGBD and EC were highly
efficient in resolving cholecystitis. DC patients after PTGBD had better outcomes
with a lower rate of OC, less intraoperative bleeding, shorter duration of
postoperative abdominal drainage, shorter hospital stays after cholecystectomy, a
lower incidence of respiratory failure, fewer admissions to the ICU than EC, and
reversed the pathologic process affecting the gallbladder. The total
postoperative hospital stay was longer in the PTGBD + DC group.
PMID- 29658840
TI - Neuropharmacological and molecular docking studies of xanthones from Swertia
corymbosa.
AB - Anxiety represents a public health problem consistently found to be the most
prevalent class of mental disorders among people of all ages. Xanthones possess
many biological properties, including neuroprotective, antioxidant or
antidepressant-like. In this study, we aimed to investigate anxiolytic-like
antidepressant and anticonvulsant properties of isolated xanthones from Swertia
corymbosa. We evaluated anxiolytic-like activity of compounds 1-3 in the mouse
elevated plus maze (EPM) and open field test (OF). We examined the influence on
locomotor activity in mouse to determine if the effect observed in the
actophotometer specific. We used step-through rotarod tests to evaluate the motor
function and muscle grip. Compounds 1-3 significantly induced an increase in the
number of entries into open arms and a decrease in time spent into closed arms at
the dose of 50 mg/kg body weight (BW). The compounds also induced increase of
rearing and decrease grooming at the doses of 25 and 50 mg/kg BW during the OF
test. In addition, compounds induced a significant increase of time taken to
enter at the center of the experimental set at the dose of 50 mg/kg BW during the
open field test. The compounds 1-3 significantly delayed the onset as well as
decreased the pentylenetetrazole and isoniazid-induced seizure tests. Compound 3
pretreatment significantly improved survivals in pentylenetetrazole and isoniazid
induced seizure tests. In silico studies reveal its possible mechanism of action
shed on light to develop novel drugs against CNS disorders.
PMID- 29658841
TI - Genetic Structure of Zymoseptoria tritici in Northern France at Region, Field,
Plant, and Leaf Layer Scales.
AB - Population genetic structure of the worldwide-distributed wheat pathogen
Zymoseptoria tritici has been extensively studied at large geographical scales,
but to a much less extent at small or local spatial scales. A total of 627 single
conidial fungal isolates were sampled from several locations in northern France
(Hauts-de-France Region) to assess fungal genetic structure at region, field,
plant, and leaf layer scales, using highly polymorphic microsatellite markers and
mating type idiomorphs. Important and overall similar levels of both gene and
genotype diversities (gene diversity values of >=0.44 and haplotype frequencies
of >=94%) were found at all the examined scales. Such rates of diversity are
likely due to an active sexual recombination in the investigated areas, as
revealed by equal proportions of the two mating types scored in all sampled
populations. Interestingly, a rare occurrence of clones among lesions from a same
leaf, as well as among leaves from different plant leaf layers (e.g., upper
versus lower leaves), was highlighted, indicating that ascospores contribute much
more than expected to Z. tritici epidemics, compared with pycnidiospores.
Population structure and analyses of molecular variance revealed significant
genetic differentiation at the regional scale (GST = 0.23) and, as expected, not
at the other more local scales (GST <= 0.01). Further analyses using Bayesian and
unweighted neighbor-joining statistical methods detected six genetic clusters
within the regional population, overall distributed according to the locations
from which the isolates were sampled. Neither clear directional relative
migration linked to the geographical distribution of the locations, nor isolation
by distance, were observed. Separate evolutionary trajectories caused by
selection and adaptations to habitat heterogeneity could be the main forces
shaping such structuration. This study provides new insights into the
epidemiology and the genetic structure of Z. tritici at small local and, for the
first time, at single plant and leaf layer scales. Such findings would be helpful
in implementing effective control strategies.
PMID- 29658842
TI - Pathogenic Streptomyces spp. Abundance Affected by Potato Cultivars.
AB - Potato cultivars vary in their tolerance to common scab; however, how they affect
common scab-causing Streptomyces spp. populations over time is poorly understood.
This study investigated the effects of potato cultivar on pathogenic Streptomyces
spp. abundance, measured using quantitative PCR, in three spatial locations in a
common scab-infested field: (i) soil close to the plant (SCP); (ii) rhizosphere
soil (RS); and (iii) geocaulosphere soil (GS). Two tolerant (Gold Rush,
Hindenburg) and two susceptible cultivars (Green Mountain, Agria) were tested.
The abundance of pathogenic Streptomyces spp. significantly increased in late
August compared with other dates in RS of susceptible cultivars in both years.
Abundance of pathogenic Streptomyces spp., when averaged over locations and time,
was significantly greater in susceptible cultivars compared with tolerant
cultivars in 2014. Principal coordinates analysis showed that SCP and RS soil
properties (pH, organic carbon, and nitrogen concentrations) explained 68 and 76%
of total variation in Streptomyces spp. abundance among cultivars in 2013,
respectively, suggesting that cultivars influenced common scab pathogen growth
conditions. The results suggested that the genetic background of potato cultivars
influenced the abundance of pathogenic Streptomyces spp., with five to six times
more abundant Streptomyces spp. in RS of susceptible cultivars compared with
tolerant cultivars, which would result in substantially more inoculum left in the
field after harvest.
PMID- 29658843
TI - Perceptions of Midwestern Crop Advisors and Growers on Foliar Fungicide Adoption
and Use in Maize.
AB - Foliar fungicide use in hybrid maize in the United States was rare before 2000.
The decade from 2000 to 2010 saw foliar fungicides increasingly applied to maize
in the absence of appreciable disease pressure, a practice seemingly at odds with
integrated pest management philosophy. Yet, it is commonly believed that growers
do not employ management strategies unless there are perceived benefits. Maize
(corn) growers (CGs) and certified crop advisors (CCAs) across four Midwestern
states (Iowa, Illinois, Ohio, and Wisconsin) were surveyed to better understand
their practices, values and perceptions concerning the use of foliar fungicides
during 2005 to 2009. The survey results demonstrated the rapid rise in maize
foliar fungicide applications from 2000 through 2008, with 84% of CGs who sprayed
having used a foliar fungicide in maize production for the very first time during
2005 to 2009. During 2005 to 2009, 73% of CCAs had recommended using a foliar
fungicide, but only 35% of CGs sprayed. Perceived yield gains, conditional on
having sprayed, were above the break-even point on average. However, negative
yield responses were also observed by almost half of CCAs and a quarter of CGs.
Hybrid disease resistance was a more important factor to economically successful
maize production than foliar fungicides. Diseases as a yield-limiting factor were
more important to CGs than CCAs. As a group, CGs were not as embracing of foliar
fungicide as were CCAs, and remained more conservative about the perceived
benefits to yield.
PMID- 29658844
TI - Functional Interactions Between Major Rice Blast Resistance Genes, Pi-ta and Pi
b, and Minor Blast Resistance Quantitative Trait Loci.
AB - Major blast resistance (R) genes confer resistance in a gene-for-gene manner.
However, little information is available on interactions between R genes. In this
study, interactions between two rice blast R genes, Pi-ta and Pi-b, and other
minor blast resistance quantitative trait loci (QTLs) were investigated in a
recombinant inbred line (RIL) population comprising 243 RILs from a Cybonnet
(CYBT) * Saber (SB) cross. CYBT has the R gene Pi-ta and SB has Pi-b. Ten
differential isolates of four Magnaporthe oryzae races (IB-1, IB-17, IB-49, and
IE-1K) were used to evaluate disease reactions of the 243 RILs under greenhouse
conditions. Five resistance QTLs were mapped on chromosomes 2, 3, 8, 9, and 12
with a linkage map of 179 single nucleotide polymorphism markers. Among them,
qBR12 (Q1), was mapped at the Pi-ta locus and accounted for 45.41% of phenotypic
variation while qBR2 (Q2) was located at the Pi-b locus and accounted for 24.81%
of disease reactions. The additive-by-additive epistatic interaction between Q1
(Pi-ta) and Q2 (Pi-b) was detected; they can enhance the disease resistance by an
additive 0.93 using the 0 to 9 standard phenotyping method. These results suggest
that Pi-ta interacts synergistically with Pi-b.
PMID- 29658845
TI - Nivolumab plus Ipilimumab in Lung Cancer with a High Tumor Mutational Burden.
AB - BACKGROUND: Nivolumab plus ipilimumab showed promising efficacy for the treatment
of non-small-cell lung cancer (NSCLC) in a phase 1 trial, and tumor mutational
burden has emerged as a potential biomarker of benefit. In this part of an open
label, multipart, phase 3 trial, we examined progression-free survival with
nivolumab plus ipilimumab versus chemotherapy among patients with a high tumor
mutational burden (>=10 mutations per megabase). METHODS: We enrolled patients
with stage IV or recurrent NSCLC that was not previously treated with
chemotherapy. Those with a level of tumor programmed death ligand 1 (PD-L1)
expression of at least 1% were randomly assigned, in a 1:1:1 ratio, to receive
nivolumab plus ipilimumab, nivolumab monotherapy, or chemotherapy; those with a
tumor PD-L1 expression level of less than 1% were randomly assigned, in a 1:1:1
ratio, to receive nivolumab plus ipilimumab, nivolumab plus chemotherapy, or
chemotherapy. Tumor mutational burden was determined by the FoundationOne CDx
assay. RESULTS: Progression-free survival among patients with a high tumor
mutational burden was significantly longer with nivolumab plus ipilimumab than
with chemotherapy. The 1-year progression-free survival rate was 42.6% with
nivolumab plus ipilimumab versus 13.2% with chemotherapy, and the median
progression-free survival was 7.2 months (95% confidence interval [CI], 5.5 to
13.2) versus 5.5 months (95% CI, 4.4 to 5.8) (hazard ratio for disease
progression or death, 0.58; 97.5% CI, 0.41 to 0.81; P<0.001). The objective
response rate was 45.3% with nivolumab plus ipilimumab and 26.9% with
chemotherapy. The benefit of nivolumab plus ipilimumab over chemotherapy was
broadly consistent within subgroups, including patients with a PD-L1 expression
level of at least 1% and those with a level of less than 1%. The rate of grade 3
or 4 treatment-related adverse events was 31.2% with nivolumab plus ipilimumab
and 36.1% with chemotherapy. ical; CheckMate 227 ClinicalTrials.gov number,
NCT02477826 .). CONCLUSIONS: Progression-free survival was significantly longer
with first-line nivolumab plus ipilimumab than with chemotherapy among patients
with NSCLC and a high tumor mutational burden, irrespective of PD-L1 expression
level. The results validate the benefit of nivolumab plus ipilimumab in NSCLC and
the role of tumor mutational burden as a biomarker for patient selection. (Funded
by Bristol-Myers Squibb and Ono Pharmaceut
PMID- 29658846
TI - Tobacco-Free Policies and Tobacco Cessation Systems at Health Centers Serving
Lesbian, Gay, Bisexual, and Transgender Clients.
AB - PURPOSE: LGBT populations have high rates of tobacco use. Health centers serving
LGBT clients are an important source of care. The researchers aimed to assess the
implementation of recommended systems-level tobacco cessation interventions at
these health centers. METHODS: Using systematic searching, directories, and
expert review, we identified health centers serving LGBT clients that provide
primary care. We conducted phone-based, semi-structured interviews with
administrators (n = 11) between September 2016 and March 2017 regarding
implementation of the Clinical Practice Guideline, Treating Tobacco Use and
Dependence: 2008 Update (the Guideline). Two authors confirmed saturation and two
authors conducted thematic coding. RESULTS: Eight themes were identified,
including clear evidence of systems-level procedures for asking about, advising
on, and assessing tobacco use. Interviewees viewed tobacco use as important given
existing disparities. However, there was room for improvement in the following
areas: (1) Education for staff on tobacco cessation was ad hoc and not
formalized; (2) materials and resources for tobacco cessation available in the
center varied widely and changed when a staff champion arrived or left; (3) no
point person was assigned to coordinate tobacco cessation efforts; and, (4)
assessment of tobacco use as a vital sign is not consistent-some centers met
meaningful use quality metrics (e.g., once or more in the past 24 months) instead
of the Guideline recommendation (every visit). Addressing tobacco use competes
with addressing other health risk behaviors. CONCLUSIONS: Administrators at
health centers serving LGBT clients viewed tobacco use as an important issue.
However, there was room for improvement in implementation of systems recommended
in the Guideline. Targeted outreach is warranted to improve standardization of
implementation and promote cessation of tobacco use.
PMID- 29658847
TI - Non-Face-to-Face Chronic Care Management: A Qualitative Study Assessing the
Implementation of a New CMS Reimbursement Strategy.
AB - Diabetes and its comorbidities are leading causes of morbidity and mortality in
the United States and disproportionately in Louisiana. Chronic care management
(CCM) efforts, such as care coordination models, are important initiatives in
mitigating the impact of diabetes, such as poorer health outcomes and increased
costs. This study examined one such effort, the Centers for Medicare & Medicaid
Services' non-face-to-face CCM reimbursement program, for patients with diabetes
and at least 1 other chronic condition in Louisiana. This qualitative study
included interviews with patients in this program and health care providers and
system leaders implementing the program. Results include lessons learned from
health system leadership relating to CCM design and implementation, challenges
experienced, overlapping initiatives, perceived benefits, performance, billing,
and health information technology. Another key finding is that co-pays seem to be
a barrier to patient interest in participation in non-face-to-face CCM,
especially given that the value of the program is not completely clear to
patients. A common strategy to address this co-pay barrier is to target dual
eligibles, as Medicaid will cover the co-pay. However, widespread use of such
strategies may indirectly exclude individuals who need and may also benefit from
non-face-to-face CCM.
PMID- 29658850
TI - Healthy Communities-The Role of the School Nurse: Position Statement.
PMID- 29658849
TI - Suicide Prevention for School Communities: An Educational Initiative for Student
Safety.
AB - A knowledge gap exists in school communities regarding suicide prevention and
means reduction education. The article highlights two core interrelated topics:
school nurse engagement in dialogue with students' families and the
implementation of an innovative, community-based suicide prevention educational
program at a suburban public school district. The authors provide an overview of
the public health problem of suicide for students, current student challenges,
role of the school nurse in suicide prevention, and a key gap in current school
nursing practice. At the request of the school counselors and principal, an
innovative suicide prevention educational program was initiated as a community
based project at a large suburban public school district in Texas. The two
overarching goals for this community-based collaboration are the following:
school nurses will engage in frank, productive conversations with students'
parents and families about suicidality concerns and increase the school
community's knowledge about suicide prevention. This school community knowledge
includes effective risk mitigation and means reduction strategies to better
manage suicidality in students. Ultimately, this ongoing family and school
community collaboration aims to prevent student deaths by suicide.
PMID- 29658851
TI - An Eye on Vision: Five Questions About Vision Screening and Eye Health.
AB - Current evidence-based and best-practice vision screening and eye health
approaches, tools, and procedures are the result of revised national guidelines
in the past 3 years and advances in research during the past 18 years. In
providing answers to the five questions in this article, the National Center for
Children's Vision and Eye Health at Prevent Blindness used published, peer
reviewed research; vision screening and eye health national guidelines; and
consensus-based best practices from eye care professionals and public health
experts. The answers may differ from your state or district vision screening
guidelines and mandates. This is the second installment of the "An Eye on Vision"
frequently asked questions section that will appear in future editions of NASN
School Nurse. To review the first installment, see the March 2018 edition of NASN
School Nurse. The authors encourage vision screeners to submit their vision
screening and eye health questions to the email address that appears at the end
of this article.
PMID- 29658848
TI - Neoadjuvant PD-1 Blockade in Resectable Lung Cancer.
AB - BACKGROUND: Antibodies that block programmed death 1 (PD-1) protein improve
survival in patients with advanced non-small-cell lung cancer (NSCLC) but have
not been tested in resectable NSCLC, a condition in which little progress has
been made during the past decade. METHODS: In this pilot study, we administered
two preoperative doses of PD-1 inhibitor nivolumab in adults with untreated,
surgically resectable early (stage I, II, or IIIA) NSCLC. Nivolumab (at a dose of
3 mg per kilogram of body weight) was administered intravenously every 2 weeks,
with surgery planned approximately 4 weeks after the first dose. The primary end
points of the study were safety and feasibility. We also evaluated the tumor
pathological response, expression of programmed death ligand 1 (PD-L1),
mutational burden, and mutation-associated, neoantigen-specific T-cell responses.
RESULTS: Neoadjuvant nivolumab had an acceptable side-effect profile and was not
associated with delays in surgery. Of the 21 tumors that were removed, 20 were
completely resected. A major pathological response occurred in 9 of 20 resected
tumors (45%). Responses occurred in both PD-L1-positive and PD-L1-negative
tumors. There was a significant correlation between the pathological response and
the pretreatment tumor mutational burden. The number of T-cell clones that were
found in both the tumor and peripheral blood increased systemically after PD-1
blockade in eight of nine patients who were evaluated. Mutation-associated,
neoantigen-specific T-cell clones from a primary tumor with a complete response
on pathological assessment rapidly expanded in peripheral blood at 2 to 4 weeks
after treatment; some of these clones were not detected before the administration
of nivolumab. CONCLUSIONS: Neoadjuvant nivolumab was associated with few side
effects, did not delay surgery, and induced a major pathological response in 45%
of resected tumors. The tumor mutational burden was predictive of the
pathological response to PD-1 blockade. Treatment induced expansion of mutation
associated, neoantigen-specific T-cell clones in peripheral blood. (Funded by
Cancer Research Institute-Stand Up 2 Cancer and others; ClinicalTrials.gov
number, NCT02259621 .).
PMID- 29658853
TI - Moving an Evidence-Based Policy Agenda Forward: Leadership Tips From the Field.
AB - Advancing evidence-based policy change is a leadership challenge that nurses
should embrace. Key tips to ensure that evidence-based policy changes are
successful at the individual, community, and population levels are offered to
help nurses through the change process. The public trust in the nursing
profession is a leverage point that should be used to advance the use of
evidence, expedite change, and improve health for students and across
communities.
PMID- 29658852
TI - Evidence-based Practice: Making the Research Relevant to Your Situation-CLUE #4:
Apply.
AB - Evidence-based practice (EBP) is often thought to be synonymous with research and
literature. This article focuses on the fourth step in the EBP process: Apply. In
this step, we fully integrate the EBP Venn diagram, which illustrates that EBP
occurs at the intersection of evidence and data, clinical expertise and
resources, and population's values and cultures. Only when school nurses include
each component into their practice decision will true EBP occur.
PMID- 29658854
TI - School Nurses: Leading the Development of Healthy Communities.
PMID- 29658855
TI - The Enhanced Nurse Licensure Compact (eNLC): Unlocking Access to Nursing Care
Across the Nation.
AB - Nurses, including school nurses, may reside in a state with compact licensing. On
July 20, 2017, an enhanced Nurse Licensure Compact (eNLC) was enacted with
implementation beginning on January 19, 2018. Nurses must be knowledgeable about
the status of their state's participation in the compact licensure, both their
state of residence and their state of practice. Nurses must also be knowledgeable
about what is entailed in compact licensure. This brief article provides basic
information about compact licensing, along with considerations about nursing
delegation, for the practicing school nurse.
PMID- 29658857
TI - Editorial: Perioperative Pharmacological Management: From Prescription
Medications to Dietary Supplements.
PMID- 29658859
TI - Identification and genetic characterization of equine Pegivirus in China.
AB - In 2013, two new viruses, equine pegivirus (EPgV) and Theiler's disease
associated virus (TDAV), both belonging to the genus Pegivirus within the family
Flaviviridae, were identified. To investigate the geographical distribution and
genetic diversity of these two viruses in China, we screened EPgV and TDAV
infection in imported race horses and Chinese work horses by using reverse
transcription polymerase chain reaction (RT-PCR). EPgV was detected in 10.8 %
(8/74) of the total horses tested, with a prevalence of 5.8 and 22.7 % in the
race horses and work horses, respectively. No TDAV infection was found. A near
full-length genome sequence of EPgV was obtained that showed an identity of 89.5
90.6 % at the nucleotide level and 98.1-98.3 % at the amino acid level with an
American strain, C0035, and another Chinese strain, LW/216, respectively.
Phylogenetic analysis showed two different clusters of the sequences from the
race horses and work horses, indicating a difference in virus origin. Our results
demonstrated a higher positive rate of EPgV in the Chinese work horses than in
the imported race horses, a moderate genetic diversity of EPgV strains worldwide
and possibly no liver pathogenesis for EPgV infection.
PMID- 29658858
TI - Carboxylicivirga sediminis sp. nov., isolated from coastal sediment.
AB - A yellow-pigmented bacterial strain (JR1T) isolated from a sediment sample was
subjected to a taxonomic study, based on phenotypic, genetic and physiological
characterization. Here, we describe the cultivation and characteristics of strain
JR1T, a novel member of the genus Carboxylicivirga in the family
Marinilabiliaceae. Cells of strain JR1T were rod-shaped, Gram-stain-negative, non
motile and facultatively anaerobic. The temperature range for growth was 15-42
degrees C (optimum, 33 degrees C) and the pH range for growth was pH 6.0-8.5
(optimum, pH 7.0-7.5). Growth occurred in the presence of 0.0-10.0 % (w/v) NaCl
(optimum 2.0-3.0 %). 16S rRNA gene sequence analysis produced results with 97.4 %
similarity to Carboxylicivirga taeanensisMEBiC 08903T, 96.8 % similarity to
Carboxylicivirga mesophilaMEBiC 07026T, 94.9 % similarity to Carboxylicivirga
linearis FB218T and 94.6 % similarity to Carboxylicivirga flava Q15T. The DNA G+C
content was 42.3 mol% and the major fatty acids were iso-C15 : 0, C15 : 0,
anteiso-C15 : 0, C17 : 1omega6c and iso-C17 : 0-3OH. The major polar lipids
detected were phosphatidylethanolamine and two unidentified lipids; the major
respiratory quinone detected was MK-7. The results of the phenotypical,
phylogenetic and biochemical analyses between the study strain and some related
type strains indicated that this strain represent a novel species of the genus
Carboxylicivirga within the family Marinilabiliaceae, for which the name
Carboxylicivirga sediminis sp. nov. is proposed. The type strain is JR1T (=MCCC
1K03323T=KCTC 52869T).
PMID- 29658860
TI - Pressure Support Breaths Triggered by Total Artificial Heart in Invasive and Non
Invasive Mechanical Ventilation.
AB - Because of the risks associated with extended mechanical ventilation, it is
desirable to extubate patients as early as possible. However, weaning patients
from mechanical ventilation too early has risks associated with it as well. Thus,
it is important to note that in the two cases presented here, pressure-supported
breaths were falsely triggered by a patient's a Syncardia(r) total artificial
heart (TAH), influencing decisions about weaning the patient from mechanical
ventilation.
PMID- 29658861
TI - Monster Lung Cavity in a Heart Transplant Recipient.
AB - Invasive mucormycosis infections occur in less than 1% of recipients of
orthotopic heart transplants. Given the angioinvasive nature of these infections,
the mortality rate is high. Little literature exists regarding the presentation
and management of these infections. We present a case of a patient who developed
an infection after orthotopic heart transplant, describe the successful
multidisciplinary management surrounding his care, and review the available
literature regarding mucormycosis infections in heart transplant recipients.
PMID- 29658862
TI - Progress in Gene Therapy for Chronic Heart Failure.
AB - Chronic heart failure (CHF) is still the leading cause of morbidity and mortality
worldwide, and carries with it large economic and social burdens. Although steady
and substantial progress has been made in reducing mortality from heart failure
using conventional treatments, novel pharmacologic and surgical interventions
have not been effective in extending five year survival rates. Therefore, it is
necessary to explore new therapies. Gene therapy was introduced in 1970s with the
development of recombinant DNA technology. Due to recent progress in the
understanding of myocardial metabolism and application of vector based gene
transfer strategies in animal models and initial clinical trials, gene therapy
possibly affords an ideal treatment alternative for CHF. In last 2 decades, much
research has been done on gene therapy, using various genes, signal transduction
passages and delivery methods to treat advanced heart failure. Current research
in ischemic heart disease (IHD) mainly focuses on stimulating angiogenesis,
modifying the coronary vascular environment, and improving the vascular
endothelial function with localized gene coated catheters and stents. Compared
with standard ischemic heart disease treatment, the main goal of gene therapy for
CHF is to inhibit apoptosis, reduce the undesirable remodeling and increase
contractility through the most efficient cardiomyocyte transfection [Katz 2012a].
In this paper, we review various gene transfer technologies in ischemic heart
disease and heart failure models, and discuss the advantages and disadvantages of
these strategies in vector-mediated cardiac gene delivery, with the main focus on
the high efficiency approach of a molecular cardiac surgery delivery system.
PMID- 29658856
TI - Pembrolizumab plus Chemotherapy in Metastatic Non-Small-Cell Lung Cancer.
AB - BACKGROUND: First-line therapy for advanced non-small-cell lung cancer (NSCLC)
that lacks targetable mutations is platinum-based chemotherapy. Among patients
with a tumor proportion score for programmed death ligand 1 (PD-L1) of 50% or
greater, pembrolizumab has replaced cytotoxic chemotherapy as the first-line
treatment of choice. The addition of pembrolizumab to chemotherapy resulted in
significantly higher rates of response and longer progression-free survival than
chemotherapy alone in a phase 2 trial. METHODS: In this double-blind, phase 3
trial, we randomly assigned (in a 2:1 ratio) 616 patients with metastatic
nonsquamous NSCLC without sensitizing EGFR or ALK mutations who had received no
previous treatment for metastatic disease to receive pemetrexed and a platinum
based drug plus either 200 mg of pembrolizumab or placebo every 3 weeks for 4
cycles, followed by pembrolizumab or placebo for up to a total of 35 cycles plus
pemetrexed maintenance therapy. Crossover to pembrolizumab monotherapy was
permitted among the patients in the placebo-combination group who had verified
disease progression. The primary end points were overall survival and progression
free survival, as assessed by blinded, independent central radiologic review.
RESULTS: After a median follow-up of 10.5 months, the estimated rate of overall
survival at 12 months was 69.2% (95% confidence interval [CI], 64.1 to 73.8) in
the pembrolizumab-combination group versus 49.4% (95% CI, 42.1 to 56.2) in the
placebo-combination group (hazard ratio for death, 0.49; 95% CI, 0.38 to 0.64;
P<0.001). Improvement in overall survival was seen across all PD-L1 categories
that were evaluated. Median progression-free survival was 8.8 months (95% CI, 7.6
to 9.2) in the pembrolizumab-combination group and 4.9 months (95% CI, 4.7 to
5.5) in the placebo-combination group (hazard ratio for disease progression or
death, 0.52; 95% CI, 0.43 to 0.64; P<0.001). Adverse events of grade 3 or higher
occurred in 67.2% of the patients in the pembrolizumab-combination group and in
65.8% of those in the placebo-combination group. CONCLUSIONS: In patients with
previously untreated metastatic nonsquamous NSCLC without EGFR or ALK mutations,
the addition of pembrolizumab to standard chemotherapy of pemetrexed and a
platinum-based drug resulted in significantly longer overall survival and
progression-free survival than chemotherapy alone. (Funded by Merck; KEYNOTE-189
ClinicalTrials.gov number, NCT02578680 .).
PMID- 29658863
TI - Swallow Syncope After Whiplash Neck Injury.
AB - Swallow syncope is a rare dysautonomic syndrome characterized by temporary loss
of consciousness upon swallowing solid foods or liquids, displaying primarily in
individuals with an underlying structural or functional esophageal or cardiac
pathology. However, the evidence also suggests that isolated vagal dysfunction or
abnormal reactions of esophageal mechanoreceptors after mechanical irritation,
demyelination, or trauma can potentially evoke a cardioinhibitory response or
vasodepression upon swallowing. We present a case of a 49-year-old otherwise
healthy female patient who developed swallow syncope two weeks after whiplash
neck injury acquired in a rear-end collision. After no evident anatomical and/or
functional cardiac or esophageal pathology was diagnosed using several diagnostic
procedures, the final diagnosis was confirmed by a provocative tilt-table test
while ingesting solid food. Subsequently, a dual-chamber pacemaker was implanted,
relieving the patient from troublesome symptoms. Abnormal reactions of esophageal
mechanoreceptors to stimuli associated with food ingestion and/or dysfunction of
afferent and efferent vagal fibers due to stretch injury and related neck trauma
(acquired during the car accident) may be the leading pathophysiological
mechanisms of swallow syncope in our patient.
PMID- 29658864
TI - Right Ventricular Perforation by a Defibrillator Lead Migrating to the Left
Breast.
AB - Cardiac perforation after an ICD implantation is a rare complication, with a
reported incidence between 0.6-5.2%. Its manifestation might be acute, subacute,
or delayed, with an acute perforation occurring within the first 24 hours after
implantation, frequently accompanied by severe clinical signs, while subacute and
delayed perforations have a more benign progression. Here, we report a case of a
69-year old patient with an acute right ventricular perforation by a
defibrillator lead migrating all the way through the pericardium and thoracic
wall into the left breast, with an unusually mild and benign clinical course,
delaying prompt diagnosis and postponing subsequent surgical treatment. Heart
perforation with a defibrillator electrode is a rare but dangerous complication,
which may lead to pacing failure, cardiac tamponade, cardiogenic shock, and even
death. Even with a benign clinical course, one must think of cardiac wall
perforation at any time after device implantation, and a contrast enhanced
computer tomography (CTA) must be performed if perforation is suspected. At re
implantation, the lead should be located at a different anatomical position
within the RV, preferably at the interventricular septal site, and manipulation
of the injury site within the RV avoided.
PMID- 29658865
TI - Hemodynamic Consequence of Different Pacing Modes after Aortic Valve Replacement.
AB - OBJECTIVES: Ventricular pacemaker stimulation may cause deterioration of
hemodynamics in patients with left-ventricular hypertrophy following aortic valve
replacement. Since the diastolic function is often impaired, it remains unclear
which heart rate best optimizes cardiac output. Low heart rates are suggested to
treat impaired diastolic function chronically, but it is possible that cardiac
output may be augmented by increasing the heart rate in patients with a fixed
stroke volume (SV). The aim of this study is the identification of the best
pacing mode and heart rate for the surrogate parameter SV and cardiac index(CI)
in patients with left ventricular hypertrophy. METHODS: Various pacemaker
stimulation modes and different heart rates, as well as their influence on
hemodynamics, were tested following aortic valve replacement in 48 patients with
severe left-ventricular hypertrophy (Intraventricular septum (IVS)>1.5 cm) and
aortic stenosis. SV and cardiac output were recorded by pulse curve analysis.
Four modes of stimulation (right ventricular pacemaker stimulation (DDDright),
left ventricular pacemaker stimulation (DDDleft), biventricular pacemaker
stimulation (DDDbi), atrial pacemaker stimulation (AAI)) were documented at five
different rates (60, 80, 100, 120, 140 beats/min) and three different
postoperative time points (intraoperatively, 3h and 24h postoperatively).
RESULTS: The highest CI was found at linear rates between 60 to 140bpm. AAI was
the best mode of stimulation in the majority of cases (35%), but in others,
either left, right and/or biventricular stimulation was found to be better (15%).
SV showed a u-shaped trend with a peak at 100 beats/min. CONCLUSION: An increase
in the heart rate does not lead to a notable drop in SV postoperatively in left
ventricular hypertrophy; hence a rise in cardiac output can be anticipated up to
a rate of 100 beats/min. A standardized response in terms of an ideal pacemaker
stimulation mode could not be identified.
PMID- 29658866
TI - Mechanical Valve Replacement for Congenital Heart Disease Complicated by Native
Pulmonary Valve Endocarditis: A Case Report and Literature Review.
AB - Congenital heart disease (CHD) is one of the most common risk factors for
infective endocarditis. However, it is rare to find a CHD patient complicated by
isolated pulmonary valve endocarditis. Here, we report an adult patient with
congenital heart disease complicated by native pulmonary valve endocarditis who
underwent a mechanical valve replacement. We also review previous literature to
examine key points in the treatment of such patients.
PMID- 29658867
TI - Repair of Partial Anomalous Pulmonary Venous Connection: A Rare Case Evaluated by
Multi-Slice Computed Tomographic Angiography.
AB - Partial anomalous pulmonary venous connection (PAPVC) is an uncommon congenital
heart disease, which may be difficult to identify and often remains undiagnosed.
Accurate diagnosis of major aortopulmonary collaterals and partial anomalous
pulmonary venous drainage in patients with congenital heart disease is important
but problematic. The goal of this publication is to present the diagnosis and
surgical repair of this rare pathology in an eight-year-old boy. Atrial septal
defect was found by echocardiography, but no anomalous pulmonary vein was found.
However, multi-slice computed tomographic angiography (MSCTA) revealed that the
isolated right superior pulmonary vein was replaced by right superior pulmonary
vein 1 (RSPV1), right superior pulmonary vein 2 (RSPV2) and right superior
pulmonary vein 3 (RSPV3), which connected to the superior vena cava (SVC), the
orifice of SVC, and the left atrium, respectively. The patient underwent the
repair of PAPVC with division of the SVC and re-implantation on the right atrial
appendage to restore normal systemic venous drainage. Postoperative course was
uneventful. In conclusion, PAPVC is a rare congenital cardiac pathology. MSCTA
could contribute to an accurate anatomic and functional definition of this
variant.
PMID- 29658868
TI - Serum Levels of miR-155, miR-326, and miR-133b as Early Diagnostic Biomarkers for
the Detection of Human Acute Heart Allograft Rejection in Comparison with Serum
Cardiac Troponin T.
AB - BACKGROUND: Acute heart allograft rejection occurs as a result of antibody
mediated rejection that presents during the first month after transplantation.
Finding a non-invasive biomarker is essential for diagnosis of heart allograft
rejection. In this research, we intended to compare expression levels of several
microRNAs across cardiac troponin T levels between rejected patients (who died
before one month following transplantation), non-rejected patients (who survived
for at least one month after transplantation), and non-transplanted patients
(CABG surgery patients). METHODS: Serum levels of miR-155, miR-326, and miR-133b
were evaluated by the q-RT-PCR method. Furthermore, cardiac troponin T levels
were measured by a highly sensitive electrochemiluminescence assay. Finally, the
data were analyzed by independent sample t-test using SPSS 21(r) computer
software. Results: It was observed that miR-326 and miR-155 expression levels
increased after 24h and 72h of surgery in rejected patients compared with the two
other groups, but these increases were not statistically significant. Moreover,
the decrease in miR-133b expression level was non-significant after
transplantation in the rejected group compared with the non-rejected group.
However, cTnT levels in rejected patients increased significantly compared with
the other groups (P < .05). After ROC curve analysis, the cTnT marker with the
most area under the curve (AUC = 1.00, 95% confidence interval, 1.00 to 1.00; P =
.006), had the best discriminatory power, and among microRNAs, miR-326 had the
largest area under curve (AUC = 0.81), and consequently the highest
discriminatory power. CONCLUSIONS: We demonstrated that troponin T can be a more
efficient biomarker than miRNAs for early prediction of human death caused by
acute heart rejection, and the ROC curves analysis verified this finding.
PMID- 29658869
TI - Predictive Model for Secundum Atrial Septal Defect Closure with Pulmonary Artery
Hypertension in Adult: When to Close.
AB - BACKGROUND: Secundum atrial septal defect (SASD) closure is contraindicated in
the presence of severe pulmonary artery hypertension (PAH). However, there is no
consensus on the threshold of severe PAH, in terms of mean pulmonary artery
pressure (mPAP), which would contraindicate for defect closure surgery in adults.
Furthermore, PAH can persist, or even increase in severity, after the closure.
The aim of this study was to produce a predictive model correlating mPAP in adult
SASD patients with PAH after defect closure surgery. Methods: Between January
2014 and March 2017, 29 consecutive adult SASD patients who had PAH and underwent
defect closure surgery were included in the study. Age, right atrium (RA)
dimension, right ventricle (RV) dimension, and mPAP before surgery were analyzed
using multiple regression to produce the model. RESULTS: Multiple regression
produced the following model: mPAP prediction = (0.24)(Age) + (0.06)(mPAP before
surgery) + (0.17)(RA dimension) + (0.47)(RV dimension) - 13.79 (P = .0008). The
mPAP prediction was compared to mPAP of the patients six to nine months after
surgery, and showed no significant difference (P = .9562). Conclusion: In adult
SASD patients with PAH, our model can significantly predict the mPAP after the
closure. If the predicted mPAP is within its normal range, the closure is
indicated.
PMID- 29658870
TI - Descending Thoracic Aortic Aneurysm Rupture Treated with Thoracic Endovascular
Aortic Repair in a Patient with Peripheral Artery Disease.
AB - Descending thoracic aortic aneurysm rupture is a life-threatening disease
associated with high rates of morbidity and mortality. Treatment in these cases
is a surgical emergency. Less invasive therapies for the treatment of this
pathology have been developed over time. For descending thoracic aneurysm
rupture, endovascular stent grafting is less invasive, life-saving, and a unique
alternative to open repair. However, this approach is subject to anatomical and
logistic limitations. The purpose of the present study is to report a case of an
emergency endovascular repair for a ruptured thoracic aortic aneurysm in a
patient with peripheral arterial disease, and to discuss some important issues
related to this approach. Severe calcifications were discovered in this patient
on both iliac arteries, and the remaining circulated lumen was less than 2 to 3
mm. Unfortunately, only catheter insertion into the left iliac artery for
angiography was able to determine the development of a dissection in the arterial
wall. We decided to dilate both iliac arteries with partial stabilization of the
dissection on the right iliac artery which allowed us to successfully continue
the endovascular procedure. After 24 hours, the patient experienced right limb
ischemia, and revascularization of the affected limb was achieved by performing a
right axillofemoral bypass.
PMID- 29658872
TI - Reoperative Cardiac Surgery - Part II.
AB - The preparation for a reoperative cardiac surgical case was covered in Part I of
this two part review [Tribble 2018]. Part II will cover primarily intraoperative
strategies and techniques. As noted in Part I, there has been surprisingly
little written about the strategies and techniques of reoperative cardiac
surgery. Thus, the goal of this two-part review is to collect and collate some of
the lessons, abjurations, and tenets related to reoperative cardiac surgery that
may be valuable to cardiac surgeons, especially those in training or early in
their careers.Some time-honored admonitions that can apply to all complex
operations, often enunciated by "old salts," bear repeating:* Everything
matters. Nothing is neutral.* Some say that a "life or death" decision is made,
on average, every 10 seconds during cardiac surgery. * If something can go
wrong, presume that it will.* If it seems absolutely impossible for something to
go wrong, it will anyway, at least some of the time.* When something does go
wrong, it generally does so all at once.* If what you are doing is working, keep
on doing it. If it ain't working, do something else.
PMID- 29658871
TI - New-Onset Ventricular Arrhythmias in Patients with Left Ventricular Dysfunction
after Coronary Surgery: Incidence, Risk Factors, and Prognosis.
AB - BACKGROUND: The incidence, risk factors, and long-term prognosis of new-onset
ventricular tachycardia (VT) and ventricular fibrillation (VF) after coronary
artery bypass graft surgery (CABG) in patients with impaired left ventricular
function have not been thoroughly examined. Methods: This study enrolled 612
consecutive patients with impaired left ventricular function (ejection fraction
<50%) undergoing CABG at a single institution between March, 1996, and September,
2015. Outcomes were analyzed and compared, including in-hospital mortality and
long-term survival. After a propensity-score, matching was performed to adjust
for differences between the two cohorts. Factors significantly associated with
VT/VF were also investigated using multivariate logistic regression. RESULTS: Of
the 600 patients included in the analyses, 92 (15.3%; 95% confidence interval
[CI] 12.5-18.3%) had new-onset VT/VF postoperatively. Before propensity matching,
patients with postoperative VT/VF were more likely to have renal failure, intra
aortic balloon pump support, lower preoperative ejection fraction (EF), and a
larger left ventricle than those without VT/VF. Multivariate regression
identified three preoperative risk factors and one protective factor that were
independently associated with new-onset VT/VF: previous renal failure (odds ratio
[OR] 4.42, P = .02), left ventricular end-diastolic dimension enlargement (OR
1.83, P = .03), ejection fraction (OR 1.88, P = .02 for EF >=30 and <40% versus
>=40% and <50%; OR 5.46, P = .00 for EF <30% versus >=40% and <50%), and
preoperative beta-blockers (OR 0.58, P = .03). The median follow-up time was 46.6
months. In the propensity-matched cohorts, survival for patients who had in
hospital VT/VF was lower than that of the non-VT/VF group (89.9% versus 97.6%; P
< .05). CONCLUSION: This study shows a high incidence of new-onset VT/VF after
CABG in patients with impaired left ventricular function. The early and long-term
survival rates were significantly lower in the VT/VF group. Preoperative renal
failure, left ventricular end-systolic dimension enlargement, and the severity of
left ventricular function were independently associated with the development of
new-onset VT/VF after CABG surgery. Preoperative use of beta-blocker was proved
to be protective in reducing both VT/VF incidence and in-hospital mortality in
CABG patients with impaired left ventricular function following CABG. When
considering these data, a prescription of beta-blockers is prognostically
indicated to CABG patients, especially those with new-onset VT/VF
postoperatively.
PMID- 29658873
TI - A Prototype Lip Balm: Summary of Three Dermatological Studies Demonstrating
Safety and Acceptability for Sensitive Skin.
AB - Data were generated from three studies to assess the tolerability and
acceptability of a prototype cosmetic lip balm. Dermatological assessments of
topical compatibility (primary and cumulative irritability and sensitization),
photoirritant and topical photosensitizer potential, and acceptability for safe
use of a prototype cosmetic lip balm on sensitive skin are summarized. In Study
1, the product was applied to the volunteers' backs under a semiocclusive patch
followed by patch removal/reapplication over 6 weeks to assess the irritant and
allergic potential of the product. Dermatological assessments were performed at
the beginning and end of the study or when there was evidence of positivity or
adverse event. Study 2 was conducted by applying the product to the volunteers'
backs under a semiocclusive patch, followed by patch removal/reapplication and
irradiation of the test area with ultraviolet A (UVA) radiation at various
intervals over 5 weeks. Dermatological assessments were performed to assess the
product's role in the induction of photoirritancy and photosensitization.
Clinical and subjective assessments for acceptability were obtained during Study
3 in volunteers with a diagnosis of sensitive skin and those who used the product
as per instructions for use during the study period. The data generated from the
three studies demonstrated no evidence of primary or cumulative dermal irritation
or of dermal sensitization. In addition, no photoirritation potential or
photosensitization potential was observed. As assessed by dermatologic monitoring
and subject diary entries, the prototype lip balm did not cause irritation or
sensitization reactions when used for 28 days in volunteers with a diagnosis of
sensitive skin. Based on these findings, the prototype lip balm can be considered
suitable for use for people with sensitive skin.
PMID- 29658874
TI - Evaluation on an Optical Scanning Device for Skin Profile Measurement.
AB - This paper describes experimental evaluations of an optical scanning device for
skin surface recovery using multiple light source photometric stereo method. The
portable optical device based on the principle of six-light photometric stereo
was developed and subjected to evaluation and advancement through clinical trials
for the purpose of monitoring skin conditions. As the device can provide
objective topographic data for the description of the skin surface condition, the
evaluation processes are mainly applied on skin in vitro and in vivo and compared
with a commercial product, PRIMOS, which has been so far considered as a standard
device used for skin surface measurement. The results of the experiment show that
the topography measured by the device is significantly closer to that of the
ground truth. Meanwhile, the new optical scanning device demonstrates better
performance in measuring skin surface in vivo, superior to that of the PRIMOS.
PMID- 29658875
TI - New Aspects of the Structure of Human Scalp Hair-II: Tubular Structure and
Material Flow Property of the Medulla.
AB - Asian scalp hair fibers were made thin by treatment with papain or sliced along
the longitudinal axis or randomly cut by mechanical means. Optical microscopic
observations of the resulting specimens indicated that (i) the medulla (M)
consisted of two types of the M-surrounding cells which were linearly linked one
another to form a tubular structure running through the fiber and (ii) the drum
shaped vesicles containing small proteinous granules were neatly or sparsely
stored within the tube. On the other hand, H+ and OH- ions were able to move
spontaneously from one end to another through the M tube. Large molecules such as
an anthocyanin dye (from purple sweet potato) were also capable of flowing
through the M tube, especially rapidly when DC voltage was applied between the
two ends of the hair fiber. The possible function of the M is briefly discussed
in conjunction with the tubular structure and the material flow property.
PMID- 29658876
TI - Use of L-Glutamic Acid in a New Enrichment Broth (R-TATP Broth) for Detecting the
Presence or Absence of Molds in Raw Ingredients/Personal Care Product
Formulations by Using an ATP Bioluminescence Assay.
AB - The present study reports the effects of adding L-glutamic acid to a new
enrichment broth designated as R-TATP broth, to promote the growth of slow
growing mold microorganisms such as Aspergillus brasiliensis and Aspergillus
oryzae, without interfering in the growth of other types of microorganisms. This
L-glutamic acid containing enrichment broth would be particularly valuable in a
rapid microbial detection assay such as an adenosine triphosphate (ATP)
bioluminescence assay. By using this new enrichment broth, the amount of ATP
(represented as relative light unit ratio after normalized with the negative test
control) from mold growth was significantly increased by reducing the time of
detection of microbial contamination in a raw ingredient or personal care product
formulation from an incubation period of 48-18 h. By using L-glutamic acid in
this enrichment broth, the lag phase of the mold growth cycle was shortened. In
response to various concentrations of L-glutamic acid in R-TATP broth, there was
an increased amount of ATP that had been produced by mold metabolism in an ATP
bioluminescence assay. By using L-glutamic acid in R-TATP broth in an ATP
bioluminescence assay, the presence of mold could be detected in 18 h as well as
other types of microorganisms that may or may not be present in a test sample. By
detecting the presence or absence of microbial contamination in 18 h, it is
superior in comparison to a 48-96 h incubation period by using either a standard
or rapid detection method.
PMID- 29658877
TI - Simulation of the Elastin and Fibrillin in Non-Irradiated or UVA Radiated
Fibroblasts, and Direct Inhibition of Elastase or Matrix Metalloptoteinases
Activity by Nicotinamide or Its Derivatives.
AB - Skin aging/photoaging is associated with altered the structure of collagen and
elastin fibers, and increased activity of matrix metalloproteinases (MMP) and
elastase. Nicotinamide and its derivatives, 2,6-dihydroxynicotinamide, 2,4,5,6
tetrahydroxynicotinamide, and 3-hydroxypicolinamide (collectively niacin
derivatives) stimulate fibrillar collagen and heat shock proteins in dermal
fibroblasts. The goal of this research was to extend the understanding of the
anti-skin aging mechanism of these niacin derivatives through the stimulation of
elastin (at the protein and promoter levels), fibrillin (1 and 2) in
nonirradiated or ultraviolet (UVA) radiated dermal fibroblasts, and through the
direct inhibition of MMP (1, 3, and 9) and elastase activities. UVA radiation
stimulated elastin and inhibited fibrillin-1 and fibrillin-2 in dermal
fibroblasts. The niacin derivatives significantly stimulated the expression of
elastin (transcriptionally), fibrillin-1 and fibrillin-2 in nonirradiated and UVA
radiated fibroblasts, and directly inhibited MMP or elastase activity. Overall,
the niacin derivatives, more so nicotinamide and 2,6-dihydroxynicotinamide, have
anti-skin aging potential through the stimulation of elastin and fibrillin, and
the direct inhibition of the extracellular matrix proteolytic enzymes.
PMID- 29658878
TI - Comparing the Influence of Five Patch Types on the Result of a 12-Day Cumulative
Irritancy Patch Test.
AB - A 12-day cumulative irritancy patch test is available for predicting skin
irritation potential. This study is important to determine the ideal patch type
to be used in the irritancy patch test. This study was conducted to determine the
cumulative skin irritation potential of five different patch types using
predictive patch test techniques. Five types of patches were tested in a 12 day
repeated insult test. The patch types were Hill Top Chamber occlusive, Finn
Chamber occlusive, Band-Aid semiocclusive, Webril(r) semiocclusive, and Webril(r)
occlusive. The test materials applied to the patches were cream, lotion, 2% bath
cream, and controls of 1% sodium lauryl sulfate (1% SLS), respectively. A
dermatologist performed the grading. The test results revealed that with cream,
the cumulative scores of Hill Top Chamber occlusive, Finn Chamber occlusive, Band
Aid semiocclusive, Webril(r) semiocclusive, and Webril(r) occlusive were 22, 27,
16, 9, and 21, respectively; with lotion, the cumulative scores were 192, 200,
192, 200, and 70, respectively; with 2% bath cream, the cumulative scores were
523, 306, 523, 306, and 506, respectively; with 1% sodium dodecyl sulfate
solution, the cumulative scores were 792, 801, 753, 526, and 841, respectively.
Comparison of the five different patch types revealed that Webril(r)
semiocclusive had the lowest cumulative irritation scores and incidence of
adverse reactions. Comparison of the three test materials revealed that cream was
the mildest material with the lowest cumulative irritation scores.
PMID- 29658879
TI - The Rheological and Skin Sensory Properties of Cosmetic Emulsions: Influence of
Thickening Agents.
AB - Hydrophilic polymers are widely used in the cosmetics industry as thickening
agents/rheology modifiers. These thickening agents have different chemical
structures which affect the rheological properties, as well as the sensory
attributes of the formula. Systematic study is important to determine the
relationship among them. Six commonly used hydrophilic polymers, including
cellulose derivatives and synthetic polymers, were used as thickening agents in a
series of oil-in-water emulsions. The rheological properties were evaluated in
relation to the thickening mechanism and polymer structures. Comprehensive skin
sensory studies were carried out to test factors such as the pick-up, rub-in, and
after-feel of these emulsions and the control sample. Results showed that all the
samples demonstrated a non-Newtonian and shear-thinning behavior, and synthetic
polymer-based formulas were more viscous than cellulose derivative-based ones.
All eight attributes for the factors of appearance, pick-up, and rub-in showed
statistically significant differences (p <= 0.05), whereas all five attributes
for the after-feel factor exhibited no statistically significant differences (p >
0.05) for different thickening agents. According to the results calculated using
Pearson's correlation coefficients, four sensory attributes were mostly
correlated with the rheological parameters.
PMID- 29658880
TI - Crystal structure of intraflagellar transport protein 80 reveals a homo-dimer
required for ciliogenesis.
AB - Oligomeric assemblies of intraflagellar transport (IFT) particles build cilia
through sequential recruitment and transport of ciliary cargo proteins within
cilia. Here we present the 1.8 A resolution crystal structure of the
Chlamydomonas IFT-B protein IFT80, which reveals the architecture of two N
terminal beta-propellers followed by an alpha-helical extension. The N-terminal
beta-propeller tethers IFT80 to the IFT-B complex via IFT38 whereas the second
beta-propeller and the C-terminal alpha-helical extension result in IFT80 homo
dimerization. Using CRISPR/Cas to create biallelic Ift80 frameshift mutations in
IMCD3 mouse cells, we demonstrate that IFT80 is absolutely required for
ciliogenesis. Structural mapping and rescue experiments reveal that human disease
causing missense mutations do not cluster within IFT80 and form functional IFT
particles. Unlike missense mutant forms of IFT80, deletion of the C-terminal
dimerization domain prevented rescue of ciliogenesis. Taken together our results
may provide a first insight into higher order IFT complex formation likely
required for IFT train formation.
PMID- 29658881
TI - Negative regulation of G2-M by ATR (mei-41)/Chk1(Grapes) facilitates tracheoblast
growth and tracheal hypertrophy in Drosophila.
AB - Imaginal progenitors in Drosophila are known to arrest in G2 during larval stages
and proliferate thereafter. Here we investigate the mechanism and implications of
G2 arrest in progenitors of the adult thoracic tracheal epithelium
(tracheoblasts). We report that tracheoblasts pause in G2 for ~48-56 h and grow
in size over this period. Surprisingly, tracheoblasts arrested in G2 express
drivers of G2-M like Cdc25/String (Stg). We find that mechanisms that prevent G2
M are also in place in this interval. Tracheoblasts activate Checkpoint Kinase
1/Grapes (Chk1/Grp) in an ATR/mei-41-dependent manner. Loss of ATR/Chk1 led to
precocious mitotic entry ~24-32 h earlier. These divisions were apparently normal
as there was no evidence of increased DNA damage or cell death. However,
induction of precocious mitoses impaired growth of tracheoblasts and the tracheae
they comprise. We propose that ATR/Chk1 negatively regulate G2-M in developing
tracheoblasts and that G2 arrest facilitates cellular and hypertrophic organ
growth.
PMID- 29658883
TI - A question of time.
AB - How does a scientist balance establishing a career and starting a family?
PMID- 29658884
TI - Modal analysis of the thermal conductivity of nanowires: examining unique thermal
transport features.
AB - In this study, unique thermal transport features of nanowires over bulk materials
are investigated using a combined analysis based on lattice dynamics and
equilibrium molecular dynamics (EMD). The evaluation of the thermal conductivity
(TC) of Lenard-Jones nanowires becomes feasible due to the multi-step normal mode
decomposition (NMD) procedure implemented in the study. A convergence issue of
the TC of nanowires is addressed by the NMD implementation for two case studies,
which employ pristine nanowires (PNW) and superlattice nanowires. Interestingly,
mode relaxation times at low frequencies of acoustic branches exhibit signs of
approaching constant values, thus indicating the convergence of TC. The TC
evaluation procedure is further verified by implementing EMD-based Green-Kubo
analysis, which is based on a fundamentally different physical perspective.
Having verified the NMD procedure, the non-monotonic trend of the TC of nanowires
is addressed. It is shown that the principal cause for the observed trend is due
to the competing effects of long wavelength phonons and phonon-surface
scatterings as the nanowire's cross-sectional width is changed. A computational
procedure is developed to decompose the different modal contribution to the TC of
shell alloy nanowires (SANWs) using virtual crystal NMD and the Allen-Feldman
theory. Several important conclusions can be drawn from the results. A propagons
to non-propagons boundary appeared, resulting in a cut-off frequency (omega cut);
moreover, as alloy atomic mass is increased, omega cut shifts to lower
frequencies. The existence of non-propagons partly causes the low TC of SANWs. It
can be seen that modes with low frequencies demonstrate a similar behavior to
corresponding modes of PNWs. Moreover, lower group velocities associated with
higher alloy atomic mass resulted in a lower TC of SANWs.
PMID- 29658882
TI - Transcriptomic analyses reveal rhythmic and CLOCK-driven pathways in human
skeletal muscle.
AB - Circadian regulation of transcriptional processes has a broad impact on cell
metabolism. Here, we compared the diurnal transcriptome of human skeletal muscle
conducted on serial muscle biopsies in vivo with profiles of human skeletal
myotubes synchronized in vitro. More extensive rhythmic transcription was
observed in human skeletal muscle compared to in vitro cell culture as a large
part of the in vivo mRNA rhythmicity was lost in vitro. siRNA-mediated clock
disruption in primary myotubes significantly affected the expression of ~8% of
all genes, with impact on glucose homeostasis and lipid metabolism. Genes
involved in GLUT4 expression, translocation and recycling were negatively
affected, whereas lipid metabolic genes were altered to promote activation of
lipid utilization. Moreover, basal and insulin-stimulated glucose uptake were
significantly reduced upon CLOCK depletion. Our findings suggest an essential
role for the circadian coordination of skeletal muscle glucose homeostasis and
lipid metabolism in humans.
PMID- 29658885
TI - A CE-FL based method for real-time detection of in-capillary self-assembly of the
nanoconjugates of polycysteine ligand and quantum dots.
AB - Small molecules with free thiol groups always show high binding affinity to
quantum dots (QDs). However, it is still highly challenging to detect the binding
capacity between thiol-containing molecules and QDs inside a capillary. To
conquer this limitation, a capillary electrophoresis with fluorescence detection
(CE-FL) based assay was proposed and established to investigate the binding
capacity between QDs and a poly-thiolated peptide (ATTO 590-DDSSGGCCPGCC, ATTO
C4). Interestingly, the results showed that interval time had a great influence
on QDs and ATTO-C4 self-assembly, which can be attributed to longer interval time
benefitting the binding of QDs to ATTO-C4. The stability assays on ATTO-C4-QD
assembly indicated that high concentration of imidazole or GSH had a high
capability of competing with the bound ATTO-C4, evidenced by dramatically
dropping of S 625/S 565 ratio from 0.78 to 0.30 or 0.29. Therefore, all these
results above suggested that this novel CE-FL based detection assay could be
successfully applied to the binding studies between QDs and thiol-containing
biomolecules.
PMID- 29658886
TI - Swelling kinetics and electrical charge transport in PEDOT:PSS thin films exposed
to water vapor.
AB - We report the swelling kinetics and evolution of the electrical charge transport
in poly(3,4-ethylene dioxythiophene) polystyrene sulfonate (PEDOT:PSS) thin films
subjected to water vapor. Polymer films swell by the diffusion of water vapor and
are found to undergo structural relaxations. Upon exposure to water vapor,
primarily the hygroscopic PSS shell, which surrounds the conducting PEDOT-rich
cores, takes up water vapor and subsequently swells. We found that the degree of
swelling largely depends on the PEDOT to PSS ratio. Swelling driven microscopic
rearrangement of the conducting PEDOT-rich cores in the PSS matrix strongly
influences the electrical charge transport of the polymer film. Swelling induced
increase as well as decrease of electrical resistance are observed in polymer
films having different PEDOT to PSS ratio. This anomalous charge transport
behavior in PEDOT:PSS films is reconciled by taking into account the contrasting
swelling behavior of the PSS and the conducting PEDOT-rich cores leading to
spatial segregation of PSS in films with PSS as a minority phase and by a net
increase in mean separation between conducting PEDOT-rich cores for films having
abundance of PSS.
PMID- 29658887
TI - Two-qubit logical operations in three quantum dots system.
AB - We consider a model of two interacting always-on, exchange-only qubits for which
controlled phase (CPHASE), controlled NOT (CNOT), quantum Fourier transform (QFT)
and SWAP operations can be implemented only in a few electrical pulses in a
nanosecond time scale. Each qubit is built of three quantum dots (TQD) in a
triangular geometry with three electron spins which are always kept coupled by
exchange interactions only. The qubit states are encoded in a doublet subspace
and are fully electrically controlled by a voltage applied to gate electrodes.
The two qubit quantum gates are realized by short electrical pulses which change
the triangular symmetry of TQD and switch on exchange interaction between the
qubits. We found an optimal configuration to implement the CPHASE gate by a
single pulse of the order 2.3 ns. Using this gate, in combination with single
qubit operations, we searched for optimal conditions to perform the other gates:
CNOT, QFT and SWAP. Our studies take into account environment effects and leakage
processes as well. The results suggest that the system can be implemented for
fault tolerant quantum computations.
PMID- 29658888
TI - Estimation of degree of polymerization of poly-acrylonitrile-grafted carbon
nanotubes using Guinier plot of small angle x-ray scattering.
AB - Small angle x-ray scattering (SAXS) was used to estimate the degree of
polymerization of polymer-grafted carbon nanotubes (CNTs) synthesized using a
'grafting from' method. This analysis characterizes the grafted polymer chains
without cleaving them from CNTs, and provides reliable data that can complement
conventional methods such as thermogravimetric analysis or transmittance electron
microscopy. Acrylonitrile was polymerized from the surface of the CNTs by using
redox initiation to produce poly-acrylonitrile-grafted CNTs (PAN-CNTs).
Polymerization time and the initiation rate were varied to control the degree of
polymerization. Radius of gyration (R g ) of PAN-CNTs was determined using the
Guinier plot obtained from SAXS solution analysis. The results showed consistent
values according to the polymerization condition, up to a maximum R g = 125.70 A
whereas that of pristine CNTs was 99.23 A. The dispersibility of PAN-CNTs in N,N
dimethylformamide was tested using ultraviolet-visible-near infrared spectroscopy
and was confirmed to increase as the degree of polymerization increased. This
analysis will be helpful to estimate the degree of polymerization of any polymer
grafted CNTs synthesized using the 'grafting from' method and to fabricate
polymer/CNT composite materials.
PMID- 29658889
TI - Cross-correlation analysis of pulse wave propagation in arteries: in vitro
validation and in vivo feasibility.
AB - The stiffness of the arteries is known to be an indicator of the progression of
various cardiovascular diseases. Clinically, the pulse wave velocity (PWV) is
used as a surrogate for arterial stiffness. Pulse wave imaging (PWI) is a non
invasive, ultrasound-based imaging technique capable of mapping the motion of the
vessel walls, allowing the local assessment of arterial properties.
Conventionally, a distinctive feature of the displacement wave (e.g. the 50%
upstroke) is tracked across the map to estimate the PWV. However, the presence of
reflections, such as those generated at the carotid bifurcation, can bias the PWV
estimation. In this paper, we propose a two-step cross-correlation based method
to characterize arteries using the information available in the PWI spatio
temporal map. First, the area under the cross-correlation curve is proposed as an
index for locating the regions of different properties. Second, a local peak of
the cross-correlation function is tracked to obtain a less biased estimate of the
PWV. Three series of experiments were conducted in phantoms to evaluate the
capabilities of the proposed method compared with the conventional method. In the
ideal case of a homogeneous phantom, the two methods performed similarly and
correctly estimated the PWV. In the presence of reflections, the proposed method
provided a more accurate estimate than conventional processing: e.g. for the soft
phantom, biases of -0.27 and -0.71 m . s-1 were observed. In a third series of
experiments, the correlation-based method was able to locate two regions of
different properties with an error smaller than 1 mm. It also provided more
accurate PWV estimates than conventional processing (biases: -0.12 versus -0.26
m . s-1). Finally, the in vivo feasibility of the proposed method was
demonstrated in eleven healthy subjects. The results indicate that the
correlation-based method might be less precise in vivo but more accurate than the
conventional method.
PMID- 29658890
TI - Optical absorption by indirect excitons in a transition metal
dichalcogenide/hexagonal boron nitride heterostructure.
AB - We study optical transitions in spatially indirect excitons in transition metal
dichalcogenide (TMDC) heterostructures separated by an integer number of
hexagonal boron nitride (h-BN) monolayers. By solving the Schrodinger equation
with the Keldysh potential for a spatially indirect exciton, we obtain
eigenfunctions and eigenenergies for the ground and excited states and study
their dependence on the interlayer separation, controlled by varying the number
of h-BN monolayers. The oscillator strength, optical absorption coefficient, and
optical absorption factor, the fraction of incoming photons absorbed in the
TMDC/h-BN/TMDC heterostructure, are evaluated and studied as a function of the
interlayer separation. Using input parameters from the existing literature which
give the largest and the smallest spatially indirect exciton binding energy, we
provide upper and lower bounds on all quantities presented.
PMID- 29658891
TI - Dynamic mechanical control of local vacancies in NiO thin films.
AB - The manipulation of local ionic behavior via external stimuli in oxide systems is
of great interest because it can help in directly tuning material properties.
Among external stimuli, mechanical force has attracted intriguing attention as
novel stimulus for ionic modulation. Even though effectiveness of mechanical
force on local ionic modulation has been validated in terms of static effect, its
real-time i.e., dynamic, behavior under an application of the force is barely
investigated in spite of its crucial impact on device performance such as force
or pressure sensors. In this study, we explore dynamic ionic behavior modulated
by mechanical force in NiO thin films using electrochemical strain microscopy
(ESM). Ionically mediated ESM hysteresis loops were significantly varied under an
application of mechanical force. Based on these results, we were able to
investigate relative relationship between the force and voltage effects on ionic
motion and, further, control effectively ionic behavior through combination of
mechanical and electrical stimuli. Our results can provide comprehensive
information on the effect of mechanical forces on ionic dynamics in ionic
systems.
PMID- 29658892
TI - Compact DD generator-based in vivo neutron activation analysis (IVNAA) system to
determine sodium concentrations in human bone.
AB - OBJECTIVE: This study presents the development of a noninvasive method for
monitoring Na in human bone. Many diseases, such as hypertension and
osteoporosis, are closely associated with sodium (Na) retention in the human
body. Na retention is generally evaluated by calculating the difference between
dietary intake and excretion. There is currently no method to directly quantify
Na retained in the body. Bone is a storage for many elements, including Na, which
renders bone Na an ideal biomarker to study Na metabolism and retention.
APPROACH: A customized compact deuterium-deuterium (DD) neutron generator was
used to produce neutrons for in vivo neutron activation analysis (IVNAA), with a
moderator/reflector/shielding assembly optimized for human hand irradiation in
order to maximize the thermal neutron flux inside the irradiation cave and to
limit radiation exposure to the hand and the whole body. MAIN RESULTS: The
experimental results show that the system is able to detect sodium levels in the
bone as low as 16 ug Na g-1 dry bone with an effective dose to the body of about
27 uSv. The simulation results agree with the numbers estimated from the
experiment. SIGNIFICANCE: This is expected to be a feasible method for measuring
the change of Na in bone. The low detection limit indicates this will be a useful
system to study the association between Na retention and related diseases.
PMID- 29658893
TI - Role of zero-point effects in stabilizing the ground state structure of bulk
Fe2P.
AB - Structural stability of Fe2P is investigated in detail using first-principles
calculations based on density functional theory. While the orthorhombic C23 phase
is found to be energetically more stable, the experiments suggest it to be
hexagonal C22 phase. In the present study, we show that in order to obtain the
correct ground state structure of Fe2P from the first-principles based methods it
is utmost necessary to consider the zero-point effects such as zero-point
vibrations and spin fluctuations. This study demonstrates an exceptional case
where a bulk material is stabilized by quantum effects, which are usually
important in low-dimensional materials. Our results also indicate the possibility
of magnetic field induced structural quantum phase transition in Fe2P, which
should form the basis for further theoretical and experimental efforts.
PMID- 29658895
TI - Observation of surface plasmon polaritons in 2D electron gas of surface electron
accumulation in InN nanostructures.
AB - Recently, heavily doped semiconductors have been emerging as an alternative to
low-loss plasmonic materials. InN, belonging to the group III nitrides, possesses
the unique property of surface electron accumulation (SEA), which provides a 2D
electron gas (2DEG) system. In this report, we demonstrated the surface plasmon
properties of InN nanoparticles originating from SEA using the real-space mapping
of the surface plasmon fields for the first time. The SEA is confirmed by Raman
studies, which are further corroborated by photoluminescence and photoemission
spectroscopic studies. The frequency of 2DEG corresponding to SEA is found to be
in the THz region. The periodic fringes are observed in the near-field scanning
optical microscopic images of InN nanostructures. The observed fringes are
attributed to the interference of propagated and back-reflected surface plasmon
polaritons (SPPs). The observation of SPPs is solely attributed to the 2DEG
corresponding to the SEA of InN. In addition, a resonance kind of behavior with
the enhancement of the near-field intensity is observed in the near-field images
of InN nanostructures. Observation of SPPs indicates that InN with SEA can be a
promising THz plasmonic material for light confinement.
PMID- 29658894
TI - Assessing mental stress from the photoplethysmogram: a numerical study.
AB - OBJECTIVE: Mental stress is detrimental to cardiovascular health, being a risk
factor for coronary heart disease and a trigger for cardiac events. However, it
is not currently routinely assessed. The aim of this study was to identify
features of the photoplethysmogram (PPG) pulse wave which are indicative of
mental stress. APPROACH: A numerical model of pulse wave propagation was used to
simulate blood pressure signals, from which simulated PPG pulse waves were
estimated using a transfer function. Pulse waves were simulated at six levels of
stress by changing the model input parameters both simultaneously and
individually, in accordance with haemodynamic changes associated with stress.
Thirty-two feature measurements were extracted from pulse waves at three
measurement sites: the brachial, radial and temporal arteries. Features which
changed significantly with stress were identified using the Mann-Kendall
monotonic trend test. MAIN RESULTS: Seventeen features exhibited significant
trends with stress in measurements from at least one site. Three features showed
significant trends at all three sites: the time from pulse onset to peak, the
time from the dicrotic notch to pulse end, and the pulse rate. More features
showed significant trends at the radial artery (15) than the brachial (8) or
temporal (7) arteries. Most features were influenced by multiple input
parameters. SIGNIFICANCE: The features identified in this study could be used to
monitor stress in healthcare and consumer devices. Measurements at the radial
artery may provide superior performance than the brachial or temporal arteries.
In vivo studies are required to confirm these observations.
PMID- 29658897
TI - Estimating and reporting error rates, and detecting improvements.
PMID- 29658896
TI - Safety and quality of procedural sedation and analgesia practice for adult
patients throughout Europe: A step forward.
PMID- 29658898
TI - Reply to: estimating and reporting rates, and detecting improvements.
PMID- 29658899
TI - Alveolar recruitment manoeuvres after cardiac surgery.
PMID- 29658900
TI - Reply to: alveolar recruitment manoeuvres after cardiac surgery.
PMID- 29658901
TI - Predictive models for acute kidney injury after cardiac surgery.
PMID- 29658902
TI - Reply to: predictive models for acute kidney injury after cardiac surgery.
PMID- 29658903
TI - High versus low PEEP for abdominal surgery.
PMID- 29658904
TI - Reply to: high versus low positive end-expiratory pressure for abdominal surgery.
PMID- 29658905
TI - Aprotinin and coronary artery bypass surgery.
PMID- 29658906
TI - Reply to: aprotinin and coronary artery bypass surgery.
PMID- 29658907
TI - Dobutamine and its haemodynamic effects in pleural effusion.
PMID- 29658908
TI - Reply to: dobutamine and its haemodynamic effects in pleural effusion.
PMID- 29658909
TI - Pretreatment With Argon Protects Human Cardiac Myocyte-Like Progenitor Cells from
Oxygen Glucose Deprivation-Induced Cell Death by Activation of AKT and
Differential Regulation of Mapkinases.
AB - BACKGROUND: The noble gas argon induces cardioprotection in a rabbit model of
myocardial ischemia and reperfusion. However, no studies in human primary cells
or subjects have been performed so far. We used human cardiac myocyte-like
progenitor cells (HCMs) to investigate the protective effect on the cellular
level. METHODS: HCMs were pretreated with 30% or 50% argon before oxygen-glucose
deprivation (OGD) and reperfusion. We evaluated apoptotic states by flow
cytometry and the activation of mitogen-activated protein kinase (MAPKs) members
extracellular signal-regulated kinase (ERK), c-jun N-terminal kinase (JNK), p38
MAPkinase, and protein kinase B (Akt) by Westernblot analysis and by activity
assays of downstream transcription factors. Specific inhibitors were used to
proof a significant participation of these pathways in the protection by argon.
Beneficial effects were further assessed by TdT-mediated dUTP-biotin nick end
labeling (TUNEL) assay, lactate dehydrogenase (LDH), mitochondrial
deoxyribonucleic acid (mtDNA), and cytokine release. RESULTS: Pretreatment with
30% or 50% argon for 90 min before OGD resulted in a significant protection of
HCMs against apoptosis. This effect was reversed by the application of MAPK and
Akt inhibitors during argon exposure. Argon 30% reduced the release of LDH by 33%
and mtDNA by 45%. The release of interleukin 1beta was reduced by 44% after OGD
and more than 90% during reperfusion. CONCLUSIONS: Pretreatment with argon
protects HCMs from apoptosis under ischemic conditions via activation of Akt,
Erk, and biphasic regulation of JNK. Argon gas is cheap and easily administrable,
and might be a novel therapy to reduce myocardial ischemia-reperfusion injury.
PMID- 29658910
TI - Metabolic Syndrome, Anxiety and Depression in a Sample of Italian Primary Care
Patients.
AB - This cross-sectional study aimed at measuring the correlation and association of
anxiety, depression and comorbid anxiety-depression symptoms with metabolic
syndrome (MetS) in a sample of Italian primary care patients who attended their
General Practitioner clinics over a 1-month period in 2013. The Hospital Anxiety
and Depression Scale (HADS) was used to assess anxiety and depressive symptoms.
The sample was made up of 129 patients (57% women; mean age, 61 +/- 12 years).
The prevalence of MetS varied from 40% (Adult Treatment Panel III-Revised
criteria) to 48% (International Diabetes Federation criteria). The prevalence of
symptoms of anxiety, depression and comorbid anxiety and depression was,
respectively, 26%, 2%, and 15%. MetS (defined according to Adult Treatment Panel
III-Revised criteria) was associated with comorbid anxiety-depressive symptoms
(odds ratio [OR] = 3.84, 95% confidence interval [CI] = 1.26-11.71), but not with
anxiety or depressive symptoms only. Out of the individual components of MetS,
enlarged waist circumference was associated with anxiety symptoms (OR = 4.22, 95%
CI = 1.56-11.44).
PMID- 29658911
TI - Concern about US Preventive Services Task Force recommendation on hormone therapy
for the primary prevention of chronic conditions in postmenopausal women.
PMID- 29658912
TI - Hysterectomy with ovarian conservation: is there a downside?
PMID- 29658913
TI - Visualization of Thalamocortical Axon Branching and Synapse Formation in
Organotypic Cocultures.
AB - Axon branching and synapse formation are crucial processes for establishing
precise neuronal circuits. During development, sensory thalamocortical (TC) axons
form branches and synapses in specific layers of the cerebral cortex. Despite the
obvious spatial correlation between axon branching and synapse formation, the
causal relationship between them is poorly understood. To address this issue, we
recently developed a method for simultaneous imaging of branching and synapse
formation of individual TC axons in organotypic cocultures. This protocol
describes a method which consists of a combination of an organotypic coculture
and electroporation. Organotypic cocultures of the thalamus and cerebral cortex
facilitate gene manipulation and observation of axonal processes, preserving
characteristic structures such as laminar configuration. Two distinct plasmids
encoding DsRed and EGFP-tagged synaptophysin (SYP-EGFP) were co-transfected into
a small number of thalamic neurons by an electroporation technique. This method
allowed us to visualize individual axonal morphologies of TC neurons and their
presynaptic sites simultaneously. The method also enabled long-term observation
which revealed the causal relationship between axon branching and synapse
formation.
PMID- 29658914
TI - Visualization and Quantification of Mesenchymal Cell Adipogenic Differentiation
Potential with a Lineage Specific Marker.
AB - Several dyes are currently available for use in detecting differentiation of
mesenchymal cells into adipocytes. Dyes, such as Oil Red O, are cheap, easy to
use and widely utilized by laboratories analyzing the adipogenic potential of
mesenchymal cells. However, they are not specific to changes in gene
transcription. We have developed a gene-specific differentiation assay to analyze
when a mesenchymal cell has switched its fate to an adipogenic lineage. Immuno
labelling against fatty acid binding protein-4 (FABP4), a lineage-specific marker
of adipogenic differentiation, enabled visualization and quantification of
differentiated cells. The ability to quantify adipogenic differentiation
potential of mesenchymal cells in a 96 well microplate format has promising
implications for a number of applications. Hundreds of clinical trials involve
the use of adult mesenchymal stromal cells and it is currently difficult to
correlate therapeutic outcomes within and especially between such clinical
trials. This simple high-throughput FABP4 assay provides a quantitative assay for
assessing the differentiation potential of patient-derived cells and is a robust
tool for comparing different isolation and expansion methods. This is
particularly important given the increasing recognition of the heterogeneity of
the cells being administered to patients in mesenchymal cell products. The assay
also has potential utility in high throughput drug screening, particularly in
obesity and pre-diabetes research.
PMID- 29658915
TI - Adapting 3' Rapid Amplification of CDNA Ends to Map Transcripts in Cancer.
AB - Maturation of eukaryotic mRNAs involves 3' end formation, which involves the
addition of a poly(A) tail. In order to map the 3' end of a gene, the traditional
method of choice is 3' rapid amplification of cDNA ends (3' RACE). Protocols for
3' RACE require the careful design and selection of nested primers within the 3'
untranslated region (3' UTR) of the target gene of interest. However, with a few
modifications the protocol can be used to include the entire 3' UTR and sequences
within the open reading frame (ORF), providing a more comprehensive picture of
the relationship between the ORF and the 3' UTR. This is in addition to
identification of the polyadenylation signal (PAS), as well as the cleavage and
polyadenylation site provided by conventional 3' RACE. Expanded 3' RACE can
detect unusual 3' UTRs, including gene fusions within the 3' UTR, and the
sequence information can be used to predict potential miRNA binding sites as well
as AU rich destabilizing elements that may affect the stability of the
transcript.
PMID- 29658916
TI - Microdissection of Primary Renal Tissue Segments and Incorporation with Novel
Scaffold-free Construct Technology.
AB - Kidney transplantation is now a mainstream therapy for end-stage renal disease.
However, with approximately 96,000 people on the waiting list and only one-fourth
of these patients achieving transplantation, there is a dire need for
alternatives for those with failing organs. In order to decrease the harmful
consequences of dialysis along with the overall healthcare costs it incurs,
active investigation is ongoing in search of alternative solutions to organ
transplantation. Implantable tissue-engineered renal cellular constructs are one
such feasible approach to replacing lost renal functionality. Here, described for
the first time, is the microdissection of murine kidneys for isolation of living
corticomedullary renal segments. These segments are capable of rapid
incorporation within scaffold-free endothelial-fibroblast constructs which may
enable rapid connection with host vasculature once implanted. Adult mouse kidneys
were procured from living donors, followed by stereoscope microdissection to
obtain renal segments 200 - 300 um in diameter. Multiple renal constructs were
fabricated using primary renal segments harvested from only one kidney. This
method demonstrates a procedure which could salvage functional renal tissue from
organs that would otherwise be discarded.
PMID- 29658917
TI - Chemical Synthesis of Porous Barium Titanate Thin Film and Thermal Stabilization
of Ferroelectric Phase by Porosity-Induced Strain.
AB - Barium titanate (BaTiO3, hereafter BT) is an established ferroelectric material
first discovered in the 1940s and still widely used because of its well-balanced
ferroelectricity, piezoelectricity, and dielectric constant. In addition, BT does
not contain any toxic elements. Therefore, it is considered to be an eco-friendly
material, which has attracted considerable interest as a replacement for lead
zirconate titanate (PZT). However, bulk BT loses its ferroelectricity at
approximately 130 degrees C, thus, it cannot be used at high temperatures.
Because of the growing demand for high-temperature ferroelectric materials, it is
important to enhance the thermal stability of ferroelectricity in BT. In previous
studies, strain originating from the lattice mismatch at hetero-interfaces has
been used. However, the sample preparation in this approach requires complicated
and expensive physical processes, which are undesirable for practical
applications. In this study, we propose a chemical synthesis of a porous material
as an alternative means of introducing strain. We synthesized a porous BT thin
film using a surfactant-assisted sol-gel method, in which self-assembled
amphipathic surfactant micelles were used as an organic template. Through a
series of studies, we clarified that the introduction of pores had a similar
effect on distorting the BT crystal lattice, to that of a hetero-interface,
leading to the enhancement and stabilization of ferroelectricity. Owing to its
simplicity and cost effectiveness, this fabrication process has considerable
advantages over conventional methods.
PMID- 29658918
TI - Whole-mount Confocal Microscopy for Adult Ear Skin: A Model System to Study Neuro
vascular Branching Morphogenesis and Immune Cell Distribution.
AB - Here, we present a protocol of a whole-mount adult ear skin imaging technique to
study comprehensive three-dimensional neuro-vascular branching morphogenesis and
patterning, as well as immune cell distribution at a cellular level. The analysis
of peripheral nerve and blood vessel anatomical structures in adult tissues
provides some insights into the understanding of functional neuro-vascular wiring
and neuro-vascular degeneration in pathological conditions such as wound healing.
As a highly informative model system, we have focused our studies on adult ear
skin, which is readily accessible for dissection. Our simple and reproducible
protocol provides an accurate depiction of the cellular components in the entire
skin, such as peripheral nerves (sensory axons, sympathetic axons, and Schwann
cells), blood vessels (endothelial cells and vascular smooth muscle cells), and
inflammatory cells. We believe this protocol will pave the way to investigate
morphological abnormalities in peripheral nerves and blood vessels as well as the
inflammation in the adult ear skin under different pathological conditions.
PMID- 29658920
TI - Identification of Dopamine D1-Alpha Receptor Within Rodent Nucleus Accumbens by
an Innovative RNA In Situ Detection Technology.
AB - In the central nervous system, the D1-alpha subtype receptor (Drd1alpha) is the
most abundant dopamine (DA) receptor, which plays a vital role in regulating
neuronal growth and development. However, the mechanisms underlying Drd1alpha
receptor abnormalities mediating behavioral responses and modulating working
memory function are still unclear. Using a novel RNA in situ hybridization assay,
the current study identified dopamine Drd1alpha receptor and tyrosine hydroxylase
(TH) RNA expression from DA-related circuitry in the nucleus accumbens (NAc) area
and substantia nigra region (SNR), respectively. Drd1alpha expression in the NAc
shows a "discrete dot" staining pattern. Clear sex differences in Drd1alpha
expression were observed. In contrast, TH shows a "clustered" staining pattern.
Regarding TH expression, female rats displayed a higher signal expression per
cell relative to male animals. The methods presented here provide a novel in situ
hybridization technique for investigating changes in dopamine system dysfunction
during the progression of central nervous system diseases.
PMID- 29658919
TI - Generating Transgenic Plants with Single-copy Insertions Using BIBAC-GW Binary
Vector.
AB - When generating transgenic plants, generally the objective is to have stable
expression of a transgene. This requires a single, intact integration of the
transgene, as multi-copy integrations are often subjected to gene silencing. The
Gateway-compatible binary vector based on bacterial artificial chromosomes
(pBIBAC-GW), like other pBIBAC derivatives, allows the insertion of single-copy
transgenes with high efficiency. As an improvement to the original pBIBAC, a
Gateway cassette has been cloned into pBIBAC-GW, so that the sequences of
interest can now be easily incorporated into the vector transfer DNA (T-DNA) by
Gateway cloning. Commonly, the transformation with pBIBAC-GW results in an
efficiency of 0.2-0.5%, whereby half of the transgenics carry an intact single
copy integration of the T-DNA. The pBIBAC-GW vectors are available with
resistance to Glufosinate-ammonium or DsRed fluorescence in seed coats for
selection in plants, and with resistance to kanamycin as a selection in bacteria.
Here, a series of protocols is presented that guide the reader through the
process of generating transgenic plants using pBIBAC-GW: starting from
recombining the sequences of interest into the pBIBAC-GW vector of choice, to
plant transformation with Agrobacterium, selection of the transgenics, and
testing the plants for intactness and copy number of the inserts using DNA
blotting. Attention is given to designing a DNA blotting strategy to recognize
single- and multi-copy integrations at single and multiple loci.
PMID- 29658921
TI - Site-Directed Immobilization of Bone Morphogenetic Protein 2 to Solid Surfaces by
Click Chemistry.
AB - Different therapeutic strategies for the treatment of non-healing long bone
defects have been intensively investigated. Currently used treatments present
several limitations that have led to the use of biomaterials in combination with
osteogenic growth factors, such as bone morphogenetic proteins (BMPs). Commonly
used absorption or encapsulation methods require supra-physiological amounts of
BMP2, typically resulting in a so-called initial burst release effect that
provokes several severe adverse side effects. A possible strategy to overcome
these problems would be to covalently couple the protein to the scaffold.
Moreover, coupling should be performed in a site-specific manner in order to
guarantee a reproducible product outcome. Therefore, we created a BMP2 variant,
in which an artificial amino acid (propargyl-L-lysine) was introduced into the
mature part of the BMP2 protein by codon usage expansion (BMP2-K3Plk). BMP2-K3Plk
was coupled to functionalized beads through copper catalyzed azide-alkyne
cycloaddition (CuAAC). The biological activity of the coupled BMP2-K3Plk was
proven in vitro and the osteogenic activity of the BMP2-K3Plk-functionalized
beads was proven in cell based assays. The functionalized beads in contact with
C2C12 cells were able to induce alkaline phosphatase (ALP) expression in locally
restricted proximity of the bead. Thus, by this technique, functionalized
scaffolds can be produced that can trigger cell differentiation towards an
osteogenic lineage. Additionally, lower BMP2 doses are sufficient due to the
controlled orientation of site-directed coupled BMP2. With this method, BMPs are
always exposed to their receptors on the cell surface in the appropriate
orientation, which is not the case if the factors are coupled via non-site
directed coupling techniques. The product outcome is highly controllable and,
thus, results in materials with homogeneous properties, improving their
applicability for the repair of critical size bone defects.
PMID- 29658922
TI - Three-dimensional Rendering and Analysis of Immunolabeled, Clarified Human
Placental Villous Vascular Networks.
AB - Nutrient and gas exchange between mother and fetus occurs at the interface of the
maternal intervillous blood and the vast villous capillary network that makes up
much of the parenchyma of the human placenta. The distal villous capillary
network is the terminus of the fetal blood supply after several generations of
branching of vessels extending out from the umbilical cord. This network has a
contiguous cellular sheath, the syncytial trophoblast barrier layer, which
prevents mixing of fetal blood and the maternal blood in which it is continuously
bathed. Insults to the integrity of the placental capillary network, occurring in
disorders such as maternal diabetes, hypertension and obesity, have consequences
that present serious health risks for the fetus, infant, and adult. To better
define the structural effects of these insults, a protocol was developed for this
study that captures capillary network structure on the order of 1 - 2 mm3 wherein
one can investigate its topological features in its full complexity. To
accomplish this, clusters of terminal villi from placenta are dissected, and the
trophoblast layer and the capillary endothelia are immunolabeled. These samples
are then clarified with a new tissue clearing process which makes it possible to
acquire confocal image stacks to z- depths of ~1 mm. The three-dimensional
renderings of these stacks are then processed and analyzed to generate basic
capillary network measures such as volume, number of capillary branches, and
capillary branch end points, as validation of the suitability of this approach
for capillary network characterization.
PMID- 29658923
TI - Micro-dissection of Enamel Organ from Mandibular Incisor of Rats Exposed to
Environmental Toxicants.
AB - Enamel defects resulting from environmental conditions and ways of life are
public health concerns because of their high prevalence. These defects result
from altered activity of cells responsible for enamel synthesis named
ameloblasts, which present in enamel organ. During amelogenesis, ameloblasts
follow a specific and precise sequence of events of proliferation,
differentiation, and death. A rat continually growing incisors is a suitable
experimental model to study ameloblast activity and differentiation stages in
physiological and pathological conditions. Here, we describe a reliable and
consistent method to micro-dissect enamel organ of rats exposed to environmental
toxicants. The micro-dissected dental epithelia contain secretion- and maturation
stage ameloblasts that may be used for qualitative experiments, such as
immunohistochemistry assays and in situ hybridization, as well as for
quantitative analyses such as RT-qPCR, RNA-seq, and Western blotting.
PMID- 29658924
TI - An Efficient and Simple Method to Establish NK and T Cell Lines from Patients
with Chronic Active Epstein-Barr Virus Infection.
AB - A number of methods have been described to establish NK/T cell lines from
patients with lymphoma or lymphoproliferative syndrome. These methods employed
feeder cells, purified NK or T cells with as much as 10 mL of blood, or a high
dose of IL-2. This study presents a new method with a powerful and simple
strategy to establish NK and T cell lines by culturing the peripheral blood
mononuclear cells (PBMC) with the addition of recombinant human IL-2 (rhIL-2),
and uses as little as 2 mL of whole blood. The cells can proliferate quickly in
two weeks and be maintained for more than 3 months. With this method, 7 NK or T
cell lines have been established with a high success rate. This method is simple,
reliable, and applicable to establishing cell lines from more cases of CAEBV or
NK/T cell lymphoma.
PMID- 29658925
TI - Measuring Gene Expression in Bombarded Barley Aleurone Layers with Increased
Throughput.
AB - The aleurone layer of barley grains is an important model system for hormone
regulated gene expression in plants. In aleurone cells, genes required for
germination or early seedling development are activated by gibberellin (GA),
while genes associated with stress responses are activated by abscisic acid
(ABA). The mechanisms of GA and ABA signaling can be interrogated by introducing
reporter gene constructs into aleurone cells via particle bombardment, with the
resulting transient expression measured using enzyme assays. An improved protocol
is reported that partially automates and streamlines the grain homogenization
step and the enzyme assays, allowing significantly more throughput than existing
methods. Homogenization of the grain samples is carried out using an automated
tissue homogenizer, and GUS (beta-glucuronidase) assays are carried out using a
96-well plate system. Representative results using the protocol suggest that
phospholipase D activity may play an important role in the activation of HVA1
gene expression by ABA, through the transcription factor TaABF1.
PMID- 29658927
TI - Tumor Engraftment in a Xenograft Mouse Model of Human Mantle Cell Lymphoma.
AB - B lymphocytes are key players in immune cell circulation and they mainly home to
and reside in lymphoid organs. While normal B cells only proliferate when
stimulated by T lymphocytes, oncogenic B cells survive and expand autonomously in
undefined organ niches. Mantle cell lymphoma (MCL) is one such B cell disorder,
where the median survival rate of patients is 4 - 5 years. This calls for the
need of effective mechanisms by which the homing and engraftment of these cells
are blocked in order to increase the survival and longevity of patients.
Therefore, the effort to develop a xenograft mouse model to study the efficacy of
MCL therapeutics by blocking the homing mechanism in vivo is of utmost
importance. Development of animal recipients for human cell xenotransplantation
to test early stage drugs have long been pursued, as relevant preclinical mouse
models are crucial to screen new therapeutic agents. This animal model is
developed to avoid human graft rejection and to establish a model for human
diseases, and it may be an extremely useful tool to study disease progression of
different lymphoma types and to perform preclinical testing of candidate drugs
for hematologic malignancies, like MCL. We established a xenograft mouse model
that will serve as an excellent resource to study and develop novel therapeutic
approaches for MCL.
PMID- 29658926
TI - Evaluation of Stem Cell Therapies in a Bilateral Patellar Tendon Injury Model in
Rats.
AB - Regenerative medicine provides novel alternatives to conditions that challenge
traditional treatments. The prevalence and morbidity of tendinopathy across
species, combined with the limited healing properties of this tissue, have
prompted the search for cellular therapies and propelled the development of
experimental models to study their efficacy. Umbilical cord matrix-derived
mesenchymal stem cells (UCM-MSC) are appealing candidates because they are
abundant, easy to collect, circumvent the ethical concerns and risk of teratoma
formation, yet resemble primitive embryonic stem cells more closely than adult
tissue-derived MSCs. Significant interest has focused on chitosan as a strategy
to enhance the properties of MSCs through spheroid formation. This paper details
techniques to isolate UCM-MSCs, prepare spheroids on chitosan film, and analyze
the effect of spheroid formation on surface marker expression. Consequently,
creation of a bilateral patellar tendon injury model in rats is described for in
vivo implantation of UCM-MSC spheroids formed on chitosan film. No complication
was observed in the study with respect to morbidity, stress rising effects, or
tissue infection. The total functional score of the operated rats at 7 days was
lower than that of normal rats, but returned to normal within 28 days after
surgery. Histological scores of tissue-healing confirmed the presence of a clot
in treated defects evaluated at 7 days, absence of foreign body reaction, and
progressing healing at 28 days. This bilateral patella tendon defect model
controls inter-individual variation via creation of an internal control in each
rat, was associated with acceptable morbidity, and allowed detection of
differences between untreated tendons and treatments.
PMID- 29658928
TI - A Burrowing/Tunneling Assay for Detection of Hypoxia in Drosophila melanogaster
Larvae.
AB - Oxygen deprivation in animals can result from exposure to low atmospheric oxygen
levels or from internal tissue damage that interferes with oxygen distribution.
It is also possible that aberrant behavior of oxygen-sensing neurons could induce
hypoxia-like behavior in the presence of normal oxygen levels. In D.
melanogaster, development at low oxygen levels results in inhibition of growth
and sluggish behavior during the larval phases. However, these established
manifestations of oxygen deficit overlap considerably with the phenotypes of many
mutations that regulate growth, stress responses or locomotion. As result, there
is currently no assay available to identify i) cellular hypoxia induced by a
mutation or ii) hypoxia-like behavior when induced by abnormal neuronal behavior.
We have recently identified two distinctive behaviors in D. melanogaster larvae
that occur at normal oxygen levels in response to internal detection of hypoxia.
First, at all stages, such larvae avoid burrowing into food, often straying far
away from a food source. Second, tunneling into a soft substratum, which normally
occurs during the wandering third instar stage is completely abolished if larvae
are hypoxic. The assay described here is designed to detect and quantitate these
behaviors and thus to provide a way to detect hypoxia induced by internal damage
rather than low external oxygen. Assay plates with an agar substratum and a
central plug of yeast paste are used to support animals through larval life. The
positions and state of the larvae are tracked daily as they proceed from first to
third instar. The extent of tunneling into the agar substratum during wandering
phase is quantitated after pupation using NIH ImageJ. The assay will be of value
in determining when hypoxia is a component of a mutant phenotype and thus provide
insight into possible sites of action of the gene in question.
PMID- 29658929
TI - Partial Bile Duct Ligation in the Mouse: A Controlled Model of Localized
Obstructive Cholestasis.
AB - In rodents, complete bile duct ligation (cBDL) of the common bile duct is an
established surgical technique for studying obstructive cholestasis and bile duct
proliferation. However, long-term experiments can lead to increased morbidity and
mortality. In select mouse strains with underlying liver disease, meaningful
comparisons can be made even with ligation of a single lobe of the liver, which
can reduce animal losses and expenses. Here, we describe partial bile duct
ligation (pBDL) in the mouse, in which only the left hepatic bile duct is
ligated, causing biliary obstruction in the left lobe but not the remaining
lobes. With careful microsurgical technique, pBDL experiments can be cost
effective, since the unligated lobe serves as an internal control to the ligated
lobes, when subjected to the same conditions in the same animal. Unlike cBDL, a
separate sham-operated control group is not necessary. pBDL is highly useful to
directly compare localized versus systemic effects of cholestasis and other
retained bile components. pBDL can also be repurposed as a novel method to
investigate mechanisms related to medications and cell migration.
PMID- 29658930
TI - Preparation and High-temperature Anti-adhesion Behavior of a Slippery Surface on
Stainless Steel.
AB - Anti-adhesion surfaces with high-temperature resistance have a wide application
potential in electrosurgical instruments, engines, and pipelines. A typical anti
wetting superhydrophobic surface easily fails when exposed to a high-temperature
liquid. Recently, Nepenthes-inspired slippery surfaces demonstrated a new way to
solve the adhesion problem. A lubricant layer on the slippery surface can act as
a barrier between the repelled materials and the surface structure. However, the
slippery surfaces in previous studies rarely showed high-temperature resistance.
Here, we describe a protocol for the preparation of slippery surfaces with high
temperature resistance. A photolithography-assisted method was used to fabricate
pillar structures on stainless steel. By functionalizing the surface with saline,
a slippery surface was prepared by adding silicone oil. The prepared slippery
surface maintained the anti-wetting property for water, even when the surface was
heated to 300 degrees C. Also, the slippery surface exhibited great anti
adhesion effects on soft tissues at high temperatures. This type of slippery
surface on stainless steel has applications in medical devices, mechanical
equipment, etc.
PMID- 29658931
TI - Optical Cross-Sectional Muscle Area Determination of Drosophila Melanogaster
Adult Indirect Flight Muscles.
AB - Muscle mass wasting, known as muscle atrophy, is a common phenotype in Drosophila
models of neuromuscular diseases. We have used the indirect flight muscles (IFMs)
of flies, specifically the dorso-longitudinal muscles (DLM), as the experimental
subject to measure the atrophic phenotype brought about by different genetic
causes. In this protocol, we describe how to embed fly thorax muscles for semi
thin sectioning, how to obtain a good contrast between muscle and the surrounding
tissue, and how to process optical microscope images for semiautomatic
acquisition of quantifiable data and analysis. We describe three specific
applications of the methodological pipeline. First, we show how the method can be
applied to quantify muscle degeneration in a myotonic dystrophy fly model;
second, measurement of muscle cross-sectional area can help to identify genes
that either promote or prevent muscle atrophy and/or muscle degeneration; third,
this protocol can be applied to determine whether a candidate compound is able to
significantly modify a given atrophic phenotype induced by a disease-causing
mutation or by an environmental trigger.
PMID- 29658932
TI - In Situ High Pressure Hydrogen Tribological Testing of Common Polymer Materials
Used in the Hydrogen Delivery Infrastructure.
AB - High pressure hydrogen gas is known to adversely affect metallic components of
compressors, valves, hoses, and actuators. However, relatively little is known
about the effects of high pressure hydrogen on the polymer sealing and barrier
materials also found within these components. More study is required in order to
determine the compatibility of common polymer materials found in the components
of the hydrogen fuel delivery infrastructure with high pressure hydrogen. As a
result, it is important to consider the changes in physical properties such as
friction and wear in situ while the polymer is exposed to high pressure hydrogen.
In this protocol, we present a method for testing the friction and wear
properties of ethylene propylene diene monomer (EPDM) elastomer samples in a 28
MPa high pressure hydrogen environment using a custom-built in situ pin-on-flat
linear reciprocating tribometer. Representative results from this testing are
presented which indicate that the coefficient of friction between the EPDM sample
coupon and steel counter surface is increased in high pressure hydrogen as
compared to the coefficient of friction similarly measured in ambient air.
PMID- 29658933
TI - Grafting Multiwalled Carbon Nanotubes with Polystyrene to Enable Self-Assembly
and Anisotropic Patchiness.
AB - We demonstrate a straightforward protocol to graft pristine multiwalled carbon
nanotubes (MWCNTs) with polystyrene (PS) chains at the sidewalls through a free
radical polymerization strategy to enable the modulation of the nanotube surface
properties and produce supramolecular self-assembly of the nanostructures. First,
a selective hydroxylation of the pristine nanotubes through a biphasic
catalytically mediated oxidation reaction creates superficially distributed
reactive sites at the sidewalls. The latter reactive sites are subsequently
modified with methacrylic moieties using a silylated methacrylic precursor to
create polymerizable sites. Those polymerizable groups can address further
polymerization of styrene to produce a hybrid nanomaterial containing PS chains
grafted to the nanotube sidewalls. The polymer-graft content, amount of silylated
methacrylic moieties introduced and hydroxylation modification of the nanotubes
are identified and quantified by Thermogravimetric Analysis (TGA). The presence
of reactive functional groups hydroxyl and silylated methacrylate are confirmed
by Fourier Transform Infrared Spectroscopy (FT-IR). Polystyrene-grafted carbon
nanotube solutions in tetrahydrofuran (THF) provide wall-to-wall collinearly self
assembled nanotubes when cast samples are analyzed by transmission electron
microscopy (TEM). Those self-assemblies are not obtained when suitable blanks are
similarly cast from analogous solutions containing non-grafted counterparts.
Therefore, this method enables the modification of the nanotube anisotropic
patchiness at the sidewalls which results into spontaneous auto-organization at
the nanoscale.
PMID- 29658934
TI - Using Brain Activation (nir-HEG/Q-EEG) and Execution Measures (CPTs) in a ADHD
Assessment Protocol.
AB - Attention Deficit Hyperactivity Disorder (ADHD) is a problem that impacts
academic performance and has serious consequences that result in difficulties in
scholastic, social and familial contexts. One of the most common problems in the
identification of this disorder relates to the apparent over diagnosis of the
disorder due to the absence of global protocols for assessment. The research
group of School Learning, Difficulties and Academic Performance (ADIR) from the
University of Oviedo, has developed a complete protocol that suggests the
existence of certain patterns of cortical activation and executive control for
identifying ADHD more objectively. This protocol takes into consideration some of
the hypothetical determinants of ADHD, including the relationship between
activation of selected areas of the brain, and differences in performance on
various aspects of executive functioning such as omissions, commissions or
response times, using innovative tools of Continuous Performance Testing (based
on Virtual Reality CPT and Traditional CPT) and brain activation measures (two
different tools, based on Hemoencephalography- nirHEG; and Quantified
Electroencephalography --Q-EEG, respectively). This model of assessment aims to
provide an effective assessment of ADHD symptomatology in order to design an
accurate intervention and make appropriate recommendations for parents and
teachers.
PMID- 29658935
TI - Fabrication of Custom Agarose Wells for Cell Seeding and Tissue Ring Self
assembly Using 3D-Printed Molds.
AB - Engineered tissues are being used clinically for tissue repair and replacement,
and are being developed as tools for drug screening and human disease modeling.
Self-assembled tissues offer advantages over scaffold-based tissue engineering,
such as enhanced matrix deposition, strength, and function. However, there are
few available methods for fabricating 3D tissues without seeding cells on or
within a supporting scaffold. Previously, we developed a system for fabricating
self-assembled tissue rings by seeding cells into non-adhesive agarose wells. A
polydimethylsiloxane (PDMS) negative was first cast in a machined polycarbonate
mold, and then agarose was gelled in the PDMS negative to create ring-shaped cell
seeding wells. However, the versatility of this approach was limited by the
resolution of the tools available for machining the polycarbonate mold. Here, we
demonstrate that 3D-printed plastic can be used as an alternative to machined
polycarbonate for fabricating PDMS negatives. The 3D-printed mold and revised
mold design is simpler to use, inexpensive to produce, and requires significantly
less agarose and PDMS per cell seeding well. We have demonstrated that the
resulting agarose wells can be used to create self-assembled tissue rings with
customized diameters from a variety of different cell types. Rings can then be
used for mechanical, functional, and histological analysis, or for fabricating
larger and more complex tubular tissues.
PMID- 29658936
TI - Two-dimensional Gel Electrophoresis Coupled with Mass Spectrometry Methods for an
Analysis of Human Pituitary Adenoma Tissue Proteome.
AB - Human pituitary adenoma (PA) is a common tumor that occurs in the human pituitary
gland in the hypothalamus-pituitary-targeted organ axis systems, and may be
classified as either clinically functional or nonfunctional PA (FPA and NFPA).
NFPA is difficult for early stage diagnosis and therapy due to barely elevating
hormones in the blood compared to FPA. Our long-term goal is to use proteomics
methods to discover reliable biomarkers for clarification of PA molecular
mechanisms and recognition of effective diagnostic, prognostic markers and
therapeutic targets. Effective two-dimensional gel electrophoresis (2DE) coupled
with mass spectrometry (MS) methods were presented here to analyze human PA
proteomes, including preparation of samples, 2D gel electrophoresis, protein
visualization, image analysis, in-gel trypsin digestion, peptide mass fingerprint
(PMF), and tandem mass spectrometry (MS/MS). 2-Dimensional gel electrophoresis
matrix-assisted laser desorption/ionization mass spectrometry PMF (2DE-MALDI MS
PMF), 2DE-MALDI MS/MS, and 2DE-liquid chromatography (LC) MS/MS procedures have
been successfully applied in an analysis of NFPA proteome. With the use of a high
sensitivity mass spectrometer, many proteins were identified with the 2DE-LC
MS/MS method in each 2D gel spot in an analysis of complex PA tissue to maximize
the coverage of human PA proteome.
PMID- 29658937
TI - Kinematic Analysis Using 3D Motion Capture of Drinking Task in People With and
Without Upper-extremity Impairments.
AB - Kinematic analysis is a powerful method for objective assessment of upper
extremity movements in a three-dimensional (3D) space. Three-dimensional motion
capture with an optoelectronic camera system is considered as golden standard for
kinematic movement analysis and is increasingly used as outcome measure to
evaluate the movement performance and quality after an injury or disease
involving upper extremity movements. This article describes a standardized
protocol for kinematic analysis of drinking task applied in individuals with
upper extremity impairments after stroke. The drinking task incorporates
reaching, grasping and lifting a cup from a table to take a drink, placing the
cup back, and moving the hand back to the edge of the table. The sitting position
is standardized to the individual's body size and the task is performed in a
comfortable self-paced speed and compensatory movements are not constrained. The
intention is to keep the task natural and close to a real-life situation to
improve the ecological validity of the protocol. A 5-camera motion capture system
is used to gather 3D coordinate positions from 9 retroreflective markers
positioned on anatomical landmarks of the arm, trunk, and face. A simple single
marker placement is used to ensure the feasibility of the protocol in clinical
settings. Custom-made Matlab software provides automated and fast analyses of
movement data. Temporal kinematics of movement time, velocity, peak velocity,
time of peak velocity, and smoothness (number of movement units) along with
spatial angular kinematics of shoulder and elbow joint as well as trunk movements
are calculated. The drinking task is a valid assessment for individuals with
moderate and mild upper extremity impairment. The construct, discriminative and
concurrent validity along with responsiveness (sensitivity to change) of the
kinematic variables obtained from the drinking task have been established.
PMID- 29658938
TI - Formaldehyde-assisted Isolation of Regulatory Elements to Measure Chromatin
Accessibility in Mammalian Cells.
AB - Appropriate gene expression in response to extracellular cues, that is, tissue-
and lineage-specific gene transcription, critically depends on highly defined
states of chromatin organization. The dynamic architecture of the nucleus is
controlled by multiple mechanisms and shapes the transcriptional output programs.
It is, therefore, important to determine locus-specific chromatin accessibility
in a reliable fashion that is preferably independent from antibodies, which can
be a potentially confounding source of experimental variability. Chromatin
accessibility can be measured by various methods, including the Formaldehyde
Assisted Isolation of Regulatory Elements (FAIRE) assay, that allow the
determination of general chromatin accessibility in a relatively low number of
cells. Here we describe a FAIRE protocol that allows simple, reliable, and fast
identification of genomic regions with a low protein occupancy. In this method,
the DNA is covalently bound to the chromatin proteins using formaldehyde as a
crosslinking agent and sheared to small pieces. The free DNA is afterwards
enriched using phenol:chloroform extraction. The ratio of free DNA is determined
by quantitative polymerase chain reaction (qPCR) or DNA sequencing (DNA-seq)
compared to a control sample representing total DNA. The regions with a looser
chromatin structure are enriched in the free DNA sample, thus allowing the
identification of genomic regions with lower chromatin compaction.
PMID- 29658940
TI - Separation of Aldehydes and Reactive Ketones from Mixtures Using a Bisulfite
Extraction Protocol.
AB - The purification of organic compounds is an essential component of routine
synthetic operations. The ability to remove contaminants into an aqueous layer by
generating a charged structure provides an opportunity to use extraction as a
simple purification technique. By combining the use of a miscible organic solvent
with saturated sodium bisulfite, aldehydes and reactive ketones can be
successfully transformed into charged bisulfite adducts that can then be
separated from other organic components of a mixture by the introduction of an
immiscible organic layer. Here, we describe a simple protocol for the removal of
aldehydes, including sterically-hindered neopentyl aldehydes and some ketones,
from chemical mixtures. Ketones can be separated if they are sterically
unhindered cyclic or methyl ketones. For aliphatic aldehydes and ketones,
dimethylformamide is used as the miscible solvent to improve removal rates. The
bisulfite addition reaction can be reversed by basification of the aqueous layer,
allowing for the re-isolation of the reactive carbonyl component of a mixture.
PMID- 29658939
TI - Simultaneous Recordings of Cortical Local Field Potentials, Electrocardiogram,
Electromyogram, and Breathing Rhythm from a Freely Moving Rat.
AB - Monitoring the physiological dynamics of the brain and peripheral tissues is
necessary for addressing a number of questions about how the brain controls body
functions and internal organ rhythms when animals are exposed to emotional
challenges and changes in their living environments. In general experiments,
signals from different organs, such as the brain and the heart, are recorded by
independent recording systems that require multiple recording devices and
different procedures for processing the data files. This study describes a new
method that can simultaneously monitor electrical biosignals, including tens of
local field potentials in multiple brain regions, electrocardiograms that
represent the cardiac rhythm, electromyograms that represent awake/sleep-related
muscle contraction, and breathing signals, in a freely moving rat. The recording
configuration of this method is based on a conventional micro-drive array for
cortical local field potential recordings in which tens of electrodes are
accommodated, and the signals obtained from these electrodes are integrated into
a single electrical board mounted on the animal's head. Here, this recording
system was improved so that signals from the peripheral organs are also
transferred to an electrical interface board. In a single surgery, electrodes are
first separately implanted into the appropriate body parts and the target brain
areas. The open ends of all of these electrodes are then soldered to individual
channels of the electrical board above the animal's head so that all of the
signals can be integrated into the single electrical board. Connecting this board
to a recording device allows for the collection of all of the signals into a
single device, which reduces experimental costs and simplifies data processing,
because all data can be handled in the same data file. This technique will aid
the understanding of the neurophysiological correlates of the associations
between central and peripheral organs.
PMID- 29658941
TI - Surgical Swine Model of Chronic Cardiac Ischemia Treated by Off-Pump Coronary
Artery Bypass Graft Surgery.
AB - Chronic cardiac ischemia that impairs cardiac function, but does not result in
infarct, is termed hibernating myocardium (HM). A large clinical subset of
coronary artery disease (CAD) patients have HM, which in addition to causing
impaired function, puts them at higher risk for arrhythmia and future cardiac
events. The standard treatment for this condition is revascularization, but this
has been shown to be an imperfect therapy. The majority of pre-clinical cardiac
research focuses on infarct models of cardiac ischemia, leaving this subset of
chronic ischemia patients largely underserved. To address this gap in research,
we have developed a well-characterized and highly reproducible model of
hibernating myocardium in swine, as swine are ideal translational models for
human heart disease. In addition to creating this unique disease model, we have
optimized a clinically relevant treatment model of coronary artery bypass surgery
in swine. This allows us to accurately study the effects of bypass surgery on
heart disease, as well as investigate additional or alternate therapies. This
model surgically induces single vessel stenosis by implanting a constrictor on
the left anterior descending (LAD) artery in a young pig. As the pig grows, the
constrictor creates a gradual stenosis, resulting in chronic ischemia with
impaired regional function, but preserving tissue viability. Following the
establishment of the hibernating myocardium phenotype, we perform off-pump
coronary artery bypass graft surgery to revascularize the ischemic region,
mimicking the gold-standard treatment for patients in the clinic.
PMID- 29658942
TI - Neuron-Macrophage Co-cultures to Activate Macrophages Secreting Molecular Factors
with Neurite Outgrowth Activity.
AB - There is strong evidence that macrophages can participate in the regeneration or
repair of injured nervous system. Here, we describe a protocol in which
macrophages are induced to produce conditioned medium (CM) that promotes neurite
outgrowth. Adult dorsal root ganglion (DRG) neurons are acutely dissociated and
plated. After the neurons are stably attached, peritoneal macrophages are co
cultured on a cell culture insert overlaid on the same well. Dibutyryl cyclic AMP
(db-cAMP) is applied to the co-cultures for 24 h, after which the cell culture
insert containing the macrophages is moved to another well to collect CM for 72
h. The CM from the co-cultures treated with db-cAMP, when applied to a separate
adult DRG neuron culture, exhibits robust neurite outgrowth activity. The CM
obtained from the db-cAMP-treated cultures consisting of single cell type alone,
either DRG neuron or peritoneal macrophage, did not exhibit neurite outgrowth
activity. This indicates that the interaction between neurons and macrophages is
indispensable for the activation of macrophages secreting molecular factors with
neurite outgrowth activity into CM. Thus, our co-culture paradigm will also be
useful to study intercellular signaling in the neuron-macrophage interaction to
stimulate the macrophages to be endowed with a pro-regenerative phenotype.
PMID- 29658943
TI - Deep learning massively accelerates super-resolution localization microscopy.
AB - The speed of super-resolution microscopy methods based on single-molecule
localization, for example, PALM and STORM, is limited by the need to record many
thousands of frames with a small number of observed molecules in each. Here, we
present ANNA-PALM, a computational strategy that uses artificial neural networks
to reconstruct super-resolution views from sparse, rapidly acquired localization
images and/or widefield images. Simulations and experimental imaging of
microtubules, nuclear pores, and mitochondria show that high-quality, super
resolution images can be reconstructed from up to two orders of magnitude fewer
frames than usually needed, without compromising spatial resolution. Super
resolution reconstructions are even possible from widefield images alone, though
adding localization data improves image quality. We demonstrate super-resolution
imaging of >1,000 fields of view containing >1,000 cells in ~3 h, yielding an
image spanning spatial scales from ~20 nm to ~2 mm. The drastic reduction in
acquisition time and sample irradiation afforded by ANNA-PALM enables faster and
gentler high-throughput and live-cell super-resolution imaging.
PMID- 29658946
TI - Association between overweight and obesity with school performance in secondary
students in Merida, Mexico.
AB - Background: Some studies have found a negative relationship between obesity and
school performance in scholars. However, this topic has not been studied in depth
in Mexico. The aim of this study was to analyze the association between
overweight and obesity with school performance in secondary school students from
Merida. Methods: Weight and height were measured, and body mass index was
calculated in a sample of 566 secondary students in Merida. Nutritional status
was classified in normal weight (-2-<+1 standard deviation [SD]), overweight (+1
<2 SD) and obesity (> + 2 SD) according to the World Health Organization
criteria. The scores in language, mathematics and sciences were used as
indicators of school performance. Good performance was considered when the scores
were >=8 points, and poor performance when scores were <8 points. Logistic
regression models were used to analyse the association between the variables.
Results: The 44% of the sample met the criteria for overweight and obesity. After
adjusting for school grade, type of school, number of absences, and Mayan
surnames, we found that girls classified as obese had a significant risk of a
poor performance in mathematics (odds ratio [OR]: 3.07; 95% confidence interval
[CI]: 1.39-6.80) and language (OR: 2.55; 95% CI: 1.14-5.71) compared with those
with healthy weight. Conclusions: Our results suggest that obesity represents a
risk of having a lower school performance in girls but not in boys.
PMID- 29658945
TI - Experience with OK-432 in lymphatic vascular malformations in a hospital from
northern Mexico.
AB - Background: Lymphatic vascular malformations (LVM) or formerly called
lymphangiomas are congenital malformations present in about 1 out of 6000 to
16000 births. The most relevant classification system for lymphangioma management
is based on the size of the cysts. Spontaneous resolution is uncommon; thus,
expectant management is not recommended. The classic treatment is excisional
surgery, but it can affect adjacent structures or have relapses, so, sclerosing
substances like OK-432 are being studied. The majority of the studies are small
in number of patients and are from Japan; the largest studies in Mexico are
focused on specific lesions (macrocystic) or a determined anatomical region. To
date, there are no studies of the population of the north of Mexico. Method: The
experience with OK-432 was described through a retrospective, descriptive study
in patients with LVM, from 2011 to 2016, in a reference hospital of northern
Mexico. Results: A total of 26 patients with LVM were treated with OK-432. The
majority of the lesions were macrocystic (69 %), microcystic (19 %) and mixed (12
%). From the total number of patients, 11 fully healed, and 72 % of the study
population had >50 % reduction in lesion size, with only 2 applications. There
were no recurrences. Complications were reported in 2 patients who had skin
hyperpigmentation. Conclusions: OK-432 probed to be an effective treatment for
LVM in a reference hospital in the north of Mexico.
PMID- 29658944
TI - An in vivo model of functional and vascularized human brain organoids.
AB - Differentiation of human pluripotent stem cells to small brain-like structures
known as brain organoids offers an unprecedented opportunity to model human brain
development and disease. To provide a vascularized and functional in vivo model
of brain organoids, we established a method for transplanting human brain
organoids into the adult mouse brain. Organoid grafts showed progressive neuronal
differentiation and maturation, gliogenesis, integration of microglia, and growth
of axons to multiple regions of the host brain. In vivo two-photon imaging
demonstrated functional neuronal networks and blood vessels in the grafts.
Finally, in vivo extracellular recording combined with optogenetics revealed
intragraft neuronal activity and suggested graft-to-host functional synaptic
connectivity. This combination of human neural organoids and an in vivo
physiological environment in the animal brain may facilitate disease modeling
under physiological conditions.
PMID- 29658947
TI - Pulmonary sequestration associated with congenital pulmonary airway malformation.
AB - Introduction: Congenital pulmonary malformations are a rare cause of neonatal
morbidity. Some of them have a common origin, which allows the identification of
combined lesions. Its diagnosis can be made prenatally by ultrasound, with the
limitation that this study is performed in specialized centers and depends on the
expertise of the operator. The association of pulmonary sequestration and
congenital malformation of the airway has been described in approximately 40-60
cases since its first description in 1949. Many lesions are not perceptible in
intrauterine life and in the neonatal period there are recurrent respiratory
symptoms that in some cases are associated with a congenital pulmonary
malformation. Case report: We report the case of a young infant, who was
diagnosed with pulmonary sequestration at 24 weeks of gestational age, undergoing
intrauterine surgical treatment with a report of complete resolution of the
malformation in posterior ultrasounds. She was valued by pediatric pneumology at
4 months of age, where angiotomography was performed and the presence of
pulmonary sequestration was confirmed by lobectomy. The histopathological study
reported extralobar pulmonary sequestration with congenital malformation of the
pulmonary airway type 2. These combined lesions were identified by
histopathological study. The treatment of choice was surgical. Conclusions: Upon
the confirmation of a malformation, we emphasize the importance of performing a
screening in order to search for other that could be associated.
PMID- 29658948
TI - Maternal employment and Mexican school-age children overweight in 2012: the
importance of households features.
AB - Background: International evidence regarding the relationship between maternal
employment and school-age children overweight and obesity shows divergent
results. In Mexico, this relationship has not been confirmed by national data
sets analysis. Consequently, the objective of this article was to evaluate the
role of the mothers' participation in labor force related to excess body weight
in Mexican school-age children (aged 5-11 years). Method: A cross-sectional study
was conducted on a sample of 17,418 individuals from the National Health and
Nutrition Survey 2012, applying binomial logistic regression models. Results:
After controlling for individual, maternal and contextual features, the mothers'
participation in labor force was associated with children body composition.
However, when the household features (living arrangements, household ethnicity,
size, food security and socioeconomic status) were incorporated, maternal
employment was no longer statically significant. Conclusions: Household features
are crucial factors for understanding the overweight and obesity prevalence
levels in Mexican school-age children, despite the mother having a paid job.
PMID- 29658949
TI - Urinary tract infections, immunity, and vaccination.
AB - Urinary tract infections (UTI) are considered one of the main causes of morbidity
worldwide, and uropathogenic Escherichia coli (UPEC) is the etiological agent
associated with these infections. The high morbidity produced by the UTI and the
limitation of antibiotic treatments promotes the search for new alternatives
against these infections. The knowledge that has been generated regarding the
immune response in the urinary tract is important for the development of
effective strategies in the UTI prevention, treatment, and control. Molecular
biology and bioinformatic tools have allowed the construction of fusion proteins
as biomolecules for the development of a viable vaccine against UTI. The fimbrial
adhesins (FimH, CsgA, and PapG) of UPEC are virulence factors that contribute to
the adhesion, invasion, and formation of intracellular bacterial communities. The
generation of recombinant proteins from fimbrial adhesins as a single molecule is
obtained by fusion technology. A few in vivo and in vitro studies have shown that
fusion proteins provide an efficient immune response and protection against UTI
produced by UPEC. Intranasal immunization of immunogenic molecules has generated
a response in the urinary tract mucosa compared with other routes of
immunization. The objective of this review was to propose a vaccine designed
against UTI caused by UPEC, describing the general scenario of the infection, the
mechanism of pathogenicity of bacteria, and the immune response of the host.
PMID- 29658950
TI - Microbial biofilms and their impact on medical areas: physiopathology, diagnosis
and treatment.
AB - Biofilms are communities of microorganisms that grow aggregated and surrounded by
an extracellular matrix, which they produce and favors them to adhere covalently
to inert and living surfaces; it also helps them to develop high tolerance to
molecules with antimicrobial activity. Moreover, biofilms are associated with
chronic and persistent infections, which negatively impact different medical
areas since they generate high costs to health care systems and patients every
year because they are difficult to treat with conventional antimicrobial drugs.
Additionally, they generate high rates of morbidity and mortality. The objective
of this review was to present extensive and up-to-date information on the origin,
biosynthesis, and pathophysiology of biofilms. Also, its relationship with
chronic infections, diagnosis, current antimicrobial treatments with antibiotic
activity, and perspectives on the search for new treatments, since the latter
still represent an important area of research.
PMID- 29658951
TI - Prevalence of plaque and dental decay in the first permanent molar in a school
population of south Mexico City.
AB - Background: The first permanent molar is susceptible to acquire tooth decay since
its eruption, due to its anatomy and because it has been exposed before other
teeth. Method: An observational, prolective, transversal and comparative study in
194 students, with an average age of 9.9 +/- 1.8 years. The evaluation of the
dentobacterial plate (DBP) was analyzed using the O'Leary index and the tooth
decay experience with the DMFS (sum of decayed, missing, extracted and filling
dental surfaces) and DMFT (sum of decayed, missing, extracted and filling per
tooth) indexes. Results: The prevalence of DBP in the first permanent molar was
of 99.4% and tooth decay of 57.2%. The value of DMFT was 1.4 +/- 1.4. The tooth
decay experience was higher in children from 7.10 years old with a value of 2.2
+/- 2.3, who are 7.9 times more likely to develop lesions than younger children
(odds ratio: 8.9; 95% confidence interval: 4.1-19.5; p < 0.0001). We found an
association between age and the values of the tooth decay experience indexes;
even though these were weak in the case of DMF (r = 0.439), the model allowed to
explain 19% of the association, and 22% for DMFT (r = 0.464). Conclusions: Tooth
decay develops rapidly in the first permanent molars; however, it does not
receive the necessary care because it is usually unknown that it is a permanent
tooth.
PMID- 29658953
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1111/j.1447-0578.2006.00148.x.].
PMID- 29658954
TI - Correction to 'Bifunctional fluorescent probes for detection of amyloid
aggregates and reactive oxygen species'.
AB - [This corrects the article DOI: 10.1098/rsos.171399.].
PMID- 29658955
TI - The effect of a loss of model structural detail due to network skeletonization on
contamination warning system design: case studies.
AB - The effect of limitations in the structural detail available in a network model
on contamination warning system (CWS) design was examined in case studies using
the original and skeletonized network models for two water distribution systems
(WDSs). The skeletonized models were used as proxies for incomplete network
models. CWS designs were developed by optimizing sensor placements for worst-case
and mean-case contamination events. Designs developed using the skeletonized
network models were transplanted into the original network model for evaluation.
CWS performance was defined as the number of people who ingest more than some
quantity of a contaminant in tap water before the CWS detects the presence of
contamination. Lack of structural detail in a network model can result in CWS
designs that (1) provide considerably less protection against worst-case
contamination events than that obtained when a more complete network model is
available and (2) yield substantial underestimates of the consequences associated
with a contamination event. Nevertheless, CWSs developed using skeletonized
network models can provide useful reductions in consequences for contaminants
whose effects are not localized near the injection location. Mean-case designs
can yield worst-case performances similar to those for worst-case designs when
there is uncertainty in the network model. Improvements in network models for
WDSs have the potential to yield significant improvements in CWS designs as well
as more realistic evaluations of those designs. Although such improvements would
be expected to yield improved CWS performance, the expected improvements in CWS
performance have not been quantified previously. The results presented here
should be useful to those responsible for the design or implementation of CWSs,
particularly managers and engineers in water utilities, and encourage the
development of improved network models.
PMID- 29658952
TI - Transcriptional Regulation of the First Cell Fate Decision.
AB - Understanding how the first cell fate decision has chosen is a fascinating
biological question that was received consider attention over the last decade.
Numerous transcription factors are required, and many have been shown to have
essential roles in this process. Here we reexamine the function that
transcription factors play primarily in the mouse-the model system most
thoroughly examined in this process. We address how the first embryonic lineage
is established and maintained, with a particular emphasis on subsequent
trophectoderm development and the role of the recently established Arid3a
transcription factor in this process. In addition, we review relevant aspects of
embryonic stem cell reprogramming into trophoblast stem cells -the equivalent of
the epiblast (inner cell mass) and the establishment of induced trophoblast stem
cells-the in vitro equivalent of the trophectoderm.
PMID- 29658956
TI - Deletion of the Neurotrophin Receptor p75NTR Prevents Diabetes-Induced Retinal
Acellular Capillaries in Streptozotocin-Induced Mouse Diabetic Model.
AB - Diabetic retinopathy is characterized by early stage of retinal neuro
inflammation that triggers development of acellular capillaries and a late stage
of pathological neovascularization. Due to limited treatment options, there is a
pressing need to develop new therapeutics. Our group discovered that diabetes
impaired processing of the nerve growth factor precursor (proNGF) resulting in
its accumulation and its receptor p75NTR. Here, we examine the protective effects
of modulating p75NTR in experimental model of diabetic retinopathy. Diabetes was
induced using streptozotocin in both wild type (WT) and p75NTR knockout (p75KO)
mice. Retinal inflammation and microvascular dysfunction were assessed. Western
blot analysis was performed to assess expression of apoptotic and inflammatory
markers and levels of the neurotrophin, p75NTR and ephrin-B2. Deletion of p75NTR
did not alter body weight or diabetes status compared to WT mice. In WT-mice,
diabetes triggered retinal inflammation, significant decrease in pericyte count
and marked increase in development of occluded (acellular) capillary formation
after 24-weeks. Deletion of p75NTR prevented acellular capillary, restored
pericyte count, and inhibited the retinal Ephrin-B2, activation of the stress
kinase JNK and apoptotic marker cleaved caspase-3 in the diabetic retina.
Deletion of p75NTR reduced retinal inflammation, and proNGF expression. These
effects coincided with increased NGF level and TrkA activation in the diabetic
retina. Targeting p75NTR using genetic approach protected the retina from the
impact of long-term diabetes in mediating microvascular degeneration and
maintains the balance of NGF/proNGF level. Together, these results provide
rationale that targeting p75NTR may offer novel and effective therapeutic
strategy to combat diabetic retinopathy.
PMID- 29658957
TI - Corrigendum: Factors Affecting Quality and Health Promoting Compounds during
Growth and Postharvest Life of Sweet Cherry (Prunus avium L.).
AB - [This corrects the article on p. 2166 in vol. 8, PMID: 29312407.].
PMID- 29658958
TI - Treatment with Src inhibitor Dasatinib results in elevated metastatic potential
in the 4T1 murine mammary carcinoma model.
AB - Introduction: The src inhibitor Dasatinib has been widely studied as an anti
metastatic agent. The aims of this study were to examine the effect of Src
inhibition on the metastatic potential of the 4T1 murine mammary carcinoma.
Context: Src is a non-receptor tyrosine kinase well-known to contribute to the
metastatic potential of tumour cells. It does so through alteration of signalling
pathways important to metastasis. Elevated levels of Src are common in many
cancer types, and have been correlated with tumour progression and poor patient
prognosis. Aims: This study examined whether disruption of the Src signalling
pathway could inhibit metastases formation. Settings and Design: The Src
inhibitor Dasatinib was evaluated in vitro and in vivo using the highly
metastatic 4T1 murine mammary adenocarcinoma cell line. Methods and Material: In
vitro assays included growth curve, western blot, migration, and invasion assays.
In vivo assays included intradermal and tail vein injection models. Statistical
analysis used: In vitro data were analysed using one-way ANOVA with Dunnett's
multiple comparisons in GraphPad Prism 6.0. In vivo data were analysed using
GraphPad Prism 6.0, using the Wilcoxon matched pairs test. Results: Dasatinib is
effective at inhibiting in vitro phosphorylation of Src, migration and invasion
in the 4T1 cell line, as well as angiogenesis in vivo. In vitro treatment with
Dasatinib impaired the metastatic ability of tumour cells as assessed by a tail
vein injection model. However, both the syngeneic BALB/c and the athymic nu/nu
mice receiving oral doses of the drug developed significantly higher numbers of
4T1 lung metastases. This effect was not seen in a different breast carcinoma
cell line, the MDA-MB-231-4175-LM2, nor was this effect seen in the murine
fibrosarcoma KHT cell line. Conclusions: The 4T1 cell line is not an appropriate
model to study Src inhibition.
PMID- 29658959
TI - Are Gasoline Prices a Factor in Residential Relocation Decisions? Preliminary
Findings from the American Housing Survey, 1996-2008.
AB - Residential relocation choice is affected by numerous factors, but gasoline
prices as a potential factor have not been investigated. This study examines
gasoline price changes and residential relocation choice using 1996-2008 American
Housing Survey data. We found higher gasoline prices are associated with a higher
percentage of movers choosing locations closer to workplaces. The findings have
implications for addressing the impacts of volatile gasoline prices on land use
planning and policies; resilient "smart cities or communities" are one possible
solution.
PMID- 29658960
TI - Entropy of Convex Functions on R d.
AB - Let Omega be a bounded closed convex set in R d with non-empty interior, and let
?? r (Omega) be the class of convex functions on Omega with Lr -norm bounded by
1. We obtain sharp estimates of the epsilon-entropy of ?? r (Omega) under Lp
(Omega) metrics, 1 <= p < r <= infinity. In particular, the results imply that
the universal lower bound epsilon-d/2 is also an upper bound for all d-polytopes,
and the universal upper bound of [Formula: see text] for [Formula: see text] is
attained by the closed unit ball. While a general convex body can be approximated
by inscribed polytopes, the entropy rate does not carry over to the limiting
body. Our results have applications to questions concerning rates of convergence
of nonparametric estimators of high-dimensional shape-constrained functions.
PMID- 29658961
TI - Correction to 'Multiple optimality criteria support Ornithoscelida'.
AB - [This corrects the article DOI: 10.1098/rsos.170833.].
PMID- 29658963
TI - Correction to 'The reproducibility of research and the misinterpretation of p
values'.
AB - [This corrects the article DOI: 10.1098/rsos.171085.].
PMID- 29658962
TI - A Pilot Randomized Controlled Trial of a New Supplementary Food Designed to
Enhance Cognitive Performance during Prevention and Treatment of Malnutrition in
Childhood.
AB - Background: Cognitive impairment associated with childhood malnutrition and
stunting is generally considered irreversible. Objective: The aim was to test a
new nutritional supplement for the prevention and treatment of moderate-acute
malnutrition (MAM) focused on enhancing cognitive performance. Methods: An 11-wk,
village-randomized, controlled pilot trial was conducted in 78 children aged 1-3
or 5-7 y living in villages in Guinea-Bissau. The supplement contained 291 kcal/d
for young children and 350 kcal/d for older children and included 5 nutrients and
2 flavan-3-ol-rich ingredients not present in current food-based recommendations
for MAM. Local bakers prepared the supplement from a combination of locally
sourced items and an imported mix of ingredients, and it was administered by
community health workers 5 d/wk. The primary outcome was executive function
abilities at 11 wk. Secondary outcomes included additional cognitive measures and
changes in z scores for weight (weight-for-age) and height (height-for-age) and
hemoglobin concentrations at 11 wk. An index of cerebral blood flow (CBF) was
also measured at 11 wk to explore the use of this measurement as a biological
index of cognitive impairment. Results: There were no significant differences in
any outcome between groups at baseline. There was a beneficial effect of random
assignment to the supplement group on working memory at 11 wk in children aged 1
3 y (P < 0.05). This difference contrasted with no effect in older children and
was not associated with faster growth rate. In addition, CBF correlated with task
switching performance (P < 0.05). Conclusions: These preliminary data suggest
that cognitive impairment can be monitored with measurement of CBF. In addition,
the findings provide preliminary data that suggest that it may be possible to
improve poor cognitive performance in young children through changes in the
nutritional formulation of supplementary foods used to prevent and treat MAM.
Powered studies of the new supplement formulation are needed. This trial was
registered at clinicaltrials.gov as NCT03017209.
PMID- 29658964
TI - Micropassage-embedding composite hydrogel fibers enable quantitative evaluation
of cancer cell invasion under 3D coculture conditions.
AB - Cell migration and invasion are of significant importance in physiological
phenomena, including wound healing and cancer metastasis. Here we propose a new
system for quantitatively evaluating cancer cell invasion in a three-dimensional
(3D), in vivo tissue-like environment. This system uses composite hydrogel
microfibers whose cross section has a relatively soft micropassage region and
that were prepared using a multilayered microfluidic device; cancer cells are
encapsulated in the core and fibroblasts are seeded in the shell regions
surrounding the core. Cancer cell proliferation is guided through the
micropassage because of the physical restriction imposed by the surrounding solid
shell regions. Quantitative analysis of cancer cell invasion is possible simply
by counting the cancer cell colonies that form outside the fiber. This platform
enables the evaluation of anticancer drug efficacy (cisplatin, paclitaxel, and 5
fluorouracil) based on the degree of invasion and the gene expression of cancer
cells (A549 cells) with or without the presence of fibroblasts (NIH-3T3 cells).
The presented hydrogel fiber-based migration assays could be useful for studying
cell behaviors under 3D coculture conditions and for drug screening and
evaluation.
PMID- 29658965
TI - A comprehensive transcriptome analysis of skeletal muscles in two Polish pig
breeds differing in fat and meat quality traits.
AB - Pork is the most popular meat in the world. Unfortunately, the selection pressure
focused on high meat content led to a reduction in pork quality. The present
study used RNA-seq technology to identify metabolic process genes related to pork
quality traits and fat deposition. Differentially expressed genes (DEGs) were
identified between pigs of Pulawska and Polish Landrace breeds for two the most
important muscles (semimembranosus and longissimus dorsi). A total of 71
significant DEGs were reported: 15 for longissimus dorsi and 56 for
semimembranosus muscles. The genes overexpressed in Pulawska pigs were involved
in lipid metabolism (APOD, LXRA, LIPE, AP2B1, ENSSSCG00000028753 and OAS2) and
proteolysis (CST6, CTSD, ISG15 and UCHL1). In Polish Landrace pigs, genes playing
a role in biological adhesion (KIT, VCAN, HES1, SFRP2, CDH11, SSX2IP and PCDH17),
actin cytoskeletal organisation (FRMD6, LIMK1, KIF23 and CNN1) and calcium ion
binding (PVALB, CIB2, PCDH17, VCAN and CDH11) were transcriptionally more active.
The present study allows for better understanding of the physiological processes
associated with lipid metabolism and muscle fiber organization. This information
could be helpful in further research aiming to estimate the genetic markers.
PMID- 29658966
TI - Methylation in the promoter regions of WT1, NKX6-1 and DBC1 genes in cervical
cancer tissues of Uygur women in Xinjiang.
AB - This study aimed to explore: 1) DNA methylation in the promoter regions of Wilms
tumor gene 1 (WT1), NK6 transcription factor related locus 1 gene (NKX6-1) and
Deleted in bladder cancer 1 (DBC1) gene in cervical cancer tissues of Uygur women
in Xinjiang, and 2) the correlation of gene methylation with the infection of
HPV16/18 viruses. We detected HPV16/18 infection in 43 normal cervical tissues,
30 cervical intraepithelial neoplasia lesions (CIN) and 48 cervical cancer
tissues with polymerase chain reaction (PCR) method. Methylation in the promoter
regions of the WT1, NKX6-1 and DBC1 genes in the above-mentioned tissues was
measured by methylation-specific PCR (MSP) and cloning sequencing. The expression
level of these three genes was measured by real-time PCR (qPCR) in 10 methylation
positive cervical cancer tissues and 10 methylation-negative normal cervical
tissues. We found that the infection of HPV16 in normal cervical tissues, CIN and
cervical cancer tissues was 14.0, 36.7 and 66.7%, respectively. The infection of
HPV18 was 0, 6.7 and 10.4%, respectively. The methylation rates of WT1, NKX6-1
and DBC1 genes were 7.0, 11.6 and 23.3% in normal cervical tissues, 36.7, 46.7
and 30.0% in CIN tissues, and 89.6, 77.1 and 85.4% in cervical cancer tissues.
Furthermore, WT1, NKX6-1 and DBC1 genes were hypermethylated in the high-grade
squamous intraepithelial lesion (CIN2, CIN3) and in the cervical cancer tissues
with infection of HPV16/18 (both P< 0.05). The expression of WT1, NKX6-1 and DBC1
was significantly lower in the methylation-positive cervical cancer tissues than
in methylation-negative normal cervical tissues. Our findings indicated that
methylation in the promoter regions of WT1, NKX6-1 and DBC1 is correlated with
cervical cancer tumorigenesis in Uygur women. The infection of HPV16/18 might be
correlated with methylation in these genes. Gene inactivation caused by
methylation might be related to the incidence and development of cervical cancer.
PMID- 29658967
TI - Identification of microRNA signature in different pediatric brain tumors.
AB - Understanding pediatric brain tumor biology is essential to help on disease
stratification, and to find novel markers for early diagnosis. MicroRNA (miRNA)
expression has been linked to clinical outcomes and tumor biology. Here, we aimed
to detect the expression of different miRNAs in different pediatric brain tumor
subtypes to discover biomarkers for early detection and develop novel therapies.
Expression of 82 miRNAs was detected in 120 pediatric brain tumors from fixed
formalin paraffin-embedded tissues, low-grade glioma, high-grade glioma,
ependymoma, and medulloblastoma, using quantitative real-time PCR. Low-expression
of miR-221, miR-9, and miR-181c/d and over-expression of miR-101, miR-222, miR
139, miR-1827, and miR-34c was found in medulloblastoma; low expression of miR
10a and over-expression of miR-10b and miR-29a in ependymoma; low expression of
miR-26a and overexpression of miR-19a/b, miR-24, miR-27a, miR- 584, and miR-527
in low-grade glioma. Cox regression showed differential miRNA expression between
responders and non-responders. The most specific were miR-10a and miR-29a low
expression in LGG non-responders, miR-135a and miR-146b over-expression in
ependymoma non-responders, and miR-135b overexpression in medulloblastoma non
responders. MicroRNAs are differentially expressed in subtypes of brain tumors
suggesting that they may help diagnosis. A greater understanding of aberrant
miRNA in pediatric brain tumors may support development of novel therapies.
PMID- 29658968
TI - Comet and cytogenetic tests as tools for evaluating genomic instability in seeds
of Oryza sativa L. and Phaseolus vulgaris L. from gene banks.
AB - This study aimed to assess the feasibility of comet and cytogenetic tests as
tools for evaluating genomic instability in seeds of Oryza sativa L. (rice) and
Phaseolus vulgaris (beans) L. from gene banks. Rice and beans were exposed to
methyl methanesulfonate (MMS) as a reference DNA damaging agent. Seeds of two
accessions of rice and beans were obtained from Embrapa Rice and Beans - Brazil.
Seed groups were imbibed in three concentrations of MMS for three periods of time
to carry out cytogenetic tests, and for one period for the comet test. At
concentrations of 10 and 15 mg/L, MMS induced cytotoxic and/or mutagenic effects
in the meristematic cells of roots from all the accessions of both species. In
the comet test, MMS induced genotoxic effects at all the concentrations in the
evaluated accessions of rice and beans, except in one accession of beans at the
lowest concentration (5 mg/L). Both species showed sensitivity to MMS. The comet
test can be proposed for the measurement of genomic instability in accessions of
rice and beans in gene banks, as being more sensitive than the cytogenetic tests
used.
PMID- 29658969
TI - Combined GSTM1 and GSTT1 null genotypes are strong risk factors for atherogenesis
in a Serbian population.
AB - Oxidative stress (OS) plays an important role in atherogenesis and since
glutathione S-transferases (GSTs) provide protection against OS, we have tested
the hypothesis that deletion polymorphisms in two GSTs (GSTM1 and GSTT1) may
affect the risk of developing atherosclerosis. A total of 382 individuals (200
patients with atherosclerosis and 182 healthy controls) were included in this
association study. Genomic DNA was isolated from peripheral blood cells or from
buccal epithelial cells and genotyping was performed using multiplex-PCR or real
time PCR methods. GSTM1 null genotype was significantly more frequent in
atherosclerotic patients than in controls (52.0% vs 34.1%) and individuals with
the GSTM1 null genotype had an approximately 2-fold increase in atherosclerosis
risk (OR: 2.1, 95%CI=1.39-3.17, P=0.0004). GSTT1 null genotype alone did not show
a statistically significant effect on atherosclerosis risk modulation, but the
association approached significance (OR: 1.57, 95%CI=0.94-2.64, P=0.08). The
combined analysis showed that the presence of both genes had a protective effect
against atherosclerosis (OR=0.55, 95%CI=0.37-0.83, P=0.005) while double null
genotypes led to a robust atherosclerosis risk increase (OR: 8.14, 95%CI= 2.41
27.51, P < 0.0001). This study demonstrated that the GSTM1 null and combined
GSTM1/GSTT1 null genotypes are susceptibility factors for development of
atherosclerosis in a Serbian population.
PMID- 29658970
TI - Differential gene expression profiles in peripheral blood in Northeast Chinese
Han people with acute myocardial infarction.
AB - This study aimed to use gene chips to investigate differential gene expression
profiles in the occurrence and development of acute myocardial infarction (AMI).
The study included 12 AMI patients and 12 healthy individuals. Total mRNA of
peripheral bloodwas extracted and reversed-transcribed to cDNA for microarray
analysis. After establishing two pools with three subjects each (3 AMI patients
and 3 healthy individuals), the remaining samples were used for RT-qPCR to
confirm the microarray data. From the microarray results, seven genes were
randomly selected for RT-qPCR. RT-qPCR results were analyzed by the 2
DeltaDeltaCt method. Microarray analysis showed that 228 genes were up- regulated
and 271 were down-regulated (p <= 0.05, |logFC| > 1). Gene ontology showed that
these genes belong to 128 cellular components, 521 biological processes, and 151
molecular functions. KEGG pathway analysis showed that these genes are involved
in 107 gene pathways. RT-qPCR results for the seven genes showed expression
levels consistent with those obtained by microarray. Thus, microarray data could
be used to select the pathogenic genes for AMI. Investigating the abnormal
expression of these differentially expressed genes might suggest efficient
strategies for the prevention, diagnosis, and treatment of AMI.
PMID- 29658971
TI - Characterization and expression of the ABC family (G group) in 'Dangshansuli'
pear (Pyrus bretschneideri Rehd.) and its russet mutant.
AB - The plant genes encoding ABCGs that have been identified to date play a role in
suberin formation in response to abiotic and biotic stress. In the present study,
80 ABCG genes were identified in 'Dangshansuli' Chinese white pear and designated
as PbABCGs. Based on the structural characteristics and phylogenetic analysis,
the PbABCG family genes could be classified into seven main groups: classes A-G.
Segmental and dispersed duplications were the primary forces underlying the
PbABCG gene family expansion in 'Dangshansuli' pear. Most of the PbABCG
duplicated gene pairs date to the recent whole-genome duplication that occurred
30~45 million years ago. Purifying selection has also played a critical role in
the evolution of the ABCG genes. Ten PbABCG genes screened in the transcriptome
of 'Dangshansuli' pear and its russet mutant 'Xiusu' were validated, and the
expression levels of the PbABCG genes exhibited significant differences at
different stages. The results presented here will undoubtedly be useful for
better understanding of the complexity of the PbABCG gene family and will
facilitate the functional characterization of suberin formation in the russet
mutant.
PMID- 29658972
TI - Genetic and phenotypic variability of iris color in Buenos Aires population.
AB - The aim of this work was to describe the phenotypic and genotypic variability
related to iris color for the population of Buenos Aires province (Argentina),
and to assess the usefulness of current methods of analysis for this country. We
studied five Single Nucleotide Polymorphisms (SNPs) included in the IrisPlex kit,
in 118 individuals, and we quantified eye color with Digital Iris Analysis Tool.
The markers fit Hardy-Weinberg equilibrium for the whole sample, but not for
rs12913832 within the group of brown eyes (LR=8.429; p=0.004). We found a
remarkable association of HERC2 rs12913832 GG with blue color (p < 0.01) but the
other markers did not show any association with iris color. The results for the
Buenos Aires population differ from those of other populations of the world for
these polymorphisms (p < 0,01). The differences we found might respond to the
admixed ethnic composition of Argentina; therefore, methods of analysis used in
European populations should be carefully applied when studying the population of
Argentina. These findings reaffirm the importance of this investigation in the
Argentinian population for people identification based on iris color.
PMID- 29658974
TI - Physician Rating Scales Do Not Accurately Rate Physicians.
AB - The purpose of this study was to determine the proportion of questions used by
online physician rating scales to directly rate physicians themselves. A
systematic review was performed of online, patient-reported physician rating
scales. Fourteen websites were identified containing patient-reported physician
rating scales, with the most common questions pertaining to office staff
courtesy, wait time, overall rating (entered, not calculated), trust/confidence
in physician, and time spent with patient. Overall, 28% directly rated the
physician, 48% rated both the physician and the office, and 24% rated the office
alone. There is great variation in the questions used, and most fail to directly
rate physicians themselves. [Orthopedics. 2018; 41(4):e445-e456.].
PMID- 29658973
TI - Searching for ancient balanced polymorphisms shared between Neanderthals and
Modern Humans.
AB - Hominin evolution is characterized by adaptive solutions often rooted in
behavioral and cognitive changes. If balancing selection had an important and
long-lasting impact on the evolution of these traits, it can be hypothesized that
genes associated with them should carry an excess of shared polymorphisms (trans-
SNPs) across recent Homo species. In this study, we investigate the role of
balancing selection in human evolution using available exomes from modern (Homo
sapiens) and archaic humans (H. neanderthalensis and Denisovan) for an excess of
trans-SNP in two gene sets: one associated with the immune system (IMMS) and
another one with behavioral system (BEHS). We identified a significant excess of
trans-SNPs in IMMS (N=547), of which six of these located within genes previously
associated with schizophrenia. No excess of trans-SNPs was found in BEHS, but
five genes in this system harbor potential signals for balancing selection and
are associated with psychiatric or neurodevelopmental disorders. Our approach
evidenced recent Homo trans-SNPs that have been previously implicated in
psychiatric diseases such as schizophrenia, suggesting that a genetic repertoire
common to the immune and behavioral systems could have been maintained by
balancing selection starting before the split between archaic and modern humans.
PMID- 29658975
TI - Thumb Basal Joint Arthroplasty: Prospective Comparison of Perioperative Analgesia
and Opioid Consumption.
AB - Trapeziectomy alone or in combination with a suspensionplasty technique is a
common surgical treatment for symptomatic thumb basal joint arthritis. The
authors undertook a prospective comparative study to test the hypothesis that
peripheral nerve blocks would provide better pain control than local anesthesia
with bupivacaine or liposomal bupivacaine regarding pain scores and opioid pill
consumption. Patients who elected to undergo basal joint arthroplasty were
allocated to 1 of 3 postoperative pain management groups: (1) peripheral nerve
block, (2) local anesthesia with bupivacaine, or (3) local anesthesia with
liposomal bupivacaine. Total opioid pill consumption and visual analog scale pain
scores were reported for the first 5 postoperative days (PODs). Seventy-eight
patients were enrolled, with 27, 23, and 28 patients in the peripheral nerve
block, bupivacaine, and liposomal bupivacaine groups, respectively. All groups
experienced an increase in opioid pill consumption and visual analog scale pain
scores from POD 0 to POD 1. Postoperative visual analog scale pain scores were
lowest in group 3 from POD 0 to POD 2. Average visual analog scale pain scores
were highest in group 1, except for on POD 0. After POD 2, visual analog scale
pain scores normalized between all groups and decreased uniformly thereafter.
Total opioid consumption was lowest in group 3 (average, 11 pills) compared with
group 1 (average, 17 pills) and group 2 (average, 19 pills). Overall, these
findings did not support the authors' hypothesis that peripheral nerve blocks are
superior in terms of postoperative pain control and opioid consumption. Although
there were advantages regarding opioid consumption and pain control with
liposomal bupivacaine, these were limited to the first POD. The effectiveness of
each modality, as well as potential risks and costs, should be considered when
determining the optimal strategy. [Orthopedics. 2018; 41(3):e410-e415.].
PMID- 29658976
TI - Current Trends in the Use of Shoulder Arthroplasty in the United States.
AB - Reverse total shoulder arthroplasty (rTSA) has become increasingly popular since
its introduction to the United States. The purpose of this study was to assess
the current trends and use of rTSA, anatomic total shoulder arthroplasty (aTSA),
and hemiarthroplasty (HA) from 2011 to 2014. Shoulder arthroplasty data from the
National (Nationwide) Inpatient Sample database were analyzed for the years 2011
to 2014 using International Classification of Diseases, Ninth Revision, Clinical
Modification procedure codes. For each procedure, use and patient and hospital
characteristics were identified. Shoulder arthroplasties increased by 24% between
2011 and 2014, to 79,105 procedures. The proportion of arthroplasties that were
aTSA did not change substantially (44% for both years; P=.0585), while the
proportion that were rTSA surpassed aTSA in 2014, increasing from 33% to 46%
(P<.0001). Use of rTSA topped use of aTSA by 2013 for Medicare patients. The
proportion that were HA procedures declined from 23% to 11% (P<.0001). The use of
rTSA for fracture increased from 26% to 58% (P<.0001) of all arthroplasties for
this indication, while the use of HA for fracture decreased from 69% to 40%
(P<.0001). Orthopedists performed rTSA more often than aTSA for Medicare patients
by 2013 and the general population by 2014. The use of rTSA for fracture has
grown significantly, with rTSA being performed more frequently than HA for this
indication. [Orthopedics. 2018; 41(3):e416-e423.].
PMID- 29658977
TI - A Systematic Review and Meta-Analysis of Procalcitonin as a Marker of
Postoperative Orthopedic Infections.
AB - Procalcitonin is a serologic marker that increases in response to inflammatory
stimuli, especially those of bacterial origin. Postoperative orthopedic
periprosthetic infections are often difficult to diagnose. This study
systematically reviewed the literature to evaluate the statistical measures of
performance of procalcitonin as a marker of postoperative orthopedic infection.
This study showed that procalcitonin has a weighted pooled sensitivity of 67.3%,
specificity of 69.4%, positive likelihood ratio of 1.778, negative likelihood
ratio of 0.423, and diagnostic odds ratio of 5.770. These results illustrate that
procalcitonin is an effective serologic marker for postoperative bacterial
infections. [Orthopedics. 2018; 41(3):e303-e309.].
PMID- 29658978
TI - Innovation and Entrepreneurship: Perspectives From Orthopedic Surgery.
AB - Orthopedic surgeons are tasked with treating complex patients while employing
critical surgical skills, clinical knowledge, and new technologies. The constant
inundation of information, coupled with hours in the hospital setting, provides
unique perspectives on various aspects of how health care is delivered, thereby
creating a milieu ripe for innovation. The rigors of an orthopedic career make it
challenging for physicians to translate an idea into a great product. Through the
authors' experiences at a tertiary orthopedic practice, they review the process
of taking an idea from concept to fruition. [Orthopedics. 2018; 41(3):135-140.].
PMID- 29658979
TI - Mini-Fragment Fixation Is Equivalent to Bicortical Screw Fixation for Horizontal
Medial Malleolus Fractures.
AB - Horizontal fractures of the medial malleolus occur through application of valgus
or abduction force through the ankle that creates a tension failure of the medial
malleolus. The authors hypothesize that mini-fragment T-plates may offer improved
fixation, but the optimal fixation construct for these fractures remains unclear.
Forty synthetic distal tibiae with identical osteotomies were randomized into 4
fixation constructs: (1) two parallel unicortical cancellous screws; (2) two
parallel bicortical cortical screws; (3) a contoured mini-fragment T-plate with 2
unicortical screws in the fragment and 2 bicortical screws in the shaft; and (4)
a contoured mini-fragment T-plate with 2 bicortical screws in the fragment and 2
unicortical screws in the shaft. Specimens were subjected to offset axial tension
loading on a servohydraulic testing system and tracked using high-resolution
video. Failure was defined as 2 mm of articular displacement. Analysis of
variance followed by a Tukey-Kramer post hoc test was used to assess for
differences between groups, with significance defined as P<.05. The mean
stiffness (+/-SD) values of both mini-fragment T-plate constructs (239+/-83 N/mm
and 190+/-37 N/mm) and the bicortical screw construct (240+/-17 N/mm) were not
statistically different. The mean stiffness values of both mini-fragment T-plate
constructs and the bicortical screw construct were higher than that of a parallel
unicortical screw construct (102+/-20 N/mm). Contoured T-plate constructs provide
stiffer initial fixation than a unicortical cancellous screw construct. The T
plate is biomechanically equivalent to a bicortical screw construct, but may be
superior in capturing small fragments of bone. [Orthopedics. 2018; 41(3):e395
e399.].
PMID- 29658980
TI - Relative Complications and Trends of Outpatient Total Shoulder Arthroplasty.
AB - Outpatient arthroplasty is an appealing option among select patient populations
as a mechanism for reducing health care expenditure. The purpose of this study
was to determine the nationwide trends and complication profile of outpatient
total shoulder arthroplasty (TSA). The authors reviewed a national administrative
claims database to identify patients undergoing TSA as outpatients and inpatients
from 2007 to 2016. The incidence of perioperative surgical and medical
complications was determined by querying for relevant International
Classification of Diseases, Ninth Revision, and Current Procedural Terminology
codes. Multivariate logistic regression adjusted for age, sex, and Charlson
Comorbidity Index was used to calculate odds ratios of complications among
outpatients relative to inpatients undergoing TSA. The query identified 1555
patients who underwent outpatient TSA and 15,987 patients who underwent inpatient
TSA. The median age was in the 70 to 74 years age group in both the outpatient
and the inpatient cohorts, and the age distribution was comparable between the 2
cohorts (P=.287). The incidence of both outpatient (P<.001) and inpatient
(P<.001) TSA increased during the study period. On adjustment for age, sex, and
comorbidities, patients undergoing outpatient TSA had significantly lower rates
of stiffness requiring manipulation under anesthesia (outpatient, 1.09%;
inpatient, 2.35%; odds ratio, 0.52; 95% confidence interval, 0.38-0.71; P<.001)
and higher rates of postoperative surgical site infections requiring reoperation
(outpatient, 0.90%; inpatient, 0.65%; odds ratio, 1.65; 95% confidence interval,
1.15-2.35; P<.001) at 1 year. Rates of all other postoperative complications were
comparable. Ambulatory TSA is increasing in incidence nationwide and is
associated with an overall favorable postoperative complication profile.
[Orthopedics. 2018; 41(3):e400-e409.].
PMID- 29658981
TI - Mobility device use in people with Parkinson's disease: A 3-year follow-up study.
AB - OBJECTIVES: This study aimed to investigate how the use and perceived unmet need
of mobility devices (MD) in people with Parkinson's disease (PD) evolve over a 3
year period. METHODS: The study reports baseline assessments (n = 255) and
comparisons for participants with complete data at baseline and the 3-year follow
up (n = 165). Structured questions addressed the use and perceived unmet need of
various MDs indoor and outdoor (eg, canes, wheeled walkers, and manual and
powered wheelchairs). McNemar tests were used to investigate differences over
time. RESULTS: In the total sample at baseline, 30% and 52% of the participants
reported using MDs indoors and outdoors, respectively. Among those with complete
data also at the 3-year follow-up, the proportion of participants using MDs
increased significantly (P < .001) from 22% to 40% for indoors and from 48% to
66% for outdoors, with transition of MD toward more assistive potential (ie,
wheeled walker and manual wheelchair). Wheeled walkers were the most commonly
used MD indoors as well as outdoors on both occasions. Among the users of
multiple MDs, the most common combination was cane and wheeled walker on both
occasions. The proportion of participants who reported a perceived unmet need of
MDs was 5% at baseline, whereas it was 21%, 3 years later. CONCLUSIONS: The use
and perceived unmet need of MDs in people with PD increase over time. There is a
need for addressing MDs at clinical follow-ups of people with PD, with continuous
attention in primary health care and municipality contexts.
PMID- 29658982
TI - Behavioural changes in patients with intellectual disability treated with
brivaracetam.
AB - OBJECTIVE: The purpose of this study was to evaluate the tolerability and
efficacy of brivaracetam (BRV) in residential patients at our epilepsy centre.
PATIENTS AND METHODS: We assessed retrospectively 33 patients (14 females; mean
age 38.2 years, with range 17-63 years) with intellectual disability (ID) and
drug-resistant epilepsy using an industry-independent, non-interventional study
design based on standardized daily seizure records. Mean seizure frequency was
compared between the 3-month baseline period and subsequent 3-month treatment
period. Evaluation, including calculation of retention rate, was carried out for
the intervals 3-6 and 9-12 months after brivaracetam initiation. Responders were
defined as having a 50% reduction in seizure frequency. The Clinical Global
Impression scale (CGI) was applied to allow assessment of qualitative changes in
seizure severity, and the Aggressive Behaviour Scale (ABS) gave further insights
into challenging behaviour. RESULTS: The responder rate was 19%, and one non
responder attained an improvement in CGI score. The retention rate after 12
months was 37%. Brivaracetam treatment was stopped because of adverse events (n =
3), lack of efficacy (n = 8) or both (n = 6). Thirteen patients experienced
behavioural changes, with aggressive behaviour being the commonest effect. We
also observed ataxia (n = 2), gastrointestinal disorder (n = 3) and sedation (n =
2). The ABS showed deterioration, or new occurrence, of aggressive behaviour in
13 patients. CONCLUSIONS: Brivaracetam seems to be effective in a small number of
patients suffering from difficult-to-treat epilepsy and intellectual disability.
Challenging behaviour was documented in a relevant number of patients, with
psychiatric illness being a risk factor for this.
PMID- 29658983
TI - Subclinical vestibular dysfunction in migraineurs without vertigo: A Clinical
study.
AB - OBJECTIVES: This observational study aimed to investigate the presence of
potential vestibular system subclinical dysfunction among migraineurs without a
history of vertigo and dizziness compared with healthy controls. METHODS:
Patients diagnosed with episodic migraine with and without aura were enrolled.
All patients and healthy controls underwent vestibular examination using the
following conventional tests: sitting position, Pagnini-McClure's, Dix
Hallpike's, head hanging, video head impulse, subjective visual vertical,
Romberg, Fukuda, and caloric vestibular stimulation by Fitzgerald-Hallpike's
tests. Nystagmus and angular velocity of the slow phase during culmination phase
was analyzed by video-nystagmography. RESULTS: Overall, 33 patients (76% female,
7 with aura and 26 without aura; mean age (mean +/- SD): 29.1 +/- 4.3 years) and
22 controls (33% female, mean age: 30.8 +/- 9.4 years) were enrolled. There were
no statistically significant differences in demographic features between patients
and controls. Caloric vestibular stimulation test results were found to differ
among patients and controls. In particular, right and left angular velocity (AV)
were highly correlated one another (r = 0.88, P < .001). Right AV (53.0 +/- 6.7
vs 44.0 +/- 9.6) and left AV (54.3 +/- 5.3 vs 43.3 +/- 9.0) were statistically
higher in migraineurs as compared to controls (P < .001). Also right V-HIT (1.1
+/- 0.1 vs 0.8 +/- 0.4) and left V-HIT (1.1 +/- 0.1 vs 0.7 +/- 0.2) were
statistically higher in migraineurs compared to controls (P < .001). CONCLUSION:
Our findings suggest a subclinical alteration of vestibular pathway in
migraineurs who have never complained vertigo or postural imbalance. This finding
supports the hypothesis of a vestibular-cerebellar dysfunction in migraineurs,
particularly among those with aura.
PMID- 29658984
TI - The SSAI fully supports the suspension of hydroxyethyl-starch solutions
commissioned by the European Medicines Agency.
PMID- 29658985
TI - Using rotational thromboelastometry clot firmness at 5 minutes (ROTEM(r) EXTEM
A5) to predict massive transfusion and in-hospital mortality in trauma: a
retrospective analysis of 1146 patients.
AB - Viscoelastic assays such as TEG(r) and ROTEM(r) are increasingly used to guide
transfusion of blood products. The EXTEM assay maximum clot firmness (MCF) is a
ROTEM measure available after 25-29 min used to guide early decisions. EXTEM A10,
the clot firmness at 10 min, is an accepted early surrogate, but investigators
differ on whether A5, the clot firmness at 5 min, is acceptable. We re-examined
this in a retrospective observational analysis of 1146 trauma patients in one
centre who had ROTEM data recorded. A5 and A10 both correlated well with maximum
clot firmness, with Pearson coefficients of r = 0.92 and r = 0.96, respectively.
The correlations of A5, A10 and maximum clot firmness with requirement for
massive transfusion were all similarly high, with c-stats of 0.87, 0.89 and 0.90,
respectively. The correlations with mortality were also similar but weaker, with
c-stats of 0.67, 0.69 and 0.69, respectively. Using a previously validated cut
off of A5 < 35 mm to predict massive transfusion gave a sensitivity of 95%,
specificity 83%, positive predictive value 9.3% and negative predictive value
100%. Using a value of A5 < 29 mm, for a pragmatic positive predictive value of
20%, gave a sensitivity of 67%, specificity 95% and negative predictive value
99%. Whether aiming for a high sensitivity or a strong predictive value, A5 was
non-inferior to A10 and actually missed fewer cases needing massive transfusion.
A5 has similar utility to both A10 and maximum clot firmness as an early measure
of clot firmness, and a low A5 value is strongly predictive of the need for
massive transfusion.
PMID- 29658986
TI - Natural fertility, infertility and the role of medically assisted reproduction:
The knowledge amongst women of reproductive age in North Queensland.
AB - BACKGROUND: The demand for medically assisted reproduction continues to increase,
with more women encountering challenges with fertility. Due to misconceptions and
gaps in knowledge, women are often unaware of the risks related to delayed
childbearing. Lack of understanding of natural fertility, infertility and the
role of medically assisted reproduction can lead to emotional suffering and
changes in family plans. AIMS: To assess the understanding and knowledge that
women of reproductive age in North Queensland have regarding natural fertility,
infertility and the role of medically assisted reproduction. MATERIALS AND
METHODS: Data were collected from 120 women (30 nurses, 30 teachers, 30
university students and 30 Technical and Further Education students) via the
distribution of a structured questionnaire. Participants were surveyed in person
about their personal plans and opinions, knowledge about natural fertility,
infertility and medically assisted reproduction, and their preferred source of
information. RESULTS: Participants demonstrated suboptimal knowledge levels
throughout all sections of the questionnaire, in particular when asked about
medically assisted reproduction. When asked to identify their main source of
information, 'friends and family' was the most popular choice. CONCLUSIONS:
Results from this North Queensland study add to the existing international
literature, highlighting the widespread nature of the problem. Without adequate
understanding of natural fertility, the risks of infertility, and the role and
limitations of medically assisted reproduction, women make uninformed decisions.
Development of local reproductive health education programs need to be instigated
in response.
PMID- 29658988
TI - Merkel cell carcinoma in a patient treated with infliximab: Beware of the
subcutaneous nodule.
PMID- 29658987
TI - Does bilingualism increase brain or cognitive reserve in patients with temporal
lobe epilepsy?
AB - OBJECTIVE: Bilingual healthy adults have been shown to exhibit an advantage in
executive functioning (EF) that is associated with microstructural changes in
white matter (WM) networks. Patients with temporal lobe epilepsy (TLE) often show
EF deficits that are associated with WM compromise. In this study, we investigate
whether bilingualism can increase cognitive reserve and/or brain reserve in
bilingual patients with TLE, mitigating EF impairment and WM compromise. METHODS:
Diffusion tensor imaging was obtained in 19 bilingual and 26 monolingual patients
with TLE, 12 bilingual healthy controls (HC), and 21 monolingual HC. Fractional
anisotropy (FA) and mean diffusivity (MD) were calculated for the uncinate
fasciculus (Unc) and cingulum (Cing), superior frontostriatal tract (SFS), and
inferior frontostriatal tract (IFS). Measures of EF included Trail Making Test-B
(TMT-B) and Delis-Kaplan Executive Function System Color-Word
Inhibition/Switching. Analyses of covariance were conducted to compare FA and MD
of the Unc, Cing, SFS, and IFS and EF performance across groups. RESULTS: In
bilingual patients, FA was lower in the ipsilateral Cing and Unc compared to all
other groups. For both patient groups, MD of the ipsilateral Unc was higher
relative to HC. Despite more pronounced reductions in WM integrity, bilingual
patients performed similarly to monolingual TLE and both HC groups on EF
measures. By contrast, monolingual patients performed worse than HC on TMT-B. In
addition, differences in group means between bilingual and monolingual patients
on TMT-B approached significance when controlling for the extent of WM damage (P
= .071; d = 0.62), suggesting a tendency toward higher performance for bilingual
patients. SIGNIFICANCE: Despite poorer integrity of regional frontal lobe WM,
bilingual patients performed similarly to monolingual patients and HC on EF
measures. These findings align with studies suggesting that bilingualism may
provide a protective factor for individuals with neurological disease,
potentially through reorganization of EF networks that promote greater cognitive
reserve.
PMID- 29658990
TI - Finding an unfamiliar face in a line-up: Viewing multiple images of the target is
beneficial on target-present trials but costly on target-absent trials.
AB - When viewing unfamiliar faces, photographs of the same person often are perceived
as belonging to different people and photographs of different people as belonging
to the same person. Identity matching of unfamiliar faces is especially
challenging when the photographs are of a person whose ethnicity differs from
that of the observer. In contrast, matching is trivial when viewing familiar
faces, regardless of race. Viewing multiple images of an own-race target identity
improves accuracy on a line-up task when the target is known to be present
(Dowsett et al., 2016, Q J Exp Psychol, 69, 1), suggesting that exposure to
within-person variability in appearance is key to face learning. Across three
experiments, we show that viewing multiple images of a target identity also
improves accuracy for other-race faces on target-present trials. However, viewing
multiple images decreases accuracy (i.e., increases false alarms) on target
absent trials for both own- and other-race faces. We discuss the implications of
our findings for models of face recognition and for forensic settings.
PMID- 29658989
TI - The effectiveness of a group self-management education course for adults with
poorly controlled epilepsy, SMILE (UK): A randomized controlled trial.
AB - OBJECTIVE: Epilepsy is one of the most common neurological conditions affecting
about 1% of adults. Up to 40% of people with epilepsy (PWE) report recurring
seizures while on medication. And optimal functioning requires good self
management. Our objective was to evaluate a group self-management education
courses for people with epilepsy and drug-resistant seizures by means of a
multicenter, pragmatic, parallel group, randomized controlled trial. METHODS: We
recruited adults with epilepsy, having >=2 seizures in the prior 12 months, from
specialist clinics. Consenting participants were randomized 1:1 to a group course
or treatment as usual. The primary outcome measure was quality of life 12 months
after randomization using Quality of Life 31-P (QOLIE-31-P). Secondary outcome
measures were seizure frequency and recency, psychological distress, impact and
stigma of epilepsy, self-mastery, medication adherence, and adverse effects.
Analysis of outcomes followed the intention-to-treat principle using mixed
effects regression models. RESULTS: We enrolled 404 participants (intervention: n
= 205, control: n = 199) with 331 (82%) completing 12-month follow-up
(intervention: n = 163, control: n = 168). Mean age was 41.7 years, ranging from
16 to 85, 54% were female and 75% were white. From the intervention arm, 73.7%
attended all or some of the course. At 12-month follow-up, there were no
statistically significant differences between trial arms in QOLIE-31-P
(intervention mean: 67.4, standard deviation [SD]: 13.5; control mean: 69.5, SD
14.8) or in secondary outcome measures. SIGNIFICANCE: This is the first pragmatic
trial of group education for people with poorly controlled epilepsy. Recruitment,
course attendance, and follow-up rates were higher than expected. The results
show that the primary outcome and quality of life did not differ between the
trial arms after 12 months. We found a high prevalence of felt-stigma and
psychological distress in this group of people with drug-resistant seizures. To
address this, social and psychological interventions require evaluation, and may
be necessary before or alongside self-management-education courses.
PMID- 29658991
TI - Novel de novo synthesized phosphate carrier compound ABA-PEG20k-Pi20 suppresses
collagenase production in Enterococcus faecalis and prevents colonic anastomotic
leak in an experimental model.
AB - BACKGROUND: Previous work has demonstrated that anastomotic leak can be caused by
collagenolytic bacteria such as Enterococcus faecalis via an effect on wound
collagen. In humans, E. faecalis is the organism cultured most commonly from a
leaking anastomosis, and is not routinely eliminated by standard oral or
intravenous antibiotics. Novel strategies are needed to contain the virulence of
this pathogen when present on anastomotic tissues. METHODS: Polyphosphorylated
polymer ABA-PEG20k-Pi20 was tested in mice for its ability to prevent anastomotic
leak caused by collagenolytic E. faecalis. The study design included a distal
colonic resection and anastomosis followed by introduction of E. faecalis to
anastomotic tissues via enema. Mice were assigned randomly to receive either ABA
PEG20-Pi20 or its unphosphorylated precursor ABA-PEG20k in their drinking water.
The development of anastomotic leak was determined after the animals had been
killed. RESULTS: Overnight incubation of two different E. faecalis collagenolytic
strains with 2 mmol/l of ABA-PEG20k-Pi20 led to near complete inhibition of
collagenase production (from 21 000 to 1000 and from 68 000 to 5000 units; P <
0.001; 6 samples per group) without suppressing bacterial growth. In mice
drinking 1 per cent ABA-PEG20k-Pi20, the phosphate concentration in the distal
colonic mucosa increased twofold and leak rates decreased from eight of 15 to
three of 15 animals (P < 0.001). In mice drinking ABA-PEG20k-Pi20, the percentage
of collagenolytic colonies among E. faecalis populations present at anastomotic
tissue sites was decreased by 6-4800-fold (P = 0.008; 5 animals). CONCLUSION:
These data indicate that oral intake of ABA-PEG20k-Pi20 may be an effective agent
to contain the virulence of E. faecalis and may prevent anastomotic leak caused
by this organism. Clinical relevance Progress in understanding the pathogenesis
of anastomotic leak continues to point to intestinal bacteria as key causative
agents. The presence of pathogens such as Enterococcus faecalis that predominate
on anastomotic tissues despite antibiotic use, coupled with their ability to
produce collagenase, appears to alter the process of healing that leads to
leakage. Further antibiotic administration may seem logical, but carries the
unwanted risk of eliminating the normal microbiome, which functions competitively
to exclude and suppress the virulence of pathogens such as E. faecalis.
Therefore, non-antibiotic strategies that can suppress the production of
collagenase by E. faecalis without affecting its growth, or potentially normal
beneficial microbiota, may have unique advantages. The findings of this study
demonstrate that drinking a phosphate-based polymer can achieve the goal of
preventing anastomotic leak by suppressing collagenase production in E. faecalis
without affecting its growth.
PMID- 29658992
TI - Can mutation-mediated effects occurring early in development cause long-term
seizure susceptibility in genetic generalized epilepsies?
AB - Epilepsy has a strong genetic component, with an ever-increasing number of
disease-causing genes being discovered. Most epilepsy-causing mutations are germ
line and thus present from conception. These mutations are therefore well
positioned to have a deleterious impact during early development. Here we review
studies that investigate the role of genetic lesions within the early
developmental window, specifically focusing on genetic generalized epilepsy
(GGE). Literature on the potential pathogenic role of sub-mesoscopic structural
changes in GGE is also reviewed. Evidence from rodent models of genetic epilepsy
support the idea that functional and structural changes can occur in early
development, leading to altered seizure susceptibility into adulthood. Both
animal and human studies suggest that sub-mesoscopic structural changes occur in
GGE. The existence of sub-mesoscopic structural changes prior to seizure onset
may act as biomarkers of excitability in genetic epilepsies. We also propose that
presymptomatic treatment may be essential for limiting the long-term consequences
of disease-causing mutations in genetic epilepsies.
PMID- 29659000
TI - Commentary on Bridging the Science-Practice Gap in Aging, Dementia, and Mental
Health: Nursing Home Culture Change As an Exemplar.
PMID- 29658993
TI - Does the Primary Care Behavioral Health Model Reduce Emergency Department Visits?
AB - OBJECTIVE: To examine the impact of integrating behavioral health services using
the primary care behavioral health (PCBH) model on emergency department (ED)
utilization. DATA SOURCES: Utilization data from three Dane County, Wisconsin
hospitals and four primary care clinics from 2003 to 2011. STUDY DESIGN: We used
a retrospective, quasi-experimental, controlled, pre-post study design. Starting
in 2007, two clinics began integrating behavioral health into their primary care
practices with a third starting in 2010. A fourth, nonimplementing, community
clinic served as control. Change in emergency department and primary care
utilization (number of visits) for patients diagnosed with mood and anxiety
disorders was the outcomes of interest. DATA COLLECTION: Retrospective data were
obtained from electronic patient records from the three main area hospitals along
with primary care data from participating clinics. PRINCIPAL FINDINGS: Following
the introduction of the PCBH model, one clinic experienced a statistically
significant (p < .01, 95 percent CI 6.3-16.3 percent), 11.3 percent decrease in
the ratio of ED visits to primary care encounters, relative to a control site,
but two other intervention clinics did not. CONCLUSIONS: The PCBH model may be
associated with a reduction in ED utilization, but better-controlled studies are
needed to confirm this result.
PMID- 29659002
TI - Commentary on Apathy as a Model for Investigating Behavioral and Psychological
Symptoms in Dementia.
PMID- 29659001
TI - State of the Science: Apathy As a Model for Investigating Behavioral and
Psychological Symptoms in Dementia.
AB - Apathy is one of the most common and pervasive of the behavioral and
psychological symptoms of dementia (BPSDs). Apathy has profound consequences for
morbidity, mortality, and caregiver burden. Treatment of apathy has been hindered
because of poor understanding of the mechanisms underlying this heterogeneous
syndrome. Research has demonstrated that apathy is associated with disruption of
the frontal-striatal system in individuals with neurodegenerative disease. As
with other BPSDs, these neural mechanisms alone do not completely account for the
syndrome; individual, caregiver, and environmental factors also contribute to
apathy. In this article, we modify a current conceptual model of the factors
contributing to BPSDs to examine determinants of apathy. This integrative model
provides a more complete and theoretically informed understanding of apathy,
allowing for greater insight into potential targets for research, intervention,
and care. We end by proposing an agenda for moving the science of BPSDs in
general, and apathy in particular, forward.
PMID- 29659003
TI - State of Science: Bridging the Science-Practice Gap in Aging, Dementia and Mental
Health.
AB - The workforce available to care for older adults has not kept pace with the need.
In response to workforce limitations and the growing complexity of healthcare,
scientists have tested new models of care that redesign clinical practice. This
article describes why new models of care in aging, dementia, and mental health
diffuse inadequately into the healthcare systems and communities where they might
benefit older adults. We review a general framework for the diffusion of
innovations and highlight the importance of other features of innovations that
deter or facilitate diffusion. Although scientists often focus on generating
evidence-based innovations, end-users apply their own criteria to determine an
innovation's value. In 1962, Rogers suggested six features of an innovation that
facilitate or deter diffusion suggested: relative advantage, compatibility with
the existing environment, ease or difficulty of implementation, trial-ability or
ability to "test drive", adaptability, and observed effectiveness. We describe
examples of models of care in aging, dementia and mental health that enjoy a
modicum of diffusion into practice and place the features of these models in the
context of deterrents and facilitators for diffusion. Developers of models of
care in aging, dementia, and mental health typically fail to incorporate the
complexities of health systems, the barriers to diffusion, and the role of
emotion into design considerations of new models. We describe agile
implementation as a strategy to facilitate the speed and scale of diffusion in
the setting of complex adaptive systems, social networks, and dynamic
macroenvironments.
PMID- 29659004
TI - Commentary on State of the Science: Interprofessional Approaches to Aging,
Dementia, and Mental Health.
PMID- 29659005
TI - State of the Science of Neural Systems in Late-Life Depression: Impact on
Clinical Presentation and Treatment Outcome.
AB - Major depression in older adults, or late-life depression (LLD), is a common and
debilitating psychiatric disorder that increases the risk of morbidity and
mortality. Although the effects of LLD make it important to achieve a diagnosis
and start treatment quickly, individuals with LLD are often inadequately or
unsuccessfully treated. The latest treatment developments suggest that
interventions targeting executive dysfunction and neuroticism, constructs
associated with poor response to antidepressants in older adults, are successful
in treating LLD. Specific behavioral interventions (computerized cognitive
training, mindfulness meditation, aerobic exercise) appear to decrease depressive
symptoms and ameliorate executive dysfunction and neuroticism, but we do not
fully understand the mechanisms by which these treatments work. We review recent
research on neural network changes underlying executive dysfunction and
neuroticism in LLD and their association with clinical outcomes (e.g., treatment
response, cognitive functioning).
PMID- 29659006
TI - Health and Aging Policy Fellows: Dementia and Mental Health Policy to Improve
Lives of Older Adults.
AB - The Health and Aging Policy Fellows (HAPF) Program has, since its inception in
2008, provided health policy training and mentorship for 113 gerontological
professionals across a wide range of disciplines and stages of careers. The
fellows' shared passion is the effective engagement of policy levers to improve
the lives of older adults. This article briefly describes the HAPF Program and
provides a sample of policies with which fellows have been engaged related to
dementia and late-life mental health. Approximately 20% of the fellows have
specifically addressed one of these areas during their fellowship year. This
would be expected, given that 14% of older adults aged 71 and older have a
diagnosis of dementia, and although new mental health diagnoses decline as adults
age, mental health conditions remain prevalent. Thus, we conclude by describing
opportunities for future advocacy and policy efforts in dementia and mental
health in the areas of funding, translating science to practice,
interprofessional education and innovative models of care for persons with these
conditions.
PMID- 29659007
TI - Challenges in Aging, Dementia, and Mental Health: New Knowledge and Energy to
Inform Solutions.
PMID- 29659008
TI - Commentary on Neural Systems in Late-Life Depression: Clinical Presentation and
Treatment Outcome.
PMID- 29659009
TI - State of the Science: Interprofessional Approaches to Aging, Dementia, and Mental
Health.
AB - Interprofessional education (IPE) is essential to develop the healthcare
workforce of the future. Geriatrics healthcare professionals have long championed
innovations in IPE and patient care, but there is increased urgency to address
challenges in aging, dementia, and geriatric mental health in America. In 2010,
the Partnership for Health in Aging multidisciplinary competencies and a related
position statement in the Journal of the American Geriatrics Society addressed
interdisciplinary team (IDT) training in geriatrics. The position statement
reported that training in higher education, academic, and continuing education
settings has not been sufficiently responsive to workforce needs. In recent
years, health professions educators and health systems leaders have increasingly
recognized that IPE should be integrally linked with, and performed within,
emerging models of team-based, value-driven health care. In this way, IPE will
align with learning healthcare systems' pursuit of the Quadruple Aim: improving
patient experience, provider experience, and the health of populations, and
reducing per capita health care costs. Backed by decades of developing effective
team care models and the skill set needed to care for older adults with complex
needs, geriatrics healthcare providers from multiple disciplines are uniquely
positioned to lead learning healthcare systems in a new effort to develop,
implement, and sustain IPE and practice models congruent with these Aims. We
provide recommendations for health professions educators, healthcare systems
leaders, and policymakers to realize the potential of IPE and interprofessional
collaborative practice (IPCP) to improve the health of all Americans in aging,
dementia, and mental health.
PMID- 29659010
TI - Helping Consumers Make High-Value Health Care Choices: The Devil Is in the
Details.
PMID- 29659011
TI - Immune system augmentation via humanization using stem/progenitor cells and
bioengineering in a breast cancer model study.
AB - Despite significant advances, most current in vivo models fail to fully
recapitulate the biological processes that occur in humans. Here we aimed to
develop an advanced humanized model with features of an organ bone by providing
different bone tissue cellular compartments including preosteoblasts, mesenchymal
stem/stromal (MSCs), endothelial and hematopoietic cells in an engineered
microenvironment. The bone compartment was generated by culturing the human MSCs,
umbilical vein endothelial cells with gelatin methacryloyl hydrogels in the
center of a melt-electrospun polycaprolactone tubular scaffolds, which were
seeded with human preosteoblasts. The tissue engineered bone (TEB) was
subcutaneously implanted into the NSG mice and formed a morphologically and
functionally organ bone. Mice were further humanized through the tail vein
injection of human cord blood derived CD34+ cells, which then populated in the
mouse bone marrow, spleen and humanized TEB (hTEB). 11 weeks after CD34+
transplantation, metastatic breast cancer cells (MDA-MB-231BO) were
orthotopically injected. Cancer cell injection resulted in the formation of a
primary tumor and metastasis to the hTEB and mouse organs. Less frequent
metastasis and lower tumor burden were observed in hematochimeric mice,
suggesting an immune-mediated response against the breast cancer cells. Overall,
our results demonstrate the efficacy of tissue engineering approaches to study
species-specific cancer-bone interactions. Further studies using genetically
modified hematopoietic stem cells and bioengineered microenvironments will enable
us to address the specific roles of signaling molecules regulating hematopoietic
niches and cancer metastasis in vivo.
PMID- 29659012
TI - Global burden of cutaneous melanoma attributable to ultraviolet radiation in
2012.
AB - Ultraviolet radiation (UVR) is a strong and ubiquitous risk factor for cutaneous
melanoma, emitted naturally by the sun but also artificial sources. To shed light
on the potential impact of interventions seeking to reduce exposure to UVR in
both high and low risk populations, we quantified the number of cutaneous
melanomas attributable to UVR worldwide. Population attributable fractions and
numbers of new melanoma cases in adults due to ambient UVR were calculated by age
and sex for 153 countries by comparing the current melanoma burden with
historical data, i.e., the melanoma burden observed in a population with minimal
exposure to UVR. Secondary analyses were performed using contemporary melanoma
incidence rates in dark-skinned African populations with low UVR susceptibility
as reference. Globally, an estimated 168,000 new melanoma cases were attributable
to excess UVR in 2012, corresponding to 75.7% of all new melanoma cases and 1.2%
of all new cancer cases. This burden was concentrated in very highly developed
countries with 149,000 attributable cases and was most pronounced in Oceania,
where 96% of all melanomas (representing 9.3% of the total cancer burden) were
attributable to excess UVR. There would be approximately 151,000 fewer melanoma
cases worldwide were incidence rates in every population equivalent to those
observed in selected low-risk (dark-skinned, heavily pigmented) reference
populations. These findings underline the need for public health action, an
increasing awareness of melanoma and its risk factors, and the need to promote
changes in behavior that decrease sun exposure at all ages.
PMID- 29659013
TI - Dietary fat and fiber interact to uniquely modify global histone post
translational epigenetic programming in a rat colon cancer progression model.
AB - Dietary fermentable fiber generates short-chain fatty acids (SCFA), for example,
butyrate, in the colonic lumen which serves as a chemoprotective histone
deacetylase inhibitor and/or as an acetylation substrate for histone acetylases.
In addition, n-3 polyunsaturated fatty acids (n-3 PUFA) in fish oil can affect
the chromatin landscape by acting as ligands for tumor suppressive nuclear
receptors. In an effort to gain insight into the global dimension of post
translational modification of histones (including H3K4me3 and H3K9ac) and clarify
the chemoprotective impact of dietary bioactive compounds on transcriptional
control in a preclinical model of colon cancer, we generated high-resolution
genome-wide RNA (RNA-Seq) and "chromatin-state" (H3K4me3-seq and H3K9ac-seq) maps
for intestinal (epithelial colonocytes) crypts in rats treated with a colon
carcinogen and fed diets containing bioactive (i) fish oil, (ii) fermentable
fiber (a rich source of SCFA), (iii) a combination of fish oil plus pectin, or
(iv) control, devoid of fish oil or pectin. In general, poor correlation was
observed between differentially transcribed (DE) and enriched genes (DERs) at
multiple epigenetic levels. The combinatorial diet (fish oil + pectin) uniquely
affected transcriptional profiles in the intestinal epithelium, for example,
upregulating lipid catabolism and beta-oxidation associated genes. These genes
were linked to activated ligand-dependent nuclear receptors associated with n-3
PUFA and were also correlated with the mitochondrial L-carnitine shuttle and the
inhibition of lipogenesis. These findings demonstrate that the chemoprotective
fish oil + pectin combination diet uniquely induces global histone state
modifications linked to the expression of chemoprotective genes.
PMID- 29659015
TI - Possible repurposing of pyrvinium pamoate for the treatment of mesothelioma: A
pre-clinical assessment.
AB - Malignant mesothelioma (MM) is a very aggressive asbestos-related cancer, whose
incidence is increasing worldwide. Unfortunately, no effective therapies are
currently available and the prognosis is extremely poor. Recently, the anti
helminthic drug pyrvinium pamoate has attracted a strong interest for its anti
cancer activity, which has been demonstrated in many cancer models. Considering
the previously established inhibitory effect of pyrvinium pamoate on the Wnt/beta
catenin pathway and given the important role of this pathway in MM, we
investigated the potential anti-tumor activity of this drug in MM cell lines. We
observed that pyrvinium pamoate significantly impairs MM cell proliferation,
cloning efficiency, migration, and tumor spheroid formation. At the molecular
level, our data show that pyrvinium pamoate down-regulates the expression of beta
catenin and Wnt-regulates genes. Overall, our study suggests that the repurposing
of pyrvinium pamoate for MM treatment could represent a new promising therapeutic
approach.
PMID- 29659014
TI - Single CpG hypermethylation, allele methylation errors, and decreased expression
of multiple tumor suppressor genes in normal body cells of mutation-negative
early-onset and high-risk breast cancer patients.
AB - To evaluate the role of constitutive epigenetic changes in normal body cells of
BRCA1/BRCA2-mutation negative patients, we have developed a deep bisulfite
sequencing assay targeting the promoter regions of 8 tumor suppressor (TS) genes
(BRCA1, BRCA2, RAD51C, ATM, PTEN, TP53, MLH1, RB1) and the estrogene receptor
gene (ESR1), which plays a role in tumor progression. We analyzed blood samples
of two breast cancer (BC) cohorts with early onset (EO) and high risk (HR) for a
heterozygous mutation, respectively, along with age-matched controls. Methylation
analysis of up to 50,000 individual DNA molecules per gene and sample allowed
quantification of epimutations (alleles with >50% methylated CpGs), which are
associated with epigenetic silencing. Compared to ESR1, which is representative
for an average promoter, TS genes were characterized by a very low (< 1%) average
methylation level and a very low mean epimutation rate (EMR; < 0.0001% to 0.1%).
With exception of BRCA1, which showed an increased EMR in BC (0.31% vs. 0.06%),
there was no significant difference between patients and controls. One of 36 HR
BC patients exhibited a dramatically increased EMR (14.7%) in BRCA1, consistent
with a disease-causing epimutation. Approximately one third (15 of 44) EO BC
patients exhibited increased rates of single CpG methylation errors in multiple
TS genes. Both EO and HR BC patients exhibited global underexpression of blood TS
genes. We propose that epigenetic abnormalities in normal body cells are
indicative of disturbed mechanisms for maintaining low methylation and
appropriate expression levels and may be associated with an increased BC risk.
PMID- 29659016
TI - Effects of attention during encoding on sex differences in object location
memory.
AB - Attention plays a key role in memory processes and has been widely studied in
various memory tasks. The role of attention in sex differences in object location
memory is not clearly understood. In the present study, two experiments involving
186 participants and using an object array presented on paper were conducted to
examine two encoding conditions: incidental and intentional. In each experiment,
the participants were randomly assigned to divided versus full attention
conditions. In the first experiment, which involved incidental encoding, women
outperformed men in memorising location-exchanged objects in both the full and in
the divided attention condition. In the second experiment, which involved
intentional encoding, women outperformed men in memorising location-exchanged
objects in the full attention condition, but not the divided attention condition.
These findings deepen our knowledge regarding the role of attention in object
location memory, specifically in terms of the conditions under which females have
an advantage for detecting changes in an array of objects.
PMID- 29659017
TI - Experiences of physical and relational victimization in children with ADHD: The
role of social problems and aggression.
AB - The social risk factors for physical and relational peer victimization were
examined within a mixed-gender sample of children with and without attention
deficit/hyperactivity disorder (ADHD). Participants were 124 children (ages 8-12
years; 48% boys), with 47% exhibiting sub-clinical or clinical elevations in ADHD
symptoms. ADHD and oppositional defiant disorder (ODD) symptom counts were
assessed based on parent- and teacher-reports; parents rated children's social
problems and teachers rated children's use of physical and relational aggression
and experiences of physical and relational victimization. A multiple mediator
model was used to test whether there were indirect effects of ADHD or ODD
symptoms on physical and relational victimization through social problems,
physical aggression, or relational aggression. At the bivariate level, ADHD and
ODD symptoms were both significantly associated with higher rates of physical and
relational victimization. In the mediational model, there were significant
indirect effects of ADHD symptoms on relational victimization via social
problems, of ODD on relational victimization via relational aggression, and of
ODD symptoms on physical victimization via physical aggression. Results suggest
that there are distinct risk factors implicated in the physical and relational
victimization of youth with ADHD and that the co-occurrence of ODD symptoms is
important to assess. Clinical implications for addressing victimization in
children with ADHD are discussed.
PMID- 29659018
TI - VMAT optimization with dynamic collimator rotation.
AB - PURPOSE: Although collimator rotation is an optimization variable that can be
exploited for dosimetric advantages, existing Volumetric Modulated Arc Therapy
(VMAT) optimization uses a fixed collimator angle in each arc and only rotates
the collimator between arcs. In this study, we develop a novel integrated
optimization method for VMAT, accounting for dynamic collimator angles during the
arc motion. METHODS: Direct Aperture Optimization (DAO) for Dynamic Collimator in
VMAT (DC-VMAT) was achieved by adding to the existing dose fidelity objective an
anisotropic total variation term for regulating the fluence smoothness, a binary
variable for forming simple apertures, and a group sparsity term for controlling
collimator rotation. The optimal collimator angle for each beam angle was
selected using the Dijkstra's algorithm, where the node costs depend on the
estimated fluence map at the current iteration and the edge costs account for the
mechanical constraints of multi-leaf collimator (MLC). An alternating
optimization strategy was implemented to solve the DAO and collimator angle
selection (CAS). Feasibility of DC-VMAT using one full-arc with dynamic
collimator rotation was tested on a phantom with two small spherical targets, a
brain, a lung and a prostate cancer patient. The plan was compared against a
static collimator VMAT (SC-VMAT) plan using three full arcs with 60 degrees of
collimator angle separation in patient studies. RESULTS: With the same target
coverage, DC-VMAT achieved 20.3% reduction of R50 in the phantom study, and
reduced the average max and mean OAR dose by 4.49% and 2.53% of the prescription
dose in patient studies, as compared with SC-VMAT. The collimator rotation co
ordinated with the gantry rotation in DC-VMAT plans for deliverability. There
were 13 beam angles in the single-arc DC-VMAT plan in patient studies that
requires slower gantry rotation to accommodate multiple collimator angles.
CONCLUSIONS: The novel DC-VMAT approach utilizes the dynamic collimator rotation
during arc delivery. In doing so, DC-VMAT affords more sophisticated intensity
modulation, alleviating the limitation previously imposed by the square beamlet
from the MLC leaf thickness and achieves higher effective modulation resolution.
Consequently, DC-VMAT with a single arc manages to achieve superior dosimetry
than SC-VMAT with three full arcs.
PMID- 29659019
TI - An enduring legacy: Dr. Alina Szczesniak's classification of food texture.
PMID- 29659020
TI - Surgical management of chronic otitis secondary to craniomandibular osteopathy in
three West Highland white terriers.
AB - Three West Highland white terriers were presented for investigation of left-sided
para-aural abscessation. CT revealed chronic otitis media with extensive osseous
proliferation surrounding the horizontal and vertical ear canals contiguous with
the expanded temporal bone, consistent with a unilateral variant of
craniomandibular osteopathy. A left total ear canal ablation with lateral bulla
osteotomy was performed in all dogs. An ultrasonic bone curette (Sonopet;
Stryker) proved useful when removing the osseous proliferation in two dogs.
Histopathological examination of the ear canals was consistent with
craniomandibular osteopathy and the treatment led to resolution of the presenting
clinical signs in all dogs. To our knowledge, this is the first report of
craniomandibular osteopathy engulfing the external ear canal, presumably leading
to chronic otitis media and para-aural abscess formation. This is also the first
reported use of an ultrasonic bone curette in canine otic surgery.
PMID- 29659021
TI - Absence of a moderating effect of parent health literacy on Early Head Start
enrollment and dental use.
AB - OBJECTIVES: To examine the moderating effect of parents' health literacy (HL) on
the effectiveness of North Carolina Early Head Start (EHS) in improving
children's dental use. METHODS: Parents of 479 children enrolled in EHS and 699
Medicaid-matched parent-child dyads were interviewed at baseline when children
were approximately 10 months old and 24 months later. We used in-person computer
assisted, structured interviews to collect information on sociodemographic
characteristics, dental use, and administer the Short Assessment of Health
Literacy - Spanish and English (SAHL-S&E). This quasi-experimental study tested
whether the interaction effect between EHS and parents' HL was associated with
dental use. Logit (any use) and marginalized zero-inflated negative binomial
count models (number of dental visits) included random effects to account for
clustering and controlled for baseline dental use, dental need, survey language,
and a propensity score covariate. RESULTS: Nineteen percent of parents in EHS had
low literacy compared to 12 percent of parents in the non-EHS group (P < 0.01).
The interaction term between EHS and parent's HL was not significant in the
adjusted logit model (ratio of aORs 0.98, 95 percent CI: 0.43-2.20) or the
adjusted count model (ratio of aRRs 0.88, 95 percent CI: 0.72-1.09). CONCLUSIONS:
Parents in EHS had a higher prevalence of low HL compared to non-EHS parents.
Parents' HL did not moderate the relationship between EHS and child dental use,
suggesting that EHS results in similar improvements in dental use regardless of
parent's HL levels.
PMID- 29659022
TI - Transcription factor RD26 is a key regulator of metabolic reprogramming during
dark-induced senescence.
AB - Leaf senescence is a key process in plants that culminates in the degradation of
cellular constituents and massive reprogramming of metabolism for the recovery of
nutrients from aged leaves for their reuse in newly developing sinks. We used
molecular-biological and metabolomics approaches to identify NAC transcription
factor (TF) RD26 as an important regulator of metabolic reprogramming in
Arabidopsis thaliana. RD26 directly activates CHLOROPLAST VESICULATION (CV),
encoding a protein crucial for chloroplast protein degradation, concomitant with
an enhanced protein loss in RD26 overexpressors during senescence, but a reduced
decline of protein in rd26 knockout mutants. RD26 also directly activates LKR/SDH
involved in lysine catabolism, and PES1 important for phytol degradation.
Metabolic profiling revealed reduced gamma-aminobutyric acid (GABA) in RD26
overexpressors, accompanied by the induction of respective catabolic genes.
Degradation of lysine, phytol and GABA is instrumental for maintaining
mitochondrial respiration in carbon-limiting conditions during senescence. RD26
also supports the degradation of starch and the accumulation of mono- and
disaccharides during senescence by directly enhancing the expression of AMY1,
SFP1 and SWEET15 involved in carbohydrate metabolism and transport. Collectively,
during senescence RD26 acts by controlling the expression of genes across the
entire spectrum of the cellular degradation hierarchy.
PMID- 29659023
TI - A dynamic model-based approach to motion and deformation tracking of prosthetic
valves from biplane x-ray images.
AB - PURPOSE: Transcatheter aortic valve replacement (TAVR) is a minimally invasive
procedure in which a prosthetic heart valve is placed and expanded within a
defective aortic valve. The device placement is commonly performed using two
dimensional (2D) fluoroscopic imaging. Within this work, we propose a novel
technique to track the motion and deformation of the prosthetic valve in three
dimensions based on biplane fluoroscopic image sequences. METHODS: The tracking
approach uses a parameterized point cloud model of the valve stent which can
undergo rigid three-dimensional (3D) transformation and different modes of
expansion. Rigid elements of the model are individually rotated and translated in
three dimensions to approximate the motions of the stent. Tracking is performed
using an iterative 2D-3D registration procedure which estimates the model
parameters by minimizing the mean-squared image values at the positions of the
forward-projected model points. Additionally, an initialization technique is
proposed, which locates clusters of salient features to determine the initial
position and orientation of the model. RESULTS: The proposed algorithms were
evaluated based on simulations using a digital 4D CT phantom as well as
experimentally acquired images of a prosthetic valve inside a chest phantom with
anatomical background features. The target registration error was 0.12 +/- 0.04
mm in the simulations and 0.64 +/- 0.09 mm in the experimental data. CONCLUSIONS:
The proposed algorithm could be used to generate 3D visualization of the
prosthetic valve from two projections. In combination with soft-tissue sensitive
imaging techniques like transesophageal echocardiography, this technique could
enable 3D image guidance during TAVR procedures.
PMID- 29659024
TI - Predictors of Farmers' Market Shopping among People Receiving Supplemental
Nutrition Assistance Program Benefits.
AB - Promoting use of farmers' markets (FMs) is a promising community-level strategy
to increase access to nutritious foods such as fruits and vegetables. Yet, FM
shopping among people with Supplemental Nutrition Assistance Program (SNAP)
benefits remains low. This research examined predictors of FM shopping among SNAP
recipients living within 1 mile of a FM. A cross-sectional survey of SNAP
participants (N = 270) was conducted in 2015 in Cleveland and East Cleveland, OH,
USA. Multinomial regression and zero-truncated Poisson regression analyses were
conducted to examine factors associated with FM shopping. Results indicate 48%
reported shopping at a FM at least once in the past year, 26% had shopped at a FM
before, but not in the last year, and 26% had never shopped at a FM. The
multivariable analyses found awareness of FMs and a healthy food incentive
program, and four dimensions of healthy food access are significantly associated
with FM shopping among SNAP recipients. The food access dimensions included
service delivery, spatial-temporal, personal, and social access. Findings
highlight modifiable leverage points for improving the reach of FMs among low
income populations.
PMID- 29659025
TI - Synaptic depression induced by postsynaptic cAMP production in the Drosophila
mushroom body calyx.
AB - KEY POINTS: Synaptic potentiation in Drosophila is observed at cholinergic
synapses between antennal lobe (AL) and mushroom body (MB) neurons in the adult
brain; however, depression at the AL-MB synapses has not yet been identified. By
ex vivo Ca2+ imaging in an isolated cultured Drosophila brain, we found novel
activity-dependent depression at the AL-MB synapses. The degree of Ca2+ responses
after repetitive AL stimulation is significantly reduced in the dendritic region
of MB neurons (calyx) compared with those before AL stimulation, and this
reduction of Ca2+ responses remains for at least 30 min. The expression of
rutabaga, which encodes Ca2+ /calmodulin-dependent adenylyl cyclase, is essential
in the MB neurons for the reduction of Ca2+ responses in the calyx. Our study
reveals that elevation of cAMP production in the calyx during repetitive AL
stimulation induces the depression at the AL-MB synapses. ABSTRACT: Synaptic
plasticity has been studied to reveal the molecular and cellular mechanisms of
associative and non-associative learning. The fruit fly Drosophila melanogaster
can be used to identify the molecular mechanisms of synaptic plasticity because
vast genetic information or tools are available. Here, by ex vivo Ca2+ imaging of
an isolated cultured Drosophila brain, we examined the novel activity-dependent
synaptic depression between the projection neurons of the antennal lobe (AL) and
mushroom body (MB). Ex vivo Ca2+ imaging analysis revealed that electrical
stimulation of AL elicits Ca2+ responses in the dendritic (calyx) and axonal
(alpha lobe) regions of MB neurons, and the responses are reduced after
repetitive AL stimulation. Since the cAMP signalling pathway plays an important
role in synaptic plasticity in invertebrates and vertebrates, we examined whether
the reduction of Ca2+ responses is also regulated by the cAMP signalling pathway.
The expression of rutabaga (rut), which encodes Ca2+ /calmodulin-dependent
adenylyl cyclase, was essential for the reduction of Ca2+ responses in the calyx
and alpha lobe. Furthermore, imaging analysis using a fluorescence resonance
energy transfer-based cAMP indicator revealed that the cAMP level increased in
the wild-type calyx during repetitive AL stimulation, whereas it decreased in
rut1 mutant flies with a loss-of-function mutation of rut. Thus, our study
suggests that an increase in postsynaptic cAMP level during repetitive AL
stimulation contributes to the attenuation of inputs at AL-MB synapses.
PMID- 29659027
TI - Perioperative hematoma with subcutaneous ICD implantation: Impact of
anticoagulation and antiplatelet therapies.
AB - BACKGROUND: The safety of perioperative anticoagulation (AC) and antiplatelet
(AP) therapy with subcutaneous implantable cardioverter-defibrillator (S-ICD)
implantation is unknown. The purpose of this study was to identify the risk
factors associated with hematoma complicating S-ICD implantation. METHODS:
Records were retrospectively reviewed from 200 consecutive patients undergoing S
ICD implantation at two academic medical centers. A hematoma was defined as a
device site blood accumulation requiring surgical evacuation, extended hospital
stay, or transfusion. RESULTS: Among 200 patients undergoing S-ICD implantation
(age 49 +/- 17 years, 67% men), 10 patients (5%) had a hematoma, which required
evacuation in six patients (3%). Warfarin was bridged or uninterrupted in 12 and
13 patients, respectively (6% and 6.5%). Four of 12 patients with warfarin and
bridging AC (33%) and two of 13 patients with uninterrupted warfarin (15%)
developed a hematoma. Neither of the two patients with uninterrupted DOAC had a
hematoma. No patients on interrupted AC without bridging (n = 26, 13 with
warfarin, 13 with DOAC) developed a hematoma. A hematoma was also more likely
with the use of clopidogrel (n = 4/10 vs 10/190, 40% vs 5.3%, P < 0.0001) in
combination with aspirin in 12/14 patients. Any bridging AC (odds ratio [OR]
10.3, 1.8-60.8, P = 0.01), clopidogrel (OR 10.0, 1.7-57.7, P = 0.01), and
uninterrupted warfarin without bridging (OR 11.1, 1.7-74.3, P = 0.013) were
independently associated with hematoma formation. CONCLUSION: AC and/or AP
therapy with clopidogrel appears to increase the risk for hematoma following S
ICD implantation. Interruption of AC without bridging should be considered when
it is an acceptable risk to hold AC.
PMID- 29659026
TI - beta1 subunit stabilises sodium channel Nav1.7 against mechanical stress.
AB - KEY POINTS: The voltage-gated sodium channel Nav1.7 is a key player in neuronal
excitability and pain signalling. In addition to voltage sensing, the channel is
also modulated by mechanical stress. Using whole-cell patch-clamp experiments, we
discovered that the sodium channel subunit beta1 is able to prevent the impact of
mechanical stress on Nav1.7. An intramolecular disulfide bond of beta1 was
identified to be essential for stabilisation of inactivation, but not activation,
against mechanical stress using molecular dynamics simulations, homology
modelling and site-directed mutagenesis. Our results highlight the role of
segment 6 of domain IV in fast inactivation. We present a candidate mechanism for
sodium channel stabilisation against mechanical stress, ensuring reliable channel
functionality in living systems. ABSTRACT: Voltage-gated sodium channels are key
players in neuronal excitability and pain signalling. Precise gating of these
channels is crucial as even small functional alterations can lead to pathological
phenotypes such as pain or heart failure. Mechanical stress has been shown to
affect sodium channel activation and inactivation. This suggests that stabilising
components are necessary to ensure precise channel gating in living organisms.
Here, we show that mechanical shear stress affects voltage dependence of
activation and fast inactivation of the Nav1.7 channel. Co-expression of the
beta1 subunit, however, protects both gating modes of Nav1.7 against mechanical
shear stress. Using molecular dynamics simulation, homology modelling and site
directed mutagenesis, we identify an intramolecular disulfide bond of beta1
(Cys21-Cys43) which is partially involved in this process: the beta1-C43A mutant
prevents mechanical modulation of voltage dependence of activation, but not of
fast inactivation. Our data emphasise the unique role of segment 6 of domain IV
for sodium channel fast inactivation and confirm previous reports that the
intracellular process of fast inactivation can be modified by interfering with
the extracellular end of segment 6 of domain IV. Thus, our data suggest that
physiological gating of Nav1.7 may be protected against mechanical stress in a
living organism by assembly with the beta1 subunit.
PMID- 29659028
TI - The Classroom Environment Questionnaire (CEQ): Development and preliminary
structural validity.
AB - BACKGROUND/AIM: Occupational therapists offer a unique perspective regarding the
contribution of the environment to occupational performance. Therefore, a scale
that measures the unique characteristics of the primary school classroom
environment where children complete their daily schoolwork occupations is needed.
The aim of this study was to develop and psychometrically evaluate a new teacher
report questionnaire that measures a number of environmental characteristics of
primary school classrooms. METHODS: Participants (N = 117) completed the
Classroom Environment Questionnaire (CEQ), which utilises a 4-point Likert scale
where teachers rate 51 environmental characteristics of their classroom. Teachers
also rate the extent to which they believe the physical, social, temporal,
institutional and cultural classroom environmental domains contribute to
students' schoolwork performance using a 10-point scale. The structural validity
of the CEQ was examined using principal component analysis (PCA). Inter-item
correlations were examined using Pearson r correlations, while the internal
consistency of the CEQ was assessed using Cronbach's alpha. RESULTS: PCA revealed
the CEQ to be multidimensional, with 31 items loading onto nine viable factors,
representing the unique nature of classroom environments. Based on the PCA
results, 20 items were removed from the CEQ. Cronbach's alpha and correlation
analysis indicated that most CEQ subsections had acceptable internal consistency
(alpha range 0.70-0.82), with four subsections demonstrating a lower level of
internal consistency (alpha range 0.55-0.69). CONCLUSION: Preliminary structural
validity and internal consistency analysis findings confirm that the CEQ has
potential to be a useful scale for professionals wishing to examine the unique
characteristics of primary school classrooms that influence the occupational
performance of students. Ongoing analyses will be undertaken to further explore
the CEQ's validity and reliability.
PMID- 29659029
TI - Circular-shaped microfluidic device to study the effect of shear stress on
cellular orientation.
AB - Understanding the effects of shear stress on mammalian cells is a crucial factor
for understanding a number of biological processes and diseases. Here, we show
the development of a circular-shaped microfluidic device for the facile
generation of shear stress gradients. With this microfluidic device, the effect
of shear stress on orientation of human umbilical vein endothelial cells was
studied. This microfluidic device, which enables to control the alignment of
human umbilical vein endothelial cells within a microchannel, can be a valuable
tool to mimic blood vessels.
PMID- 29659030
TI - Can night shift workers benefit from light exposure?
PMID- 29659031
TI - Staff perceptions of borderline personality disorder and recovery: A Q-sort
method approach.
AB - OBJECTIVES: This study was the first to explore how staff that work with people
diagnosed with borderline personality disorder (BPD) perceive recovery in this
client group. These views are important because of the crucial role that staff
play in the care of people with BPD, and the challenges that staff experience
with these clients. DESIGN: A Q methodology design was used, containing 58
statements about recovery. METHODS: Twenty-nine mental health staff sorted
recovery statements according to perceived importance to recovery in BPD.
RESULTS: There were two different viewpoints about recovery in BPD. A medically
oriented group viewed coping with symptoms and behaviours specific to BPD as
being most important to recovery, whereas participants who were more well-being
oriented viewed achieving overall well-being that was universally valued
regardless of diagnosis as more important. Both groups reported that engaging in
socially valued activities such as work and education was not an important aspect
of recovery and that people with BPD could be considered to have recovered
despite continued impairments in everyday functioning. CONCLUSIONS: Staff
perceptions of recovery in BPD can differ, which poses risks for consistent team
working, a particularly important issue in this client group due to the
relational difficulties associated with the diagnosis. Multidisciplinary teams
working with people diagnosed with BPD therefore need to find a forum to promote
a shared understanding of each patient's needs and support plans. We advocate
that team formulation is a promising approach to achieve more consistent ways of
working within teams. PRACTITIONER POINTS: Findings Multidisciplinary teams
working with people with borderline personality disorder should use team
formulations to create a shared understanding of individual patient's needs and
goals for recovery, so they can deliver a consistent approach to care. Recovery
questionnaires should be used to develop an understanding of a patient's
individual recovery goals. Limitations Opportunity sampling was utilized in
recruitment, and the sample was not representative of the general population of
staff working with borderline personality disorder. Although views from a wide
range of professions were sampled in this research, the views of psychiatrists
were not represented.
PMID- 29659032
TI - At, with and beyond risk: expectations of living with the possibility of future
dementia.
AB - Biomedical research aimed at the development of therapies for chronic and late
onset conditions increasingly concentrates on the early treatment of symptom-less
disease. This broad trend is evidenced in prominent shifts in contemporary
dementia research. Revised diagnostic criteria and new approaches to clinical
trials propose a focus on earlier stages of disease and prompt concerns about the
implications of communicating test results associated with the risk of developing
dementia when no effective treatments are available. This article examines
expectations of the implications of learning test results related to dementia
risk, based on focus group research conducted in the UK and Spain. It points to
the extended social and temporal aspects of the dementia risk experience. Three
key dimensions of this risk experience are elaborated: living 'at risk',
represented in efforts to reduce risk and plan for the future; 'with risk',
through vigilance towards cognitive health and earlier or prolonged contact with
healthcare services; and finally, 'beyond risk' through a cessation of the self
in its current social, legal and financial form. A virtual abstract of this paper
can be viewed at: https://www.youtube.com/channel/UC_979cmCmR9rLrKuD7z0ycA.
PMID- 29659034
TI - Embryo-fetal toxicity assessment of vonoprazan in rats and rabbits.
AB - Vonoprazan is a new potassium-competitive acid blocker to treat acid-related
diseases. However, its safety during pregnancy is unclear. The aim of the study
was to investigate the potential reproductive toxicity on the embryo-fetal
development of vonoprazan. Vonoprazan acetate was administered by intravenous
injection to pregnant rats (0, 2, 6 and 20 mg kg-1 day-1 ) and rabbits (0, 1.2,
3.6 and 12 mg kg-1 day-1 ) during the organogenetic period (gestation day 6-15
[rats] and 6-18 [rabbits]). Maternal reproductive endpoints were evaluated,
together with effects on fetal growth and morphological development. In rats, no
treatment-related effects were found in the highest dose group (20 mg kg-1 ) and
the maternal plasma exposure was >=50-fold the expected clinical human exposure.
However, in rabbits, dose-related clinical signs (soft or liquid feces) occurred
in the 12 mg kg-1 group, which was regarded as a maternal toxicity. Besides,
decreased maternal weight gain also was considered as a minimal maternal
toxicity. At 12 mg kg-1 , delayed fetal ossification was found as evidence of
embryo-fetal growth retardation, which was related to decreased fetal and
placental weights. There was no maternal and developmental toxicity in the 1.2
and 3.6 mg kg-1 groups. Thus, the no-observed-adverse-effect levels of vonoprazan
acetate in rabbits are considered 3.6 mg kg-1 day-1 , which produced plasma
exposure that was about 18-fold human clinical exposure.
PMID- 29659033
TI - GNA11 differentially mediates fibroblast growth factor 2- and vascular
endothelial growth factor A-induced cellular responses in human fetoplacental
endothelial cells.
AB - KEY POINTS: Fetoplacental vascular growth is critical to fetal growth. Fibroblast
growth factor 2 (FGF2) and vascular endothelial growth factor A (VEGFA) are two
major regulators of fetoplacental vascular growth. G protein alpha subunit 11
(GNA11) transmits signals from many external stimuli to the cellular interior and
may mediate endothelial function. It is not known whether GNA11 mediates FGF2-
and VEGFA-induced endothelial cell responses under physiological chronic low O2 .
In the present study, we show that knockdown of GNA11 significantly decreases
FGF2- and VEGFA-induced fetoplacental endothelial cell migration but not
proliferation and permeability. Such decreases in endothelial migration are
associated with increased phosphorylation of phospholipase C-beta3. The results
of the present study suggest differential roles of GNA11 with respect to
mediating FGF2- and VEGFA-induced fetoplacental endothelial function. ABSTRACT:
During pregnancy, fetoplacental angiogenesis is dramatically increased in
association with rapidly elevated blood flow. Any disruption of fetoplacental
angiogenesis may lead to pregnancy complications such as intrauterine growth
restriction. Fibroblast growth factor 2 (FGF2) and vascular endothelial growth
factor A (VEGFA) are crucial regulators of fetoplacental angiogenesis. G protein
alpha subunits q (GNAq) and 11 (GNA11) are two members of the Galphaq/11
subfamily involved in mediating vascular growth and basal blood pressure.
However, little is known about the roles of GNA11 alone with respect to mediating
the FGF2- and VEGFA-induced fetoplacental endothelial function. Using a cell
model of human umbilical cord vein endothelial cells cultured under physiological
chronic low O2 (3% O2 ), we showed that GNA11 small interfering RNA (siRNA)
dramatically inhibited (P < 0.05) FGF2- and VEGFA-stimulated fetoplacental
endothelial migration (by ~36% and ~50%, respectively) but not proliferation and
permeability. GNA11 siRNA also elevated (P < 0.05) FGF2- and VEGFA-induced
phosphorylation of phospholipase C-beta3 (PLCbeta3) at S537 in a time-dependent
fashion but not mitogen-activated protein kinase 3/1 (ERK1/2) and v-akt murine
thymoma viral oncogene homologue 1 (AKT1). These data suggest that GNA11 mediates
FGF2- and VEGFA-stimulated fetoplacental endothelial cell migration partially via
altering the activation of PLCbeta3.
PMID- 29659035
TI - Metabolomics-on-a-chip approach to study hepatotoxicity of DDT, permethrin and
their mixtures.
AB - Despite the diversity of studies on pesticide toxicities, there is a serious lack
of information concerning the toxic effect of pesticides mixtures.
Dichlorodiphenyl-trichloroethane (DDT) and permethrin (PMT) are among the most
prevalent pesticides in the environment and have been the subject of several
toxicological studies. However, there are no data on the toxicity of their
mixtures. In this study, we used an approach combining cell culture in
microfluidic biochips with gas chromatography-mass spectrometry metabolomics
profiling to investigate the biomarkers of toxicity of DDT, PMT and their
mixtures. All parameters observed indicated that no significant effect was
observed in hepatocytes cultures exposed to low doses (15 MUm) of DDT and PMT.
Conversely, combined low doses induce moderate oxidative stress and cell death.
The toxic signature of high doses of pesticides (150 MUm) was illustrated by
severe oxidative stress and cell mortality. Metabolomics profiling revealed that
hepatocytes exposure to DDT150, PMT150 and DDT150 and PMT150 cause important
modulation in intermediates of glutathione pathway and tricarboxylic acid cycle,
amino acids and metabolites associated to hepatic necrosis and inflammation
(alpha-ketoglutarate, arginine and 2-hydroxybutyrate). These changes were more
striking in the combined group. Finally, DDT150 led to a significant increase of
benzoate, decanoate, octanoate, palmitate, stearate and tetradecanoate, which
illustrates the estrogen modulation. This study demonstrates the potential of
metabolomics-on-a-chip approach to improve knowledge on the mode of action of
pesticides.
PMID- 29659036
TI - Therapeutic effect of thymoquinone against lead-induced testicular histological
damage in male Wistar rats.
AB - Lead (Pb) is a nonthreshold multi-targeted toxicant that causes alterations in
different organs of the body, especially the gonads. This study was aimed to
investigate the possible protective effect of thymoquinone (TQ), the major active
ingredient of volatile oil of Nigella sativa seeds, against Pb-induced testicular
histological damage. Adult male rats were randomised into four groups as follows:
control group received no treatment, Pb group was exposed to 2000 ppm of Pb
acetate in drinking water, Pb-TQ group was cotreated with Pb plus TQ (5
mg/kg/day, per os) and TQ group receiving only TQ. All treatments were applied
for five weeks. Results showed that Pb exposure produced morphological changes in
the testis, especially degeneration of germinal epithelium, sloughing of germ
cells into the lumen of seminiferous tubules and reduction in the number of
luminal spermatozoa. Interestingly, coadministration of TQ to the metal-treated
animals prevented the testicular adverse effects. In conclusion, our data
indicate for the first time a remarkable protective effect of TQ against Pb
induced testicular histopathological lesions in rat. On this basis, TQ deserves
more consideration and further examination as a potential therapeutic option.
PMID- 29659038
TI - Implementation Science: You've Come a Long Way, Baby.
PMID- 29659037
TI - Optimization of drying process and pressurized liquid extraction for recovery of
bioactive compounds from avocado peel by-product.
AB - The aim of the present study was to optimize the extraction of phenolic compounds
in avocado peel using pressurized liquid extraction (PLE) with GRAS solvents.
Response surface methodology (RSM) based on Central Composite Design 22 model was
used in order to optimize PLE conditions. Moreover, the effect of air drying
temperature on the total polyphenol content (TPC) and individual phenolic
compounds concentration were evaluated. The quantification of individual
compounds was performed by HPLC-DAD-ESI-TOF-MS. The optimized extraction
conditions were 200 degrees C as extraction temperature and 1:1 v/v as
ethanol/water ratio. Regarding to the effect of drying, the highest TPC was
obtained with a drying temperature of 85 degrees C. Forty seven phenolic
compounds were quantified in the obtained extracts, showing that phenolic acids
found to be the more stables compounds to drying process, while procyanidins were
the more thermolabiles analytes. To our knowledge, this is the first available
study in which phenolic compounds extraction was optimized using PLE and such
amount of phenolic compounds was quantified in avocado peel. These results
confirm that PLE represents a powerful tool to obtain avocado peel extracts with
high concentration in bioactive compounds suitable for its use in the food,
cosmetic or pharmaceutical sector.
PMID- 29659039
TI - A meta-analysis of the relationships between body checking, body image avoidance,
body image dissatisfaction, mood, and disordered eating.
AB - OBJECTIVE: Body checking (BC) and body image avoidance (BIA) have been proposed
as etiological and maintaining mechanisms for eating disorder (ED) pathology. To
date, no comprehensive review summarizes the relationships of BC and BIA with ED
pathology, body image dissatisfaction, or mood/affect. METHOD: Meta-analyses
examined the relationships of BC and BIA with ED pathology, body image
dissatisfaction, and mood/affect. Gender, publication status, and presence or
absence of ED diagnoses were examined as potential moderators. RESULTS: Results
showed strong relationships between BC and ED pathology (rho = 0.588) and BC and
body image dissatisfaction (rho = 0.631) and a moderate relationship between BC
and mood/affect (rho = 0.385). Similarly, results showed strong relationships
between BIA and ED pathology (rho = 0.553) and BIA and body image dissatisfaction
(rho = 0.543) and a moderate relationship between BIA and mood/affect (rho =
0.392). Overall, limited evidence supported publication bias; however,
publication bias may exist in the relationship between BIA and body image
dissatisfaction in the literature. Subgroup moderator analyses suggested that
gender moderates the strength of the relationships between BC and ED pathology,
body image dissatisfaction, and mood/affect and between BIA and body image
dissatisfaction. DISCUSSION: Results are consistent with cognitive-behavioral
models of ED pathology that suggest BC and BIA are behavioral expressions of
overvaluation of weight and shape. Notably, more published research has
investigated BC than BIA. Future studies, incorporating methods such as meta
analytic structural equation modeling, should examine these variables to further
test cognitive-behavioral models of ED development and maintenance.
PMID- 29659040
TI - The prognostic value of monosomal karyotype (MK) in higher-risk patients with
myelodysplastic syndromes treated with 5-Azacitidine: A retrospective analysis of
the Hellenic (Greek) Myelodysplastic syndromes Study Group.
AB - In this study, we investigated the incidence and prognostic impact of monosomal
karyotype (MK) in 405 higher-risk Myelodysplastic Syndromes (MDS) patients
treated with 5-AZA. The MK was present in 66 out of 405 (16.3%) patients, most of
whom had complex karyotype (CK). MK was strongly associated with CK and the
cytogenetic risk defined according to IPSS-R, as well as with high-risk disease,
according to IPSS (P = .029), IPSS-R (P < .001), and WPSS (P < .001)
classification systems. The overall response rate (ORR) was not different between
MK+ and MK- patients (46.6% vs. 46.2%). At 28 months median follow-up, the median
duration of response was 11 months in the entire cohort, 9.5 months in MK+
patients and 11 months in MK-patients (P = .024). The estimated median time to
transformation to acute myeloid leukemia for MK+ patients was 17 months vs. 23
months for MK- patients (P = .025). The estimated median OS for MK+ patients was
12 months vs. 18 months for MK- patients (P < .001). Multivariate Cox regression
analysis revealed that performance status (P < .001), IPSS-R (P < .001), and MK
(P = .002) were independently associated with overall survival (OS). In a
subgroup consisting of high and very-high risk patients according to IPSS-R, MK-
patients showed better OS rates compared to MK+ patients (estimated median OS: 17
months vs. 12 months, P = .002). In conclusion, we found that MK is associated
with reduced OS in patients with higher-risk MDS treated with 5-AZA. Furthermore,
we showed that in MDS with high or very-high IPSS-R risk score, MK can further
distinguish patients with worse outcome.
PMID- 29659041
TI - Association of added sugar intake and caries-related experiences among
individuals of Mexican origin.
AB - OBJECTIVE: Determine the association between key dental outcomes and added sugar
intake using a survey instrument to assess added sugars, which was specifically
tailored to immigrant and US-born adults of Mexican origin. METHODS: Hispanic
adults of Mexican origin (n = 326; 36.2 +/- 12.1 years) completed a self
administered survey to gather acculturation, self-reported dental experiences and
self-care practices (eg brushing, flossing, pain, bleeding gums), and socio
demographic information. The survey included a culturally tailored 22-item Added
Sugar Intake Estimate (ASIE) that assessed added sugar intake from processed
foods and sugar-sweetened beverages in a semiquantitative food frequency
questionnaire format. Linear regression, 2-sample t test, and ANOVA were used to
evaluate associations of demographic and dental outcomes with daily added sugar
intake. RESULTS: Of the mean total daily added sugar intake (99.6 +/- 94.6 g),
36.5 +/- 44.4 g was derived from sugar-containing foods and snacks, and 63.1 +/-
68.2 g from beverages. Participants who reported greater added sugar intake were
more likely to have reported the presence of a toothache in the preceding 12
months, having been prescribed antibiotics for dental reasons, being less likely
to floss daily, have reported eating or drinking within 1 hour before bed and
have lower psychological acculturation (P < .05 for all). Results were comparable
when assessing intake from sugar-containing foods/snacks and sugar-sweetened
beverages. CONCLUSIONS: This study confirmed the association between added sugar
intake and self-reported dental outcomes among adults of Mexican origin and
points to an urgent need to improve dietary behaviours in this population.
PMID- 29659043
TI - Utilizing Mechanistic Biomarkers in Treating Paracetamol Hepatotoxicity.
PMID- 29659042
TI - Physician decision making in selection of second-line treatments in immune
thrombocytopenia in children.
AB - Immune thrombocytopenia (ITP) is an acquired autoimmune bleeding disorder which
presents with isolated thrombocytopenia and risk of hemorrhage. While most
children with ITP promptly recover with or without drug therapy, ITP is
persistent or chronic in others. When needed, how to select second-line therapies
is not clear. ICON1, conducted within the Pediatric ITP Consortium of North
America (ICON), is a prospective, observational, longitudinal cohort study of 120
children from 21 centers starting second-line treatments for ITP which examined
treatment decisions. Treating physicians reported reasons for selecting
therapies, ranking the top three. In a propensity weighted model, the most
important factors were patient/parental preference (53%) and treatment-related
factors: side effect profile (58%), long-term toxicity (54%), ease of
administration (46%), possibility of remission (45%), and perceived efficacy
(30%). Physician, health system, and clinical factors rarely influenced decision
making. Patient/parent preferences were selected as reasons more often in chronic
ITP (85.7%) than in newly diagnosed (0%) or persistent ITP (14.3%, P = .003).
Splenectomy and rituximab were chosen for the possibility of inducing long-term
remission (P < .001). Oral agents, such as eltrombopag and immunosuppressants,
were chosen for ease of administration and expected adherence (P < .001).
Physicians chose rituximab in patients with lower expected adherence (P = .017).
Treatment choice showed some physician and treatment center bias. This study
illustrates the complexity and many factors involved in decision-making in
selecting second-line ITP treatments, given the absence of comparative trials. It
highlights shared decision-making and the need for well-conducted, comparative
effectiveness studies to allow for informed discussion between patients and
clinicians.
PMID- 29659044
TI - A case series describing causes of death in pregnant women with sickle cell
disease in a low-resource setting.
PMID- 29659045
TI - Surgical pulmonary embolectomy and catheter-directed thrombolysis for treatment
of submassive pulmonary embolism.
AB - BACKGROUND: Acute pulmonary embolism (PE) with preserved hemodynamics but right
ventricular dysfunction, classified as submassive PE, carries a high risk of
mortality. We report the results for patients who did not qualify for medical
therapy and required treatment of submassive PE with surgical pulmonary
embolectomy and catheter-directed thrombolysis (CDT). METHODS: Between October
1999 and May 2015, 133 submassive PE patients underwent treatment with pulmonary
embolectomy (71) and CDT (62). A multidisciplinary PE response team helped to
determine the most appropriate treatment strategy on a case-by-case basis. The
EkoSonic ultrasound-facilitated thrombolysis system (EKOS) was used for CDT,
which was introduced in 2010. RESULTS: The mean age of submassive PE patients was
57.3 years, which included 36.8% females. PE risk factors included previous deep
venous thrombosis (46.6%), immobility (36.1%), recent surgery (30.8%), and cancer
(22.6%), P < 0.05. The most common indication for advanced treatment was right
ventricular strain (42.9%), P = 0.03. The frequency of surgical pulmonary
embolectomy remained stable even after incorporating the EKOS procedure into our
treatment algorithm, with statistically similar operative mortality. Bleeding was
observed in six CDT patients and one pulmonary embolectomy patient (P < 0.05).
Follow-up echocardiography was available for 61% of the overall cohort, of whom
76.5% had no residual moderate or severe right ventricular dysfunction.
CONCLUSIONS: Pulmonary embolectomy and CDT are important contemporary advanced
treatment options for selected high-risk patients with submassive PE, who do not
qualify for medical therapy.
PMID- 29659046
TI - Polyethylene glycol treated allografts not tissue matched nor immunosuppressed
rapidly repair sciatic nerve gaps, maintain neuromuscular functions, and restore
voluntary behaviors in female rats.
AB - Many publications report that ablations of segments of peripheral nerves produce
the following unfortunate results: (1) Immediate loss of sensory signaling and
motor control; (2) rapid Wallerian degeneration of severed distal axons within
days; (3) muscle atrophy within weeks; (4) poor behavioral (functional) recovery
after many months, if ever, by slowly-regenerating (~1mm/d) axon outgrowths from
surviving proximal nerve stumps; and (5) Nerve allografts to repair gap injuries
are rejected, often even if tissue matched and immunosuppressed. In contrast,
using a female rat sciatic nerve model system, we report that neurorrhaphy of
allografts plus a well-specified-sequence of solutions (one containing
polyethylene glycol: PEG) successfully addresses each of these problems by: (a)
Reestablishing axonal continuity/signaling within minutes by nonspecific ally PEG
fusing (connecting) severed motor and sensory axons across each anastomosis; (b)
preventing Wallerian degeneration by maintaining many distal segments of
inappropriately-reconnected, PEG-fused axons that continuously activate nerve
muscle junctions; (c) maintaining innervation of muscle fibers that undergo much
less atrophy than otherwise-denervated muscle fibers; (d) inducing remarkable
behavioral recovery to near-unoperated levels within days to weeks, almost
certainly by CNS and PNS plasticities well-beyond what most neuroscientists
currently imagine; and (e) preventing rejection of PEG-fused donor nerve
allografts with no tissue matching or immunosuppression. Similar behavioral
results are produced by PEG-fused autografts. All results for Negative Control
allografts agree with current neuroscience data 1-5 given above. Hence, PEG
fusion of allografts for repair of ablated peripheral nerve segments expand on
previous observations in single-cut injuries, provoke reconsideration of some
current neuroscience dogma, and further extend the potential of PEG-fusion in
clinical practice.
PMID- 29659047
TI - The changing face of adult posttransplant lymphoproliferative disorder: Changes
in histology between 1999 and 2013.
AB - Posttransplant lymphoproliferative disorder (PTLD) typically presents with either
polymorphic or monomorphic histology. While both are the end result of
immunosuppressive therapies, their origins are felt to be different with
different prognoses and responsiveness to therapy, resulting in 2 different
malignancies. We attempted to confirm reports suggesting that the relative
frequency of these 2 histologies is shifting over time. We analyzed 3040 adult
PTLD cases in the UNOS OPTN database from 1999 to 2013. Changes in PTLD cases
over time were analyzed for histology, time from transplant to diagnosis, and
patient EBV serostatus. We found that the relative proportion of polymorphic
versus monomorphic histology has changed with an increase in the proportion of
monomorphic cases with time (1999-2003, 54.9% vs. 45.1%; 2004-2008, 58.3% vs.
41.7%; 2009-2013, 69.7% vs. 30.3%; P = <.001). The change is driven by a gradual
increase in the number of monomorphic PTLD with a steady number of polymorphic
PTLD. The change is most strongly seen in transplant recipients who were EBV
serostatus positive at the time of transplant. Potential causes are changes in
immunosuppressive regimens with increased tacrolimus use (P = .009) and increased
survival among transplant patients leading to later occurrence of PTLD (P = .001)
that have occurred during the time frame analyzed. As organ transplantation has
evolved over time, PTLD has coevolved. These changes in histology have important
implications regarding the origin and clinical management of PTLD.
PMID- 29659048
TI - Time to plateau as a predictor of survival in newly diagnosed multiple myeloma.
AB - Response rates in newly diagnosed multiple myeloma have improved dramatically
with the introduction of highly effective novel therapies. However, survival in
patients achieving optimal responses to initial treatment can vary significantly,
and new prognostic indicators are required to improve risk stratification. We
investigated the relationship between time to plateau (TPlat ) and survival in
1099 newly diagnosed patients treated with novel agents at our institution from
2005 to 2015. TPlat was defined as time from initiation of first-line therapy to
best response to first-line therapy. The median TPlat was 4.9 months (0.7-58.6)
and plateau duration was 1.8 years (0.2-11.0). Patients who required > 120 days
to achieve a plateau had longer modified overall survival (mOS) and progression
free survival (mPFS) calculated from a landmark of best response (P < .001 for
both comparisons). Statistically significant improvement in mOS was retained in
subgroup analysis based on age and whether patients received upfront autologous
hematopoietic stem cell transplantation (ASCT) (P < .001 for all comparisons).
Our results suggest that patients who respond more gradually to initial therapy
(TPlat > 120 days) experience longer survival compared to more rapid responders.
Patients with a prolonged TPlat could represent an "ongoing responder" phenotype
that portends a survival advantage independent of treatment with upfront ASCT,
depth of response, and biologic markers such as ISS stage and cytogenetic risk.
PMID- 29659049
TI - Clinical characteristics of peri-implant mucositis and peri-implantitis.
AB - OBJECTIVES: To evaluate and correlate clinical parameters associated with peri
implant diseases based on established case definitions. MATERIALS AND METHODS: A
total of 75 patients exhibiting 269 implants (healthy: 77; peri-implant
mucositis: 77; peri-implantitis: 115) were included in this observational study.
Clinical parameters included bleeding on probing (BOP), probing depths (PDs), and
suppuration (Supp). RESULTS: Healthy sites were associated with the absence of
BOP, while mean BOP in peri-implant mucositis and peri-implantitis patients
amounted to 20.83% and 71.33%, corresponding to 43% and 86% at the implant level
(p < .001), respectively. Peri-implantitis patients exhibited significantly
higher mean PD values (4.46 mm) when compared with the peri-implant mucositis
group (2.70 mm, p < .001). Supp was limited to peri-implantitis cases and
detected in 30.16% of the patients (implant level: 17.39%). The regression model
revealed a significant linear association between the number of BOP-positive
sites around the implant (minimum 0, maximum 6) and mean PD values at peri
implant mucositis and peri-implantitis sites at both patient and implant levels.
CONCLUSIONS: The clinical parameters investigated were shown to be associated
with the severity of peri-implant diseases.
PMID- 29659050
TI - Continuous electroencephalography predicts delayed cerebral ischemia after
subarachnoid hemorrhage: A prospective study of diagnostic accuracy.
AB - OBJECTIVE: Delayed cerebral ischemia (DCI) is a common, disabling complication of
subarachnoid hemorrhage (SAH). Preventing DCI is a key focus of neurocritical
care, but interventions carry risk and cannot be applied indiscriminately.
Although retrospective studies have identified continuous electroencephalographic
(cEEG) measures associated with DCI, no study has characterized the accuracy of
cEEG with sufficient rigor to justify using it to triage patients to
interventions or clinical trials. We therefore prospectively assessed the
accuracy of cEEG for predicting DCI, following the Standards for Reporting
Diagnostic Accuracy Studies. METHODS: We prospectively performed cEEG in
nontraumatic, high-grade SAH patients at a single institution. The index test
consisted of clinical neurophysiologists prospectively reporting prespecified EEG
alarms: (1) decreasing relative alpha variability, (2) decreasing alpha-delta
ratio, (3) worsening focal slowing, or (4) late appearing epileptiform
abnormalities. The diagnostic reference standard was DCI determined by blinded,
adjudicated review. Primary outcome measures were sensitivity and specificity of
cEEG for subsequent DCI, determined by multistate survival analysis, adjusted for
baseline risk. RESULTS: One hundred three of 227 consecutive patients were
eligible and underwent cEEG monitoring (7.7-day mean duration). EEG alarms
occurred in 96.2% of patients with and 19.6% without subsequent DCI (1.9-day
median latency, interquartile range = 0.9-4.1). Among alarm subtypes, late onset
epileptiform abnormalities had the highest predictive value. Prespecified EEG
findings predicted DCI among patients with low (91% sensitivity, 83% specificity)
and high (95% sensitivity, 77% specificity) baseline risk. INTERPRETATION: cEEG
accurately predicts DCI following SAH and may help target therapies to patients
at highest risk of secondary brain injury. Ann Neurol 2018;83:958-969.
PMID- 29659051
TI - PSA-alpha-2-macroglobulin complex is enzymatically active in the serum of
patients with advanced prostate cancer and can degrade circulating peptide
hormones.
AB - BACKGROUND: Prostate cancer cells produce high levels of the serine protease
Prostate-Specific Antigen (PSA). PSA is enzymatically active in the tumor
microenvironment but is presumed to be enzymatically inactive in the blood due to
complex formation with serum protease inhibitors alpha-1-antichymotrypsin and
alpha-2-macroglobulin (A2M). PSA-A2M complexes cannot be measured by standard
ELISA assays and are also rapidly cleared from the circulation. Thus the exact
magnitude of PSA production by prostate cancer cells is not easily measured. The
PSA complexed to A2M is unable to cleave proteins but maintains the ability to
cleave small peptide substrates. Thus, in advanced prostate cancer, sufficient
PSA-A2M may be in circulation to effect total A2M levels, levels of cytokines
bound to A2M and hydrolyze small circulating peptide hormones. METHODS: Total A2M
levels in men with advanced prostate cancer and PSA levels above 1000 ng/mL were
measured by ELISA and compared to controls. Additional ELISA assays were used to
measure levels of IL-6 and TGF-beta which can bind to A2M. The ability of PSA-A2M
complexes to hydrolyze protein and peptide substrates was analyzed +/- PSA
inhibitor. Enzymatic activity of PSA-A2M in serum of men with high PSA levels was
also assayed. RESULTS: Serum A2M levels are inversely correlated with PSA levels
in men with advanced prostate cancer. Il-6 Levels are significantly elevated in
men with PSA >1000 ng/mL compared to controls with PSA <0.1 ng/mL. PSA-A2M
complex in serum of men with PSA levels >1000 ng/mL can hydrolyze small
fluorescently labeled peptide substrates but not large proteins that are PSA
substrates. PSA can hydrolyze small peptide hormones like PTHrP and osteocalcin.
PSA complexed to A2M retains the ability to degrade PTHrP. CONCLUSIONS: In
advanced prostate cancer with PSA levels >1000 ng/mL, sufficient PSA-A2M is
present in circulation to produce enzymatic activity against circulating small
peptide hormones. Sufficient PSA is produced in advanced prostate cancer to alter
total A2M levels, which can potentially alter levels of a variety of growth
factors such as IL-6, TGF-beta, basic FGF, and PDGF. Alterations in levels of
these cytokines and proteolytic degradation of small peptide hormones may have
profound effect on host-cancer interaction.
PMID- 29659052
TI - Is the management of diabetes different in dialysis patients?
AB - Diabetes is highly and increasingly prevalent in the dialysis population and
negatively impacts both quality and quantity of life. Nevertheless, the best
approach to these patients is still debatable. The question of whether the
management of diabetes should be different in dialysis patients does not have a
clear yes or no answer but is divided into too many sub-issues that should be
carefully considered. In this review, lifestyle, cardiovascular risk, and
hyperglycemia management are explored, emphasizing the possible pros and cons of
a similar approach to diabetes in dialysis patients compared to the general
population.
PMID- 29659053
TI - Chiral syntheses of methyl (R)-2-Sulfanylcarboxylic esters and acids with optical
purity determination using HPLC.
AB - Accessible chiral syntheses of 3 types of (R)-2-sulfanylcarboxylic esters and
acids were performed: (R)-2-sulfanylpropanoic (thiolactic) ester (53%, 98%ee) and
acid (39%, 96%ee), (R)-2-sulfanylsucciinic diester (59%, 96%ee), and (R)-2
mandelic ester (78%, 90%ee) and acid (59%, 96%ee). The present practical and
robust method involves (i) clean SN 2 displacement of methanesulfonates of (S)-2
hydroxyesters by using commercially available AcSK with tris(2-[2
methoxyethoxy])ethylamine and (ii) sufficiently mild deacetylation. The optical
purity was determined by the corresponding (2R,5R)-trans-thiazolidin-4-one and
(2S,5R)-cis-thiazolidin-4-one derivatives based on accurate high-performance
liquid chromatography analysis with high-resolution efficiency. Compared with the
reported method utilizing AcSCs (generated from AcSH and CsCO3 ), the present
method has several advantages, that is, the use of odorless AcCOSK reagent,
reasonable reaction velocity, isolation procedure, and accurate, reliable optical
purity determination. The use of accessible AcSK has advantages because of easy
to-handle odorless and hygroscopic solid that can be used in a bench-top
procedure. The Ti(OiPr)4 catalyst promoted smooth trans-cyclo-condensation to
afford (2R,5R)-trans-thiazolidin-4-one formation of (R)-2-sulfanylcarboxylic
esters with available N-(benzylidene)methylamine under neutral conditions without
any racemization, whereas (2S,5R)-cis-thiazollidin-4-ones were obtained via cis
cyclo-condensation and no catalysts. Direct high-performance liquid
chromatography analysis of methyl (R)-mandelate was also performed; however, the
resolution efficiency was inferior to that of the thaizolidin-4-one
derivatizations.
PMID- 29659054
TI - Tuning in C-nociceptors to reveal mechanisms in chronic neuropathic pain.
AB - OBJECTIVE: Develop and validate a low-intensity sinusoidal electrical stimulation
paradigm to preferentially activate C-fibers in human skin. METHODS: Sinusoidal
transcutaneous stimulation (4Hz) was assessed psychophysically in healthy
volunteers (n = 14) and neuropathic pain patients (n = 9). Pursuing laser Doppler
imaging and single nociceptor recordings in vivo in humans (microneurography) and
pigs confirmed the activation of "silent" C-nociceptors. Synchronized C-fiber
compound action potentials were evoked in isolated human nerve fascicles in
vitro. Live cell imaging of L4 dorsal root ganglia in anesthetized mice verified
the recruitment of small-diameter neurons during transcutaneous 4-Hz stimulation
of the hindpaw (0.4mA). RESULTS: Transcutaneous sinusoidal current (0.05-0.4mA,
4Hz) activated "polymodal" C-fibers (50% at ~0.03mA) and "silent" nociceptors
(50% at ~0.04mA), intensities substantially lower than that required with
transcutaneous 1-ms rectangular pulses ("polymodal" ~3mA, "silent" ~50mA). The
stimulation induced delayed burning (nonpulsating) pain and a pronounced axon
reflex erythema, both indicative of C-nociceptor activation. Pain ratings to
repetitive stimulation (1 minute, 4Hz) adapted in healthy volunteers by Numeric
Rating Scale (NRS) -3 and nonpainful skin sites of neuropathic pain patients by
NRS -0.5, whereas pain even increased in painful neuropathic skin by
approximately NRS +2. INTERPRETATION: Sinusoidal electrical stimulation at 4Hz
enables preferential activation of C-nociceptors in pig and human skin that
accommodates during ongoing (1-minute) stimulation. Absence of such accommodation
in neuropathic pain patients suggest axonal hyperexcitability that could be
predictive of alterations in peripheral nociceptor encoding and offer a potential
therapeutic entry point for topical analgesic treatment. Ann Neurol 2018;83:945
957.
PMID- 29659055
TI - Porphyrin Organic Framework Hollow Spheres and Their Applications in Lithium
Sulfur Batteries.
AB - Organic frameworks represent an emerging family of advanced materials that can be
precisely controlled at the atomic level. However, morphology control of organic
frameworks remains perplexing and difficult, strongly limiting the advantages of
organic frameworks in multiple practical applications. Herein, porphyrin organic
framework hollow spheres (POF-HSs) are fabricated by a template method as a proof
of concept of organic frameworks with precisely controlled morphology. POF-HS
exhibits explicit chemical structures of 2D POF and an expected hollow structure.
The morphology of POF-HS is further regulated in terms of void size and shell
thickness. Benefited from the polar chemical structures and the hollow spherical
morphology, POF-HS sufficiently mitigates the shuttle of polysulfides by taking
the dual effects of chemical adsorption and physical confinement and functions as
a desirable host material for sulfur cathode to endow lithium-sulfur batteries
with high capacity, long cycling life, and excellent rate performance. The
accurate synthesis of POF-HSs demonstrates the highly controllable and versatile
morphology of organic framework materials beyond precise integration of organic
building blocks and represents infinite possibility of offering exotic organic
frameworks for chemistry, sustainable energy, and material science.
PMID- 29659056
TI - In honor of Professor Li-He Zhang on the occasion of his 80th birthday.
PMID- 29659059
TI - High Electrical Conductivity of Single Metal-Organic Chains.
AB - Molecular wires are essential components for future nanoscale electronics.
However, the preparation of individual long conductive molecules is still a
challenge. MMX metal-organic polymers are quasi-1D sequences of single halide
atoms (X) bridging subunits with two metal ions (MM) connected by organic
ligands. They are excellent electrical conductors as bulk macroscopic crystals
and as nanoribbons. However, according to theoretical calculations, the
electrical conductance found in the experiments should be even higher. Here, a
novel and simple drop-casting procedure to isolate bundles of few to single MMX
chains is demonstrated. Furthermore, an exponential dependence of the electrical
resistance of one or two MMX chains as a function of their length that does not
agree with predictions based on their theoretical band structure is reported.
This dependence is attributed to strong Anderson localization originated by
structural defects. Theoretical modeling confirms that the current is limited by
structural defects, mainly vacancies of iodine atoms, through which the current
is constrained to flow. Nevertheless, measurable electrical transport along
distances beyond 250 nm surpasses that of all other molecular wires reported so
far. This work places in perspective the role of defects in 1D wires and their
importance for molecular electronics.
PMID- 29659058
TI - Polyethylene glycol solutions rapidly restore and maintain axonal continuity,
neuromuscular structures, and behaviors lost after sciatic nerve transections in
female rats.
AB - Complete severance of major peripheral mixed sensory-motor nerve proximally in a
mammalian limb produces immediate loss of action potential conduction and
voluntary behaviors mediated by the severed distal axonal segments. These severed
distal segments undergo Wallerian degeneration within days. Denervated muscles
atrophy within weeks. Slowly regenerating (~1 mm/day) outgrowths from surviving
proximal stumps that often nonspecifically reinnervate denervated targets produce
poor, if any, restoration of lost voluntary behaviors. In contrast, in this study
using completely transected female rat sciatic axons as a model system, we
provide extensive morphometric, immunohistochemical, electrophysiological, and
behavioral data to show that these adverse outcomes are avoided by microsuturing
closely apposed axonal cut ends (neurorrhaphy) and applying a sequence of well
specified solutions, one of which contains polyethylene glycol (PEG). This "PEG
fusion" procedure within minutes reestablishes axoplasmic and axolemmal
continuity and signaling by nonspecifically fusing (connecting) closely apposed
open ends of severed motor and/or sensory axons at the lesion site. These PEG
fused axons continue to conduct action potentials and generate muscle action
potentials and muscle twitches for months and do not undergo Wallerian
degeneration. Continuously innervated muscle fibers undergo much less atrophy
compared with denervated muscle fibers. Dramatic behavioral recovery to near
unoperated levels occurs within days to weeks, almost certainly by activating
many central nervous system and peripheral nervous system synaptic and other
plasticities, some perhaps to a greater extent than most neuroscientists would
expect. Negative control transections in which neurorrhaphy and all solutions
except the PEG-containing solution are applied produce none of these remarkably
fortuitous outcomes observed for PEG-fusion.
PMID- 29659060
TI - Technical Note: A proposal of air ventilation system design criteria for a
clinical room in a heavy-ion medical facility.
AB - PURPOSE: An optimized air ventilation system design for a treatment room in Heavy
ion Medical Facility is an important issue in the aspects of nuclear safety
because the activated air produced in a treatment room can directly affect the
medical staff and the general public in the radiation-free area. METHODS:
Optimized design criteria of air ventilation system for a clinical room in 430
MeV/u carbon ion beam medical accelerator facility was performed by using a
combination of MCNPX2.7.0 and CINDER'90 codes. Effective dose rate and its
accumulated effective dose by inhalation and residual gamma were calculated for a
normal treatment scenario (2 min irradiation for one fraction) as a function of
decay time. Natural doses around the site were measured before construction and
used as reference data. RESULTS: With no air ventilation system, the maximum
effective dose rate was about 3 MUSv/h (total dose of 90 mSv/y) and minimum 0.2
MUSv/h (total dose of 6 mSv/y), which are over the legal limits for medical staff
and for the general public. Although inhalation dose contribution was relatively
small, it was considered seriously because of its long-lasting effects in the
body. The integrated dose per year was 1.8 mSv/y in the radiation-free area with
the 20-min rate of air ventilation system. CONCLUSION: An optimal air ventilation
rate of 20 min is proposed for a clinical room, which also agrees with the best
mechanical design value.
PMID- 29659061
TI - Intermolecular interaction of fosinopril with bovine serum albumin (BSA): The
multi-spectroscopic and computational investigation.
AB - The intermolecular interaction of fosinopril, an angiotensin converting enzyme
inhibitor with bovine serum albumin (BSA), has been investigated in physiological
buffer (pH 7.4) by multi-spectroscopic methods and molecular docking technique.
The results obtained from fluorescence and UV absorption spectroscopy revealed
that the fluorescence quenching mechanism of BSA induced by fosinopril was
mediated by the combined dynamic and static quenching, and the static quenching
was dominant in this system. The binding constant, Kb , value was found to lie
between 2.69 * 103 and 9.55 * 103 M-1 at experimental temperatures (293, 298,
303, and 308 K), implying the low or intermediate binding affinity between
fosinopril and BSA. Competitive binding experiments with site markers
(phenylbutazone and diazepam) suggested that fosinopril preferentially bound to
the site I in sub-domain IIA on BSA, as evidenced by molecular docking analysis.
The negative sign for enthalpy change (DeltaH0 ) and entropy change (DeltaS0 )
indicated that van der Waals force and hydrogen bonds played important roles in
the fosinopril-BSA interaction, and 8-anilino-1-naphthalenesulfonate binding
assay experiments offered evidence of the involvements of hydrophobic
interactions. Moreover, spectroscopic results (synchronous fluorescence, 3
dimensional fluorescence, and Fourier transform infrared spectroscopy) indicated
a slight conformational change in BSA upon fosinopril interaction.
PMID- 29659062
TI - Coacervation-Mediated Combinatorial Synthesis of Biomatrices for Stem Cell
Culture and Directed Differentiation.
AB - Combinatorial screening represents a promising strategy to discover biomaterials
for tailored cell culture applications. Although libraries incorporating
different biochemical cues have been investigated, few simultaneously
recapitulate relevant biochemical, physical, and dynamic features of the
extracellular matrix (ECM). Here, a noncovalent system based on liquid-liquid
phase separation (coacervation) and gelation mediated by glycosaminoglycan (GAG)
peptide interactions is reported. Multiple biomaterial libraries are generated
using combinations of sulfated glycosaminoglycans and poly(ethylene glycol)
conjugated peptides. Screening these biomaterials reveals preferred biomatrices
for the attachment of six cell types, including primary mesenchymal stromal cells
(MSCs) and primary neural precursor cells (NPCs). Incorporation of GAGs sustains
the expansion of all tested cell types comparable to standard cell culture
surfaces, while osteogenic differentiation of MSC and neuronal differentiation of
NPC are promoted on chondroitin and heparan biomatrices, respectively. The
presented noncovalent system provides a powerful tool for developing tissue
specific ECM mimics.
PMID- 29659063
TI - Parents' acceptance and their children's choice of pet for animal-assisted
therapy (A.A.T.) in 3- to 12-year-old children in the dental operatory -A
questionnaire-based pilot study.
AB - AIM: To evaluate the parents' acceptance to therapy pets, child's most favoured
pet, child's choice of soft toy as compared to live pet, and child's preference
of his own pet versus therapy pet. METHODS: Sixty-two children of age groups 3-6
year, 6-9 year, and 9-12 year were selected. The data from completed
questionnaires were statistically analysed and subjected to z test, Chi-squared
test with P value<0.05 considered as significant. RESULTS: The consent to the
presence of pet was given by 41.47% parents of 9- to 12-year-old children, 34.15%
parents of 6- to 9-year-old children and 24.39% parents of 3- to 6-year-old
children. Children who chose dog as their preferred pet were 56.7%; those who
chose cat as their preferred pet were 44%. A majority of 3-to 6-year-olds
(63.15%) had dog & cat as their choice, while 6- to 9-year-olds (65.21%) & 9- to
12-year-olds (40%) preferred dogs over all others. Dog was the favourite pet of
46.8% children. More percentage of children wanted pet provided by clinic.
CONCLUSIONS: Animal-Assisted Therapy (AAT) can prove to be a good behaviour
management technique if more parents are made aware and informed about AAT; dog
is one of the highly recommended pets for AAT, and therapy pet should be
preferred over home pet.
PMID- 29659064
TI - Beliefs and advice-seeking behaviours for fertility and pregnancy: a cross
sectional study of a global sample.
AB - BACKGROUND: Pregnancy can motivate individuals to adopt lifestyle behaviours that
protect the health of their offspring. The aims of the present study were to
explore men's and women's beliefs about lifestyle, fertility and pregnancy, as
well as where they seek advice. METHODS: Participants (2185 women and 221 men,
six unspecified) from 104 countries completed a questionnaire that explored their
beliefs about what was important for a healthy pregnancy and their advice-seeking
behaviours. Recruitment was via a Massive Open Online Course entitled 'Food as
Medicine', with food, nutrient and health content. Comparisons of categorical
data were performed using a chi-squared test (P = 0.05). RESULTS: Eating a
variety of fruits and vegetables and not smoking (both 93.7%) were the most
frequently and equally ranked in the top-five factors for a healthy pregnancy.
Taking prenatal supplements (26.8%) was considered to be less important.
Participants in Westernised countries ranked not smoking or drinking alcohol as
being significantly more important than those in other countries. Overall,
doctors (47.7%) were the most common source of fertility and pregnancy advice.
Larger proportions of those aged <40 years used the Internet (<40 years 44.1%,
>40 years 18.2% chi2 = 152.7, P < 0.01) and social media (<40 years 16.1%, >40
years 3.6%; chi2 = 110.18, P < 0.01) for health information. CONCLUSIONS: There
is disconnection between beliefs and actions regarding the lifestyle behaviours
considered to be important for a healthy pregnancy, particularly nutrition.
Pregnancy advice-seeking has evolved, with younger men and women utilising the
Internet and social media. Health professionals must consider new communication
strategies to deliver evidence-based lifestyle advice, particularly for younger
men and women and where access to healthcare is limited.
PMID- 29659065
TI - Comparing the reproducibility of commonly used magnetic resonance spectroscopy
techniques to quantify cerebral glutathione.
AB - BACKGROUND: Cerebral glutathione (GSH), a marker of oxidative stress, has been
quantified in neurodegenerative diseases and psychiatric disorders using proton
magnetic resonance spectroscopy (MRS). Using a reproducible MRS technique is
important, as it minimizes the impact of measurement technique variability on the
study results and ensures that other studies can replicate the results.
HYPOTHESIS: We hypothesized that very short echo time (TE) acquisitions would
have comparable reproducibility to a long TE MEGA-PRESS acquisition, and that the
short TE PRESS acquisition would have the poorest reproducibility. STUDY TYPE:
Prospective. SUBJECTS/PHANTOMS: Ten healthy adults were scanned during two
visits, and six metabolite phantoms containing varying concentrations of GSH and
metabolites with resonances that overlap with GSH were scanned once. FIELD
STRENGTH/SEQUENCE: At 3T we acquired MRS data using four different sequences:
PRESS, SPECIAL, PR-STEAM, and MEGA-PRESS. ASSESSMENT: Reproducibility of each MRS
sequence across two visits was assessed. STATISTICAL TESTS: Mean coefficients of
variation (CV) and mean absolute difference (AD) were used to assess
reproducibility. Linear regressions were performed on data collected from
phantoms to examine the agreement between known and quantified levels of GSH.
RESULTS: Of the four techniques, PR-STEAM had the lowest mean CV and AD (5.4% and
7.5%, respectively), implying excellent reproducibility, followed closely by
PRESS (5.8% and 8.2%) and SPECIAL (8.0 and 10.1%), and finally by MEGA-PRESS
(13.5% and 17.1%). Phantom data revealed excellent fits (R2 >= 0.98 or higher)
using all methods. DATA CONCLUSION: Our data suggest that GSH can be quantified
reproducibly without the use of spectral editing. LEVEL OF EVIDENCE: 2 Technical
Efficacy: Stage 2 J. Magn. Reson. Imaging 2018.
PMID- 29659066
TI - A mass spectrometry based predictive strategy reveals ADAP1 is phosphorylated at
tyrosine 364.
AB - RATIONALE: The goal of this work was to identify phosphorylation sites within the
amino acid sequence of human ADAP1. Using traditional mass spectrometry based
techniques we were unable to produce interpretable spectra demonstrating
modification by phosphorylation. This prompted us to employ a strategy in which
phosphorylated peptides were first predicted using peptide mapping followed by
targeted MS/MS acquisition. METHODS: ADAP1 was immunoprecipitated from extracts
of HEK293 cells stably transfected with ADAP1 cDNA. Immunoprecipitated ADAP1 was
digested with proteolytic enzymes and analyzed by LC/MS in MS1 mode by high
resolution quadrupole time-of-flight mass spectrometry (QTOF-MS). Peptide
molecular features were extracted using an untargeted data-mining algorithm.
Extracted peptide neutral masses were matched against the ADAP1 amino acid
sequence with phosphorylation included as a predicted modification. Peptides with
predicted phosphorylation sites were analyzed by targeted LC/MS2 . Acquired MS2
spectra were then analyzed using database search engines to confirm
phosphorylation. Spectra of phosphorylated peptides were validated by manual
interpretation. Further confirmation was performed by manipulating phospho
peptide abundance using calf intestinal phosphatase (CIP) and the phorbol ester,
phorbol 12-myristate 13-acetate (PMA). RESULTS: Of five predicted
phosphopeptides, one, comprised of the sequence AVDRPMLPQEYAVEAHFK, was confirmed
to be phosphorylated on a tyrosine at position 364. Pre-treatment of cells with
PMA prior to immunoprecipitation increased the ratio of phosphorylated to
unphosphorylated peptide as determined by area counts of extracted ion
chromatograms (EIC). Addition of CIP to immunoprecipitation reactions eliminated
the phosphorylated form. CONCLUSIONS: A novel phosphorylation site was identified
at tyrosine 364. Phosphorylation at this site is increased by treatment with PMA.
PMA promotes membrane translocation and activation of protein kinase C (PKC),
indicating that tyrosine 364 is phosphorylated by a PKC-dependent mechanism.
PMID- 29659067
TI - Computer-aided diagnosis of prostate cancer using a deep convolutional neural
network from multiparametric MRI.
AB - BACKGROUND: Deep learning is the most promising methodology for automatic
computer-aided diagnosis of prostate cancer (PCa) with multiparametric MRI (mp
MRI). PURPOSE: To develop an automatic approach based on deep convolutional
neural network (DCNN) to classify PCa and noncancerous tissues (NC) with mp-MRI.
STUDY TYPE: Retrospective. SUBJECTS: In all, 195 patients with localized PCa were
collected from a PROSTATEx database. In total, 159/17/19 patients with 444/48/55
observations (215/23/23 PCas and 229/25/32 NCs) were randomly selected for
training/validation/testing, respectively. SEQUENCE: T2 -weighted, diffusion
weighted, and apparent diffusion coefficient images. ASSESSMENT: A radiologist
manually labeled the regions of interest of PCas and NCs and estimated the
Prostate Imaging Reporting and Data System (PI-RADS) scores for each region.
Inspired by VGG-Net, we designed a patch-based DCNN model to distinguish between
PCa and NCs based on a combination of mp-MRI data. Additionally, an enhanced
prediction method was used to improve the prediction accuracy. The performance of
DCNN prediction was tested using a receiver operating characteristic (ROC) curve,
and the area under the ROC curve (AUC), sensitivity, specificity, positive
predictive value (PPV), and negative predictive value (NPV) were calculated.
Moreover, the predicted result was compared with the PI-RADS score to evaluate
its clinical value using decision curve analysis. STATISTICAL TEST: Two-sided
Wilcoxon signed-rank test with statistical significance set at 0.05. RESULTS: The
DCNN produced excellent diagnostic performance in distinguishing between PCa and
NC for testing datasets with an AUC of 0.944 (95% confidence interval: 0.876
0.994), sensitivity of 87.0%, specificity of 90.6%, PPV of 87.0%, and NPV of
90.6%. The decision curve analysis revealed that the joint model of PI-RADS and
DCNN provided additional net benefits compared with the DCNN model and the PI
RADS scheme. DATA CONCLUSION: The proposed DCNN-based model with enhanced
prediction yielded high performance in statistical analysis, suggesting that DCNN
could be used in computer-aided diagnosis (CAD) for PCa classification. LEVEL OF
EVIDENCE: 3 Technical Efficacy: Stage 2 J. Magn. Reson. Imaging 2018;48:1570
1577.
PMID- 29659068
TI - Evolving standards of care in the age of cybertechnology.
AB - Behavioral health professionals are making increased use of cybertechnology to
deliver services to patients, communicate with patients, gather information about
patients, and communicate with colleagues. The advent of cybertechnology -
included the Internet, text (SMS), email, video, cloud storage of electronic
records, and other forms of electronic communication and documentation - has
introduced novel and unprecedented ethical and risk-management challenges. This
article provides an overview of emerging issues related to informed consent;
delivery of services; privacy, confidentiality, and privileged communication;
boundary issues and dual relationships; documentation; and practitioners'
relationships with colleagues. The author highlights new standards of care that
are being incorporated into licensing statutes and regulations; professional
codes of ethics; and practice guidelines adopted by the professions of
psychiatry, psychology, mental health counseling, marriage and family therapy,
and clinical social work.
PMID- 29659069
TI - Regulating mobile mental health apps.
AB - Mobile medical apps (MMAs) are a fast-growing category of software typically
installed on personal smartphones and wearable devices. A subset of MMAs are
aimed at helping consumers identify mental states and/or mental illnesses.
Although this is a fledgling domain, there are already enough extant mental
health MMAs both to suggest a typology and to detail some of the regulatory
issues they pose. As to the former, the current generation of apps includes those
that facilitate self-assessment or self-help, connect patients with online
support groups, connect patients with therapists, or predict mental health
issues. Regulatory concerns with these apps include their quality, safety, and
data protection. Unfortunately, the regulatory frameworks that apply have failed
to provide coherent risk-assessment models. As a result, prudent providers will
need to progress with caution when it comes to recommending apps to patients or
relying on app-generated data to guide treatment.
PMID- 29659070
TI - Introduction to this Special Issue: The Internet, cybertechnology and the law.
PMID- 29659071
TI - Traditional and new media's influence on suicidal behavior and contagion.
AB - The role of nonfictional and fictional media in suicide contagion has been well
established, ostensibly beginning with the publication of Goethe's The Sorrows of
Young Werther in 1774. In recent decades, the emergence of several new forms of
media (e.g. websites, social media, blogs, smartphone applications) has
revolutionized the communication and social interaction paradigms. This article
reviews "the Werther effect" (or suicide contagion related to media), special
populations who are more influential or susceptible, current media reporting
guidelines and their effectiveness, and the latest research on new media and its
effect on suicide and suicide contagion. The aim is to update recommendations on
how to mitigate the potential negative effects of both traditional and new media
on suicidal behavior and suicide contagion.
PMID- 29659072
TI - Characteristics and treatment of internet child pornography offenders.
AB - In the realm of sexual offenses, there has been a decrease in hands-on offenses,
but an increase in online offenses against children. The current issue is whether
online and offline sexual offenders are alike or differ. This literature review
investigates the differences among individuals who have committed child
pornography offenses, individuals who have committed contact offenses against
children, and individuals who have committed both. This review discusses the
various typologies that have been proposed of those who have committed online
offenses against children, the diagnostic implications of having committed child
pornography offenses, and the current state of treatment and prevention of
individuals who have committed online sex offenses against children. The studies
examined were found from psychology databases, listserv links, and references of
those collected articles. Only articles in English were included in the review.
Overall, Internet child pornography offenders (ICPOs) tend to score significantly
differently from contact offenders on various psychological measures. These
findings may imply that ICPOs have different treatment needs than contact
offenders.
PMID- 29659073
TI - Online sexual activity involving webcams-An overview of existing literature and
implications for sexual boundary violations of children and adolescents.
AB - Despite the relevance of the Internet and its increasing use for sexual purposes,
research into online sexual activity (OSA) involving webcams is limited. Aside
from positive experiences, OSA may implicate violations of sexual boundaries,
reaching from minor issues to serious forms of sexual abuse. To provide a basis
for a classification of sexual boundary violations online and a conceptualization
of preventive measures, a systematic review of the literature on OSA involving
webcams was conducted, resulting in publications from four thematic categories:
webcam use in common OSA, psychopathological phenomena, sex work, and crime and
indecency (commercial sexual exploitation, trafficking, and sexual boundary
violations). The discussion addresses the implications of different types of
sexual boundary violation of children and adolescents on the Internet. The
study's Internet findings could promote prevention and law enforcement strategies
tailored to these types of offense as well as different target groups.
PMID- 29659074
TI - Demographic, mental health, and offending characteristics of online child
exploitation material offenders: A comparison with contact-only and dual sexual
offenders.
AB - Technological advances have dramatically increased the ability to access,
distribute and produce child exploitation material (CEM) online, resulting in
increased numbers of individuals being charged with CEM offences. This study
examined the demographic, mental health, and offending characteristics of CEM
offenders (n = 456) in comparison to child contact sexual offenders (n = 493) and
offenders with a history of both CEM and contact offences (dual offenders, n =
256). A robust data linkage methodology was employed to link records from
statewide corrections services with policing and mental health records in
Victoria, Australia. The results indicated that CEM offenders differed from both
contact and dual offenders, and that the groups were primarily differentiated
based on two factors: antisocial orientation and sexual deviance. CEM offenders
demonstrated high rates of sexual deviance but low rates of antisociality,
contact offenders exhibited the opposite pattern, and dual offenders had both
high sexual deviance and antisociality. The findings suggest that existing sexual
offender assessment and treatment frameworks are unlikely to be suitable for
exclusive CEM offenders. The implications for future research are discussed.
PMID- 29659075
TI - Glutathione transferases catalyze recycling of auto-toxic cyanogenic glucosides
in sorghum.
AB - Cyanogenic glucosides are nitrogen-containing specialized metabolites that
provide chemical defense against herbivores and pathogens via the release of
toxic hydrogen cyanide. It has been suggested that cyanogenic glucosides are also
a store of nitrogen that can be remobilized for general metabolism via a
previously unknown pathway. Here we reveal a recycling pathway for the cyanogenic
glucoside dhurrin in sorghum (Sorghum bicolor) that avoids hydrogen cyanide
formation. As demonstrated in vitro, the pathway proceeds via spontaneous
formation of a dhurrin-derived glutathione conjugate, which undergoes reductive
cleavage by glutathione transferases of the plant-specific lambda class (GSTLs)
to produce p-hydroxyphenyl acetonitrile. This is further metabolized to p
hydroxyphenylacetic acid and free ammonia by nitrilases, and then glucosylated to
form p-glucosyloxyphenylacetic acid. Two of the four GSTLs in sorghum exhibited
high stereospecific catalytic activity towards the glutathione conjugate, and
form a subclade in a phylogenetic tree of GSTLs in higher plants. The expression
of the corresponding two GSTLs co-localized with expression of the genes encoding
the p-hydroxyphenyl acetonitrile-metabolizing nitrilases at the cellular level.
The elucidation of this pathway places GSTs as key players in a remarkable scheme
for metabolic plasticity allowing plants to reverse the resource flow between
general and specialized metabolism in actively growing tissue.
PMID- 29659076
TI - How to effectively design public health interventions: Implications from the
interaction effects between socioeconomic status and health locus of control
beliefs on healthy dietary behaviours among US adults.
AB - This study investigated whether individuals with different socioeconomic status
(SES) should be provided differently tailored health messages to promote healthy
dietary behaviour (HDB). Prior research has suggested that people with different
SESs tend to exhibit different types of beliefs about health, but it remains
unclear how SES interacts with these beliefs to influence health outcomes. To
better understand the differences in HDB between high- and low-SES populations
and propose effective intervention strategies, we examined (i) how SES is
associated with HDB, (ii) how internal health locus of control (HLC) and powerful
others HLC are associated with HDB, and (iii) how SES interacts with internal and
powerful others HLC to influence HDB. Using data from the Annenberg National
Health Communication Survey, collected from 2005 to 2012 (N = 6,262) in the
United States, hierarchical multiple regression analyses were conducted.
Education level was found to be positively associated with HDB, while income
level was not. Both internal and powerful others HLC beliefs were positively
associated with HDB. The positive relationship between internal HLC and HDB
strengthened as the level of education and income increased, whereas the positive
relationship between powerful others HLC and HDB weakened as respondents'
education level increased. These results suggest that the design and delivery of
communication messages should be tailored to populations' specific SES and HLC
beliefs for effective public health interventions. For example, messages
enhancing internal HLC (e.g. providing specific skills and knowledge about health
behaviours) might be more helpful for the richer and more-educated, while
messages appealing to one's powerful others HLC beliefs (e.g. advice on health
lifestyles given by well-known health professionals) might be more effective for
less-educated people.
PMID- 29659077
TI - Total choline quantification measured by 1H MR spectroscopy as early predictor of
response after neoadjuvant treatment for locally advanced breast cancer: The
impact of immunohistochemical status.
AB - BACKGROUND: Validation of new biomarkers is essential for the early evaluation of
neoadjuvant treatments. PURPOSE: To determine whether measurements of total
choline (tCho) by 1H spectroscopy could predict morphological or pathological
complete response (pCR) of neoadjuvant treatment and whether breast cancer
subgroups are related to prediction accuracy. STUDY TYPE: Prospective,
nonrandomized, monocentric, diagnostic study. POPULATION: Sixty patients were
initially included with 39 women participating in the final cohort. FIELD
STRENGTH/SEQUENCE: A 1.5T scanner was used for acquisition and MRS was performed
using the syngo GRACE sequence. ASSESSMENT: MRS and MRI examinations were
performed at baseline (TP1), 24-72 hours after first chemotherapy (TP2), after
the end of anthracycline treatment (TP3), and MRI only after the end of taxane
treatment (TP4). Early (EMR) and late (LMR) morphological response were defined
as %DeltaDmax13 or %DeltaDmax14, respectively. Responders were patients with
%DeltaDmax >30. Pathological complete response (pCR) patients achieved a residual
cancer burden score of 0. STATISTICAL TESTS: T-test, receiver operating
characteristic (ROC) curves, multiple regression, logistic regression, one-way
analysis of variance (ANOVA) analysis were used for the analysis. RESULTS: At TP1
there was a significant difference between response groups for tCho1 concerning
EMR prediction (P = 0.05) and pCR (P < 0.05) and for Kep 1 (P = 0.03) concerning
LMR prediction. At TP2, no modification of tCho and other parameters could
predict response. At TP3, DeltatCho, DeltaDmax, and DeltaVol could predict LMR (P
< 0.05 for all parameters), pCR (P < 0.05 for all parameters), and DeltaKtrans
could predict only pCR (P = 0.04). Logistic regression at baseline showed the
highest area under the curve (AUC) of 0.9 for prediction of pCR. The triple
negative (TN) subgroup showed significantly higher tCho at baseline (P = 0.02)
and higher DeltatCho levels at TP3 (P < 0.05). DATA CONCLUSION: Baseline
measurements of tCho in combination with clinicopathological criteria could
predict non-pCR with a high AUC. Furthermore, tCho quantification for prediction
of pCR was more sensitive for TN tumors. LEVEL OF EVIDENCE: 1 Technical Efficacy:
Stage 4 J. Magn. Reson. Imaging 2018;48:982-993.
PMID- 29659078
TI - Mechanistic subtypes of focal right ventricular tachycardia.
AB - Idiopathic sustained focal right ventricular tachycardia (VT) is most frequently
due to outflow tract (OT) tachycardia. This arrhythmia is recognized by its
characteristic ECG pattern and sensitivity to adenosine. However, there are other
forms of idiopathic, focal sustained VT that originate from the right ventricle
(RV), which are less well appreciated and easily overlooked. This review will
identify the characteristic features and electrophysiologic properties of these
forms of RV VT, including those originating from the tricuspid annulus, right
ventricular papillary muscles, and moderator band as well as variants of classic
RVOT tachycardia and those due to microreentry in the presence of preclinical
disease. Recognition of these subtypes of focal RV tachycardia should facilitate
targeted therapy.
PMID- 29659079
TI - The impact of sex on disease phenotype and prognostic thresholds of anemia in
myelodysplastic syndromes.
PMID- 29659080
TI - Influence of fitness and age on the endothelial response to acute inflammation.
AB - NEW FINDINGS: What is the central question of the study? What are the effects of
age and fitness on the vascular response to acute inflammation in younger and
older adults? What is the main finding and its importance? In older adults,
cardiorespiratory fitness level has a differential impact on endothelial function
after acute inflammation. Compared with older adults with low fitness, older,
moderately fit adults have a greater decrease in endothelial function, similar to
that of younger adults. These findings have important implications in support of
the beneficial effects of higher cardiorespiratory fitness in maintaining
vascular reactivity and the ability to respond to stressors. ABSTRACT:
Inflammation is associated with greater risk of cardiovascular events and reduced
vascular function with ageing. Higher cardiorespiratory fitness is associated
with lower risk of cardiovascular events and better vascular function. We
evaluated the role of fitness in the vascular response to acute inflammation in
26 younger adults (YA) and 62 older adults (OA). We used an influenza vaccine to
induce acute inflammation. Blood pressure, flow-mediated dilatation (FMD),
augmentation index, carotid elastic modulus and inflammatory markers were
measured before and 24 h after vaccination. Peak oxygen uptake was measured via a
treadmill test. 'Fit' was defined as a peak oxygen uptake greater than the age-
and sex-determined 50th percentile according to the American College of Sports
Medicine. An interaction effect existed for the FMD response during acute
inflammation (P < 0.05). The YA (low fit, from 11.5 +/- 1.8 to 9.2 +/- 1.3%;
moderately fit, from 11.9 +/- 0.8 to 9.0 +/- 0.8%) and moderately fit OA (from
7.5 +/- 1.0 to 3.9 +/- 0.8%) had similar reductions in FMD at 24 h (P < 0.05).
Low-fit OA did not reduce FMD at 24 h (from 5.5 +/- 0.4 to 5.2 +/- 0.5%, P >
0.05). The reduction in FMD in YA was similar between fitness groups (P > 0.05).
All groups had similar reductions in mean arterial pressure and increases in
inflammatory markers. The augmentation index and carotid elastic modulus did not
change during acute inflammation. In conclusion, in OA, higher fitness is
associated with a greater decrease in endothelial function during acute
inflammation, and this response is similar to that of young adults. This suggests
that moderately fit OA may maintain vascular reactivity in response to stress,
indicating preserved vascular function in moderately fit versus low-fit OA.
PMID- 29659081
TI - Gastrointestinal: Ulcerative colitis-associated duodenitis.
PMID- 29659082
TI - Is hepatic peroxisome proliferator-activated receptor alpha essential for the
metabolic effects of fibrates?
PMID- 29659083
TI - Hepatobiliary and Pancreatic: Primary acinar cell carcinoma of the liver showing
good response to chemotherapy.
PMID- 29659084
TI - Hepatobiliary and Pancreatic: A case of pure squamous cell carcinoma of the
gallbladder.
PMID- 29659085
TI - Gastrointestinal: Recurrent acute pancreatitis with a large fluid collection:
Pseudocyst or else?
PMID- 29659086
TI - Gastrointestinal: An unusual cause of biliary colic: Biliary ascariasis.
PMID- 29659087
TI - Robust spinal cord resting-state fMRI using independent component analysis-based
nuisance regression noise reduction.
AB - BACKGROUND: Physiological noise reduction plays a critical role in spinal cord
(SC) resting-state fMRI (rsfMRI). PURPOSE: To reduce physiological noise and
increase the robustness of SC rsfMRI by using an independent component analysis
(ICA)-based nuisance regression (ICANR) method. STUDY TYPE: Retrospective.
SUBJECTS: Ten healthy subjects (female/male = 4/6, age = 27 +/- 3 years, range 24
34 years). FIELD STRENGTH/SEQUENCE: 3T/gradient-echo echo planar imaging (EPI).
ASSESSMENT: We used three alternative methods (no regression [Nil], conventional
region of interest [ROI]-based noise reduction method without ICA [ROI-based],
and correction of structured noise using spatial independent component analysis
[CORSICA]) to compare with the performance of ICANR. Reduction of the influence
of physiological noise on the SC and the reproducibility of rsfMRI analysis after
noise reduction were examined. The correlation coefficient (CC) was calculated to
assess the influence of physiological noise. Reproducibility was calculated by
intraclass correlation (ICC). STATISTICAL TESTS: Results from different methods
were compared by one-way analysis of variance (ANOVA) with post-hoc analysis.
RESULTS: No significant difference in cerebrospinal fluid (CSF) pulsation
influence or tissue motion influence were found (P = 0.223 in CSF, P = 0.2461 in
tissue motion) in the ROI-based (CSF: 0.122 +/- 0.020; tissue motion: 0.112 +/-
0.015), and Nil (CSF: 0.134 +/- 0.026; tissue motion: 0.124 +/- 0.019). CORSICA
showed a significantly stronger influence of CSF pulsation and tissue motion
(CSF: 0.166 +/- 0.045, P = 0.048; tissue motion: 0.160 +/- 0.032, P = 0.048) than
Nil. ICANR showed a significantly weaker influence of CSF pulsation and tissue
motion (CSF: 0.076 +/- 0.007, P = 0.0003; tissue motion: 0.081 +/- 0.014, P =
0.0182) than Nil. The ICC values in the Nil, ROI-based, CORSICA, and ICANR were
0.669, 0.645, 0.561, and 0.766, respectively. DATA CONCLUSION: ICANR more
effectively reduced physiological noise from both tissue motion and CSF pulsation
than three alternative methods. ICANR increases the robustness of SC rsfMRI in
comparison with the other three methods. LEVEL OF EVIDENCE: 2 Technical Efficacy:
Stage 1 J. Magn. Reson. Imaging 2018;47:1421-1431.
PMID- 29659089
TI - Recurrent aortic root pseudoaneurysm after transcatheter occlusion-A word of
caution.
AB - A 48-year-old male developed a recurrent aortic-root pseudoaneurysm after
surgical repair for acute dissection. Although the initial closure of the
pseudoaneurysm was successfully managed by transcatheter endovascular occlusion
and coiling utilizing a hybrid transapical and transfemoral approach, the
pseudoaneurysm was recanalized after 3 months and a third-time surgical repair
was required. The potential risk for recurrence of pseudoaneurysms should be
considered when applying endovascular occlusion devices to treat aortic root
anatomy.
PMID- 29659088
TI - Tunable Fano Resonance and Plasmon-Exciton Coupling in Single Au Nanotriangles on
Monolayer WS2 at Room Temperature.
AB - Tunable Fano resonances and plasmon-exciton coupling are demonstrated at room
temperature in hybrid systems consisting of single plasmonic nanoparticles
deposited on top of the transition metal dichalcogenide monolayers. By using
single Au nanotriangles (AuNTs) on monolayer WS2 as model systems, Fano
resonances are observed from the interference between a discrete exciton band of
monolayer WS2 and a broadband plasmonic mode of single AuNTs. The Fano lineshape
depends on the exciton binding energy and the localized surface plasmon resonance
strength, which can be tuned by the dielectric constant of surrounding solvents
and AuNT size, respectively. Moreover, a transition from weak to strong plasmon
exciton coupling with Rabi splitting energies of 100-340 meV is observed by
rationally changing the surrounding solvents. With their tunable plasmon-exciton
interactions, the proposed WS2 -AuNT hybrids can open new pathways to develop
active nanophotonic devices.
PMID- 29659090
TI - Detection of intra-cardiac thrombi and congestive heart failure in cats using
computed tomographic angiography.
AB - Arterial thromboembolism is a life-threatening condition in cats most commonly
secondary to cardiac disease. Echocardiography is the reference standard to
evaluate for presence of a thrombus. In humans, computed tomographic (CT)
angiography is becoming widely used to detect left atrial thrombi precluding the
use of sedation. The purpose of this prospective, controlled, methods comparison
pilot study was threefold: (1) describe new CT angiography protocol used in awake
cats with cardiac disease and congestive heart failure; (2) determine accuracy of
continuous and dynamic acquisition CT angiography to identify and characterize
cardiac thrombi from spontaneous echocardiographic contrast using transthoracic
echocardiography as our reference standard; (3) identify known negative
prognostic factors and comorbidities of the thorax that CT angiography may
provide that complement or supersede echocardiographic examination. Fourteen cats
with heart disease were recruited; 7 with thrombi and 7 with spontaneous
echocardiographic contrast. Echocardiography and awake CT angiography were
performed using a microdose of contrast. Six of 7 thrombi were identified on CT
angiography as filling defects by at least one reviewer within the left auricle
(n = 6) and right heart (n = 1). Highest sensitivity (71.4%) was in continuous
phase and highest specificity (85.7%) was in dynamic studies with fair to
moderate interobserver agreement (0.38 and 0.44). CT angiography identified
prognostic cardiac information (left atrial enlargement, congestive heart
failure, arterial thromboembolism) and comorbidities (suspected idiopathic
pulmonary fibrosis, asthma). This study indicates CT angiography can readily
identify cardiac thrombi, important prognostic information and comorbidities, and
can be safely performed in cats with cardiac disease and congestive heart
failure.
PMID- 29659091
TI - An argument against the implementation of an 'overarching universal addiction
model' in alcohol and other drug treatment.
PMID- 29659092
TI - Diffusion tensor imaging parameters in testes with nonobstructive azoospermia.
AB - BACKGROUND: The development of noninvasive imaging parameters having the capacity
to identify the population of men with nonobstructive azoospermia (NOA) where a
successful sperm retrieval outcome is of great clinical significance.
PURPOSE/HYPOTHESIS: To assess differences of apparent diffusion coefficient (ADC)
and fractional anisotropy (FA) in NOA testes with impaired spermatogenesis and
the possible association with the presence of spermatozoa after testicular sperm
extraction (TESE). STUDY TYPE: Retrospective. POPULATION: Twenty NOA men (35
testes) and 21 age-matched controls (36 testes). FIELD STRENGTH/SEQUENCE: 1.5T,
T1 WI-SE T2 WI-FSE FS SS-EP-DTI. ASSESSMENTS: The MRI data were analyzed by two
radiologists in consensus. The average ADC and FA of testicular parenchyma was
measured. NOA testes were classified as NOA with higher Johnsen score (JS) >=8
(group 1) and JS <8 (group 2). STATISTICAL TESTS: Parametric and nonparametric
statistical tests were used to compare ADC and FA between NOA groups and normal
testes (group 3) and to evaluate a possible association with the presence of
spermatozoa after TESE. RESULTS: Differences in ADC were found between groups 1
and 2 (P = 0.043) and groups 2 and 3 (P = 0.004), but not between groups 1 and 3
(P = 0.418). Higher values of FA were found both in NOA testes with JS >=8 (P <
0.001) and JS <8 (P < 0.001) compared to controls. ADC (P = 0.096) and FA (P =
0.516) did not demonstrate differences in NOA testes with or without spermatozoa
at TESE. DATA CONCLUSION: Both ADC and FA are increased in NOA testes compared to
a normal population. ADC was proven to be a more useful diagnostic adjunct tool
in the identification of the population of NOA men with foci of advanced
spermatogenesis. However, DTI parameters were not predictive of sperm retrieval
after TESE. LEVEL OF EVIDENCE: 4 Technical Efficacy: Stage 3 J. Magn. Reson.
Imaging 2018;47:1318-1325.
PMID- 29659093
TI - Oral intake evaluation in patients following critical illness: an ICU cohort
study.
AB - Timely and adequate nutrition improves health outcomes for the critically ill
patient. Despite clinical guidelines recommending early oral nutrition, survivors
of critical illness experience significant nutritional deficits. This cohort
study evaluates the oral nutrition intake in intensive care unit (ICU) patients
who have experienced recent critical illness. The oral nutrition intake of a
convenience sample of ICU patients post-critical illness was observed during a 1
month period. Data pertaining to both the amount of oral nutrition intake and
factors impacting optimal oral nutrition intake were collected and analysed.
Inadequate oral intake was identified in 62% of the 79 patients assessed (n =
49). This was noted early in the ICU stay, around day 1-2, for most of the
patients. A significant proportion (25%) of patients remained in the hospital
with poor oral intake that persisted beyond ICU day 5. Unsurprisingly, these were
the patients who had longer ICU stays. Critical illness weakness was a factor in
the assessment of poor oral intake. To conclude, patients who have experienced
critical illness also experience suboptimal oral nutrition. The three key factors
that were identified as impacting optimal oral nutrition were early removal of
nasogastric tubes, critical illness weakness and poor appetite post-critical
illness. Seven key recommendations are made based on this cohort study. These
recommendations are related to patient assessment, monitoring, documentation and
future guidelines. Future research opportunities are highlighted, including the
investigation of strategies to improve the transition of patients' post-critical
illness to oral nutrition.
PMID- 29659094
TI - MERTK mutation update in inherited retinal diseases.
AB - MER tyrosine kinase (MERTK) encodes a surface receptor localized at the apical
membrane of the retinal pigment epithelium. It plays a critical role in
photoreceptor outer segment internalization prior to phagocytosis. Mutations in
MERTK have been associated with severe autosomal recessive retinal dystrophies in
the RCS rat and in humans. We present here a comprehensive review of all reported
MERTK disease causing variants with the associated phenotype. In addition, we
provide further data and insights of a large cohort of 1,195 inherited retinal
dystrophies (IRD) index cases applying state-of-the-art genotyping techniques and
summarize current knowledge. A total of 79 variants have now been identified
underlying rod-cone dystrophy and cone-rod dystrophy including 11 novel variants
reported here. The mutation spectrum in MERTK includes 33 missense, 12 nonsense,
12 splice defects, 12 small deletions, two small insertion-deletions, three small
duplications, and two exonic and three gross deletions. Altogether, mutations in
MERTK account for ~2% of IRD cases with a severe retinal phenotype. These data
are important for current and future therapeutic trials including gene
replacement therapy or cell-based therapy.
PMID- 29659095
TI - Catalytic Direct-Type Addition Reactions of Alkylarenes with Imines and Alkenes.
AB - Catalytic addition reactions of very weakly acidic nonactivated alkylarenes such
as toluene and its derivatives were developed by using a strongly basic mixed
catalyst system under mild reaction conditions. The addition reactions with
imines and alkenes proceeded smoothly under proton-transfer conditions to afford
the desired products in good to high yields, and high levels of regio- and
stereoselectivity were achieved. It was also revealed that the asymmetric
addition reaction of an alkylarene was possible.
PMID- 29659096
TI - Liquid chromatography/mass spectrometry for the detection of ash tree metabolites
following Emerald Ash Borer infestation.
PMID- 29659097
TI - Regioselective Formation of (E)-beta-Vinylstannanes with a Topologically
Controlled Molybdenum-Based Alkyne Hydrostannation Catalyst.
AB - The regioselective formation of (E)-beta-vinylstannanes has been a long-standing
challenge in transition-metal-catalyzed alkyne hydrostannation. Herein, we report
a well-defined molybdenum-based system featuring two encumbering m-terphenyl
isocyanides that reliably and efficiently delivers (E)-beta-vinylstannanes from a
range of terminal and internal alkynes with high regioselectivity. The system is
particularly effective for aryl alkynes and can discriminate between alkyl chains
of low steric hindrance in unsymmetrically substituted dialkyl alkynes. Catalytic
hydrostannation with this system is also characterized by an electronic effect
that leads to a decrease in regioselectivity when electron-withdrawing groups are
present on the alkyne substrate.
PMID- 29659098
TI - The tangled duo of anaemia and transfusion travel together, that is the problem.
PMID- 29659100
TI - 2D Porous TiO2 Single-Crystalline Nanostructure Demonstrating High Photo
Electrochemical Water Splitting Performance.
AB - Porous single crystals are promising candidates for solar fuel production owing
to their long range charge diffusion length, structural coherence, and sufficient
reactive sites. Here, a simple template-free method of growing a selectively
branched, 2D anatase TiO2 porous single crystalline nanostructure (PSN) on
fluorine-doped tin oxide substrate is demonstrated. An innovative ion exchange
induced pore-forming process is designed to successfully create high porosity in
the single-crystalline nanostructure with retention of excellent charge mobility
and no detriment to crystal structure. PSN TiO2 film delivers a photocurrent of
1.02 mA cm-2 at a very low potential of 0.4 V versus reversible hydrogen
electrode (RHE) for photo-electrochemical water splitting, closing to the
theoretical value of TiO2 (1.12 mA cm-2 ). Moreover, the current-potential curve
featuring a small potential window from 0.1 to 0.4 V versus RHE under one-sun
illumination has a near-ideal shape predicted by the Gartner Model, revealing
that the charge separation and surface reaction on the PSN TiO2 photoanode are
very efficient. The photo-electrochemical water splitting performance of the
films indicates that the ion exchange-assisted synthesis strategy is effective in
creating large surface area and single-crystalline porous photoelectrodes for
efficient solar energy conversion.
PMID- 29659101
TI - Lessons from Queensland's last-drinks legislation: The use of extended trading
permits.
AB - INTRODUCTION AND AIMS: The association between alcohol availability, alcohol
consumption and, in turn, alcohol-related harms is well established. Policies to
reduce alcohol-related harms focus on limiting accessibility through the
regulation of the liquor industry, including trading hours. On 1 July 2016, the
Queensland Government introduced legislation to reduce ordinary liquor trading
hours, replacing 5 am closing times with 3 am cessation of liquor sales in
designated entertainment precincts and 2 am cessation of sales across the rest of
the state. However, the amendment was under-inclusive and did not apply to
temporary extended trading permits, a provision of the Liquor Act 1992 allowing
one-off variations in trading hours for special events. DESIGN AND METHODS: We
use 24 months of data (1 January 2015 to 31 December 2016) from the Office of
Liquor Gaming and Regulation to explore patterns of extended trading permit use
across Queensland, pre- and post- 1 July 2016. RESULTS: We find that following
the Amendment in 2016 there was also a distinct shift in the utilisation of
temporary extended trading permits, with a 63% increase in approved permits
between 2015 and 2016. Temporal clustering around key calendar events dissipated
following 1 July 2016 with consistent concentration of permit utilisation over
consecutive weeks. DISCUSSION AND CONCLUSIONS: Using temporary extended trading
permits venue owners avoided earlier closing times and continued to operate until
5 am. The findings provide lessons for future policy implementation by
illustrating the capacity for under-inclusive legislation to result in the
dilution of intended effects.
PMID- 29659102
TI - Initial severity and antidepressant efficacy for anxiety disorders, obsessive
compulsive disorder, and posttraumatic stress disorder: An individual patient
data meta-analysis.
AB - BACKGROUND: It has been suggested that antidepressant benefits are smaller for
mild than severe depression. Because antidepressants are also used for anxiety
disorders, obsessive-compulsive disorder (OCD), and posttraumatic stress disorder
(PTSD), we examined the influence of severity for these disorders. METHODS: We
used individual patient data of eight trials (3,430 participants) for generalized
anxiety disorder (GAD); four trials (1,195 participants) for social anxiety
disorder (SAD); four trials (1,132 participants) for OCD; three trials (1,071
participants) for PTSD; and 10 trials (2,151 participants) for panic disorder
(PD). Mixed-effects models were used to investigate an interaction between
severity and treatment group. RESULTS: For GAD and PD, severity moderated
antidepressant efficacy. The antidepressant-placebo difference was 1.4 (95% CI:
0.4-2.5; SMD: 0.21) Hamilton Anxiety Rating Scale (HAM-A) points for participants
with mild GAD (baseline HAM-A = 10), increasing to 4.0 (3.4-4.6; SMD: 0.45) or
greater for severely ill participants (HAM-A >= 30). For PD, the difference was
0.4 (0.3-0.6) panic attacks/2 weeks for participants with 10 panic attacks/2
weeks at baseline, increasing to 4.7 (3.0-6.4) for participants with 40. For SAD,
OCD, and PTSD, no interaction was found. Across severity levels, the differences
were 16.1 (12.9-19.3; SMD: 0.59) Liebowitz Social Anxiety Scale points, 3.4 (2.5
4.4, SMD: 0.39) Yale-Brown Obsessive-Compulsive Scale points, and 10.3 (6.9-13.6;
SMD: 0.41) Clinician-Administered PTSD Scale points. CONCLUSIONS: Antidepressants
are equally effective across severity levels for SAD, OCD, and PTSD. For GAD and
PD, however, benefits are small at low severity, and the benefit-risk ratio may
be unfavorable for these patients.
PMID- 29659103
TI - Real-time lexical comprehension in young children learning American Sign
Language.
AB - When children interpret spoken language in real time, linguistic information
drives rapid shifts in visual attention to objects in the visual world. This
language-vision interaction can provide insights into children's developing
efficiency in language comprehension. But how does language influence visual
attention when the linguistic signal and the visual world are both processed via
the visual channel? Here, we measured eye movements during real-time
comprehension of a visual-manual language, American Sign Language (ASL), by 29
native ASL-learning children (16-53 mos, 16 deaf, 13 hearing) and 16 fluent deaf
adult signers. All signers showed evidence of rapid, incremental language
comprehension, tending to initiate an eye movement before sign offset. Deaf and
hearing ASL-learners showed similar gaze patterns, suggesting that the in-the
moment dynamics of eye movements during ASL processing are shaped by the
constraints of processing a visual language in real time and not by differential
access to auditory information in day-to-day life. Finally, variation in
children's ASL processing was positively correlated with age and vocabulary size.
Thus, despite competition for attention within a single modality, the timing and
accuracy of visual fixations during ASL comprehension reflect information
processing skills that are important for language acquisition regardless of
language modality.
PMID- 29659104
TI - Photon Yield Enhancement of Red Fluorophores at Cryogenic Temperatures.
AB - Single Molecule Localization Microscopy has become one of the most successful and
widely applied methods of Super-resolution Fluorescence Microscopy. Its
achievable resolution strongly depends on the number of detectable photons from a
single molecule until photobleaching. By cooling a sample from room temperature
down to liquid nitrogen temperatures, the photostability of dyes can be enhanced
by more than 100 fold, which results in an improvement in localization precision
greater than 10 times. Here, we investigate a variety of fluorescent dyes in the
red spectral region, and we find an average photon yield between 3.5 ? 106 to 11
? 106 photons before bleaching at liquid nitrogen temperatures, corresponding to
a theoretical localization precision around 0.1 nm.
PMID- 29659106
TI - Individual treatment selection for patients with posttraumatic stress disorder.
AB - BACKGROUND: Trauma-focused cognitive behavioral therapy (Tf-CBT) and eye movement
desensitization and reprocessing (EMDR) are two highly effective treatment
options for posttraumatic stress disorder (PTSD). Yet, on an individual level,
PTSD patients vary substantially in treatment response. The aim of the paper is
to test the application of a treatment selection method based on a personalized
advantage index (PAI). METHOD: The study used clinical data for patients
accessing treatment for PTSD in a primary care mental health service in the north
of England. PTSD patients received either EMDR (N = 75) or Tf-CBT (N = 242). The
Patient Health Questionnaire (PHQ-9) was used as an outcome measure for
depressive symptoms associated with PTSD. Variables predicting differential
treatment response were identified using an automated variable selection approach
(genetic algorithm) and afterwards included in regression models, allowing the
calculation of each patient's PAI. RESULTS: Age, employment status, gender, and
functional impairment were identified as relevant variables for Tf-CBT. For EMDR,
baseline depressive symptoms as well as prescribed antidepressant medication were
selected as predictor variables. Fifty-six percent of the patients (n = 125) had
a PAI equal or higher than one standard deviation. From those patients, 62 (50%)
did not receive their model-predicted treatment and could have benefited from a
treatment assignment based on the PAI. CONCLUSIONS: Using a PAI-based algorithm
has the potential to improve clinical decision making and to enhance individual
patient outcomes, although further replication is necessary before such an
approach can be implemented in prospective studies.
PMID- 29659105
TI - Lyso-DGTS lipid isolated from microalgae enhances PON1 activities in vitro and in
vivo, increases PON1 penetration into macrophages and decreases cellular lipid
accumulation.
AB - High-density lipoprotein (HDL) plays an important role in preventing
atherosclerosis. The antioxidant effect of HDL is mostly associated with
paraoxonase 1 (PON1) activity. Increasing PON1 activity using nutrients might
improve HDL function and quality and thus, decrease atherosclerotic risk. We
previously isolated and identified a novel active compound, lyso-DGTS (C20:5,0)
from Nannochloropsis sp. ethanol extract. In the present study, its effect on
PON1 activities was examined and the mechanism by which the compound affects PON1
activity was explored. Lyso-DGTS elevated recombinant PON1 (rePON1) lactonase and
esterase activities in a dose- and time-responsive manner, and further stabilized
and preserved rePON1 lactonase activity. Incubation of lyso-DGTS with human serum
for 4 h at 37 degrees C also increased PON1 lactonase activity in a dose
responsive manner. Using tryptophan-fluorescence-quenching assay, lyso-DGTS was
found to interact with rePON1 spontaneously with negative free energy (DeltaG =
22.87 kJ mol-1 at 25 degrees C). Thermodynamic parameters and molecular modeling
calculations showed that the main interaction of lyso-DGTS with the enzyme is
through a hydrogen bond with supporting van der Waals interactions. Furthermore,
lyso-DGTS significantly increased rePON1 influx into macrophages and prevented
lipid accumulation in macrophages stimulated with oxidized low-density lipid dose
dependently. In vivo supplementation of lyso-DGTS to the circulation of mice fed
a high-fat diet via osmotic mini-pumps implanted subcutaneously significantly
increased serum PON1 lactonase activity and decreased serum glucose
concentrations to the level of mice fed a normal diet. Our findings suggest a
beneficial effect of lyso-DGTS on increasing PON1 activity and thus, improving
HDL quality and atherosclerotic risk factors. (c) 2018 BioFactors, 44(3):299-310,
2018.
PMID- 29659107
TI - Knowledge and practice of healthcare professionals relating to oral medicine use
in swallowing-impaired patients: a scoping review.
AB - OBJECTIVES: Swallowing impairment is a growing problem that affects 16% of the
overall population and can significantly affect medicine-taking. However, little
is known about the knowledge and practice of healthcare professionals (HCPs)
relating to swallowing-impaired (SI) patients. The aim of this scoping review was
to investigate the knowledge and practice of HCPs in supporting SI patients with
their medicine-taking, to identify their reference sources, and to describe their
training and information needs. METHOD: Databases searched included Scopus, Web
of Science, Medline and Google Scholar from inception to August 2017. Qualitative
and quantitative studies describing knowledge and practice of HCPs relating to
medicine use in SI patients were included. KEY FINDINGS: The nine included
studies, from an initial 142, involved nurses (nine studies), pharmacists (one
study) and doctors (two studies) in hospitals or aged-care facilities. A
knowledge deficit linked to lack of training was revealed, particularly with
identification of modified-release dosage forms, medication that can/cannot be
crushed, vehicle for safe dispersion, consequences of inappropriate modification,
drug stability and legal aspects. Written information sources were named, but not
used in practice. Pharmacists were the primary experts for consultation, and were
involved in nurse education, and were members of multidisciplinary teams.
CONCLUSIONS: Knowledge in nurses was inadequate, with practice indicating
potentially serious medication-related errors. Additional training, either
undergraduate or as continuing professional development, is recommended.
Information to guide safe medicine modification practice should be accessible to
all practitioners. Pharmacists could take the lead role in offering training to
nurses.
PMID- 29659108
TI - BEL1-LIKE HOMEODOMAIN 11 regulates chloroplast development and chlorophyll
synthesis in tomato fruit.
AB - Chloroplast development and chlorophyll(Chl)metabolism in unripe tomato
contribute to the growth and quality of the fruit, however these mechanisms are
poorly understood. In this study, we initially investigated seven homeobox
containing transcription factors (TFs) with specific ripening-associated
expression patterns using virus-induced gene silencing (VIGS) technology and
found that inhibiting the expression of one of these TFs, BEL1-LIKE HOMEODOMAIN11
(SlBEL11), significantly increased Chl levels in unripe tomato fruit. This
enhanced Chl accumulation was further validated by generating stable RNA
interference (RNAi) transgenic lines. RNA sequencing (RNA-seq) of RNAi-SlBEL11
fruit at the mature green (MG) stage showed that 48 genes involved in Chl
biosynthesis, photosynthesis and chloroplast development were significantly
upregulated compared with the wild type (WT) fruit. Genomic global scanning for
Homeobox TF binding sites combined with RNA-seq differential gene expression
analysis showed that 22 of these 48 genes were potential target genes of SlBEL11
protein. These genes included Chl biosynthesis-related genes encoding for
protochlorophyllide reductase (POR), magnesium chelatase H subunit (CHLH) and
chlorophyllide a oxygenase (CAO), and chloroplast development-related genes
encoding for chlorophyll a/b binding protein (CAB), homeobox protein knotted 2
(TKN2) and ARABIDOPSIS PSEUDO RESPONSE REGULATOR 2-LIKE (APRR2-like).
Electrophoretic mobility shift assay (EMSA) and chromatin immunoprecipitation
quantitative polymerase chain reaction (PCR) (ChIP-qPCR) assays were employed to
verify that SlBEL11 protein could bind to the promoters for TKN2, CAB and POR.
Taken together, our findings demonstrated that SlBEL11 plays an important role in
chloroplast development and Chl synthesis in tomato fruit.
PMID- 29659109
TI - A tool for assessment of heart failure prescribing quality: A systematic review
and meta-analysis.
AB - INTRODUCTION: Heart failure (HF) guidelines aim to standardise patient care.
Internationally, prescribing practice in HF may deviate from guidelines and so a
standardised tool is required to assess prescribing quality. A systematic review
and meta-analysis were performed to identify a quantitative tool for measuring
adherence to HF guidelines and its clinical implications. METHODS: Eleven
electronic databases were searched to include studies reporting a comprehensive
tool for measuring adherence to prescribing guidelines in HF patients aged >=18
years. Qualitative studies or studies measuring prescription rates alone were
excluded. Study quality was assessed using the Good ReseArch for Comparative
Effectiveness Checklist. RESULTS: In total, 2455 studies were identified. Sixteen
eligible full-text articles were included (n = 14 354 patients, mean age 69 +/- 8
y). The Guideline Adherence Index (GAI), and its modified versions, was the most
frequently cited tool (n = 13). Other tools identified were the Individualised
Reconciled Evidence Recommendations, the Composite Heart Failure Performance, and
the Heart Failure Scale. The meta-analysis included the GAI studies of good to
high quality. The average GAI-3 was 62%. Compared to low GAI, high GAI patients
had lower mortality rate (7.6% vs 33.9%) and lower rehospitalisation rates (23.5%
vs 24.5%); both P <= .05. High GAI was associated with reduced risk of mortality
(hazard ratio = 0.29, 95% confidence interval, 0.06-0.51) and rehospitalisation
(hazard ratio = 0.64, 95% confidence interval, 0.41-1.00). No tool was used to
improve prescribing quality. CONCLUSION: The GAI is the most frequently used tool
to assess guideline adherence in HF. High GAI is associated with improved HF
outcomes.
PMID- 29659110
TI - Rapid Aqueous Late-Stage Radiolabelling of [GaF3 (BnMe2 -tacn)] by 18 F/19 F
Isotopic Exchange: Towards New PET Imaging Probes.
AB - A simple and rapid method for 18 F radiolabelling of [GaF3 (BnMe2 -tacn)] by 18
F/19 F isotopic exchange is described. The use of MeCN/H2 O or EtOH/H2 O (75:25)
and aqueous [18 F]F- (up to 200 MBq) with heating (80 degrees C, 10 min) gave
66+/-4 % 18 F incorporation at a concentration of 268 nm, and 37+/-5 % 18 F
incorporation at even lower concentration (27 nm), without the need for a Lewis
acid promoter. A solid-phase extraction method was established to give [Ga18 F19
F2 (BnMe2 -tacn)] in 99 % radiochemical purity in an EtOH/H2 O mixture.
PMID- 29659111
TI - Trends in body mass index and obesity prevalence in Western Australian adults,
2002 to 2015.
AB - ISSUE ADDRESSED: Continued increases in overweight and obesity across most parts
of the world in recent decades have seen maintaining or reaching a healthy weight
become a major public health priority. This study reports on body mass index
(BMI) and obesity prevalence trends in Western Australian adults between 2002 and
2015. METHODS: Self-reported height and weight were collected from Western
Australian adults (16+ years) via 81 867 computer-assisted telephone interviews
conducted between 2002 and 2015 as part of the WA Health and Wellbeing
Surveillance System. Linear and quadratic trends in annual mean BMI and obesity
prevalence estimates were generated from self-report data. These trends were
subject to sequential sum of squares analysis to examine whether annual increases
in mean BMI and obesity prevalence estimates diminished or were maintained over
the 2002 to 2015 period. RESULTS: The analyses showed a preference for a
quadratic model (with plots suggesting diminishing increases between 2002 and
2015) in mean BMI for males, 25- to 64-year-olds and across all adults, and in
obesity prevalence estimates across all adults. CONCLUSIONS: The results suggest
the rate at which mean BMI and obesity prevalence are increasing may be slowing
overall and within specific groups in WA. SO WHAT?: The findings are potentially
a positive news story for health in Western Australia. Even so, 2-thirds of the
population are overweight or obese and there remains a strong need for sustained
obesity prevention action.
PMID- 29659112
TI - Characterization of Carboxylic Acid Reductases for Biocatalytic Synthesis of
Industrial Chemicals.
AB - Carboxylic acid reductases (CARs) catalyze the reduction of a broad range of
carboxylic acids into aldehydes, which can serve as common biosynthetic
precursors to many industrial chemicals. This work presents the systematic
biochemical characterization of five carboxylic acid reductases from different
microorganisms, including two known and three new ones, by using a panel of short
chain dicarboxylic acids and hydroxy acids, which are common cellular
metabolites. All enzymes displayed broad substrate specificities. Higher
catalytic efficiencies were observed when the carbon chain length, either of the
dicarboxylates or of the terminal hydroxy acids, was increased from C2 to C6 . In
addition, when substrates of the same carbon chain length are compared,
carboxylic acid reductases favor hydroxy acids over dicarboxylates as their
substrates. Whole-cell bioconversions of eleven carboxylic acid substrates into
the corresponding alcohols were investigated by coupling the CAR activity with
that of an aldehyde reductase in Escherichia coli hosts. Alcohol products were
obtained in yields ranging from 0.5 % to 71 %. The de novo stereospecific
biosynthesis of propane-1,2-diol enantiomer was successfully demonstrated with
use of CARs as the key pathway enzymes. E. coli strains accumulated 7.0 mm (R)
1,2-PDO (1.0 % yield) or 9.6 mm (S)-1,2-PDO (1.4 % yield) from glucose. This
study consolidates carboxylic acid reductases as promising enzymes for
sustainable synthesis of industrial chemicals.
PMID- 29659113
TI - Landscape evolution and nutrient rejuvenation reflected in Amazon forest canopy
chemistry.
AB - Terra firme forests make up more than three quarters of the western Amazon basin
and are often considered functionally homogeneous in regional scale mapping and
modelling efforts. However, the landforms underlying these systems are subject to
dynamic processes of landscape evolution occurring within an otherwise
geomorphically stable terrace formation. These processes may introduce systematic
variability in local nutrient status of terra firme ecosystems. We utilised high
resolution airborne topographic and imaging spectroscopy data, with directed
field soil surveys, to reveal that active stream incision and patterns of soil
rock derived nutrient availability drive foliar canopy chemistry distributions
across seven catchments within a single terrace formation. These results strongly
suggest that fine-scale geomorphic processes directly affect biogeochemical
cycles throughout the lowland western Amazon. Furthermore, links between
landscape evolution and foliar chemical distributions indicate that geomorphic
processes drive the fine-scale spatial organisation of this tropical ecosystem,
with implications for the functional assembly and biogeography of Amazonian
forests.
PMID- 29659114
TI - Cobalt-Catalyzed Intramolecular Reactions between a Vinylcyclopropane and an
Alkyne: Switchable [5+2] Cycloaddition and Homo-Ene Pathways.
AB - Cobalt-diphosphine catalysts have been found to promote intramolecular reactions
between a vinylcyclopropane and an alkyne to selectively afford either the [5+2]
cycloaddition product or the homo-ene reaction product under solvent control. The
former product is exclusively formed in noncoordinating 1,2-dichloroethane,
whereas the latter is dominant in coordinating solvents, such as acetonitrile and
dimethylacetamide. Furthermore, a highly enantioselective variant of the homo-ene
reaction afforded chiral tetrahydrofuran, pyrrolidine, and cyclopentane
derivatives bearing 1,3-diene and alkylidene substituents.
PMID- 29659115
TI - Topography shapes the structure, composition and function of tropical forest
landscapes.
AB - Topography is a key driver of tropical forest structure and composition, as it
constrains local nutrient and hydraulic conditions within which trees grow. Yet,
we do not fully understand how changes in forest physiognomy driven by topography
impact other emergent properties of forests, such as their aboveground carbon
density (ACD). Working in Borneo - at a site where 70-m-tall forests in alluvial
valleys rapidly transition to stunted heath forests on nutrient-depleted dip
slopes - we combined field data with airborne laser scanning and hyperspectral
imaging to characterise how topography shapes the vertical structure, wood
density, diversity and ACD of nearly 15 km2 of old-growth forest. We found that
subtle differences in elevation - which control soil chemistry and hydrology -
profoundly influenced the structure, composition and diversity of the canopy.
Capturing these processes was critical to explaining landscape-scale
heterogeneity in ACD, highlighting how emerging remote sensing technologies can
provide new insights into long-standing ecological questions.
PMID- 29659116
TI - Efficacy and safety of a new 5% minoxidil formulation in male androgenetic
alopecia: A randomized, placebo-controlled, double-blind, noninferiority study.
AB - BACKGROUND: Androgenetic alopecia (AGA) is the most common cause of hair loss in
men. Topical minoxidil solutions can help to treat AGA but have to be applied
continuously to be effective. OBJECTIVES: A new minoxidil formulation with
improved cosmetic characteristics (DC0120, Pierre-Fabre Dermatologie) was tested
for noninferiority vs a comparator minoxidil product (ALOSTIL(r) , Johnson &
Johnson) in stimulating hair growth in men with AGA. METHODS: Two 10 cm2 areas on
the scalp of each subject were randomized to receive DC0120, the comparator, or
one of their corresponding vehicles, applied twice per day for 16 weeks.
Nonvellus target area hair count (TAHC) was measured within treatment areas at
baseline (day 1) and after 8 and 16 weeks by digital phototrichogram. RESULTS:
Two hundred and twenty subjects were included and randomized, of which 210
completed the study. The mean change in nonvellus TAHC between baseline and week
16 was +22.0 hairs/cm2 (95% CI: 18.1; 25.9) in the DC0120 group and +20.5
hairs/cm2 (95% CI: 16.6; 24.4) in the comparator group. The adjusted mean
difference in TAHC changes between the two treatments was +1.5 hairs/cm2 (95% CI
2.3; 5.2), with the lower 95% confidence interval above the noninferiority
threshold of -7 hairs/cm2 . This indicated that DC0120 was noninferior to the
comparator. Both minoxidil treatments also increased nonvellus TAHC compared to
vehicle groups at 8 and 16 weeks. No new safety signals were observed.
CONCLUSIONS: DC0120 was as safe and effective as a similar marketed minoxidil
product for stimulating hair growth in men with AGA.
PMID- 29659117
TI - Evaluation of the relationship between peripheral pulse palpation and Doppler
systolic blood pressure in dogs presenting to an emergency service.
AB - OBJECTIVE: To assess the association between peripheral pulse palpation and
Doppler-derived systolic blood pressure (SBP) measurement in dogs presenting to
an emergency service. DESIGN: Prospective observational study. SETTING:
University veterinary teaching hospital. ANIMALS: Ninety-three dogs that were
presented to the emergency service. Dogs were eligible for inclusion in the study
if a physical examination and a SBP measurement were performed prior to any
interventions. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: Both the
femoral pulse quality and dorsal metatarsal pulse quality were digitally palpated
and assessed as either strong, weak, or absent. The mean SBP in all dogs was 139
mm Hg (+/- 39 mm Hg). Seventeen (17/93; 18%) dogs were hypotensive with a SBP <
90 mm Hg (range, 40-88 mm Hg). The median SBP was not significantly different
between dogs in the absent and present femoral pulse groups (P = 0.120) but the
median SBP was significantly different between absent and present metatarsal
pulse groups (P = 0.002). Dogs with absent metatarsal pulses were 7.6 times more
likely to be hypotensive with a SBP < 90 mm Hg (OR, 7.6; 95% CI, 1.8-32) as
compared to dogs with palpable metatarsal pulses. The sensitivity of absent
metatarsal pulses to diagnose hypotension (SBP < 90 mm Hg) was 33% (95% CI, 10
65%) and the specificity was 94% (95% CI, 86-98%). CONCLUSIONS: Absent metatarsal
pulses are highly specific in the diagnosis of hypotension. However, dogs with
palpable metatarsal pulses can still be hypotensive. Dorsal metatarsal pulse
palpation is useful during triage assessment of dogs presenting to an emergency
service, though it should not be used as the only indicator of a dog's
cardiovascular stability and should not replace an actual blood pressure
measurement.
PMID- 29659118
TI - Risk of stroke associated with use of nonsteroidal anti-inflammatory drugs during
acute respiratory infection episode.
AB - BACKGROUND: Previous studies suggested that acute respiratory infection (ARI)
could trigger stroke and that use of nonsteroidal anti-inflammatory drugs
(NSAIDs) was associated with increased risk of stroke. In many countries, NSAIDs
have been widely used among patients with ARI or common cold for pain and fever
relief. However, studies evaluating whether NSAIDs use during ARI episodes may
further increase the risk of stroke were very limited. METHODS AND RESULTS:
During 2007 to 2011, 29 518 patients with an incident hospitalization of stroke
were identified. The date of admission was defined as the index date. Using case
crossover design, we compared the following exposure status between the case
period (1- to 7-d period before the index date) and matched control period (366-
to 372-d period before the index date): NSAIDs use during ARI episodes, ARI
episodes without NSAIDs use, NSAIDs use only, or no exposure. Multivariable
conditional regression models were used to estimate odds ratios adjusting
potential confounders. The results suggested that NSAIDs use during ARI episodes
was associated with a 2.3-fold increased risk of stroke (ischemic: adjusted odds
ratio, aOR 2.27, 95% confidence interval, 95% CI, 2.00-2.58; hemorrhagic: aOR
2.28, 95% CI, 1.71-3.02). We also determined that parenteral NSAIDs were
associated with much higher risk of stroke in patients with ARI. CONCLUSIONS:
Nonsteroidal anti-inflammatory drugs use during ARI episodes, especially
parenteral NSAIDs use, was associated with a further increased risk of stroke.
PMID- 29659120
TI - Patterns of depressive symptom remission during the treatment of seasonal
affective disorder with cognitive-behavioral therapy or light therapy.
AB - BACKGROUND: To elucidate mechanisms related to remission in winter seasonal
affective disorder (SAD), we explored the course of individual depressive symptom
offset across two distinct treatment modalities that show comparable outcomes at
treatment endpoint: cognitive-behavioral therapy for SAD (CBT-SAD) and light
therapy (LT). METHOD: One hundred seventy-seven adults with SAD in a depressive
episode were randomized to 6-weeks of CBT-SAD (n = 88) or LT (n = 89). Symptoms
were assessed via the 29-item Structured Interview Guide for the Hamilton Rating
Scale for Depression-SAD Version (SIGH-SAD) at pretreatment and weekly during
treatment. Survival analyses were conducted for the 17 SIGH-SAD items endorsed by
more than 40 participants at pretreatment. Within each of the included symptoms,
data from participants who endorsed the symptom at pretreatment and who had 3 or
fewer weeks missing were included. RESULTS: For most (13/17; 76%) symptoms, CBT
SAD and LT did not differ in time to remission. However, for four symptoms (early
insomnia, psychic anxiety, hypersomnia, and social withdrawal), LT led to symptom
remission more quickly than CBT-SAD. CONCLUSIONS: Symptom remission progressed
comparably across CBT-SAD and LT for most symptoms. Despite the fact that the two
treatments led to similar remission rates and improvements at treatment endpoint,
for early insomnia, psychic anxiety, hypersomnia, and social withdrawal, LT led
to symptom remission faster than CBT-SAD. These results suggest different
mechanisms and pathways to the same therapeutic end. Speedier remission of early
insomnia and hypersomnia is consistent with the theory that SAD is related to a
pathological circadian phase-shift that can be corrected with LT.
PMID- 29659121
TI - Glucagon-like peptide-1 ameliorates cardiac lipotoxicity in diabetic
cardiomyopathy via the PPARalpha pathway.
AB - Lipotoxicity cardiomyopathy is the result of excessive accumulation and oxidation
of toxic lipids in the heart. It is a major threat to patients with diabetes.
Glucagon-like peptide-1 (GLP-1) has aroused considerable interest as a novel
therapeutic target for diabetes mellitus because it stimulates insulin secretion.
Here, we investigated the effects and mechanisms of the GLP-1 analog exendin-4
and the dipeptidyl peptidase-4 inhibitor saxagliptin on cardiac lipid metabolism
in diabetic mice (DM). The increased myocardial lipid accumulation, oxidative
stress, apoptosis, and cardiac remodeling and dysfunction induced in DM by low
streptozotocin doses and high-fat diets were significantly reversed by exendin-4
and saxagliptin treatments for 8 weeks. We found that exendin-4 inhibited
abnormal activation of the (PPARalpha)-CD36 pathway by stimulating protein kinase
A (PKA) but suppressing the Rho-associated protein kinase (ROCK) pathway in DM
hearts, palmitic acid (PA)-treated rat h9c2 cardiomyocytes (CMs), and isolated
adult mouse CMs. Cardioprotection in DM mediated by exendin-4 was abolished by
combination therapy with the PPARalpha agonist wy-14643 but mimicked by PPARalpha
gene deficiency. Therefore, the PPARalpha pathway accounted for the effects of
exendin-4. This conclusion was confirmed in cardiac-restricted overexpression of
PPARalpha mediated by adeno-associated virus serotype-9 containing a cardiac
troponin T promoter. Our results provide the first direct evidence that GLP-1
protects cardiac function by inhibiting the ROCK/PPARalpha pathway, thereby
ameliorating lipotoxicity in diabetic cardiomyopathy.
PMID- 29659122
TI - Short-term prey field lability constrains individual specialisation in resource
selection and foraging site fidelity in a marine predator.
AB - Spatio-temporally stable prey distributions coupled with individual foraging site
fidelity are predicted to favour individual resource specialisation. Conversely,
predators coping with dynamic prey distributions should diversify their
individual diet and/or shift foraging areas to increase net intake. We studied
individual specialisation in Scopoli's shearwaters (Calonectris diomedea) from
the highly dynamic Western Mediterranean, using daily prey distributions together
with resource selection, site fidelity and trophic-level analyses. As
hypothesised, we found dietary diversification, low foraging site fidelity and
almost no individual specialisation in resource selection. Crucially, shearwaters
switched daily foraging tactics, selecting areas with contrasting prey of varying
trophic levels. Overall, information use and plastic resource selection of
individuals with reduced short-term foraging site fidelity allow predators to
overcome prey field lability. Our study is an essential step towards a better
understanding of individual responses to enhanced environmental stochasticity
driven by global changes, and of pathways favouring population persistence.
PMID- 29659123
TI - Advanced oxidation protein products induce pre-osteoblast apoptosis through a
nicotinamide adenine dinucleotide phosphate oxidase-dependent, mitogen-activated
protein kinases-mediated intrinsic apoptosis pathway.
AB - Osteoblast apoptosis contributes to age-related bone loss. Advanced oxidation
protein products (AOPPs) are recognized as the markers of oxidative stress and
potent inducers of apoptosis. We have demonstrated that AOPP accumulation was
correlated with age-related bone loss. However, the effect of AOPPs on the
osteoblast apoptosis still remains unknown. Exposure of osteoblastic MC3T3-E1
cells to AOPPs caused the excessive generation of reactive oxygen species (ROS)
by activating nicotinamide adenine dinucleotide phosphate (NADPH) oxidases.
Increased ROS induced phosphorylation of mitogen-activated protein kinases
(MAPKs), which subsequently triggered intrinsic apoptosis pathway by inducing
mitochondrial dysfunction, endoplasmic reticulum stress, and Ca2+ overload and
eventually leads to apoptosis. Chronic AOPP loading in aged Sprague-Dawley rats
induced osteoblast apoptosis and activated NADPH oxidase signaling cascade, in
combination with accelerated bone loss and deteriorated bone microstructure. Our
study suggests that AOPPs induce osteoblast apoptosis by the NADPH oxidase
dependent, MAPK-mediated intrinsic apoptosis pathway.
PMID- 29659124
TI - Evaluation of the effect of self-cutting and nonself-cutting thread designed
implant with different thread depth on variable insertion torques: An
histomorphometric analysis in rabbits.
AB - PURPOSE: To evaluate of the effect of self-cutting and nonself-cutting thread
designed implant with different thread depth on variable insertion torques. To
evaluate the bone volume (BV) and bone to implant contact (BIC) in these
variables MATERIALS AND METHODS: Mainly two thread design, V-shaped thread which
is self-cutting and power thread design, which is nonself-cutting implants were
considered for this study with a variation in thread depth of 0.4 and 0.6 mm for
both the designs, respectively. A total of 32 CAD designed machined surface
implant prototypes were manufactured of 4 mm in diameter and 8 mm in length were
made, which were machined surfaced, which was placed in the femur of 16 New
Zealand white rabbits. These were categorized under 2 groups; Group 1 and Group 2
with insertion torques of <30 and >50 Ncm, respectively. After 4 weeks of
healing, rabbits were sacrificed and histomophometric and histologic analyses
were done to evaluate the bone response. RESULTS: Significantly, more BIC was
recorded for high torque implants compared with low torque in power-shaped thread
design (P value = .01*). BV for new bone formation was statistically significant
for V-shaped thread design in high torque when compared with low torque (P value
= .02*). CONCLUSION: The effect of the depth of the thread design was significant
for the power-shaped design in enhancing BIC when compared with V-shaped thread
design. With high torque V-shaped thread design had more new bone formation as
compared with power-shaped thread design.
PMID- 29659125
TI - Extremely preterm children exhibit increased interhemispheric connectivity for
language: findings from fMRI-constrained MEG analysis.
AB - Children born extremely preterm are at significant risk for cognitive impairment,
including language deficits. The relationship between preterm birth and
neurological changes that underlie cognitive deficits is poorly understood. We
use a stories-listening task in fMRI and MEG to characterize language network
representation and connectivity in children born extremely preterm (n = 15, <28
weeks gestation, ages 4-6 years), and in a group of typically developing control
participants (n = 15, term birth, 4-6 years). Participants completed a brief
neuropsychological assessment. Conventional fMRI analyses revealed no significant
differences in language network representation across groups (p > .05,
corrected). The whole-group fMRI activation map was parcellated to define the
language network as a set of discrete nodes, and the timecourse of neuronal
activity at each position was estimated using linearly constrained minimum
variance beamformer in MEG. Virtual timecourses were subjected to connectivity
and network-based analyses. We observed significantly increased beta-band
functional connectivity in extremely preterm compared to controls (p < .05).
Specifically, we observed an increase in connectivity between left and right
perisylvian cortex. Subsequent effective connectivity analyses revealed that
hyperconnectivity in preterms was due to significantly increased information flux
originating from the right hemisphere (p < 0.05). The total strength and density
of the language network were not related to language or nonverbal performance,
suggesting that the observed hyperconnectivity is a "pure" effect of prematurity.
Although our extremely preterm children exhibited typical language network
architecture, we observed significantly altered network dynamics, indicating
reliance on an alternative neural strategy for the language task.
PMID- 29659126
TI - Guanfacine decreases symptoms of cannabis withdrawal in daily cannabis smokers.
AB - The alpha2a-adrenergic agonist, lofexidine, reduced cannabis withdrawal-related
sleep disruption in the laboratory, but side effects (e.g. fatigue, hypotension)
limit its utility as a treatment for cannabis use disorder. This study tested the
potential efficacy and tolerability of a daily bedtime administration of the FDA
approved alpha2a-adrenergic agonist, guanfacine, in a human laboratory model of
cannabis use disorder. Daily, nontreatment-seeking cannabis smokers (13M, 2F)
completed a within-subject study comprising two 9-day inpatient study phases.
Each phase tested the effects of daily placebo or immediate-release guanfacine (2
mg) on cannabis intoxication (5.6 percent THC; 2 days), withdrawal (4 days of
abstinence) and subsequent 'relapse' (3 days of cannabis self-administration).
Ratings of mood, sleep, cardiovascular effects, food intake, psychomotor
performance and cannabis self-administration were assessed. An outpatient phase
preceded each inpatient phase for medication clearance or dose induction. Under
placebo medication conditions, cannabis abstinence produced significant
withdrawal, including irritability, sleep disruption and anorexia. Guanfacine
reduced ratings of irritability and improved objective measures of sleep during
cannabis withdrawal relative to placebo but did not reduce cannabis self
administration. Guanfacine was well tolerated with little evidence of fatigue and
only small decreases in blood pressure: no dose was held due to hypotension.
Thus, a single daily administration of guanfacine at bedtime improved sleep and
mood during cannabis withdrawal relative to placebo. This positive signal
supports further studies varying the guanfacine dose, formulation or frequency of
administration, or combining it with other medications to increase the likelihood
of having an impact on cannabis use.
PMID- 29659127
TI - Launching Environmental Microbiology: 'The most effective way to do it, is to do
it'.
PMID- 29659128
TI - Activation of DNA demethylases attenuates aging-associated arterial stiffening
and hypertension.
AB - DNA methylation increases with age. The objective of this study was to
investigate whether compound H, a potential activator of DNA demethylases,
attenuates aging-related arterial stiffness and hypertension. Aged mice (24-27
months) and adult mice (12 months) were used. Pulse wave velocity (PWV), a direct
measure of arterial stiffness, and blood pressure (BP) were increased
significantly in aged mice. Notably, daily treatments with compound H (15 mg/kg,
IP) for 2 weeks significantly attenuated the aging-related increases in PWV and
BP. Compound H abolished aging-associated downregulation of secreted Klotho (SKL)
levels in both kidneys and serum likely by enhancing DNA demethylase activity and
decreasing DNA methylation. Aging-related arterial stiffness was associated with
accumulation of stiffer collagen and degradation of compliant elastin which are
accompanied by increased expression of MMP2, MMP9, TGF-beta1, and TGF-beta3.
These changes were effectively attenuated by compound H, suggesting rejuvenation
of aged arteries. Compound H also rescued downregulation of Sirt1 deacetylase,
AMPKalpha, and eNOS activities in aortas of aged mice. In cultured smooth muscle
cells (SMCc) Klotho-deficient serum upregulated expression of MMPs and TGFbeta
which, however, was not affected by compound H. In conclusion, compound H
attenuates aging-associated arterial stiffness and hypertension by activation of
DNA demethylase which increases renal SKL expression and consequently circulating
SKL levels leading to activation of the Sirt1-AMPK-eNOS pathway in aortas of aged
mice.
PMID- 29659129
TI - Electrochemical Functional-Group-Tolerant Shono-type Oxidation of Cyclic
Carbamates Enabled by Aminoxyl Mediators.
AB - An electrochemical method has been developed for alpha-oxygenations of cyclic
carbamates by using a bicyclic aminoxyl as a mediator and water as the
nucleophile. The mediated electrochemical process enables substrate oxygenation
to proceed at a potential that is approximately 1 V lower than the redox
potential of the carbamate substrate. This feature allows for functional-group
compatibility that is inaccessible with conventional Shono oxidations, which
proceed by direct electrochemical substrate oxidation. This reaction also
represents the first alpha-functionalization of non-activated cyclic carbamates
with oxoammonium oxidants.
PMID- 29659130
TI - MicroRNA-21 drives the switch to a synthetic phenotype in human saphenous vein
smooth muscle cells.
AB - Cardiovascular disease is a leading cause of morbidity and mortality. Smooth
muscle cells (SMC) comprising the vascular wall can switch phenotypes from
contractile to synthetic, which can promote the development of aberrant
remodelling and intimal hyperplasia (IH). MicroRNA-21 (miR-21) is a short, non
coding RNA that has been implicated in cardiovascular diseases including
proliferative vascular disease and ischaemic heart disease. However, its
involvement in the complex development of atherosclerosis has yet to be
ascertained. Smooth muscle cells (SMC) were isolated from human saphenous veins
(SV). miR-21 was over-expressed and the impact of this on morphology,
proliferation, gene and protein expression related to synthetic SMC phenotypes
monitored. Over-expression of miR-21 increased the spread cell area and
proliferative capacity of SV-SMC and expression of MMP-1, whilst reducing RECK
protein, indicating a switch to the synthetic phenotype. Furthermore, platelet
derived growth factor BB (PDGF-BB; a growth factor implicated in
vasculoproliferative conditions) was able to induce miR-21 expression via the
PI3K and ERK signalling pathways. This study has revealed a mechanism whereby
PDGF-BB induces expression of miR-21 in SV-SMC, subsequently driving conversion
to a synthetic SMC phenotype, propagating the development of IH. Thus, these
signaling pathways may be attractive therapeutic targets to minimise progression
of the disease. (c) 2018 IUBMB Life, 70(7):649-657, 2018.
PMID- 29659131
TI - Quantitative susceptibility mapping across two clinical field strengths: Contrast
to-noise ratio enhancement at 1.5T.
AB - BACKGROUND: Quantitative susceptibility mapping (QSM) is an MRI postprocessing
technique that allows quantification of the spatial distribution of tissue
magnetic susceptibility in vivo. Contributing sources include iron, blood
products, calcium, myelin, and lipid content. PURPOSE: To evaluate the
reproducibility and consistency of QSM across clinical field strengths of 1.5T
and 3T and to optimize the contrast-to-noise ratio (CNR) at 1.5T through
bandwidth tuning. STUDY TYPE: Prospective. SUBJECTS: Sixteen healthy volunteers
(10 men, 6 women; age range 24-37; mean age 27.8 +/- 3.2 years). FIELD
STRENGTHS/SEQUENCES: 1.5T and 3T systems from the same vendor. Four spoiled
gradient echo (SPGR) sequences were designed with different acquisition
bandwidths. ASSESSMENT: QSM reconstruction was achieved through a nonlinear
morphology-enabled dipole inversion (MEDI) algorithm employing L1 regularization.
CNR was calculated in seven regions of interest (ROIs), while reproducibility and
consistency of QSM measurements were evaluated through voxel-based and region
specific linear correlation analyses and Bland-Altman plots. STATISTICAL TESTS:
Interclass correlation, Wilcoxon rank sum test, linear regression analysis, Bland
Altman analysis, Welch's t-test. RESULTS: CNR analysis showed a statistically
significant (P < 0.05) increase in four out of seven ROIs for the lowest
bandwidth employed with respect to the highest (25.18% increase in CNR of caudate
nucleus). All sequences reported an excellent correlation across field strength
and bandwidth variation (R >= 0.96, widest limits of agreement from -18.7 to 25.8
ppb) in the ROI-based analysis, while the correlation was found to be good for
the voxel-based analysis of averaged maps (R >= 0.90, widest limits of agreement
from -9.3 to 9.1 ppb). DATA CONCLUSION: CNR of QSM images reconstructed from 1.5T
acquisitions can be enhanced through bandwidth tuning. MEDI-based QSM
reconstruction demonstrated to be reproducible and consistent both across field
strengths (1.5T and 3T) and bandwidth variation. LEVEL OF EVIDENCE: 1 Technical
Efficacy: Stage 1 J. Magn. Reson. Imaging 2018;47:1410-1420.
PMID- 29659133
TI - An unusual case of coronary artery compression that did not preclude successful
transcatheter pulmonary valve placement.
AB - During transcatheter pulmonary valve placement, coronary compression observed
during simultaneous right ventricular outflow tract angioplasty and coronary
angiography typically contraindicates valve implantation. We present a unique
patient with tetralogy of Fallot who underwent successful transcatheter Melody
valve placement despite coronary compression observed during right ventricular
outflow tract balloon angioplasty.
PMID- 29659132
TI - Three dimensional extrusion printing induces polymer molecule alignment and cell
organization within engineered cartilage.
AB - Proper cell-material interactions are critical to remain cell function and thus
successful tissue regeneration. Many fabrication processes have been developed to
create microenvironments to control cell attachment and organization on a three
dimensional (3D) scaffold. However, these approaches often involve heavy
engineering and only the surface layer can be patterned. We found that 3D
extrusion based printing at high temperature and pressure will result an aligned
effect on the polymer molecules, and this molecular arrangement will further
induce the cell alignment and different differentiation capacities. In
particular, articular cartilage tissue is known to have zonal collagen fiber and
cell orientation to support different functions, where collagen fibers and
chondrocytes align parallel, randomly, and perpendicular, respectively, to the
surface of the joint. Therefore, cell alignment was evaluated in a cartilage
model in this study. We used small angle X-ray scattering analysis to
substantiate the polymer molecule alignment phenomenon. The cellular response was
evaluated both in vitro and in vivo. Seeded mesenchymal stem cells (MSCs) showed
different morphology and orientation on scaffolds, as a combined result of
polymer molecule alignment and printed scaffold patterns. Gene expression results
showed improved superficial zonal chondrogenic marker expression in parallel
aligned group. The cell alignment was successfully maintained in the animal model
after 7 days with distinct MSC morphology between the casted and parallel printed
scaffolds. This 3D printing induced polymer and cell alignment will have a
significant impact on developing scaffold with controlled cell-material
interactions for complex tissue engineering while avoiding complicated surface
treatment, and therefore provides new concept for effective tissue repairing in
future clinical applications. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res
Part A: 106A: 2190-2199, 2018.
PMID- 29659134
TI - Corrigendum.
PMID- 29659135
TI - Response to the query raised by Lydia Bernardo.
PMID- 29659136
TI - Response to Aggarwal and Das, Thiodigalactoside shows antitumour activity by beta
galactoside-binding protein and regulatory T cells inhibition in oral squamous
cell carcinoma.
PMID- 29659137
TI - Treatment of acrodermatitis continua of Hallopeau with ustekinumab as monotherapy
after failure of anti-TNF agents.
PMID- 29659139
TI - Hydroxyapatite with Permanent Electrical Polarization: Preparation,
Characterization, and Response against Inorganic Adsorbates.
AB - Permanently polarized hydroxyapatite (HAp) particles have been prepared by
applying a constant DC of 500 V at 1000 degrees C for 1 h to the sintered
mineral. This process causes important chemical changes, as the formation of OH-
defects (vacancies), the disappearance of hydrogenophosphate ions at the mineral
surface layer, and structural variations reflected by the increment of the
crystallinity. As a consequence, the electrochemical properties and electrical
conductivity of the polarized mineral increase noticeably compared with as
prepared and sintered samples. Moreover, these increments remain practically
unaltered after several months. In addition, permanent polarization favours
significantly the ability of HAp to adsorb inorganic bioadsorbates in comparison
with as-prepared and sintered samples. The adsorbates cause a significant
increment of the electrochemical stability and electrical conductivity with
respect to bare polarized HAp, which may have many implications for biomedical
applications of permanently polarized HAp.
PMID- 29659138
TI - Quantification of airway fibrosis in asthma by flow cytometry.
AB - Airway fibrosis is a prominent feature of asthma, contributing to the detrimental
consequences of the disease. Fibrosis in the airway is the result of collagen
deposition in the reticular lamina layer of the subepithelial tissue.
Myofibroblasts are the leading cell type involved with this collagen deposition.
Established methods of collagen deposition quantification present various issues,
most importantly their inability to quantify current collagen biosynthesis
occurring in airway myofibroblasts. Here, a novel method to quantify
myofibroblast collagen expression in asthmatic lungs is described. Single cell
suspensions of lungs harvested from C57BL/6 mice in a standard house dust mite
model of asthma were employed to establish a flow cytometric method and compare
collagen production in asthmatic and non-asthmatic lungs. Cells found to be CD45-
alphaSMA+ , indicative of myofibroblasts, were gated, and median fluorescence
intensity of the anti-collagen-I antibody labeling the cells was calculated. Lung
myofibroblasts with no, medium, or high levels of collagen-I expression were
distinguished. In asthmatic animals, collagen-I levels were increased in both
medium and high expressers, and the number of myofibroblasts with high collagen-I
content was elevated. Our findings determined that quantification of collagen-I
deposition in myofibroblastic lung cells by flow cytometry is feasible in mouse
models of asthma and indicative of increased collagen-I expression by asthmatic
myofibroblasts. (c) 2018 International Society for Advancement of Cytometry.
PMID- 29659140
TI - Enantioselective Formation of 2-Azetidinones by Ring-Assisted Cyclization of
Interlocked N-(alpha-Methyl)benzyl Fumaramides.
AB - The synthesis of optically active interlocked and non-interlocked 2-azetidinones
by intramolecular cyclization of N-(alpha-methyl)benzyl fumaramide [2]rotaxanes
is described. Two different strategies of asymmetric induction were tested in
which the chiral group was located either proximal or distal to the reacting
center of the thread. During these experiments, an interesting equilibration
process inside the macrocyclic void occurred, thus leading to the cyclization
through the (alpha-methyl)benzyl carbon atom and giving rise to beta-lactams,
with a quaternary carbon atom, in an enantio- and diastereocontrolled manner.
This cyclization also proceeds in kinetically stable chiral pseudo[2]rotaxanes,
thus allowing further dethreading to provide enantioenriched 3,4-disubstituted
trans-2-azetidinones. The stereochemical outcomes of the cyclizations inside and
outside the macrocycle demonstrated noticeable differences.
PMID- 29659141
TI - Risk and protective factors underlying depression and suicidal ideation in Autism
Spectrum Disorder.
AB - BACKGROUND: People with Autism Spectrum Disorder (ASD) are at significantly
increased risk of suicidal thoughts and behavior. Given that social difficulties
in ASD often lead to social isolation, which can in turn increase the risk for
depression, this study examined loneliness and social support as potential risk
and protective factors associated with depression and suicidal ideation. METHOD:
The sample comprised 185 people (92 females) with ASD aged 14 to 80 years who
were participating in a national survey. RESULTS: Forty-nine percent of
participants returned scores in the clinical range for depression and 36%
reported recent suicidal ideation. Females, comprising almost 50% of the sample,
returned higher depression scores than males, however no differences were
identified between males and females in terms of suicidal ideation. Regression
analyses revealed that loneliness, satisfaction with social support, and ASD
traits predicted depression scores. Satisfaction with social support predicted
suicidal ideation, however, it was no longer a significant predictor after the
effects of depression were taken into account. Path analysis showed that ASD
trait severity was independently related to depression, that the effect of number
of social supports on depression was mediated by loneliness and satisfaction with
social support, and that effects of loneliness and satisfaction with social
support on suicidal ideation were mediated by depression. The pattern of
relationships was nearly identical for males and females. CONCLUSIONS: This study
supports a model whereby loneliness and social support operate respectively as
protective and risk factors for depression and suicidal ideation in ASD.
PMID- 29659142
TI - An uneasy alliance: a nesting association between aggressive ants and equally
fierce social wasps.
AB - Although the Neotropical territorially dominant arboreal ant Azteca chartifex
Forel is very aggressive towards any intruder, its populous colonies tolerate the
close presence of the fierce polistine wasp Polybia rejecta (F.). In French
Guiana, 83.33% of the 48 P. rejecta nests recorded were found side by side with
those of A. chartifex. This nesting association results in mutual protection from
predators (i.e., the wasps protected from army ants; the ants protected from
birds). We conducted field studies, laboratory-based behavioral experiments and
chemical analyses to elucidate the mechanisms allowing the persistence of this
association. Due to differences in the cuticular profiles of the two species, we
eliminated the possibility of chemical mimicry. Also, analyses of the carton
nests did not reveal traces of marking on the envelopes. Because ant forager
flows were not perturbed by extracts from the wasps' Dufour's and venom glands,
we rejected any hypothetical action of repulsive chemicals. Nevertheless, we
noted that the wasps "scraped" the surface of the upper part of their nest
envelope using their mandibles, likely removing the ants' scent trails, and an
experiment showed that ant foragers were perturbed by the removal of their scent
trails. This leads us to use the term "erasure hypothesis." Thus, this nesting
association persists thanks to a relative tolerance by the ants towards wasp
presence and the behavior of the wasps that allows them to "contain" their
associated ants through the elimination of their scent trails, direct attacks,
"wing-buzzing" behavior and ejecting the ants.
PMID- 29659143
TI - RASopathies are associated with a distinct personality profile.
AB - Personality is a complex, yet partially heritable, trait. Although some Mendelian
diseases like Williams-Beuren syndrome are associated with a particular
personality profile, studies have failed to assign the personality features to a
single gene or pathway. As a family of monogenic disorders caused by mutations in
the Ras/MAPK pathway known to influence social behavior, RASopathies are likely
to provide insight into the genetic basis of personality. Eighty subjects
diagnosed with cardiofaciocutaneous syndrome, Costello syndrome,
neurofibromatosis type 1, and Noonan syndrome were assessed using a parent-report
BFQ-C (Big Five Questionnaire for Children) evaluating agreeableness,
extraversion, conscientiousness, intellect/openness, and neuroticism, along with
55 unaffected sibling controls. A short questionnaire was added to assess sense
of humor. RASopathy subjects and sibling controls were compared for individual
components of personality, multidimensional personality profiles, and individual
questions using Student tests, analysis of variance, and principal component
analysis. RASopathy subjects were given lower scores on average compared to
sibling controls in agreeableness, extraversion, conscientiousness, openness, and
sense of humor, and similar scores in neuroticism. When comparing the
multidimensional personality profile between groups, RASopathies showed a
distinct profile from unaffected siblings, but no difference in this global
profile was found within RASopathies, revealing a common profile for the Ras/MAPK
related disorders. In addition, several syndrome-specific strengths or weaknesses
were observed in individual domains. We describe for the first time an
association between a single pathway and a specific personality profile,
providing a better understanding of the genetics underlying personality, and new
tools for tailoring educational and behavioral approaches for individuals with
RASopathies.
PMID- 29659144
TI - The more-individuals hypothesis revisited: the role of community abundance in
species richness regulation and the productivity-diversity relationship.
AB - Species richness increases with energy availability, yet there is little
consensus as to the exact processes driving this species-energy relationship. The
most straightforward explanation is the more-individuals hypothesis (MIH). It
states that higher energy availability promotes a higher total number of
individuals in a community, which consequently increases species richness by
allowing for a greater number of species with viable populations. Empirical
support for the MIH is mixed, partially due to the lack of proper formalisation
of the MIH and consequent confusion as to its exact predictions. Here, we review
the evidence of the MIH and evaluate the reliability of various predictions that
have been tested. There is only limited evidence that spatial variation in
species richness is driven by variation in the total number of individuals. There
are also problems with measures of energy availability, with scale-dependence,
and with the direction of causality, as the total number of individuals may
sometimes itself be driven by the number of species. However, even in such a case
the total number of individuals may be involved in diversity regulation. We
propose a formal theory that encompasses these processes, clarifying how the
different factors affecting diversity dynamics can be disentangled.
PMID- 29659145
TI - Ocular trauma in the Finnish elderly - Helsinki Ocular Trauma Study.
AB - PURPOSE: To describe epidemiology, causes, treatments and outcomes of all ocular
injuries in southern Finland among people aged 61 and older. METHODS: All new
ocular trauma patients, admitted to the Helsinki University Eye Hospital, during
1 year in 2011-2012. The data were from hospital records and prospectively from
patient questionnaires. The follow-up time was 3 months. RESULTS: The incidence
for ocular injuries among the elderly was 38/100 000/year. From 118 patients 69%
were men. The mean age was 70.9 years old (median 67). The hospitalization rate
was 14%. Injury types were minor traumas (48%), contusions (22%), chemical
injuries (10%), eyelid wounds (8%), open globe injuries (OGI; 7%) and orbital
fractures (5%). The injuries occurred at home (58%), institutions (12%) and in
other public places (12%). The main causes of ocular injury were falls (22%),
sticks (19%), superficial foreign bodies (18%) and chemicals (12%). All OGI and
88% of contusions needed a lifelong follow-up. A permanent visual or functional
impairment occurred in 15 (13%) patients. Of these 53% were OGI, 40% contusions
and 7% chemical injuries. The causes of permanent injuries were falls (seven
cases, 47%), work tools, sports equipment, sticks, chemicals and eyeglasses. The
incidence for legal blindness was 2.3/100 000. CONCLUSION: Minor trauma was the
most frequent type, and home was the location of the most occurred eye injuries.
Falls were the most frequent and serious cause, but behavioural causes were not
significant. Preventive measures should be directed towards the main identified
causes and risk factors of the eye injuries in the elderly.
PMID- 29659146
TI - Curcumin accelerates cutaneous wound healing via multiple biological actions: The
involvement of TNF-alpha, MMP-9, alpha-SMA, and collagen.
AB - Curcumin, a constituent of the turmeric plant, has antitumor, anti-inflammatory,
and antioxidative effects, but its effects on wound healing are unclear. We
created back wounds in 72 mice and treated them with or without topical curcumin
(0.2 mg/mL) in Pluronic F127 gel (20%) daily for 3, 5, 7, 9, and 12 days. Healing
in wounds was evaluated from gross appearance, microscopically by haematoxylin
and eosin staining, by immunohistochemistry for tumour necrosis factor alpha and
alpha smooth muscle actin, and by polymerase chain reaction amplification of mRNA
expression levels. Treatment caused fast wound closure with well-formed
granulation tissue dominated by collagen deposition and regenerating epithelium.
Curcumin increased the levels of tumour necrosis factor alpha mRNA and protein in
the early phase of healing, which then decreased significantly. However, these
levels remained high in controls. Levels of collagen were significantly higher in
curcumin-treated wounds. Immunohistochemical staining for alpha smooth muscle
actin was increased in curcumin-treated mice on days 7 and 12. Curcumin treatment
significantly suppressed matrix metallopeptidase-9 and stimulated alpha smooth
muscle levels in tumour necrosis factor alpha-treated fibroblasts via nuclear
factor kappa B signalling. Thus, topical curcumin accelerated wound healing in
mice by regulating the levels of various cytokines.
PMID- 29659147
TI - Cross-Plane Carrier Transport in Van der Waals Layered Materials.
AB - The mechanisms of carrier transport in the cross-plane crystal orientation of
transition metal dichalcogenides are examined. The study of in-plane electronic
properties of these van der Waals compounds has been the main research focus in
recent years. However, the distinctive physical anisotropies, short-channel
physics, and tunability of cross layer interactions can make the study of their
electronic properties along the out-of-plane crystal orientation valuable. Here,
the out-of-plane carrier transport mechanisms in niobium diselenide and hafnium
disulfide are explored as two broadly different representative materials.
Temperature-dependent current-voltage measurements are preformed to examine the
mechanisms involved. First principles simulations and a tunneling model are used
to understand these results and quantify the barrier height and hopping distance
properties. Using Raman spectroscopy, the thermal response of the chemical bonds
is directly explored and the insight into the van der Waals gap properties is
acquired. These results indicate that the distinct cross-plane carrier transport
characteristics of the two materials are a result of material thermal properties
and thermally mediated transport of carriers through the van der Waals gaps.
Exploring the cross-plane electron transport, the exciting physics involved is
unraveled and potential new avenues for the electronic applications of van der
Waals layers are inspired.
PMID- 29659148
TI - Hydrogen sulphide increases pulmonary veins and atrial arrhythmogenesis with
activation of protein kinase C.
AB - Hydrogen sulphide (H2 S), one of the most common toxic air pollutants, is an
important aetiology of atrial fibrillation (AF). Pulmonary veins (PVs) and left
atrium (LA) are the most important AF trigger and substrate. We investigated
whether H2 S may modulate the arrhythmogenesis of PVs and atria. Conventional
microelectrodes and whole-cell patch clamp were performed in rabbit PV,
sinoatrial node (SAN) or atrial cardiomyocytes before and after the perfusion of
NaHS with or without chelerythrine (a selective PKC inhibitor), rottlerin (a
specific PKC delta inhibitor) or KB-R7943 (a NCX inhibitor). NaHS reduced
spontaneous beating rates, but increased the occurrences of delayed
afterdepolarizations and burst firing in PVs and SANs. NaHS (100 MUmol/L)
increased IKATP and INCX in PV and LA cardiomyocytes, which were attenuated by
chelerythrine (3 MUmol/L). Chelerythrine, rottlerin (10 MUmol/L) or KB-R7943 (10
MUmol/L) attenuated the arrhythmogenic effects of NaHS on PVs or SANs. NaHS
shortened the action potential duration in LA, but not in right atrium or in the
presence of chelerythrine. NaHS increased PKC activity, but did not translocate
PKC isoforms alpha, epsilon to membrane in LA. In conclusion, through protein
kinase C signalling, H2 S increases PV and atrial arrhythmogenesis, which may
contribute to air pollution-induced AF.
PMID- 29659150
TI - Chronic obstructive pulmonary disease in patients with chronic thromboembolic
pulmonary hypertension: Prevalence and implications for surgical treatment
outcome.
AB - OBJECTIVE: The aim of our study was to investigate the prevalence of chronic
obstructive pulmonary disease (COPD) in patients with chronic thromboembolic
pulmonary hypertension (CTEPH) and examine their impact on the results of
pulmonary thrombendarterectomy (PEA). METHODS: We enrolled 136 patients with
CTEPH who scheduled for elective PEA. Pulmonary function tests (PFTs) including
full-body plethysmography with bronchodilation test and lung diffusion capacity
assessment were performed in all patients prior to surgery treatment. The
diagnosis of COPD was verified in accordance with the recommendations of the
Global Initiative for Chronic Obstructive Lung Disease 2017. The effect of COPD
on perioperative characteristics, complications, in-hospital and one-year
mortality of patients with CTEPH were analysed. RESULTS: In the study group with
CTEPH the prevalence of COPD was 23%. In 13% of patients, COPD was first
detected. The results of PFTs showed more severe airflow limitations with
obstructive pattern in patients with concomitant COPD, as well as a more
pronounced decrease in the lung diffusion capacity. The presence of COPD in
patients with CTEPH significantly increases the risk of residual pulmonary
hypertension in the early postoperative period of PEA (OR = 6.2 (1.90-10.27), P =
.002), duration of hospital stay (OR = 1.1 (1.01-1.20), P = .020) and the risk of
in-hospital mortality (OR = 4.4 (1.21-16.19), P = .023). The lung diffusion
capacity revealed significant negative associations with the duration of hospital
stay and in-hospital mortality (OR 0.87 (0.74-0.98), P = .012). CONCLUSION: COPD
in patients with CTEPH significantly increases the risk of residual pulmonary
hypertension, in-hospital mortality and increases the duration of hospital stay
after PEA.
PMID- 29659149
TI - Exploring the effect of previous inactivated influenza vaccination on seasonal
influenza vaccine effectiveness against medically attended influenza: Results of
the European I-MOVE multicentre test-negative case-control study, 2011/2012
2016/2017.
AB - BACKGROUND: Results of previous influenza vaccination effects on current season
influenza vaccine effectiveness (VE) are inconsistent. OBJECTIVES: To explore
previous influenza vaccination effects on current season VE among population
targeted for vaccination. METHODS: We used 2011/2012 to 2016/2017 I-MOVE primary
care multicentre test-negative data. For each season, we compared current season
adjusted VE (aVE) between individuals vaccinated and unvaccinated in previous
season. Using unvaccinated in both seasons as a reference, we then compared aVE
between vaccinated in both seasons, current only, and previous only. RESULTS: We
included 941, 2645 and 959 influenza-like illness patients positive for influenza
A(H1N1)pdm09, A(H3N2) and B, respectively, and 5532 controls. In 2011/2012,
2014/2015 and 2016/2017, A(H3N2) aVE point estimates among those vaccinated in
previous season were -68%, -21% and -19%, respectively; among unvaccinated in
previous season, these were 33%, 48% and 46%, respectively (aVE not computable
for influenza A(H1N1)pdm09 and B). Compared to current season vaccination only,
VE for both seasons' vaccination was (i) similar in two of four seasons for
A(H3N2) (absolute difference [ad] 6% and 8%); (ii) lower in three of four seasons
for influenza A(H1N1)pdm09 (ad 18%, 26% and 29%), in two seasons for influenza
A(H3N2) (ad 27% and 39%) and in two of three seasons for influenza B (ad 26% and
37%); (iii) higher in one season for influenza A(H1N1)pdm09 (ad 20%) and
influenza B (ad 24%). CONCLUSIONS: We did not identify any pattern of previous
influenza vaccination effect. Prospective cohort studies documenting influenza
infections, vaccinations and vaccine types are needed to understand previous
influenza vaccinations' effects.
PMID- 29659151
TI - Story-Making as Methodology: Disrupting Dominant Stories through Multimedia
Storytelling.
AB - In this essay, we discuss multimedia story-making methodologies developed through
Re*Vision: The Centre for Art and Social Justice that investigates the power of
the arts, especially story, to positively influence decision makers in diverse
sectors. Our story-making methodology brings together majority and minoritized
creators to represent previously unattended experiences (e.g., around mind-body
differences, queer sexuality, urban Indigenous identity, and Inuit cultural
voice) with an aim to building understanding and shifting policies/practices that
create barriers to social inclusion and justice. We analyze our ongoing efforts
to rework our storytelling methodology, spotlighting acts of revising carried out
by facilitators and researchers as they/we redefine methodological terms for each
storytelling context, by researcher-storytellers as they/we rework material from
our lives, and by receivers of the stories as we revise our assumptions about
particular embodied histories and how they are defined within dominant cultural
narratives and institutional structures. This methodology, we argue, contributes
to the existing qualitative lexicon by providing innovative new approaches not
only for chronicling marginalized/misrepresented experiences and critically
researching selves, but also for scaffolding intersectional alliances and for
imagining more just futures.
PMID- 29659152
TI - Cranial Pair I: The Olfactory Nerve.
AB - The olfactory nerve constitutes the first cranial pair. Compared with other
cranial nerves, it depicts some atypical features. First, the olfactory nerve
does not form a unique bundle. The olfactory axons join other axons and form
several small bundles or fascicles: the fila olfactoria. These fascicles leave
the nasal cavity, pass through the lamina cribrosa of the ethmoid bone and enter
the brain. The whole of these fascicles is what is known as the olfactory nerve.
Second, the olfactory sensory neurons, whose axons integrate the olfactory nerve,
connect the nasal cavity and the brain without any relay. Third, the olfactory
nerve is composed by unmyelinated axons. Fourth, the olfactory nerve contains
neither Schwann cells nor oligodendrocytes wrapping its axons. But it contains
olfactory ensheathing glia, which is a type of glia unique to this nerve. Fifth,
the olfactory axons participate in the circuitry of certain spherical structures
of neuropil that are unique in the brain: the olfactory glomeruli. Sixth, the
axons of the olfactory nerve are continuously replaced and their connections in
the central nervous system are remodeled continuously. Therefore, the olfactory
nerve is subject to lifelong plasticity. Finally seventh, the olfactory nerve can
be a gateway for the direct entrance of viruses, neurotoxins and other
xenobiotics to the brain. In the same way, it can be used as a portal of entry to
the brain for therapeutic substances, bypassing the blood-brain barrier. In this
article, we analyze some features of the anatomy and physiology of the first
cranial pair. Anat Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659153
TI - Neural crest and the patterning of vertebrate craniofacial muscles.
AB - Patterning of craniofacial muscles overtly begins with the activation of lineage
specific markers at precise, evolutionarily conserved locations within
prechordal, lateral, and both unsegmented and somitic paraxial mesoderm
populations. Although these initial programming events occur without influence of
neural crest cells, the subsequent movements and differentiation stages of most
head muscles are neural crest-dependent. Incorporating both descriptive and
experimental studies, this review examines each stage of myogenesis up through
the formation of attachments to their skeletal partners. We present the
similarities among developing muscle groups, including comparisons with trunk
myogenesis, but emphasize the morphogenetic processes that are unique to each
group and sometimes subsets of muscles within a group. These groups include
branchial (pharyngeal) arches, which encompass both those with clear homologues
in all vertebrate classes and those unique to one, for example, mammalian facial
muscles, and also extraocular, laryngeal, tongue, and neck muscles. The presence
of several distinct processes underlying neural crest:myoblast/myocyte
interactions and behaviors is not surprising, given the wide range of both
quantitative and qualitative variations in craniofacial muscle organization
achieved during vertebrate evolution.
PMID- 29659154
TI - Comparison of local versus general anesthesia in patients undergoing
transcatheter aortic valve replacement: An updated meta-analysis.
PMID- 29659155
TI - Breaking with tradition: A scoping meta-analysis analyzing the effects of student
centered learning and computer-aided instruction on student performance in
anatomy.
AB - While prior meta-analyses in anatomy education have explored the effects of
laboratory pedagogies and histology media on learner performance, the effects of
student-centered learning (SCL) and computer-aided instruction (CAI) have not
been broadly evaluated. This research sought to answer the question, "How
effective are student-centered pedagogies and CAI at increasing student knowledge
gains in anatomy compared to traditional didactic approaches?" Relevant studies
published within the past 51 years were searched using five databases.
Predetermined eligibility criteria were applied to the screening of titles and
abstracts to discern their appropriateness for study inclusion. A summary effect
size was estimated to determine the effects of SCL and CAI on anatomy performance
outcomes. A moderator analysis of study features was also performed. Of the 3,035
records screened, 327 underwent full-text review. Seven studies, which comprised
1,564 participants, were included in the SCL analysis. An additional 19 studies
analyzed the effects of CAI in the context of 2,570 participants. Upon comparing
SCL to traditional instruction, a small positive effect on learner performance
was detected (standardized mean difference (SMD = 0.24; [CI = 0.07, 0.42]; P =
0.006). Likewise, students with CAI exposure moderately outscored those with
limited or no access to CAI (SMD = 0.59; [CI = 0.20, 0.98]; P = 0.003). Further
analysis of CAI studies identified effects (P <= 0.001) for learner population,
publication period, interventional approach, and intervention frequency. Overall,
learners exposed to SCL and supplemental CAI outperformed their more classically
trained peers as evidenced by increases in short-term knowledge gains. Anat Sci
Educ. (c) 2018 American Association of Anatomists.
PMID- 29659156
TI - Mosaic patterns of B-vitamin synthesis and utilization in a natural marine
microbial community.
AB - Aquatic environments contain large communities of microorganisms whose
synergistic interactions mediate the cycling of major and trace nutrients,
including vitamins. B-vitamins are essential coenzymes that many organisms cannot
synthesize. Thus, their exchange among de novo synthesizers and auxotrophs is
expected to play an important role in the microbial consortia and explain some of
the temporal and spatial changes observed in diversity. In this study, we
analyzed metatranscriptomes of a natural marine microbial community, diel sampled
quarterly over one year to try to identify the potential major B-vitamin
synthesizers and consumers. Transcriptomic data showed that the best-represented
taxa dominated the expression of synthesis genes for some B-vitamins but lacked
transcripts for others. For instance, Rhodobacterales dominated the expression of
vitamin-B12 synthesis, but not of vitamin-B7 , whose synthesis transcripts were
mainly represented by Flavobacteria. In contrast, bacterial groups that
constituted less than 4% of the community (e.g., Verrucomicrobia) accounted for
most of the vitamin-B1 synthesis transcripts. Furthermore, ambient vitamin-B1
concentrations were higher in samples collected during the day, and were
positively correlated with chlorophyll-a concentrations. Our analysis supports
the hypothesis that the mosaic of metabolic interdependencies through B-vitamin
synthesis and exchange are key processes that contribute to shaping microbial
communities in nature.
PMID- 29659157
TI - Francis Fontan 1929-2018.
PMID- 29659158
TI - Standard abbreviations.
PMID- 29659159
TI - Spinal Accessory Motor Neurons in the Mouse: A Special Type of Branchial Motor
Neuron?
AB - The spinal accessory nerve arises from motor neurons in the upper cervical spinal
cord. The axons of these motor neurons exit dorsal to the ligamentum denticulatum
and form the spinal accessory nerve. The nerve ascends in the spinal subarachnoid
space to enter the posterior cranial fossa through the foramen magnum. The spinal
accessory nerve then turns caudally to exit through the jugular foramen alongside
the vagus and glossopharyngeal nerves, and then travels to supply the
sternomastoid and trapezius muscles in the neck. The unusual course of the spinal
accessory nerve has long prompted speculation that it is not a typical spinal
motor nerve and that it might represent a caudal remnant of the branchial motor
system. Our cell lineage tracing data, combined with images from public
databases, show that the spinal accessory motor neurons in the mouse transiently
express Phox2b, a transcription factor that is required for development of brain
stem branchial motor nuclei. While this is strong prima facie evidence that the
spinal accessory motor neurons should be classified as branchial motor, the
evolutionary history of these motor neurons in anamniote vertebrates suggests
that they may be considered to be an atypical branchial group that possesses both
branchial and somatic characteristics. Anat Rec, 2018. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29659160
TI - The Accessory Nerve: A Comprehensive Review of Its Anatomy, Development,
Variations, Landmarks and Clinical Considerations.
AB - INTRODUCTION: The eleventh cranial nerve, the accessory nerve, has a complex and
unique anatomy and has been the subject of much debate. Herein, we review the
morphology, embryology, surgical anatomy, and clinical manifestations of the
accessory nerve. Included in this review, we mention variant anatomy, molecular
development, histology, and imaging of the accessory nerve. CONCLUSIONS: The
accessory nerve continues to be a topic of much discussion regarding its exact
function and in particular to its cranial roots. Recently, various surgical
procedures have been devised that repurpose the accessory nerve (e.g.,
lengthening procedures, contralateral neurotization procedures). Currently, we
continue to learn and have much to learn about this lower cranial nerve. Anat
Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659161
TI - Neurovascular Relations of the Trigeminal Nerve in Asymptomatic Individuals
Studied with High-Resolution Three-Dimensional Magnetic Resonance Imaging.
AB - Neurovascular compression (NVC) is considered the main cause of classic
trigeminal neuralgia (TN) and may be surgically addressed with microvascular
decompression (MVD). Preoperative high-resolution three-dimensional magnetic
resonance has proven a reliable tool to diagnose NVC in patients with refractory
TN undergoing MVD. However, there is still scarce data regarding the rate,
degree, and characteristics of neurovascular compression in asymptomatic
individuals. This article describes the vascular relations of the trigeminal
nerve in the cerebellopontine angle (CPA) in 100 subjects without known TN
studied with 3.0T FIESTA (Fast Imaging Employing Steady-state Acquisition) MRI
sequence. A NVC was observed in 142 (71%) of the 200 nerves with a 75% rate of
bilateral NVC. Of the nerves with NVC, 92.3% showed a mere contact (Grade 1)
without distortion and 78% occurred at the cisternal segment. This most common
vessel causing the NVC was a vein (66%) followed by the superior cerebellar
artery (28%). No significant reduction in diameter suggesting atrophy was seen in
the nerves with NVC. The results indicate a high rate of mild, distal and
predominantly venous vascular contact with the trigeminal nerve at the CPA in
asymptomatic individuals. This clearly contrasts with the usual pattern of NVC
observed in TN that is generally a severe, proximal, and arterial compression.
Knowledge about the frequent NVC in asymptomatic individuals and its features is
essential for interpreting preoperative MRI in patients with refractory classical
TN considered for surgery. Anat Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659162
TI - Clinical utility of complement-dependent C3d assay in kidney recipients
presenting with late allograft dysfunction.
AB - The objective of this study was to evaluate the utility of a complement-dependent
C3d assay to risk stratify donor-specific antibodies (DSA) in a multicenter
cohort of kidney recipients presenting with new-onset clinical dysfunction. A
total of 106 subjects with evidence of DSA at a mean period of 5.3 +/- 5.0 years
posttransplant underwent testing using C3d reagents. C3d positivity was strongly
associated with both the peak and sum IgG DSA MFI, with 98.3% (n = 57/58) of
strongly reactive sera (peak MFI > 10 000) eliciting a positive signal. Patients
with C3d+ DSA had a higher creatinine (P = .03), more significant graft fibrosis
(P = .035), and a faster rate of graft loss posttest compared to those with C3d-
DSA (P = .05). Subanalysis of patients with low-moderate level DSA confirmed the
inferior outcome associated with C3d positivity. Despite the prognostic value of
C3d as a stand-alone test, the assay did not provide independent risk prediction
after incorporation of graft fibrosis in a multivariate model (P = .94). Overall,
C3d offered limited discriminatory value for strong DSA with peak IgG MFI > 10
000 and in patients where histologic data is available, but its utilization may
be considered in those with low-moderate level DSA and where an allograft biopsy
is not accessible.
PMID- 29659163
TI - Synthesis, Characterization, and Initial Biological Evaluation of [99m Tc]Tc
Tricarbonyl-labeled DPA-alpha-MSH Peptide Derivatives for Potential Melanoma
Imaging.
AB - alpha-Melanocyte stimulating hormone (alpha-MSH) derivatives target the
melanocortin-1 receptor (MC1R) specifically and selectively. In this study, the
alpha-MSH-derived peptide NAP-NS1 (Nle-Asp-His-d-Phe-Arg-Trp-Gly-NH2 ) with and
without linkers was conjugated with 5-(bis(pyridin-2-ylmethyl)amino)pentanoic
acid (DPA-COOH) and labeled with [99m Tc]Tc-tricarbonyl by two methods. With the
one-pot method the labeling was faster than with the two-pot method, while
obtaining similarly high yields. Negligible trans-chelation and high stability in
physiological solutions was determined for the [99m Tc]Tc-tricarbonyl-peptide
conjugates. Coupling an ethylene glycol (EG)-based linker increased the
hydrophilicity. The peptide derivatives displayed high binding affinity in murine
B16F10 melanoma cells as well as in human MeWo and TXM13 melanoma cell
homogenates. Preliminary in vivo studies with one of the [99m Tc]Tc-tricarbonyl
peptide conjugates showed good stability in blood and both renal and
hepatobiliary excretion. Biodistribution was performed on healthy rats to gain
initial insight into the potential relevance of the 99m Tc-labeled peptides for
in vivo imaging.
PMID- 29659164
TI - Development and Functional Organization of the Cranial Nerves in Lampreys.
AB - Lampreys, together with hagfishes, are the only extant representatives of the
oldest branch of vertebrates, the agnathans, which are the sister group of
gnathostomes; therefore, studies on these animals are of great evolutionary
significance. Lampreys exhibit a particular life cycle with remarkable changes in
their behavior, concomitant, in part, with important modifications in the head
and its musculature, which might influence the development of the cranial nerves.
In this context, some cranial nerves such as the optic nerve and the ocular motor
nerves, which develop slowly during an extremely long larval period lasting more
than five years, have been more thoroughly investigated; however, much less
experimental information is available about others, such as the facial or the
hypoglossal nerves. In addition, the possible existence of a "true" accessory
nerve in these animals is still a matter of conjecture. Although growing in last
decades, investigations on the physiology of the lamprey cranial nerves is
scanty. This review focuses on past and recent findings that have contributed to
characterize the anatomical organization of the cranial nerves in lampreys,
including their components and nuclei, and their relations in the brain; in
addition, comments on their development and functional role are also included.
Anat Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659165
TI - Improving academic performance of sport and exercise science undergraduate
students in gross anatomy using a near-peer teaching program.
AB - Peer and near-peer teaching programs are common in medical undergraduate courses.
However, there are no studies that have investigated the effectiveness of a near
peer teaching program on the academic performance of undergraduate students
pursuing sport and exercise science coursework. This study was conducted to
analyze the effectiveness of such a program for students who participated in a
course on the functional anatomy of the locomotor apparatus. A total of 39
student participants were divided into two groups: students in one group
voluntarily attended at least one session of a near-peer teaching program, and
students in the other group attended no sessions. The final grade (range 0-100%)
was recorded and used as an indicator of academic performance. The final grade of
students who attended the near-peer teaching program (69.5 +/- 16.0%) was 38.7%
higher (P = 0.002, d = 1.06) than those who did not (50.1 +/- 20.4%). When the
academic performance of the same students was evaluated in another course
(exercise physiology) that did not offer a near-peer teaching program, there were
no significant differences between the groups (students who attended or did not
attend the near-peer teaching program). A significant positive association was
found between near-peer teaching program frequency and the number of students
approved and not approved in the course (P = 0.041). A significant difference (P
= 0.001) was found in the attendance at regular classes between the group who
participated in the near-peer teaching program (median: 62 hours; IQR
[interquartile ranges]: 4.0 hours) and those who did not (median: 58 hours; IQR:
4.0 hours). Gender was not a moderating factor on academic performance or near
peer teaching program attendance. These results highlight the effectiveness of a
near-peer teaching program on the academic performance of students from a sport
and exercise science degree program while enrolled in an anatomy course. Anat Sci
Educ. (c) 2018 American Association of Anatomists.
PMID- 29659166
TI - Strategies to improve tumor penetration of nanomedicines through nanoparticle
design.
AB - Nanoparticles (NPs) have emerged as an effective means to deliver therapeutic
drugs for cancer treatment, as they can preferentially accumulate at tumor site
through the enhanced permeability and retention effect. Various forms of NPs
including liposomes, polymeric micelles, and inorganic particles have been used
for therapeutic applications. However, the therapeutic benefits of nanomedicines
are suboptimal. Although many possible reasons may account for the compromised
therapeutic efficacy, the inefficient tumor penetration can be a vital obstacle.
Tumor develops characteristic pathological environment, such as abnormal
vasculature, elevated interstitial fluid pressure, and dense extracellular
matrix, which intrinsically hinder the transport of nanomedicines in the tumor
parenchyma. The physicochemical properties of the NPs such as size, shape, and
surface charge have profound effect on tumor penetration. In this review, we will
highlight the factors that affect the transport of NPs in solid tumor, and then
elaborate on designing strategies to improve NPs' penetration and uniform
distribution inside the tumor interstitium. This article is categorized under:
Therapeutic Approaches and Drug Discovery > Nanomedicine for Oncologic Disease.
PMID- 29659167
TI - The bidirectional association among female hormone-related cancers: breast,
ovary, and uterine corpus.
AB - Breast, ovarian, and uterine corpus cancers are common female cancers and
categorized as hormone-related diseases. Previous studies reported a
unidirectional relationship for each cancer, but few studied the reciprocal
association in the same cohort. A population-based study was carried out in
Taiwan to test the hypothesis that there are pairwise bidirectional associations
among these cancers. Using the same cohort of 110,112 cases with primary female
cancers including uterine corpus cancer (11,146 cases), ovarian cancer (12,139
cases), or breast cancer (86,827 cases) from the Taiwan Cancer Registry from 1979
to 2008, the pairwise risks of second cancer among uterine corpus, ovary, and
breast cancer cases were evaluated by standardized incidence ratios (SIRs) and
the corresponding 95% confidence intervals (CIs) to quantify the excess of second
malignancies. A reciprocal relationship was found for these three female cancers,
particularly most prominent between uterine and ovarian cancers, followed by
breast and uterine cancers as well as breast and ovarian cancers. The overall
risk of second cancers was highest within the first 5 years after the diagnosis
of primary cancer. The bidirectional relationships suggest common risk factors
among these three female cancers. This is the largest cohort study to focus on
the bidirectional associations among hormone-related cancers in Asian women, and
these results could aid in the development of early prevention strategies and
follow-up surveillance programs.
PMID- 29659168
TI - Metformin alleviates human cellular aging by upregulating the endoplasmic
reticulum glutathione peroxidase 7.
AB - Metformin, an FDA-approved antidiabetic drug, has been shown to elongate lifespan
in animal models. Nevertheless, the effects of metformin on human cells remain
unclear. Here, we show that low-dose metformin treatment extends the lifespan of
human diploid fibroblasts and mesenchymal stem cells. We report that a low dose
of metformin upregulates the endoplasmic reticulum-localized glutathione
peroxidase 7 (GPx7). GP*7 expression levels are decreased in senescent human
cells, and GPx7 depletion results in premature cellular senescence. We also
indicate that metformin increases the nuclear accumulation of nuclear factor
erythroid 2-related factor 2 (Nrf2), which binds to the antioxidant response
elements in the GPX7 gene promoter to induce its expression. Moreover, the
metformin-Nrf2-GPx7 pathway delays aging in worms. Our study provides mechanistic
insights into the beneficial effects of metformin on human cellular aging and
highlights the importance of the Nrf2-GPx7 pathway in pro-longevity signaling.
PMID- 29659169
TI - Single nucleotide variant counts computed from RNA sequencing and cellular
traffic into human kidney allografts.
AB - Advances in bioinformatics allow identification of single nucleotide
polymorphisms (variants) from RNA sequence data. In an allograft biopsy, 2
genomes contribute to the RNA pool, 1 from the donor organ and the other from the
infiltrating recipient's cells. We hypothesize that imbalances in genetic
variants of RNA sequence data of kidney allograft biopsies provide an objective
measure of cellular infiltration of the allograft. We performed mRNA sequencing
of 40 kidney allograft biopsies, selected to represent a comprehensive range of
diagnostic categories. We analyzed the sequencing reads of these biopsies and of
462 lymphoblastoid cell lines from the 1000 Genomes Project, for RNA variants.
The ratio of heterozygous to nonreference genome homozygous variants (Het/Hom
ratio) on all autosomes was determined for each sample, and the estimation of
stromal and immune cells in malignant tumors using expression data (ESTIMATE)
score was computed as a complementary estimate of the degree of cellular
infiltration into biopsies. The Het/Hom ratios (P = .02) and the ESTIMATE scores
(P < .001) were associated with the biopsy diagnosis. Both measures correlated
significantly (r = .67, P < .0001), even though the Het/Hom ratio is based on
mRNA sequence variation, while the ESTIMATE score uses mRNA expression. Het/Hom
ratio and the ESTIMATE score may offer unbiased and quantitative parameters for
characterizing cellular traffic into human kidney allografts.
PMID- 29659170
TI - Association of peripheral blood leukocyte KIBRA methylation with gastric cancer
risk: a case-control study.
AB - KIBRA was reported to be involved in various types of cancer and can be detected
in blood. The purpose of this study was to investigate the relationship between
the status of KIBRA methylation in peripheral blood leukocytes and gastric cancer
(GC) risk. A case-control study was carried out to evaluate the association of
blood cell-derived KIBRA methylation with the risk of GC using methylation
sensitive high-resolution melting analysis. A total of 393 cases and 393 controls
were detected, respectively. Compared with the subjects in the KIBRA negative
methylation (NM) group, positive methylation (PM) subjects exhibited a 1.52-fold
(95% CI: 1.030-2.251, P = 0.035) increased risk for GC. Stratified analyses
demonstrated that the significant association of KIBRA methylation with GC risk
existed in the older group (>= 60 years; ORa = 1.846, 95% CI: 1.037-3.287, P =
0.037) and Helicobacter pylori (H. pylori) positive subjects (ORa = 1.933, 95%
CI: 1.103-3.386, P = 0.021). Statistically significant combination effects
between the environmental factors and KIBRA methylation on the GC risk were
observed except for storing food under refrigeration. KIBRA methylation derived
from blood cells and combinations thereof with environmental factors may be
associated with the risk of GC.
PMID- 29659172
TI - Optic disc drusen: understanding an old problem from a new perspective.
AB - Optic disc drusen (ODD) are acellular deposits located in the optic nerve head of
up to 2.4% of the population. They may develop as by-products of impaired axonal
metabolism in genetically predisposed individuals, in whom a narrow scleral canal
is hypothesized to play a role. Although ODD are often considered as benign
innocent bystanders, recognized as part of a routine ophthalmological
examination, the vast majority of patients with ODD have visual field defects.
Optic disc drusen (ODD)-associated complications with severe visual loss, most
often due to anterior ischaemic optic neuropathy, are also known to occur. There
are no treatments available to prevent or ameliorate the vision loss caused by
ODD. In children, the ODD are usually uncalcified and buried within the optic
nerve head tissue. In these cases, the condition can be difficult to diagnose, as
it often resembles a papilloedema with optic nerve head swelling caused by raised
intracranial pressure. During the teenage years, the ODD progressively become
more calcified and probably also larger, which allow them to be visible on
ophthalmoscopy. With the advent and proper utilization of high-resolution
modalities of optical coherence tomography (OCT), it has now become possible to
detect even the smallest and most deeply located ODD. This allows for ODD
detection at a much earlier developmental stage than has previously been possible
and enhances the possibilities of research in underlying mechanisms. A review of
the literature on ODD was conducted using the PUBMED database. The review focuses
on the current knowledge regarding pathogenesis, diagnostics, clinical disease
tracking methodologies, structure-function relationships and treatment strategies
of ODD.
PMID- 29659171
TI - Lipin-1 determines lung cancer cell survival and chemotherapy sensitivity by
regulation of endoplasmic reticulum homeostasis and autophagy.
AB - Cancer cells undergo comprehensive metabolic reprogramming to meet the increased
requirements of energy and building blocks for proliferation. Lipin-1, a
phosphatidic acid phosphatase converting phosphatidic acid (PA) to diacylglycerol
(DAG), is upregulated in lung adenocarcinoma (LUAD) cell lines and tumor tissues.
In this study, we reveal high lipin-1 expression is correlated with poor
prognosis of patients with LUAD. Knockdown of lipin-1 decreases cell viability
and proliferation in LUAD cells, whereas it has less effect on nontumorigenic
lung cells. Autophagy and ER stress play important roles in tumor initiation and
progression. Lipin-1 knockdown induces the initiation of autophagy while disrupts
formation of autolysosome. Lipin-1 silencing induces the activation of ER stress
through the IRE1alpha pathway. Furthermore, we demonstrate disrupted ER
homeostasis contributes to the cell phenotype, and the elevated autophagy
initiation is due to the ER stress in part. For the first time, we show lack of
lipin-1 enhances the sensitivity of LUAD cells to cisplatin treatment. Our
results suggest that lipin-1 is a potential target, alone or combined with other
treatment, for lung cancer therapy.
PMID- 29659173
TI - Usefulness of CD200 in the differential diagnosis of SDRPL, SMZL, and HCL.
PMID- 29659174
TI - Water-Soluble Phospholo[3,2-b]phosphole-P,P'-Dioxide-Based Fluorescent Dyes with
High Photostability.
AB - We recently reported that fluorescent dye PB430, which consisted of a 2-phenyl
substituted benzophosphole P-oxide skeleton that was reinforced by a methylene
bridge, showed pronounced photostability and, thus, high utility for applications
in super-resolution stimulated emission depletion (STED) microscopy. Herein, we
replaced the methylene bridge with another P=O group to 1) investigate the role
of the bridging moieties; and 2) further modulate the fluorescence properties of
this skeleton. We synthesized a series of phospholo[3,2-b]phosphole-based dyes
trans-PO-PB430, cis-PO-PB430, and trans-PO-PB460-all of which showed sufficient
water solubility. Moreover, trans-PO-PB430 and trans-PO-PB460 exhibited intense
green and orange fluorescence, respectively, and a high photostability that was
comparable to that of PB430. In contrast, cis-PO-PB430 underwent rapid
photobleaching upon continuous photoirradiation, which demonstrated the
importance of steric shielding of the polycyclic skeleton by the substituents on
the bridging moieties. The fluorescence properties of these dyes were insensitive
to concentration, pH value, and polarity changes of the environment in solution.
In addition, even in the solid state, these dyes showed strong green to orange
emissions. These results demonstrate the potential utility of trans-PO-PB430 and
trans-PO-PB460 as highly photostable fluorescent dyes.
PMID- 29659175
TI - Extracranial Course of the Facial Nerve Revisited.
AB - INTRODUCTION: The extrapetrous course of the facial nerve has been a matter of
study and debate since XIX century. Two different classifications have been
classically proposed and widely accepted by most of the authors. Nevertheless,
there are reported cases which do not fit in any of those. The aim of this study
is to propose a new and useful classification. MATERIAL AND METHODS: We have used
23 embalmed Caucasian adult cadavers (11 male and 12 female) belonging to the
Bodies Donation and Dissecting Rooms Centre of the University Complutense of
Madrid. The extra-petrous facial nerve was dissected in the possible specimens
resulting in 38 facial nerves. The studied parameters were length, diameter of
divisions, terminal branches, and nerve connections. RESULTS: In every specimen
two main divisions were found, temporofacial and cervicofacial. They divided into
five terminal branches from cranial to caudal: temporal, zygomatic, buccal,
marginal or mandibular, and cervical. Based on the comparison with previous
proposed classifications, we have unified the patterns in 12 types being the most
frequent types the type 3 (eight cases, 21.05%), with connections between
temporal, zygomatic and buccal branches and the type 8 (eight cases, 21.05%), a
complex network between temporal, zygomatic, buccal, and mandibular branches. The
number of terminal branches was so variable. CONCLUSION: We propose a new 12
patterned classification which summarizes the previous ones. However, we consider
that a good study of the number of terminal branches, connections between
branches or with other cranial nerves are more useful for surgeons to avoid
injuries to the facial nerve during surgery than complex classifications. Anat
Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659176
TI - Nrf2 attenuates inflammatory response in COPD/emphysema: Crosstalk with
Wnt3a/beta-catenin and AMPK pathways.
AB - Chronic obstructive pulmonary disease (COPD) is characterized by persistent
airflow limitation and abnormal inflammatory response. Wnt/beta-catenin and AMP
activated protein kinase (AMPK) have been shown to modulate lung inflammatory
responses and injury. However, it remains elusive whether Wnt/beta-catenin and
AMPK modulate nuclear factor erythroid-2 related factor-2 (Nrf2)-mediated
protective responses during the development of emphysema. Here we showed that
treatment with a Wnt pathway activator (LiCl) reduced elastase-induced airspace
enlargement and cigarette smoke extract (CSE)-induced lung inflammatory responses
in WT mice, which was associated with increased activation of Nrf2 pathway.
Interestingly, these effects of LiCl were not observed in Nrf2-/- mice exposed to
elastase. In normal human bronchial epithelial (NHBE) cells, Wnt3a overexpression
up-regulated, whereas Wnt3a knockdown further down-regulated the levels of Nrf2
and its target proteins heme oxygenase-1 (HO-1) and NAD(P)H: quinone
oxidoreductase 1 (NQO1) by CSE treatment. In contrast, Nrf2 deficiency did not
have any effects on Wnt/beta-catenin pathway in mouse lungs and NHBE cells. Both
elastase and CSE exposures reduced AMPK phosphorylation. A specific AMPK
activator metformin increased Wnt3a, beta-catenin, Nrf2 phosphorylation and
activation but reduced the levels of IL-6 and IL-8 in NHBE cells and mouse lungs
exposed to CSE. Furthermore, Nrf2 deficiency abolished the protection of
metformin against CSE-induced increase in IL-6 and IL-8 in NHBE cells. In
conclusion, Nrf2 mediates the protective effects of both Wnt3a/beta-catenin and
AMPK on lung inflammatory responses during the development of COPD/emphysema.
These findings provide potential therapeutic targets for the intervention of
COPD/emphysema.
PMID- 29659177
TI - The Anatomical Relationships of the Ocular Motor Nerves with an Emphasis on
Surgical Anatomy of the Orbit.
AB - The surgical procedures directed to the orbit are invariably reported to be one
of the most challenging procedures of the neurosurgery and it is very important
to take measures to protect the ocular nerves. Many researchers have tried to
identify safe approaches or safe regions in the orbit but the suggestions and
results vary among published studies. The ocular motor nerves are under risk of
injury during various approaches to the orbit. Simple but careful attention to
potential variations in the origin and anatomical course of the ocular nerves and
their relationships to the orbit may help to define "safe zones" during various
approaches, thus, help to enhance clinical outcomes. The objective of this
review, therefore, is to discuss the surgical anatomy of the orbit with special
emphasis on oculomotor, trochlear, and abducens nerves and further emphasize
their relationships with a surgical point of view during various approaches
directed to the orbit. Anat Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659178
TI - A Prospective Randomized, Double-Blind, Two-Period Crossover Pharmacokinetic
Trial Comparing Green Coffee Bean Extract-A Botanically Sourced Caffeine-With a
Synthetic USP Control.
AB - Coffee is a primary dietary source of the chlorogenic acids (CGAs) of phenolic
compounds. Coffee contains caffeine and other phytonutrients, including CGAs.
Caffeine on its own has been well characterized and descried pharmacokinetically
in the literature, less so for CGAs. The purpose of this double-blind crossover
study was to determine the comparative pharmacokinetics of CGAs with caffeine
(natural extract) with synthetic caffeine (US Pharmacopeia [USP] standard).
Sixteen healthy male subjects were randomly assigned to take 1 dose of product 1,
60 mg of botanically sourced caffeine from 480 mg of green coffee bean extract,
or product 2, 60 mg of synthetic USP caffeine, with 5 days between. Blood
analysis was done to determine the levels of CGA compounds, more specifically 3-,
4-, and 5-caffeoylquinic acid (CQA), and serum caffeine. The natural caffeine
extract exhibited mean peak concentrations (Cmax ) of 3-CQA (11.4 ng/mL), 4-CQA
(6.84 ng/mL), and 5-CQA (7.20 ng/mL). The mean systemic 4-hour exposure (AUC0-4 h
) was 3-CQA (27.3 ng.h/mL), 4-CQA (16.1 ng.h/mL), and 5-CQA (15.7 ng.h/mL). The
median tmax was 3-CQA (1.00 hour), 4-CQA (1.00 hour), and 5-CQA (1.50 hours). The
tmax of caffeine was 0.75 hours (natural extract) and 0.63 hours (synthetic
caffeine). Cmax and AUC0-4 h of serum caffeine were statistically equivalent
between products. The geometric least-squares mean ratios (GMRs) of Cmax and AUC0
4 h of caffeine were 97.77% (natural extract) and 98.33% (synthetic caffeine). It
would appear that CGA compounds from the natural caffeine extract are
bioavailable, and 3-CGA may be the compound most absorbed. In addition, caffeine
sourced from natural extract versus synthetic were statistically similar for
pharmacokinetic parameters. There were no adverse events or safety concerns.
PMID- 29659180
TI - Envisioning Democracy: Participatory Filmmaking with Homeless Youth.
AB - This paper explores the democratic potential for participatory filmmaking with
homeless youth, as well as the constraints and dilemmas associated with this
visual method. Theorizing democracy through the work of Hannah Arendt and Pierre
Bourdieu, the paper approaches democracy not as an end, but rather as a process
that seeks to lessen social injustice. Bourdieu's work helps us appreciate,
however, that this process is constrained by structures of inequality that shape
access to the political dispositions that enable such engagement. Consistent with
other research on low-income and marginalized young people, this study found that
homeless youth engage with democracy through forms of community participation and
mutual support, and are disinclined to orient toward liberal democratic
structures such as voting and political parties, which they see as harmful or
problematic. With a focus on one particular dilemma faced by the research team
namely, the question of how to make sense of and represent the issue of
legalizing marijuana, which had been signaled by the youth participants as of
primary political importance to them-the paper uses Arendt and Bourdieu to
discuss how participatory filmmaking can help to expand the space of appearances
available to homeless youth in Canadian society, and create a space at a shared
table of understanding with middle class power brokers.
PMID- 29659181
TI - Combination of anginex gene therapy and radiation decelerates the growth and
pulmonary metastasis of human osteosarcoma xenografts.
AB - Investigate whether rAAV-anginex gene therapy combined with radiotherapy could
decrease growth and pulmonary metastasis of osteosarcoma in mice and examine the
mechanisms involved in this therapeutic strategy. During in vitro experiment,
multiple treatment regimes (rAAV-eGFP, radiotherapy, rAAV-anginex, combination
therapy) were applied to determine effects on proliferation of endothelial cells
(ECs) and G-292 osteosarcoma cells. During in vivo analysis, the same multiple
treatment regimes were applied to osteosarcoma tumor-bearing mice. Use
microcomputed tomography to evaluate tumor size. Eight weeks after tumor cell
inoculation, immunohistochemistry was used to assess the therapeutic efficacy
according to microvessel density (MVD), proliferating cell nuclear antigen
(PCNA), and terminal-deoxynucleotidyl transferase-mediated nick-end labeling
(TUNEL) assays. Metastasis of lungs was also evaluated by measuring number of
metastatic nodules and wet weight of metastases. The proliferation of ECs and the
tumor volumes in combination therapy group were inhibited more effectively than
the other three groups at end point (P < 0.05). Cell clone assay showed anginex
had radiosensitization effect on ECs. Immunohistochemistry showed tumors from
mice treated with combination therapy exhibited the lowest MVD and proliferation
rate, with highest apoptosis rate, as confirmed by IHC staining for CD34 and PCNA
and TUNEL assays (P < 0.05). Combination therapy also induced the fewest
metastatic nodules and lowest wet weights of the lungs (P < 0.05). rAAV-anginex
combined with radiotherapy induced apoptosis of osteosarcoma cells and inhibited
tumor growth and pulmonary metastasis on the experimental osteosarcoma models. We
conclude that the primary mechanism of this process may be due to sensitizing
effect of anginex to radiotherapy.
PMID- 29659179
TI - NK cell and Th17 responses are differentially induced in murine cytomegalovirus
infected renal allografts and vary according to recipient virus dose and strain.
AB - Human cytomegalovirus (HCMV) donor positive (D+) serostatus with acute rejection
is associated with renal allograft loss, but the impact of recipient positive
(R+) serostatus is unclear. In an allogeneic renal transplant model, antiviral
natural killer (NK) and CD8+ T cell memory responses in murine CMV (MCMV) D+/R+
transplants were compared to D-/R- and D+/R- transplants, with recipient
infection varied by MCMV dose and strain. D+/R- transplants had high primary
antiviral cytolytic (interferon-gamma+) and cytotoxic (granzyme B+) NK responses,
whereas NK memory responses were lower in D+/R+ recipients receiving a high
primary MCMV dose. Despite MCMV immunity, D+/R+ recipients receiving a low MCMV
dose showed primary-like high cytolytic and cytotoxic NK responses. D+/R+
transplants infected with different D/R strains had low cytolytic NK responses
but high cytotoxic NK responses. NK memory also induced a novel TNF-alpha+ NK
response among high-dose virus recipients. MCMV+ transplants had greater Th17
responses than MCMV-uninfected transplants and Th17 inhibition ameliorated graft
injury. All MCMV+ recipients had similar CD8+ T cell responses. In sum, NK and
Th17 responses, but not CD8+ T cells, varied according to conditions of primary
recipient infection. This variability could contribute to variable graft outcomes
in HCMV D+/R+ renal transplantation.
PMID- 29659182
TI - Ropivacaine Wound Infiltration for Pain Management After Breast Cancer
Mastectomy: A Population Pharmacokinetic Analysis.
AB - Ropivacaine continuous wound infusions (CWIs) are extensively used as a component
of multimodal analgesia. The rational application of CWI of ropivacaine requires
a thorough understanding of its pharmacokinetics to investigate the risk of
potential systemic toxicity. A population pharmacokinetic (popPK) study was
undertaken to describe the pharmacokinetics of ropivacaine CWI during 75 hours.
Women undergoing a unilateral mastectomy were scheduled to receive CWI for 40
hours for postoperative analgesia. A 10-mL ropivacaine 0.75% bolus followed by
continuous infusion (400 mL of 0.2% ropivacaine at a flow rate of 10 mL/h) was
administered via a multihole catheter placed on the major pectoral muscle. PopPK
analysis was performed using the nonlinear mixed-effects model. A 1-compartment
disposition model with an absorption compartment and a transit compartment for
the infusion best describes the data (67 observations from 10 women). Population
parameter estimates (between-subject variability, %) are apparent central volume
(V/F) 269 L (39.1%), apparent clearance (CL/F) 18.8 h-1 (74.9%), and absorption
rate (K12) 0.406 h-1 . The model predicted Cmax as 1.45 +/- 0.80 MUg/mL, which
occurred in the 42.4th hour (39-45.9 hours). This popPK model describes the
pharmacokinetics of ropivacaine during continuous wound infusion and confirms the
safety profile of the present technique.
PMID- 29659183
TI - Predictors of visual outcomes in patients with neovascular age-related macular
degeneration treated with anti-vascular endothelial growth factor therapy: post
hoc analysis of the VIEW studies.
AB - PURPOSE: Identify predictors for response to anti-vascular endothelial growth
factor (VEGF) therapy in patients with neovascular (wet) age-related macular
degeneration (nAMD). METHODS: Retrospective, post hoc analysis of VIEW 1/2.
Patients were randomized 1:1:1:1 to 0.5 mg intravitreal aflibercept (IVT-AFL)
injection every 4 weeks (0.5q4); 2 mg IVT-AFL every 4 weeks (2q4); 2 mg IVT-AFL
every 8 weeks (2q8) after an initial three injections at weeks 0, 4 and 8 or 0.5
mg intravitreal ranibizumab every 4 weeks (0.5q4). RESULTS: 1815 patients [IVT
AFL 2q4 (n = 613); IVT-AFL 2q8 (n = 607); ranibizumab 0.5q4 (n = 595)] were
included. Baseline demographics/characteristics were evenly balanced. Younger age
(49-69 years), lower visual acuity (VA) [10.0-<=45.0 Early Treatment Diabetic
Retinopathy Study (ETDRS) letters] and smaller choroidal neovascularization (CNV)
size [0.0-<=3.1 disc areas (DA)] at baseline were associated with the most vision
gain (>=15 letters) over 52 weeks (all nominal p < 0.0001).Younger age, higher
baseline VA (>64.0-<=83.0 letters) and smaller CNV size were associated with a VA
>=20/40 at week 52. Predominantly classic CNV at baseline (nominal p = 0.0007),
older age (>=90 years), lower baseline VA (10.0-<= 45.0 ETDRS letters) and larger
CNV size (>10.1-<=32.6 DA) were all associated with a VA <=20/200 at week 52 (all
nominal p < 0.0001). Along with treatment (nominal p < 0.0001), lower VA (p =
0.0166) and smaller central retinal thickness (both nominal p = 0.0190) were
predictors for dry retina development. CONCLUSION: Younger age, lower VA and
smaller CNV size at baseline were all associated with greater vision gains over
52 weeks while younger age, higher VA and smaller CNV size at treatment start
were more likely to achieve best-corrected VA 20/40 or better after a year's
treatment, suggesting the benefit of early anti-VEGF treatment.
PMID- 29659184
TI - The Human Laryngeal Innervation Revisited. The Role of the Neural Connections.
AB - In spite that vascular inconvenients or immunological rejections have been solved
in relation with larynx transplant, a successful functional reinnervation has not
been achieved. Some studies have suggested that laryngeal nerve connection may
contain motor fibers, which could explain unexpected evoked responses in
electromyographic studies or the different positions adopted of the vocal folds
after similar nerve lesions. Ten patients with unexpected evoked responses after
laryngeal nerve stimulation were selected. All the patients underwent a total
laryngectomy due to oncological causes. In every case, laryngeal nerve
connections were observed. All of them were morphologic and histologic processed
for choline-acetyltransferase immunohistochemistry. The presence of motor axons
in the nerve connections has been demonstrated, which would explain that the
motor innervation to the laryngeal muscles could be dual through these variable
connections. This also would justify the difficulty of carrying out laryngeal
nerve reinnervation procedures. Anat Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659185
TI - The Cochlear Spiral Ganglion Neurons: The Auditory Portion of the VIII Nerve.
AB - The VIII nerve is formed by sensory neurons that innervate the inner ear, i.e.,
the vestibular and the auditory receptors. Neurons of the auditory portion, the
cochlear afferent fibers that innervate the sensory hair cells of the organ of
Corti, have their somas in the cochlear spiral ganglion where two types of
neurons can be distinguished. Afferent Type-I neurons are the 95% of the total
population. Bipolar and myelinated fibers, each one innervates only one cochlear
inner hair cell (IHC). In contrast, afferent Type-II neurons are only the 5% of
the spiral ganglion population. They are pseudounipolar and unmyelinated fibers
and innervate the cochlear outer hair cells (OHC) so that one afferent Type-II
fiber contacts with multiple OHCs, but each OHC only receives one contact from
one Type-II neuron. Both types of VIII nerve fibers are glutamatergic, but these
asymmetric innervations of the cochlear sensory cells could suggest that the IHC
codifies the truly auditory message but the OHC only informs about mechanical
aspects of the state of the organ of Corti. In fact, the central nervous system
(CNS) has control over the information transmitted by the Type-I neuron by means
of axons from the superior olivary complex that innervate them to modulate,
filter and/or inhibit the entry of auditory message to CNS. The aim of this paper
is to review the current knowledge about the anatomy and physiology of the
auditory portion of the VIII nerve. Anat Rec, 2018. (c) 2018 Wiley Periodicals,
Inc.
PMID- 29659186
TI - Nursing Outcomes for Patients with Risk of Perioperative Positioning Injury.
AB - PURPOSE: To select and refine the outcomes and indicators of Nursing Outcomes
Classification for the diagnosis of risk for perioperative positioning injury.
METHOD: Validation study on expert consensus and refinement through pilot study.
FINDINGS: Eight outcomes and 35 indicators were selected in consensus. After
clinical testing was performed, in which 10 patients were assessed at five
different times. Eight outcomes and 33 indicators remained in the protocol.
CONCLUSION: This study made it possible to select the most relevant outcomes and
indicators to be measured for this diagnosis in clinical practice. IMPLICATIONS
FOR NURSING PRACTICE: Validation studies by consensus and clinical testing are
important to promote the accuracy, creating opportunities to legitimize, and
improve the concepts of taxonomies.
PMID- 29659188
TI - Dissecting through barriers: A follow-up study on the long-term effects of
interprofessional education in a dissection course with healthcare professional
students.
AB - Several studies have shown significant improvements in the attitudes and
perceptions of healthcare professional students toward interprofessional
education (IPE) immediately following intervention with IPE courses. However,
there remains little evidence on the lasting effects of IPE courses and the long
term influences of these IPE experiences are poorly documented. The purpose of
this study is to assess the long-term effects of an intensive, ten-week
interprofessional gross anatomy dissection course at McMaster University.
Attitudes and perceptions of past participants towards interprofessional learning
were evaluated, now that they have started working with other healthcare
professionals outside of the IPE course setting. Thirty-four past participants
who have clinical experience working in interprofessional settings or are
currently working in the healthcare field completed a follow-up questionnaire
consisting of a modified Readiness for Interprofessional Learning Scale (RIPLS)
and open-ended questions. Quantitative analysis revealed a significant decrease
in their attitude towards teamwork and collaboration and respect for other health
professions, but a significant improvement in their understanding of roles and
responsibilities compared to their results immediately after the IPE
intervention. Qualitative analysis of open-ended questions revealed several
themes such as developing interprofessional competencies, developing
relationships, and remembering the strengths of the IPE dissection course. The
results of this study indicate that the IPE experience in anatomy was highly
valued by the students and that past participants maintain a clear understanding
of their scope of practice, but the reality of clinical practice may have eroded
gains made in the program. Anat Sci Educ. (c) 2018 American Association of
Anatomists.
PMID- 29659187
TI - Bioequivalence of Oral Formulations of Anastrozole in Healthy Chinese Male
Volunteers: A Randomized, Single-Dose, Two-Period, Two-Sequence Crossover Study.
AB - Anastrozole is currently used as first-line treatment in locally advanced or
metastatic breast cancer. A generic anastrozole tablet was developed to offer an
alternative to the marketed tablet formulation. The aim of the current study was
to evaluate the bioequivalence between the test and reference formulations of
anastrozole in a single-dose, 2-period, 2-sequence crossover study with a 14-day
washout interval. A total of 20 healthy male Chinese volunteers were enrolled and
completed the study, after oral administration of a single dose of 1.0-mg test
and reference formulations of anastrozole. The blood samples were collected at
different times and were determined by a fully validated high-pressure liquid
chromatography-tandem mass spectrometry method. The evaluated pharmacokinetic
parameters, including Cmax , AUC0-t , and AUC0-infinity , were assessed for
bioequivalence based on current guidelines. The observed pharmacokinetic
parameters of anastrozole of the test drug were similar to those of the reference
formulation. The 90% confidence intervals of test/reference ratios for Cmax ,
AUC0-t , and AUC0-infinity were within the bioequivalence acceptance range of 80%
125%. The results obtained from these healthy Chinese subjects in this study
suggest that the test formulation of anastrozole 1.0-mg tablet is bioequivalent
to the reference formulation (Arimidex 1.0-mg tablet).
PMID- 29659189
TI - The effect of tea consumption on the steroid profile.
AB - Green tea (GT), along with its flavonol epigallocatechin-3-gallate (EGCG), has
shown to inhibit the UGT2B17 isoenzyme, which is highly involved in the
glucuronidation of testosterone (T) and its metabolites. Since the steroid
profile (SP) is composed of urinary concentrations of T and related metabolites
excreted in both the free and the glucuronide fractions, GT consumption could
alter the SP, leading to misunderstanding in doping controls. The aim of the
present work was to study the effect of GT consumption on the SP. This study was
performed with 29 male volunteers, which could be classified in 2 arms depending
on their T/E values (0.12 +/- 0.02, n = 12; 1.64 +/- 0.90, n = 17). The clinical
protocol was designed to evaluate the effect of GT administration on the SP
biomarkers. Participants were asked to consume GT with a high content of EGCG for
7 days (5 GT beverages along the whole day for days 1-6 and 9 GT beverages on day
7, corresponding to 520 and 936 mg/day of EGCG, respectively). Urine samples were
collected before and during GT consumption at different time periods. The SP was
measured using gas chromatography-mass spectrometry. The excretion rates of the
SP metabolites did not change after GT consumption. Moreover, the individual
evaluation of the subject's steroidal biological passport resulted in normal
sequences. The results obtained show that GT consumption does not distort the
establishment of normal ranges of SP parameters. Therefore, GT consumption does
not need to be considered a confounding factor in the SP evaluation.
PMID- 29659190
TI - Light-Induced Buckles Localized by Polymeric Inks Printed on Bilayer Films.
AB - Buckling instabilities generate microscale features in thin films in a facile
manner. Buckles can form, for example, by heating a metal/polymer film stack on a
rigid substrate. Thermal expansion differences of the individual layers generate
compressive stress that causes the metal to buckle over the entire surface. The
ability to dictate and confine the location of buckle formation can enable
patterns with more than one length scale, including hierarchical patterns. Here,
sacrificial "ink" patterned on top of the film stack localizes the buckles via
two mechanisms. First, stiff inks suppress buckles such that only the non-inked
regions buckle in response to infrared light. The metal in the non-inked regions
absorbs the infrared light and thus gets sufficiently hot to induce buckles.
Second, soft inks that absorb light get hot faster than the non-inked regions and
promote buckling when exposed to visible light. The exposed metal in the non
inked regions reflects the light and thus never get sufficiently hot to induce
buckles. This second method works on glass substrates, but not silicon
substrates, due to the superior thermal insulation of glass. The patterned ink
can be removed, leaving behind hierarchical patterns consisting of regions of
buckles among non-buckled regions.
PMID- 29659193
TI - Pharmacologic and Pharmacodynamic Equivalence of 2 Formulations of Orlistat.
AB - We sought to establish the bioequivalence of 2 weight-loss aids: orlistat 27-mg
chewable tablet and orlistat 60-mg capsule, measured pharmacodynamically as
percentage fecal fat excretion. Two open-label, single-center, randomized, 3
period, 3-treatment crossover studies were conducted in adults with body mass
index 25-33 kg/m2 . For each 9-day treatment period, subjects received orlistat
27-mg chewable tablet, 60-mg capsule, or 120-mg capsules (2 60-mg capsules) 3
times daily; a 2-day washout separated treatments. Primary bioequivalence
analyses were based on 2 1-sided tests of the 90% CI of the ratio of geometric
means using log-transformed data (study 1) and by the dose-scale method to
calculate bias-corrected and accelerated 90% CI of relative bioavailability (f)
using nontransformed data (study 2). Bioequivalence was established if 90% CIs
fell within 0.80-1.25. In total, 48 and 144 subjects were randomized in study 1
and study 2, respectively. Bioequivalence between the formulations was
established in both studies: study 1 ratio of geometric means of percentage fecal
fat excretion was 0.96 (2 1-sided tests, 90% CI 0.87-1.06); study 2-point
estimate of f was 1.09 (bias-corrected and accelerated 90% CI 0.98-1.22).
Tolerability of the 27-mg tablet was consistent with the 60-mg capsule; mild
gastrointestinal effects were most common.
PMID- 29659191
TI - Optimised ARID1A immunohistochemistry is an accurate predictor of ARID1A
mutational status in gynaecological cancers.
AB - ARID1A is a tumour suppressor gene that is frequently mutated in clear cell and
endometrioid carcinomas of the ovary and endometrium and is an important clinical
biomarker for novel treatment approaches for patients with ARID1A defects.
However, the accuracy of ARID1A immunohistochemistry (IHC) as a surrogate for
mutation status has not fully been established for patient stratification in
clinical trials. Here we tested whether ARID1A IHC could reliably predict ARID1A
mutations identified by next-generation sequencing. Three commercially available
antibodies - EPR13501 (Abcam), D2A8U (Cell Signaling), and HPA005456 (Sigma) -
were optimised for IHC using cell line models and human tissue, and screened
across a cohort of 45 gynaecological tumours. IHC was scored independently by
three pathologists using an immunoreactive score. ARID1A mutation status was
assessed using two independent sequencing platforms and the concordance between
ARID1A mutation and protein expression was evaluated using Receiver Operating
Characteristic statistics. Overall, 21 ARID1A mutations were identified in 14/43
assessable tumours (33%), the majority of which were predicted to be deleterious.
Mutations were identified in 6/17 (35%) ovarian clear cell carcinomas, 5/8 (63%)
ovarian endometrioid carcinomas, 2/5 (40%) endometrial carcinomas, and 1/7 (14%)
carcinosarcomas. ROC analysis identified greater than 95% concordance between
mutation status and IHC using a modified immunoreactive score for all three
antibodies allowing a definitive cut-point for ARID1A mutant status to be
calculated. Comprehensive assessment of concordance of ARID1A IHC and mutation
status identified EPR13501 as an optimal antibody, with 100% concordance between
ARID1A mutation status and protein expression, across different gynaecological
histological subtypes. It delivered the best inter-rater agreement between all
pathologists, as well as a clear cost-benefit advantage. This could allow
patients to be accurately stratified based on their ARID1A IHC status into early
phase clinical trials.
PMID- 29659192
TI - Ruthenium(II)-Bipyridine/NanoC3 N4 Hybrids: Tunable Photochemical Properties by
Using Exchangeable Alkali Metal Cations.
AB - A series of nanoporous carbon nitrides that contained a range of alkali metal
cations (M@nanoC3 N4 : M=Li+ , Na+ , K+ , Rb+ , and Cs+ ) have been successfully
synthesized from as-synthesized g-C3 N4 by delamination with concentrated
sulfuric acid, followed by neutralization with aqueous solutions of the
corresponding alkali metal hydroxides. Tris(2,2'-bipyridine)ruthenium(II)
complexes, [Ru(bpy)3 ]2+ , were grafted onto the carbon nitrides in an effort to
explore the physicochemical properties of the deposited [Ru(bpy)3 ]2+ , as well
as its photocatalytic activity in the aerobic photooxidation of phenylboronic
acid and H2 production from aqueous media in the presence of a Pt co-catalyst
under visible-light irradiation. Highly porous nanoC3 N4 could significantly
enhance photocatalytic activity, because of its high surface area, owing to its
unique porous structure. More interestingly, the photoluminescence intensities of
[Ru(bpy)3 ]2+ complexes that were associated with M@nanoC3 N4 increased in the
presence of lighter alkali metal cations, which correlated with increased
photocatalytic activities for both reactions. This study demonstrates that
M@nanoC3 N4 are fascinating supports, in which the local environment of an
immobilized metal complex can be precisely controlled by varying the alkali metal
cation from Li+ to Cs+ .
PMID- 29659194
TI - Detection of hepatitis E virus RNA in saliva for diagnosis of acute infection.
AB - Diagnosis of acute hepatitis E virus (HEV) infection is established by detection
of anti-HEV IgM antibodies by ELISA or by amplification of serum viral RNA. Here,
we evaluate the diagnostic value of testing HEV RNA in saliva to identify
patients with acute HEV infection. Prospective proof-of-concept study including
patients with acute hepatitis. Whole blood and neat saliva samples were obtained
from all patients. Saliva samples were processed and analysed for HEV RNA by RT
PCR within 2 hr after collection. A total of 34 patients with acute hepatitis and
12 healthy donors were included in the study. HEV RNA in serum was confirmed by
RT-PCR in eight of these patients (23.5%; 95% CI: 12.2%-40.2%). HEV was isolated
in the saliva of eight of 34 patients (23.5%; 95% CI: 12.2%-40.2%). All patients
with HEV RNA amplified in saliva had detectable HEV RNA in serum. HEV was
isolated neither in the saliva of any of the 26 patients without detectable HEV
RNA in serum nor in healthy donors. Our study suggests that acute HEV infection
could be diagnosed by assessing viral load in saliva.
PMID- 29659195
TI - miR-541 suppresses proliferation and invasion of squamous cell lung carcinoma
cell lines via directly targeting high-mobility group AT-hook 2.
AB - An increasing number of studies have demonstrated that micro-ribonucleic acids
(miRNAs) are important tumor suppressors during carcinogenesis. However, the
function of miRNA-541 (miR-541) in malignancies, especially lung cancer, has not
been widely reported. In this study, miR-541 expression was significantly
decreased in squamous cell lung carcinoma (SCLC) cancerous tissue and SCLC cell
lines. To analyze miR-541 function in SCLC, we overexpressed miR-541 in SCLC cell
lines (SK-MES-1 and H226). According to the CCK8, wound scratch, and transwell
invasion assay results, miR-541 overexpression significantly inhibited SCLC cell
proliferation, migration, and invasion ability. Next, using RT-PCR, Western
blotting, immunocytochemistry, and luciferase assays, HMGA2 was identified, for
the first time, as a direct regulatory target of miR-541 in SK-MES-1 and H226
cells. Furthermore, upregulating HMGA2 expression significantly alleviated the
suppressive effects of miR-541 on SK-MES-1 and H226 cell proliferation,
migration, and invasion. In summary, our study revealed that miR-541 inhibited
SCLC proliferation and invasion by directly targeting HMGA2.
PMID- 29659196
TI - Lessons from Amphioxus Bauplan About Origin of Cranial Nerves of Vertebrates That
Innervates Extrinsic Eye Muscles.
AB - Amphioxus is the living chordate closest to the ancestral form of vertebrates,
and in a key position to reveal essential aspects of the evolution of the brain
Bauplan of vertebrates. The dorsal neural cord of this species at the larval
stage is characterized by a small cerebral vesicle at its anterior end and a
large posterior region. The latter is comparable in some aspects to the hindbrain
and spinal cord regions of vertebrates. The rostral end of the cerebral vesicle
contains a median pigment spot and associated rows of photoreceptor and other
nerve cells; this complex is known as "the frontal eye." However, this is not a
complete eye in the sense that it has neither eye muscles nor lens (only a
primitive retina-like tissue). Cranial nerves III, IV, and VI take part in the
motor control of eye muscles in all vertebrates. Using a recent model that
postulates distinct molecularly characterized hypothalamo-prethalamic and
mesodiencephalic domains in the early cerebral vesicle of amphioxus, we analyze
here possible scenarios for the origin from the common ancestor of
cephalochordates and vertebrates of the cranial nerves related with extrinsic eye
muscle innervations. Anat Rec, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29659197
TI - Morphological Features of the Branching Pattern of the Hypoglossal Nerve.
AB - The hypoglossal or twelfth cranial nerve is the motor nerve to the extrinsic and
intrinsic muscles of the tongue, and the superior root of the ansa cervicalis and
the thyrohyoid and geniohyoid branches are delivered through the nerve. This
study investigated the muscular branches of the hypoglossal nerve to clarify
their spatial relationships with the muscles of the tongue and the neighboring
structures. The muscles and the nerve were gross anatomically examined in 42
cadavers. The superior root and the thyrohyoid branch left the nerve near the
occipital and lingual arteries, respectively. The extrinsic muscles consisted of
some components, and the geniohyoid branch and the lingual branches arose on the
hyoglossus. The ascending lingual branches formed a plexus on the anterior part
of the hyoglossus and were divided into the proximal and distal groups. They
supplied the two parts of the hyoglossus, the three bundles of the styloglossus
and the superior and inferior longitudinal muscles and communicated with the
lingual nerve. The descending lingual branches supplied the inferior part of the
genioglossus, and the terminal branches gave intramuscular twigs to its main part
and the transverse and vertical muscles. The findings indicated that the
branching pattern of the hypoglossal nerve is characterized by the positional
relationships to the components of the extrinsic muscles. The hyoid bone can be
an effective marker to identify the branches and affected position if it was used
in combination with the morphology of the extrinsic muscles, and the knowledge of
their variations is also beneficial. Anat Rec, 2018. (c) 2018 Wiley Periodicals,
Inc.
PMID- 29659198
TI - In silico modeling of oxygen-enhanced MRI of specific ventilation.
AB - Specific ventilation imaging (SVI) proposes that using oxygen-enhanced 1H MRI to
capture signal change as subjects alternatively breathe room air and 100% O2
provides an estimate of specific ventilation distribution in the lung. How well
this technique measures SV and the effect of currently adopted approaches of the
technique on resulting SV measurement is open for further exploration. We
investigated (1) How well does imaging a single sagittal lung slice represent
whole lung SV? (2) What is the influence of pulmonary venous blood on the
measured MRI signal and resultant SVI measure? and (3) How does inclusion of
misaligned images affect SVI measurement? In this study, we utilized two patient
based in silico models of ventilation, perfusion, and gas exchange to address
these questions for normal healthy lungs. Simulation results from the two healthy
young subjects show that imaging a single slice is generally representative of
whole lung SV distribution, with a calculated SV gradient within 90% of that
calculated for whole lung distributions. Contribution of O2 from the venous
circulation results in overestimation of SV at a regional level where major
pulmonary veins cross the imaging plane, resulting in a 10% increase in SV
gradient for the imaging slice. A worst-case scenario simulation of image
misalignment increased the SV gradient by 11.4% for the imaged slice.
PMID- 29659199
TI - Analysis of potential genes and pathways associated with the colorectal normal
mucosa-adenoma-carcinoma sequence.
AB - This study aimed to identify differentially expressed genes (DEGs) related to the
colorectal normal mucosa-adenoma-carcinoma sequence using bioinformatics
analysis. Raw data files were downloaded from Gene Expression Omnibus (GEO) and
underwent quality assessment and preprocessing. DEGs were analyzed by the limma
package in R software (R version 3.3.2). Gene Ontology (GO) enrichment analysis
and Kyoto Encyclopedia of Genes and Genomes (KEGG) pathway analysis were
performed with the DAVID online tool. In a comparison of colorectal adenoma (n =
20) and colorectal cancer (CRC) stage I (n = 31), II (n = 38), III (n = 45), and
IV (n = 62) with normal colorectal mucosa (n = 19), we identified 336 common
DEGs. Among them, seven DEGs were associated with patient prognosis. Five
(HEPACAM2, ITLN1, LGALS2, MUC12, and NXPE1) of the seven genes presented a
sequentially descending trend in expression with tumor progression. In contrast,
TIMP1 showed a sequentially ascending trend. GCG was constantly downregulated
compared with the gene expression level in normal mucosa. The significantly
enriched GO terms included extracellular region, extracellular space, protein
binding, and carbohydrate binding. The KEGG categories included HIF-1 signaling
pathway, insulin secretion, and glucagon signaling pathway. We discovered seven
DEGs in the normal colorectal mucosa-adenoma-carcinoma sequence that was
associated with CRC patient prognosis. Monitoring changes in these gene
expression levels may be a strategy to assess disease progression, evaluate
treatment efficacy, and predict prognosis.
PMID- 29659200
TI - Atypical tuberous sclerosis complex presenting as familial renal cell carcinoma
with leiomyomatous stroma.
AB - We report an atypical tuberous sclerosis complex (TSC) phenotype presenting as
familial multiple renal cell carcinomas (RCCs) with (angio)leiomyomatous stroma
(RCCLS) (5/7 familial RCCs) on a background of multiple angiomyolipomas,
hypopigmented skin macules, and absence of neurological anomalies. In the index
case and three relatives, germline genetic testing identified a heterozygous TSC2
missense pathogenic variant [c.2714 G > A, (p.Arg905Gln)], a rare TSC-associated
alteration which has previously been associated with a milder TSC phenotype.
Whole-exome sequencing of five RCCs from the index case and one RCC from his
mother demonstrated either unique tumour-specific deleterious second hits in TSC2
or significant allelic imbalance at the TSC2 gene locus (5/6 RCCs). This study
confirms the key tumourigenic role of tumour-specific TSC2 second hits in TSC
associated RCCs and supports the notion that RCCLS may be strongly related to
abnormalities of the mTOR pathway.
PMID- 29659201
TI - Evaluation of Eluxadoline Effect on Cardiac Repolarization.
AB - This study evaluated the effects of eluxadoline, a mixed MU-opioid receptor (OR)
and kappa-OR agonist and delta-OR antagonist, on cardiac repolarization. This
evaluator-blinded, placebo- and positive-controlled, 4-period crossover study
randomized healthy men and women to single oral doses of eluxadoline (therapeutic
dose 100 mg or supratherapeutic dose 1000 mg), moxifloxacin 400 mg, or placebo.
QT data were corrected using individual custom correction (QTcI). The primary
endpoint was the change from baseline in QTcI intervals (DeltaQTcI) between
eluxadoline and placebo (DeltaDeltaQTcI). An upper bound of the 95% confidence
interval around DeltaDeltaQTcI of 10 milliseconds was considered clinically
significant. Concentration-QTc data were analyzed using a repeated-measures,
mixed-effects linear model. Sixty-four volunteers were treated, and 58 completed
the study. Assay sensitivity was demonstrated with moxifloxacin (noted by
DeltaDeltaQTcI of 11.94 milliseconds). The maximum DeltaDeltaQTcI for eluxadoline
1000 mg was 4.10 milliseconds 1 hour postdose (1-sided 95% upper confidence
bound, 5.81 milliseconds), and for eluxadoline 100 mg was 1.20 milliseconds at
0.5 hours postdose (1-sided 95% upper confidence bound, 2.91 milliseconds).
Primary DeltaDeltaQTcI results were confirmed using Fridericia's formula for QTc.
Categorical, morphological, and concentration-QTc analyses were consistent with
the primary and secondary findings. There were no significant gender effects on
DeltaDeltaQTcI values. The most common adverse events were contact dermatitis and
nausea (12.5% each) and dizziness (10.9%); adverse events were more frequent in
the eluxadoline 1000 mg group. In conclusion, eluxadoline, at therapeutic or
supratherapeutic doses, did not significantly prolong QT intervals, and was safe
and generally well tolerated in this study population.
PMID- 29659202
TI - Lamotrigine Rechallenge in Treatment-Resistant Bipolar Disorder.
AB - Background: Although lamotrigine may be useful for treating patients with
treatment-resistant bipolar disorder, some lamotrigine-associated adverse
effects, including mild to moderate skin rash, may prevent the continuation of
treatment. Methods: We investigated lamotrigine rechallenge for the treatment of
bipolar disorder. The present study was based on retrospective chart review of
outpatients with bipolar disorder (DSM-5 criteria) who visited the hospital's
psychiatric department between July 2011 and August 2017. The review revealed 12
patients with bipolar disorder who underwent lamotrigine rechallenge following
lamotrigine discontinuation due to various adverse reactions, including skin
rash. None of the patients showed Stevens-Johnson syndrome. All patients suffered
from treatment-resistant bipolar disorder that was refractory to treatments other
than lamotrigine. For each patient, the severity of the adverse reaction to
lamotrigine was weighed against the potential for therapeutic benefit. Results:
In 9 of 12 cases, a positive outcome of lamotrigine rechallenge was observed. In
all cases with initial skin rash with very slow titration of lamotrigine,
rechallenge was successful with no recurrence of the rash. In the 3 cases for
which lamotrigine was unsuccessful, lamotrigine was discontinued owing to
movement disorders, ie, oral dyskinesia and action tremor, and liver dysfunction,
respectively. Conclusions: The present results suggest that lamotrigine
rechallenge may be a viable option for treatment-resistant bipolar disorder.
PMID- 29659203
TI - A Qualitative Study to Assess How Primary Care Versus Psychiatric Providers
Evaluate and Treat Pediatric Patients With Irritability.
AB - Objective: To determine how primary care versus specialist practitioners assess
and treat school-aged children with irritability. Methods: Seventeen providers
from family medicine, pediatrics, and psychiatry participated in in-depth
interviews from June to August 2016 about the process they use to evaluate
irritability. Data on demographic traits and measures of confidence were also
collected. Results: Primary care (family medicine and pediatrics) participants
expressed frustration over the lack of time and specialized knowledge they had to
accurately assess children with irritability, even though they were often the
first clinician consulted when problems arose. There were clear and sometimes
contradictory differences between how practitioners with a general versus
specialized practice assessed mental health status in the clinic setting. Input
on treatment approaches revealed that medication prescription was more common by
primary care participants, and therapy was preferred by the psychiatry
participants. Conclusions: Overall, family medicine and pediatric practitioners
were significantly less confident in their ability to evaluate mental health
status, while child and adolescent psychiatry participants were supportive of
having more initial triage and possible treatment occur at the primary care
level, suggesting a need for more training about childhood irritability in the
primary care setting.
PMID- 29659204
TI - Rethinking the Approach to Veteran Suicides.
PMID- 29659205
TI - Executive Function Predicts Antidepressant Treatment Noncompletion in Late-Life
Depression.
AB - OBJECTIVE: To examine whether executive function (EF) is associated with
nonremission and noncompletion of antidepressant pharmacotherapy in older adults
with depression. DESIGN: In this prospective study (July 2009 to May 2014), older
adults (aged >= 60 years; n = 468) with a DSM-IV-defined major depressive episode
diagnosed via structured interview received 12 weeks of venlafaxine extended
release with the goal of achieving remission. A hypothesis was made that worse
baseline EF would predict both nonremission and noncompletion (primary outcomes).
Treatment-related factors, including side effects and nonadherence, were also
studied. METHODS: Baseline EF, including response inhibition and set-shifting,
was assessed with subtests of the Delis-Kaplan Executive Function System and the
semantic fluency subtest of the Repeatable Battery for the Assessment of
Neuropsychological Status (RBANS). Attention, immediate memory, delayed memory,
visuospatial ability, and global cognition were also assessed with the RBANS.
RESULTS: Of 468 participants, 96 (21%) failed to complete the treatment trial,
191 (41%) completed and remitted, and 181 (39%) completed and did not remit.
Univariate analyses indicated that some EFs (set-shifting and semantic fluency)
and other cognitive variables (attention, immediate memory, visuospatial ability,
and global cognition) predicted treatment noncompletion, whereas no cognitive
variables predicted nonremission. In a multivariate logistic regression model,
semantic fluency (P = .003), comorbid medical burden (P < .001), and early
nonadherence (P < .001) were significant predictors of treatment noncompletion.
CONCLUSIONS: Poorer EF predicted treatment noncompletion. These findings suggest
that EFs of initiation and set maintenance (examined by the semantic fluency
task) may allow depressed elderly individuals to engage and stay in treatment.
Identification of those at risk for noncompletion may help implementation
strategies for personalized care. TRIAL REGISTRATION: ClinicalTrials.gov
identifier: NCT00892047.
PMID- 29659206
TI - Effects of Antipsychotics on Secular Mortality Trends in Patients With
Alzheimer's Disease.
AB - OBJECTIVE: To investigate secular changes in mortality rates between patients
with Alzheimer's disease (AD) and the general population as well as changes in
antipsychotic drug treatment and the association between drug treatment and
mortality in patients with AD in Denmark during a 12-year study period. METHODS:
This nationwide, retrospective cohort study identified all-cause mortality in all
Danish patients with incident ICD-10-defined AD from 2000 through 2011. The
cumulative antipsychotic dosages from dementia diagnosis until end of study for
each participant were calculated and categorized in 1 of 5 groups per the World
Health Organization Defined Daily Doses (DDDs). Data were obtained from relevant
Danish national registers. RESULTS: The study included 32,001 patients (11,194
male and 20,807 female). During the study period, an increasing trend was found
in median survival time, but no decline was seen in standardized mortality
ratios, which spanned from 1.19 (95% CI, 0.98-1.46) in 2001 to 1.52 (95% CI, 1.38
1.68) in 2011. The findings showed a decline in proportion of patients with
incident AD exposed to antipsychotic drugs as well as decline in mean annual
cumulative DDDs. Adjusted Cox regression analyses revealed that current exposure
to antipsychotic drugs was associated with increased mortality, although hazard
ratios declined during the study period from 2.24 (95% CI, 2.07-2.43) in 2000
2002 to 1.24 (95% CI, 1.09-1.41) in 2009-2011, with P values < .001. CONCLUSIONS:
These findings appear to underscore the current guideline recommendations for
using antipsychotic drugs at only the lowest effective dose and only in patients
for whom all non-pharmacologic options have been exhausted. Furthermore, these
results seem to indicate that the reduced use of antipsychotic drugs has no
impact on relative mortality, suggesting that the AD population has gained less
from improvements in care of other diseases that impact mortality rates in
patients with AD as well as in the general population.
PMID- 29659207
TI - Trajectories of Acute Antidepressant Efficacy: How Long to Wait for Response? A
Systematic Review and Meta-Analysis of Long-Term, Placebo-Controlled Acute
Treatment Trials.
AB - BACKGROUND: In patients who are not responding to antidepressant pharmacotherapy,
information regarding the future probability of response with the same treatment
is scarce. Specifically, it is unclear at what point in time the probability to
respond or remit ceases to increase, because few studies report data on response
or remission at repeated time points beyond 4 or 8 weeks of treatment.
Consequently, treatment recommendations in clinical practice guidelines differ
widely. DATA SOURCES: We systematically searched MEDLINE, Embase, PsycINFO, and
CENTRAL databases through March 2014 using generic terms for depressive or
affective disorders, individual drug names, and placebo (Prospero Registration:
CRD42014010105). STUDY SELECTION: We identified double-blind, randomized studies
with continuous outcome reporting from 4 weeks up to at least 12 weeks that
compared antidepressant monotherapy to placebo in adult patients suffering from
acute depressive disorder. DATA EXTRACTION: Data extraction and synthesis
followed Cochrane Collaboration guidelines. Primary outcome was response;
secondary outcomes were remission and changes in rating scale scores in
previously unresponsive patients, respectively. RESULTS: Of 6,043 articles
screened, we selected 9 studies including 3,466 patients. Altogether, 21.6%
(18.6%, 24.9%) of previously nonresponsive patients achieved response with
ongoing antidepressant treatment between weeks 5 and 8, and 9.9% (7.5%, 12.7%),
between weeks 9 and 12. Probability of response when taking placebo was 13.0%
(9.9%, 16.5%) between weeks 5 and 8 and 2.4% (1.2%, 4.6%) between weeks 9 and 12.
Differences in the probability of response between antidepressant and placebo
translated into a number needed to treat of 11 after 4 weeks and 17 after 8
weeks. Heterogeneity was low to moderate, and results remained stable across
subgroup and sensitivity analyses. CONCLUSIONS: In patients unresponsive to
antidepressant pharmacotherapy, improvements in psychopathology can be expected
with ongoing antidepressant treatment for up to 3 months. After 8 weeks of
treatment, improvement with ongoing monotherapy is relatively small.
PMID- 29659208
TI - Posttraumatic Stress Disorder as a Significant Correlate of Voluntary
Antiretroviral Treatment Interruption in Adult HIV-Infected Patients Followed up
in French Hospitals: Data From the ANRS-VESPA2 National Survey.
AB - OBJECTIVE: Although antiretroviral treatment (ART) no longer requires 100%
adherence, voluntary treatment interruption (VTI) still may have a negative
impact on virologic success. Previous studies have shown that posttraumatic
stress disorder (PTSD) is more prevalent in HIV-infected patients than in the
general population. However, no study has yet investigated the relationship
between PTSD and VTI. We analyzed this relationship using data from a French
national survey representative of HIV-infected adults followed up in hospitals.
METHODS: A total of 3,022 HIV-infected adults participated in the ANRS-VESPA2
survey (April 2011-January 2012) and answered a face-to-face questionnaire that
included the Composite International Diagnostic Interview Short-Form to diagnose
PTSD and assess sociobehavioral variables such as VTI. Multivariable logistic
regression models were used to study the relationship between PTSD and VTI.
RESULTS: Among the 2,768 ART-treated participants with available data for both
PTSD screening and ART interruption (study sample), prevalence of PTSD was 13.3%,
and 7.2% of individuals reported VTI during the previous month. After adjustment
for being a female Sub-Saharan African immigrant and reporting harmful alcohol
consumption (Alcohol Use Disorders Identification Test score >= 8), lifetime PTSD
was found to be independently associated with VTI (adjusted odds ratio [95% CI] =
1.64 [1.07-2.53], P = .025). CONCLUSIONS: PTSD is highly prevalent in HIV
infected patients followed up in French hospitals and is a significant predictor
of VTI. PTSD is a psychiatric disorder that is still underdiagnosed and
undertreated in many countries despite its negative consequences on health
behaviors. As there is evidence of effective treatment for PTSD, HIV care
providers need to be trained in screening for this disorder.
PMID- 29659209
TI - Improving the Diagnosis and Treatment of Pediatric Bipolar Disorder.
AB - Reconsidering Insomnia as a Disorder Rather Than Just a Symptom in Psychiatric
Practice Click to enlarge page Awareness of the prevalence and impact of bipolar
disorder in pediatric patients has grown in recent years. Youths with this
disorder are at risk for poor long-term outcomes, but with careful screening,
clinicians may be able to detect early signs or subthreshold symptoms and provide
a timely diagnosis and effective treatment. Although several pharmacologic
options are available for patients aged 10 years and up, they differ in their
safety and tolerability profiles. Clinicians should select the agent that best
balances benefit and risk-at the lowest efficacious dose-and provide careful
monitoring for adverse events throughout treatment. Johns Hopkins University and
the Kennedy Krieger Institute, Baltimore, Maryland. (Dr Findling); Private
practice, Menlo Park, California (Dr Chang) mask .
PMID- 29659210
TI - Toward Understanding Sex Differences in the Prevalence of Posttraumatic Stress
Disorder: Results From the National Epidemiologic Survey on Alcohol and Related
Conditions.
AB - BACKGROUND: It is unclear whether the higher prevalence of posttraumatic stress
disorder (PTSD) in women than in men is due to sex differences in the prevalence
of the exposure to traumatic events or to differences in vulnerability to
traumatic events among those exposed to them. METHODS: We applied mediation and
moderated mediation models to a large nationally representative sample of US
adults (N = 34,653) drawn from Wave 2 (2004-2005) of the National Epidemiologic
Survey on Alcohol and Related Conditions. RESULTS: A model that assumed that the
effect of 19 traumatic events was the same across sexes and examined whether sex
differences in the prevalence of DSM-IV PTSD were due exclusively to sex
differences in exposure to traumatic events predicted similar prevalence of PTSD
among men and women (indirect effect standardized beta = 0.04, P = .61), contrary
to empirical findings. By contrast, a model that allowed the effect of 19
traumatic events on risk of PTSD to vary by gender, while taking into account sex
differences in the prevalence of exposure, indicated that, for 13 of the
traumatic events, the effect was significantly greater in women than in men
(range of standardized beta coefficients = 0.02-0.12, P < .05). The total
indirect and direct effects of sex on PTSD in this model were, respectively, beta
= 0.42 (P < .01) and beta = -0.03 (P = .76), indicating that all of the effect of
sex on PTSD was explained by this moderated mediation model. CONCLUSIONS: The
higher prevalence of PTSD among women appears to be due mainly to their greater
vulnerability to the effects of traumatic events.
PMID- 29659211
TI - Ketamine for Depression, 6: Effects on Suicidal Ideation and Possible Use as
Crisis Intervention in Patients at Suicide Risk.
AB - A growing body of literature suggests that ketamine, administered in
subanesthetic doses, has early-onset antidepressant action in patients with
severe and even treatment-refractory depression. Many case reports, open-label
studies, and randomized controlled trials (RCTs) suggest that ketamine may have
dramatic antisuicidal effects, as well. This article examines the benefits of
ketamine in patients with suicidal ideation with particular focus on the findings
of recent RCTs and meta-analyses. Important findings are that a single dose of
ketamine is associated with antisuicidal benefits that emerge within an hour of
administration and persist for up to a week. The benefits are seen in patients
with mild as well as clinically significant suicidal ideation. The benefits are
observed in midazolam- as well as saline-controlled trials. Effect sizes are
medium to large. The improvement in suicidal ideation is only partly explained by
improvement in depression severity. It is concluded that there is consistent
evidence that a single dose of ketamine has dramatic antisuicidal action that
emerges almost immediately after dosing and persists for at least a week. The
short- and intermediate-term safety and efficacy of ketamine as a crisis
intervention treatment for suicidal patients merit study. Areas that need
research are outlined.
PMID- 29659212
TI - Successful Use of Electroconvulsive Therapy in the Setting of Multiple Risk
Factors for Retinal Detachment.
PMID- 29659213
TI - Interfacial Property of Dental Cobalt-Chromium Alloys and Their Bonding Strength
with Porcelains.
AB - Porcelain-fused-to-metal crown is one of the widely-used prostheses among the
dental porcelain restorations. Nonprecious metals like Ni-Cr and Co-Cr have
extensively been used for metal-ceramic restorations due to advantages such as
inexpensive price, hardness, durability, resistance to deformation, thin
thickness of metal of porcelain area, and other mechanical and physical
properties. However, the immediate advantage of the Co-Cr alloy is comparable
performance to other base metal alloys, but without an allergenic nickel
component. To achieve clinical longevity of porcelain-fused-to-metal (PFM)
crowns, it is essential to have adequate bond strength between the metal
substrate and porcelain. Any type of metal-ceramic fracture failure can become a
costly and timeconsuming problem, both in the clinic and laboratory. Therefore,
the suitability of the Co-Cr alloy for dental applications is critically
associated with its ceramic bonding capacity. In this study, Co-Cr metal alloys
modified by acid-etching and sandblasting, oxide layer was formed for subsequent
bonding to porcelain ceramics. By both acid-etching and sandblasting oxide layer
was formed and showed higher bonding strength at a proper condition, but
debonding was occurred at porcelain layer so that they showed highest bonding
strength by combined these two kind of surface treatment. Because the oxide film
was formed more densely in a vacuum at the portions where more sophisticated
concavo-convex were formed on the surface of a metal.
PMID- 29659214
TI - Investigations of Magnetic Properties of Oleic Acid and Oleyl Amine Capped
Nanostructured Co( x) Ni(1-x) (0.2 <= x <= 0.8) Alloys.
AB - In this study, nanocrystalline oleic acid and oleyl amine capped Co x Ni1-x
alloys (x = 0.2, 0.4, 0.6, 0.8) have been synthesized via chemical reduction
route. As-prepared as well as annealed samples were characterized using FTIR
spectroscopy, X-ray diffraction and transmission electron microscopy. CoNi alloys
were found to be capped with oleic acid-oleylamine complex even after heat
treatment up to 400 degrees BC. XRD patterns confirm that the CoNi alloys
crystallize in pure fcc phase with average crystallite sizes in the range of 8-11
nm. TEM micrographs confirm nearly spherical morphologies with narrow particle
size distribution of the synthesized CoNi alloys. Average TEM particle sizes
range from 7 nm to 12 nm. As-prepared as well as annealed CoNi alloys are
ferromagnetic in nature at 298 K. M s values for annealed CoNi alloys are found
to be in the range of 25-59.6 emu/g after correction for weight fraction of
capped matrix and H c values are in the range of 52-314 Oe. Observed magnetic
properties have been interpreted on the basis of size effects, altered crystal
anisotropies and spin canting on the surface. FC/ZFC magnetization measurements
show magnetic irreversibility and superparamagnetic blocking of nanoparticles due
to strong dipolar interactions and particle size distribution. A structure
property correlation in CoNi alloys has been attempted in this investigation.
PMID- 29659215
TI - The effect of recasting on biological properties of Ni-Cr dental alloy.
AB - Introduction: Increases in market prices of gold over the last 20 years have led
to expansion of basicdental alloys, which, primarily due to their good mechanical
properties and acceptable prices, havefound their place in everyday dental
practice. However, within the procedure of making dental prostheticrestorations,
the alloys are melted and cast, which leads to changes in their physical,
mechanical andbiological properties. Objective: The objective of the study was to
test biocompatibility of a Ni-Cr dental alloy (WIRON99) depending on the number
of melting and casting processes. Methods: The working method included the
testing of cytotoxicity of the alloy obtained by castingafter one, after four,
and after eight successive processes of melting. Cytotoxicity of samples
wastested by means of a 24-hour and a three-day cytotoxicity test, done on L929
fibroblasts. Results: A repeatedly melted and cast alloy shows a reduced
biocompatibility and causes specificresponses of the tissues in the surrounding
area. Since the cytotoxic effect is more significant inthe extended contact with
the culture cells, a three-day cytotoxicity test showed discrete changeswhich
were the indicator of cell growth inhibition in the cell culture. Conclusion: The
obtained results confirm the working hypothesis that repeated alloy melting
andcasting will decrease biocompatibility of dental alloys and will lead to
specific responses of thetissue in the surrounding area.
PMID- 29659216
TI - Self-perception and satisfaction with dental appearance and aesthetics with
respect to patients' age, gender, and level of education.
AB - Introduction: Patient's subjective evaluation of dental appearance and aesthetics
is becoming an increasinglyimportant factor in aesthetic treatments and
prosthetic therapy. Objective: The aim of this study was to investigate the
influence of age, education level, gender, anddifferent dental status and the
appearance of the upper anterior teeth (color, size, shape, position andalignment
of the anterior teeth) on the satisfaction of the respondents with dental
appearance andaesthetics of their upper anterior teeth and their desire for
improvement. Methods: The study encompassed 480 people aged 20 to 50 years with
an average age of 30.84 years.There were 236 male and 244 female subjects. The
respondents were interviewed using a questionnairespecially designed for the
purpose of this research. For the study, the subjects were divided into
thefollowing three age groups: the younger age group (20-30 years of age), the
middle age group (31-40years of age), and the older age group (41-50 years of
age). Results: The conducted study did not reveal statistical significance with
respect to gender in any of theexamined parameters (p > 0.05). A little more than
one half of the respondents in each age group weresatisfied with their dental
appearance and aesthetics (60.3% of the respondents in the age group of 20
30years, 55.7% in the age group of 31-40, and 53.7% in the age group of 41-50
years of age). Satisfactionwith dental appearance and aesthetics increases
linearly with the increase in the level of education andwas the highest among the
respondents with university degree (33.3%). Conclusion: Female respondents were
more dissatisfied with their dental appearance and aestheticsas compared with
male respondents, but the difference was found to be non-significant. Patients
withhigher education level were more satisfied with their dental appearance and
aesthetics than those withlower education.
PMID- 29659217
TI - The effects of implementation of guideline-directed medical therapy on relief of
angina in patients with stable coronary artery disease in Serbia.
PMID- 29659218
TI - Is pacemaker therapy the right key to patients with vasovagal syncope?
AB - Introduction: Vasovagal syncope is the most common type of reflex syncope.
Efficacy of cardiac pacingin this indication has not been the subject of many
studies and pacemaker therapy in patients withvasovagal syncope is still
controversial. Objective: This study aimed to assess the efficacy and safety of
pacing therapy in treatment of patientswith vasovagal syncope, to determine
contribution of new therapeutic models in increasing its success,and to identify
risk factors associated with a higher rate of symptoms after pacemaker
implantation. Methods: A retrospective study included 30 patients with pacemaker
implanted due to vasovagal syncopein the Pacemaker Center, Clinical Center of
Serbia, between November 2003 and June 2014. Head-uptilt test was performed to
diagnose vasovagal syncope. Patients with cardioinhibitory and mixed typeof
disease were enrolled in the study. Results: Mean age was 48.1 +/- 11.1 years and
18 (60%) patients were men. Mean follow-up period was5.9 +/- 3.0 years.
Primarily, implantable loop recorder was implanted in 10 (33.3%) patients. Twenty
(66.7%)patients presented cardioinhibitory and 10 (33.3%) mixed type of vasovagal
syncope. After pacemakerimplantation, 11 (36.7%) patients had syncope. In
multiple logistic regression analysis we showed thatsyncope is statistically more
likely to occur after pacemaker implantation in patients with mixed type
ofvasovagal syncope (p = 0.018). There were two (6.7%) perioperative surgical
complications. Conclusion: Pacemaker therapy is a safe treatment for patients
with vasovagal syncope, whose efficacycan be improved by strict selection of
patients. We showed that symptoms occur statistically more oftenin patients with
mixed type of disease after pacemaker implantation.
PMID- 29659219
TI - The role of the color Doppler ultrasonography and computed tomography in
estimation of portal hypertension.
AB - Introduction: Liver diseases with disturbances of hepatic and splanchnic
circulation lead to the portal hypertension, with or without a portal vein
thrombosis. Objective: This study was based on the testing of hypothesis that
more data and more precise diagnosisin patients with disorders of portal
circulation can be obtained by using color Doppler ultrasonography(CDU) and
computed tomography (CT) with contrast. Methods: The study was conducted from
February 2011 to May 2014 and it comprised 120 patientswho were suspected to have
portal hypertension or already had clinical confirmation of the portal
hypertension,patients with hepatitis, and some patients with hematological
diseases. The first group of40 patients was examined by conventional
ultrasonography and CDU, the second group by contrast CT,and the third group of
patients was examined by both methods (CDU and contrast CT). After six monthsof
adequate therapy, the patients had control examinations with the same diagnostic
technique usedduring their first examination. Results: Retrospective analysis
showed that CDU is more sensitive than CT in the assessment of presenceand age of
thrombi (CDU 93.9%; CT 86.1%). CT gives precise data in detection of
portosystemiccollaterals. Sensitivity of CT is 100% and its specificity is 67%.
Cumulative sensitivity and specificity formost parameters were increased in
patients with portal hypertension when both methods were applied. Conclusion:
This study emphasizes the possibility of early and more accurate diagnosis
achieved whencombining two radiological techniques (CDU and contrast CT scan),
which is not the case when thesemethods are used separately.
PMID- 29659220
TI - Nanosized Hydroxyapatite Precipitation on the Ti-30Ta-xHf Alloys.
AB - In this study, we prepared hydroxyapatite (HAp) layer on the alkali treated Ti
30Ta-xHf alloys using electrochemical deposition method. Ti-30Ta-xHf alloys was
anodized in 5 M NaOH solution at 0.3 A for 10 min. Alkali treated Ti-30Ta-xHf
surface formed by anodization step which acted as templates and anchorage for
growth of the HAp during subsequent pulsed electrochemical deposition process at
85 degrees C. The phase and morphologies of deposited HAp layer were affected by
the Hf contents of Ti-30Ta-xHf alloys. The nano-scale rod-like HAp layer was
formed on untreated Ti-30Ta-xHf alloys with partially low crystallinity. In the
case of alkali treated Ti-30Ta-xHf, nano-sized needle-like layers were
transferred to nano-flake surface and denser morphology as Hf content increased.
PMID- 29659221
TI - Prevalence and risk factors of vascular calcification in pre-dialysis patients
with Balkan endemic nephropathy.
AB - Introduction: Vascular calcifications (VC) are common in patients with chronic
kidney disease and presentone of manifestations of mineral and bone disorders in
these patients. Objective: The aim of this pilot study was to examine the
prevalence and risk factors of VC in pre-dialysispatients with Balkan endemic
nephropathy (BEN) and other kidney diseases. Methods: The study involved 32 pre
dialysis patients, 15 with BEN and 17 with other kidney diseases. Allthe patients
underwent an interview, objective examination, routine laboratory analyses and
measurementof serum concentration of intact parathyroid hormone (iPTH), 25
hydroxyvitamin D3 [25(OH)D3]and osteopontin. VCs in iliac, femoral, radial, and
digital arteries were evaluated and Adragao VC scorewas calculated. The samples
of radial artery were collected during the first creation of an
arteriovenousfistula, and expression of osteocalcin, bone morphogenic protein-2
osteopontin, and matrix Gla-proteinin arterial wall were examined. Results:
Patients with BEN were significantly older (71.1 +/- 6.1 vs. 54.7 +/- 11.1
years), but they had significantlylower systolic and mean blood pressure (95.7 +/
13.2 mmHg vs. 104.3 +/- 7.4 mmHg) and lower serumconcentration of phosphorus
(1.32 +/- 0.36 mmol/l vs. 1.65 +/- 0.35 mmol/l) and cholesterol (4.3 +/- 1.1
mmol/lvs. 5.2 +/- 0.8 mmol/l) than patients with other kidney diseases. Mean VC
score was significantly lowerin patients with BEN than in those with other kidney
diseases (2.8 +/- 1.7 vs. 4.6 +/- 1.8; p = 0.009), butexpression of four examined
proteins in arterial wall differed insignificantly between the two groups.VC
score correlated significantly with serum concentrations of cholesterol,
triglycerides (positively), andiPTH (negatively). Conclusion: Pre-dialysis BEN
patients had a significantly lower mean score of VC than patients with
otherkidney diseases.
PMID- 29659222
TI - Biochemical liver function test parameter levels in relation to treatment
response in liver metastatic colorectal patients treated with FOLFOX4 with or
without bevacizumab.
AB - Introduction: Combined use of bevacizumab and conventional anticancer drugs leads
to a significantimprovement of treatment response in patients with metastatic
colorectal carcinoma (CRC). Conventionaltreatment protocols exert undesired
effects on the liver tissue. Hepatotoxic effects are manifested as adisturbance
of liver function test parameters. The relation between clinical outcome and
disorder ofbiochemical parameters has not been completely evaluated. Objective:
The objective of our study was to examine whether clinical outcome in patients
with livermetastatic CRC correlates with the level of liver function test
parameters. Methods: The study included 96 patients with untreated liver
metastatic CRC who received FOLFOX4protocol with or without bevacizumab.
Biochemical liver parameters were performed before and afterthe treatment
completion. Treatment response was evaluated as disease regression, stable
disease, anddisease progression. The patients were divided into three groups
according to the accomplished treatmentresponse. Results: In the group of
patients with disease regression the post-treatment levels of aspartate
aminotransferase,alanine aminotransferase, and bilirubin were statistically
significantly increased. In contrastto this, gamma-glutamyltransferase and
protein post-treatment values were significantly lower in relationto initial
values. In patients with stable disease, difference was found only in the level
of proteins beinglower after the treatment. In patients with disease progression,
values of aspartate aminotransferase andbilirubin were significantly increased
after completed treatment. Conclusion: Treatment responses are not completely
associated with the level of liver function test parameters.The only parameter
which correlated with treatment response is gamma-glutamyltransferase.Its
decrease is accompanied with disease regression.
PMID- 29659223
TI - Bismuth Oxychloride Nanoplates Prepared by a Single-Source Organometallic
Reactant Bismuth Hexafluoroacetylacetonate and Their Excellent Photocatalytic
Performance.
AB - Bismuth oxychloride (BiOCl) is well known for its photocatalytic activity and
many methods are reported to prepare this layered-structure material. In this
study, bismuth hexafluoroacetylacetonate Bi(C5HF6O2)3 was chosen as Bi source in
the synthesis of BiOCl. Uniform BiOCl nanoplates were fabricated without any
organic additive to control the morphology. In the formation process,
Bi(C5HF6O2)3 acted as both reagent and soft-template agent. Moreover BiOCl
nanoplates exhibited quite high photocatalytic activity. Both P25 and BiOCl
nanoplates can decompose the whole RhB dye within 30 minutes, which indicates
that BiOCl nanoplates are of the comparative photocatalytic performance as P25.
PMID- 29659224
TI - Negative-pressure wound therapy for deep groin vascular infections.
AB - Introduction: Infection of synthetic graft in the groin is a rare but devastating
complication. When itoccurs, several possibilities of treatment are available.
Extra-anatomic reconstruction and in-situ implantationof new, infection resistant
grafts are associated with high mortality and morbidity. Therefore,more
conservative approach is needed in some cases. Negative-pressure wound therapy is
one of theoptions in treating such patients. Objective: The aim of this study was
to assess the outcome for deep groin vascular graft infection treatedwith
negative-pressure wound therapy. Methods: Seventeen patients (19 wounds), treated
for Szilagyi grade III groin infections between October2011 and June 2014, were
enrolled into this observational study. Results: Majority of the wounds (11/19)
were healed by secondary intention, and the rest of the wounds(8/19) were healed
by primary intention after initial negative-pressure wound therapy and graft
substitutionwith silver-coated prostheses or autologous artery/vein implantation.
No early mortality wasobserved. Minor bleeding was observed in one patient.
Reinfection was noted in three wounds. Onlyone graft occlusion was noted. Late
mortality was observed in three patients. Conclusion: Negative-pressure wound
therapy seems to be safe for groin vascular graft infections andcomfortable for
both patient and surgeon. However, the rate of persistent infection is high. This
technique,in our opinion, can be used as a "bridge" from initial wound
debridement to definitive woundmanagement, when good local conditions are
achieved for graft substitution, either with new syntheticgraft with
antimicrobial properties or autologous artery/vein. In selected cases of deep
groin infectionsit can be used as the only therapeutic approach in wound
treatment.
PMID- 29659225
TI - Risk factors for severe influenza A virus infections in post-2009 pandemic
period.
AB - Introduction: Literature data concerning risk factors for severe influenza in
post-2009 pandemic period,from low- and middle-income Central and Eastern
European countries are very limited. Objective: The aim of this study was to
investigate the risk factors for severe A(H1N1)pdm09 and A(H3N2)influenza during
the post-2009 pandemic period. Methods: During four consecutive seasons of
2010/2011-2013/2014, nasopharyngeal or nasal and pharyngealswab samples from 153
patients with mild and 147 patients with severe influenza were testedusing real
time reverse transcription polymerase chain reaction (real-time RT PCR) assays.
Results: The study indicated three statistically significant risk factors of
influenza severity, including presenceof chronic underlying illness/condition
[odds ratio (OR) of 15.2, 95% confidence interval (CI) of1.8-125.4, p = 0.001),
age >=15 years (OR 9.2, 95% CI 3.5-24.1, p < 0.001), and delay in medical care
ofmore than two days after the symptoms onset (OR 3.2, 95% CI 1.6-6.4, p =
0.001). Conclusion: Obtained results confirmed that patients with chronic
underlying illness/condition andolder than 15 years had the highest risk for
serious complications from influenza and highlighted theimportance of start of
antiviral therapy within the first two days of illness in order to reduce the
risk forthe most severe outcomes of influenza, such as acute respiratory distress
syndrome and lethal outcome.
PMID- 29659228
TI - Quality of osteoarthritis care in family medicine - A cross-sectional study.
AB - Introduction: Effective treatments for osteoarthritis are available, yet little
is known about the quality ofprimary care in the Republic of Srpska for this
disabling condition. Objective: The main objective of this study was to analyze
the overall quality of osteoarthritis treatmentin a family medicine setting, as
well as to explore whether the achievement of quality indicators wasassociated
with particular patient characteristics and severity of osteoarthritis. Methods:
The cross-sectional study included 120 patients with confirmed hand, knee, and
hip osteoarthritis,recruited at seven family practices in the town of Ugljevik,
Republic of Srpska, Bosnia and Herzegovina.Data were extracted from a patient
questionnaire on quality indicators, as well as from their electronicand paper
records, to assess care against 14 indicators. The included quality indicators
were based onthe Arthritis Foundation's Quality Indicator set for Osteoarthritis.
Summary achievement rates for hip,knee, or hand osteoarthritis, as well as for
the total sample, were calculated. Results: The mean achievement rate for all 14
quality indicators obtained from medical records was74%, and 77% obtained from
patient interview. The quality indicators concerning referral for weightreduction
(23%) and pharmacological treatment (24%) had the lowest achievement rates,
whereas thehighest achievement rates were related to physical examination (100%),
pain and functional assessment(100%), and education (90.8%). Patients physical
functioning was significantly associated with the qualityindicator achievement
rate (p = 0.001). Conclusion: Pharmacological therapy and the referral of
osteoarthritis patients in need of weight reductionseem to have the greatest
potential for improvement in primary health care.
PMID- 29659229
TI - The onset of systemic lupus erythematosus and thyroid dysfunction following
Graves' disease - A case report and literature review.
AB - Introduction: Graves' disease is a multifactorial autoimmune thyroid disease,
with the presence of typicalcirculating autoantibodies that can activate the
thyroid hormone receptors, resulting in hyperthyroidism,goiter, and
ophthalmopathy. Systemic lupus erythematosus is a multi-systemic autoimmune
diseasethat involves almost all the organs of the human body and is characterized
by autoantibodies formation.Several studies have reported that autoimmune thyroid
and rheumatic disorders can present anunusual relationship. Case Outline: We
report a case of a middle-aged woman who presented with systemic lupus
erythematosusone year after being diagnosed with Graves' disease. Prednisone and
cyclophosphamide wereadministered to control the development of systemic lupus
erythematosus. Furthermore, a percutaneousthyroid biopsy was performed for
further confirmation of Graves' disease. Methimazole instead ofpropylthiouracil
was added into the therapeutic scheme. A month later, the patient's clinical
manifestationand laboratory tests got significant improvement, except that new
thyr o id dysfunction appearedopposite to the original one. The administration of
anti-thyroid drug was discontinued. With a periodof decreased administration of
prednisone, the patient's thyroid function gradually got back to normallevels
without any levothyroxine replacement. Conclusion: In conclusion, the clinical
use of prednisone and antithyroid drugs may result in instabilityof the
hypothalamus-pituitary-thyroid axis, and thyroid function should be carefully
monitored in suchpatients.
PMID- 29659230
TI - The Effect of Temperature on Photoluminescence Enhancement of Quantum Dots in
Brain Slices.
AB - In this paper, we investigated the effect of temperature on photoluminescence of
quantum dots immobilized on the surface of an optical fiber in a rat brain slice.
The optical fiber was silanized with 3-aminopropyl trimethoxysilane (APTMS),
following which quantum dots with carboxyl functional group were immobilized on
the optical fiber via amide bond formation. The effect of temperature on the
fluorescence intensity of the quantum dots in rat brain slices was studied. This
report shows that the fluorescence intensity of quantum dots increases with the
increase of temperature of the brain slice. The fluorescence enhancement
phenomenon appears to take place via electron transfer related to pH increase.
With the gradual increase of temperature, the fluorescence intensity of quantum
dots in solution decreased, while that in the brain slice increased. This
enhanced thermal performance of QDs in brain slice makes suggestion for the study
of QDs-based brain temperature sensors.
PMID- 29659231
TI - Synchronous advanced pulmonary tuberculosis and acute virus myocarditis mimicked
Wegener granulomatosis in a 26-year-old man - A case report.
AB - Introduction: Tuberculosis patients are rarely asymptomatic. Acute virus
myocarditis presents with a widerange of symptoms, from mild dyspnea or chest
pain to cardiogenic shock and death. Case Outline: A 26-year-old Caucasian man
non-smoker presented with one-week history of lower extremities'swelling. The
patient's medical history also revealed a two-day episode of subfebrile
temperaturewith scanty hemoptysis three weeks prior to admission. The episode had
not provoked him toseek medical care. Physical examination revealed generalized
oedema, and laboratory analysis showedsigns of acute renal insufficiency.
Enlarged heart and hilar shadows, bilateral massive cavitary pulmonaryopacities
and pleural effusion were found at chest radiography. Sputum smears were
Mycobacterianegative on direct microscopy. Electrocardiogram changes and
echocardiography were suggestive ofacute myocarditis with dilated cardiomyopathy.
IgM titer to adenovirus was positive. Under diuretics,angiotensin-converting
enzyme inhibitor, beta-blocker, antibiotics and bed rest, fast heart
compensationand renal function repair were achieved. Radiographic pulmonary
changes promptly regressed exceptfor a cavity in the right upper lobe. Bronchial
aspirate from the affected lobe was Mycobacteria positiveon direct microscopy and
culture positive for Mycobacterium tuberculosis. Standard anti-tuberculosisdrug
regimen led to recovery. Conclusion: In the unusual common existence of two
diseases whose presentation initially mimickedWegener's granulomatosis, acute
dilated cardiomyopathy contributed to pulmonary tuberculosis detection.To prevent
diagnostic delay in tuberculosis, further efforts in population education are
necessarytogether with continual medical education
PMID- 29659232
TI - Atypical, polyarticular lipoma arborescens in a child.
AB - Introduction: Lipoma arborescens is a rare, tumor-like lesion commonly involving
synovial joints andless commonly bursae and synovial tendon sheaths. Case
Outline: We report a case of a 12-year-old boy with symmetric involvement of the
bicipitoradialbursae, synovial sheaths of extensor compartments of both hands and
medial ankles. The diagnosis ofpolyarticular lipoma arborescens was proposed on
magnetic resonance (MR) imaging and this diagnosiswas histologically proven after
biopsy of the bursae and later by open surgery of the synovial sheath ofthe right
ankle tendons. Literature search was performed and twelve cases with
polyarticular involvementwere analyzed. Lipoma arborescens commonly involves
suprapatellar recess of the knee and very rarelyother joints or bursae.
Histological analysis revealed an accompanying non-necrotizing
granulomatoussynovial inflammation. Conclusion: Polyarticular lipoma arborescens
is a rare entity and symmetrical involvement of the jointsother than the knees is
exceedingly rare. MR imaging plays a significant role in the diagnostic
protocol,and the characteristic fatty signal on MR imaging is highly suggestive
of lipoma arborescens.
PMID- 29659233
TI - Fondaparinux monitoring in a patient with heparin-induced thrombocytopenia on
hemodialysis.
AB - Introduction: Heparin-induced thrombocytopenia associated to hemodialysis is
rare. In case when citratedialysis and/or non-heparin anticoagulants are not
available, only possible medication to use foranticoagulation during hemodialysis
is fondaparinux. However, laboratory monitoring of fondaparinuxbased on anti-Xa
activity in dialysis patients has not been sufficiently documented yet. Case
Outline: We created a local anti-factor Xa assay for measuring fondaparinux
plasma concentrationand efficacy in a patient with heparin-induced
thrombocytopenia during hemodialysis. Fondaparinuxgiven subcutaneously increases
risk of adverse events due to its extended release and prolonged maintenanceof
toxic levels. When used intravenously fondaparinux remains safe, with reached
steady-statelevel within dialysis and low risk of toxicity afterwards.
Conclusion: Fondaparinux may be used as an alternative anticoagulant medication
during hemodialysisin patients who develop heparin-induced thrombocytopenia.
Adequate dose must be adjusted topatients' dry weight (0.03 mg/kg intravenously)
and fondaparinux anti-coagulation monitoring mustbe provided.
PMID- 29659235
TI - Hepatocellular carcinoma and impact of aflatoxin difuranocoumarin derivative
system - A case report.
AB - Introduction: Hepatocellular carcinoma (HCC) is the most frequent type of liver
malignancy. As a carcinogen,aflatoxin B1 (AFB1) causes HCC by inducing
deoxyribonucleic acid adducts that lead to geneticchanges in liver cells and may
be the cause of HCC in up to 30% of cases. The incidence of HCC has beenon the
rise and is an issue in the countries of the Western Balkans. Case Outline: This
paper presents a case of a 37-year-old woman who was diagnosed with HCC,
withouthepatitis B, hepatitis C, or liver cirrhosis. The patient consumed milk
and dairy products in quantities ofover two liters per day over the course of 20
years, which indicates the impact of aflatoxin in milk onHCC. A positive signal
for the presence of AFB1 was detected by ELISA (enzyme-linked immunosorbentassay)
in-house using immunoperoxidase screening test. Conclusion: As carcinogenic
difuranocoumarin derivative, aflatoxin B1 is the most likely cause of
malignanttransformation of hepatocytes, which resulted in hepatocellular
carcinoma in this patient.
PMID- 29659234
TI - Mucormycosis of the paranasal sinuses in a patient with acute myeloid leukemia.
AB - Introduction: Invasive fungal infection is among the leading causes of morbidity,
mortality, and economicburden for patients with acute leukemia after induction of
chemotherapy. In the past few decades, theincidence of invasive fungal infection
has increased dramatically. Its management has been furthercomplicated by the
increasing frequency of infection by non-Aspergillus molds (e.g. Mucorales).
Neutropenicpatients are at a high risk of developing an invasive mucormycosis
with fulminant course andhigh mortality rate (35-100%). Case Outline: We are
presenting the case of a 72-year-old male with an acute monoblastic leukemia.The
patient was treated during five days with hydroxycarbamide 2 * 500 mg/day,
followed by cytarabine2 * 20 mg/sc over the next 10 days. He developed febrile
neutropenia, headache, and edema ofthe right orbital region of the face. Computed
tomography of the sinuses revealed shadow in sinuseswith thickening of mucosa of
the right paranasal sinuses. Lavage and aspirate from the sinuses
revealedRhizopus oryzae. Mucormycosis was successfully treated with amphotericin
B (5 mg/kg/day) followed byketoconazole (400 mg/day). Two months later the
patient died from primary disease. Conclusion: In patients with acute leukemia
who developed aplasia, febrile neutropenia, and pain inparanasal sinuses, fungal
infection should be taken into consideration. New and non-invasive methods
fortaking samples from sinuses should be standardized in order to establish an
early and accurate diagnosisof mucormycosis with the source in paranasal sinuses,
and to start early treatment by a proper antifungaldrug. Clear communication
between physician and mycologist is critical to ensure proper and timelysampling
of lavage and aspirate from sinuses and correct specimen processing when
mucormycosis issuspected clinically.
PMID- 29659236
TI - Shiga toxin-producing Escherichia coli hemolytic uremic syndrome.
AB - The hemolytic-uremic syndrome (HUS) is characterized by microangiopathic
hemolytic anemia, thrombocytopenia,and acute kidney injury (AKI). The major cause
of HUS in childhood (>90%) is infection withverocytotoxin (Shiga-like toxin -
"Stx")-producing bacteria, usually enterohemorrhagic Escherichia coli(VTEC/STEC).
The infection may be transmitted by the consumption of undercooked meat,
pasteurizeddairy products, contaminated vegetables, fruits and water, or by
contact with STEC diarrhea. After anincubation period of three to eight days,
patients commonly develop bloody diarrhea followed in 5-22%by HUS that may be
complicated by central nervous system, pancreatic, skeletal, and myocardial
involvement.HUS is one of the main causes of AKI in children in Europe. The
management of HUS includes theusual treatment of children with AKI. Transfusion
with packed red blood cells is needed in case of a severeanemia, while platelet
transfusions are limited to the need for a surgical procedure or in active
bleeding.Currently, there is no consensus on the use of antibiotic therapy.
Treatment with plasma and/or plasmaexchange has not been proven beneficial in
STEC-HUS. Eculizumab has been used for the treatment ofSTEC-HUS, but the value of
this treatment remains to be determined. The mortality of HUS is reportedto be 3
5%. About 12% of patients will progress to end-stage renal failure within four
years and about25% will have long-term complications, including hypertension,
proteinuria, renal insufficiency, andinsulin-dependent diabetes mellitus.
Transplantation can be performed without increased risk for therecurrence of the
disease.
PMID- 29659237
TI - Development of cardiopulmonary bypass - A historical review.
AB - The idea of isolated organ perfusion, a precursor of cardiopulmonary bypass, came
by Legalois in 1812.First isolated organ perfusion was described by Loebell in
1849. The first closed system for oxygenationand returning the blood through
arteries was created by Frey and Gruber in 1885. Gibbon Jr. is consideredthe
father of extracorporeal circulation. In spring of 1934 he began constructing a
machine for extracorporealcirculation in Boston. He published the first
description of this system in 1937. Gibbon won thegrant of the International
Business Machines Corporation for developing the machine in 1947. Togetherthey
developed Model I in 1949 and Model II in 1951. After a few unsuccessful attempts
in 1952, thefirst successful surgical intervention on the heart (closure of
atrial septal defect) using cardiopulmonarybypass was performed on May 6, 1953.
In 1945, Kirklin and his working group reported on a series ofeight successfully
treated patients in a row who underwent surgery with extracorporeal circulation.
Firstsuccessful valve surgery under the direct vision was performed by Dodrill in
1952, using his "MichiganHeart" machine as a right heart bypass. Using
cardiopulmonary bypass, cardiac surgeons can deal withthe complex cardiac
pathology and save millions of lives.
PMID- 29659238
TI - Postponed diagnosis of alpha-1 antitrypsin deficiency.
PMID- 29659239
TI - Adverse Reproductive Health Outcomes and Exposure to Gaseous and Particulate
Matter Air Pollution in Pregnant Women.
AB - Introduction: There is growing epidemiologic evidence of associationsbetween
maternal exposure to ambient airpollution and adverse birth outcomes, such
aspreterm birth (PTB). Recently, a few studies havealso reported that exposure to
ambient air pollutionmay also increase the risk of some commonpregnancy
complications, such as preeclampsiaand gestational diabetes mellitus (GDM).
Researchfindings, however, have been mixed. These inconsistentresults could
reflect genuine differences inthe study populations, the study locations, the
specificpollutants considered, the designs of the study,its methods of analysis,
or random variation.Dr. Jun Wu of the University of California-Irvine, a
recipient of HEI's Walter A. RosenblithNew Investigator Award, and colleagues
haveexamined the association between air pollutionand adverse birth and pregnancy
outcomes inCalifornia women. In addition, they examined theeffect modification by
socioeconomic status (SES)and other factors. Approach: A retrospective nested
case-control study wasconducted using birth certificate data from about4.4
million birth records in California from 2001 to2008. Wu and colleagues analyzed
data on lowbirth weight (LBW) at term (infants born between37 and 43 weeks of
gestation and weighing lessthan 2500 g), PTB (infants born before 37 weeks
ofgestation), and preeclampsia (including eclampsia)of the mother during the
pregnancy. In addition,they obtained data on GDM for the years 2006-2008. In the
analyses, all outcomes were includedas binary variables.Maternal residential
addresses at the time ofdelivery were geocoded, and a large suite of airpollution
exposure metrics was considered, suchas (1) regulatory monitoring data on
concentrationsof criteria pollutants NO2, PM2.5 (particulatematter <= 2.5 MUm in
aerodynamic diameter), andozone (O3) estimated by empirical Bayesian kriging;(2)
concentrations of primary and secondaryPM2.5 and PM0.1 components and sources
estimatedby the University of California-DavisChemical Transport Model; (3)
traffic-related ultrafineparticles and concentrations of carbonmonoxide (CO) and
nitrogen oxides (NOx) estimatedby a modified CALINE4 air pollution
dispersionmodel; and (4) proximity to busy roads, roadlength, and traffic density
calculated for differentbuffer sizes using geographic information systemtools. In
total, 50 different exposure metrics wereavailable for the analyses. The exposure
of primaryinterest was the mean of the entire pregnancyperiod for each mother.For
the health analyses, controls were randomlyselected from the source population.
PTB controlswere matched on conception year. Term LBW, preeclampsia,and GDM were
analyzed using generalizedadditive mixed models with inclusion of arandom effect
per hospital. PTB analyses were conductedusing conditional logistic regression,
withno adjustment for hospital. The main results-adjusted for race and education
as categorical variablesand adjusted for maternal age and medianhousehold income
at the census-block level-werederived from single-pollutant models. Main results
and interpretation: In its independent review of the study, the HEIHealth Review
Committee concluded that Wu andcolleagues had conducted a comprehensive
nestedcase-control study of air pollution and adversebirth and pregnancy
outcomes. The very large dataset and the extensive exposure assessment
werestrengths of the study.The study documented associations betweenincreases in
various air pollution metrics andincreased risks of PTB, whereas the evidence
wasweaker overall for term LBW; in addition, decreasesin many air pollution
metrics were associated withan increased risk of preeclampsia and GDM,
anunexpected result.The investigators suggested that underreportingin the
registry data, especially in lower-SESgroups, might have caused the many negative
associationsfound for preeclampsia and GDM. In addition,poor geocoding was listed
as a potentialexplanation, affecting in particular the results thatwere based on
measures of proximity to busy roadsand traffic density in the smallest buffer
size (50m). However, those issues were not fully explored.In general, the
Committee thought that the analysisof road traffic indicators in the 50 m buffer
washampered by the lack of contrast and that theresults are therefore difficult
to interpret.Some other issues with the analytical approachesshould be considered
when interpreting the results.Only a subset of controls was used, to reduce
computationaldemands. Hence, some models did notconverge, especially in the
subgroup analyses.Most of the results in the report were based onanalyses using
single-pollutant models, which is areasonable approach but ignores that people
areexposed to complex mixtures of pollutants. TheCommittee believed that the few
two-pollutantmodels that were run provided important insights:these models showed
the strongest association forPM2.5 mass, whereas components and source
specificpositive associations largely disappearedafter adjusting for PM2.5 mass.
This study adds tothe ongoing debate about whether some particlecomponents and
sources are of greater publichealth concern than others.
PMID- 29659240
TI - Ambient Air Pollution and Adverse Pregnancy Outcomes in Wuhan, China.
AB - Background: Several recent studies have suggested that maternalexposures to air
pollution and temperature extremesmight contribute to low birth weight
(LBW),preterm birth (PTB), and other outcomes that can adverselyaffect infant
health. At the time the currentstudy began, most other studies had been
conductedin the United States or Europe. Dr. Zhengmin Qianproposed to extend work
he had done on ambientparticulate air pollution and daily mortality inWuhan,
China (Qian et al. 2010), as part of the HEIsponsoredPublic Health and Air
Pollution in Asiaprogram, to study adverse birth outcomes. Wuhan isthe capital
city of Hubei province, has a large populationof about 6.4 million within the
urban study area,experiences temperature extremes, and generally hashigher air
pollution levels than those observed in theUnited States and Europe, thus
providing a good opportunityto explore questions about air pollutionand health.
Approach: Qian and colleagues planned a cohort and nestedcase-control design with
four specific aims, examiningwhether increased exposures to air pollutants(PM2.5,
PM10, SO2, NO2, O3, and CO) during vulnerablepregnancy periods were associated
withincreased rates of PTB, LBW (<2500 g), or intrauterinegrowth retardation
(IUGR, defined as havinga birth weight below the 10th percentile of singletonlive
births in Wuhan) after adjusting for major riskfactors and whether the
associations were confoundedby copollutant exposures, affected byresidual
confounding, or modified by temperatureextremes, socioeconomic status (SES), or
secondhandsmoke (SHS) exposure.The cohort study included 95,911 births
thatoccurred from June 10, 2011, to June 9, 2013, andmet typical prespecified
inclusion criteria used inother birth outcome studies. The case-controlstudy
included 3146 cases (PTB, LBW, or both, butnot IUGR) and 4263 controls (matched
to the casesby birth month) for whom investigators were able tocomplete home
visits and questionnaires.The investigators obtained air pollution and
dailyweather data for August 2010 to June 2013 from ninemonitoring stations
representing background air pollutionsites in seven Wuhan inner-city districts.
Onlytwo of these stations provided PM2.5 data. For thecohort study, the
investigators assigned exposures tomothers according to the daily mean
concentrationsfrom the monitor nearest the residential communityin which the
mother lived at the time of the birth. Forthe case-control study, they assigned
exposuresbased on the inverse distance weighted average ofdaily mean
concentrations from the three nearestmonitors, for all but PM2.5 for which the
method wasnot specified.They also collected data on various factors thatmight
confound or modify the impact of the pollutantson the adverse outcomes, including
data collectedin the cohort from mothers at the time of deliveryand, in the case
control study, from questionnairesadministered to mothers. In the case-control
study,covariates representing SES (as indicated by themother's educational
attainment and household income)and SHS exposures were of particular interest.The
primary statistical analyses of the pollutantassociations with PTB, LBW, and IUGR
were conductedusing logistic regression models. In thecohort study, exposures
during the pregnancyperiod of interest (full term, trimesters, and
selectedmonths) were included as continuous variables. Inthe case-control study,
the exposures were modeledas binary variables (i.e., above or below themedian
pollutant concentrations). Numerous sensitivityanalyses were conducted. Results
and interpretation: Although originally planning a nested case-controlstudy, the
investigators encountered challenges thatled them to analyze the cohort and case
controlstudies using different ways of assigning exposuresand characterizing them
in their statistical models.These decisions precluded direct comparisonsbetween
the sets of results, making it difficult toanswer the questions about residual
confounding thatnested case-control studies are designed to answer.The odds
ratios from the two study designs using differentexposures also have different
interpretations.Still, one can ask whether the sets of findings werequalitatively
consistent with each other or withthose of similar studies. There were some
similarities.Both studies suggested that increased PM(2.5),PM(10), CO, and O(3)
exposures over the full pregnancywere associated with small increases in the odds
ofPTB (the case-control study also showed an associationwith NO2) and that
increased PM(2.5) exposureswere associated with significantly increased odds
ofLBW. However, most of the other pollutants had noeffect on LBW, except CO in
the cohort study and O(3)in the case-control study, both of which increasedthe
odds of LBW. The exposures over the entire pregnancywere generally associated
with decreasedodds of IUGR. Adjustments for potential confounderswere greatest
for the delivery covariates.The investigators found no systematic associationof
any of these outcomes with particular trimestersor months, another result that
differed fromthose of some other studies. They found little evidencethat their
main results were confounded ormodified by the presence of copollutants,
althoughwith the exception of O3, most of the pollutantswere highly correlated,
making it difficult to disentanglethe effects of individual pollutants.Could the
two sets of data be analyzed in a morecomparable way, as in a standard nested
case-controlstudy? At the Committee's request, the investigatorsreanalyzed the
case-control data using the sameexposures and models as in the cohort study.
Theresults were strikingly different from those usingthe inverse distance
weighted exposures, modeledas binary variables - the pollutants had either
noeffect or an apparent beneficial effect on PTB andLBW. The Committee was not
convinced by theexplanations offered for these differences, leavingthe reasons
for them unresolved. Conclusions: This study set out to answer important
questionsabout the effects of air pollution exposure on threemeasures of adverse
birth outcomes - LBW, PTB,and IUGR - in a large cohort of mothers and newbornsin
Wuhan, China. Given the cohort size, highpollution levels and temperatures, and
detailedcovariate data, the investigators were well poised toaddress these
questions. They sought to patterntheir work on other studies of birth outcomes,
werevery responsive to Committee questions, and providedmany additional analyses
and explanations.In the Committee's view, however, the study wasunable to address
with confidence several of its specificaims. Most important, the differences
inresults when the case-control data were analyzedwith different exposure metrics
remain unexplained,raising concerns about the ability to drawconclusions from
subsequent analyses assessingresidual confounding and effect modification
bytemperature extremes, SES, and SHS exposure.Consequently, any individual
findings from thecohort and case-control studies should be consideredsuggestive
rather than conclusive, and shouldbe interpreted carefully together.
PMID- 29659241
TI - Protective Role of Eosinophils and TNFa after Ozone Inhalation.
AB - Introduction: Exposure to ozone induces deleterious responsesin the airways that
include shortness of breath,inflammation, and bronchoconstriction. Peoplewith
asthma have increased airway sensitivity toozone and other irritants. Dr. Allison
Fryer andcolleagues addressed how exposure to ozone affectsthe immune and
physiological responses inguinea pigs. Guinea pigs are considered a usefulanimal
model for studies of respiratory and physiologicalresponses in humans; their
response toairborne allergens is similar to that in humans andshares some
features of allergic asthma.Fryer and colleagues had previously observedthat
within 24 hours of exposure, ozone not onlyinduced bronchoconstriction but also
stimulatedthe production of new cells in the bone marrow,where all white blood
cells develop. As a resultof ozone exposure, increased numbers of
newlysynthesized white blood cells, particularly eosinophils,moved into the blood
and lungs.The central hypothesis of the current study wasthat newly synthesized
eosinophils recruited tothe lungs 3 days after ozone exposure were beneficialto
the animals because they reduced ozoneinducedbronchoconstriction. The
investigatorsalso hypothesized that the beneficial effect seenin normal
(nonsensitized) animals was lost in animalsthat had been injected with an
allergen, ovalbumin(sensitized). They also planned to explorethe effects of
inhibitors of certain cytokines (cellsignalingmolecules).Immune responses in
sensitized animals aredominated by a Th2 pattern, which is characterizedby the
synthesis of cytokines (interleukin[IL]-4, IL-5, and IL-13) and the Th2 subset of
CD4+T lymphocytes and the cells they activate (predominantlyeosinophils, and B
lymphocytes thatswitch to making immunoglobulin E [IgE]). Thus,sensitized animals
were used as a model of allergichumans, whose immune responses tend to
bedominated by IgE. Approach: Fryer and colleagues exposed normal and
sensitized(allergic) guinea pigs to 2 ppm ozone or filteredair for 4 hours and
measured changes in cellnumbers and airway responses 1 or 3 days later.They
counted the numbers of eosinophils and otherwhite blood cells (macrophages,
neutrophils, andlymphocytes) in bone marrow, blood, and bronchoalveolarlung
lavage fluid. The investigatorsalso measured important physiological
responses,including bronchoconstriction. Some animals werepretreated with
etanercept and monoclonal anti-IL-5,which block tumor necrosis factor-a (TNFa)
and IL-5,respectively. TNFa and IL-5 blockers have been usedto treat patients
with asthma.A key feature of the study was a technique to distinguishwhich white
blood cells were synthesized afterexposure from those that already existed, by
injectinganimals with bromodeoxyuridine (BrdU). BrdUis a thymidine analogue that
is incorporated into theDNA of dividing cells, serving as a marker of
newlyproduced cells. Therefore, a snapshot can be obtainedof the proportion of
newly synthesized (BrdU-positive)versus pre-existing (BrdU-negative) cell types.
Key results: 1. Allergic and normal animals differed in the timecourse of
bronchoconstriction and changes in celltypes after ozone exposure. In normal
animals,bronchoconstriction increased substantially atday 1 but decreased by day
3 after ozone exposure.In contrast, in allergic animals
bronchoconstrictionremained high at day 3. Ozone also increasedthe percentage of
newly formed, BrdU2positive eosinophils in the bone marrow andlungs of normal but
not allergic animals.2. Pretreatment with the TNFa blocker etanercepthad complex
effects, which differed betweennormal and allergic animals. In normal
animals,etanercept decreased ozone-induced new synthesisof eosinophils in the
bone marrow andblocked eosinophil migration to the lung; it alsoincreased
bronchoconstriction at day 3 (relativeto day 1 without etanercept). In allergic
animals,etanercept had no effect on any cell type in thebone marrow or lung after
exposure to ozone anddid not change bronchoconstriction comparedwith allergic
animals not treated with etanercept.Etanercept tended to increase the numbers
ofblood monocytes and lymphocytes in air- andozone-exposed normal and allergic
animals atday 3, but had no effect on eosinophils in bloodat this time point.
This was one of the few statisticallysignificant findings in the blood of
exposedanimals in the study.3. Anti-IL-5 reduced bronchoconstriction at day 3
afterexposure of allergic animals to ozone. In contrast,bronchoconstriction was
greatly increasedin normal animals treated with anti-IL-5. Conclusions: Fryer and
colleagues explored the airway and cellularresponses in guinea pigs exposed to
ozone. TheHEI Review Committee, which conducted an independentreview of the
study, agreed that the findingssupported the authors' hypothesis (1) that
exposureto ozone stimulates production of eosinophils in bonemarrow, (2) that
these newly formed eosinophils migrateto the lungs, and (3) that those
eosinophils playa delayed but potentially beneficial role in reducingozone
induced inflammation in the airways of healthynormal animals, but not in allergen
sensitized animals.The Committee also agreed that guinea pigswere a good model
for studying responses to an allergen,because a major subtype of asthma (the
highTh2 or allergic type) is associated with high levels ofeosinophils in the
blood.A novel finding was that the TNFa blocker etanerceptdecreased ozone-induced
formation of eosinophilsin the bone marrow and blocked eosinophilmigration to the
lung in normal animals. However,because injecting etanercept had little effect on
eosinophilsand did not decrease bronchoconstriction inallergic guinea pigs, the
potential for treating patientswith allergic asthma with TNFa blockers is
uncertain.This is consistent with the poor performance of TNFablockers in
clinical studies of asthma treatment.Blocking the cytokine IL-5 with an anti-IL-5
antibodysubstantially decreased bronchoconstriction insensitized animals. This
suggests that therapies targetingIL-5 and eosinophils would be promising in
atleast some types of asthma. The Committee expressedcaution toward experiments
with cytokine blockers,both in animal models and humans, because suchblockers are
often not specific to a particular cell typeand may differ at different sites in
the body. Withoutfurther detailed confirmation of the effects of theblockers,
interpreting these experiments can be challenging.The Committee concluded that
the study by Fryerand colleagues raises several intriguing directions forfuture
research, including exploring ways in whichnewly formed eosinophils differ from
pre-existingones, and how such findings apply to humans withallergy or asthma.
PMID- 29659242
TI - Exploring the Promiscuity of Phenol Hydroxylase from Pseudomonas stutzeri OX1 for
the Biosynthesis of Phenolic Compounds.
AB - Enzyme promiscuity plays an important role in developing biosynthetic pathways
for novel target products. Phenol hydroxylase (PH) from Pseudomonas stutzeri OX1
is capable of ortho-hydroxylation of phenol and cresol isomers into counterpart
catechols. A small ferredoxin-like protein PHQ was clustered together with the ph
gene cluster in the genome of P. stutzeri OX1, and its function was not known. In
this study, we found that the existence of PHQ has a promotion effect on the
catalytic efficiency of PH. Then, we tested the substrate range of PH using nine
different non-natural substrates. We found that PH was a promiscuous hydroxylase
that could catalyze ortho-hydroxylation of several non-natural substrates,
including catechol, 4-hydroxybenzoic acid and resorcinol. On this basis, linking
the catechol biosynthetic pathway with the hydroxylation reaction catalyzed by PH
enabled construction of a novel biosynthetic pathway for the synthesis of
pyrogallol. This work not only characterized a well-performed PH, but also
provided a promising hydroxylation platform for the production of high-value
phenolic compounds.
PMID- 29659243
TI - Carbonization of Human Fingernails: Toward the Sustainable Production of
Multifunctional Nitrogen and Sulfur Codoped Carbon Nanodots with Highly
Luminescent Probing and Cell Proliferative/Migration Properties.
AB - A simple yet effective method is employed to prepare multifunctional fluorescent
carbon nanodots (CNDs) from human fingernails. The results demonstrate that the
CNDs have excellent optical properties and a quantum yield of 81%, which is
attributed to the intrinsic composition of the precursor material itself. The
CNDs are used to develop an ultrasensitive fluorescent probe for the detection of
hexavalent chromium (limit of detection: 0.3 nM) via a combined inner-filter and
static mechanism. Moreover, the toxicity of the CNDs over four epithelial cell
lines is assessed. A negligible toxicity is induced on the three of the cell
lines, whereas an increase in HEK-293 cell viability is demonstrated, granting
cell proliferation properties to the as-synthesized CNDs. According to cell cycle
analysis, cell proliferation is achieved by enhancing the transition of cells
from the S phase to the G2/M one. Interestingly, CNDs are found to significantly
promote cell migration, maybe because of their free-radical scavenging ability,
making the CNDs suitable for wound healing applications. In addition, relevant
experiments have revealed the blood compatibility of the CNDs. Finally, the CNDs
were found suitable for cell imaging applications, and all of the aforementioned
merits make it possible for them to be used for extraordinary, more advanced
biological applications.
PMID- 29659244
TI - Chemical Mapping of Nanodefects within 2D Covalent Monolayers by Tip-Enhanced
Raman Spectroscopy.
AB - Nanoscale defects in monolayers (MLs) of two-dimensional (2D) materials, such as
graphene, transition-metal dichalcogenides, and 2D polymers, can alter their
physical, mechanical, optoelectronic, and chemical properties. However, detailed
information about nanodefects within 2D covalent monolayers is difficult to
obtain because it requires highly selective and sensitive techniques that can
provide chemical information at the nanoscale. Here, we report a 2D imine-linked
ML prepared from two custom-designed building blocks by dynamic imine chemistry
at the air/water interface, in which an acetylenic moiety in one of the blocks
was used as a spectroscopic reporter for nanodefects. Combined with density
functional theory calculations that take into account surface selection rules,
tip-enhanced Raman spectroscopy (TERS) imaging provides information on the
chemical bonds, molecular orientation, as well as nanodefects in the resulting
ML. Additionally, TERS imaging visualizes the topography and integrity of the ML
at Au(111) terrace edges, suggesting possible ductility of the ML. Furthermore,
edge-induced molecular tilting and a stronger signal enhancement were observed at
the terrace edges, from which a spatial resolution around 8 nm could be deduced.
The present work can be used to study covalent 2D materials at the nanoscale,
which are expected to be of use when engineering their properties for specific
device applications.
PMID- 29659245
TI - Mechanisms of Enhanced Hemoglobin Electroactivity on Carbon Electrodes upon
Exposure to a Water-Miscible Primary Alcohol.
AB - Exposing a carbon electrode to hemoglobin (Hb) and alcoholic solvents, such as
methanol, ethanol or 1-propanol, drastically changes Hb electroactivity, but
until this work, the important underlying mechanisms were unclear. For the first
time, we show that these alcohols impact Hb electroactivity via three mechanisms:
modification of the carbon surface oxides on the glassy carbon (GC) electrode, Hb
film formation, and structural changes to Hb. C1s X-ray photoelectron
spectroscopy provided evidence for significant alcohol-induced modification of
the carbon surface oxides, and differential pulse voltammetry showed links
between these modifications and Hb electroactivity. Spectroscopic ellipsometry
showed that Hb films formed during exposure to Hb- and alcohol-containing
electrolytes increased in thickness with increasing alcohol content, although
film thickness played only a minor role in Hb electroactivity. Alcohol-induced
structural changes in Hb are confirmed with UV-visible absorption and
fluorescence data, showing that Hb denaturation also was a significant factor in
increasing Hb electroactivity. Carbon-surface-oxide modification and Hb
denaturation worked in tandem to maximally increase the Hb electroactivity in 60%
methanol. While in ethanol and 1-propanol, the significant increases in Hb
electroactivity caused by Hb denaturation were offset by an increase in Hb
inhibiting carbon surface oxides. Knowledge of these mechanisms shows the impact
of alcohols on both Hb and carbon electrodes, allows for thoughtful design of the
Hb-sensing system, is vital for proper analysis of Hb electroactivity in the
presence of these alcohols (e.g., when used as binder solvents for immobilizing
Hb into films), and provides fundamental understanding of the Hb-carbon
interactions.
PMID- 29659246
TI - Membrane Nanotubes Increase the Robustness of Giant Vesicles.
AB - Giant unilamellar vesicles (GUVs) provide a direct connection between the nano-
and the microregime. On the one hand, these vesicles represent biomimetic
compartments with linear dimensions of many micrometers. On the other hand, the
vesicle walls are provided by single molecular bilayers that have a thickness of
a few nanometers and respond sensitively to molecular interactions with small
solutes, biopolymers, and nanoparticles. These nanoscopic responses are amplified
by the GUVs and can then be studied on much larger scales. Therefore, GUVs are
increasingly used as a versatile research tool for basic membrane science,
bioengineering, and synthetic biology. Conventional GUVs have one major drawback,
however: they have only a limited capability to cope with external perturbations
such as osmotic inflation, adhesion, or micropipette aspiration that tend to
rupture the membranes. In contrast, cell membranes tolerate the same kinds of
mechanical perturbations without rupture because the latter membranes are coupled
to reservoirs of membrane area. Here, we introduce GUVs with membrane nanotubes
as model systems that include such area reservoirs. To demonstrate the increased
robustness of these tubulated vesicles, we use micropipette aspiration and
changes in the osmotic conditions applied to phospholipid membranes doped with
the glycolipid GM1. A quantitative comparison between theory and experiment
reveals that the response of the GUVs is governed by the membranes' spontaneous
tension, a curvature-elastic material parameter that describes the bilayer
asymmetry on the nanoscale. Because of their increased robustness, GUVs with
nanotubes represent improved research tools for membrane science, in general,
with potential applications as storage and delivery systems and as cell-like
microcompartments in bioengineering, pharmacology, and synthetic biology.
PMID- 29659247
TI - Computational Design of High-chi Block Oligomers for Accessing 1 nm Domains.
AB - Molecular dynamics simulations are used to design a series of high-chi block
oligomers (HCBOs) that can self-assemble into a variety of mesophases with domain
sizes as small as 1 nm. The exploration of these oligomers with various chain
lengths, volume fractions, and chain architectures at multiple temperatures
reveals the presence of ordered lamellae, perforated lamellae, and hexagonally
packed cylinders. The achieved periods are as small as 3.0 and 2.1 nm for
lamellae and cylinders, respectively, which correspond to polar domains of
approximately 1 nm. Interestingly, the detailed phase behavior of these oligomers
is distinct from that of either solvent-free surfactants or block polymers. The
simulations reveal that the behavior of these HCBOs is a product of an interplay
between both "surfactant factors" (headgroup interactions, chain flexibility, and
interfacial curvature) and "block polymer factors" (chi, chain length N, and
volume fraction f). This insight promotes the understanding of molecular features
pivotal for mesophase formation at the sub-5 nm length scale, which facilitates
the design of HCBOs tailored toward particular desired morphologies.
PMID- 29659248
TI - SnO2@C@VO2 Composite Hollow Nanospheres as an Anode Material for Lithium-Ion
Batteries.
AB - Porous SnO2@C@VO2 composite hollow nanospheres were ingeniously constructed
through the combination of layer-by-layer deposition and redox reaction.
Moreover, to optimize the electrochemical properties, SnO2@C@VO2 composite hollow
nanospheres with different contents of the external VO2 were also studied. On the
one hand, the elastic and conductive carbon as interlayer in the SnO2@C@VO2
composite can not only buffer the huge volume variation during repetitive cycling
but also effectively improve electronic conductivity and enhance the utilizing
rate of SnO2 and VO2 with high theoretical capacity. On the other hand, hollow
nanostructures of the composite can be consolidated by the multilayered
nanocomponents, resulting in outstanding cyclic stability. In virtue of the above
synergetic contribution from individual components, SnO2@C@VO2 composite hollow
nanospheres exhibit a large initial discharge capacity (1305.6 mAhg-1) and
outstanding cyclic stability (765.1 mAhg-1 after 100 cycles). This design of
composite hollow nanospheres may be extended to the synthesis of other
nanomaterials for electrochemical energy storage.
PMID- 29659249
TI - Analysis of Crystalline Silica Aerosol Using Portable Raman Spectrometry:
Feasibility of Near Real-Time Measurement.
AB - A Raman spectroscopy based method has been developed for measurement of trace
airborne concentrations of respirable crystalline silica (RCS) using various
aerosol sampling and analysis techniques. Three aerosol microconcentration
techniques were investigated for effective coupling of collected particulate
samples with micro-Raman spectroscopy: (i) direct analysis on a particulate
filter after focused aerosol collection using a converging nozzle; (ii) analysis
of dried particulate deposit on a filter obtained directly from the aerosol phase
using the Spotsampler device; and (iii) analysis of a dried spot (~1-3 mm
diameter) obtained by redepositing the particulate sample, after low-temperature
plasma ashing of the filter sample. The deposition characteristics (i.e., spot
diameter, shape, and deposit uniformity) of each technique were investigated.
Calibration curves were constructed and detection limits were estimated for alpha
quartz using the A1 Raman Si-O-Si stretching-bending phonon mode at 465 cm-1. The
measurement sensitivity could be substantially improved by increasing the signal
integration time and by reducing the particle deposition area. Detection limits
in the range of 8-55 ng could be achieved by microconcentrating the aerosol
sample over a spot measuring 400-1000 MUm in diameter. These detection limits
were two to three orders of magnitude lower compared to those attainable using
current standardized X-ray diffraction and infrared spectroscopy methods. The low
detection limits suggest that near real-time measurements of RCS could be
achieved with limits of quantification ranging from 2 to 18.5 MUg/m3 (at 10 min
collection time and 1.2 L/min sampling flow rate), depending on
microconcentration technique used. The method was successfully extended to the
measurement of alpha-quartz air concentration in representative workplace aerosol
samples. This study demonstrates the potential of portable micro-Raman
spectroscopy for near-real time measurement of trace RCS in air.
PMID- 29659250
TI - Concurrent Harvesting of Ambient Energy by Hybrid Nanogenerators for Wearable
Self-Powered Systems and Active Remote Sensing.
AB - Harvesting energy available from ambient environment is highly desirable for
powering personal electronics and health applications. Due to natural process and
human activities, steam can be produced by boilers, human perspiration, and the
wind exists ubiquitously. In the outdoor environment, these two phenomena usually
exist at the same place, which contain heat and mechanical energies
simultaneously. However, previous studies have isolated them as separate sources
of energy to harvest and hence failed to utilize them effectively. Herein, we
present unique hybrid nanogenerators for individually/simultaneously harvesting
thermal energy from water vapors and mechanical energy from intermittent wind
blowing from the bottom side, which consist of a wind-driven triboelectric
nanogenerator (TENG) and pyroelectric-piezoelectric nanogenerators (PPENGs). The
output power of the PPENG and the TENG can be up to about 184.32 MUW and 4.74 mW,
respectively, indicating the TENG plays the dominant role. Our hybrid
nanogenerators could provide different applications such as to power digital
watch and enable self-powered sensing with wireless transmission. The device
could also be further integrated into a face mask for potentially wearable
applications. This work not only provides a promising approach for renewable
energy harvesting but also enriches potential applications for self-powered
systems and wireless sensors.
PMID- 29659251
TI - Enhancing the Strength of Graphene by a Denser Grain Boundary.
AB - From a device application point of view, the extreme mechanical strength of
graphene is highly desirable. However, the unavoidable polycrystalline nature of
graphene films produced by chemical vapor deposition (CVD) leads to significant
fluctuations in mechanical properties. Although the effects of atomic defects or
grain boundaries (GBs) on mechanical strength have been widely studied and some
modifications have been applied to enhance the stiffness of graphene, the
problems of fragility as well as significantly reduced breaking strength arise.
Here we report a systematic study on the effect of elastic modulus and breaking
strength of CVD-derived graphene films with a controlled density and distribution
of GBs. We find that graphene films become much stronger by hugely increasing the
density of GBs without triple junctions (TJs) formed inside, in analogy to the
two-dimensional (2D) plum pudding structures. The comprehensive performance with
a 2D Young's modulus of 436 N/m (~1.3 TPa) and 2D breaking strength of 43 N/m
(~128 GPa) can be achieved with the average grain size of 20 nm. Moreover, the
existence of TJs will slightly reduce the strength in these GB structures. Due to
defect types, the graphene films will show various tearing behaviors after
indentation. All these mechanical studies of GBs provide a guideline to obtain
the optimal performance of 2D materials through GB structure engineering.
PMID- 29659252
TI - Poly(vinyl alcohol)-Assisted Fabrication of Hollow Carbon Spheres/Reduced
Graphene Oxide Nanocomposites for High-Performance Lithium-Ion Battery Anodes.
AB - Three-dimensional hollow carbon spheres/reduced graphene oxide (DHCSs/RGO)
nanocomposites with high-level heteroatom doping and hierarchical pores are
fabricated via a versatile method. Poly(vinyl alcohol) (PVA) that serves as a
dispersant and nucleating agent is used as the nonremoval template for
synthesizing melamine resin (MR) spheres with abundant heteroatoms, which are
subsequently composited with graphene oxide (GO). Use of PVA and implementation
of freezing treatment prevent agglomeration of MR spheres within the GO network.
Molten KOH is used to achieve the one-step carbonization/activation/reduction for
the synthesis of DHCSs/RGO. DHCSs/RGO annealed at 700 degrees C shows superior
discharge capacity of 1395 mA h/g at 0.1 A/g and 606 mA h/g at 5 A/g as well as
excellent retentive capacity of 755 mA h/g after 600 cycles at a current density
of 2 A/g. An extra CO2 activation leads to further enhancement of electrochemical
performance with outstanding discharge capacity of 1709 mA h/g at 0.1 A/g and 835
mA h/g at 2 A/g after 600 cycles. This work may improve our understanding of the
synthesis of graphene-like nanocomposites with hollow and porous carbon
architectures and fabrication of high-performance functional devices.
PMID- 29659253
TI - Novel Sulfonated Co-poly(ether imide)s Containing Trifluoromethyl, Fluorenyl and
Hydroxyl Groups for Enhanced Proton Exchange Membrane Properties: Application in
Microbial Fuel Cell.
AB - A hydroxyl group containing new cardo diamine monomer was synthesized, namely 9,9
bis (hydroxy- (4'-amino(3-trifluoromethyl)biphenyl-4-oxy)-phenyl)-9H-fluorene
(mixture of isomers, HAPHPF). HAPHPF, along with a sulfonated diamine monomer,
4,4'-diaminostilbene-2,2'-disulfonic acid (DSDSA), was used to prepare a series
of new sulfonated copolyimides by polycondensation with 1,4,5,8
naphthalenetetracarboxylic dianhydride (NTDA). The degree of sulfonation (DS < 1)
was adjusted by the feed ratio of DSDSA/HAPHPF and the copolymers were named as
DHN-XX, where XX denotes the mole percentage of DSDSA (XX = 50, 60, and 70). The
copolymers showed high molecular weights. The copolymer structure and composition
were confirmed by FTIR and NMR techniques. Copolymer membranes were prepared
through solution cast route by using dimethyl sulfoxide as a solvent. The
membranes showed high thermal, mechanical, hydrolytic and peroxide radical
stability, and low water uptake and low swelling ratios. Well-separated
hydrophilic and hydrophobic phase morphology was observed in TEM and AFM images
of the copolymer membranes and was further supported by the SAXS studies. The
proton conductivity of the DHN-70 was as high as 97 mS cm-1 at 80 degrees C and
the value is significantly higher than that of the nonhydroxylated analogue. The
membranes also showed superior microbial fuel cell (MFC) performance, similar
like Nafion 117 under similar test conditions. The chemical oxygen demand removal
values provide substantial evidence that the fabricated membranes can be utilized
in bioelectrochemical systems.
PMID- 29659254
TI - Occurrence and Distribution of Organophosphate Flame Retardants/Plasticizers in
Surface Waters, Tap Water, and Rainwater: Implications for Human Exposure.
AB - The occurrence and profiles of 14 triester organophosphate flame retardants
(OPFRs) and plasticizers were investigated in surface water, tap water,
rainwater, and seawater collected from New York State. In total, 150 samples
collected from rivers ( n = 35), lakes ( n = 39), tap water ( n = 58),
precipitation/rainwater ( n = 15), and seawater ( n = 3) were analyzed for 14
organophosphate esters (OPEs). An additional nine Hudson River water samples were
collected periodically to delineate seasonal trends in OPE levels. The total
concentrations of OPEs were found at part-per-trillion ranges, with average
concentrations that ranged from 0.01 ng/L for tripropyl phosphate (TPP) in river
water to 689 ng/L for tris(2-butoxyethyl)phosphate (TBOEP) in lake water. Tris(1
chloro-2-propyl)phosphate (TCIPP) was the most abundant compound among the
investigated OPEs in all types of water. The concentrations of OPEs in river-,
lake-, and rainwater were similar but >3 times higher than those found in tap
water. Chlorinated alkyl OPFRs accounted for a major proportion of total
concentrations. TCIPP, TBOEP, and triethyl phosphate (TEP) were found in >90% of
the samples analyzed. Wet deposition fluxes for 14 OPFRs were estimated, on the
basis of the concentrations measured in rainwater in Albany, New York, and the
values were between 440 and 5250 ng/m2. Among several surface water bodies
analyzed, samples from the Hudson River and Onondaga Lake contained elevated
concentrations of OPEs. Estimated daily intake of OPEs via the ingestion of
drinking water was up to 9.65 ng/kg body weight/day.
PMID- 29659255
TI - Stimulation of Innate Immune Function by Panax ginseng after Heat Processing.
AB - Panax ginseng Meyer has been used for the treatment of immune diseases and for
strengthening the immune function. In this study, we evaluated the innate immune
stimulating functions and action mechanisms of white ginseng (WG) and heat
processed ginseng (HPG) in RAW264.7 cells. According to LC-MS analysis results,
WG contained typical ginsenosides, such as Rb1, Rc, Rb2, Rd, and Rg1, whereas HPG
contained Rg3, Rk1, and Rg5 as well as typical ginsenosides. HPG, not WG,
enhanced NF-kappaB transcriptional activity, cytokine production (IL-6 and TNF
alpha), and MHC class I and II expression in RAW264.7 cells. In addition, HPG
phosphorylated MAPKs and NF-kB pathways. In experiments with inhibitors, the ERK
inhibitor completely suppressed the effect of HPG on IL-6 and TNF-alpha
production. HPG-induced c-Jun activation was suppressed by an ERK inhibitor and
partially suppressed by JNK, p38, and IkappaBalpha inhibitors. Collectively,
these results suggested that HPG containing Rg3, Rg5, and Rk1 increased
macrophage activation which was regulated by the ERK/c-Jun pathway in RAW264.7
cells.
PMID- 29659256
TI - Initial Biochemical and Functional Evaluation of Murine Calprotectin Reveals
Ca(II)-Dependence and Its Ability to Chelate Multiple Nutrient Transition Metal
Ions.
AB - Calprotectin (CP) is an abundant host-defense protein that contributes to the
metal-withholding innate immune response by sequestering nutrient metal ions from
microbial pathogens in the extracellular space. Over the past decade, murine
models of infectious disease have advanced understanding of the physiological
functions of CP and its ability to compete with microbes for essential metal
nutrients. Despite this extensive work, murine CP (mCP) has not been
biochemically evaluated, and structural and biophysical understanding of CP is
currently limited to the human orthologue. We present the reconstitution,
purification, and characterization of mCP as well as the cysteine-null variant
mCP-Ser. Apo mCP is a mS100A8/mS100A9 heterodimer, and Ca(II) binding causes two
heterodimers to self-associate and form a heterotetramer. Initial metal-depletion
studies demonstrate that mCP depletes multiple first-row transition metal ions,
including Mn, Fe, Ni, Cu, and Zn, from complex microbial growth medium,
indicating that mCP binds multiple nutrient metals with high affinity. Moreover,
antibacterial activity assays show that mCP inhibits the growth of a variety of
bacterial species. The metal-depletion and antibacterial activity studies also
provide evidence that Ca(II) ions enhance these functional properties of mCP.
This contribution provides the groundwork for understanding the similarities and
differences between the human and murine orthologues of CP and for further
elucidation of its biological coordination chemistry.
PMID- 29659258
TI - Oil Spills and Dispersants Can Cause the Initiation of Potentially Harmful
Dinoflagellate Blooms ("Red Tides").
AB - After oil spills and dispersant applications the formation of red tides or
harmful algal blooms (HABs) has been observed, which can cause additional
negative impacts in areas affected by oil spills. However, the link between oil
spills and HABs is still unknown. Here, we present experimental evidence that
demonstrates a connection between oil spills and HABs. We determined the effects
of oil, dispersant-treated oil, and dispersant alone on the structure of natural
plankton assemblages in the Northern Gulf of Mexico. In coastal waters, large
tintinnids and oligotrich ciliates, major grazers of phytoplankton, were
negatively affected by the exposure to oil and dispersant, whereas bloom-forming
dinoflagellates ( Prorocentrum texanum, P. triestinum, and Scrippsiella
trochoidea) notably increased their concentration. The removal of key grazers due
to oil and dispersant disrupts the predator-prey controls ("top-down controls")
that normally function in plankton food webs. This disruption of grazing pressure
opens a "loophole" that allows certain dinoflagellates with higher tolerance to
oil and dispersants than their grazers to grow and form blooms when there are no
growth limiting factors (e.g., nutrients). Therefore, oil spills and dispersants
can act as disrupters of predator-prey controls in plankton food webs and as
indirect inducers of potentially harmful dinoflagellate blooms.
PMID- 29659257
TI - Diurnal Variability and Emission Pattern of Decamethylcyclopentasiloxane (D5)
from the Application of Personal Care Products in Two North American Cities.
AB - Decamethylcyclopentasiloxane (D5) is a cyclic volatile methyl siloxane (cVMS)
that is widely used in consumer products and commonly observed in urban air. This
study quantifies the ambient mixing ratios of D5 from ground sites in two North
American cities (Boulder, CO, USA, and Toronto, ON, CA). From these data, we
estimate the diurnal emission profile of D5 in Boulder, CO. Ambient mixing ratios
were consistent with those measured at other urban locations; however, the
diurnal pattern exhibited similarities with those of traffic-related compounds
such as benzene. Mobile measurements and vehicle experiments demonstrate that
emissions of D5 from personal care products are coincident in time and place with
emissions of benzene from motor vehicles. During peak commuter times, the
D5/benzene ratio (w/w) is in excess of 0.3, suggesting that the mass emission
rate of D5 from personal care product usage is comparable to that of benzene due
to traffic. The diurnal emission pattern of D5 is estimated using the measured
D5/benzene ratio and inventory estimates of benzene emission rates in Boulder.
The hourly D5 emission rate is observed to peak between 6:00 and 7:00 AM and
subsequently follow an exponential decay with a time constant of 9.2 h. This
profile could be used by models to constrain temporal emission patterns of
personal care products.
PMID- 29659259
TI - Exploring Lanthanide Doping in UiO-66: A Combined Experimental and Computational
Study of the Electronic Structure.
AB - Lanthanide-based metal-organic frameworks show very limited stabilities, which
impedes their use in applications exploiting their extraordinary electronic
properties, such as luminescence and photocatalysis. This study demonstrates a
fast and easy microwave procedure to dope UiO-66, an exceptionally stable and
tunable Zr-based metal-organic framework. The generally applicable synthesis
methodology is used to incorporate different transition metal and lanthanide
ions. Selected experiments on these newly synthesized materials allow us to
construct an energy scheme of lanthanide energy levels with respect to the UiO-66
host. The model is confirmed via absolute intensity measurements and provides an
intuitive way to understand charge transfer mechanisms in these doped UiO-66
materials. Density functional theory calculations on a subset of materials
moreover improve our understanding of the electronic changes in doped UiO-66 and
corroborate our empirical model.
PMID- 29659260
TI - Critical Problems Stalling Progress in Natural Bioactive Polysaccharide Research
and Development.
AB - Natural polysaccharides are attracting increasing attention from food and
pharmaceutical industries for their wide range of valuable biological activities.
However, the poor repeatability of the methods used in sample preparation and
chemical characterization is hampering both research and product development. The
unstandardized quality, in turn, undermines efforts to understand the mechanism
by which they work via oral dose, which is essential to realize the full
beneficial potential of polysaccharides. Some scientists believe polysaccharides
work by direct gut absorption; however, increasing evidence points to the gut
microbiome and intestinal Peyer's patches as holding the keys to how they work.
PMID- 29659261
TI - Three Cd(II) MOFs with Different Functional Groups: Selective CO2 Capture and
Metal Ions Detection.
AB - Three Cd(II) iso-frameworks {[Cd(BIPA)(IPA)].DMF} n (1), {[Cd(BIPA)(HIPA)].DMF} n
(2), and {[Cd(BIPA)(NIPA)].2H2O} n (3) were synthesized from the self-assembly of
the BIPA ligand (BIPA = bis(4-(1 H-imidazol-1-yl)phenyl)amine) and different
carboxylic ligands (H2IPA = isophthalic acid, H2HIPA = 5-hydroxyisophthalic acid,
H2NIPA = 5-nitroisophthalic acid) with Cd(II), which have amino groups, amino and
phenolic hydroxyl groups, and amino and nitro groups, respectively. Both 1 and 2
exhibit CO2 uptakes of more than 20 wt %, indicating that amino and phenolic
hydroxyl functionalized groups are beneficial to CO2 adsorption. Their
applications and mechanisms in detecting metal ions were researched. The results
exhibit that 1 and 2 are dual-responsive photoluminescent sensors for Hg2+ and
Pb2+ ions with low detection concentration and high quenching constant. Besides,
like most MOFs, 3 can detect a trace quantity of Fe3+ and Cu2+.
PMID- 29659262
TI - Fluorescent BODIPY-Anionic Boron Cluster Conjugates as Potential Agents for Cell
Tracking.
AB - A series of novel fluorescent BODIPY-anionic boron cluster conjugates bearing
[B12H12]2- (5, 6), [3,3'-Co(1,2-C2B9H11)2]- (7, 8), and [3,3'-Fe(1,2-C2B9H11)2]-
(9) anions have been readily synthesized from meso-(4-hydroxyphenyl)-4,4-difluoro
4-bora-3 a,4 a-diaza- s-indacene (BODIPY 4), and their structure and
photoluminescence properties have been assessed. Linking anionic boron clusters
to the BODIPY (4) does not alter significantly the luminescent properties of the
final fluorophores, showing all of them similar emission fluorescent quantum
yields (3-6%). Moreover, the cytotoxicity and cellular uptake of compounds 5-9
have been analyzed in vitro at different concentrations of B (5, 50, and 100 MUg
B/mL) using HeLa cells. At the lowest concentration, none of the compounds shows
cytotoxicity and they are successfully internalized by the cells, especially
compounds 7 and 8, which exhibit a strong cytoplasmic stain indicating an
excellent internalization efficiency. To the best of our knowledge, these are the
first BODIPY-anionic boron cluster conjugates developed as fluorescent dyes
aiming at prospective biomedical applications. Furthermore, the cellular
permeability of the starting BODIPY (4) was improved after the functionalization
with boron clusters. The exceptional cellular uptake and intracellular boron
release, together with the fluorescent and biocompatibility properties, make
compounds 7 and 8 good candidates for in vitro cell tracking.
PMID- 29659263
TI - Secondary Oxide Phosphines to Promote Tandem Acyl-Alkyl Coupling/Hydrogen
Transfer to Afford (Hydroxyalkyl)rhodium Complexes. Theoretical and Experimental
Studies.
AB - Acyl(sigma-norbornenyl)rhodium(III) dimer [Rh(MU-Cl)(C9H6NCO)(C7H9)L]2 (1) (C7H9
= sigma-norbornenyl; L = 4-picoline, isoquinoline) reacts with diphenylphosphine
oxide (SPO) to undergo a one-pot reaction involving (i) cleavage of the chloride
bridges and coordination of the phosphine, (ii) C-C bond coupling between acyl
and norbornenyl in a 18e species, and (iii) ligand-assisted outer-sphere O(P)-to
O(C) hydrogen transfer, to afford mononuclear 16e species
[RhCl{(C9H6NC(O)C7H9)(Ph2PO)H}(L)] (2) containing a quinolinyl
(norbornenylhydroxyalkyl) fragment hydrogen-bonded to a kappa1- P-phosphinite
ligand. Pentacoordinated 2, which adopt a distorted trigonal bipyramidal
structure, are kinetic reaction products that transform into the thermodynamic
favored isomers 3. Structures 3 contain an unusual weak eta1-C anagostic
interaction involving the rhodium atom and one carbon atom of the olefinic C-H
bond of the norbornenyl substituent in the chelating quinolinyl-hydroxyalkyl
moiety. Their structure can be described as pseudoctahedral, through a 5 + 1
coordination, with the anagostic interaction in a trans disposition with respect
to the phosphorus atom of the phosphinite ligand. Complexes were characterized in
solution by NMR spectroscopy and electrospray ionization mass spectrometry.
Complex [RhCl{(C9H6NC(O)C7H9)(Ph2PO)H}(4-picoline)] (3a) was also identified by X
ray diffraction. Density functional theory calculations confirm the proposed
structures by a plausible set of mechanisms that accounts for the 1 (monomer) ->
2 -> 3 transformation. Lowest-energy pathways involve reductive elimination of
quinolinylnorbornenylketone, still coordinated in the rhodium(I) species thus
formed, followed by O-to-O hydrogen transfer from kappa1- P-SPO to the sp3
hybridized carbonyl group (formal alkoxide) avoiding the otherwise expected
classical release of ketone. Theoretical 13C NMR studies also confirm the
experimental spectral data for the considered structures.
PMID- 29659264
TI - Kinetics and Mechanism of Oxirane Formation by Darzens Condensation of Ketones:
Quantification of the Electrophilicities of Ketones.
AB - The kinetics of epoxide formation by Darzens condensation of aliphatic ketones 1
with arylsulfonyl-substituted chloromethyl anions 2 (ArSO2CHCl-) have been
determined photometrically in DMSO solution at 20 degrees C. The reactions
proceed via nucleophilic attack of the carbanions at the carbonyl group to give
intermediate halohydrin anions 4, which subsequently cyclize with formation of
the oxiranes 3. Protonation of the reaction mixture obtained in THF solution at
low temperature allowed the intermediates to be trapped and the corresponding
halohydrins 4-H to be isolated. Crossover experiments, i.e., deprotonation of the
halohydrins 4-H in the presence of a trapping reagent for the regenerated
arylsulfonyl-substituted chloromethyl anions 2, provided the relative rates of
backward ( k-CC) and ring closure ( krc) reactions of the intermediates.
Combination of the kinetic data ( k2exptl) with the splitting ratio ( k-CC/ krc)
gave the second-order rate constants kCC for the attack of the carbanions 2 at
the ketones 1. These kCC values and the previously reported reactivity parameters
N and sN for the arylsulfonyl-substituted chloromethyl anions 2 allowed us to use
the linear free energy relationship log k2(20 degrees C) = sN( N + E) for
deriving the electrophilicity parameters E of the ketones 1 and thus predict
potential nucleophilic reaction partners. Density functional theory calculations
of the intrinsic reaction pathways showed that the reactions of the ketones 1
with the chloromethyl anions 2 yield two rotational isomers of the intermediate
halohydrin anions 4, only one of which can cyclize while the other undergoes
retroaddition because the barrier for rotation is higher than that for reversal
to the reactants 1 and 2. The electrophilicity parameters E correlate moderately
with the lowest unoccupied molecular orbital energies of the carbonyl groups,
very poorly with Parr's electrophilicity indices, and best with the methyl anion
affinities calculated for DMSO solution.
PMID- 29659265
TI - Response to Comment on The Case Against Charge Transfer Interactions in Dissolved
Organic Matter Photophysics.
PMID- 29659266
TI - Dechlorinated Analogues of Dechlorane Plus.
AB - Degradation products of the chlorinated additive flame retardant Dechlorane Plus
(DP) have been discovered globally. However, the identity of many of these
species remains unknown due to a lack of available analytical standards,
hindering the ability to quantitatively measure the amounts of these compounds in
the environment. In the present study, synthetic routes to possible dechlorinated
DP derivatives were investigated in an effort to identify the environmentally
significant degradation products. The methano-bridge chlorines of anti- and syn
DP were selectively replaced by hydrogen atoms to give six new hydrodechlorinated
DP analogues. The identity and absolute configuration of all of these compounds
were confirmed by GC-MS, NMR spectroscopy, and X-ray diffraction studies. These
compounds were observed in sediment samples from streams and rivers in relatively
rural areas of Ontario and are thus environmentally relevant.
PMID- 29659267
TI - Comment on The Case Against Charge Transfer Interactions in Dissolved Organic
Matter Photophysics.
PMID- 29659268
TI - Human Liver Microsomes Atropselectively Metabolize 2,2',3,4',6
Pentachlorobiphenyl (PCB 91) to a 1,2-Shift Product as the Major Metabolite.
AB - Polychlorinated biphenlys (PCBs) and their hydroxylated metabolites (OH-PCBs)
have been implicated in neurodevelopmental disorders. Several neurotoxic PCBs,
such as PCB 91, are chiral because they form stable rotational isomers, or
atropisomers, that are nonsuperimposable mirror images of each other. Because
only limited information about the metabolism of these PCBs by human cytochrome
P450 (P450) enzymes is available, we investigated the biotransformation of PCB 91
to OH-PCBs by human liver microsomes (HLMs). Racemic PCB 91 was incubated with
pooled or individual donor HLMs at 37 degrees C, and levels and chiral
signatures of PCB 91 and its metabolites were determined. Several OH-PCBs were
formed in the order 2,2',4,4',6-pentachlorobiphenyl-3-ol (3-100; 1,2 shift
product) > 2,2',3,4',6-pentachlorobiphenyl-5-ol (5-91) ? 2,2',3,4',6
pentachlorobiphenyl-4-ol (4-91) ? 4,5-dihydroxy-2,2',3,4',6-pentachlorobiphenyl
(4,5-91). Metabolite formation rates displayed interindividual variability. The
first eluting atropisomers of PCB 91, 3-100 and 4-91, and the second eluting
atropisomer of 5-91 were enriched in most metabolism studies. The unexpected,
preferential formation of a 1,2-shift product and the variability of the OH-PCBs
profiles in experiments with individual donor HLMs underline the need for further
systematic studies of the atropselective metabolism of PCBs in humans.
PMID- 29659269
TI - Aqueous-Processed, High-Capacity Electrodes for Membrane Capacitive Deionization.
AB - Membrane capacitive deionization (MCDI) is a low-cost technology for
desalination. Typically, MCDI electrodes are fabricated using a slurry of
nanoparticles in an organic solvent along with polyvinylidene fluoride (PVDF)
polymeric binder. Recent studies of the environmental impact of CDI have pointed
to the organic solvents used in the fabrication of CDI electrodes as key
contributors to the overall environmental impact of the technology. Here, we
report a scalable, aqueous processing approach to prepare MCDI electrodes using
water-soluble polymer poly(vinyl alcohol) (PVA) as a binder and ion-exchange
polymer. Electrodes are prepared by depositing aqueous slurry of activated carbon
and PVA binder followed by coating with a thin layer of PVA-based cation- or
anion-exchange polymer. When coated with ion-exchange layers, the PVA-bound
electrodes exhibit salt adsorption capacities up to 14.4 mg/g and charge
efficiencies up to 86.3%, higher than typically achieved for activated carbon
electrodes with a hydrophobic polymer binder and ion-exchange membranes (5-13
mg/g). Furthermore, when paired with low-resistance commercial ion-exchange
membranes, salt adsorption capacities exceed 18 mg/g. Our overall approach
demonstrates a simple, environmentally friendly, cost-effective, and scalable
method for the fabrication of high-capacity MCDI electrodes.
PMID- 29659270
TI - Response to Comments on "Assessing the Risk of Engineered Nanomaterials in the
Environment: Development and Application of the nanoFate Model".
PMID- 29659271
TI - Structural Series in the Ternary A-Mn-As System (A = Alkali Metal): Double-Layer
Type CsMn4As3 and RbMn4As3 and Tunnel-Type KMn4As3.
AB - New manganese arsenides CsMn4As3, RbMn4As3, and KMn4As3 were synthesized by solid
state reaction. They consist of edge-sharing MnAs4 tetrahedra, which are a
building block similar to those of Fe-based superconductors. CsMn4As3 and
RbMn4As3 adopt the KCu4S3-type structure (tetragonal P4/ mmm space group, No.
123) with a Mn4As3 double layer, while KMn4As3 has the CaFe4As3-type structure
(orthorhombic Pnma space group, No. 62) with a Mn4As3 tunnel framework. The
structural change from CsMn4As3 and RbMn4As3 to KMn4As3 as well as the structural
trend of the other ternary A-Mn-As (A = alkali metal) and AE-Mn-As (AE = alkaline
earth metal) compounds is understood as a consequence of reduction of the
coordination number around the A and AE sites owing to the decrease of the ionic
radius from Cs+ to Mg2+. Electrical resistivity measurements confirm that the
three new phases are Mott insulators with band gaps of 0.52 (CsMn4As3), 0.43
(RbMn4As3), and 0.31 eV (KMn4As3). Magnetic and heat capacity measurements
revealed that CsMn4As3 and RbMn4As3 are antiferromagnets without apparent phase
transitions below 400 K, which is similar to the magnetism of LaMnAsO and
BaMn2As2, while the existence of the ferromagnetic component was indicated in
KMn4As3 with a magnetic transition at 179 K.
PMID- 29659272
TI - Comment on "Assessing the Risk of Engineered Nanomaterials in the Environment:
Development and Application of the nanoFate Model".
PMID- 29659273
TI - Nontarget Mass Spectrometry Reveals New Perfluoroalkyl Substances in Fish from
the Yangtze River and Tangxun Lake, China.
AB - Nontarget high-resolution mass spectrometry (Nt-HRMS) has been proven useful for
the identification of unknown poly- and perfluoroalkyl substances (PFASs) in
commercial products and water, but applications to biological samples are
limited. China is the major PFAS-manufacturing nation; thus, here, we adapted our
Nt-HRMS methods to fish collected from the Yangtze River and Tangxun Lake to
discover potentially bioaccumulative PFASs in aquatic organisms destined for
human consumption. In addition to traditional PFASs, over 330 other fluorinated
analytes belonging to 10 classes of PFASs were detected among the pooled fish
livers, including 6 sulfonate classes, 2 amine classes, 1 carboxylate class, and
1 N-heterocycle class. One class was detected in samples from both locations, 8
classes were detected exclusively in Tangxun Lake fish, and 1 class was detected
exclusively in Yangtze River fish, 10 km downstream of a fluorochemical
manufacturing site where we first reported these substances in wastewater 3 years
ago. Overall, 4 of the PFAS classes (>165 analytes) are reported for the first
time here. Wider monitoring and toxicological testing should be a priority for
understanding the health risks posed to people and wildlife exposed to these
substances.
PMID- 29659274
TI - Quantitative Understanding of SHAPE Mechanism from RNA Structure and Dynamics
Analysis.
AB - The selective 2'-hydroxyl acylation analyzed by primer extension (SHAPE) method
probes RNA local structural and dynamic information at single nucleotide
resolution. To gain quantitative insights into the relationship between
nucleotide flexibility, RNA 3D structure, and SHAPE reactivity, we develop a 3D
Structure-SHAPE Relationship model (3DSSR) to rebuild SHAPE profiles from 3D
structures. The model starts from RNA structures and combines nucleotide
interaction strength and conformational propensity, ligand (SHAPE reagent)
accessibility, and base-pairing pattern through a composite function to quantify
the correlation between SHAPE reactivity and nucleotide conformational stability.
The 3DSSR model shows the relationship between SHAPE reactivity and RNA structure
and energetics. Comparisons between the 3DSSR-predicted SHAPE profile and the
experimental SHAPE data show correlation, suggesting that the extracted
analytical function may have captured the key factors that determine the SHAPE
reactivity profile. Furthermore, the theory offers an effective method to sieve
RNA 3D models and exclude models that are incompatible with experimental SHAPE
data.
PMID- 29659275
TI - Globally Accurate Potential Energy Surface for HCS(A2A") by Extrapolation to the
Complete Basis Set Limit.
AB - A global potential energy surface (PES) representation of the C(3P) + SH(X 2Pi)
> H(2S) + CS(a 3Pi) system is developed by fitting plenty of precise energies
obtained through the ab initio calculation with aug-cc-pV QZ and aug-cc-pV5Z
basis sets via extrapolation to the complete basis set limit. The topographical
characteristics of the PES are examined in detail, and it is found that they
agree well with previous calculations available in the literature. By utilizing
the PES of HCS(A2A"), the corresponding reaction is investigated using the quasi
classical trajectory (QCT) method in the collision energy range of 0.08-1.0 eV.
The minimum energy paths (MEPs) calculated on the basis of the present PES
indicate that the C(3P) + SH(X 2Pi) -> H(2S) + CS(a 3Pi) reaction is exothermic,
with the exothermicity ~0.204 eV. The calculation for the capture time indicates
that the reaction is mainly governed by the indirect mechanism at the lower
collision energy, while, for higher collision energy, the direct mechanism is in
coexistence with the indirect mechanism, and the latter one plays a dominant
role.
PMID- 29659276
TI - Protocols for Requirement-Driven Protein Design in the Rosetta Modeling Program.
AB - We have developed a set of protocols in the molecular modeling program Rosetta
for performing requirement-driven protein design. First, the user specifies a set
of structural features that need to be present in the designed protein. These
requirements can be general (e.g., "create a protein with five helices"), or they
can be very specific and require the correct placement of a set of amino acids to
bind a ligand. Next, a large set of protein models are generated that satisfy the
design requirements. The models are built using a method that we recently
introduced into Rosetta, called SEWING, that rapidly assembles novel protein
backbones by combining pieces of naturally occurring proteins. In the last step
of the process, rotamer-based sequence optimization and backbone refinement are
performed with Rosetta, and a variety of quality metrics are used to pick
sequences for experimental characterization. Here we describe the input files and
user options needed to run SEWING and perform requirement-driven design and
provide detailed instructions for two specific applications of the process: the
design of new structural elements at a protein-protein interface and the design
of ligand binding sites.
PMID- 29659277
TI - QM/MM Study of the Formation of the Dioxetanone Ring in Fireflies through a
Superoxide Ion.
AB - The bioluminescence emission from fireflies is an astounding tool to mark and
view cells. However, the bioluminescent mechanism is not completely deciphered,
limiting the comprehension of key processes. We use a theoretical approach to
study for the first time the arrival of a dioxygen molecule inside the fireflies
protein and one path of the formation of the dioxetanone ring, the high-energy
intermediate precursor of the bioluminescence. To describe this reaction step, a
joint approach combining classical molecular dynamics (MD) simulations and hybrid
quantum mechanics/molecular mechanics (QM/MM) calculations is used. The formation
of the dioxetanone ring has been studied for both singlet and triplet states with
the help of MS-CASPT2 calculations. We also emphasize the role played by the
proteinic environment in the formation of the dioxetanone ring. The results
obtained shed some light on an important reaction step and give new insights
concerning the bioluminescence in fireflies.
PMID- 29659278
TI - Mechanism of Photoinduced Triplet Intermolecular Hydrogen Transfer between
Cycloxydim and Chlorothalonil.
AB - The possible reaction mechanisms for the experimentally observed hydrogen
transfer between the herbicide cycloxydim (CD) and the triplet fungicide
chlorothalonil (CT) were identified with density functional theory (DFT) and time
dependent density function theory (TDDFT) computations. Excited energy transfer
(EET) calculations indicate that reactants for intermolecular hydrogen transfer
were formed via energy transfer from triplet CT to ground state CD. Three
possible reaction pathways after EET were identified, and hydrogen transfer from
the hydroxyl group on the cyclohexane ring of CD to CT exhibited the lowest
energy barrier. Natural population analysis (NPA) along the reaction pathways has
confirmed that the pathways involved either electron transfer induced proton
transfer or coupled electron-proton transfer, leading to different potential
energy profiles. Electrostatic potential (ESP) study substantiated the reaction
mechanisms in different pathways. This study suggests an explanation for the
accelerated photodegradation of CD by CT and provides a pipeline for future
studies of photoinduced intermolecular hydrogen transfer.
PMID- 29659279
TI - Hydration of an Acid Anhydride: The Water Complex of Acetic Sulfuric Anhydride.
AB - The complex formed from acetic sulfuric anhydride (CH3COOSO2OH, ASA) and water
has been observed by pulsed nozzle Fourier transform microwave spectroscopy. ASA
was formed in situ in the supersonic jet via the reaction of SO3 and CH3COOH, and
subsequently complexed with water using a concentric, dual injection needle that
allows reagents to be introduced at different points along the expansion axis.
Spectroscopic constants for the parent, fully deuterated, and CH313COOSO2OH
species are reported. Both A and E internal rotor states have been observed and
analyzed. The fitted internal rotation barrier of the methyl group is 219.598(21)
cm-1 for the parent species, indicating that complexation with water lowers the
internal rotation barrier of the methyl group by 9% relative to that of the free
ASA. M06-2X/6-311++G(3df,3pd) calculations predict at least two distinct isomeric
forms of ASA...H20. Spectroscopic constants for the observed species agree with
those for the lower energy isomer in which the water inserts into the
intramolecular hydrogen bond of the ASA monomer. CCSD(T)/CBS(D-T) calculations
place the binding energy of this isomer at 13.3 kcal/mol below that of the
isolated ASA and H2O monomers. The calculations further indicate that the doubly
hydrogen bonded complex CH3COOH...H2SO4, which contains the hydrolysis products
of ASA, lies even lower in energy, but this species was not observed in this
study. This system represents the first stage of microsolvation of an acid
anhydride, and the results indicate that a single water molecule does not induce
spontaneous hydrolysis in a cold molecular cluster.
PMID- 29659280
TI - Synthesis and Binding Properties of Monohydroxycucurbit[7]uril: A Key Derivative
for the Functionalization of Cucurbituril Hosts.
AB - We present a simple, direct method to prepare monohydroxylated cucurbit[7]uril
(CB7-OH) through the direct oxidation of its precursor host, cucurbit[7]uril
(CB7). Although the conversion takes place in low yield (14%), the isolation of
CB7-OH from the reaction mixture is straightforward, and the unreacted CB7 can be
easily recovered. ITC measurements with several selected guests confirmed that
CB7-OH binds all of them in aqueous solution with similar, albeit slightly lower,
binding affinities than those observed with the unmodified CB7 host. ESI mass
spectrometric competition experiments are consistent with the ITC measurements. A
variety of spectroscopic and voltammetric measurements also verify that the CB7
OH complexes exhibit properties essentially identical to those of the CB7
complexes. DFT computational data also confirm the similar thermodynamic
stabilities and structures of the CB7-OH and CB7 inclusion complexes. Finally,
the high thermodynamic stability of the CB7-OH complexes was used to improve on
the extraction efficiency of stir bar sorptive extraction methods after suitable
modification of the active coating with CB7-OH.
PMID- 29659281
TI - Theoretical and Experimental Study on the Reaction of tert-Butylamine with OH
Radicals in the Atmosphere.
AB - The OH-initiated atmospheric degradation of tert-butylamine (tBA), (CH3)3CNH2,
was investigated in a detailed quantum chemistry study and in laboratory
experiments at the European Photoreactor (EUPHORE) in Spain. The reaction was
found to mainly proceed via hydrogen abstraction from the amino group, which in
the presence of nitrogen oxides (NO x), generates tert-butylnitramine,
(CH3)3CNHNO2, and acetone as the main reaction products. Acetone is formed via
the reaction of tert-butylnitrosamine, (CH3)3CNHNO, and/or its isomer tert
butylhydroxydiazene, (CH3)3CN?NOH, with OH radicals, which yield nitrous oxide
(N2O) and the (CH3)3C radical. The latter is converted to acetone and
formaldehyde. Minor predicted and observed reaction products include
formaldehyde, 2-methylpropene, acetamide and propan-2-imine. The reaction in the
EUPHORE chamber was accompanied by strong particle formation which was induced by
an acid-base reaction between photochemically formed nitric acid and the reagent
amine. The tert-butylaminium nitrate salt was found to be of low volatility, with
a vapor pressure of 5.1 * 10-6 Pa at 298 K. The rate of reaction between tert
butylamine and OH radicals was measured to be 8.4 (+/-1.7) * 10-12 cm3 molecule-1
s-1 at 305 +/- 2 K and 1015 +/- 1 hPa.
PMID- 29659282
TI - Quest for Insight into Ultrashort C-H...pi Proximities in Molecular "Iron
Maidens".
AB - Molecular iron maidens are a strained type of cyclophane in which a methine
hydrogen, by the action of the bridges, is placed closer to the center of an
aromatic ring. Such constrained molecular frameworks are in fact a noteworthy
synthetic challenge. The present study provides a comprehensible theoretical
analysis that elucidates unique structural and energetic aspects of this class of
molecules, evaluating, in the light of quantum chemistry, both the influence of
the aromatic moiety, from pi-basic to pi-acid, and the nature of the heteroatoms
located at the bridges. Our results not only propose the shortest intramolecular
centered C-H...pi distance to date, which is supported by calculated 1H chemical
shifts, but also shed light on the main factors that rationalize and justify such
proximity. QTAIM, NBO, and NCI analyses allow us prematurely to conclude that the
ultrashort C-H...pi distance is sustained by an interplay between a large
stabilizing electrostatic component with a non-negligible covalent character.
However, the energetics involving such strained molecular scaffolds, addressed by
means of isodesmic reactions, revealed that the C-H...pi proximity is modulated
mainly by the capacity of the bridges to support the strain imposed by the whole
structure, hence compressing the C-H bond against the pi-system.
PMID- 29659283
TI - Submonomer Strategy toward Divergent Solid-Phase Synthesis of alpha-ABpeptoids.
AB - A novel submonomer solid-phase synthetic method for alpha-ABpeptoid oligomers is
reported. Iterative submonomer coupling and Fukuyama-Mitsunobu alkylation enable
facile, divergent synthesis of alpha-ABpeptoid oligomers substituted with
chemically diverse side chains in excellent yields.
PMID- 29659284
TI - Accessing the Vibrational Signatures of Amino Acid Ions Embedded in Water
Clusters.
AB - We present an infrared predissociation (IRPD) study of microsolvated GlyH+(H2O) n
and GlyH+(D2O) n clusters, formed inside of a cryogenic ion trap via condensation
of H2O or D2O onto the protonated glycine ions. The resulting IRPD spectra,
showing characteristic O-H and O-D stretches, indicate that H/D exchange
reactions are quenched when the ion trap is held at 80 K, minimizing the presence
of isotopomers. Comparisons of GlyH+(H2O) n and GlyH+(D2O) n spectra clearly
highlight and distinguish the vibrational signatures of the water solvent
molecules from those of the core GlyH+ ion, allowing for quick assessment of
solvation structures. Without the aid of calculations, we can already infer
solvation motifs and the presence of multiple conformations. The use of a
cryogenic ion trap to cluster solvent molecules around ions of interest and
control H/D exchange reactions is broadly applicable and should be extendable to
studies of more complex peptidic ions in large solvated clusters.
PMID- 29659285
TI - Tuning Confinement in Colloidal Silicon Nanocrystals with Saturated Surface
Ligands.
AB - The optical properties of silicon nanocrystals (Si NCs) are a subject of intense
study and continued debate. In particular, Si NC photoluminescence (PL)
properties are known to depend strongly on the surface chemistry, resulting in
electron-hole recombination pathways derived from the Si NC band-edge, surface
state defects, or combined NC-conjugated ligand hybrid states. In this Letter, we
perform a comparison of three different saturated surface functional groups
alkyls, amides, and alkoxides-on nonthermal plasma-synthesized Si NCs. We find a
systematic and size-dependent high-energy (blue) shift in the PL spectrum of Si
NCs with amide and alkoxy functionalization relative to alkyl. Time-resolved
photoluminescence and transient absorption spectroscopies reveal no change in the
excited-state dynamics between Si NCs functionalized with alkyl, amide, or
alkoxide ligands, showing for the first time that saturated ligands-not only
surface-derived charge-transfer states or hybridization between NC and low-lying
ligand orbitals-are responsible for tuning the Si NC optical properties. To
explain these PL shifts we propose that the atom bound to the Si NC surface
strongly interacts with the Si NC electronic wave function and modulates the Si
NC quantum confinement. These results reveal a potentially broadly applicable
correlation between the optoelectronic properties of Si NCs and related quantum
confined structures based on the interaction between NC surfaces and the ligand
binding group.
PMID- 29659286
TI - Biomimetic Syntheses of Callistrilones A-E via an Oxidative [3 + 2]
Cycloaddition.
AB - Concise total syntheses of callistrilones A-E have been achieved from 7 and
commercially available alpha-phellandrene (8). The synthetic strategy, which was
primarily inspired by the biogenetic hypothesis, was enabled by an oxidative [3 +
2] cycloaddition followed by a Michael addition and an intramolecular
nucleophilic addition to construct the target molecules. Moreover, viminalin I
was also synthesized, and its absolute configuration was unambiguously confirmed.
PMID- 29659287
TI - Polymeric Precipitation Inhibitors Promote Fenofibrate Supersaturation and
Enhance Drug Absorption from a Type IV Lipid-Based Formulation.
AB - The ability of lipid-based formulations (LBFs) to increase the solubilization,
and prolong the supersaturation, of poorly water-soluble drugs (PWSDs) in the
gastrointestinal (GI) fluids has generated significant interest in the past
decade. One mechanism to enhance the utility of LBFs is to prolong
supersaturation via the addition of polymers that inhibit drug precipitation
(polymeric precipitation inhibitors or PPIs) to the formulation. In this work, we
have evaluated the performance of a range of PPIs and have identified PPIs that
are sufficiently soluble in LBF to allow the construction of single phase
formulations. An in vitro model was first employed to assess drug (fenofibrate)
solubilization and supersaturation on LBF dispersion and digestion. An in vitro
in situ model was subsequently employed to simultaneously evaluate the impact of
PPI enhanced drug supersaturation on drug absorption in rats. The stabilizing
effect of the polymers was polymer specific and most pronounced at higher drug
loads. Polymers that were soluble in LBF allowed simple processing as single
phase formulations, while formulations containing more hydrophilic polymers
required polymer suspension in the formulation. The lipid-soluble polymers
Eudragit (EU) RL100 and poly(propylene glycol) bis(2-aminopropyl ether) (PPGAE)
and the water-soluble polymer hydroxypropylmethyl cellulose (HPMC) E4M were
identified as the most effective PPIs in delaying fenofibrate precipitation in
vitro. An in vitro model of lipid digestion was subsequently coupled directly to
an in situ single pass intestinal perfusion assay to evaluate the influence of
PPIs on fenofibrate absorption from LBFs in vivo. This coupled model allowed for
real-time evaluation of the impact of supersaturation stabilization on absorptive
drug flux and provided better discrimination between the different PPIs and
formulations. In the presence of the in situ absorption sink, increased
fenofibrate supersaturation resulted in increased drug exposure, and a good
correlation was found between the degree of in vitro supersaturation and in vivo
drug exposure. An improved in vitro-in vivo correlation was apparent when
comparing the same formulation under different supersaturation conditions. These
observations directly exemplify the potential utility of PPIs in promoting drug
absorption from LBF, via stabilization of supersaturation, and further confirm
that relatively brief periods of supersaturation may be sufficient to promote
drug absorption, at least for highly permeable drugs such as fenofibrate.
PMID- 29659288
TI - Redox-Neutral Atom-Economic Pd(0)-Catalyzed Dearomatization of beta-Naphthols
with Alkynes toward Naphthalenones.
AB - A dearomative coupling of beta-naphthols with alkynes via Pd hydride catalysis
has been developed. This redox-neutral strategy provides a straightforward
platform to access diverse naphthalenones bearing congested quarternary
stereocenters with excellent atom and step economy since no leaving groups are
needed to preinstall on the allylic reagents. The overall utility of this
protocol is exemplified by broad functional group compatibility and gram-scale
capacity.
PMID- 29659289
TI - Copper-Catalyzed Synthesis of Polysubstituted Pyrroles through [3+1+1]
Cycloaddition Reaction of Nitrones and Isocyanides.
AB - An efficient, copper-catalyzed [3+1+1] cycloaddition reaction was developed for
the expedient synthesis of pharmacologically interesting polysubstituted pyrroles
from easily available nitrones and alpha-acidic isocyanides. The given approach
features a new mode of cycloaddition between nitrones and isocyanides with wide
substrate scope, good functional group tolerance, and operational simplicity. The
operando infrared spectroscopy was used for the characterization of reaction
intermediates.
PMID- 29659290
TI - Controlling Self-Assembly and Topology at Micro-Nano Length Scales Using a
Contact-Free Mixed Nanocolloid Droplet Architecture.
AB - Spatially varying the ordering of colloids of multiple sizes at micro-nano scales
finds application in different industrial processes including manufacturing of
photonic crystals. In this work, we showcase a unique physics-based architecture
through which we have been able to control the morphology of the precipitates
evolving out of the drying of a contact-free droplet at micro to nano length
scales. We show that by varying the relative concentration of the larger sized
colloids, one can modulate evaporation, subsequent particle transport, and
particle ordering at the droplet interface, thereby controlling the rates of
certain instabilities like buckling. In this way, we have produced evaporation
induced self-assembly structures (devoid of any substrate effect) with striking
topological and surface features. Furthermore, we proved that these instabilities
can be further tuned using a measured amount of external heating through the
alteration of the evaporation rates. Notwithstanding, we also quantified that the
ordering of the mixed colloids varies, in a spatial sense, across the droplet
surface, exhibiting unique patterns, porosity, and lattice arrangements, all at
the nanoscale. The results assure that the fine-tuning of the macroscale
parameters like heating rate and particle loading can be used to fine-tune the
micro-nanoscale features in a droplet-based high-throughput bottom-up framework.
PMID- 29659291
TI - Synthesis, Characterization, and Rapid Cycloadditions of 5-Nitro-1,2,3-triazine.
AB - The synthesis, characterization, and a study of the cycloaddition reactions of 5
nitro-1,2,3-triazine (3) are reported. The electron-deficient nature of 3 permits
rapid cycloaddition with a variety of electron-rich dienophiles, including
amidines, enamines, enol ethers, ynamines, and ketene acetals in high to moderate
yields. 1H NMR studies of a representative cycloaddition reaction between 3 and
an amidine revealed a remarkable reaction rate and efficiency (1 mM, <60 s,
CD3CN, 23 degrees C, >95%).
PMID- 29659292
TI - Cu-Catalyzed Aerobic Oxidative Sulfuration/Annulation Approach to Thiazoles via
Multiple Csp3-H Bond Cleavage.
AB - A novel and practical Cu-catalyzed aerobic oxidative synthesis of thiazoles was
developed. This chemistry for the first time achieved thiazole construction from
simple aldehydes, amines, and element sulfur through multiple Csp3-H bond
cleavage processes. Molecular oxygen was used as a green oxidant in this
oxidative protocol. The substrate scope is broad with the tolerance of aliphatic
amines. The mechanistic study might promote the reaction design for a new
sulfuration/annulation reaction with readily available element sulfur.
PMID- 29659293
TI - Solid-State Characterization and Relative Formation Enthalpies To Evaluate
Stability of Cocrystals of an Antidiabetic Drug.
AB - The current study integrates formation enthalpy and traditional slurry
experiments to quickly assess the physical stability of cocrystal drug substance
candidates for their potential to support drug development. Cocrystals of an
antidiabetic drug (GKA) with nicotinamide (NMA), vanillic acid (VLA), and ethyl
vanillin (EVL) were prepared and characterized by powder X-ray diffractometry
(PXRD), spectroscopic, and thermal techniques. The formation enthalpies of the
cocrystals, and their physical mixtures (GKA + coformer) were measured by the
differential scanning calorimetry (DSC) method reported by Zhang et al. [ Cryst.
Growth Des. 2012 , 12 ( 8 ), 4090 - 4097 ]. The experimentally measured
differences in the relative formation enthalpies obtained by integrating the heat
flow of each cocrystal against the respective physical mixture were correlated to
the physical stability of the cocrystals in the solid state. The relative
formation enthalpies of all of the cocrystals studied suggest that the cocrystals
are not physically stable at room temperature versus their physical mixtures. To
further address relative stability, the cocrystals were slurried in 30% v/v
aqueous ethanol, and it was observed that all of the cocrystals revert to GKA
within 48 h at room temperature. The slurry experiments are consistent with the
relative instability of the cocrystals with respect to their physical mixtures
suggested by the DSC results.
PMID- 29659294
TI - Palladium-Catalyzed Direct C-H Arylation of 3-(Methylsulfinyl)thiophenes.
AB - A palladium-catalyzed direct arylation of (3-thiophene)S(O)Me derivatives has
been developed. This protocol is effective for the selective synthesis of 2
arylated and 2,5-diarylated sulfinylthiophene derivatives with as low as 0.5 mol
% catalyst loading. Various functional groups are well tolerated. A method to
install two different aryl groups on 3-(methylsulfinyl)thiophenes is also
introduced.
PMID- 29659295
TI - Total Synthesis of Selligueain A, a Sweet Flavan Trimer.
AB - The first total synthesis of selligueain A (4), a plant-derived sweet polyphenol,
has been achieved. The key step was the de novo synthetic approach to the
selectively protected epiafzelechin unit 10, which was divergently converted to
three flavan units 7, 8, and 9. These components were combined by an orthogonal
activation and annulation method, enabling assembly of the characteristic
trimeric structure with single and double interflavan linkages.
PMID- 29659297
TI - Erratum.
PMID- 29659296
TI - Mental health knowledge and training needs among direct care workers: a mixed
methods study.
AB - OBJECTIVES: Direct care providers (DCWs) spend the most time with clients in the
home, and as such, play an integral role in identifying mental health problems.
However, DCWs receive little preparation in mental health and there is little
research regarding their role in the mental health care of clients. The purpose
of this study was to explore DCWs' knowledge, attitudes, and experiences of
caring for clients with mental health problems from the perspectives of DCWs and
key administrators (KAs). METHOD: Mixed method design. Structured interviews were
conducted with DCWs. Focus groups were conducted with KAs. RESULTS: Twenty-nine
DCWs and 12 KAs took part in the study. Loneliness and memory problems in clients
were the most prevalent challenges identified by DCWs. DCWs' self-reported mental
health knowledge was mid to high across all domains, although they had many
misconceptions about mental health and aging. Helpful strategies in working with
clients included communication skills, rapport-building, behavioral, cognitive,
emotion-regulation, and making use of external resources. KAs noted individual
differences in DCWs' mental health knowledge and indicated that mental health
issues were often viewed by DCWs as dispositional problems or a normal part of
aging. KAs viewed DCWs' greatest challenges as personalizing difficult client
behaviors, lack of knowledge about how to manage specific behaviors, and
difficulties managing their own emotions towards clients. CONCLUSION: Data from
this study suggest important areas for DCW development. However, system issues
that affect DCWs such as workload, resources, mental health stigma, and diverse
client populations should be addressed concurrently.
PMID- 29659298
TI - Agreement Between Visual Assessment and 2-Dimensional Analysis During Jump
Landing Among Healthy Female Athletes.
AB - CONTEXT: Altered movement patterns, including increased frontal-plane knee
movement and decreased sagittal-plane hip and knee movement, have been associated
with several knee disorders. Nevertheless, the ability of clinicians to visually
detect such altered movement patterns during high-speed athletic tasks is
relatively unknown. OBJECTIVE: To explore the association between visual
assessment and 2-dimensional (2D) analysis of frontal-plane knee movement and
sagittal-plane hip and knee movement during a jump-landing task among healthy
female athletes. DESIGN: Cross-sectional study. SETTING: Gymnasiums of
participating volleyball teams. PATIENTS OR OTHER PARTICIPANTS: A total of 39
healthy female volleyball players (age = 21.0 +/- 5.2 years, height = 172.0 +/-
8.6 cm, mass = 64.2 +/- 7.2 kg) from Divisions I and II of the Israeli Volleyball
Association. MAIN OUTCOME MEASURE(S): Frontal-plane knee movement and sagittal
plane hip and knee movement during jump landing were visually rated as good,
moderate, or poor based on previously established criteria. Frontal-plane knee
excursion and sagittal-plane hip and knee excursions were measured using free
motion-analysis software and compared among athletes with different visual
ratings of the corresponding movements. RESULTS: Participants with different
visual ratings of frontal-plane knee movement displayed differences in 2D frontal
plane knee excursion ( P < .01), whereas participants with different visual
ratings of sagittal-plane hip and knee movement displayed differences in 2D
sagittal-plane hip and knee excursions ( P < .01). CONCLUSIONS: Visual ratings
of frontal-plane knee movement and sagittal-plane hip and knee movement were
associated with differences in the corresponding 2D hip and knee excursions.
Visual rating of these movements may serve as an initial screening tool for
detecting altered movement patterns during jump landings.
PMID- 29659299
TI - Low 1-Year Return-to-Sport Rate After Anterior Cruciate Ligament Reconstruction
Regardless of Patient and Surgical Factors: A Prospective Cohort Study of 272
Patients.
AB - BACKGROUND: There is insufficient knowledge about the way that concomitant
injuries affect the short-term likelihood of a return to a knee-strenuous sport
after anterior cruciate ligament (ACL) reconstruction. Hypotheses/Purpose: The
purpose was to study whether patient characteristics, concomitant injuries, and
graft choice at primary ACL reconstruction can predict return to sport (RTS) 1
year after surgery. The hypotheses were that younger age at the time of ACL
reconstruction would positively affect RTS, while the presence of concomitant
injuries would negatively affect RTS 1 year after surgery. STUDY DESIGN: Case
control study; Level of evidence, 3. METHODS: Data were extracted from a
rehabilitation-specific register and the Swedish National Knee Ligament Register.
Twelve months after surgery, all patients were evaluated for RTS via the Tegner
Activity Scale. The primary outcome was a return to knee-strenuous sport, defined
as a Tegner Activity Scale >=6. Univariable and multivariable logistic regression
analyses were performed with patient characteristics, concomitant knee injuries,
and graft choice as independent variables. RESULTS: A total of 272 patients (51%
female) with a mean +/- SD age of 25.0 +/- 9.2 years were included. In the
multivariable analysis, a favorable odds ratio (OR) for returning to sport was
found for patients of male sex (OR, 2.58; 95% CI, 1.43-4.65; P = .0016), younger
age at the time of ACL reconstruction (OR, 2.32; 95% CI, 1.59-3.33; P < .0001), a
higher preinjury score on the Tegner Activity Scale (OR, 1.45; 95% CI, 1.13-1.87;
P = .0038), and an absence of injury to the meniscus (OR, 1.92; 95% CI, 1.10
3.36; P = .023) and medial collateral ligament (OR, 7.61; 95% CI, 1.42-40.87; P =
.018). In addition, the absence of cartilage injury was favorable in terms of RTS
in the univariable analysis (OR, 2.48; 95% CI, 1.40-4.39; P = .0018). CONCLUSION:
Positive predictors of a return to knee-strenuous sport 1 year after ACL
reconstruction were male sex, younger age, a high preinjury level of physical
activity, and the absence of concomitant injuries to the medial collateral
ligament and meniscus.
PMID- 29659300
TI - Otic drug delivery systems: formulation principles and recent developments.
AB - Disorders of the ear severely impact the quality of life of millions of people,
but the treatment of these disorders is an ongoing, but often overlooked
challenge particularly in terms of formulation design and product development.
The prevalence of ear disorders has spurred significant efforts to develop new
therapeutic agents, but perhaps less innovation has been applied to new drug
delivery systems to improve the efficacy of ear disease treatments. This review
provides a brief overview of physiology, major diseases, and current therapies
used via the otic route of administration. The primary focuses are on the various
administration routes and their formulation principles. The article also presents
recent advances in otic drug deliveries as well as potential limitations. Otic
drug delivery technology will likely evolve in the next decade and more efficient
or specific treatments for ear disease will arise from the development of less
invasive drug delivery methods, safe and highly controlled drug delivery systems,
and biotechnology targeting therapies.
PMID- 29659301
TI - Cross-cultural validation of a Brazilian version of the adapted manual wheelchair
circuit (AMWC-Brazil).
AB - PURPOSE: To translate, culturally adapt and validate the Adapted Manual
Wheelchair Circuit (AMWC) into Brazilian-Portuguese. DESIGN: Cross-sectional
study. METHODS: Sixty-six men (median age of 30.5 years [percentiles 25 and 75:
24.0; 38.3 years]) with traumatic spinal cord injury were consecutively enrolled
and divided into two groups: tetraplegia (TP) and paraplegia (PP). The
participants performed the AMWC-Brazil and were evaluated by the Spinal Cord
Injury Measure version III (SCIM-III). Translation, translation synthesis, back
translation, committee review and construct validity were adopted for the cross
cultural adaptation. Construct validity was performed by testing whether the test
scores were significantly correlated (Spearman's correlation coefficient) to the
subjects' injury level, age, time since injury, body mass index (BMI) and SCIM
III scale. RESULTS: All the AMWC-Brazil's outcomes were significantly correlated
with SCIM-III total score and subscales (P <= 0.01). However, when the analyses
were stratified over injury level, only the TP showed a high correlation between
the AMWC-Brazil's outcomes and the SCIM-III. For construct validity, 4 of 5
hypotheses were confirmed. Only BMI was not a significant predictor of the AMWC
Brazil outcomes. CONCLUSION: The AMWC was successfully translated to the
Brazilian-Portuguese (AMWC-Brazil) and presented high and satisfactory construct
validity.
PMID- 29659302
TI - Substantial contribution and accountability: best authorship practices for
medical writers in biomedical publications.
AB - OBJECTIVE: To provide clarity on the professional medical writer as author or
contributor by examining what "a substantial contribution" and "accountability"
mean with respect to authorship in a biomedical publication. These terms relate
to criteria 1 and 4 of the International Committee of Medical Journal Editors
(ICMJE) authorship guidelines. METHODS: We reviewed the ICMJE and Good
Publication Practice authorship guidelines, which recommend that individuals not
meeting all four authorship criteria should be acknowledged as contributors. We
also surveyed and assessed selected journals for published guidance on authorship
versus contributorship. RESULTS: We found that journals often vary in their
authorship guidelines for medical writers. Notwithstanding, and to assist in
determining the contribution made by the medical writer, we have expanded on
current guidelines to develop recommendations for important intellectual
contribution to the design of the work (developing the protocol, choosing
endpoints) or the interpretation of data for the work (developing the discussion,
interpreting new statistical output), which should result in inclusion of the
medical writer as an author, as well as when accountability is relevant. If the
medical writer does not qualify as an author, then their inclusion in the
acknowledgements section is appropriate. CONCLUSIONS: Authors and contributors
have a responsibility to create a publication that is accurate and true to the
study results, but only authors must provide a substantial contribution and are
accountable for that contribution. Contributions made by authors and non-author
contributors should be fully described in the publication, to enable the reader
to assess credit and responsibility.
PMID- 29659303
TI - Activity training on the ground in children with cerebral palsy: Systematic
review and meta-analysis.
AB - PURPOSE: To systematically review the evidence about whether activity training on
the ground is effective on activity or participation in children with cerebral
palsy. METHODS: Randomized controlled trials (RCTs) were searched in databases
using relevant keywords. RCTs were included with children (<=18 years) with
cerebral palsy who received activity training on the ground only or activity
training on the ground combined with another type of physiotherapy. Outcome
measures classified as measures of activity or participation according to the
International Classification of Functioning, Disability, and Health were
analyzed. RESULTS: Nine RCTs (257 participants) were included in this review.
Individual studies resulted in conflicting results when activity training on the
ground was compared to no intervention. Based on meta-analysis, activity training
on the ground was not more effective than no intervention (standardized mean
difference [SMD]: 0.18; confidence interval [CI]: -1.49 to 1.86) or other
therapies (SMD: -0.09; CI: -0.86 to 0.69) (I2 > 75%) on improving activity or
participation. Results from a single study demonstrated that activity training on
the ground combined with other physiotherapy intervention was not more effective
than no intervention (SMD: -0.18 CI: -0.89 to 0.54). CONCLUSIONS: The available
evidence shows little effect of activity training on the ground on activity or
participation in children with cerebral palsy, suggesting that rigorous trials
with larger samples and larger "dosage" of activity training on the ground are
needed in the future.
PMID- 29659304
TI - Diet-induced obesity reduces core body temperature across the estrous cycle and
pregnancy in the rat.
AB - Obesity during pregnancy causes adverse maternal and fetal health outcomes and
programs offspring for adult-onset diseases, including cardiovascular disease.
Obesity also disrupts core body temperature (Tc) regulation in nonpregnant
rodents; however, it is unknown whether obesity alters normal maternal Tc
adaptations to pregnancy. Since Tc is influenced by the circadian system, and
both obesity and pregnancy alter circadian biology, it was hypothesized that
obesity disrupts the normal rhythmic patterns of Tc before and during gestation.
Obesity was induced by cafeteria (CAF) feeding in female Wistar rats for 8 weeks
prior to and during gestation, whereas control (CON) animals had free access to
chow. Intraperitoneal temperature loggers measured daily Tc profiles throughout
the study, while maternal body composition and leptin levels were assessed near
term. Daily temperature profiles were examined for rhythmic features (mesor,
amplitude and acrophase) by cosine regression analysis. CAF animals exhibited
increased fat mass (93%) and associated hyperleptinemia (3.2-fold increase)
compared to CON animals. CAF consumption reduced the average Tc (by up to 0.29
degrees C) across the estrous cycle and most of pregnancy; however, Tc for CAF
and CON animals converged toward the end of gestation. Obesity reduced the
amplitude of Tc rhythms at estrus and proestrus and on day 8 of pregnancy, but
increased the amplitude at day 20 of pregnancy. Photoperiod analysis revealed
that obesity reduced Tc exclusively in the light period during pre-pregnancy but
only during the dark period in late gestation. In conclusion, obesity alters
rhythmic Tc profiles and reduces the magnitude of the Tc decline late in rat
gestation, which may have implications for maternal health and fetal development.
PMID- 29659305
TI - Exposure to radiation from single or combined radio frequencies provokes
macrophage dysfunction in the RAW 264.7 cell line.
AB - PURPOSE: The aim of this study was to determine whether exposure to radiation
from single or multiple radio-frequency (RF) signals at 900 and 2450 MHz would
induce effects in the RAW 264.7 cell line. MATERIALS AND METHODS: Cell cultures
were exposed to single or combined RF for 4, 24, 48, or 72 h in a GTEM
electromagnetic test chamber. At the end of the radiation exposure time,
viability and cell growth were analyzed by flow cytometry, nitric oxide (NO)
production was measured by colorimetry, the expression of HSP70 and TNF-alpha was
ascertained by qPCR, and the phagocytic activity was observed by microscopy.
RESULTS: NO production increased after 48 h exposure at 2450 MHz, compared with
controls. The group subjected to the combined interaction of two RFs showed an
increase of HSP70 after 48 h exposure and a significant increase of NO and TNF
alpha after 72 h. The phagocytic activity of macrophages decreased in all groups
as exposure time increased. CONCLUSIONS: Our results indicated a decrease in
phagocytic activity and an increase in inflammatory, cytoprotective, and
cytotoxic responses in macrophages after continuous and combined exposure of
multiple RF signals. Multiple RF interact in everyday life, the immune response
in humans is unknown.
PMID- 29659306
TI - Physical activity levels and self-determined motivation among future healthcare
professionals: Utility of the Behavioral Regulation in Exercise Questionnaire
(BREQ-2).
AB - BACKGROUND: Healthcare professionals (HCPs) who are physically active are
regarded as more likely to act as credible physical activity (PA) role models and
promote healthy lifestyles. This study explores PA levels and underlying
motivations, within and between HCP students, first testing the validity and
reliability of the Behavioral Regulation in Exercise Questionnaire (BREQ-2).
METHODS: The International Physical Activity Questionnaire (IPAQ) and BREQ-2 were
administered to 296 HCP university students (physiotherapy n = 47, medicine n =
105, nursing n = 121, radiography n = 23). Data were summarized using descriptive
statistics. Mann Whitney and Kruskal Wallis tests compared scores between
subgroups. Confirmatory factor analysis and internal consistency testing of the
BREQ-2 was also undertaken. RESULTS: Fifty-six percent (n = 166) of respondents
were moderately active, 40% (n = 118) highly active and 4% (n = 12) inactive.
Participants' responses indicated mainly self-determined motivation for exercise.
Significantly different Relative Autonomy Index (RAI) (p <= 0.001), identified (p
<= 0.001) and intrinsic (p <= 0.001) motivation subscale scores were noted
between HCP groups and among low, moderate and high-level PA groups. CONCLUSIONS:
This HCP cohort were found to be active and intrinsically motivated to exercise.
The BREQ-2 was shown to be a valid and reliable tool with strong subscale
internal consistency.
PMID- 29659307
TI - Recent advances in ultrasound-triggered therapy.
AB - As a non-invasive and real-time diagnostic technique, ultrasound has provided a
novel strategy for targeted treatment. With the rapid development of ultrasonic
technique and ultrasound contrast agents (UCAs), spatiotemporally controllable
application of ultrasound with or without UCAs makes it possible for site
specific delivery of therapeutic agents and targeted modulation with minimal side
effects, which indicated a promising therapy in clinical use. This review will
describe the main mechanism of targeted therapy induced by ultrasound briefly,
then focus on the current application of ultrasound mediated targeted therapy in
various fields including tumour, cardiovascular disease, central nervous system,
skeletal muscle system diseases and stem cells therapy. In addition, ongoing
challenges of ultrasound-mediated targeted therapy for further research and its
clinical use are reviewed.
PMID- 29659308
TI - The evaluation of non-use of the upper limb in chronic hemiparesis is influenced
by the level of motor impairment and difficulty of the activities-proposal of a
new version of the Motor Activity Log.
AB - This study aims to evaluate the influence of the degree of difficulty of the
activities in Motor Activity Log (MAL) scores for patients with mild, moderate,
and severe hemiparesis, and to estimate the correlation between motor impairment
levels of hemiparesis and MAL scores in post-stroke patients. Sixty-six patients
with chronic hemiparesis (49 with mild-moderate hemiparesis, and 17 with severe
hemiparesis) were evaluated by the Fugl-Meyer upper-limb section and versions of
MAL for different degrees of motor impairment. The Rasch model was used to
analyze the level of difficulty of the activities of the different versions of
MAL. The Spearman's correlation tested the relationship between the Fugl-Meyer
Assessment upper-limb section and MAL. The MAL version, developed to evaluate
patients with severe hemiparesis, does not contain the easier activities as
employed by the Rasch analysis. There was positive correlation between the Fugl
Meyer Assessment upper-limb section scores and Amount of Use of the three
versions of the MAL (r = 0.76, 0.78, and 0.77). The difficulty of the activities
seems to influence the quantity and quality of use of the affected upper limb in
individuals with chronic hemiparesis. A new version of MAL is proposed for
individuals with severe motor impairment.
PMID- 29659309
TI - Peak expiratory flow in obese subjects in different positions.
AB - OBJECTIVE: To analyze the peak expiratory flow (PEF) in obese subjects in
standing (ST), seated (SE), supine (SU), prone (PR), and right and left lateral
decubitus (RL and LL) positions. METHODS: 36 obese volunteers, aged between 18
and 54 years, and nonsmokers, were evaluated by anthropometric data; spirometry;
international physical activity questionnaire (IPAQ); and PEF in ST, SE, SU, PR,
RL, and LL positions, randomly. RESULTS: The mean age was 27.3 +/- 7.4 years and
BMI of 38.7 +/- 3.2 kg/m2; IPAQ results: active (50%), irregularly active (25%),
very active (16.7%), and sedentary (08.3%); spirometry results: FVC = 100.33%,
FEV1 = 92.83%, FEV1/FVC ratio = 0.95, FEF25-75% = 85.55%. The PEF (L/min) were
within the normal range and there was no difference between the ST and SE (428.6
+/- 100.9 and 430.0 +/- 100.1). Comparing ST and SE to the other positions, in
absolute and percent of predicted values (L/min and %), there was a decrease in
the SU (85.4 +/- 14.9), PR (401.1 +/- 92.4 and 84.1 +/- 14.4), RL (398.6 +/- 94.7
and 83.3 +/- 14.9) (p < 0.05), and there was no difference to SU (409.4 +/-
102.8) and LL (410.3 +/- 93.9 and 85.8 +/- 14.5). CONCLUSION: The PEF of healthy
obese are similar in the standing and seated positions. The PEF decreases in the
lying positions, except for the LL, that could be used as an alternative for
measurements.
PMID- 29659310
TI - Effect of feeding broccoli floret residues on leghorn layer performance and egg
quality and nutrient digestibility.
AB - 1. A study was conducted to determine the effects of dried broccoli floret (DBF)
on egg production parameters, egg quality and apparent nutrient digestibility of
laying hens. It was hypothesised that feeding DBF can improve egg quality without
adversely affecting layer performance.2. Seventy-two White Leghorn layers aged 62
weeks were randomly allotted to 4 dietary treatments (6 cage replicates with 3
hens each) and fed diets containing 0, 40, 80 and 120 g/kg DBF in feed for 56
d.3. Inclusion of DBF had no effect on feed intake, egg production, feed
conversion ratio or egg components. However, egg weight increased (linear effect,
P < 0.05) as the level of DBF in the diet increased. Egg breakage strength,
eggshell strength and Haugh unit were not influenced by dietary treatments. Egg
yolk colour (quadratic effect, P = 0.002) and alpha-tocopherol concentration
(quadratic effect, P < 0.0001) improved as a result of DBF inclusion. Egg yolk
cholesterol concentration was not altered by DBF inclusion. Apparent total tract
nutrient (dry matter, organic matter and crude protein) digestibility
coefficients decreased (linear effect, P < 0.05) as the level of DBF increased.4.
Feeding DBF to layers up to 120 g/kg of the diet had no negative effects on feed
intake, egg production and feed efficiency and improved egg yolk colour and alpha
tocopherol concentration. However, total tract nutrient digestibility
coefficients were negatively decreased as a result of DBF inclusion.
PMID- 29659311
TI - A knowledge translation intervention designed using audit and feedback and the
Theoretical Domains Framework for physical therapists working in inpatient
rehabilitation: A case report.
AB - BACKGROUND AND PURPOSE: Little is known about the process of engaging key
stakeholders to select and design a knowledge translation (KT) intervention to
increase the use of an outcome measure using audit and feedback. The purpose of
this case report was to describe the development of a KT intervention designed
with organizational support to increase physical therapists' (PTs) use of a
selected outcome measure in an inpatient sub-acute rehabilitation hospital. CASE
DESCRIPTION: Eleven PTs who worked at a sub-acute rehabilitation hospital
participated. After determining organizational support, a mixed methods barrier
assessment including a chart audit, questionnaire, and a focus group with audit
and feedback was used to select an outcome measure and design a locally tailored
intervention. The intervention was mapped using the Theoretical Domains Framework
(TDF). One investigator acted as knowledge broker and co-designed the
intervention with clinician and supervisor support. OUTCOMES: The 4-m walk test
was selected through a group discussion facilitated by the knowledge broker.
Support from the facility and input from the key stakeholders guided the design
of a tailored KT intervention to increase use of gait speed. The intervention
design included an interactive educational meeting, with documentation and
environmental changes. DISCUSSION: Input from the clinicians on the educational
meeting, documentation changes and placement of tracks, and support from the
supervisor were used to design and locally adapt a KT intervention to change
assessment practice among PTs in an inpatient sub-acute rehabilitation hospital.
Implementation and evaluation of the intervention is underway.
PMID- 29659312
TI - Anatomical distribution of musculoskeletal disorders following a road traffic
collision in litigants presenting to physiotherapists within a private-clinic in
North-East England.
AB - INTRODUCTION: Musculoskeletal disorders (MSDs) are common following a road
traffic collision (RTC) in England. Establishing the anatomical distribution of
MSDs following RTC that present to physiotherapists may improve understanding and
clinical practice. This study examined anatomical distribution of MSDs that
present to physiotherapists within a litigant population following a RTC in
England. METHODS: A retrospective review of physiotherapy records was conducted
at a private practice in North-East England. Data were collected from 2105
patients between January 2014 and December 2015. The primary outcome was
anatomical regions with MSDs. Descriptive data is reported. RESULTS: Overall, 90%
of patients presented with a neck/upper back disorder, while 52% presented with a
lower back disorder. Of the assessed patients, 46% presented with one MSD, 45%
presented with two MSDs while 9% presented with >=3 MSDs. Further analysis showed
that those who presented to physiotherapy later and were not motor vehicle
occupants (MVOs) were more likely to have upper-limb, lower-limb, or lumbar MSDs.
Younger patients, who presented sooner and were non-MVO were more likely to have
multiple regions affected by MSDs. CONCLUSIONS: This study presents
epidemiological evidence that MSDs following a RTC occur primarily in the
neck/upper back or lower back regions, and that multiple MSDs are common.
PMID- 29659313
TI - Shoulder external rotation range of motion and pectoralis minor length in
individuals with and without shoulder pain.
AB - BACKGROUND: There is evidence that pectoralis minor (PM) length influences
scapula position and that scapula position relates to glenohumeral joint (GHJ)
external rotation (ER) range of motion (ROM). OBJECTIVES: To explore the
association between PM resting length and GHJ ER ROM in individuals with and
without shoulder pain. The influence of GHJ ER ROM measurement position on this
association was also evaluated. DESIGN: Cross-Sectional. METHODS: Fifty
individuals (25 asymptomatic and 25 with shoulder pain) participated. PM resting
length was measured using a tape measure with subjects standing, while GHJ ER ROM
was quantified using a digital inclinometer with participants in both supine and
seated positions. The same blinded investigator took all measurements.
RESULTS/FINDINGS: A significant negative correlation between PM resting length
and GHJ ER ROM in the seated position was noted in the asymptomatic group (r =
0.41; p = 0.04), but not in the symptomatic group (r = -0.33; p = 0.11). A
nonsignificant negative correlation was also demonstrated in the supine position
for both groups (r ranged from -0.35 to -0.17; p > 0.05). There was a significant
group x position interaction (F = 4.06; p = 0.04) with more GHJ ER ROM (6.80
degrees ) for asymptomatic group in the seated position. CONCLUSIONS: PM length
is not strongly correlated with GHJ ER ROM in individuals with or without
shoulder pain. However, the position in which GHJ ER ROM is measured influenced
the motion in asymptomatic individuals.
PMID- 29659314
TI - Level of physical activity in men and women with chronic stroke.
AB - INTRODUCTION: Community-dwelling stroke survivors generally show low levels of
physical activity (PA). An improved understanding of the factors influencing
participation in PA after stroke is imperative to improve levels of PA.
Furthermore, gender differences in PA have received little attention in stroke
research. The objective of this study was to examine gender differences in PA,
physical functioning and psychological factors and the association between these
factors and PA in men and women 1-3-year post-stroke. MATERIALS AND METHODS: A
total of 187 community-dwelling individuals with stroke (65-85 years old, 29%
women) were included in a secondary analysis based on data from a cross-sectional
study. The exclusion criteria were severe cognitive or language dysfunction or
dementia. The level of PA was measured by the Physical Activity Scale for the
Elderly. Physical function included balance, walking speed and mobility.
Psychological factors included depression, health-related quality of life and
fall-related self-efficacy. Falls and fear of falling were each measured with a
single question. RESULTS: There were no significant differences in PA levels
between men and women. In multiple regression analyses, walking speed (p < 0.001)
was associated with PA in men, and balance (p = 0.038) was associated with PA in
women. CONCLUSIONS: The results indicate that strategies to increase PA levels 1
3-year post-stroke could be improved by considering gender-specific factors.
PMID- 29659315
TI - Association between pain drawing and psychological factors in musculoskeletal
chronic pain: A systematic review.
AB - BACKGROUND: It has been speculated that there is an association between pain area
and psychological factors in chronic musculoskeletal pain conditions; however,
this relation is not well established. PURPOSE: To investigate the association
between pain distribution and psychological factors in chronic musculoskeletal
pain conditions. STUDY DESIGN: Systematic review. METHODS: We searched the
following databases using optimized search strategies: MEDLINE, PsycINFO, Scopus,
Web of Science and Cochrane. Studies were included if they investigated the
relation between pain area using a pain drawing (PD) and psychological factors
measured by any consistent available method. RESULTS: Eleven articles were
included. A total of 1301 participants with different musculoskeletal pain
conditions, including low back pain, whiplash-associated disorders and
fibromyalgia took part in the studies. In three studies, the correlation between
pain area and depression was weak (r = 0.15, p = N/A; r = 0.26, p < 0.05; r =
0.25, p = 0.01). Depression seemed to be a risk factor for pain in more body
areas in one study (relative risk = 6.09, 95% CI = 1.1-33.5; p < 0.05). The
relation between pain area and other psychological factors such as anxiety,
kinesiophobia, catastrophizing, memory disturbances and concentration
difficulties was also reported. CONCLUSIONS: A definitive answer on the relation
of psychological factors and pain area is not available; the findings suggest
that only depression might have a weak relation with pain area. Future studies
that investigate sensory, psychological, emotional, cognitive and behavioral
aspects, and also more accurate methods of PD assessment, are needed.
PMID- 29659316
TI - Longitudinal changes in gray matter regions after cranial radiation and
comparative analysis with whole body radiation: a DTI study.
AB - PURPOSE: Radiation-induced white matter changes are well known and vastly
studied. However, radiation-induced gray matter alterations are still a research
question. In the present study, these changes were assessed in a longitudinal
manner using Diffusion Tensor Imaging (DTI) and further compared for cranial and
whole body radiation exposure. MATERIALS AND METHODS: Male mice (C57BL/6) were
irradiated with cranial or whole body radiation followed by DTI study at 7T
animal MRI system during predose, subacute and early delayed phases of radiation
sickness. Fractional anisotropy (FA) and mean diffusivity (MD) values were
obtained from brain's gray matter regions. RESULTS: Decreased FA with increased
MD was observed prominently in animals exposed to cranial radiation showing most
changes at 8 months post irradiation. However, whole body radiation induced FA
changes were mostly observed at 1 month post irradiation as compared to controls.
CONCLUSIONS: The differential response after whole body and cranial irradiation
observed in the study depicts that radiation exposure of 5 Gy could induce
permanent alterations in gray matter regions prominently as observed in
Caudoputamen region at all the time points. Thus, our study has bolstered the
role of DTI to probe microstructural changes in gray matter regions of brain
after radiation exposure.
PMID- 29659317
TI - Multiple response optimisation of processing and formulation parameters of pH
sensitive sustained release pellets of capecitabine for targeting colon.
AB - PURPOSE: To optimise the Eudragit/Surelease(r)-coated pH-sensitive pellets for
controlled and target drug delivery to the colon tissue and to avoid frequent
high dosing and associated side effects which restrict its use in the colorectal
cancer therapy. METHODS: The pellets were prepared using extrusion-spheronisation
technique. Box-Behnken and 32 full factorial designs were applied to optimise the
process parameters [extruder sieve size, spheroniser-speed, and spheroniser-time]
and the coating levels [%w/v of Eudragit S100/Eudragit-L100 and Surelease(r)],
respectively, to achieve the smooth optimised size pellets with sustained drug
delivery without prior drug release in upper gastrointestinal tract (GIT).
RESULTS: The design proposed the optimised batch by selecting independent
variables at; extruder sieve size (X1 = 1 mm), spheroniser speed (X2 = 900
revolutions per minute, rpm), and spheroniser time (X3 = 15 min) to achieve
pellet size of 0.96 mm, aspect ratio of 0.98, and roundness 97.42%. The 16%w/v
coating strength of Surelease(r) and 13%w/v coating strength of Eudragit showed
pH-dependent sustained release up to 22.35 h (t99%). The organ distribution study
showed the absence of the drug in the upper part of GIT tissue and the presence
of high level of capecitabine in the caecum and colon tissue. Thus, the presence
of Eudragit coat prevent the release of drug in stomach and the inner
Surelease(r) coat showed sustained drug release in the colon tissue. CONCLUSION:
The study demonstrates the potential of optimised Eudragit/Surelease(r)-coated
capecitabine-pellets for effective colon-targeted delivery system to avoid
frequent high dosing and associated systemic side effects of drug.
PMID- 29659318
TI - Synthesis, characterization, radiolabeling and biodistribution of a novel
cyclohexane dioxime derivative as a potential candidate for tumor imaging.
AB - PURPOSE: Dioxime derivative is reported to exhibit high affinity towards tumor
cells. The objective of the present study is to synthesize a new dioxime
derivative to be labeled with technetium-99m for using as a solid tumor marker.
MATERIALS AND METHODS: ((2E,2',3E,3')-3,3'-(cyclohexane-1,2-diylbis
(azanylylidene)) bis-(butan-2-one)dioxime) was synthesized by condensation of
Butan-2,3-dione monooxime and diaminocyclohexane and labeled with 99mTc. The in
vivo distribution of the agent was studied by carrying out biodistribution in
tumor bearing Albino mice. RESULTS: A new cyclohexane dioxime derivative was
synthesized with a good yield of 93 +/- 2% and its complexation with 99mTc was
prepared with 85 +/- 4% radiochemical yield under the optimized conditions and
the preparation exhibited in-vitro stability up to 6 h. Biodistribution studies
showed high uptake in tumor cells with T/NT (target to non-target ratio) = 3.4 +/
0.2 after 0.5 h post injection. CONCLUSION: As a result of biodistribution
studies, the newly synthesized cyclohexane dioxime derivative showed its good
uptake in tumor cells, which affords a potential radiopharmaceutical that could
be used as a good tumor imaging agent.
PMID- 29659319
TI - Disposition of tris(4-chlorophenyl)methanol and tris(4-chlorophenyl)methane in
male and female Harlan Sprague Dawley rats and B6C3F1/N mice following oral and
intravenous administration.
AB - Tris(4-chlorophenyl)methane (TCPME) and tris(4-chlorophenyl)methanol (TCPMOH)
have been detected in various biota and human tissues. The current studies were
undertaken to investigate the disposition and metabolism of TCPME and TCPMOH in
rats and mice. [14C]TCPME was well absorbed (>=66%) in male rats and mice
following a single oral administration of 1, 10, or 100 mg/kg. The excretion of
[14C]TCPME-derived radioactivity in urine (<=2.5%) and feces (<=18%) was low. The
administered dose was retained in tissues (>= 64%) with adipose containing the
highest concentrations. The metabolism of TCPME was minimal. The disposition and
metabolism of [14C]TCPME in females was similar to males. The time to reach
maximum concentration was <=7 h, the plasma elimination half-life was >=31 h, and
the bioavailability was >=82% following a 10 mg/kg oral dose of [14C]TCPME in
male rats and mice. The disposition of [14C]TCPMOH was similar to that of
[14C]TCPME. Following an intravenous administration of [14C]TCPME or [14C]TCPMOH
in male rats and mice, the pattern of disposition was similar to that of oral
administration. In conclusion, both TCPME and TCPMOH are readily absorbed and
highly bioavailable following a single oral administration pointing to importance
of assessing the toxicity of these chemicals.
PMID- 29659320
TI - Opioid Discussion in the Twittersphere.
AB - BACKGROUND: The rise in opioid use and overdose has increased the importance of
improving data collection methods for the purpose of targeting resources to high
need populations and responding rapidly to emerging trends. OBJECTIVE: To
determine whether Twitter data could be used to identify geographic differences
in opioid-related discussion and whether opioid topics were significantly
correlated with opioid overdose death rate. METHODS: We filtered approximately 10
billion tweets for keywords related to opioids between July 2009 and October
2015. The content of the messages was summarized into 50 topics generated using
Latent Dirchlet Allocation, a machine learning analytic tool. The correlation
between topic distribution and census region, census division, and opioid
overdose death rate were quantified. RESULTS: We evaluated a tweet cohort of
84,023 tweets from 72,211 unique users across the US. Unique opioid-related
topics were significantly correlated with different Census Bureau divisions and
with opioid overdose death rates at the state and county level. Drug-related
crime, language of use, and online drug purchasing emerged as themes in various
Census Bureau divisions. Drug-related crime, opioid-related news, and pop culture
themes were significantly correlated with county-level opioid overdose death
rates, and online drug purchasing was significantly correlated with state-level
opioid overdoses. CONCLUSIONS: Regional differences in opioid-related topics
reflect geographic variation in the content of Twitter discussion about opioids.
Analysis of Twitter data also produced topics significantly correlated with
opioid overdose death rates. Ongoing analysis of Twitter data could provide a
means of identifying emerging trends related to opioids.
PMID- 29659321
TI - The influence of redox status on inter-individual variability in the response of
human peripheral blood lymphocytes to ionizing radiation.
AB - PURPOSE: Ionizing radiation (IR) can act on atomic structures, producing damage
to biomolecules. Earlier investigations evaluating individual radiosensitivity in
vitro were focused on cytogenetic biomarkers (chromosomal aberrations - CA and
micronuclei - MN). Since IR can also cause oxidative damage by producing reactive
oxygen species, the main goal of this investigation was to establish the
influence of redox status on CA and MN frequency in human peripheral blood
lymphocytes. MATERIALS AND METHODS: Blood samples from 56 healthy donors were
irradiated at doses of 0, 0.75, 1.5 and 3 Gy and then analyzed cytogenetically
and biochemically. RESULTS: The results showed inter-individual variability in
all analyzed parameters, as well as dose-dependent increases in almost all of
them. Correlation analysis indicated no association between CA, MN and oxidative
stress parameters. However, findings for overall response (HRR) parameters showed
that donors with lower values for parameters of antioxidant status had increased
levels of cytogenetic damage and higher responses to irradiation and vice versa.
CONCLUSION: Besides well-established cytogenetic biomarkers of radiation
exposure, our results indicated promising future use for biochemical oxidative
status parameters in routine radiation protection practice, since together they
can provide a complete radiation response profile in cases of continuous low-dose
exposure, as well as in a radiation emergency.
PMID- 29659323
TI - How strong is the Social Security safety net? Using the Elder Index to assess
gaps in economic security.
AB - Older Americans rely heavily on Social Security benefits (SSBs) to support
independent lifestyles, and many have few or no additional sources of income. We
establish the extent to which SSBs adequately support economic security,
benchmarked by the Elder Economic Security Standard Index. We document
variability across U.S. counties in the adequacy levels of SSBs among older
adults. We find that the average SSBs fall short of what is required for economic
security in every county in the United States, but the level of shortfall varies
considerably by location. Policy implications relating to strengthening Social
Security and other forms of retirement income are discussed.
PMID- 29659322
TI - Applications of FT-NIRS combined with PLS multivariate methods for the detection
& quantification of saccharin adulteration in commercial fruit juices.
AB - Detection of adulteration in carbohydrate-rich foods like fruit juices is
particularly difficult because of the variety of the commercial sweeteners
available that match the concentration profiles of the major carbohydrates in the
foods. In present study, a new sensitive and robust assay using Fourier Transform
Near-Infrared Spectroscopy (FT-NIRS) combined with partial least square (PLS)
multivariate methods has been developed for detection and quantification of
saccharin adulteration in different commercial fruit juice samples. For this
investigation, six different commercially available fruit juice samples were
intentionally adulterated with saccharin at the following percentage levels: 0%,
0.10%, 0.30%, 0.50%, 0.70%, 0.90%, 1.10%, 1.30%, 1.50%, 1.70% and 2.00%
(weight/volume). Altogether, 198 samples were used including 18 pure juice
samples (unadulterated) and 180 juice samples adulterated with saccharin. PLS
multivariate methods including partial least-squares discriminant analysis (PLS
DA) and partial least-squares regressions (PLSR) were applied to the obtained
spectral data to build models. The PLS-DA model was employed to differentiate
between pure fruit juice samples and those adulterated with saccharin. The R2
value obtained for the PLS-DA model was 97.90% with an RMSE error of 0.67%.
Similarly, a PLS regression model was also developed to quantify the amount of
saccharin adulterant in juice samples. The R2 value obtained for the PLSR model
was 97.04% with RMSECV error of 0.88%. The employed model was then cross
validated by using a test set which included 30% of the total adulterated juice
samples. The excellent performance of the model was proved by the low root mean
squared error of prediction value of 0.92% and the high correlation factor of
0.97. This newly developed method is robust, nondestructive, highly sensitive and
economical.
PMID- 29659324
TI - Montelukast attenuates radioactive I131-induced pulmonary damage on rats.
AB - PURPOSE: Radioactive I131 (RAI) therapy is a standard method to ablate the
remnant thyroidal tissue after total thyroidectomy and its metastases in
differentiated thyroid carcinomas; however, I131 also accumulates in nonthyroidal
tissue, which may cause adverse effects and limit the I131 dose required for
treatment. We hypothesized that montelukast, a known agent with anti-inflammatory
and anti-oxidant properties, would ameliorate the radiation-induced
histopathological characteristics such as pneumonitis and fibrosis in rat lungs
after RAI. METHODS: Fifty female Wistar albino rats were randomly separated into
five groups of 10. Group 1 was the control group; Group 2 was administered RAI
only; Group 3 was administered RAI and montelukast, Group 4 was administered RAI
after total thyroidectomy and Group 5 was administered RAI and montelukast after
total thyroidectomy. All rats were sacrificed after 12 weeks and the lungs were
evaluated in the histological examination to determine the degree of inflammation
and fibrosis and for immunohistochemical (IHC) staining for tissue expression of
IL-1, IL-6 and TNF-alpha and TGF-beta. RESULTS: The RAI-administered groups,
Group 2 and Group 4, were significantly different from the control group,
however, the groups medicated with both RAI and montelukast, Group 3 and Group 5,
were not significantly different from the control group. All histopathological
and IHC parameters were significantly less in the groups administered with
montelukast compared to the groups not administered with montelukast.
CONCLUSIONS: The results of this study demonstrated the radioprotective effect of
montelukast in the pulmonary system through histopathological and IHC
examination.
PMID- 29659325
TI - Reactive oxygen species mediates 50-Hz magnetic field-induced EGF receptor
clustering via acid sphingomyelinase activation.
AB - PURPOSE: Exposure to extremely low frequency electromagnetic fields (ELF-EMFs)
could elicit biological effects including carcinogenesis. However, the detailed
mechanisms by which these ELF-EMFs interact with biological system are currently
unclear. Previously, we found that a 50-Hz magnetic field (MF) exposure could
induce epidermal growth factor receptor (EGFR) clustering and phosphorylation on
cell membranes. In the present experiment, the possible roles of reactive oxygen
species (ROS) in MF-induced EGFR clustering were investigated. MATERIALS AND
METHODS: Human amnion epithelial (FL) cells were exposed to a 50-Hz MF with or
without N-acetyl-l-cysteine (NAC) or pyrrolidine dithiocarbamate (PDTC). EGFR
clustering on cellular membrane surface was analyzed using confocal microscopy
after indirect immunofluorescence staining. The intracellular ROS level and acid
sphingomyelinase (ASMase) activity were detected using an ROS assay kit and an
Amplex(r) Red Sphingomyelinase Assay Kit, respectively. RESULTS: Results showed
that exposure of FL cells to a 50-Hz MF at 0.4 mT for 15 min significantly
enhanced the ROS level, induced EGFR clustering and increased ASMase activity.
However, pretreatment with NAC or PDTC, the scavenger of ROS, not only
counteracted the effects of a 50-Hz MF on ROS level and AMS activity, but also
inhibited the EGFR clustering induced by MF exposure. CONCLUSIONS: The present
and previous data suggest that ROS mediates the MF-induced EGFR clustering via
ASMase activation.
PMID- 29659326
TI - Author reply to VA-MENGOC-BC cross-protection (2018HV0022).
PMID- 29659327
TI - Rehabilitative vs palliative care for the temporomandibular joint disc
displacement patient.
PMID- 29659328
TI - Outsourcing within the Nordic context: Care services for older people in Finland.
AB - The early 1990s economic setback brought significant reforms favoring the
outsourcing of care in Finnish municipalities. Here, outsourcing refers to the
practice of municipalities employing private organizations through different
means (e.g., open tendering) to deliver public care services. In this context,
this study examines the growth in the outsourcing of service housing and home
help services in 311 municipalities from 2001 to 2015 and investigates the
municipal factors associated with outsourcing using four dimensions: care needs,
population size, economic situation, and political ideology of the municipality.
The findings reveal a steep increase in the outsourcing of home-help and service
housing. Care needs of older people are the most influential factor for
outsourcing, particularly for service housing. Overall, the findings show a
growing trend in private care provision in Finnish municipalities.
PMID- 29659329
TI - Cost-Effectiveness Analyses of the 21-Gene Assay in Breast Cancer: Systematic
Review and Critical Appraisal.
AB - Purpose Prior studies examining cost effectiveness of the 21-gene assay (Oncotype
DX [ODX]) for women with hormone receptor-positive, early-stage breast cancer
have yielded disparate results. We aimed to explore why these analyses may have
yielded different conclusions. Methods We conducted a systematic literature
review of cost-effectiveness analyses (CEAs) of ODX. We examined the extent to
which the structure of CEA modeling, the assumptions of the models, and the
selection of input parameters influenced cost-effectiveness estimates. We also
explored the prevalence of industry funding and whether industry funding was
associated with study designs favoring ODX. Results We identified 27 analyses, 15
of which received industry funding. In 18 studies, the clinical characteristics
(eg, tumor size and grade) commonly used to make chemotherapy decisions were not
incorporated into simulation modeling; thus, these studies would favor ODX being
cost effective and might not reflect clinical practice. Most studies ignored the
heterogeneous effect of ODX on chemotherapy use; only five studies assumed that
ODX would increase chemotherapy use for clinically low-risk patients but decrease
chemotherapy use for clinically high-risk patients. No study used population
based joint distributions of ODX recurrence score and tumor characteristics, and
12 studies inappropriately assumed that chemotherapy would increase distant
recurrence for the low recurrence score group; both approaches overestimated the
benefits of ODX. Industry-funded studies tended to favor ODX; all five studies
that reported ODX as being cost saving were industry funded. In contrast, two
studies that reported an incremental cost-effectiveness ratio > $50,000 per
quality-adjusted life-year were not funded by industry. Conclusion Although a
majority of published analyses indicated that ODX is cost effective, they
incorporated study designs that can increase the risk of bias.
PMID- 29659331
TI - Comparative analysis of aging policy reforms in Argentina, Chile, Costa Rica, and
Mexico.
AB - This investigation uses case studies and comparative analysis to review and
analyze aging policy in Argentina, Chile, Costa Rica, and Mexico and uncovers
similarities and relevant trends in the substance of historical and current aging
policy across countries. Initial charity-based approaches to poverty and illness
have been gradually replaced by a rights-based approach considering broader
notions of well-being, and recent reforms emphasize the need for national,
intersectoral, evidence-based policy. The results of this study have implications
for understanding aging policy in Latin America from a welfare regime and policy
makers' perspective, identifying priorities for intervention and informing policy
reforms in developing countries worldwide.
PMID- 29659330
TI - Treatment of Stage IV Favorable Histology Wilms Tumor With Lung Metastases: A
Report From the Children's Oncology Group AREN0533 Study.
AB - Purpose The National Wilms Tumor Study (NWTS) treatment of favorable histology
Wilms tumor with lung metastases was vincristine/dactinomycin/doxorubicin (DD4A)
and lung radiation therapy (RT). The AREN0533 study applied a new risk
stratification and treatment strategy to improve event-free survival (EFS) while
reducing exposure to lung RT. Methods Patients with favorable histology Wilms
tumor and isolated lung metastases showing complete lung nodule response (CR)
after 6 weeks of DD4A continued receiving chemotherapy without lung RT. Patients
with incomplete response (IR) or loss of heterozygosity at chromosomes 1p/16q
received lung RT and four cycles of cyclophosphamide/etoposide in addition to
DD4A drugs (Regimen M). AREN0533 was designed to preserve a 4-year EFS of 85% for
lung nodule CR and improve 4-year EFS from 75% to 85% for lung nodule IR. Results
Among 292 assessable patients, 133 had CR and 159 had IR. For patients with CR, 4
year EFS and overall survival (OS) estimates were 79.5% (95% CI, 71.2% to 87.8%)
and 96.1% (95% CI, 92.1% to 100%), respectively. Expected versus observed event
rates were 15% and 20.2% ( P = .052), respectively. For patients with IR, 4-year
EFS and OS estimates were 88.5% (95% CI, 81.8% to 95.3%) and 95.4% (95% CI, 90.9%
to 99.8%), respectively. Expected versus observed event rates were 25% and 12.2%
( P < .001), respectively. Overall, 4-year EFS and OS were 85.4% (95% CI, 80.5%
to 90.2%) and 95.6% (95% CI, 92.8% to 98.4%) compared with 72.5% (95% CI, 66.9%
to 78.1%; P < .001) and 84.0% (95% CI, 79.4% to 88.6%; P < .001), respectively,
in the predecessor NWTS-5 study. Conclusion Excellent OS was achieved after
omission of primary lung RT in patients with lung nodule CR, although there were
more events than expected. EFS was significantly improved, with excellent OS, in
patients with lung nodule IR using four cycles of cyclophosphamide/etoposide in
addition to DD4A drugs. The overall AREN0533 treatment strategy yielded EFS and
OS estimates that were superior to previous studies.
PMID- 29659332
TI - Learning from your mistakes: does it matter if you're out in left foot, I mean
field?
AB - Studies have shown that generating errors prior to studying information (pencil
?) can improve target retention relative to passive (i.e., errorless) study,
provided that cues and targets are semantically related (pencil-ink) and not
unrelated (pencil-frog). In two experiments, we manipulated semantic proximity of
errors to targets during trial-and-error to examine whether it would modulate
this error generation benefit. In Experiment 1, participants were shown a cue
(band-?) and asked to generate a related word (e.g., drum). Critically, they were
given a target that either matched the semantic meaning of their guess (guitar)
or mismatched it (rubber). In Experiment 2, participants studied Spanish words
where the English translation either matched their expectations (pariente
relative) or mismatched it (carpeta-folder). Both experiments show that errors
benefit memory to the extent that they overlap semantically with targets. Results
are discussed in terms of the retrieval benefits of activating related concepts
during learning.
PMID- 29659333
TI - Floc Performance parameters during water treatment in a micro-vortex flocculation
process determined by machine vision.
AB - The coagulant dosage of an existing water plant is mainly determined based on the
experience of water treatment process and influent/effluent water quality indexes
that have time hysteresis effects. To solve this problem, the effect of coagulant
dosage on a number of parameters used to evaluate the efficiency of flocculation
in a setup was determined by using the micro-vortex flocculation technology in
conjunction with the investigation of the relation between the equivalent
diameter and the fractal dimension. By means of the machine vision system and
computerized analysis, the effects of floc quantity, floc equivalent diameter and
fractal dimension can be assessed. The correlations of these parameters with
turbidity and zeta potential of the settling water, taken as measures for
effluent quality, were explored. The study results show that the optimal
flocculation effect with a turbidity removal rate of 97.9% is achieved at the
poly-aluminum chloride dosage of 20 mg/L. Meanwhile, a power exponential relation
between the floc equivalent diameter and the fractal dimension was established
with a correlation coefficient R2 of 0.826; relations among the effluent
turbidity and the floc quantity, equivalent diameter, fractal dimension were
established with a correlation coefficient R2 of 0.982, 0.851, and 0.875,
respectively; equations between the zeta potential and the floc quantity,
equivalent diameter, fractal dimension were also established where the
correlation coefficient R2 were 0.868, 0.879, and 0.942, respectively. These
results may provide excellent reference data for water plants to feed back the
coagulant by floc performance parameters, which could relieve the hysteresis
effect efficiently.
PMID- 29659334
TI - Influencing Republicans' and Democrats' attitudes toward Obamacare: Effects of
imagined vicarious cognitive dissonance on political attitudes.
AB - The field of experimental social psychology is appropriately interested in using
novel theoretical approaches to implement change in the social world. In the
current study, we extended cognitive dissonance theory by creating a new
framework of social influence: imagined vicarious dissonance. We used the
framework to influence attitudes on an important and controversial political
attitude: U.S. citizens' support for the Affordable Care Act (ACA). 36
Republicans and 84 Democrats were asked to imagine fellow Republicans and
Democrats, respectively, making attitude discrepant statements under high and low
choice conditions about support for the ACA. The data showed that vicarious
dissonance, established by imagining a group member make a counterattitudinal
speech under high-choice conditions (as compared to low-choice conditions),
resulted in greater support for the Act by Republicans and marginally diminished
support by Democrats. The results suggest a promising role for the application of
vicarious dissonance theory to relevant societal issues and for further
understanding the relationship of dissonance and people's identification with
their social groups.
PMID- 29659335
TI - Lymphatics and the Pathogenesis of Hypertension.
PMID- 29659337
TI - Hyperandrogenic athletes: performance differences in elite-standard 200m and 800m
finals.
AB - The purpose of this study was to examine whether the difference in elite-standard
track and field performance between women athletes with and without
hyperandrogenism reaches the 10-12% difference in performance between men and
women, using only results from elite-standard track and field final competitions.
Officially available data from two hyperandrogenic women (Caster Semenya and
Dutee Chand) were compared with the characteristic performance of 200m and 800m
elite-standard finals. The finishing times of Caster Semenya, before her
ineligibility to compete in 2009 and after the suspension of the 2011 IAAF
Hyperandrogenism Regulations were found to be respectively 1.24% and 1.49% faster
than the predicted performance in 800m finals. When compared with the result of
the second classified, the difference was respectively 0.65% and 2.08%. The
analysis of the finishing times of Dutee Chand did not lead to any conclusions
due to the lack of available data. The present study indicates that the
percentage difference in performance between women with and women without
hyperandrogenism does not reach the 3% difference requested by the Court of
Arbitration for Sport for the reinstatement of the Hyperandrogenism Regulations,
neither does it reach the 10% accepted range of difference in performance between
men and women.
PMID- 29659338
TI - An Improved PCR Protocol For Detection of Babesia duncanI In Wildlife and Vector
Samples.
AB - Human babesiosis is a tick-borne protozoal disease of increasing clinical
significance in North America. Most cases in the eastern and Midwestern regions
of the United States are reportedly due to Babesia microti infections. By
contrast, most human infections reported in California and Washington have been
attributed to a new species that was first identified in 1991 and subsequently
named Babesia duncani. Although the tick vector and mammalian reservoir hosts for
B. microti are well characterized, the vector and reservoir hosts for B. duncani
are unknown. As a result, specific risk factors for human infections cannot be
characterized. Identification of potential hosts and vector species has been
hampered by the lack of specific and sensitive molecular diagnostic tools to
amplify parasite DNA. To address this need, a nested PCR assay targeting the beta
tubulin gene, a well-conserved locus in piroplasm parasites with a highly
variable intron region among species, was developed. The assay was evaluated by
spiking tick and mammalian DNA extracts with DNA from a B. duncani isolate
derived from a human patient (WA-1) as well as related Babesia spp. from
Californian wildlife. This assay was highly specific, with a sensitivity of
approximately 1 copy of template DNA in a background of tick DNA. At this level
of detection B. duncani was detectable in larval tick samples, and the target
locus allowed for visual differentiation between species by gel electrophoresis.
This assay offers researchers a new tool for elucidating the natural transmission
cycle of B. duncani.
PMID- 29659339
TI - Failure of eruption of first permanent molar teeth: a diagnostic challenge.
AB - Ankylosis, mechanical and primary failure of eruption of molar teeth are rare and
often difficult to distinguish between. All may have significant repercussions on
the occlusion and successful management may involve invasive procedures. We
present a case where an initial presentation characteristic of mechanical failure
of eruption (ankylosis) of a first permanent molar tooth was excluded following a
period of monitoring. Subsequent relief of crowding using a removable and then
sectional fixed orthodontic appliance allowed spontaneous eruption of the tooth
obviating the need for surgical intervention. This case highlights the
utilisation of conservative treatment options until a diagnosis was confirmed to
minimise the risk of iatrogenic damage and unnecessary treatment.
PMID- 29659340
TI - Is Implicit Theory of Mind a Real and Robust Phenomenon? Results From a
Systematic Replication Study.
AB - Recently, theory-of-mind research has been revolutionized by findings from novel
implicit tasks suggesting that at least some aspects of false-belief reasoning
develop earlier in ontogeny than previously assumed and operate automatically
throughout adulthood. Although these findings are the empirical basis for far
reaching theories, systematic replications are still missing. This article
reports a preregistered large-scale attempt to replicate four influential
anticipatory-looking implicit theory-of-mind tasks using original stimuli and
procedures. Results showed that only one of the four paradigms was reliably
replicated. A second set of studies revealed, further, that this one paradigm was
no longer replicated once confounds were removed, which calls its validity into
question. There were also no correlations between paradigms, and thus, no
evidence for their convergent validity. In conclusion, findings from anticipatory
looking false-belief paradigms seem less reliable and valid than previously
assumed, thus limiting the conclusions that can be drawn from them.
PMID- 29659342
TI - Lactation Education Accreditation and Approval Review Committee.
PMID- 29659341
TI - Impediments to Effective Altruism: The Role of Subjective Preferences in
Charitable Giving.
AB - Charity could do the most good if every dollar donated went to causes that
produced the greatest welfare gains. In line with this proposition, the effective
altruism movement seeks to provide individuals with information regarding the
effectiveness of charities in hopes that they will contribute to organizations
that maximize the social return of their donation. In this research, we
investigated the extent to which presenting effectiveness information leads
people to choose more effective charities. We found that even when effectiveness
information is made easily comparable across options, it has a limited impact on
choice. Specifically, people frequently choose less effective charity options
when those options represent more subjectively preferred causes. In contrast to
making a personal donation decision, outcome metrics are used to a much greater
extent when choosing financial investments and when allocating aid resources as
an agent of an organization. Implications for effective altruism are discussed.
PMID- 29659343
TI - JHL News.
PMID- 29659345
TI - An Interview With Brooke Bauer, MPH.
PMID- 29659346
TI - #MeToo Against Racism.
PMID- 29659347
TI - Social Justice at the Core of Breastfeeding Protection, Promotion and Support: A
Conceptualization.
AB - Despite widespread awareness of the health benefits for both mothers and babies
we are far from achieving universal breastfeeding. Breastfeeding rates globally
are lower than recommended levels and there are concerns that some global
breastfeeding efforts have stalled (1, 2). In addition, we see persistent
disparities in breastfeeding rates by race, ethnicity, class and status (3). A
growing literature documents how a range of injustices, including gender
inequality (7), racism (8), poverty (9), and violence (10, 11) shape whether, how
exclusive, and for how long mothers and others will be able to breastfeed or feed
their infants human milk. These social injustices and inequities work to
privilege breastfeeding even as the health message becomes more mainstreamed and
human milk more desirable. A social justice approach could help us address the
gender, race, and sexuality-based inequities and injustices in opportunities,
resources, status, and power that are influencing the patterns of breastfeeding
we see today. The 12th Breastfeeding and Feminism International Conference held
in 2017 took as its theme Breastfeeding as Social Justice: From Crucial
Conversation to Inspired Action. The planning team for that conference identified
seven core domains that could help us conceptualize a framework for placing
social justice at the core of our work. This paper presents this framework and
suggestions for policy and practice that follow.
PMID- 29659348
TI - Evaluation of estrogen and G protein-coupled estrogen receptor 1 (GPER) levels in
drug-naive patients with attention deficit hyperactivity disorder (ADHD).
AB - Estrogen has a crucial role in the regulation of reproductive and neuroendocrine
function and exerts its effects through two classes of receptors, nuclear and
membrane estrogen receptors (mERs). G protein-coupled estrogen receptor 1 (GPER)
is a member of mERs, and despite limited research on the levels of GPER in
patients with psychiatric diseases, a role of GPER in such conditions has been
suggested. Here we evaluated serum estrogen and GPER levels in children with
attention deficit hyperactivity disorder (ADHD) in relation to their age- and
gender-matched healthy controls. A total of 82 children were included in the
study, 47 drug- naive patients with ADHD (age: 6-12 years; male/female: 34/13)
and 35 healthy controls (age: 6-12 years; male/female: 19/16). The subgroups
according to ADHD types were inattentive, hyperactive/impulsive, and combined.
Serum estrogen was measured using an immunoassay system, while serum GPER was
determined using a commercial sandwich enzyme-linked immunosorbent assay kit.
Estrogen levels in children with ADHD were similar as in control group, while
GPER levels were significantly lower in ADHD group compared to controls (p <
0.05). Logistic regression analysis showed a significant association between GPER
levels and ADHD (p < 0.05), and no association between estrogen levels and ADHD
(p > 0.05). No significant differences were found in GPER and estrogen levels
between ADHD subgroups (p > 0.05). To the best of our knowledge, this study is
the first to investigate estrogen and GPER levels in ADHD. Our preliminary
findings suggest a relationship between serum GPER levels and ADHD, and this
should be further investigated.
PMID- 29659349
TI - Control of body temperature and immune function in patients undergoing open
surgery for gastric cancer.
AB - The influence of mild perioperative hypothermia on the immune function and
incidence of postoperative wound infections has been suggested, but the specific
mechanism is unclear. This study aimed to analyze the body temperature, immune
function, and wound infection rates in patients receiving open surgery for
gastric cancer. Body temperature was controlled in each patient using one of four
different methods: wrapping limbs, head and neck; insulated blankets; warming
infusion fluids and insulated blankets; and warming fluids without insulated
blankets. One hundred patients were randomly divided into four groups of 25
patients each, and every group received a different intraoperative treatment for
maintaining normal body temperature. Nasopharyngeal and rectal temperatures,
transforming growth factor beta (TGF-beta), interleukin 10 (IL-10) levels, and
cluster of differentiation (CD)3+T and CD4+/CD25+ regulatory T cell (Treg) counts
were measured before surgery and at 2 and 4 hours postoperatively. Patients were
evaluated at one week after surgery for signs of infection. Intraoperative body
temperature and measures of immune function varied significantly between the four
groups, with the largest temperature changes observed in the group in which only
the limbs were wrapped in cotton pads to control the body temperature. The lowest
temperature change (i.e., close to normal temperature) and cytokine response
after surgery were observed in the group in which infusion fluids and transfused
blood (if needed) were heated to 37C, peritoneal irrigation fluid was heated to
37C, and an insulation blanket was heated to 39C and placed under the patient. No
intergroup differences were found in the infection rates at one week after
surgery. In conclusion, body temperature variation during surgery affects the
immune function of patients, and maintaining body temperature close to normal
results in the least variation of immune function.
PMID- 29659350
TI - Does dental caries affect dental development in children and adolescents?
AB - Although a link between dietary changes, caries, and dental development has been
observed, the literature provides little insight about this relationship. The aim
of our study was to investigate the association between dental caries and dental
development in a clinical sample of Albanian children and adolescents. In total,
118 children and adolescents, born between 1995 and 2004 and aged 6-15 years,
were included. Dental caries in the deciduous dentition was assessed using the
Decayed, Filled Teeth (dft) index and dental caries in the permanent dentition
was assessed using the Decayed, Missing, Filled Teeth (DMFT) index. Dental
development during the permanent dentition was determined using the Demirjian
method. Linear and ordinal regression models were applied to analyze the
associations of dental caries with dental age and developmental stages of each
left mandibular tooth. Dental caries in the deciduous dentition, estimated as a
median dft of 2.0 (90% range, 0.0-9.1), was significantly associated with lower
dental age (beta = -0.21; 90% CI: -0.29, -0.12) and with delayed development of
the canine, both premolars, and the second molar. Untreated dental caries (dt)
was associated with lower dental age (beta = -0.19; 90% CI: -0.28, -0.10). Dental
caries in the permanent dentition, estimated as a median DMFT of 1.0 (90% range,
0.0-8.0), was not significantly associated with dental age (beta = 0.05; 90% CI:
0.04, 0.14). However, the DMFT was associated with the advanced stages of
development of both premolars and the second molar. The untreated dental caries
in the deciduous dentition delays the development of permanent teeth.
PMID- 29659351
TI - Control of voluntary and optogenetically perturbed locomotion by spike rate and
timing of neurons of the mouse cerebellar nuclei.
AB - Neurons of the cerebellar nuclei (CbN), which generate cerebellar output, are
inhibited by Purkinje cells. With extracellular recordings during voluntary
locomotion in head-fixed mice, we tested how the rate and coherence of inhibition
influence CbN cell firing and well-practiced movements. Firing rates of Purkinje
and CbN cells were modulated systematically through the stride cycle (~200-300
ms). Optogenetically stimulating ChR2-expressing Purkinje cells with light steps
or trains evoked either asynchronous or synchronous inhibition of CbN cells.
Steps slowed CbN firing. Trains suppressed CbN cell firing less effectively, but
consistently altered millisecond-scale spike timing. Steps or trains that
perturbed stride-related modulation of CbN cell firing rates correlated well with
irregularities of movement, suggesting that ongoing locomotion is sensitive to
alterations in modulated CbN cell firing. Unperturbed locomotion continued more
often during trains than steps, however, suggesting that stride-related
modulation of CbN spiking is less readily disrupted by synchronous than
asynchronous inhibition.
PMID- 29659353
TI - [Genetic polymorphisms of thiopurine methyltransferase and incidence of adverse
events in patients with medical indication of azathioprine].
AB - Azathioprine is a thiopurine which has a narrow therapeutic index and marked
hematological and hepatic toxicity. Thiopurine s-methyltransferase is an enzyme
involved in the metabolism of thiopurines. Mutations in the gene that encodes the
enzyme may augment the risk of adverse events. For that reason, pharmacogenetic
determinations prior to the initiation of therapy can provide useful information
for the future therapeutic strategy. Nevertheless, its utility in the local
environment is not completely established. Forty-five subjects (13 men) who had
been prescribed azathioprine were included. The presence of *2, *3A, *3B and *3C
mutations were determined by PCR-RFLP, and the relationship between genotype and
incidence of adverse events related to the drug was analyzed. Nine carried at
least one non-functional allele, one of them with *3A/*3A genotype. Among the
eighteen patients who initiated treatment with azathioprine, toxicity was
detected in 3 cases: 2 mild events were observed in patients with normal
genotype, and the only serious event (bone marrow suppression) occurred in the
individual with homozygous mutant genotype. The only homozygous mutant patient
developed the most severe of the registered events, in spite of being under
treatment with low doses of azathioprine. This is the reason why enzymatic
determination could be of utility, even though it does not replace clinical and
biochemical follow-up in patients under thiopurine treatment.
PMID- 29659352
TI - First spikes in visual cortex enable perceptual discrimination.
AB - Visually guided perceptual decisions involve the sequential activation of a
hierarchy of cortical areas. It has been hypothesized that a brief time window of
activity in each area is sufficient to enable the decision but direct
measurements of this time window are lacking. To address this question, we
develop a visual discrimination task in mice that depends on visual cortex and in
which we precisely control the time window of visual cortical activity as the
animal performs the task at different levels of difficulty. We show that
threshold duration of activity in visual cortex enabling perceptual
discrimination is between 40 and 80 milliseconds. During this time window the
vast majority of neurons discriminating the stimulus fire one or no spikes and
less than 16% fire more than two. This result establishes that the firing of the
first visually evoked spikes in visual cortex is sufficient to enable a
perceptual decision.
PMID- 29659354
TI - [Electrocardiographic differences between apical hypertrophic cardiomyopathy and
apical non-ST segment myocardial infarction].
AB - Apocal hypertrophic cardiomyopathy (AHCM) is a phenotypic variant within
hypertrophic cardiomyopathies, in which ventricular repolarization alterations
are present. These electrocardiographic disturbances can mimic an anterior
infarction which triggers a series of studies and treatments that may be
unnecessary. The aim of this study was to describe and compare
electrocardiographic differences in a series of patients with AHCM and apical non
ST segment elevation myocardial infarction in patients (NSTEMI) with T-wave
changes. We conducted an observational and retrospective study, including
patients with diagnosed AHCM (N = 19) and apical NSTEMI (N = 19) with negative T
waves in V1 and V6 lead of the EKG. Those with AHCM presented higher T-wave
voltage (7 mV vs. 5 mV, p = 0.001) and peak voltage (29 mV vs. 17 mV, p = 0.003),
higher R-waves (25 mV vs. 10 mV, p = 0.0001), and a maximum voltage of R and T
sum (R + T) significantly higher (33 vs. 14, p = 0.00001). They also showed a
greater T-wave asymmetry, with a TiTp / TpTf ratio > 1. At a cut-off value of
26.5 mV for the R + T variable, 68% sensitivity and 100% specificity were
obtained to diagnose AHCM. This study shows the existence of major differences in
electrocardiographic presentation of AHCM and apical NSTEMI.
PMID- 29659355
TI - [Assessment of the use in adults of four vaccines: a population survey in
Argentina].
AB - Vaccination is one of the most effective strategies for disease prevention.
Argentina initiated the transition from child vaccination to family vaccination
through the incorporation of an adult schedule. One of the difficulties with this
last group is to assess the percentage of use (PU) of the vaccines. With the aim
of determining the PU of adult vaccines in Argentina, a vaccination module was
included in the National Survey of Risk Factors carried out in 2013 by the
National Ministry of Health. The sampling had a stratified multistage design. A
total of 32 365 people = 18 year-old were surveyed about the use of four vaccines
included in the National Vaccination Calendar: hepatitis B, tetanus, influenza,
and pneumococcus. The entire population was surveyed for tetanus and hepatitis B
while certain groups at risk were evaluated for influenza and pneumococcus,
according to current recommendations. PU varied according to the vaccine
analyzed: tetanus 49.8%, hepatitis B 21.7%, influenza 51.6% and pneumococcus
16.2%. The main information sources on adult vaccination were media (television,
internet, etc.) followed by health personnel (70.8% and 27.9%, respectively). The
survey is a suitable tool to assess the use of vaccines by adults, identify low
coverage populations, and to plan and implement strategies to improve coverage.
PMID- 29659356
TI - Acromegaly: A rare disease?
AB - Acromegaly is generally considered a benign and uncommon disease. However, some
recent data bring support to the idea that it is more frequent than previously
thought. Besides, acromegaly can significantly shorten the length of life due to
its cardiovascular and metabolic complications. Since its clinical signs are
insidiously progressive for many years, there is a considerable delay in its
detection. Usually, many different specialists have been consulted before
reaching diagnosis of acromegaly. Those specialists include cardiologists,
pulmonologists, dentists, rheumatologists, and diabetes specialists. Possible
means to achieve earlier detection are based on increasing awareness of doctors
and the public in general. In this paper, the author analyzes the factors related
to delayed diagnosis and the potential ways to ameliorate awareness of the
disease with particular attention to screening procedures.
PMID- 29659357
TI - [Post-stroke epilepsy].
AB - Stroke is the most common cause of seizures and epilepsy in population stuies of
adults. Seizures occur within 24 hours of the stroke in a high percent of
patients. The pathogenesis of these early-onset seizures may be related to local
ion shifts and release of high levels of excitotoxic neurotransmitters in the
area of ischemic injury. The risk of late-onset seizures may increase over time,
an underlying permanent lesion that leads to persistent chnges in neuronal
excitability appears to be responsible for late-onset seizures after stroke. The
most consistently identified risk factors for acute and late post-stroke seizures
are stroke severity and cortical location. Most seizures following stroke are
focal at onset, but secondary generalization is common, particularly in patients
with late-onset seizures. Status epilepticus is relatively uncommon. The efficacy
of antiepileptic drugs for these post-stroke seizures has not been rigorously
assessed in controlled trials, although most seizures can be controlled with a
single agent. Given the relatively low frequency of recurrent seizures after
stroke, and an absence of absolute predictors of poststroke epilepsy, the
decision of when to treat patients for a post-stroke seizure is difficult.
PMID- 29659358
TI - [Role of the enterocyte in type 2 diabetes mellitus associated dyslipidemia].
AB - In type 2 diabetes mellitus there is an overproduction of chylomicron in the
postprandial state that is associated with increased cardiovascular risk. Current
evidence points out a leading role of enterocyte in dyslipidemia of type 2
diabetes mellitus, since it increases the production of apolipoprotein B-48 in
response to a raise in plasma free fatty acids and glucose. The chylomicron
metabolism is regulated by many factors apart from ingested fat, including
hormonal and metabolic elements. More recently, studies about the role of gut
hormones, have demonstrated that glucagon-like peptide-1 decreases the production
of apolipoprotein B-48 and glucagon-like peptide-2 enhances it. Insulin acutely
inhibits intestinal chylomicron production in healthy humans, whereas this acute
inhibitory effect on apolipoprotein B-48 production is blunted in type 2 diabetes
mellitus. Understanding these emerging regulators of intestinal chylomicron
secretion may offer new mechanisms of control for its metabolism and provide
novel therapeutic strategies focalized in type 2 diabetes mellitus postprandial
hyperlipidemia with the reduction of cardiovascular disease risk.
PMID- 29659359
TI - [Pneumonia associated with mechanical ventilation. Update and recommendations
inter- Societies SADI-SATI].
AB - Representatives of the Argentine Society of Infectious Diseases (SADI) and the
Argentine Society of Intensive Therapy (SATI) worked together on the development
of specific recommendations for the diagnosis, treatment and prevention of
ventilator-associated pneumonia (VAP). The methodology used was the analysis of
the literature published in the last 15 years, complemented with the opinion of
experts and local data. This document aims to offer basic tools to optimize
diagnosis based on clinical and microbiological criteria, orientation in
empirical and targeted antibiotic schemes, news on posology and administration of
antibiotics in critical patients and to promote effective measures to reduce the
risk of VAP. It also offers a diagnostic and treatment algorithm and
considerations on inhaled antibiotics. The joint work of both societies
infectious diseases and intensive care- highlights the concern for the management
of VAP and the importance of ensuring improvement in daily practices. This
guideline established recommendations to optimize the diagnosis, treatment and
prevention of VAP in order to reduce morbidity and mortality, days of
hospitalization, costs and resistance to antibiotics due to misuse of
antimicrobials.
PMID- 29659360
TI - [Development of a product anti-Shiga toxin for prevention of the hemolytic uremic
syndrome].
AB - The typical hemolytic uremic syndrome (HUS) is an orphan disease caused by Shiga
toxin(Stx) producing Escherichia coli strains and characterized by acute kidney
damage, microangiopathic hemolytic anemia and low platelet count. It is endemic
in Argentina, the country with the highest incidence of HUS in the world. Stx is
essential for its development and therefore, HUS is considered a toxemic non
bacteremic disorder, which could be treated with antibodies. Herein we describe
the development of a new treatment capable of neutralizing the toxic effect of
Stx and its variants. The treatment consists of F(ab')2 fragments from an equine
antiserum whose efficacy and potency against Stx1 and Stx2 were proved in
different preclinical models. The product was shown to be safe in animals.
Furthermore, the anti-Stx F(ab')2 pharmacokinetic was shown to be similar to that
of analogous compounds and a therapeutic window for its administration was
determined. Altogether, these preclinical results warrant testing in humans. The
phase I clinical trial will be performed at the Hospital Italiano in Buenos Aires
to evaluate the safety and pharmacokinetics of the product in healthy adult
volunteers. Based on the results of this study, a phase II clinical trial will be
planned in pediatric patients diagnosed with infection by Stx-producing E. coli
strains.
PMID- 29659361
TI - [One hundred years after the "Spanish" flu].
AB - The "Spanish" flu pandemic, which occurred a century ago, is considered the most
devastating in human history. An estimated one third of world population fell ill
with flu and more than 2.5% of them died. The course of the epidemic had two main
waves (1918 and 1919) and showed an unusual W-shaped morbidity/mortality
distribution. Death was not a direct outcome of flu itself but rather a
consequence of secondary bacterial bronchopneumonia, for which antibiotics had
not yet been discovered. Pre-existing pulmonary tuberculosis was also accountable
for increased flu death rates during the pandemic. As it happened in Europe, in
Argentina the epidemic had two main waves, with ample variation in mortality by
region. Available treatment at the time included diet, throat antiseptic rinses,
low doses of quinine valerianate, salicylates, codeine as a cough suppressant,
and camphor oil. Primitive anti-pneumococcal vaccines and immune sera were also
applied. Upon the disclosure of the whole RNA sequence of the 1918 influenza
virus genome, by means of reverse genetics it was possible to assemble viral
particles resembling those of the deadly pandemic. The reconstituted virus proved
to be extraordinarily virulent for mice. Current seasonal flu vaccines help to
reduce, but not to abolish, the risk of another pandemic. The ongoing development
of "universal" vaccines against influenza conferring reliable and long-lasting
immunity may prevent its global spread in the future.
PMID- 29659362
TI - [Recurrent atypical hemolytic uremic syndrome after renal transplantation:
treatment with eculizumab].
AB - Atypical hemolytic uremic syndrome (aHUS) is a rare entity. It is characterized
by a thrombotic microangiopathy (nonimmune hemolytic anemia, thrombocytopenia,
and acute renal failure), with a typical histopathology of thickening of
capillary and arteriolar walls and an obstructive thrombosis of the vascular
lumen. The syndrome is produced by a genetic or acquired deregulation of the
alternative pathway of the complement system, with high rates of end stage renal
disease, post-transplant recurrence, and high mortality. Mutations associated
with factor H, factor B and complement C3 show the worst prognosis. Even though
plasma therapy is occasionally useful, eculizumab is effective both for treatment
and prevention of post-transplant recurrence. We describe here an adult case of
congenital aHUS (C3 mutation) under preventive treatment with eculizumab after
renal transplantation, with neither disease recurrence nor drug-related adverse
events after a 36-months follow-up.
PMID- 29659363
TI - [Late diagnosis of WHIM sydrome].
AB - WHIM syndrome is a primary autosomal dominant immuno deficiency due to CXCR4
mutations characterized by mucocutaneous warts, hypogammaglobulinemia, recurrent
bacterial infections and myelokathesis. Treatment consists in prophylactic
antibiotics, immunoglobulin replacement and granulocyte or granulocyte/monocyte
colony stimulating factors. We present the case of a 21 year old woman who showed
leukopenia at 10 months of age and one year later multiple infections with
hypogammaglobulinemia requiring intravenous immunoglobulin. During follow up she
developed chronic neutropenia. A bone marrow aspiration showed increased myeloid
series with predominance of immature elements. On the basis of infections, low
levels of IgG, IgA, IgM and lymphopenia with absent memory B cells, a diagnosis
of common variable immunodeficiency was made. She started intravenous
immunoglobulin replacement and prophylactic antibiotics. At age 20, small warts
in hands that progressed to forearms, knees, abdomen and face were recorded.
CXCR4 gene sequencing was done detecting a heterozygous p.Arg334STOP mutation,
confirming WHIM syndrome. This disease is infrequent and difficult to diagnose.
PMID- 29659364
TI - [Association between central diabetes insipidus and type 2 diabetes mellitus].
AB - Central diabetes insipidus is a rare disease of the hypothalamus and
neurohypophysis. It is very unusually found in the adult with type 2 diabetes
mellitus. It is manifested by a polydipsic polyuric syndrome, which must be
distinguished from the poorly controlled type 2 diabetes mellitus. Given the
similarity of both entities and the unusual nature of their coexistence, their
suspicion is difficult. The case of a 72-year-old male with type 2 diabetes
mellitus with poor insulin control (fasting hyperglycemia greater than 180 mg/dl)
who had a long-standing polyuric syndrome is here presented. Hypernatremia and
plasma osmolality elevated together with a low urinary osmolality led to the
suspicion of diabetes insipidus, which was subsequently confirmed by the
dehydration test and the administration of desmopressin sc. With 61% increase in
the calculated urinary osmolarity one hour post desmopressin s.c., diabetes
insipidus of central type was diagnosed. Nuclear Magnetic Resonance showed a
bright spot with normal neurohypophysis, contributing to the diagnosis of the
idiopathic form.
PMID- 29659365
TI - [Association between diabetic ketoacidosis and acromegaly].
AB - Diabetes mellitus occurs in nearly 10% of patients with acromegaly and is
secondary to insulin resistance caused by high levels of growth hormone. Diabetes
ketoacidosis has been described as a rare complication of acromegaly, resulting
from a relative insulin deficiency caused by growth hormone excess. We described
the case of a 38 year-old man who presented to the emergency room with a 6-week
history of polydipsia, polyuria, polyphagia and weight loss. He also had nausea,
vomiting and abdominal pain from two days before admission. His plasma glucose
level was 880 mg/dl, plasma osmolarity 368 mOsm/l, arterial pH 7.06 and serum
bicarbonate 8.6 mEq/l. At the clinical examination, he had features of
acromegaly. Magnetic resonance imaging showed a pituitary macro adenoma and
growth hormone dosages were abnormally high. After tumor removal, plasma glucose
levels became normal. This case shows the rare association between diabetic
ketoacidosis and acromegaly. Surgery, in this case, was the definite modality of
treatment.
PMID- 29659367
TI - [Answers by heart: hypertrophy and healing].
PMID- 29659366
TI - [Epigenetics and hepatocellular carcinoma: ?a therapeutic opportunity?]
PMID- 29659368
TI - [Screening for breast cancer and its potential damage].
PMID- 29659369
TI - [The benefits of breast cancer screening outweigh the potential harm].
PMID- 29659370
TI - Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2
solar cell by hydrogen plasma treatment.
AB - The interfacial properties of the heterojunction between p-type and n-type
materials play an important role in the performance of the solar cell. In this
paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating
to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were
treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties.
The influence of the hydrogen plasma treatment on the performance of the solar
cell was investigated. The short-circuit current density was obviously raised and
the power conversion efficiency of the solar cell improved to 0.30%, which is
three times that of solar cells without hydrogen plasma treatment. The
enhancement of the performance is attributed to not only the enhancement of
carrier separation and transport, but the reduction of the recombination of
electrons and holes, which is caused by hydrogen plasma treatment.
PMID- 29659371
TI - Real-world use, safety, and survival of ipilimumab in metastatic cutaneous
melanoma in The Netherlands.
AB - Phase III trials with ipilimumab showed an improved survival in patients with
metastatic melanoma. We evaluated the use and safety of ipilimumab, and the
survival of all patients with metastatic cutaneous melanoma (N=807) receiving
ipilimumab in real-world clinical practice in The Netherlands using data from the
Dutch Melanoma Treatment Registry. Patients who were registered between July 2012
and July 2015 were included and analyzed according to their treatment status:
treatment-naive (N=344) versus previously-treated (N=463). Overall, 70% of
treatment-naive patients and 62% of previously-treated patients received all four
planned doses of ipilimumab. Grade 3 and 4 immune-related adverse events occurred
in 29% of treatment-naive patients and 21% of previously-treated patients. No
treatment-related deaths occurred. Median time to first event was 5.4 months [95%
confidence interval (CI): 4.7-6.5 months] in treatment-naive patients and 4.4
months (95% CI: 4.0-4.7 months) in previously-treated patients. Median overall
survival was 14.3 months (95% CI: 11.6-16.7 months) in treatment-naive patients
and 8.7 months (95% CI: 7.6-9.6 months) in previously-treated patients. In both
patient groups, an elevated lactate dehydrogenase level (hazard ratio: 2.25 and
1.70 in treatment-naive and previously-treated patients, respectively) and
American Joint Committee on Cancer M1c-stage disease (hazard ratio: 1.81 and
1.83, respectively) were negatively associated with overall survival. These real
world outcomes of ipilimumab slightly differed from outcomes in phase III trials.
Although phase III trials are crucial for establishing efficacy, real-world data
are of great added value enhancing the generalizability of outcomes of ipilimumab
in clinical practice.
PMID- 29659372
TI - Consequences of Antepartum Depression.
AB - To counsel women about risks and benefits of depression treatment during
pregnancy, clinicians must appreciate the potential consequences of untreated
depression on the mother and her unborn child. Many studies have demonstrated
associations between untreated depression during pregnancy and a range of adverse
outcomes, including low birth weight, preterm delivery, preeclampsia, emergent
operative delivery, postpartum depression, and both cognitive and behavioral
deficits in the child. Although most of these associations are marked by low odds
ratios and a host of potential confounding issues, they collectively provide
considerable rationale for identifying depression in pregnancy and offering
treatment for mothers at risk.
PMID- 29659373
TI - Association of Academic Physiatrists Federal Funding of Disability and
Rehabilitation Research Position Statement.
AB - The Association of Academic Physiatrists seeks to advocate for policies that are
supportive of academic rehabilitation, with elected officials, agency leaders,
and other policy makers. Accordingly, the Association of Academic Physiatrists'
Public Policy Committee identifies policy issues of importance to the
organizational mission, conducts background research on those issues, and
develops position statements that articulate the organization's position. These
position statements require approval by the Board of Trustees and are used to
support advocacy efforts by Association of Academic Physiatrists' members.
Federal funding for disability and rehabilitation research is an important issue
for the Association of Academic Physiatrists and its members. This position
statement addresses the need for greater federal funding in this area, better
coordination of the agencies providing funding, and an appropriate balance of
merit-based funding with funding targeted to building capacity in critical
rehabilitation-relevant methodology and content areas.
PMID- 29659374
TI - Intravenous Immunoglobulin and Methylprednisolone for Clozapine-Associated
Perimyocarditis.
AB - CLINICAL FEATURES: Cardiotoxicity is a rare but serious side effect of clozapine.
We present a case of a psychiatric patient on chronic clozapine 75 mg daily, who
presented with congestive heart failure secondary to the cardiotoxic effects of
the psychiatric medication. THERAPEUTIC CHALLENGE: Conventional heart failure
treatment failed to improve symptoms. SOLUTION: A course of 40 mg of intravenous
immunoglobulin and 125 mg of steroids was implemented, after which the patient
made a full recovery. We hope to raise awareness of concurrent clozapine-induced
pericarditis and myocarditis and propose a role of intravenous immunoglobulin and
steroids in the treatment of drug-induced cardiomyopathy.
PMID- 29659375
TI - Validity, Reliability, Feasibility, and Usefulness of Pain Monitor: A
Multidimensional Smartphone App for Daily Monitoring of Adults With Heterogenous
Chronic Pain.
AB - OBJECTIVES: Ecological momentary assessment has been recommended in the
management of chronic pain. Smartphone apps might be a useful tool for that
purpose. This goal of this study was to develop and test a multidimensional
smartphone app for adults with chronic pain. A multidisciplinary team developed
the app content after a series of meetings, considering clinical guidelines for
pain measurement. The content included pain intensity and interference, fatigue,
mood, perceived health status, activity level, side effects of the medication,
use of rescue medication, and pain-related sychological constructs
(catastrophizing, acceptance, fear, and coping). METHODS: Thirty-eight
participants (21 to 59 y) used the app, called Pain Monitor, twice a day during
30 consecutive days. Patients completed a set of well-established measures at the
beginning and end of the study via paper-and-pencil. Weekly phone assessments
were also made for pain intensity, pain interference, fatigue, and mood. RESULTS:
Construct validity was revealed by moderate-to-strong correlations between app
content and traditional measures. Feasibility was supported by high compliance
(between 70% and 82%) and high acceptability and ease of use. Both side effects
of the medication and use of rescue medication were found to be useful tools to
guide treatment. DISCUSSION: The new assessment protocol in the app allows for an
easy and rapid multidimensional assessment of chronic pain patients.
PMID- 29659376
TI - When a Child Dies Unexpectedly: No Truth Be Told.
AB - Sudden death of a child beyond infancy is a rare event. We report a child who
presented to the emergency care system with asystole and the caregiver's report
of an asthma attack as the preceding event. After unsuccessful attempts at
resuscitation, an autopsy was performed by the medical examiner. Despite only
scattered bruises with no clear-cut signs of abuse, aortic rupture and spinal
fractures were found. This case highlights the crucial role of the medical
examiner in evaluating sudden childhood death, even in the setting of underlying
illness.
PMID- 29659377
TI - Anorectal complications in patients with haematological malignancies.
AB - BACKGROUND: Anorectal complications are common in patients with haematological
malignancies. OBJECTIVES: The objectives are to characterize anorectal
complications in these patients, identify risk factors and shed light on
treatment, morbidity and mortality rates. PATIENTS AND METHODS: A retrospective,
observational study that included 83 inpatients with haematological malignancies
and proctological symptoms from January 2010 to September 2015 was conducted.
Clinical outcomes were obtained through a detailed review of medical records.
RESULTS: The median age was 56 years, and 52 (62.7%) patients were men. Fifty-six
(67.5%) patients had nonseptic anorectal complications and 27 (32.5%) patients
had septic anorectal complications. RISKS FACTORS: Patients with septic anorectal
complications were more commonly male, older, and had lower absolute neutrophil
counts, but the differences were not statistically significant (P=0.79, 0.67 and
0.89, respectively). In positive blood cultures [23/70 (32.9%)], Enterococcus
faecium, Klebsiella pneumonia, and Escherichia coli were the most common isolated
agents. TREATMENT: In nonseptic anorectal complications, conservative
treatments/minor proctological procedures were adopted, and patients with septic
anorectal complications were treated with antibiotics+/-major proctological
procedures and/or surgical drainage/debridement. RESULTS OF TREATMENT: Forty
eight (85.7%) patients in the nonseptic complications group improved compared
with 23 (85.2%) patients in the septic complications group. The overall mortality
rate was 2.4% (n=2), with one (1.2%) death related to perianal sepsis.
CONCLUSION: Enterococcus spp. were more commonly identified in this study and can
be increasing in this specific population. In contrast to other reports, we did
not identify an association between septic anorectal complications and possible
risk factors such as male sex, younger age or a low absolute neutrophil count.
Most patients had nonseptic anorectal complications. A major proctological
procedure/surgical debridement should always be applied in septic complications,
which have better prognoses now than in the past.
PMID- 29659378
TI - Osteoimmunology: Effects of Standard Orthopaedic Interventions on Inflammatory
Response and Early Fracture Healing.
AB - Achieving fracture union is highly dependent on the initial inflammatory phase of
fracture healing, which is influenced by both the local and systemic inflammatory
environments. The rapidly emerging field of osteoimmunology involves the study of
the interactions between the immune system and the skeletal system. Recent
research has advanced the current state of knowledge regarding the effects of the
surrounding soft-tissue injury, fracture hematoma, and the method of fracture
fixation on the inflammatory phase of fracture healing. Acute systemic
inflammation, as seen in patients with polytrauma, and chronic systemic
inflammation, as seen in patients with diabetes or rheumatoid arthritis, affects
the inflammatory phase of fracture healing. The use of NSAIDs can influence early
fracture healing. Understanding the effects of standard orthopaedic interventions
on the local and systemic inflammatory responses and early fracture healing is
important for optimizing fracture union.
PMID- 29659379
TI - Bony Reconstruction of the Anterior Glenoid Rim.
AB - Recurrent anterior shoulder instability is associated with glenohumeral bone
loss. Glenoid deficiency compromises the concavity-compression mechanism. Medial
Hill-Sachs lesions can result in an off-track humeral position. Anterior glenoid
reconstruction or augmentation prevents recurrence by addressing the
pathomechanics. In Bristow and Latarjet procedures, the coracoid process is
harvested for conjoint tendon transfer, capsular reinforcement, and glenoid rim
restoration. Complications and the nonanatomic nature of the procedure have
spurred research on graft sources. The iliac crest is preferred for autogenous
structural grafts. Tricortical, bicortical, and J-bone grafts have shown
promising results despite the historical association of Eden-Hybinette procedures
with early degenerative joint disease. Allogeneic osteochondral grafts may
minimize the risk of arthropathy and donor site morbidity. Tibial plafond and
glenoid allografts more closely match the native glenoid geometry and restore the
articular chondral environment, compared with conventional grafts. Graft
availability, cost, risk of disease transmission, and low chondrocyte viability
have slowed the acceptance of osteochondral allografts.
PMID- 29659380
TI - Effect of pioglitazone and simvastatin in lipopolysaccharide-induced
amyloidogenesis and cognitive impairment in mice: possible role of glutamatergic
pathway and oxidative stress.
AB - Neuroinflammation and beta-amyloid (Abeta) deposition in the brain are well known
characteristics of neurodegeneration. Diabetes and hypercholesterolemia are the
main risk factors leading to memory loss and cognitive impairment. Recently, it
was found that statins and thiazolidinediones have promising anti-inflammatory
and neuroprotective effects that could delay neurodegeneration and neuronal loss
in diabetic and hypercholesterolemic patients. The aim of the present study was
to investigate the protective effect of simvastatin, pioglitazone, and their
combination in lipopolysaccharide (LPS)-induced neuroinflammation and
amyloidogenesis. Mice were divided into five groups: group 1 received 0.9%
saline, group 2 received LPS (0.8 mg/kg in saline), group 3 received LPS (0.8 mgl
kg)+simvastatin (5 mg/kg in saline), group 4 received LPS (0.8
mg/kg)+pioglitazone (20 mg/kg in saline), group 5 receiving LPS (0.8
mg/kg)+simvastatin (5 mg/kg)+pioglitazone (20 mg/kg). Y-maze and novel object
recognition were used to assess the spatial and nonspatial behavioral changes.
Nitric oxide levels and glutamate levels were measured to elucidate the anti
glutamatergic and anti-inflammatory effects of the tested drugs.
Immunohistochemistry was performed to detect the presence of Abeta1-42 in the
mice brain. LPS impaired memory, and increased Abeta deposition, nitric oxide,
and glutamate brain levels. Both drugs produced a significant improvement in all
parameters. We conclude that simvastatin and pioglitazone may have a protective
effect against cognitive impairment induced by LPS, through targeting the
glutamatergic and inflammatory pathways, especially in patients having
hypercholesterolemia and diabetes.
PMID- 29659382
TI - Tenofovir Versus Entecavir for the Treatment of Acute-on-Chronic Liver Failure
due to Reactivation of Chronic Hepatitis B With Genotypes B and C.
AB - BACKGROUND AND AIMS: Acute-on-chronic liver failure (ACLF) can be triggered by
reactivation of chronic hepatitis B (CHB). Tenofovir disoproxil fumarate (TDF)
and entecavir (ETV) are now the most potent antiviral agents for CHB. This study
aimed to compare the short-term safety and efficacy of TDF with ETV in the
treatment of ACLF due to reactivation of CHB [hepatitis B virus (HBV)-ACLF].
PATIENTS AND METHODS: In total, 67 consecutive patients with HBV-ACLF were
divided into TDF group (n=32) receiving daily TDF (300 mg/d) and ETV group (n=35)
receiving daily ETV (0.5 mg/d). They were prospectively followed-up and the
primary endpoint was overall survival at 3 months. RESULTS: At 2 weeks, the TDF
group had significantly higher HBV-DNA reduction (P=0.003), lower HBV-DNA level
(P=0.001), higher rate of HBV-DNA undetectbility (P=0.007), lower Child-Turcotte
Pugh (CTP; P=0.003), and model for end-stage liver disease (P=0.002) scores than
the ETV group. At 3 months, HBV-DNA was undetectable in all survived patients;
CTP (P=0.970) and model for end-stage liver disease (P=0.192) scores were
comparable between the 2 groups, but markedly lower than at baseline (P<0.01);
the TDF group had significantly higher cumulative survival rate than the ETV
group (P=0.025). The white blood cell count (hazard ratio, 2.726; 95% confidence
interval, 2.691-7.897; P=0.000), and HBV-DNA reduction (hazard ratio, 0.266; 95%
confidence interval, 0.033-0.629; P=0.013) at 2 weeks were independent predictors
for mortality. Both drugs were well tolerated. CONCLUSIONS: The short-term
efficacy of TDF was superior to ETV for the treatment of HBV-ACLF. The white
blood cell count and HBV-DNA reduction at 2 weeks were independent predictors for
mortality at 3 months.
PMID- 29659383
TI - Prostate-Specific Membrane Antigen-Negative Metastases-A Potential Pitfall in
Prostate-Specific Membrane Antigen PET.
AB - Ga-PSMA-11 PET/CT was performed in a 74-year-old man because of biochemical
recurrence of prostate cancer following radiation therapy of the prostate gland
24 months earlier. Besides focal nuclide accumulation in the prostate gland
suggestive of local recurrence, PET scan revealed no further pathologic uptake.
However, CT showed multiple pulmonic nodules suggestive of metastases.
Thoracotomy and pathologic examination revealed the nodules to be prostate cancer
metastasis. Furthermore, immunohistochemical staining with PSMA antibodies
demonstrated a virtual lack of PSMA expression. This case demonstrates the
possibility of PSMA-negative metastases of prostate cancer an important pitfall
that should be known to physicians interpreting PSMA PET.
PMID- 29659384
TI - Tumor-Induced Osteomalacia Caused by a Parotid Basal Cell Adenoma Detected by
68Ga-DOTANOC PET/CT.
AB - Tumor-induced osteomalacia is a rare paraneoplastic syndrome, usually caused by
small benign mesenchymal tumors. The tumors most arise within the extremities,
whereas occurrence within the parotid gland is quite rare. A 54-year-old woman
who presented with bone pain and muscle weakness for 2 years was clinically
suspected of having tumor-induced osteomalacia. A Ga-DOTANOC PET/CT was performed
for localization of the causative tumor. The images demonstrated intense
radiotracer accumulation in a soft tissue nodule in deep lobe of the right
parotid gland. Subsequent surgical resection and pathologic analysis confirmed
the diagnosis of parotid basal cell adenoma.
PMID- 29659381
TI - Methodological Aspects for Preclinical Evaluation of Gadolinium Presence in Brain
Tissue: Critical Appraisal and Suggestions for Harmonization-A Joint Initiative.
AB - Gadolinium (Gd)-based contrast agents (GBCAs) are pharmaceuticals that have been
approved for 30 years and used daily in millions of patients worldwide. Their
clinical benefits are indisputable. Recently, unexpected long-term presence of Gd
in the brain has been reported by numerous retrospective clinical studies and
confirmed in preclinical models particularly after linear GBCA (L-GBCA) compared
with macrocyclic GBCA (M-GBCA). Even if no clinical consequences of Gd presence
in brain tissue has been demonstrated so far, in-depth investigations on
potential toxicological consequences and the fate of Gd in the body remain
crucial to potentially adapt the clinical use of GBCAs, as done during the
nephrogenic systemic fibrosis crisis. Preclinical models are instrumental in the
understanding of the mechanism of action as well as the potential safety
consequences. However, such models may be associated with risks of biases, often
related to the protocol design. Selection of adequate terminology is also
crucial. This review of the literature intends to summarize and critically
discuss the main methodological aspects for accurate design and translational
character of preclinical studies.
PMID- 29659385
TI - Lateral Recumbent or Prone Positioning for PET of the Urinary Bladder.
AB - Evaluation of the urinary bladder on PET with F-FDG is hampered by accumulation
of activity in the urinary bladder due to physiological excretion of F-FDG in
urine. We present 2 examples that demonstrate the utility of delayed scanning in
lateral recumbent or prone position to improve evaluation of the bladder by
differentiating between active bladder wall lesions and intraluminal activity.
Changing the body position from a supine to a lateral recumbent or prone position
can result in migration of intraluminal activity due to gravitational force,
whereas F-FDG uptake in bladder wall lesions will show no migration.
PMID- 29659386
TI - Added Value of SPECT/CT in the Evaluation of Sacral Fracture in Patients With
Lung Cancer.
AB - We describe the sacral fractures from 2 lung cancer patients that were confirmed
by SPECT/CT. The utilization of SPECT/CT in lung cancer patients can help
characterizing the nature of sacral lesions on planar bone scintigraphy.
PMID- 29659388
TI - Imaging of Metastatic Epithelioid Trophoblastic Tumor With 18F-FDG PET/CT.
AB - Epithelioid trophoblastic tumors are exceptionally rare malignant forms of
gestational trophoblastic neoplasia arising from the placenta. We present here a
case of a 33-year-old woman with an epithelioid trophoblastic tumor with
metastatic disease to the lungs that was initially thought to represent cervical
carcinoma. After appropriate diagnosis and treatment, the tumor responded to
therapy and was in remission. The cancer recurred 5 years later with new lung
metastases. F-FDG PET/CT was used for initial tumor staging, to evaluate
treatment response, and to help detect the recurrence.
PMID- 29659387
TI - Bilateral Adrenal Hyperplasia Due to Adrenocorticotropic Hormone-Secreting
Esthesioneuroblastoma on FDG PET and 111In-Pentetreotide Scintigraphy.
AB - A 53-year-old woman with metastatic esthesioneuroblastoma was referred for FDG
PET/CT imaging. FDG PET/CT showed symmetrical uptake in bilaterally enlarged
adrenal glands, in addition to abnormal uptake in bone and lymph node metastases.
In-pentetreotide scintigraphy was further performed and revealed the lack of
adrenal uptake. The patient's serum adrenocorticotropic hormone (ACTH) level was
extremely high, suggesting that hyperplastic condition in the adrenal glands due
to ACTH stimulation from the metastatic esthesioneuroblastoma was responsible for
increased FDG uptake. The combination of FDG PET and In-pentetreotide
scintigraphy was useful for the pathophysiological evaluation of ACTH-secreting
esthesioneuroblastoma.
PMID- 29659389
TI - FDG-PET/CT Focal Uptake on an Ocular Prosthesis.
AB - We present a case of an unexpected focally increased radiotracer uptake in an
artificial eye in an FDG-PET/CT scan that was performed on a woman with a history
of choroidal melanoma. It is crucial to know the type of prosthesis used and the
timing of replacement for a correct interpretation of the findings. Recently, an
FDG PET/CT was proposed to monitor the vascularity of the prosthesis instead of
gadolinium MRI or of Tc bone scintigraphy.
PMID- 29659390
TI - Combined [18F]-Fluoroethylcholine PET/CT and 99mTc-Macroaggregated Albumin
SPECT/CT Predict Survival in Patients With Intermediate-Stage Hepatocellular
Carcinoma.
AB - AIM: The aim of this study was to retrospectively analyze the prognostic value of
combined Tc-macroaggregated albumin (MAA) SPECT/CT and [F]-fluoroethylcholine
(FEC) PET/CT before radioembolization for survival of patients with intermediate
stage hepatocellular carcinoma. METHODS: Twenty-four patients with known
hepatocellular carcinoma Barcelona Clinic Liver Cancer stage B were eligible for
this analysis. All patients were scheduled for radioembolization and received a
pretherapeutic [F]FEC PET/CT scan as well as Tc-MAA SPECT/CT for hepatopulmonary
shunting. Laboratory and semiquantitative PET parameters and morphologic and
metabolic (intersection) volumes of MAA and FEC were evaluated. Spearman
correlation with overall survival, receiver operating curve analyses, univariate
and multivariate Cox regression, and Kaplan-Meier-analysis was applied. RESULTS:
All patients (5 female/19 male) are deceased within the observational period.
Median survival was 395 days (+/-51 days; range, 23-1122 days). The percentage of
hypervascularized metabolically active tumor volume (vascularized tumor ratio;
defined as high MAA and FEC uptake) correlated significantly with survival.
Vascularized tumor ratio was a significant predictor in univariate and
multivariate analyses (P = 0.026; hazard ratio, 11.65; 95% confidence interval,
1.62-83.73; P = 0.015). Statistical significance was not reached by all other
variables in multivariate analysis. Receiver operating curve analysis for 1-year
survival revealed an area under the curve of 0.77 (P = 0.024) for vascularized
tumor ratio. At a cutoff value of 9%, sensitivity, specificity, and positive and
negative prediction were 83%, 67%, and 71% and 80% (P = 0.036). Patients with a
higher tumor vascularization had a median survival of 274 +/- 80 versus 585 +/-
284 days (P = 0.015). CONCLUSIONS: Hepatocellular carcinoma with high
vascularization in metabolic active areas as assessed by combined FEC PET/CT and
Tc-MAA SPECT/CT represents an unfavorable subgroup with reduced overall survival
after radioembolization.
PMID- 29659391
TI - Pediatric Patient With Cerebral Metastasis From Papillary Thyroid Cancer.
AB - Brain metastasis from papillary thyroid carcinoma is uncommon. Concurrent
cerebral and pulmonary metastases from papillary thyroid carcinoma is rare,
especially in pediatric patients. We report a case of a brain metastasis revealed
on post-therapy I scan in a 12 year old girl with thyroid cancer who also had
diffuse pulmonary metastases which were partially responsive to sequential I
therapy.
PMID- 29659392
TI - Pedunculated Hepatocellular Carcinoma Mimicking Right Adrenal Tumor on FDG
PET/CT.
AB - Pedunculated hepatocellular carcinoma is an unusual form of hepatocellular
carcinoma protruding from the liver with or without a pedicle. We present a case
of pedunculated hepatocellular carcinoma misdiagnosed as right adrenal tumor on
MRI and FDG PET/CT. Intraoperative exploration revealed the mass was attached to
the liver, but the right adrenal gland was intact. Laparoscopic biopsy revealed
poorly differentiated hepatocellular carcinoma. This case indicates it may be
difficult to diagnose pedunculated hepatocellular carcinoma because it is hard to
define its origin on imaging. Elevated alpha-fetoprotein level and a history of
viral hepatitis may be helpful for the diagnosis.
PMID- 29659393
TI - Unexpected Vesicoureteral Reflux Into a Nonfunctioning Transplant Kidney on Renal
Scintigraphy.
AB - Vesicoureteral reflux after renal transplantation is associated with increase
risk of urinary tract infections, renal scarring, and graft failure. We describe
a case of a 46-year-old woman with 2 transplant kidneys, one functioning and
another nonfunctioning, presenting with pelvic pain after a bilateral
periureteral bulking procedure. Diuretic renography was performed to exclude
iatrogenic ureteral obstruction. This showed a normally functioning transplant
kidney without obstruction and subsequent vesicoureteral reflux into the
collecting system of the nonfunctioning transplant kidney. This inadvertent
indirect nuclear cystogram illustrates an unusual imaging presentation of a
common disease process requiring further treatment.
PMID- 29659394
TI - A Bizarre Bone Scan of Fibrodysplasia Ossificans Progressiva.
AB - A 27-year-old woman had progressive bony ankylosis over both hands since she was
5 years old. Bony ankylosing over the peripheral joints and spine slowly
progressed, and scoliosis surgery was performed at age 14. Recently, she
developed difficulty walking, changing position, and opening her mouth. A
neurologist was consulted and ruled out the suspicion of narcolepsy. Chest CT
showed ankylosing spondylitis. A bone scan showed a bizarre pattern with
radiouptake to the left maxilla, bilateral sacroiliac joints, left middle third
femur, and soft tissue uptake over bilateral arms, legs, and pelvis. Regional
radiograph suggested fibrodysplasia ossificans progressiva.
PMID- 29659395
TI - Loss of PSMA Expression in Non-neuroendocrine Dedifferentiated Acinar Prostate
Cancer.
AB - The introduction of tracers targeting the prostate-specific membrane antigen
(PSMA) has revolutionized PET imaging of acinar prostate adenocarcinoma. In
general, an increasing PSMA expression is assumed with increasing
dedifferentiation. Whereas loss of PSMA expression has been reported in case of
neuroendocrine dedifferentiation, we present a patient with acinar prostate
adenocarcinoma with a loss of PSMA expression after chemotherapy on PET/CT and in
histological and immunohistochemical analyses. All tissue samples indicated the
retention of acinar features but no expression of neuroendocrine markers (NSE,
synaptophysin, chromogranin, and CD56), corresponding to nonelevated serum NSE.
PMID- 29659396
TI - Sequential Sentinel Node Scintigraphy With Planar and SPECT/CT Images Revealed
Contralateral Drainage From Ipsilateral Breast Tumor Relapse in a Patient With
Bilateral Breast Cancer.
AB - Radio-guided sentinel node (SN) biopsy is routinely performed in patients with
early breast cancer. However, repeated SN scintigraphy in ipsilateral breast
tumor relapse (IBTR) often shows extra-axillary drainage, including contralateral
axilla. A patient diagnosed with bilateral breast cancer, of which one was IBTR,
was studied by sequential radio-guided SN mapping, radiocolloid injection to an
IBTR breast and scanning, and then radiocolloid injection to the other breast and
scanning. This revealed the lymphatic flow from the IBTR breast to the
contralateral axilla. Sequential method may help to depict contralateral axillary
lymphatic flow from an IBTR breast in patients with bilateral breast cancer.
PMID- 29659397
TI - Scintigraphic and Sonographic Findings of Subcapsular Cortical Necrosis in a
Transplanted Kidney.
AB - Renal transplant scintigraphy, performed in a 23-year-old man who had renal graft
from a living donor, showed nearly normal perfusion and moderately low function
of the graft. But the margins of the graft were blurred, and it was interestingly
appearing enlarged late in the study. Ultrasound demonstrated a hypoechoic rim
surrounding the whole kidney. Biopsy revealed necrosis besides normal cortical
tissue. Necrosis was thought to be limited to the subcapsular cortical area. It
should be considered that these scintigraphic findings could be due to greatly
reduced and delayed perfusion of edematous subcapsular necrotic area of the graft
even in normal perfusion images.
PMID- 29659398
TI - Mixed Epithelial and Stromal Tumor of the Kidney Mimicking Malignancy on 18F-FDG
PET/CT in a Patient With Breast Cancer.
AB - Mixed epithelial and stromal tumor of the kidney (MESTK) is a rare renal tumor
composed of solid and cystic components. Mixed epithelial and stromal tumor of
the kidney has no pathognomonic imaging feature. It is difficult to distinguish
MESTK from other cystic renal neoplasms using current radiological methods. We
report a rare case of MESTK that was diagnosed in a 46-year-old woman with breast
cancer. F-FDG PET/CT and contrast-enhanced CT features of MESTK are presented,
which could potentially provide useful information in the differential diagnoses
of cystic renal neoplasms.
PMID- 29659399
TI - Top-Down Systematic Approach to Interpretation of FDG-PET for Dementia.
AB - Dementia is an important cause of morbidity and mortality worldwide and
encompasses a very heterogenous group of disease processes. Positron emission
tomography (PET) of the brain using fluorodeoxyglucose (FDG) is a useful modality
for differentiating types of dementia. Because FDG does not bind to pathologic
proteins, FDG-PET requires that the reader recognize characteristic patterns of
glucose hypometabolism to identify pathology. These patterns have been documented
in the literature for both primary neurodegenerative disorders and secondary
causes of dementia. This article presents an algorithm for organizing these
findings and systematically applying them to interpret FDG-PET brain imaging for
dementia.
PMID- 29659400
TI - Peliosis Hepatis Simulating Metastatic Liver Disease on FDG PET/CT.
AB - A 20-year-old man with gastrointestinal symptoms and weight loss underwent FDG
PET/CT, which revealed multiple hypermetabolic hepatic lesions concerning for
metastatic liver disease. The outcome of liver biopsy was consistent with the
diagnosis of peliosis hepatis which is a rare benign disease characterized by
multiple blood-filled cystic spaces in the hepatic parenchyma. The findings of
peliosis on FDG PET/CT are not well reported in the literature. These interesting
images emphasize the importance of including peliosis hepatis in the differential
diagnosis of multiple hypermetabolic hepatic lesions on FDG PET/CT, which could
simulate malignancy.
PMID- 29659402
TI - Features of Irradiated Skeletal Muscle on Mohs Frozen Section Examination.
PMID- 29659401
TI - Effects of Different Footwear Properties and Surface Instability on Neuromuscular
Activity and Kinematics During Jumping.
AB - Lesinski, M, Prieske, O, Borde, R, Beurskens, R, and Granacher, U. Effects of
different footwear properties and surface instability on neuromuscular activity
and kinematics during jumping. J Strength Cond Res XX(X): 000-000, 2018-The
purpose of this study was to examine sex-specific effects of different footwear
properties vs. barefoot condition during the performance of drop jumps (DJs) on
stable and unstable surfaces on measures of jump performance, electromyographic
(EMG) activity, and knee joint kinematics. Drop jump performance, EMG activity of
lower-extremity muscles, as well as sagittal and frontal knee joint kinematics
were tested in 28 healthy male (n = 14) and female (n = 14) physically active
sports science students (23 +/- 2 years) during the performance of DJs on stable
and unstable surfaces using different footwear properties (elastic vs. minimal
shoes) vs. barefoot condition. Analysis revealed a significantly lower jump
height and performance index (Delta7-12%; p < 0.001; 2.22 <= d = 2.90) during DJs
on unstable compared with stable surfaces. This was accompanied by lower
thigh/shank muscle activities (Delta11-28%; p < 0.05; 0.99 <= d = 2.16) and knee
flexion angles (Delta5-8%; p < 0.05; 1.02 <= d = 2.09). Furthermore, knee valgus
angles during DJs were significantly lower when wearing shoes compared with
barefoot condition (Delta22-32%; p < 0.01; 1.38 <= d = 3.31). Sex-specific
analyses indicated higher knee flexion angles in females compared with males
during DJs, irrespective of the examined surface and footwear conditions
(Delta29%; p < 0.05; d = 0.92). Finally, hardly any significant footwear-surface
interactions were detected. Our findings revealed that surface instability had an
impact on DJ performance, thigh/shank muscle activity, and knee joint kinematics.
In addition, the single factors "footwear" and "sex" modulated knee joint
kinematics during DJs. However, hardly any significant interaction effects were
found. Thus, additional footwear-related effects can be neglected when performing
DJs during training on different surfaces.
PMID- 29659403
TI - Dermatologic Surgery and Reconstruction Photograph Booklet as a Tool to Improve
Informed Consent Before Skin Surgery.
AB - BACKGROUND: Skin surgery is a high-risk area of litigation and tools to improve
consent are welcomed. OBJECTIVE: This project aimed to strengthen the consenting
process for dermatological surgery by introducing a photograph booklet. MATERIALS
AND METHODS: The authors designed a booklet containing skin surgery images.
Patients attending surgery completed an anonymous questionnaire immediately after
their procedures before the booklet introduction (Group 1). The questionnaire was
then repeated (Group 2) with the introduction of the photograph booklet during
consent. The authors looked for changes in the following: understanding of
procedure, scar, and postoperative care as well as addressing of patients'
concerns using a subjective scale of 1 to 5 (1 = poor, 2 = fair, 3 = okay, 4 =
good, and 5 = great). RESULTS: Results indicated greater patient satisfaction
among patients in Group 2 who had been shown relevant surgical images compared
with Group 1, with improvements from ratings of 3 and 4 on the scale to 5. The
difference in the 2 groups for the highest ratings of 5/5 was significant (p <
.05) for understanding of procedure and resulting scars. CONCLUSION: The use of
photograph booklets containing simple and relevant images to support the consent
process for dermatologic surgery improves patients' understanding, expectations,
and experience of skin surgery.
PMID- 29659404
TI - Prospective Cohort Study Investigating Changes in Body Image, Quality of Life,
and Self-Esteem Following Minimally Invasive Cosmetic Procedures.
AB - BACKGROUND: Minimally invasive cosmetic injectable procedures are increasingly
common. However, a few studies have investigated changes in psychosocial
functioning following these treatments. OBJECTIVE: To assess changes in body
image, quality of life, and self-esteem following cosmetic injectable treatment
with soft tissue fillers and neuromodulators. METHODS: Open, prospective study of
75 patients undergoing cosmetic injectable procedures for facial aging to
evaluate changes in psychosocial functioning within 6 weeks of treatment. Outcome
measures included the Derriford appearance scale (DAS-24), body image quality of
life inventory (BIQLI), and the Rosenberg self-esteem scale. RESULTS: Body image
dissatisfaction, as assessed by the DAS-24, improved significantly 6 weeks after
the treatment. Body image quality of life, as assessed by the BIQLI, improved,
but the change did not reach statistical significance. Self-esteem was unchanged
after the treatment. CONCLUSION: Minimally invasive cosmetic injectable
procedures were associated with reductions in body image dissatisfaction. Future
research, using recently developed cosmetic surgery-specific instruments, may
provide further insight into the psychosocial benefits of minimally invasive
procedures.
PMID- 29659405
TI - The Safety and Efficacy of Treatment With a 1,927-nm Diode Laser With and Without
Topical Hydroquinone for Facial Hyperpigmentation and Melasma in Darker Skin
Types.
AB - BACKGROUND: The nonablative, fractional, 1,927-nm diode laser is theoretically a
safe and effective treatment for hyperpigmentation and melasma in darker skin and
may potentiate topical cosmeceutical delivery. OBJECTIVE: To evaluate the use of
a nonablative, fractional, 1,927-nm diode laser with and without topical 2%
hydroquinone (HQ) cream for moderate-to-severe facial hyperpigmentation in
Fitzpatrick skin Types III-V. METHODS: Forty adults underwent 4 laser treatments
at 2-week intervals and were randomized to daily application of 2% HQ cream or
moisturizer. Follow-ups were conducted 4 and 12 weeks after the final laser
treatment. RESULTS: Hydroquinone and moisturizer groups demonstrated Mottled
Pigmentation Area and Severity Index improvements of approximately 50% at post
treatment Weeks 4 and 12. Blinded investigator-assessed hyperpigmentation and
photodamage improved significantly for both the groups at post-treatment Weeks 4
and 12. Subject satisfaction improved significantly in both the groups by post
treatment Week 4. Although investigator-rated Global Aesthetic Improvement Scale
scores were significantly better in the HQ group at post-treatment Week 12,
satisfaction was higher among those using moisturizer. No adverse events were
noted. CONCLUSION: The nonablative, fractional, 1,927-nm diode laser produced
significant improvement in hyperpigmentation in Fitzpatrick skin Types III-V by 4
weeks, with maintenance of results at 12 weeks after treatment even without HQ.
PMID- 29659406
TI - Topical Botulinum Toxin Type A Liposomal Cream for Primary Axillary
Hyperhidrosis: A Double-Blind, Randomized, Split-Site, Vehicle-Controlled Study.
AB - BACKGROUND: Despite its effectiveness in treating primary axillary hyperhidrosis
(PAH), topical botulinum toxin type A (BTX-A) is highly resistant to transdermal
absorption. Topical BTX-A liposomal cream is recommended as a novel, noninvasive
modality to enhance skin penetration. OBJECTIVE: To evaluate the efficacy and
safety of topical BTX-A liposomal cream in comparison with liposomal vehicle
cream alone in the treatment of PAH. MATERIALS AND METHODS: A prospective,
randomized, double-blinded, split-site study was conducted in 20 subjects, aged
18 to 50 years, all of whom had symmetrical axillary sweating with Hyperhidrosis
Disease Severity Scale scores between 2 to 4. All subjects were double-blinded to
treatment regimens and randomly given 2 bottles, one containing topical BTX-A
liposomal cream and one containing the vehicle cream without BTX-A, to be applied
consistently to the same axilla nightly for 7 consecutive days. Clinical
improvement and adverse reactions were evaluated at every follow-up visit.
RESULTS: Axillary skin treated with topical BTX-A demonstrated superior sweat
reduction and patient satisfaction to vehicle cream-treated axillary skin, with
clinical and statistical significance, at baseline, weeks 2, 4, 6, and 8 of
follow-up, without adverse effects. CONCLUSION: Topical BTX-A liposomal cream
pharmaceutically enhances drug delivery, is painless, cost-effective, and overall
an innovative treatment of PAH.
PMID- 29659407
TI - Trends in Dermatologic Surgery: Results of the American Society for Dermatologic
Surgery Procedure and Consumer Surveys.
PMID- 29659408
TI - Pseudohalo Basal Cell Carcinoma.
PMID- 29659409
TI - Liposarcoma Preoperatively Diagnosed as Lipoma: 10-Year Experience at a Single
Institution.
AB - BACKGROUND: On rare occasions, a lesion preoperatively diagnosed as a lipoma is
ultimately diagnosed as a liposarcoma. It is important to differentiate
liposarcomas from lipomas preoperatively. OBJECTIVE: To examine characteristic
features of liposarcomas preoperatively diagnosed as lipomas. METHODS: Patients
(n = 637) who underwent resection of tumors preoperatively diagnosed as lipomas
from January 2006 to October 2016 were retrospectively reviewed. RESULTS: Based
on pathological examination, 8 of 637 lesions were diagnosed as liposarcomas
postoperatively. All the liposarcomas were well-differentiated liposarcomas. The
rate of male patients was higher (87.5% vs 38.9%) and the size of tumors was
larger (8.75 vs 4.64 cm) in these cases than in accurately diagnosed lipoma
cases. On imaging, nonfatty septa were more frequently observed (71.4% vs 20.0%)
and were thicker (2.22 vs 1.33 mm) than in true lipoma cases. CONCLUSION: If the
patient with a lipomatous tumor is male and the tumor is large, we should
consider the possibility of it being a liposarcoma. A thick internal septum in
the image findings is a good predictor of malignancy.
PMID- 29659410
TI - In Vivo Degradation of Crosslinked Hyaluronic Acid Fillers by Exogenous
Hyaluronidases.
AB - BACKGROUND: An advantage of hyaluronic acid (HA)-based fillers is reversibility.
OBJECTIVE: To evaluate the ability of 2 hyaluronidases to degrade 3 HA-based
fillers using a novel in vivo model. MATERIALS AND METHODS: Rats were injected
with 3 HA fillers (HYC-24L+, VYC-20L, and RES-L) to create a projecting bolus.
After 4 days, recombinant human hyaluronidase (HX) or ovine hyaluronidase (VIT)
was administered at (1) varying doses (5 U, 10 U, or 30 U per 0.1 mL filler) or
(2) different dilutions (10 U diluted 3-fold). The impact of tissue integration
was assessed by administering 10 U/0.1 mL filler 4 weeks after filler injection.
Three-dimensional images quantified projection loss over 72 hours. RESULTS:
Complete loss of projection was achieved for all fillers with the highest HX and
VIT doses; lower doses achieved less degradation. No difference in degradation
was observed between HYC-24L+ and VYC-20L using HX or VIT. RES-L was slightly
more degraded with 10 U VIT but not with 10 U HX. Enzyme dilution resulted in
less degradation. Tissue integration did not impact the degree of degradation.
CONCLUSION: This model incorporates the biological system while controlling
variables including filler depth and volume and location of hyaluronidase
delivery. Hyaluronic acid filler degradation by exogenous hyaluronidase was not
hindered by differences among fillers.
PMID- 29659411
TI - ACCURACY AND PRECISION OF INTRAVITREAL INJECTIONS OF ANTI-VASCULAR ENDOTHELIAL
GROWTH FACTOR AGENTS IN REAL LIFE: What Is Actually in the Syringe?
AB - PURPOSE: To evaluate the accuracy and precision of anti-vascular endothelial
growth factor volume delivery by intravitreal injections in the clinical setup.
METHODS: Volume output was measured in 669 intravitreal injections administered
to patients, calculated from the difference in syringe weight before and after
expelling the drug. Three groups were included: prefilled bevacizumab 1.0 mL
syringe (Group 1, n = 432), pre-filled ranibizumab in a small-volume syringe with
low dead-space plunger design (Group 2, n = 125), and aflibercept drawn and
injected using a 1.0-mL syringe (Group 3, n = 112). Accuracy was analyzed by mean
absolute percentage error, and precision by coefficient of variation. RESULTS:
Volume outputs in all 3 groups were significantly different from the target of 50
MUL (P < 0.0001 for all), and mean absolute percentage error values were 12.25%
+/- 5.92% in Group 1, 13.60% +/- 8.75% in Group 2, and 24.69% +/- 14.84% in Group
3. No difference was found between groups 1 and 2, but both were significantly
more accurate than Group 3 (P < 0.0001 for both). CONCLUSION: The current
practices used for intravitreal injections are highly variable, with overdelivery
of the anti-vascular endothelial growth factor drugs measured in most cases, but
underdelivery in 16.3% of injections. Use of a prefilled syringe was associated
with improved accuracy, and low dead-space plunger design may improve precision.
PMID- 29659412
TI - PHOTODYNAMIC THERAPY AS PRIMARY TREATMENT FOR SMALL CHOROIDAL MELANOMA.
AB - PURPOSE: To report outcomes of photodynamic therapy (PDT) as primary treatment
for small amelanotic choroidal melanoma. METHODS: Retrospective interventional
case series of 12 patients with small choroidal melanoma treated with standard
fluence PDT (83 seconds; 50 J/cm) using verteporfin. OUTCOME MEASURES: Tumor
regression, subretinal fluid resolution, best-corrected visual acuity, and PDT
complications. RESULTS: There were 12 eyes with melanoma, demonstrating
amelanotic (10 [83%]) or lightly pigmented (n = 2, 17%) appearance. The mean
tumor thickness was 2.7 mm (median, 2.8; range 1.8-3.7 mm). After PDT, mean
follow-up was 56 months (median, 53; range, 14-91). Outcomes revealed complete
tumor regression after 1 session (n = 3, 25%), 2 sessions (n = 3, 25%), and 3
sessions (n = 2, 17%) of PDT, reduced to mean thickness of 2.1 mm (median, 2.0;
range 1.2-3.4 mm). Tumors that failed to regress (n = 4, 33%) were further
controlled with transpupillary thermotherapy (n = 1) or plaque brachytherapy (n =
3). Subretinal fluid, present in six eyes, demonstrated resolution (n = 5) or
progression (n = 1), and one tumor developed new subretinal fluid after PDT (n =
1). Visual outcome was stable (n = 11 eyes) or improved (n = 1). Photodynamic
therapy complications included local retina pigment epithelium atrophy at the
site of treatment in 3 (25%) eyes, with no effect on macular or optic nerve
function. CONCLUSION: Primary PDT resulted in complete tumor regression of small
amelanotic choroidal melanoma in 67% at mean 5 years, with no major effect on
visual acuity.
PMID- 29659413
TI - A Smartphone Application to Reduce the Time to Automated External Defibrillator
Delivery After a Witnessed Out-of-Hospital Cardiac Arrest: A Randomized
Simulation-Based Study.
AB - INTRODUCTION: We developed a new smartphone application to deliver an automated
external defibrillator (AED) to out-of-hospital cardiac arrest scene. The aim of
this study was to evaluate whether an AED could be delivered earlier with or
without an application in a simulated randomized controlled trial. METHODS:
Participants, who were asked to work as bystanders, were randomly assigned to
either an application group or control group and were asked to bring an AED in
both groups. The bystanders in the application group sent a signal notification
using the application to two responders, who were stationed within 200 meters of
the arrest scene, to carry an AED. The primary outcome was the AED delivery time
by either the bystander or his/her responder. RESULTS: In total, 61 bystanders
were eligible and randomized to either the application group (32) or the control
group (29). The 52 with time data were available and analyzed. The AED delivery
time by either the bystander or his/her responder was significantly shorter in
the application group than in the control group [133.6 (44.4) seconds vs. 202.2
(122.2) seconds, P = 0.01]. CONCLUSIONS: In this simulation-based trial, AED
delivery time was shortened by our newly developed smartphone application for the
bystander to ask nearby responders to find and bring an AED to the cardiac arrest
scene (UMIN-Clinical Trials Registry 000016506).
PMID- 29659414
TI - Repeated Audiometry After Bacterial Meningitis: Consequences for Future
Management.
AB - OBJECTIVE: Sensorineural hearing loss is a common sequela of bacterial
meningitis. The objective of this study is to delineate the incidence and course
of hearing loss after bacterial meningitis. STUDY DESIGN: Retrospective cohort
study. SETTING: Tertiary referral center. PATIENTS: Data of 655 patients who
suffered from bacterial meningitis between 1985 and 2015 were analyzed.
INTERVENTIONS: None. MAIN OUTCOME MEASUREMENTS: Availability of audiometric data,
incidence of hearing loss, and onset and course of hearing loss. RESULTS: In this
cohort the incidence of hearing loss (>25 dB) was 28% (95% confidence interval 23
34%). The incidence of profound hearing loss (>80 dB) was 13% (95% confidence
interval 10-18%). Normal hearing at the first assessment after treatment for
meningitis remained stable over time in all these patients. In 19 of the 28
patients with diagnosed hearing loss, the hearing level remained stable over
time. Hearing improved in six patients and deteriorated in two patients. One
patient showed a fluctuating unilateral hearing loss. CONCLUSION: Audiological
tests in patients with bacterial meningitis, especially children, should be
started as soon as possible after the acute phase is over. As we found no
deterioration of initial normal hearing after bacterial meningitis, repeated
audiometry seems indicated only for those with diagnosed hearing loss at first
assessment.
PMID- 29659415
TI - Functional Status Change Among Children With Extracorporeal Membrane Oxygenation
to Support Cardiopulmonary Resuscitation in a Pediatric Cardiac ICU: A Single
Institution Report.
AB - OBJECTIVES: The purpose of this study is to describe the functional status of
survivors from extracorporeal cardiopulmonary resuscitation instituted during in
hospital cardiac arrest using the Functional Status Scale. We aimed to determine
risk factors leading to the development of new morbidity and unfavorable
functional outcomes. DESIGN: This was a single-center retrospective chart review
abstracting patient characteristics/demographic data, duration of cardiopulmonary
resuscitation, duration of extracorporeal membrane oxygenation support, as well
as maximum lactate levels within 2 hours before and after extracorporeal
cardiopulmonary resuscitation. Cardiac arrest was defined as the administration
of chest compressions for a nonperfusing cardiac rhythm. Extracorporeal
cardiopulmonary resuscitation was defined by instituting extracorporeal membrane
oxygenation during active chest compressions. Functional Status Scale scores were
calculated at admission and on hospital discharge for patients who survived.
SETTING: Patients admitted in the pediatric cardiac ICU at C.S. Mott Children's
Hospital from January 1, 2005, to December 31, 2015. PATIENTS: Children less than
18 years who underwent extracorporeal cardiopulmonary resuscitation.
INTERVENTIONS: Not applicable. MEASUREMENTS AND MAIN RESULTS: Of 608
extracorporeal membrane oxygenation events during the study period, 80 were
extracorporeal cardiopulmonary resuscitation (14%). There were 40 female patients
(50%). Median age was 40 days (interquartile range, 9-342 d). Survival to
hospital discharge was 48% (38/80). Median Functional Status Scale score at
admission was 6 (interquartile range, 6-6) and at hospital discharge 9
(interquartile range, 8-11). Out of 38 survivors, 19 (50%) had a change of
Functional Status Scale score greater than or equal to 3, that is consistent with
new morbidity, and 26 (68%) had favorable functional outcomes with a change in
Functional Status Scale score of less than 5. CONCLUSIONS: This is the first
extracorporeal cardiopulmonary resuscitation report to examine changes in
Functional Status Scale from admission (baseline) to discharge as a measure of
overall functional outcome. Half of surviving patients (19/38) had new morbidity,
while 68% (26/38) had favorable outcomes. Lactate levels, duration of
cardiopulmonary resuscitation, and duration of extracorporeal membrane
oxygenation were not found to be risk factors for the development of new
morbidity and poor functional outcomes. Functional Status Scale may be used as a
metric to monitor improvement of extracorporeal cardiopulmonary resuscitation
outcomes and help guide research initiatives to decrease morbidity in this
patient population.
PMID- 29659416
TI - A Pilot Randomized Controlled Trial of an Intervention to Promote Psychological
Well-Being in Critically Ill Children: Soothing Through Touch, Reading, and
Music.
AB - OBJECTIVES: To examine the feasibility and acceptability of a PICU Soothing
intervention using touch, reading, and music. DESIGN: Nonblinded, pilot
randomized controlled trial. SETTING: The PICU and medical-surgical wards of one
Canadian pediatric hospital. PATIENTS: Twenty PICU patients age 2-14 years old
and their parents, randomized to an intervention group (n = 10) or control group
(n = 10). INTERVENTION: PICU Soothing consisted of: 1) parental comforting (touch
and reading), followed by 2) a quiet period with music via soft headbands,
administered once daily throughout hospitalization. MEASUREMENTS AND MAIN
RESULTS: Acceptability and feasibility of the intervention and methods were
assessed via participation rates, observation, measurement completion rates,
semistructured interviews, and telephone calls. Psychological well-being was
assessed using measures of distress, sleep, and child and parent anxiety in the
PICU, on the wards and 3 months post discharge. Forty-four percent of parents
agreed to participate. Seventy percent and 100% of intervention group parents
responded positively to comforting and music, respectively. Most intervention
group parents (70%) and all nurses felt children responded positively. All nurses
found the intervention acceptable and feasible. Measurement completion rates
ranged from 70% to 100%. Pilot data suggested lower intervention group child and
parent anxiety after transfer to hospital wards. CONCLUSIONS: PICU Soothing is
acceptable and feasible to conduct. Results support the implementation of a full
scale randomized controlled trial to evaluate intervention effectiveness.
PMID- 29659417
TI - Circulating microRNA as Emerging Biomarkers of Exercise.
AB - An interest has recently emerged in the role of circulating microRNAs (c-miRNAs)
as posttranscriptional regulators, intercellular communicators and, especially,
as potential biomarkers of the systemic response to acute exercise and training.
We propose that, with the limited, heterogeneous, and mainly descriptive
information currently available, c-miRNAs do not provide a reliable biomarker of
exercise in healthy or diseased individuals.
PMID- 29659418
TI - Enhancing Lesbian, Gay, Bisexual, and Transgender Cultural Competence in a
Midwestern Primary Care Clinic Setting.
AB - The process of initiating lesbian, gay, bisexual, and transgender (LGBT) cultural
competencies and educational interventions developed to increase staff knowledge
on LGBT culture and health issues is discussed, including a computer-based module
and panel discussion. The module intervention showed a statistically significant
increase (p = .033) of staff LGBT knowledge from pretest to posttest scores. An
evaluation after the panel discussion showed that 72% of staff indicated they
were more prepared for LGBT patient care.
PMID- 29659419
TI - Primary Tracheal Carcinoma.
PMID- 29659421
TI - Air-splinting Maneuver During Flexible Bronchoscopy: A Cross-sectional Study.
AB - BACKGROUND: Magazine's maneuver was developed for ease of insertion of the
flexible bronchoscope, on encountering a closed lumen at the nasopharynx
oropharynx junction. The purposes of this study were to find the frequency of
occurrence of such luminal closures and to compare the efficacy of Magazine's
maneuver, with other variations of the maneuver, in improving visualization of
the upper airway. MATERIALS AND METHODS: Patients aged 18 years and above who
were undergoing flexible bronchoscopy were included, and their demographic and
clinical data were noted. The bronchoscope was inserted using the transnasal
approach, and, at the junction of nasopharynx with oropharynx, the patency of the
lumen between the soft palate and the posterior pharyngeal wall was recorded. The
subjects were instructed to perform 4 variations of the maneuver. Observations at
each variation were recorded as lumen open or closed; if the lumen was open, the
size was noted as small or large and whether it closed during expiration.
RESULTS: Among 443 subjects, obstruction at the nasopharynx-oropharynx junction
was observed, at baseline, in 105 (23.7%); whereas 338 (76.3%) had an open lumen.
An open lumen was observed during nose and mouth breathing in 294 (66.4%)
subjects, and, when head-tilt chin-lift was added to it, it was observed in 303
(68.4%). During Magazine's maneuver the lumen remained open in all 443 (100%)
subjects. Half of the subjects (n=8) with body mass index >30 and one-third
(n=29) above 65 years of age had luminal closure at baseline. CONCLUSION:
Magazine's maneuver opens up the obstruction at the nasopharynx-oropharynx
junction, whereas mouth and nose breathing mostly fails to do so. Adding head
tilt chin-lift to either of the 2 breathing techniques does not provide any
additional benefit.
PMID- 29659420
TI - Needle-based Optical Coherence Tomography to Guide Transbronchial Lymph Node
Biopsy.
AB - BACKGROUND: Transbronchial needle aspiration (TBNA), often used to sample lymph
nodes for lung cancer staging, is subject to sampling error even when performed
with endobronchial ultrasound. Optical coherence tomography (OCT) is a high
resolution imaging modality that rapidly generates helical cross-sectional
images. We aim to determine if needle-based OCT can provide microstructural
information in lymph nodes that may be used to guide TBNA, and improve sampling
error. METHODS: We performed ex vivo needle-based OCT on thoracic lymph nodes
from patients with and without known lung cancer. OCT imaging features were
compared against matched histology. RESULTS: OCT imaging was performed in 26
thoracic lymph nodes, including 6 lymph nodes containing metastatic carcinoma.
OCT visualized lymphoid follicles, adipose tissue, pigment-laden histiocytes, and
blood vessels. OCT features of metastatic carcinoma were distinct from benign
lymph nodes, with microarchitectural features that reflected the morphology of
the carcinoma subtype. OCT was also able to distinguish lymph node from adjacent
airway wall. CONCLUSIONS: Our results demonstrate that OCT provides critical
microstructural information that may be useful to guide TBNA lymph node sampling,
as a complement to endobronchial ultrasound. In vivo studies are needed to
further evaluate the clinical utility of OCT in thoracic lymph node assessment.
PMID- 29659422
TI - Bending of an Aspirated Pin During Rigid Bronchoscopy: Safeguards and Pitfalls.
AB - BACKGROUND: Pin aspiration is a common problem in Muslim countries, where many
women wear veils (hijab). This condition is usually treated using either a rigid
or a flexible bronchoscope, and yet occasionally requires surgical approach. Pin
bending may be necessary to extract impacted pins during the therapeutic rigid
bronchoscopy. METHODS: Medical records of patients who had pins extracted with a
bending technique during the period from January 2012 to December 2016 in 1
institution were analyzed. Information on intraoperative and postoperative
complications was collected. RESULTS: Between 2012 and 2016, 315 rigid
bronchoscopies were performed for pin extraction; in 38 cases, bending of the pin
was required for the extraction because they were in a position that did not
allow simple extraction. The procedure was successful in cases and there were no
major complications. CONCLUSION: The extraction of visible, distally located or
impacted pins can be safely performed by experienced bronchoscopists using the
bending technique. Some safeguards and pitfalls must be noted to ensure maximum
safety.
PMID- 29659423
TI - Safety and Efficacy of Oki Stenting Used to Treat Obstructions in the Right
Mainstem Bronchus.
AB - BACKGROUND: Silicone stenting has been used to palliatively manage respiratory
symptoms in patients with airway stenoses. Oki and Saka recently developed stents
that can be used to manage stenoses around the carina between the bronchus to the
right upper lobe and the bronchus intermedius (termed the primary right carina).
The aim of this study was to assess the efficacy and safety of Oki stenting used
to treat airway stenosis around the primary right carina. METHODS: We included 5
patients with airway stenoses. All Oki stenting procedures were performed with
the patients under general anesthesia using either rigid or flexible
bronchoscopy. RESULTS: Oki stents were successfully placed in all 5 patients, and
the dyspnea index improved in all. Modified Oki stenting was performed at the
anastomotic site of 1 patient who had undergone sleeve resection. Four patients
had airway obstructions caused by malignant disease. No serious procedural or
early complication was noted. One patient died of liver insufficiency, and
another with a malignancy died from cranial metastasis of the primary tumor.
CONCLUSION: Oki stenting of the primary right carina is safe, efficient, and
acceptable when used for palliative management of airway obstruction, even after
sleeve resection; in the latter case, some procedural modifications are required.
PMID- 29659424
TI - Utility of Magnetic Resonance Imaging for Predicting Severity of Sciatic Nerve
Injury.
AB - OBJECTIVE: This study aimed to investigate the diagnostic accuracy of magnetic
resonance (MR) imaging for categorizing sciatic peripheral nerve injury (PNI) as
high-grade (Sunderland grades IV-V) or low-grade (Sunderland grades I-III) PNI.
METHODS: In this institutional review board-approved, Health Insurance
Portability and Accountability Act-compliant retrospective study, consecutive MR
imaging of the pelvis and thighs, performed for the evaluation of traumatic
sciatic neuropathy, was reviewed for sciatic nerve signal intensity, size,
architectural distortion, bulbous enlargement, perineural fibrosis,
discontinuity, and muscle denervation changes. Clinical data, electrodiagnostic
testing, and surgical history were used to determine PNI grade (high, low).
Descriptive and diagnostic performance statistics were applied. RESULTS: Of 24
patients, there were 12 high-grade and 12 low-grade PNI. There was no significant
difference in signal intensity or size between high-grade and low-grade PNI (1.3
+/- 0.5 cm vs 0.9 +/- 0.3 cm, P = 0.09). The sensitivity and specificity for the
presence of individual features in predicting high-grade injury were 83% and 67%
for architectural distortion, 58% and 75% for bulbous enlargement, 50% and 83%
for perineural fibrosis, 25% and 100% for discontinuity, and 67% and 75% for
skeletal muscle denervation changes, respectively. Overall, the sensitivity and
specificity of MR imaging for categorizing sciatic PNI as high grade was 75% and
83%, respectively. CONCLUSIONS: The MR characterization of PNI severity remains
challenging. Useful features indicative of high-grade PNI include bulbous
enlargement, perineural fibrosis, muscle denervation changes, and nerve
discontinuity.
PMID- 29659425
TI - Dual-Energy Computed Tomography in Patients With Small Hepatocellular Carcinoma:
Utility of Noise-Reduced Monoenergetic Images for the Evaluation of Washout and
Image Quality in the Equilibrium Phase.
AB - PURPOSE: This study aimed to evaluate the utility of virtual monoenergetic images
for detecting washout of small (<=2 cm) hepatocellular carcinoma (HCC) in the
equilibrium phase. METHODS: We performed 120-kVp-equivalent linear-blended (M120)
and monoenergetic reconstructions from 40 to 90 keV by standard (40, 50, 60, 70,
80, 90) and novel noise-reduced (nMERA: 40+, 50+, 60+, 70+, 80+, 90+)
monoenergetic reconstruction algorithms. Image quality and tumor visibility of
delayed washout of HCCs in the equilibrium phase were compared between standard
monoenergetic reconstruction algorithm and nMERA by objective and subjective
analyses. RESULTS: Contrast-to-noise ratio of the tumor at 40+ was the highest,
whereas the score of tumor visibility peaked at 50+. The score of overall image
quality at 40+ was significantly lower than those on all other image series, and
the image quality among other image series were not significantly different.
CONCLUSIONS: Virtual monoenergetic image reconstructed with nMERA 50+ was most
appropriate to detect washout of small HCCs.
PMID- 29659426
TI - Evaluation of Virtual Monoenergetic Images on Pulmonary Vasculature Using the
Dual-Layer Detector-Based Spectral Computed Tomography.
AB - OBJECTIVE: To evaluate the ability of retrospectively generated virtual
monoenergetic images (VMIs) from the detector-based spectral computed tomography
(SDCT) to augment pulmonary artery enhancement in CT and if iodine map can
predict the optimal monoenergetic level. METHODS: The study included 79 patients
with contrast-enhanced chest CT scans on an SDCT scanner. Conventional 120-kVp
images and VMI from 40 to 80 keV were generated. Attenuation, noise, signal-to
noise ratio (SNR), and contrast-to-noise ratio (CNR) were measured at 7 different
locations in the pulmonary arterial system. The iodine concentration (in
milligrams per milliliter) was calculated using the iodine-density images. The
overall image quality was subjectively graded on a 5-point scale, with 1 being
the worst and 5 the best. Fifty-four patients with suboptimal pulmonary
enhancement (<200 Hounsfield units [HU]) were then identified. From the VMIs, an
ideal set was chosen that maintained mean vascular attenuation greater than 200
HU while maintaining at least diagnostically acceptable quality (ie, IQ score
>=3). At this ideal energy level, quantitative and qualitative parameters were
compared with the standard 120-kVp polyenergetic study. Average iodine
concentrations were correlated with the optimal keV levels used for salvaging
suboptimal studies. RESULTS: The mean attenuation of all the measured pulmonary
arterial regions in the suboptimal cases was 136.1 +/- 18.1 HU in conventional
120-kVp images. Attenuations of the VMIs at 40, 50, and 60 keV were significantly
higher than conventional images measuring 357.5 +/- 19.5, 243.6 +/- 16.7, and
176.6 +/- 15.0 HU, respectively (P < 0.001). Similar results were seen with SNR
and CNR. In total, 50 studies can be salvaged, with 50 keV being the optimal
energy for 21, 60 keV optimal for 17, and 40 keV optimal for 12 studies. At the
optimal energy level, there were improvements of attenuation, SNR, and CNR by
71%, 63%, and 137% compared with conventional images. There was a positive
correlation between iodine value and optimal reconstruction energy with a linear
equation y = 5.9539x + 27.434 and R = 0.8093. CONCLUSIONS: Suboptimal enhanced
pulmonary arterial CT studies can be salvaged using low-energy VMI generated from
the SDCT scanner. There were significant improvements of attenuation, SNR, and
CNR at the optimal monoenergetic level.
PMID- 29659427
TI - Opportunistic Bone Density Measurement on Abdomen and Pelvis Computed Tomography
to Predict Fracture Risk in Women Aged 50 to 64 Years Without Osteoporosis Risk
Factors.
AB - OBJECTIVE: The aim of this study is to evaluate opportunistic vertebral bone
density measurement in abdominal and pelvic computed tomography (CT) to predict
future osteoporotic fracture in women aged 50 to 64 years without known
osteoporosis risk factors. METHODS: Consecutive female patients 50 to 64 years
old without osteoporosis risk factors with 2 CT examinations more than 10 years
apart were included. Vertebral height and bone density in each vertebra from T10
to L5 were measured. Vertebral fractures were diagnosed on CT preformed 10 years
after the original CT and through online medical records. RESULTS: Thirty (15%)
of 199 patients developed osteoporotic fracture. Bone density was lower in
patients who developed fractures compared with those who did not (P < 0.05).
Development of osteoporotic fracture of any grade was predicted for patients
having bone density less than 180 HU at L4 with sensitivity of 90% (95%
confidence interval, 74%-97%) and specificity of 43% (95% confidence interval,
34%-50%). CONCLUSIONS: Opportunistic bone density screening can identify women at
elevated risk of developing fractures within 10 years.
PMID- 29659428
TI - Rotator Cuff Tear Morphologic Parameters at Magnetic Resonance Imaging:
Relationship With Muscle Atrophy and Fatty Infiltration and Patient-Reported
Function and Health-Related Quality of Life.
AB - OBJECTIVE: The aim of this study was to determine the relationship between
rotator cuff tear (RCT) morphologic parameters and muscle atrophy and fatty
infiltration, and patient-reported outcome measures, in patients with symptomatic
full-thickness RCT. METHODS: Rotator cuff tear location, length, width,
thickness, and musculotendinous junction position were assessed in 57 magnetic
resonance imaging scans and correlated to the outcome measures using multivariate
regression analysis. RESULTS: Supraspinatus tendon tear length (odds ratio [OR],
2.218; 95% confidence interval [CI], 1.460-3.370), supraspinatus musculotendinous
junction position (OR, 2.037; 95% CI, 1.322-3.137), and infraspinatus tendon tear
width (OR, 2.371; 95% CI, 1.218-4.615) were identified as the strongest
determinants of supraspinatus muscle atrophy, supraspinatus muscle fatty
infiltration, and infraspinatus muscle fatty infiltration, respectively.
CONCLUSIONS: The extent of supraspinatus tendon and musculotendinous junction
retraction influences the development of supraspinatus muscle atrophy and fatty
infiltration, whereas the extent of infraspinatus tendon tear width influences
the development of infraspinatus muscle fatty infiltration. Morphologic
parameters defining RCT at magnetic resonance imaging did not correlate with
clinical shoulder function scores.
PMID- 29659429
TI - Spectral Detector Computed Tomography Pulmonary Angiography: Improved Diagnostic
Assessment and Automated Estimation of Window Settings Angiography of Pulmonary
Arteries From Novel Spectral Detector Computed Tomography Provides Improved Image
Quality if Settings are Adjusted.
AB - OBJECTIVE: This study aimed to evaluate image quality (IQ) of virtual
monoenergetic images (VMIs) from novel spectral detector computed tomography
angiography of the pulmonary arteries and to identify appropriate window settings
for each kiloelectron volt level. MATERIALS: Forty consecutive patients were
included in this institutional review board-approved, Health Insurance
Portability and Accountability Act-compliant study.Signal- and contrast-to-noise
ratios were calculated within the pulmonary trunk, and pulmonary/lobar/segmental
arteries were calculated. The IQ and diagnostic certainty were rated by 2
radiologists on 5-point scales. In addition, they recorded appropriate window
settings (center/width) that were linearly modeled against attenuation within the
pulmonary trunk to generate generable results. RESULTS: Signal- and contrast-to
noise ratios, IQ, and diagnostic certainty are significantly increased in low
kiloelectron volt VMIs (<=60 keV). Interrater agreement was excellent (K = 0.89).
We developed 2 linear models (R: 0.91-0.97 and R: 0.43-0.91, respectively, P <=
0.01), that suggest appropriate window settings. CONCLUSIONS: The VMIs from
spectral detector computed tomography improve objective and subjective IQ in
angiography of the pulmonary arteries, if window settings are adjusted; they can
be automatically estimated using reported linear models.
PMID- 29659430
TI - Risk Factors Associated With Incomplete Colonoscopy Based on the Analysis of
Computed Tomographic Colonography Findings.
AB - OBJECTIVE: This study aimed to identify the computed tomographic colonography
(CTC) findings of incomplete colonoscopy compared with those of complete
colonoscopy. METHODS: The clinical data and CTC imaging data from January 2004 to
December 2012 were retrospectively obtained at 2 different institutions and
reviewed by the central review system. A total of 71 patients who underwent both
videocolonoscopy and CTC were included in this study. The CTC findings and
clinical data were evaluated for the completeness of colonoscopy. RESULTS: In the
CTC analysis, differences in total colon length, abdominal circumference, and
sigmoid colon diameter were statistically significant between both groups (P <
0.05). Body mass index (BMI) and height were identified as significant clinical
factors influencing the completeness of colonoscopy. In multiple logistic
regression tests, only BMI and sigmoid colon diameter were independent factors (P
< 0.05). CONCLUSIONS: High BMI larger diameter of sigmoid colon was associated
with incomplete colonoscopy based on CTC.
PMID- 29659431
TI - Preliminary Study on Molecular Subtypes of Breast Cancer Based on Magnetic
Resonance Imaging Texture Analysis.
AB - OBJECTIVE: The aim of the study was to investigate the molecular subtypes of
breast cancer based on the texture features derived from magnetic resonance
images (MRIs). METHODS: One hundred seven patients with preoperative confirmed
breast cancer were recruited. One hundred eight breast lesions were divided into
4 subtypes according to the status of estrogen receptor, progesterone receptor,
human epidermal growth factor receptor type 2, and Ki67. Fisher discriminant
analysis was performed on the texture features that extracted from the enhanced
high-resolution T1-weighted images and diffusion weighted images to establish the
classification model of molecular subtypes. RESULTS: The differentiation
accuracies of Fisher discriminant analysis on the enhanced high-resolution T1
weighted images were 82.8% and 86.4% for 1.5T and 3.0T imaging. Fisher
discriminant analysis on diffusion weighted imaging texture features were
achieved with a classification ability of 73.4% and 88.6%. The combined
discriminant results for 2 kinds magnetic resonance images were 95.0%, 97.7% in
1.5T and 3.0T imaging, respectively. CONCLUSIONS: The fine results indicated a
promising approach to predict the molecular subtypes of breast cancer.
PMID- 29659432
TI - Orbital Inflammatory Syndrome Secondary to Flea Bite.
AB - A 34-year-old previously healthy Hispanic male presented to the emergency room
complaining of progressive left upper eyelid swelling and pain for more than 2
weeks. He was previously diagnosed and treated for a "pink eye" but failed to
improve. He reported a previous "bug bite" around the left lateral canthus a few
weeks prior to admission. Computer tomography orbit with contrast showed left
exophthalmos, an enhancing left lacrimal gland and orbital inflammatory signs
suggestive of possible intraorbital abscess. Intravenous antibiotics did not
improve his symptoms. Surgical debridement showed no abscess but inflamed soft
tissues and lacrimal gland. Intravenous steroids failed to improve his symptoms.
On postoperative day 3, the patient reported that an insect had "jumped" out from
his left orbit. Identification of the specimen proved to be a mature flea. Biopsy
of the lacrimal gland showed degranulation of eosinophils and foreign body
material consistent with probable insect leg parts.
PMID- 29659433
TI - Congenital Accessory Palpebral Fissure and Eyelid in a Newborn: A Case Report.
AB - The occurrence of an accessory palpebral fissure and eyelid is an extremely rare
phenomenon. An isolated accessory palpebral fissure and eyelid have been reported
only twice in the literature, and in one case as an extension of Delleman
syndrome, or oculocerebrocutaneous syndrome. The authors report a case of a full
term newborn who presented with an accessory palpebral fissure and eyelid
associated with microcornea, skin polyps and tags, cutis dysplasia, and
hypoplasia of the corpus callosum with an otherwise normal systemic workup and
negative genetic screening. Detailed surgical management and histopathological
analysis of the accessory findings are also described.
PMID- 29659434
TI - Similarity in Bilateral Isolated Internal Orbital Fractures.
AB - PURPOSE: In evaluating patients sustaining bilateral isolated internal orbital
fractures, the authors have observed both similar fracture locations and also
similar expansion of orbital volumes. In this study, we aim to investigate if
there is a propensity for the 2 orbits to fracture in symmetrically similar
patterns when sustaining similar trauma. METHODS: A retrospective chart review
was performed studying all cases at our institution of bilateral isolated
internal orbital fractures involving the medial wall and/or the floor at the time
of presentation. The similarity of the bilateral fracture locations was evaluated
using the Fisher's exact test. The bilateral expanded orbital volumes were
analyzed using the Wilcoxon signed-rank test to assess for orbital volume
similarity. RESULTS: Twenty-four patients with bilateral internal orbital
fractures were analyzed for fracture location similarity. Seventeen patients
(70.8%) had 100% concordance in the orbital subregion fractured, and the
association between the right and the left orbital fracture subregion locations
was statistically significant (P < 0.0001). Fifteen patients were analyzed for
orbital volume similarity. The average orbital cavity volume was 31.2 +/- 3.8 cm
on the right and 32.0 +/- 3.7 cm on the left. There was a statistically
significant difference between right and left orbital cavity volumes (P =
0.0026). CONCLUSIONS: The data from this study suggest that an individual who
suffers isolated bilateral internal orbital fractures has a statistically
significant similarity in the location of their orbital fractures. However, there
does not appear to be statistically significant similarity in the expansion of
the orbital volumes in these patients.
PMID- 29659435
TI - Frontalis Muscle Flap Versus Maximal Anterior Levator Resection as First Option
for Patients With Severe Congenital Ptosis.
AB - PURPOSE: To compare 2 surgical techniques (frontalis flap versus maximal anterior
levator resection) as first surgical options for the treatment of congenital
ptosis with poor levator function in patients younger than 2 years of age with a
follow up of 10 years. METHODS: A retrospective study of 58 patients (71 eyelids)
with severe ptosis and poor levator function who underwent frontalis muscle flap
(FMF = 47) or maximal anterior levator resection (ALR = 24) for correction of
their ptosis. Eyelid measurements were taken at baseline, 1, 5, and 10 years
after surgery. The presence of complications, need for reoperations, and
palpebral contour were evaluated. RESULTS: Most patients in both groups required
only one surgical procedure with a stable average margin-reflex distance 1 over
the 10-year follow-up period in both groups, with no statistically significant
difference between the 2 techniques in achieving an adequate palpebral height
after one single procedure. Eleven eyelids treated with FMF (23%) and 12 treated
with ALR (50%) needed a reoperation, with a statistically significant difference
between the 2 techniques. Five ALR patients (21%) and 6 FMF patients (13%) had
alterations of eyelid contour. Pop-eyelid and eyelash ptosis were observed in 8%
of patients operated with FMF. CONCLUSION: Good functional and aesthetic results
were obtained with both surgical techniques. FMF required fewer reoperations
compared with maximal ALR, offering a better long-term result without residual
ptosis.
PMID- 29659436
TI - A Novel Technique of Ultrasound-Guided Selective Mandibular Nerve Block With a
Lateral Pterygoid Plate Approach: A Cadaveric Study.
AB - BACKGROUND AND OBJECTIVES: We aimed to describe a novel technique of ultrasound
guided selective mandibular nerve block with a lateral pterygoid plate (LPP)
approach and to assess its feasibility and accuracy in a soft cadaver model.
METHODS: Ten soft cadavers were studied. A curved array ultrasound transducer was
applied over 1 side of the face of the cadaver, in an open-mouth position. The
transducer was placed transversely below the zygomatic arch and tilted in the
caudal-to-cranial direction to identify the boundary of the LPP. The needle was
inserted in-plane, in an anterior-to-posterior direction, into the posterior
border of the uppermost part of the LPP, and 3 mL of methylene blue was injected.
RESULTS: Mandibular nerve block was successfully performed in all 10 cadavers
using an LPP approach under ultrasound guidance. The mandibular nerve and its
branches were seen to be stained with methylene blue in all cadaveric specimens.
No accidental injection into the facial nerve or maxillary artery was observed.
CONCLUSIONS: This cadaveric study suggests that this novel technique, using an
LPP approach under ultrasound guidance, is helpful for selective mandibular nerve
block, with high accuracy and feasibility. Further studies are required to
establish its safety and efficacy for clinical application. CLINICAL TRIAL
REGISTRATION: This study was registered at the Thai Clinical Trials Registry
(ClinicalTrials.in.th), identifier TCTR20160601004.
PMID- 29659437
TI - The Impact of Spinal Needle Selection on Postdural Puncture Headache: A Meta
Analysis and Metaregression of Randomized Studies.
AB - BACKGROUND AND OBJECTIVES: Potentially broadened indications for spinal
anesthesia require increased understanding of the risk factors and prevention
measures associated with postdural puncture headache (PDPH). This review is
designed to examine the association between spinal needle characteristics and
incidence of PDPH. METHODS: Meta-analysis and metaregression was performed on
randomized controlled trials to determine the effect of needle design and gauge
on the incidence of PDPH after controlling for patient confounders such as age,
sex, and year of publication. RESULTS: Fifty-seven randomized controlled trials
(n = 16416) were included in our analysis, of which 32 compared pencil-point
design with cutting-needle design and 25 compared individual gauges of similar
design. Pencil-point design was associated with a statistically significant
reduction in incidence of PDPH (risk ratio, 0.41; 95% confidence interval, 0.31
0.54; P < 0.001; I = 29%) compared with cutting needles among studies that
assessed both design types. Subgroup analysis among obstetric and nonobstetric
procedures yielded similar results. After adjustment for significant covariates,
metaregression analysis among all 57 included trials revealed a significant
correlation between needle gauge and rate of PDPH among cutting needles (slope =
2.65, P < 0.001), but not pencil-point needles (slope = -0.01, P = 0.819).
CONCLUSIONS: Pencil-point needles are associated with significantly lower
incidence of PDPH compared with the cutting-needle design. Whereas a significant
relationship was noted between needle gauge and PDPH for cutting-needle design, a
similar association was not shown for pencil-point needles. Providers may
consider selection of larger-caliber pencil-point needle to maximize technical
proficiency without expensing increased rates of PDPH.
PMID- 29659438
TI - Selective Suprascapular and Axillary Nerve Block Versus Interscalene Plexus Block
for Pain Control After Arthroscopic Shoulder Surgery: A Noninferiority Randomized
Parallel-Controlled Clinical Trial.
AB - BACKGROUND AND OBJECTIVES: This randomized trial aimed to assess if a combined
suprascapular-axillary nerve block (SSB) is noninferior (margin = 1.3 on a 0- to
10-point scale) to interscalene block (ISB) in treating pain after arthroscopic
shoulder surgery. Secondary end points included opioid consumption, dyspnea,
discomfort associated with muscle weakness, and patient satisfaction. METHODS:
One hundred patients undergoing arthroscopic shoulder surgery were randomized to
receive ultrasound-guided ISB (n = 50) or SSB (n = 50). Pain intensity at rest,
dyspnea, and discomfort were recorded upon arrival in the recovery room,
discharge to the ward, and at 4, 8, and 24 hours after surgery. Piritramide
consumption was recorded for the first 24 hours. Patient satisfaction was
assessed on the second postoperative day. RESULTS: During the first 4 hours after
surgery, the difference in mean pain score between SSB and ISB was higher than
2.5 (+/-0.8). The difference gradually decreased to 1.1 (+/-1.0) at 8 hours
before resulting in noninferiority during the night and at 24 hours. Piritramide
consumption was significantly higher in the SSB group in the first 8 hours. The
incidence of dyspnea and discomfort was higher after ISB. Treatment satisfaction
was similar in both groups. CONCLUSIONS: Suprascapular-axillary nerve block is
inferior to ISB in terms of analgesia and opioid requirement in the immediate
period after arthroscopic shoulder surgery but is associated with a lower
incidence of dyspnea and discomfort. The difference in pain and opioid
consumption gradually decreases as the blocks wear off in order to reach similar
pain scores during the first postoperative night and at 24 hours. CLINICAL TRIAL
REGISTRATION: This study was registered at ClinicalTrials.gov, identifier
NCT02415088.
PMID- 29659439
TI - Clotting-Factor Concentrations 5 Days After Discontinuation of Warfarin.
AB - BACKGROUND: The American Society of Regional Anesthesia and Pain Medicine
guidelines recommend discontinuation of warfarin and an international normalized
ratio (INR) of 1.2 or less before a neuraxial injection. The European and
Scandinavian guidelines accept an INR of 1.4 or less. We evaluated INR and levels
of clotting factors (CFs) II, VII, IX, and X 5 days after discontinuation of
warfarin. METHODS: Patients who discontinued warfarin for 5 days and had an INR
of 1.4 or less had activities of factors II, VII, IX, and X measured. The primary
outcome was the frequency of subjects with CF activities of less than 40%.
RESULTS: Twenty-three patients were studied; 21 (91%) had an INR of 1.2 or less.
In these 21 patients, the median (interquartile range) activities of factors II,
VII, IX, and X were 66% (52%-80%), 114% (95%-132%), 101% (84%-121%), and 55% (46%
63%), respectively. Ninety-five percent (99% confidence interval, 69%-99%) had CF
activities of greater than 40%. The patient who did not CF activities greater
than 40% had end-stage renal disease. Two subjects had an INR of greater than
1.2; the activities of factor II, VII, IX, and X were 37% and 46%, 89% and 105%,
66% and 78%, and 20% and 36%, respectively. Neither patient had CF activities of
greater than 40%. CONCLUSIONS: Based on 40% activity of CFs, patients with INRs
of 1.2 or less can be considered to have adequate CFs to undergo neuraxial
injections. The number of patients with an INR of 1.3 and 1.4 is too small to
make conclusions.
PMID- 29659440
TI - Measurement Properties of the Functional Rating Index: A Systematic Review and
Meta-analysis.
AB - STUDY DESIGN: A systematic review and meta-analysis. OBJECTIVE: To assess the
measurement properties of the Functional Rating Index (FRI) and determine whether
its measurement properties are comparable with other region-specific
questionnaires. SUMMARY OF BACKGROUND DATA: In addition to low back pain (LBP)
and neck pain (NP), multiregion spinal pain (SP) is a common problem with a
considerable prevalence in the general population. The FRI was built to assess
physical functioning in patients with SP. However, a systematic review assessing
evidence of its measurement properties in separated populations and a comparison
with other questionnaires regarding each measurement property is lacking.
METHODS: Articles concerning the FRI's measurement properties or head-to-head
comparison with other questionnaires on measurement properties were obtained from
MEDLINE, Embase, CINAHL, and PsycINFO. Two reviewers independently reviewed the
articles, extracted data, and conducted the methodological quality assessment.
The COnsensus-based Standards for the selection of health Measurement INstruments
(COSMIN) checklist was used to assess the methodological quality of the included
studies. RESULTS: A total of 18 studies evaluating the FRI's measurement
properties, including seven that carried out head-to-head comparisons in at least
one measurement property with other questionnaires, were included in the current
review. Our findings show strong positive evidence for structural validity and
internal consistency in patients with SP and LBP. Evidence for most of the FRI's
measurement properties is limited, conflicting, or even unknown. The current
evidence shows that the FRI is comparable with both the Oswestry Disability Index
and the Neck Disability Index in responsiveness. However, relevant information
about the majority of the other measurement properties is lacking. CONCLUSION:
Our finding suggests that clinicians and researchers should use the FRI with
caution until there are more studies with high methodological quality that
support the view that it is positive in all measurement properties, especially in
regard to patients with multiregion SP. LEVEL OF EVIDENCE: 1.
PMID- 29659441
TI - Inter/Intraobserver Reliability of T1 Pelvic Angle (TPA), a Novel Radiographic
Measure for Global Sagittal Deformity.
AB - STUDY DESIGN: Reliability analysis. OBJECTIVE: To assess intra- and interobserver
agreement of the T1 pelvic angle (T1PA), a novel radiographic measure of spinal
sagittal alignment. Orthopedic surgeons of various levels of experience measured
the T1PA in a series of healthy adult volunteers. The relationship of the TIPA to
pelvic position was also assessed. SUMMARY OF BACKGROUND DATA: Recent literature
suggests that the T1PA is a more reliable measure of global sagittal alignment
than traditional measurements (i.e., sagittal vertical axis). Previous research
focuses on postoperative patients with known spinal deformity. No published
research exists evaluating the use of T1PA on healthy subjects without spinal
deformity. The purpose of this study is: (1) to assess the reliability of
measurements of the T1PA, (2) to examine its relationship to pelvic position.
METHODS: Seven evaluators of varying orthopedic experience measured the T1PA in
50 healthy adult volunteers. Subjects were radiographed in each of three pelvic
positions: resting, maximal anterior pelvic rotation, and maximal posterior
pelvic rotation. After a washout period, the measurement was repeated. Using
intraclass correlation coefficients, the intra- and inter-rater agreement for the
T1PA was measured. The collected data was also used to determine the accuracy of
this measurement and its relationship to pelvic position. RESULTS: A very high
level of agreement was found in measurements of the T1PA (intraclass correlation
coefficients r = 0.98). At each pelvic position, all examiners had excellent
intrarater reliability, > 0.85. The inter-rater reliability, compared with a gold
standard, consistently measured the T1PA within +/- 2 degrees . The data also
shows that the T1PA changes with pelvic rotation. CONCLUSION: T1PA is a
reproducible and reliable measure of global sagittal alignment regardless of the
level of training. The T1PA varies based on pelvic rotation; this variation must
be taken into account when assigning an absolute target for correction. LEVEL OF
EVIDENCE: 4.
PMID- 29659442
TI - Simplified Chinese Version of the Japanese Orthopaedic Association Back Pain
Evaluation Questionnaire: Agreement, Responsiveness, and Minimal Important Change
for Patients With Chronic Low Back Pain.
AB - STUDY DESIGN: Psychometric test of the cross-cultural adaptation the Japanese
Orthopaedic Association Back Pain Evaluation Questionnaire (JOABPEQ) in low back
pain (LBP) patients. OBJECTIVE: To investigate the agreement, responsiveness, and
minimal important change (MIC) of the simplified Chinese version of the JOABPEQ
in LBP patients. SUMMARY OF BACKGROUND DATA: The factor structure, internal
consistency, test-retest reliability, validity, floor and ceiling effect of
simplified Chinese JOABPEQ have been tested, while the agreement, responsiveness,
and MIC were required. METHODS: The agreement, responsiveness, and MIC of the
simplified Chinese version were assessed by completing the Chinese JOABPEQ twice.
Agreement was tested with Bland-Altman plot. Responsiveness was operationalized
using receiver operating characteristic analyses. The anchor-based method was
used to calculate MIC. RESULTS: One hundred sixty-two of 184 patients returned to
finish the booklet twice were available for analysis (response rate: 88.0%).
While the responsiveness, the area under the curves of each subscale were ranged
from 0.746 to 0.875, which meant a good responsiveness. While the MIC (MIC%) of
simplified Chinese JOABPEQ was 19.28 (44.98%) for Q1 Low back pain, 15.20
(24.13%) for Q2 Lumbar function, 15.79 (22.76%) for Q3 Walking ability, 9.58
(19.86%) for Q4 Social life function, 7.33 (17.28%) for Q5 Mental health. While
compared with the MIC, only the Q3 Walking ability had a positive rating for
agreement in the Bland-Altman plot. CONCLUSION: The simplified Chinese JOABPEQ
has positive agreement of Q3 Walk ability and acceptable to excellent
responsiveness of all the subscales. The MICs for subscales of the simplified
Chinese JOABPEQ ranged from 7.33 to 19.28 points. LEVEL OF EVIDENCE: 3.
PMID- 29659443
TI - Evaluation of apoptotic pathways in dorsal root ganglion neurons following
peripheral nerve injury.
AB - Peripheral nerve injuries induce significant sensory neuronal cell death in the
dorsal root ganglia (DRG); however, the role of specific apoptotic pathways is
still unclear. In this study, we performed peripheral nerve transection on adult
rats, after which the corresponding DRGs were harvested at 7, 14, and 28 days
after injury for subsequent molecular analyses with quantitative reverse
transcription-PCR, western blotting, and immunohistochemistry. Nerve injury led
to increased levels of caspase-3 mRNA and active caspase-3 protein in the DRG.
Increased expression of caspase-8, caspase-12, caspase-7, and calpain suggested
that both the extrinsic and the endoplasmic reticulum (ER) stress-mediated
apoptotic pathways were activated. Phosphorylation of protein kinase R-like ER
kinase further implied the involvement of ER-stress in the DRG. Phosphorylated
protein kinase R-like ER kinase was most commonly associated with isolectin B4
(IB4)-positive neurons in the DRG and this may provide an explanation for the
increased susceptibility of these neurons to die following nerve injury, likely
in part because of an activation of the ER-stress response.
PMID- 29659444
TI - Cerebellar transcranial magnetic stimulation facilitates excitability of spinal
reflex, but does not affect cerebellar inhibition and facilitation in
spinocerebellar ataxia.
AB - Transcranial magnetic stimulation (TMS) over the cerebellum facilitates the
spinal reflex in healthy humans. The aim of this study was to investigate whether
such cerebellar spinal facilitation (CSpF) appears in patients with
spinocerebellar ataxia (SCA) presenting with atrophy in the cerebellar gray
matter and dentate nucleus. One patient with SCA type 6 and another with SCA type
31 participated in this study. TMS over the right primary motor cortex was used
to induce motor-evoked potentials in the right first dorsal interosseous muscle,
which were detected using electromyography. Conditioning TMS using interstimulus
intervals of 1-8 ms was performed over the right cerebellum as a test to measure
cerebellar brain inhibition (CBI). To assess the H-reflex and the M-wave
recruitment curve of the right soleus muscle, we performed electrical stimulation
of the right tibial nerve. The stimulation intensity was set to that at the
center of the H-reflex curve of the ascending limb. To measure CSpF, we delivered
TMS over the right cerebellum 100, 110, 120, and 130 ms before the right tibial
nerve stimulation. Voxel-based morphometry was used to verify the presence of
atrophy in the cerebellar gray matter and dentate nucleus. CBI was absent in both
cases. However, a significant facilitation of the H-reflex occurred with an
interstimulus interval of 120 ms in both cases. These findings indicate that the
pathways associated with the induction of CSpF and CBI are different, and that
the cerebellar gray matter and dentate nucleus are not needed for the induction
of CSpF. The possible origin of CSpF may be examined by stimulation of other
cerebellar deep nuclei or the brainstem.
PMID- 29659445
TI - Ten-eleven translocation 1 regulates methylation of autophagy-related genes in
human glioma.
AB - Ten-eleven translocation 1 catalyzes the conversion of 5-methylcytosine (5mC) to
5-hydroxymethylcytosine (5hmC), which plays an important role in epigenetics and
is related to the malignant biological behavior of tumors. However, its
regulatory role in glioma remains unclear. In this study, the levels of 5mC and
5hmC were detected using immunohistochemistry, dot-blot, hMeDIP-chip, and western
blot in glioma tissues and normal brain tissues, whereas 5hmC differentially
enriched genes were determined and further validated. The level of 5hmC in
gliomas was decreased, whereas 5mC was increased. 5hmC highly enriched 10
functional protein-coding genes and 10 signaling pathways were identified using
hMeGIP-chip in glioma tissues. Two autophagy-related genes, ATG13 and DNA damage
regulated autophagy modulator protein 1, with low enrichment of 5hmC in glioma
tissues were verified in the promoter region, and hMeGIP-PCR further confirmed
this result in U251 cells. Immunohistochemistry further confirmed that autophagy
level in glioma tissues was lower than that of normal controls, and negatively
correlated with WHO grade. This study indicates that ten-eleven translocation 1
may be involved in the development and progression of glioma through
demethylation regulating a variety of cellular functions and signaling pathways,
and autophagy is one of the regulatory mechanisms.
PMID- 29659446
TI - Event-related brain potential correlates of brain reorganization of episodic
memory throughout the adult lifespan.
AB - The main aim of this study was to characterize the age-related evolution of the
event-related brain potentials correlates of successful to tackle the neural
reorganization patterns associated with this episodic retrieval. We thus examined
the evolution of the event-related brain potential old/new effect across the
adult lifespan, in five groups, aged 21-70 years (21-30, 31-40, 41-50, 51-60, and
61-70 years), equalized on their memory performance through a word-stem cued
recall task. This procedure makes it possible to examine the evolution of age
related changes in brain organization during adulthood and to specify the age
onset of these changes. Results confirm the hypothesis that aging is associated
with major changes in brain functioning. These changes appear to be consistent
with both the HAROLD and PASA hypotheses, which postulate an age-related
reduction in hemispheric asymmetry and a shift from posterior to anterior areas,
respectively. What is notable is that these patterns of brain reorganization are
not specific to older stages of life as they begin to develop very early in adult
life, around the 30s, and the shift toward frontal regions strengthens in the
60s.
PMID- 29659448
TI - Reply: Sural Nerve Splitting in Reverse Sural Artery Perforator Flap: Anatomical
Study in 40 Cadaver Legs.
PMID- 29659447
TI - The Cost of Contralateral Prophylactic Mastectomy in Women with Unilateral Breast
Cancer.
AB - BACKGROUND: Contralateral prophylactic mastectomy may be unnecessary from an
oncologic perspective; therefore, the debate persists about the value of
contralateral prophylactic mastectomy in women with early-stage unilateral breast
cancer. Given finite health care resources, this study aims to evaluate the cost
of contralateral prophylactic mastectomy and breast reconstruction. METHODS:
Women with unilateral breast cancer undergoing either unilateral mastectomy or
unilateral mastectomy with contralateral prophylactic mastectomy and immediate
breast reconstruction were selected from the Truven MarketScan databases between
2009 and 2013. Demographic and treatment data were recorded, and over an 18-month
follow-up period, the treatment cost was tallied. A log-transformed linear model
was used to compare cost between the groups. RESULTS: A total of 2343 women were
identified who met our inclusion criteria, with 1295 undergoing unilateral
mastectomy and 1048 undergoing contralateral prophylactic mastectomy.
Complication rates within 18 months were similar for women undergoing unilateral
mastectomy and contralateral prophylactic mastectomy (39 percent versus 42
percent; p = 0.17). Management with unilateral mastectomy with reconstruction
required an adjusted cumulative mean cost of $33,557. Contralateral prophylactic
mastectomy with reconstruction was an additional $11,872 in expenditure (p <
0.001). The cost of initial procedures (mean difference, $6467) and secondary
procedures (mean difference, $2455) were the greatest contributors to cost.
CONCLUSIONS: In women with unilateral breast cancer, contralateral prophylactic
mastectomy with reconstruction is more costly. The increased monetary cost of
contralateral prophylactic mastectomy may be offset by improved quality of life.
However, this financial reality is an important consideration when ongoing
efforts toward reimbursement reform may not pay for contralateral prophylactic
mastectomy if outcomes data are not presented to justify this procedure.
PMID- 29659449
TI - Evolution in Monitoring of Free Flap Autologous Breast Reconstruction after
Nipple-Sparing Mastectomy: Is There a Best Way?
AB - BACKGROUND: Free flap monitoring in autologous reconstruction after nipple
sparing mastectomy remains controversial. The authors therefore examined outcomes
in nipple-sparing mastectomy with buried free flap reconstruction versus free
flap reconstruction incorporating a monitoring skin paddle. METHODS: Autologous
free flap reconstructions with nipple-sparing mastectomy performed from 2006 to
2015 were identified. Demographics and operative results were analyzed and
compared between buried flaps and those with a skin paddle for monitoring.
RESULTS: Two hundred twenty-one free flaps for nipple-sparing mastectomy
reconstruction were identified: 50 buried flaps and 171 flaps incorporating a
skin paddle. The most common flaps used were deep inferior epigastric perforator
(64 percent), profunda artery perforator (12.1 percent), and muscle-sparing
transverse rectus abdominis myocutaneous flaps (10.4 percent). Patients
undergoing autologous reconstructions with a skin paddle had a significantly
greater body mass index (p = 0.006). Mastectomy weight (p = 0.017) and flap
weight (p < 0.0001) were significantly greater in flaps incorporating a skin
paddle. Comparing outcomes, there were no significant differences in flap failure
(2.0 percent versus 2.3 percent; p = 1.000) or percentage of flaps requiring
return to the operating room (6.0 percent versus 4.7 percent; p = 0.715) between
groups. Buried flaps had an absolute greater mean number of revision procedures
per nipple-sparing mastectomy (0.82) compared with the skin paddle group (0.44);
however, rates of revision procedures per nipple-sparing mastectomy were
statistically equivalent between the groups (p = 0.296). CONCLUSION: Although
buried free flap reconstruction in nipple-sparing mastectomy has been shown to be
safe and effective, the authors' technique has evolved to favor incorporating a
skin paddle, which allows for clinical monitoring and can be removed at the time
of secondary revision. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29659450
TI - Aseptic Freeze-Dried versus Sterile Wet-Packaged Human Cadaveric Acellular Dermal
Matrix in Immediate Tissue Expander Breast Reconstruction: A Propensity Score
Analysis.
AB - BACKGROUND: Although multiple acellular dermal matrix sources exist, it is
unclear how its processing impacts complication rates. The authors compared
complications between two preparations of human cadaveric acellular dermal matrix
(freeze dried and ready-to-use) in immediate tissue expander breast
reconstruction to analyze the effect of processing on complications. METHODS: The
authors retrospectively reviewed all alloplastic breast reconstructions with
freeze-dried or ready-to-use human acellular dermal matrices between 2006 and
2016. The primary outcome measure was surgical-site occurrence defined as seroma,
skin dehiscence, surgical-site infection, or reconstruction failure. The two
groups were compared before and after propensity score matching. RESULTS: The
authors included 988 reconstructions (freeze-dried, 53.8 percent; ready-to-use,
46.2 percent). Analysis of 384 propensity score-matched pairs demonstrated a
slightly higher rate of surgical-site occurrence (21.4 percent versus 16.7
percent; p = 0.10) and surgical-site infection (9.6 percent versus 7.8 percent; p
= 0.13) in the freeze-dried group than in the ready-to-use group, but the
difference was not significant. However, failure was significantly higher for the
freeze-dried versus ready-to-use group (7.8 percent versus 4.4 percent; p =
0.050). CONCLUSIONS: This is the largest study comparing the outcomes of
alloplastic breast reconstruction using human acellular dermal matrix materials
prepared by different methods. The authors demonstrated higher early
complications with aseptic, freeze-dried matrix than with sterile ready-to-use
matrix; reconstructive failure was the only outcome to achieve statistical
significance. The authors conclude that acellular dermal matrix preparation has
an independent impact on patient outcomes in their comparison of one company's
product. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29659451
TI - The Use of Surgical Delay for Partial Mastectomy Reconstruction in the Previously
Irradiated Breast: A Matched-Control Case Series.
PMID- 29659452
TI - Supratrochlear Artery Based Periosteal Flaps: A New Alternative Technique for
Nasal Lining Reconstruction.
PMID- 29659453
TI - Commentary on: Supratrochlear Artery Based Periosteal Flaps: A New Alternative
Technique for Nasal Lining Reconstruction.
PMID- 29659454
TI - Adipose Tissue-Preserved Skin Graft: Applicability and Long-Term Results.
PMID- 29659455
TI - Miller-Meyerson Maneuver for Left-Handed Surgeons.
PMID- 29659456
TI - Philtrum Repair in Upper Lip Recosntruction.
PMID- 29659457
TI - Reply: Upper Lip Reconstruction.
PMID- 29659458
TI - Reply: Evidence-Based Medicine: Current Evidence in the Diagnosis and Management
of Carpal Tunnel Syndrome.
PMID- 29659459
TI - Pediatric Zygomaticomaxillary Complex Fracture Repair: Location and Number of
Fixation Sites in Growing Children.
AB - BACKGROUND: Relatively few reports have been published investigating the
operative management of pediatric zygomaticomaxillary complex fractures. The
purpose of this study was to assess pediatric zygomaticomaxillary complex
fracture management and associated complications, and potentially describe a
standard treatment paradigm for these cases. METHODS: A retrospective cohort
review was performed of all patients younger than 15 years presenting to a single
institution with zygomaticomaxillary complex fractures from 1990 to 2010. Patient
demographics, concomitant injuries, management details, and complications were
recorded. Complications were compared among patients by dentition stage, number
of fixation points, and identity of fixation sites. RESULTS: A total of 36
patients with 44 unique zygomaticomaxillary complex fractures met the authors'
inclusion criteria. Thirty-two fractures exhibited at least 2.0 mm of diastasis
along at least one buttress (73 percent), and all but one of these were managed
operatively. Among operatively managed patients with deciduous dentition, two
point fixation was associated with a lower overall complication rate compared
with one- and three-point fixation (0 percent versus 75 percent and 75 percent; p
= 0.01). Furthermore, rigid plate-and-screw fixation at the zygomaticomaxillary
buttress was not associated with an increased complication rate in operatively
managed patients with deciduous dentition (40 percent versus 50 percent; p =
0.76). CONCLUSIONS: The authors' results suggest that two-point fixation is an
effective management strategy for repair of displaced zygomaticomaxillary complex
fractures in children. In addition, rigid plate-and-screw fixation at the
zygomaticomaxillary buttress in children with deciduous dentition appears to be
safe and effective when performed. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, III.
PMID- 29659460
TI - Clozapine Titration for People in Early Psychosis: A Chart Review and Treatment
Guideline.
AB - PURPOSE/BACKGROUND: The use of clozapine, particularly in young people, is often
limited by early treatment-emergent adverse effects including drowsiness and
lethargy. Concerns about adverse effects, medication adherence, and the need for
blood monitoring often impede the use of clozapine in this population, leading to
repeated trials of less effective medications. Current clozapine dosing
recommendations are based on people further in the course of their illness and
thus reflect different responsiveness and sensitivities to antipsychotic
medication. As such, there is a need for evidence-based guidelines for titration
and dosing of clozapine among people in early psychosis. METHODS/PROCEDURES: We
performed a chart review of 14 people treated with clozapine within our early
psychosis team. Data regarding dose titration, response, time to discontinuation,
symptom severity, weight gain, and other adverse effects were gathered at
clozapine initiation, 3 months, and last available visit on clozapine.
FINDINGS/RESULTS: People treated with slow titration within their first year of
psychosis onset achieved sustained response at very low maintenance doses (mean
dose = 81 mg/d, mean duration of treatment = 200 weeks) compared with slow
titration with longer duration of illness (mean dose = 350 mg/d, mean duration of
treatment = 68 weeks) or standard dose titration in early psychosis (mean dose =
112 mg/d, mean duration of treatment = 38 weeks). The most common adverse effects
in all groups were weight gain and sedation, with the groups requiring higher
mean doses reporting a broader range of adverse effects. There was no apparent
difference in the clinical global impression for severity or improvement between
the slow titration and standard titration groups in people with early psychosis.
These observations are synthesized into a proposed treatment guideline for use of
clozapine among people in early psychosis. IMPLICATIONS/CONCLUSIONS: We describe
development of a slow titration approach to initiating clozapine among people in
early psychosis. This approach resulted in clinical response at remarkably low
maintenance doses of clozapine among people within their first year of illness,
but not in those with longer duration of symptoms. Slow titration also led to
good tolerability and acceptance of clozapine treatment for some patients.
PMID- 29659461
TI - The Year of Advocacy.
PMID- 29659462
TI - Making an Infusion Error: The Second Victims of Infusion Therapy-Related
Medication Errors.
AB - Infusion therapy-related adverse events can result in distress and professional
suffering for the nurse involved with the event, with long-lasting consequences.
This article discusses the second victim syndrome and its impacts on nurses.
Original research on 168 recent nursing graduates and their experiences with
second victim syndrome after making an infusion-related error is also presented.
The article concludes with strategies to help nurses cope with the aftermath of
making an infusion therapy-related medication error.
PMID- 29659463
TI - Maintaining Short Peripheral Catheter Patency: A Comparison of Saline Lock Versus
Continuous Infusion in the Acute Care Setting.
AB - Sparse evidence exists about how short peripheral catheter (SPC) duration is
affected by the presence of a saline lock versus continually infusing fluids.
Often the choice to lock an SPC with saline is based on provider preference,
rather than available evidence or patient-centered factors. This study compared
the duration of 85 SPCs: locked with saline versus continuously running fluids. A
secondary analysis considered the influence of a number of intermittent
medications and flushes. Although the saline-locked group had a slightly longer
duration time, it was not statistically significant. Factors such as desire to
ambulate or nighttime saline flushes merit consideration in SPC care decisions
and standardized nurse-driven protocols.
PMID- 29659464
TI - Transitioning Patients With Iron Overload From Exjade to Jadenu.
AB - Iron overload is a concern for patients who require chronic transfusions as a
result of inherited or acquired anemias, including sickle cell disease,
thalassemia, and myelodysplastic syndromes. Iron chelation therapy (ICT) is the
primary treatment for iron overload in these patients. The ICT deferasirox, which
has been available as an oral dispersible tablet for liquid suspension, is now
also available as a once-daily, film-coated tablet (FCT). Deferasirox FCT allows
greater convenience and may be associated with fewer gastrointestinal side
effects versus the original formulation. Dose adjustment increments, determined
by titration monitoring, are lower for the FCT because of greater
bioavailability.
PMID- 29659465
TI - Does Hyperglycemia Affect Risk of Peripherally Inserted Central Catheter-Related
Upper Extremity Venous Thrombosis?
AB - It is not clear whether blood glucose (BG) affects the risk of peripherally
inserted central catheter (PICC)-related upper extremity venous thrombosis
(PRUEVT). A case-control study was conducted comparing patients with PRUEVT
versus patients with PICCs who did not develop PRUEVT. BG on admission was
significantly higher among cases with PRUEVT than controls. No significant
differences were found between the groups in hemoglobin A1c or BG on the third
day of hospitalization. PRUEVT cases were more likely to be diabetic, but this
did not reach statistical significance. The time that a PICC was in place before
PRUEVT was diagnosed was longer for diabetic patients, but the authors believe
this result must be viewed with caution.
PMID- 29659466
TI - Levels of Hemolysis Markers in Erythrocyte Concentrates Administered Using a
Syringe Infusion Pump.
AB - Syringe infusion pumps (SIPs) led to major advances in infusion therapy and were
gradually applied to the transfusion of packed red blood cells (RBCs), raising
questions about possible cell damage. The objectives of this study were to
determine levels of hematocrit (%), total hemoglobin (g/dL), free hemoglobin
(g/dL), lactate dehydrogenase (units/L), potassium (mmol/L), the degree of
hemolysis (%) of RBCs infused by an SIP, and to investigate the influence of the
infusion rate. The experimental study comprised 14 RBCs, 3 SIPs, and infusion
rates of 5, 10, and 20 mL/h. The results showed total hemoglobin reduction (P =
.003), and increased free hemoglobin and hemolysis (P <.001) were identified. The
conclusion reached was that RBCs presented changes in free hemoglobin and degree
of hemolysis.
PMID- 29659468
TI - Routine Versus Clinically Indicated Short Peripheral Catheter Replacement: An
Evidence-based Practice Project.
AB - Despite current, high-quality, level 1 evidence that supports clinically
indicated short peripheral catheter (SPC) replacement, the current practice in
the health care system studied was to change SPCs routinely every 96 hours. A
before-and-after design was used to evaluate the impact of SPC replacement when
clinically indicated. Following the practice change, there were no SPC-related
infections, monthly phlebitis rates ranged from 1.9% to 3.5%, and SPC use
decreased by 14.2%, resulting in estimated cost savings of $2100 and 70 hours of
nursing time saved. The translation of evidence on timing of SPC replacement into
practice was a success.
PMID- 29659469
TI - Alloknesis and hyperknesis-mechanisms, assessment methodology, and clinical
implications of itch sensitization.
AB - Itch and pain share numerous mechanistic similarities. Patients with chronic itch
conditions (for instance atopic dermatitis or neuropathic itch) often experience
symptoms such as mechanical alloknesis and hyperknesis. These dysesthesias are
analogous to the pain-associated phenomena allodynia and hyperalgesia, which are
often observed, for example, in neuropathic pain conditions. Mechanical itch
dysesthesias represent abnormal sensory states (caused by neuroplastic changes),
wherein considerable itch is evoked, for instance by light cutaneous stimuli such
as from clothing (alloknesis), or where increased itch is perceived in response
to normally itch-evoking stimuli (hyperknesis). These itch sensitization
phenomena have been explored in experimental human studies, observed in chronic
itch patients, and in animal models of itch. Limited attention has been paid to
these sensory phenomena in clinical studies, and it is unknown how they respond
to antipruritics. Psychophysical quantitative sensory testing can quantify the
presence, severity, and spatial extent of itch dysesthesias in chronic itch
patients, providing a proxy measurement of itch sensitization. This review
outlines current assessment techniques, knowledge on the mechanisms of mechanical
alloknesis and hyperknesis, and presents the diverse results derived from
clinical studies exploring the presence of itch dysesthesias in chronic itch
patients. A key role of quantitative sensory testing and neuronal sensitization
in patients with chronic pain is accepted and used in clinical assessments.
However, the precise mechanisms and potential clinical implications of itch
sensitization in chronic itch patients remain to be evaluated.
PMID- 29659467
TI - A Standard Push-Pull Protocol for Waste-Free Sampling in the Pediatric Intensive
Care Unit.
AB - Blood sampling is a major source of blood loss in the pediatric intensive care
unit (PICU). Blood-sparing sampling techniques such as the push-pull method can
significantly reduce sampling-related blood loss and protect patients from anemia
and blood transfusions. The push-pull method is supported by research evidence
for central venous catheter (CVC) sampling, but research protocols differ and not
all CVCs and laboratory tests have been studied. A standard push-pull protocol
for the PICU was developed, implemented, and evaluated in this evidence-based
practice project. Results show that the protocol can be used safely and reliably
as a standard waste-free sampling method in the PICU.
PMID- 29659470
TI - Meta-analysis of perioperative outcomes of acute laparoscopic versus open repair
of perforated gastroduodenal ulcers.
AB - BACKGROUND: Surgery is the treatment of choice for perforated peptic ulcer
disease. The aim of the present review was to compare the perioperative outcomes
of acute laparoscopic versus open repair for peptic ulcer disease. METHODS: A
systematic literature search was performed for randomized controlled trials
(RCTs) published in PubMed, SCOPUS, and Web of Science. RESULTS: The search
included eight RCTs: 615 patients comparing laparoscopic (307 patients) versus
open peptic perforated ulcer repair (308 patients). Only few studies reported the
Boey score, the Acute Physiologic Assessment and Chronic Health Evaluation score,
and the Mannheim Peritonitis Index. In the RCTs, there is a significant
heterogeneity about the gastric or duodenal location of peptic ulcer and
perforation size. All trials were with high risk of bias. This meta-analysis
reported a significant advantage of laparoscopic repair only for postoperative
pain in first 24 hours (-2.08; 95% confidence interval, -2.79 to -1.37) and for
postoperative wound infection (risk ratio, 0.39; 95% confidence interval, 0.23
0.66). An equivalence of the other clinical outcomes (postoperative mortality
rate, overall reoperation rate, overall leaks of the suture repair, intra
abdominal abscess rate, operative time of postoperative hospital stay,
nasogastric aspiration time, and time to return to oral diet) was reported.
CONCLUSION: In this meta-analysis, there were no significant differences in most
of the clinical outcomes between the two groups; there was less early
postoperative pain and fewer wound infections after laparoscopic repair. The
reported equivalence of clinical outcomes is an important finding. These results
parallel the results of several other comparisons of open versus laparoscopic
general surgery operations-equally efficacious with lower rates of wound
infection and improvement in some measures of enhanced speed or comfort in
recovery. Notably, the trials included have been published throughout a
considerable time span during which several changes have occurred in most health
care systems, not least a widespread use of laparoscopy and increase in the
laparoscopic skills. LEVEL OF EVIDENCE: Systematic review and meta-analysis,
level III.
PMID- 29659471
TI - Management of colorectal injuries: A Western Trauma Association critical
decisions algorithm.
PMID- 29659472
TI - Evaluation and management of abdominal stab wounds: A Western Trauma Association
critical decisions algorithm.
AB - This is a recommended management algorithm from the Western Trauma Association
addressing the management of adult patients with abdominal stab wounds. Because
there is a paucity of published prospective randomized clinical trials that have
generated Class I data, these recommendations are based primarily on published
observational studies and expert opinion of Western Trauma Association members.
The algorithm and accompanying comments represent a safe and sensible approach
that can be followed at most trauma centers. We recognize that there will be
patient, personnel, institutional, and situational factors that may warrant or
require deviation from the recommended algorithm. We encourage institutions to
use this as a guideline to develop their own local protocols.
PMID- 29659473
TI - External validation of a five-variable clinical prediction rule for identifying
children at very low risk for intra-abdominal injury after blunt abdominal
trauma.
AB - BACKGROUND: A clinical prediction rule was previously developed by the Pediatric
Surgery Research Collaborative (PedSRC) to identify patients at very low risk for
intra-abdominal injury (IAI) and intra-abdominal injury receiving an acute
intervention (IAI-I) who could safely avoid abdominal computed tomography (CT)
scans after blunt abdominal trauma (BAT). Our objective was to externally
validate the rule. METHODS: The public-use dataset was obtained from the
Pediatric Emergency Care Applied Research Network (PECARN) Intra-abdominal Injury
Study. Patients 16 years of age and younger with chest x-ray, completed abdominal
history and physical examination, aspartate aminotransferase (AST), and amylase
or lipase collected within 6 hours of arrival were included. We excluded patients
who presented greater than 6 hours after injury or missing any of the five
clinical prediction variables from the PedSRC prediction rule. RESULTS: We
included 2,435 patients from the PECARN dataset, with a mean age of 9.4 years.
There were 235 patients with IAI (9.7%) and 60 patients with IAI-I (2.5%). The
clinical prediction rule had a sensitivity of 97.5% for IAI and 100% for IAI-I.
In patients with no abnormality in any of the five prediction rule variables, the
rule had a negative predictive value of 99.3% for IAI and 100.0% for IAI-I. Of
the "very low-risk" patients identified by the rule, 46.8% underwent abdominal CT
imaging. CONCLUSIONS: A highly sensitive clinical prediction rule using history
and abdominal physical examination, laboratory values, and chest x-ray was
successfully validated using a large public-access dataset of pediatric BAT
patients. LEVEL OF EVIDENCE: Epidemiologic/prognostic study, level III;
therapeutic care/management study, level IV.
PMID- 29659474
TI - Comparing appendectomies performed by trauma surgeons and pediatric surgeons in
children 5 to 10 years of age.
PMID- 29659475
TI - Frailty screening and a frailty pathway decrease length of stay, loss of
independence, and 30-day readmission rates in frail geriatric trauma and
emergency general surgery patients.
AB - BACKGROUND: Frail geriatric trauma and emergency general surgery (TEGS) patients
have longer lengths of stay (LOS), more readmissions, and higher rates of
postdischarge institutionalization than their nonfrail counterparts. Despite
calls to action by national trauma coalitions, there are few published reports of
prospective interventions. The objective of this quality improvement project was
to first develop a frailty screening program, and, then, if frail, implement a
novel frailty pathway to reduce LOS, 30-day readmissions, and loss of
independence. METHODS: This was a before-after study of a prospective cohort of
all geriatric (>=65-years-old) patients admitted to the TEGS service from October
2016 to October 2017. All patients were screened for frailty for 3 months
(preintervention) to obtain baseline outcomes. Subsequently, frail patients were
entered into our frailty pathway (postintervention). Nonparametric statistical
tests were used to assess significant differences in continuous variables; chi
and Fisher exact tests were used for categorical variables, where appropriate.
Both process and outcome measures were evaluated. RESULTS: Of 239 geriatric TEGS
patients screened, 70 (29.3%) were frail. All TEGS geriatric patients were
screened within 24 hours of admission. Following frailty pathway implementation,
median LOS for frail patients decreased from 9 to 6 days (p = 0.4), readmissions
decreased from 36.4% to 10.2% (p = 0.04), and loss of independence decreased by
40%, (100% vs 60%; p = 0.01). Outcomes for nonfrail geriatric patients did not
differ between cohorts. CONCLUSIONS: Screening for frailty followed by
implementing a frailty pathway decreased LOS, loss of independence, and 30-day
readmission rates for frail geriatric TEGS patients at a single urban academic
institution. The pathway required no additional resources; rather, we shifted
focus toward frail patients without negatively affecting outcomes in nonfrail
geriatric TEGS patients. Implementation of this pathway with larger patient
cohorts and in varied settings is needed to confirm a causal relationship between
our intervention and improved outcomes. LEVEL OF EVIDENCE: Therapeutic study,
level IV.
PMID- 29659476
TI - Prospective evaluation of low health literacy and its impact on outcomes in
trauma patients.
AB - BACKGROUND: Health literacy is an emerging focus of interest in public health and
is evolving as an important component of national health policy. Low health
literacy (LHL) is associated with poor outcomes. We aimed to identify factors
associated with LHL and its relationship with health outcomes in trauma patients.
METHODS: We prospectively enrolled all adult trauma patients (age, > 18 years) in
our analysis. Patients were surveyed at discharge and followed up at 4 weeks
postdischarge. At discharge, patient's health literacy was assessed using the
Short-Assessment of Health Literacy score. Low health literacy was defined as
Short-Assessment of Health Literacy score less than 14. Patients were surveyed
regarding their understanding of their injuries, treatment received, discharge
instructions, and interaction with the physician. Four weeks postdischarge, all
patients were inquired about clinic follow-up details and recovery. RESULTS: We
enrolled 140 patients, of which 70% were white. Mean age was 45 +/- 20 years, and
median Injury Severity Score was 10 (6-12). Overall, 24% (34) patients had LHL.
There was no difference in the Injury Severity Score between LHL and health
literate (HL) patients (p = 0.41). The LHL patients were more likely to be
Hispanic-white (78% vs. 41%, p = 0.02), had lower socioeconomic status (91% vs.
51%, p = 0.01), uninsured (45% vs. 18%, p = 0.01), and were less likely to have
graduated (0% vs. 49%, p = 0.01) compared with the HL patients. At discharge,
both groups were satisfied with the time spent by a physician to explain the
condition, however, the LHL patients were less likely to recall their injuries (p
= 0.03) or how they were treated (p = 0.01). Patients with LHL had lower follow
up rates (p = 0.01) with no difference in the readmission rate (p = 0.71)
compared with HL. CONCLUSION: Every 1 in 4 trauma patients have LHL. Low health
literacy is associated with poor understanding of injuries and treatment provided
to them, leading to a decrease in compliance with discharge instructions and
longer time to recovery. Identifying LHL in high-risk patients and developing
appropriate intervention before discharge may help improve outcomes. LEVEL OF
EVIDENCE: Prognostic study, level I.
PMID- 29659477
TI - Alpha-Toxin Contributes to Biofilm Formation among Staphylococcus aureus Wound
Isolates.
AB - Biofilms complicate treatment of Staphylococcus aureus (SA) wound infections.
Previously, we determined alpha-toxin (AT)-promoted SA biofilm formation on
mucosal tissue. Therefore, we evaluated SA wound isolates for AT production and
biofilm formation on epithelium and assessed the role of AT in biofilm formation.
Thirty-eight wound isolates were molecularly typed by pulsed-field gel
electrophoresis (PFGE), multilocus sequence typing (ST), and spa typing. We
measured biofilm formation of these SA isolates in vitro and ex vivo and
quantified ex vivo AT production. We also investigated the effect of an anti-AT
monoclonal antibody (MEDI4893*) on ex vivo biofilm formation by methicillin
resistant SA (USA 300 LAC) and tested whether purified AT rescued the biofilm
defect of hla mutant SA strains. The predominant PFGE/ST combinations were
USA100/ST5 (50%) and USA300/ST8 (33%) for methicillin-resistant SA (MRSA, n =
18), and USA200/ST30 (20%) for methicillin-susceptible SA (MSSA, n = 20). Ex vivo
AT production correlated significantly with ex vivo SA wound isolate biofilm
formation. Anti-alpha-toxin monoclonal antibody (MEDI4893*) prevented ex vivo
biofilm formation by MRSA USA300 strain LAC. Wild-type AT rescued the ex vivo
biofilm defect of non-AT producing SA strains. These findings provide evidence
that AT plays a role in SA biofilm formation on epithelial surfaces and suggest
that neutralization of AT may be useful in preventing and treating SA infections.
PMID- 29659478
TI - Taekwondo Training Improves Mood and Sociability in Children from Multicultural
Families in South Korea: A Randomized Controlled Pilot Study.
AB - PURPOSE: Children from multicultural families face physical, social, mental, and
intellectual hurdles; however, relative interventions are lacking in South Korea
(hereafter Korea) in this regard. The purpose of this study was to investigate
the effects of regular Taekwondo (TKD) training on physical fitness, mood,
sociability, and cognitive functions in these children. METHODS: This study
included 30 children from multicultural families in Korea who were randomly
assigned to a TKD group (n = 15) and control group (n = 15). The children in TKD
group underwent 16 weeks of TKD training once a week for 60 min. Each participant
underwent a basic fitness test and sociability questionnaire before and after the
intervention. Furthermore, we examined the changes in the mood and cognitive
function by determining the profile of mood states (POMS), and Stroop color and
word test, respectively. RESULTS: Results of the Stork test of balance were
significantly higher in the TKD group after intervention (p < 0.05). In terms of
sub-variables, POMS, tension, and depression scores were significantly lower (p <
0.05) after the intervention, while the vigor score was significantly higher in
the intervention group than those in the control group (p < 0.05). Furthermore,
sociability and 'being left out' score, a sub-variable of sociability, was
significantly lower (p < 0.05) after the intervention, while sociability score
was significantly higher (p < 0.05). CONCLUSIONS: Our findings suggest that
participation in regular TKD training can be effective for balanced improvements
in variables of basic fitness and that it exerts a positive effect on the mood
and development of sociability.
PMID- 29659479
TI - Oral Dysbiotic Communities and Their Implications in Systemic Diseases.
AB - The human body supports the growth of a wide array of microbial communities in
various niches such as the oral cavity, gastro-intestinal and urogenital tracts,
and on the surface of the skin. These host associated microbial communities
include yet-un-cultivable bacteria and are influenced by various factors.
Together, these communities of bacteria are referred to as the human microbiome.
Human oral microbiome consists of both symbionts and pathobionts. Deviation from
symbiosis among the bacterial community leads to “dysbiosis”, a state
of community disturbance. Dysbiosis occurs due to many confounding factors that
predispose a shift in the composition and relative abundance of microbial
communities. Dysbiotic communities have been a major cause for many microbiome
related systemic infections. Such dysbiosis is directed by certain important
pathogens called the “keystone pathogens”, which can modulate
community microbiome variations. One such persistent infection is oral infection,
mainly periodontitis, where a wide array of causal organisms have been implied to
systemic infections such as cardio vascular disease, diabetes mellitus,
rheumatoid arthritis, and Alzheimer’s disease. The keystone pathogens co
occur with many yet-cultivable bacteria and their interactions lead to dysbiosis.
This has been the focus of recent research. While immune evasion is one of the
major modes that leads to dysbiosis, new processes and new virulence factors of
bacteria have been shown to be involved in this important process that determines
a disease or health state. This review focuses on such dysbiotic communities,
their interactions, and their virulence factors that predispose the host to other
systemic implications.
PMID- 29659480
TI - Source Apportionment of Polycyclic Aromatic Hydrocarbons in Sediment by the
Application of Non-Negative Factor Analysis: A Case Study of Dalian Bay.
AB - An improved method, factor analysis with non-negative constraints (FA-NNC) was
adopted to apportion the sources of sediment polycyclic aromatic hydrocarbons
(PAHs) in Dalian Bay, China. Cosine similarity and Monte Carlo uncertainty
analysis were used to assist the FA-NNC source resolution. The results identified
three sources for PAHs, which were overall traffic, diesel engine emissions and
residential coal combustion. The contributions of these sources were quantified
as 78 ± 4.6% from overall traffic, 12 ± 3.2% from diesel engine
emissions, and 10 ± 1.9% from residential coal combustion. The results
from the Monte Carlo uncertainty analysis indicated that the model was robust and
convergent.
PMID- 29659481
TI - Retooling CalEnviroScreen: Cumulative Pollution Burden and Race-Based
Environmental Health Vulnerabilities in California.
AB - The California Community Environmental Health Screening Tool (CalEnviroScreen)
advances research and policy pertaining to environmental health vulnerability.
However, CalEnviroScreen departs from its historical foundations and comparable
screening tools by no longer considering racial status as an indicator of
environmental health vulnerability and predictor of cumulative pollution burden.
This study used conceptual frameworks and analytical techniques from
environmental health and inequality literature to address the limitations of
CalEnviroScreen, especially its inattention to race-based environmental health
vulnerabilities. It developed an adjusted measure of cumulative pollution burden
from the CalEnviroScreen 2.0 data that facilitates multivariate analyses of the
effect of neighborhood racial composition on cumulative pollution burden, net of
other indicators of population vulnerability, traffic density, industrial zoning,
and local and regional clustering of pollution burden. Principal component
analyses produced three new measures of population vulnerability, including
Latina/o cumulative disadvantage that represents the spatial concentration of
Latinas/os, economic disadvantage, limited English-speaking ability, and health
vulnerability. Spatial error regression analyses demonstrated that concentrations
of Latinas/os, followed by Latina/o cumulative disadvantage, are the strongest
demographic determinants of adjusted cumulative pollution burden. Findings have
implications for research and policy pertaining to cumulative impacts and race
based environmental health vulnerabilities within and beyond California.
PMID- 29659482
TI - Educational Attainment and Smoking Status in a National Sample of American
Adults; Evidence for the Blacks' Diminished Return.
AB - BACKGROUND: Although higher socioeconomic status (SES) indicators such as
educational attainment are linked with health behaviors, the Blacks’
Diminished Return theory posits that the protective effects of SES are
systemically smaller for Blacks than Whites. AIMS: To explore the Black/White
differences in the association between education and smoking. METHODS: This cross
sectional study used the Health Information National Trends Survey (HINTS) 2017
(n = 3217). HINTS is a national survey of American adults. The current analysis
included 2277 adults who were either Whites (n = 1868; 82%) or Blacks (n = 409;
18%). The independent variable was educational attainment, and the dependent
variables were ever and current (past 30-day) smoking. Demographic factors (age
and gender) were covariates. Race was the focal moderator. RESULTS: In the pooled
sample, higher educational attainment was associated with lower odds of ever and
current smoking. Race interacted with the effects of higher educational
attainment on current smoking, suggesting a stronger protective effect of higher
education against current smoking for Whites than Blacks. Race did not interact
with the effect of educational attainment on odds of ever smoking. CONCLUSIONS:
In line with previous research in the United States, education is more strongly
associated with health and health behaviors in Whites than Blacks. Smaller
protective effects of education on health behaviors may be due to the existing
racism across institutions such as the education system and labor market.
PMID- 29659483
TI - Lewis Pair Polymerization for New Reactivity and Structure in Polymer Synthesis.
PMID- 29659484
TI - Fretting Wear Damage Mechanism of Uranium under Various Atmosphere and Vacuum
Conditions.
AB - A fretting wear experiment with uranium has been performed on a linear
reciprocating tribometer with ball-on-disk contact. This study focused on the
fretting behavior of the uranium under different atmospheres (Ar, Air (21% O2 +
78% N2), and O2) and vacuum conditions (1.05 and 1 × 10−4 Pa).
Evolution of friction was assessed by coefficient of friction (COF) and friction
dissipated energy. The oxide of the wear surface was evaluated by Raman
spectroscopy. The result shows that fretting wear behavior presents strong
atmosphere and vacuum condition dependence. With increasing oxygen content, the
COF decreases due to abrasive wear and formation of oxide film. The COF in the
oxygen condition is at least 0.335, and it has a maximum wear volume of about
1.48 × 107 μm3. However, the COF in a high vacuum condition is maximum
about 1.104, and the wear volume is 1.64 × 106 μm3. The COF in the low
vacuum condition is very different: it firstly increased and then decreased
rapidly to a steady value. It is caused by slight abrasive wear and the formation
of tribofilm after thousands of cycles.
PMID- 29659485
TI - Continuous-Wave Fiber Cavity Ringdown Pressure Sensing Based on Frequency-Shifted
Interferometry.
AB - We present a continuous-wave fiber cavity ringdown (FCRD) pressure-sensing method
based on frequency-shifted interferometry (FSI). Compared with traditional CRD or
FCRD techniques, this FSI-FCRD scheme deduces pressure by measuring the decay
rate of continuous light exiting the fiber ringdown cavity (RDC) in the spatial
domain (i.e., the CRD distance), without the requirement for optical pulsation
and fast electronics. By using a section of fiber with the buffer layer stripped
in the fiber RDC as the sensor head, pressures were measured within the range
from 0 to 10.4 MPa. The sensitivity of 0.02356/(km?MPa) was obtained with a
measurement error of 0.1%, and the corresponding pressure resolution was 0.05
MPa. It was found that the measurement sensitivity can be improved by enlarging
the interaction length of the sensor head. The results show the proposed sensor
has the advantages of simple structure, low cost, high sensitivity, and high
stability in pressure detection.
PMID- 29659487
TI - Cultivar and Harvest Month Influence the Nutrient Content of Opuntia spp. Cactus
Pear Cladode Mucilage Extracts.
AB - Mucilage extracted from cactus pear cladodes is a hydrocolloid gum. It is a
novel, natural, low-kilojoule, cost-effective texture-modifying ingredient in
functional food products. Yet, the cultivar with the most optimal nutrient
content and the preferred harvest times are as yet unknown. For this reason,
mucilage from three Opuntia ficus-indica (Algerian, Morado and Gymno-Carpo) and
one Opuntia robusta (Robusta) cultivar were investigated to determine their
nutrient content over six months. Nutrients that contribute energy (10.2 kJ/g)
were low. The mineral content was high (ash 17.7/100 g), particularly calcium
(3.0 g/100 g) and phosphorous (109.5 mg/kg). Low insoluble acid-detergent fibre
(1.4 g/kg) and neutral-detergent fibre (2.1 g/kg) values indicated that mucilage
was mostly soluble fibre. Calcium oxalate crystals were not detected in dried
mucilage. Opuntia robusta powders had higher protein, extractable fat and
potassium content, while Opuntia ficus-indica mucilage powders had higher
polyunsaturated (Linoleic and α-Linolenic acid) fat content. O. robusta
Robusta mucilage, harvested after the fruit harvest (February) had the lowest
energy content and the highest mineral and protein content. Mucilage powders were
highly soluble, low-kilojoule and mineral-rich. This is a functional ingredient
that is produced from an easily cultivated crop, as cactus pears grow in areas
with poor soil, extremely high daytime temperatures and limited water supplies.
PMID- 29659486
TI - Vascular Endothelial Growth Factor-A Exerts Diverse Cellular Effects via Small G
Proteins, Rho and Rap.
AB - Vascular endothelial growth factors (VEGFs) include five molecules (VEGF-A, -B,
C, -D, and placental growth factor), and have various roles that crucially
regulate cellular functions in many kinds of cells and tissues. Intracellular
signal transduction induced by VEGFs has been extensively studied and is usually
initiated by their binding to two classes of transmembrane receptors: receptor
tyrosine kinase VEGF receptors (VEGF receptor-1, -2 and -3) and neuropilins (NRP1
and NRP2). In addition to many established results reported by other research
groups, we have previously identified small G proteins, especially Ras homologue
gene (Rho) and Ras-related protein (Rap), as important mediators of VEGF-A
stimulated signaling in cancer cells as well as endothelial cells. This review
article describes the VEGF-A-induced signaling pathways underlying diverse
cellular functions, including cell proliferation, migration, and angiogenesis,
and the involvement of Rho, Rap, and their related molecules in these pathways.
PMID- 29659488
TI - Does a Consumer-Targeted Deprescribing Intervention Compromise Patient-Healthcare
Provider Trust?
AB - One in four community-dwelling older adults is prescribed an inappropriate
medication. Educational interventions aimed at patients to reduce inappropriate
medications may cause patients to question their prescriber’s judgment. The
objective of this study was to determine whether a patient-focused deprescribing
intervention compromised trust between older adults and their healthcare
providers. An educational brochure was distributed to community-dwelling older
adults by community pharmacists in order to trigger deprescribing conversations.
At baseline and 6-months post-intervention, participants completed the Primary
Care Assessment Survey, which measures patient trust in doctors and pharmacists.
Changes in trust were ascertained post-intervention. Proportions with 95%
confidence intervals (CI), and logistic regression were used to determine a shift
in trust and associated predictors. 352 participants responded to the
questionnaire at both time points. The majority of participants had no change or
gained trust in their doctors for items related to the choice of medical care
(78.5%, 95% CI = 74.2–82.8), communication transparency (75.4%, 95% CI =
70.7–79.8), and overall trust (81.9%, 95% CI = 77.9–86.0). Similar
results were obtained for participants’ perceptions of their pharmacists,
with trust remaining intact for items related to the choice of medical care
(79.4%, 95% CI = 75.3–83.9), transparency in communicating (82.0%, 95% CI =
78.0–86.1), and overall trust (81.6%, 95% CI = 77.5–85.7). Neither
age, sex nor the medication class targeted for deprescribing was associated with
a loss of trust. Overall, the results indicate that patient-focused deprescribing
interventions do not shift patients’ trust in their healthcare providers in
a negative direction.
PMID- 29659489
TI - Characterizing Depression Issues on Sina Weibo.
AB - The prevalence of depression has increased significantly over the past few years
both in developed and developing countries. However, many people with symptoms of
depression still remain untreated or undiagnosed. Social media may be a tool to
help researchers and clinicians to identify and support individuals who
experience depression. More than 394,000,000 postings were collected from China's
most popular social media website, Sina Weibo. 1000 randomly selected depression
related postings was coded and analyzed to learn the themes of these postings,
and a text classifier was built to identify the postings indicating depression.
The identified depressed users were compared with the general population on
demographic characteristics, diurnal patterns, and patterns of emoticon usage. We
found that disclosure of depression was the most popular theme; depression
displayers were more engaged with social media compared to non-depression
displayers, the depression postings showed geographical variations, depression
displayers tended to be active during periods of leisure and sleep, and
depression displayers used negative emoticons more frequently than non-depression
displayers. This study offers a broad picture of depression references on China's
social media, which may be cost effectively developed to detect and help
individuals who may suffer from depression disorders.
PMID- 29659490
TI - A Comparative Genomic Survey Provides Novel Insights into Molecular Evolution of
l-Aromatic Amino Acid Decarboxylase in Vertebrates.
AB - Melatonin is a pleiotropic molecule with various important physiological roles in
vertebrates. l-aromatic amino acid decarboxylase (AAAD) is the second enzyme for
melatonin synthesis. By far, a clear-cut gene function of AAAD in the
biosynthesis of melatonin has been unclear in vertebrates. Here, we provide novel
insights into the evolution of AAAD based on 77 vertebrate genomes. According to
our genome-wide alignments, we extracted a total of 151 aaad nucleotide
sequences. A phylogenetic tree was constructed on the basis of these sequences
and corresponding protein alignments, indicating that tetrapods and diploid bony
fish genomes contained one aaad gene and a new aaad-like gene, which formed a
novel AAAD family. However, in tetraploid teleosts, there were two copies of the
aaad gene due to whole genome duplication. A subsequent synteny analysis
investigated 81 aaad sequences and revealed their collinearity and systematic
evolution. Interestingly, we discovered that platypus (Ornithorhynchus anatinus),
Atlantic cod (Guadus morhua), Mexican tetra (Astyanax mexicanus), and a
Sinocyclocheilus cavefish (S. anshuiensis) have long evolutionary branches in the
phylogenetic topology. We also performed pseudogene identification and selection
pressure analysis; however, the results revealed a deletion of 37 amino acids in
Atlantic cod and premature stop codons in the cave-restricted S. anshuiensis and
A. mexicanus, suggesting weakening or disappearing rhythms in these cavefishes.
Selective pressure analysis of aaad between platypus and other tetrapods showed
that rates of nonsynonymous (Ka) and synonymous (Ks) substitutions were higher
when comparing the platypus to other representative tetrapods, indicating that,
in this semiaquatic mammal, the aaad gene experienced selection during the
process of evolution. In summary, our current work provides novel insights into
aaad genes in vertebrates from a genome-wide view.
PMID- 29659492
TI - Comparative Analysis of Warp Function for Digital Image Correlation-Based
Accurate Single-Shot 3D Shape Measurement.
AB - Digital image correlation (DIC)-based stereo 3D shape measurement is a kind of
single-shot method, which can achieve high precision and is robust to vibration
as well as environment noise. The efficiency of DIC has been greatly improved
with the proposal of inverse compositional Gauss-Newton (IC-GN) operators for
both first-order and second-order warp functions. Without the algorithm itself,
both the registration accuracy and efficiency of DIC-based stereo matching for
shapes with different complexities are closely related to the selection of warp
function, subset size, and convergence criteria. Understanding the similarity and
difference of the impacts of prescribed subset size and convergence criteria on
first-order and second-order warp functions, and how to choose a proper warp
function and set optimal subset size as well as convergence criteria for
different shapes are fundamental problems in realizing efficient and accurate 3D
shape measurement. In this work, we present a comparative analysis of first-order
and second-order warp functions for DIC-based 3D shape measurement using IC-GN
algorithm. The effects of subset size and convergence criteria of first-order and
second-order warp functions on the accuracy and efficiency of DIC are
comparatively examined with both simulation tests and real experiments. Reference
standards for the selection of warp function for different kinds of 3D shape
measurement and the setting of proper convergence criteria are recommended. The
effects of subset size on the measuring precision using different warp functions
are also concluded.
PMID- 29659491
TI - Antivenom Production against Bothrops jararaca and Bothrops erythromelas Snake
Venoms Using Cross-Linked Chitosan Nanoparticles as an Immunoadjuvant.
AB - In Brazil, envenomation by snakes of the genus Bothrops is clinically relevant,
particularly for the species Bothrops jararaca and B. erythromelas. The most
effective treatment for envenomation by snakes is the administration of
antivenoms associated with adjuvants. Novel adjuvants are required to reduce side
effects and maximize the efficiency of conventional serum and vaccine
formulations. The polymer chitosan has been shown to have immunoadjuvant
properties, and it has been used as a platform for delivery systems. In this
context, we evaluated the potential immunoadjuvant properties of chitosan
nanoparticles (CNPs) loaded with B. jararaca and B. erythromelas venoms in the
production of sera against these venoms. Stable CNPs were obtained by ionic
gelation, and mice were immunized subcutaneously for 6 weeks with 100 µL of
each snake venom at concentrations of 5.0 or 10.0% (w/w), encapsulated in CNPs or
associated with aluminium hydroxide (AH). The evaluation of protein interactions
with the CNPs revealed their ability to induce antibody levels equivalent to
those of AH, even with smaller doses of antigen. In addition, the CNPs were less
inflammatory due to their modified release of proteins. CNPs provide a promising
approach for peptide/protein delivery from snake venom and will be useful for new
vaccines.
PMID- 29659493
TI - Cloning, Characterization, and Functional Investigation of VaHAESA from Vitis
amurensis Inoculated with Plasmopara viticola.
AB - Plant pattern recognition receptors (PRRs) are essential for immune responses and
establishing symbiosis. Plants detect invaders via the recognition of pathogen
associated molecular patterns (PAMPs) by PRRs. This phenomenon is termed PAMP
triggered immunity (PTI). We investigated disease resistance in Vitis amurensis
to identify PRRs that are important for resistance against downy mildew, analyzed
the PRRs that were upregulated by incompatible Plasmopara viticola infection, and
cloned the full-length cDNA of the VaHAESA gene. We then analyzed the structure,
subcellular localization, and relative disease resistance of VaHAESA. VaHAESA and
PRR-receptor-like kinase 5 (RLK5) are highly similar, belonging to the leucine
rich repeat (LRR)-RLK family and localizing to the plasma membrane. The
expression of PRR genes changed after the inoculation of V. amurensis with
compatible and incompatible P. viticola; during early disease development,
transiently transformed V. vinifera plants expressing VaHAESA were more resistant
to pathogens than those transformed with the empty vector and untransformed
controls, potentially due to increased H2O2, NO, and callose levels in the
transformants. Furthermore, transgenic Arabidopsis thaliana showed upregulated
expression of genes related to the PTI pathway and improved disease resistance.
These results show that VaHAESA is a positive regulator of resistance against
downy mildew in grapevines.
PMID- 29659494
TI - Deep Brain Stimulation of the Subthalamic Nucleus in Patients with Parkinson
Disease with Prior Pallidotomy or Thalamotomy.
AB - Objective. To evaluate the efficacy of deep brain stimulation of the subthalamic
nucleus (STN DBS) in patients with Parkinson disease (PD) who previously
underwent lesioning of the basal ganglia. Material and methods. The study
included 22 patients who underwent STN DBS. Eleven patients had undergone prior
unilateral pallidotomy (n = 6) or VL/VIM thalamotomy (n = 5) while the other 11
patients had not. The primary outcome was the change from baseline in the motor
subscore of the Unified Parkinson Disease Rating Scale (UPDRS-III) 12 months
after STN DBS. Secondary outcomes included change in motor response complications
(UPDRS-IV) and change in levodopa equivalent daily dose (LEDD). Results. In the
group with prior lesioning UPDRS-III improved by 45%, from 51.5 ± 9.0%
(range, 35–65) to 26.5 ± 8.4 (range, 21–50) (p < 0.01) and
UPDRS-IV by 75%, from 8.0 ± 2.01 (range, 5–11) to 2.1 ± 0.74
(range, 1–3) (p < 0.01). In the group without prior lesioning UPDRS-III
improved by 61%, from 74.2% ± 7.32 (range, 63–82) to 29.3 ±
5.99 (range, 20–42) (p < 0.01) and UPDRS-IV by 77%, from 9.1 ± 2.46
(range, 5–12) to 2.0 ± 1.1 (range, 1–4) (p < 0.01). Comparing
the two groups (with and without lesioning) no significant differences were found
either in UPDRS-III (p > 0.05) or UPDRS-IV scores (p > 0.05) at 12 months post
DBS. The LEDD was reduced by 51.4%, from 1008.2 ± 346.4 to 490.0 ±
194.3 in those with prior surgery (p < 0.01) and by 55.0%, from 963.4 ±
96.2 to 433.3 ± 160.2 in those without (p < 0.01).UPDRS-III improved by
51.8%, from 53.7 ± 4.6 (range, 50–62) to 25.0 ± 3.8 (range,
21–31) in those with prior pallidotomy (p < 0.01), and by 37.5%, from 48.8
± 12.6 (range, 35–65) to 29.8 ± 13.6 (range, 22–50) in
those with prior thalamotomy (p < 0.01). This numerical difference in improvement
was not statistically significant (p > 0.05). Conclusion. Our comparative study
indicates that bilateral STN DBS is effective and can be used in patients with
Parkinson disease with prior unilateral stereotactic destructive operations on
subcortical structures. The results in our patient cohort are generally
consistent with previously published reports of smaller series from multiple
centers worldwide.
PMID- 29659495
TI - Transient Recombinant Protein Production in Glycoengineered Nicotiana benthamiana
Cell Suspension Culture.
AB - Transient recombinant protein production is a promising alternative to stable
transgenic systems, particularly for emergency situations in which rapid
production of novel therapeutics is needed. In plants, Agrobacterium tumefaciens
can be used as a gene delivery vector for transient expression. A potential
barrier for plant-based production of human therapeutics is that different
glycosylation patterns are found on plant and mammalian proteins. Since
glycosylation can affect the efficacy, safety and stability of a therapeutic
protein, methods to control glycan structures and distributions in plant-based
systems would be beneficial. In these studies, we performed Agrobacterium
mediated transient expression in glycoengineered plant cell suspension cultures.
To reduce the presence of plant-specific glycans on the product, we generated and
characterized cell suspension cultures from β-1,2-xylosyltransferase and
α-1,3-fucosyltransferase knockdown Nicotiana benthamiana. An anthrax decoy
fusion protein was transiently produced in these glycoengineered plant cell
suspension cultures through co-culture with genetically engineered Agrobacterium.
The mass ratio of Agrobacterium to plant cells used was shown to impact
recombinant protein expression levels. N-glycosylation analysis on the anthrax
decoy fusion protein produced in glycoengineered N. benthamiana showed a dramatic
reduction in plant-specific N-glycans. Overall, the results presented here
demonstrate the feasibility of a simple, rapid and scalable process for transient
production of recombinant proteins without plant-specific glycans in a
glycoengineered plant cell culture host.
PMID- 29659497
TI - Nutritional Combined Greenhouse Gas Life Cycle Analysis for Incorporating
Canadian Yellow Pea into Cereal-Based Food Products.
AB - Incorporating low cost pulses, such as yellow peas, that are rich in nutrients
and low in fertilizer requirements, into daily food items, can improve the
nutritional and sustainability profile of national diets. This paper
systematically characterized the effect of using Canadian grown whole yellow pea
and refined wheat flours on nutritional density and carbon footprint in cereal
based food products. Canada-specific production data and the levels of 27 macro-
and micronutrients were used to calculate the carbon footprint and nutrient
balance score (NBS), respectively, for traditional and reformulated pan bread,
breakfast cereal, and pasta. Results showed that partial replacement of refined
wheat flour with yellow pea flour increased the NBS of pan bread, breakfast
cereal, and pasta by 11%, 70%, and 18%, and decreased the life cycle carbon
footprint (kg CO2 eq/kg) by 4%, 11%, and 13%, respectively. The cultivation stage
of wheat and yellow peas, and the electricity used during the manufacturing stage
of food production, were the hotspots in the life cycle. The nutritional and
greenhouse gas (GHG) data were combined as the nutrition carbon footprint score
(NCFS) (NBS/g CO2 per serving), a novel indicator that reflects product-level
nutritional quality per unit environmental impact. Results showed that yellow pea
flour increased the NCFS by 15% for pan bread, 90% for breakfast cereal, and 35%
for pasta. The results and framework of this study are relevant for food
industry, consumers, as well as global and national policy-makers evaluating the
effect of dietary change and food reformulation on nutritional and climate change
targets.
PMID- 29659496
TI - Synthesis of Theaflavins and Their Functions.
AB - Numerous epidemiological and interventional clinical studies have consistently
reported that black tea is good for human health. The polyphenolic compound,
theaflavin, and its galloyl esters (theaflavins) are the primary red pigments in
black tea that possess several health benefits, including fat-reducing and
glucose-lowering capabilities and lifestyle-related disease prevention related to
anti-obesity, anticancer, anti-atherosclerotic, anti-inflammatory, antiviral,
antibacterial, anti-osteoporotic, and anti-dental caries properties. These
compounds are produced by key enzymes, such as polyphenol oxidase and peroxidase,
from parent green tea catechins present in fresh green tea leaves during the
production of black tea leaves or the fermentation of green tea. However,
theaflavins are only present in low concentrations in black tea; thus, their
extraction from black tea leaves at sufficient levels for use in medical studies
has been difficult. To circumvent this issue, different procedures for the
synthesis of theaflavins using chemical oxidizing reagents or enzymes have been
studied; however, low yields have limited their utility. Recently, however,
several biosynthetic methods have been developed for the mass production of
theaflavins. Using these methods, the physiological functions of theaflavins in
lifestyle-related diseases in mice and humans have also been studied. In this
review, we present the synthesis of theaflavins and their health benefits.
PMID- 29659498
TI - MicroRNAs Targeting Caspase-3 and -7 in PANC-1 Cells.
AB - MicroRNAs (miRNAs), a critical part of the RNA silencing machinery, are known to
play important regulatory roles in cancer. However, the consequence of miRNA
deregulation in cancer is unknown for many miRNAs. Here, we define that miRNAs,
miR-17-5p, miR-132-3p/-212-3p, and miR-337-3p are significantly up-regulated in
the pancreatic ductal adenocarcinomas (PDAC) compared to the normal and benign
tissues. Furthermore, by using PANC-1 cells, we demonstrate that overexpressed
miR-337-3p and miR-17-5p/miR-132-3p/-212-3p can regulate executioner caspases-3
and -7, respectively. In addition, over-expression of miRNAs, especially miR-337
3p, attenuates tumor necrosis factor-related apoptosis-inducing ligand (TRAIL)
cytotoxicity in PANC-1 cells. Our findings unveil an important biological
function for miRNAs up-regulated in PDAC in coordinately regulating caspases,
potentially contributing to the malignant progression of PDAC.
PMID- 29659499
TI - Study on the Coupling Mechanism of the Orthogonal Dipoles with Surface Plasmon in
Green LED by Cathodoluminescence.
AB - We analyzed the coupling behavior between the localized surface plasmon (LSP) and
quantum wells (QWs) using cathodoluminescence (CL) in a green light-emitting
diodes (LED) with Ag nanoparticles (NPs) filled in photonic crystal (PhC) holes.
Photoluminescence (PL) suppression and CL enhancement were obtained for the same
green LED sample with the Ag NP array. Time-resolved PL (TRPL) results indicate
strong coupling between the LSP and the QWs. Three-dimensional (3D) finite
difference time domain (FDTD) simulation was performed using a three-body model
consisting of two orthogonal dipoles and a single Ag NP. The LSP–QWs
coupling effect was separated from the electron-beam (e-beam)–LSP–QW
system by linear approximation. The energy dissipation was significantly reduced
by the z-dipole introduction under the e-beam excitation. In this paper, the
coupling mechanism is discussed and a novel emission structure is proposed.
PMID- 29659500
TI - A Facile Approach to Prepare Black TiO2 with Oxygen Vacancy for Enhancing
Photocatalytic Activity.
AB - Black TiO2 has triggered worldwide research interest due to its excellent
photocatalytic properties. However, the understanding of its
structure–property relationships and a more effective, facile and versatile
method to produce it remain great challenges. We have developed a facile approach
to synthesize black TiO2 nanoparticles with significantly improved light
absorption in the visible and infrared regions. The experimental results show
that oxygen vacancies are the major factors responsible for black coloration.
More importantly, our black TiO2 nanoparticles have no Ti3+ ions. These oxygen
vacancies could introduce localized states in the bandgap and act as trap
centers, significantly decreasing the electron–hole recombination. The
photocatalytic decomposition of both rhodamine B and methylene blue demonstrated
that, under ultraviolet light irradiation, better photocatalytic performance is
achieved with our black TiO2 nanoparticles than with commercial TiO2
nanoparticles.
PMID- 29659501
TI - The Stability of Medicinal Plant microRNAs in the Herb Preparation Process.
AB - Herbal medicine is now globally accepted as a valid alternative system of
pharmaceutical therapies. Various studies around the world have been initiated to
develop scientific evidence-based herbal therapies. Recently, the therapeutic
potential of medicinal plant derived miRNAs has attracted great attraction.
MicroRNAs have been indicated as new bioactive ingredients in medicinal plants.
However, the stability of miRNAs during the herbal preparation process and their
bioavailability in humans remain unclear. Viscum album L. (European mistletoe)
has been widely used in folk medicine for the treatment of cancer and
cardiovascular diseases. Our previous study has indicated the therapeutic
potential of mistletoe miRNAs by using bioinformatics tools. To evaluate the
stability of these miRNAs, various mistletoe extracts that mimic the clinical
medicinal use as well as traditional folk medicinal use were prepared. The
mistletoe miRNAs including miR166a-3p, miR159a, miR831-5p, val-miR218 and val
miR11 were quantified by stem-loop qRT-PCR. As a result, miRNAs were detectable
in the majority of the extracts, indicating that consumption of medicinal plant
preparations might introduce miRNAs into mammals. The factors that might cause
miRNA degradation include ultrasonic treatment, extreme heat, especially RNase
treatment, while to be associated with plant molecules (e.g., proteins, exosomes)
might be an efficient way to protect miRNAs against degradation. Our study
confirmed the stability of plant derived miRNAs during herb preparations,
suggesting the possibility of functionally intact medicinal plant miRNAs in
mammals.
PMID- 29659502
TI - First Detection of the CTXM-15 Producing Escherichia coli O25-ST131 Pandemic
Clone in Ecuador.
AB - Our aim was identify of the pandemic B2-ST131 Escherichia coli clone by to the
Institute Pasteur and Achtman scheme, and investigate the resistance profile
phenotypic-genotypic, with identification of class 1 integron. Of thirty-five
ESBL-producing isolates recovered of patients with diagnosis of urinary tract
infections (UTI), six E. coli strains serotype O25 were identified with
resistance antimicrobial to several groups of antibiotics such as broad-spectrum
cephalosporins, fluoroquinolones and aminoglycosides, harboring blaSHV, blaCTX-M
genes in all isolates and blaTEM in two isolates. Sequencing of blaCTX-M revealed
CTX-M-15 in all strains. The PMQR aac(6´)-Ib-cr and qnrB19 genes were
presented in five and four isolates respectively, AMEs genes aac(6´)-Ib and
aac(3)-IIa were presented in strain amikacin-gentamicin-resistant. Sequencing of
the variable regions of the class 1 integron revealed dfrA and aadA genes
cassette. The analysis of multilocus sequence typing (MLST) confirms the presence
of the pandemic B2-ST131 E. coli clone by Achtman scheme in all ST43 isolates
obtained by of the Institute Pasteur scheme. The results presented herein, reveal
the presence of B2-ST131 E. coli clone in Ecuador, disseminated in hospitals and
community settings.
PMID- 29659504
TI - Microstructure and Mechanical Properties of Graphene-Reinforced Titanium
Matrix/Nano-Hydroxyapatite Nanocomposites.
AB - Biomaterial composites made of titanium and hydroxyapatite (HA) powder are among
the most important biomedicalmaterials due to their good mechanical properties
and biocompatibility. In this work, graphene-reinforced titanium matrix/nano
hydroxyapatite nanocomposites were prepared by vacuum hot-pressing sintering. The
microstructure and mechanical properties of graphene-reinforced titanium
matrix/nano-hydroxyapatite nanocomposites with different graphene content were
systematically investigated. Microstructures of the nanocomposites were examined
by X-ray diffraction (XRD), back scattered electron imaging (BSE), scanning
electron microscope (SEM) equipped with energy dispersive spectrometer (EDS),
electron probe microanalyzer (EPMA), and transmission electron microscope (TEM).
The mechanical properties were determined from microhardness, shear strength, and
compressive strength. Results showed that during the high-temperature sintering
process, complex chemical reactions occurred, resulting in new phases of
nucleation such as Ca3(PO4)2, TixPy, and Ti3O.The new phases, which easily
dropped off under the action of external force, could hinder the densification of
sintering and increase the brittleness of the nanocomposites. Results
demonstrated that graphene had an impact on the microstructure and mechanical
properties of the nanocomposites. Based on the mechanical properties and
microstructure of the nanocomposites, the strengthening and fracture mechanisms
of the graphene-reinforced titanium matrix/nano-hydroxyapatite nanocomposites
with different graphene content were analyzed.
PMID- 29659503
TI - Tribute to Marcelle Grenson (1925-1996), A Pioneer in the Study of Amino Acid
Transport in Yeast.
AB - The year 2016 marked the 20th anniversary of the death of Marcelle Grenson and
the 50th anniversary of her first publication on yeast amino acid transport, the
topic to which, as Professor at the Free University of Brussels (ULB), she
devoted the major part of her scientific career. M. Grenson was the first
scientist in Belgium to introduce and apply genetic analysis in yeast to dissect
the molecular mechanisms that were underlying complex problems in biology. Today,
M. Grenson is recognized for the pioneering character of her work on the
diversity and regulation of amino acid transporters in yeast. The aim of this
tribute is to review the major milestones of her forty years of scientific
research that were conducted between 1950 and 1990.
PMID- 29659505
TI - NF-kappaB Signaling Regulates Epstein-Barr Virus BamHI-Q-Driven EBNA1 Expression.
AB - Epstein-Barr virus (EBV) nuclear antigen 1 (EBNA1) is one of the few viral
proteins expressed by EBV in nasopharyngeal carcinoma (NPC), most likely because
of its essential role in maintaining the viral genome in EBV-infected cells. In
NPC, EBNA1 expression is driven by the BamHI-Q promoter (Qp), which is regulated
by both cellular and viral factors. We previously determined that the expression
of another group of EBV transcripts, BamHI-A rightward transcripts (BARTs), is
associated with constitutively activated nuclear factor-kappaB (NF-kappaB)
signaling in NPC cells. Here, we show that, like the EBV BART promoter, the EBV
Qp also responds to NF-kappaB signaling. NF-kappaB p65, but not p50, can activate
Qp in vitro, and NF-kappaB signaling regulates Qp-EBNA1 expression in NPC cells,
as well as in other EBV-infected epithelial cells. The introduction of mutations
in the putative NF-kappaB site reduced Qp activation by the NF-kappaB p65
subunit. Binding of p65 to Qp was shown by chromatin immunoprecipitation (ChIP)
analysis, while electrophoretic mobility shift assays (EMSAs) demonstrated that
p50 can also bind to Qp. Inhibition of NF-kappaB signaling by the IkappaB kinase
inhibitor PS-1145 resulted in the downregulation of Qp-EBNA1 expression in C666-1
NPC cells. Since EBNA1 has been reported to block p65 activation by inhibiting
IKKalpha/beta through an unknown mechanism, we suggest that, in NPC, NF-kappaB
signaling and EBNA1 may form a regulatory loop which supports EBV latent gene
expression, while also limiting NF-kappaB activity. These findings emphasize the
role of NF-kappaB signaling in the regulation of EBV latency in EBV-associated
tumors.
PMID- 29659506
TI - In Vitro Inhibitory Effects of Synthetic Cannabinoid EAM-2201 on Cytochrome P450
and UDP-Glucuronosyltransferase Enzyme Activities in Human Liver Microsomes.
AB - EAM-2201, a synthetic cannabinoid, is a potent agonist of the cannabinoid
receptors that is widely abused as an illicit recreational drug in combination
with other drugs. To evaluate the potential of EAM-2201 as a perpetrator of
drug–drug interactions, the inhibitory effects of EAM-2201 on major drug
metabolizing enzymes, cytochrome P450s (CYPs) and uridine 5′-diphospho
glucuronosyltransferases (UGTs) were evaluated in pooled human liver microsomes
using liquid chromatography–tandem mass spectrometry (LC-MS/MS). EAM-2201
at doses up to 50 µM negligibly inhibited the activities of eight major
human CYPs (1A2, 2A6, 2B6, 2C8, 2C9, 2C19, 2D6 and 3A4) and five UGTs (1A1, 1A4,
1A6, 1A9 and 2B7) in human liver microsomes. EAM-2201 exhibited time-dependent
inhibition of CYP2C8-catalyzed amodiaquine N-deethylation, CYP2C9-catalyzed
diclofenac 4′-hydroxylation, CYP2C19-catalyzed [S]-mephenytoin 4′
hydroxylation and CYP3A4-catalyzed midazolam 1′-hydroxylation with Ki
values of 0.54 µM (kinact: 0.0633 min−1), 3.0 µM (kinact:
0.0462 min−1), 3.8 µM (kinact: 0.0264 min−1) and 4.1 µM
(kinact: 0.0250 min−1), respectively and competitively inhibited UGT1A3
catalyzed chenodeoxycholic acid 24-acyl-glucuronidation, with a Ki value of 2.4
µM. Based on these in vitro results, we conclude that EAM-2201 has the
potential to trigger in vivo pharmacokinetic drug interactions when co
administered with substrates of CYP2C8, CYP2C9, CYP2C19, CYP3A4 and UGT1A3.
PMID- 29659507
TI - Customized Peptide Biomaterial Synthesis via an Environment-Reliant Auto
Programmer Stigmergic Approach.
AB - Stigmergy, a form of self-organization, was employed here to engineer a self
organizing peptide capable of forming a nano- or micro-structure and that can
potentially be used in various drug delivery and biomedical applications. These
self-assembling peptides exhibit several desirable qualities for drug delivery,
tissue engineering, cosmetics, antibiotics, food science, and biomedical surface
engineering. In this study, peptide biomaterial synthesis was carried out using
an environment-reliant auto-programmer stigmergic approach. A model protein,
α-gliadin (31, 36, and 38 kD), was forced to attain a primary structure
with free –SH groups and broken down enzymatically into smaller fragments
using chymotrypsin. This breakdown was carried out at different environment
conditions (37 and 50 °C), and the fragments were allowed to self-organize at
these temperatures. The new peptides so formed diverged according to the
environmental conditions. Interestingly, two peptides (with molecular weights of
13.8 and 11.8 kD) were isolated when the reaction temperature was maintained at
50 °C, while four peptides with molecular weights of 54, 51, 13.8, and 12.8
kD were obtained when the reaction was conducted at 37 °C. Thus, at a higher
temperature (50 °C), the peptides formed, compared to the original protein,
had lower molecular weights, whereas, at a lower temperature (37 °C), two
peptides had higher molecular weights and two had lower molecular weights.
PMID- 29659508
TI - Escalator: An Autonomous Scheduling Scheme for Convergecast in TSCH.
AB - Time Slotted Channel Hopping (TSCH) is widely used in the industrial wireless
sensor networks due to its high reliability and energy efficiency. Various
timeslot and channel scheduling schemes have been proposed for achieving high
reliability and energy efficiency for TSCH networks. Recently proposed autonomous
scheduling schemes provide flexible timeslot scheduling based on the routing
topology, but do not take into account the network traffic and packet forwarding
delays. In this paper, we propose an autonomous scheduling scheme for
convergecast in TSCH networks with RPL as a routing protocol, named Escalator.
Escalator generates a consecutive timeslot schedule along the packet forwarding
path to minimize the packet transmission delay. The schedule is generated
autonomously by utilizing only the local routing topology information without any
additional signaling with other nodes. The generated schedule is guaranteed to be
conflict-free, in that all nodes in the network could transmit packets to the
sink in every slotframe cycle. We implement Escalator and evaluate its
performance with existing autonomous scheduling schemes through a testbed and
simulation. Experimental results show that the proposed Escalator has lower end
to-end delay and higher packet delivery ratio compared to the existing schemes
regardless of the network topology.
PMID- 29659509
TI - Antartin, a Cytotoxic Zizaane-Type Sesquiterpenoid from a Streptomyces sp.
Isolated from an Antarctic Marine Sediment.
AB - Antartin (1), a new zizaane-type sesquiterpene, was isolated from Streptomyces
sp. SCO736. The chemical structure of 1 was assigned from the interpretation of
1D and 2D NMR in addition to mass spectrometric data. The relative
stereochemistry of 1 was determined by analysis of NOE data, while the absolute
stereochemistry was decided based on a comparison of experimental and calculated
electronic circular dichroism (ECD) spectra. Antartin (1) showed cytotoxicity
against A549, H1299, and U87 cancer cell lines by causing cell cycle arrest at
the G1 phase.
PMID- 29659510
TI - Multi-Fault Diagnosis of Rolling Bearings via Adaptive Projection Intrinsically
Transformed Multivariate Empirical Mode Decomposition and High Order Singular
Value Decomposition.
AB - Rolling bearings are important components in rotary machinery systems. In the
field of multi-fault diagnosis of rolling bearings, the vibration signal
collected from single channels tends to miss some fault characteristic
information. Using multiple sensors to collect signals at different locations on
the machine to obtain multivariate signal can remedy this problem. The adverse
effect of a power imbalance between the various channels is inevitable, and
unfavorable for multivariate signal processing. As a useful, multivariate signal
processing method, Adaptive-projection has intrinsically transformed multivariate
empirical mode decomposition (APIT-MEMD), and exhibits better performance than
MEMD by adopting adaptive projection strategy in order to alleviate power
imbalances. The filter bank properties of APIT-MEMD are also adopted to enable
more accurate and stable intrinsic mode functions (IMFs), and to ease mode mixing
problems in multi-fault frequency extractions. By aligning IMF sets into a third
order tensor, high order singular value decomposition (HOSVD) can be employed to
estimate the fault number. The fault correlation factor (FCF) analysis is used to
conduct correlation analysis, in order to determine effective IMFs; the
characteristic frequencies of multi-faults can then be extracted. Numerical
simulations and the application of multi-fault situation can demonstrate that the
proposed method is promising in multi-fault diagnoses of multivariate rolling
bearing signal.
PMID- 29659511
TI - Quorum Sensing and Quorum Quenching in Agrobacterium: A "Go/No Go System"?
AB - The pathogen Agrobacterium induces gall formation on a wide range of
dicotyledonous plants. In this bacteria, most pathogenicity determinants are
borne on the tumour inducing (Ti) plasmid. The conjugative transfer of this
plasmid between agrobacteria is regulated by quorum sensing (QS). However,
processes involved in the disturbance of QS also occur in this bacteria under the
molecular form of a protein, TraM, inhibiting the sensing of the QS signals, and
two lactonases BlcC (AttM) and AiiB that degrade the acylhomoserine lactone (AHL)
QS signal. In the model Agrobacteriumfabrum strain C58, several data, once
integrated, strongly suggest that the QS regulation may not be reacting only to
cell concentration. Rather, these QS elements in association with the quorum
quenching (QQ) activities may constitute an integrated and complex “go/no
go system” that finely controls the biologically costly transfer of the Ti
plasmid in response to multiple environmental cues. This decision mechanism
permits the bacteria to sense whether it is in a gall or not, in a living or
decaying tumor, in stressed plant tissues, etc. In this scheme, the role of the
lactonases selected and maintained in the course of Ti plasmid and agrobacterial
evolution appears to be pivotal.
PMID- 29659512
TI - A Universal Vacant Parking Slot Recognition System Using Sensors Mounted on Off
the-Shelf Vehicles.
AB - An automatic parking system is an essential part of autonomous driving, and it
starts by recognizing vacant parking spaces. This paper proposes a method that
can recognize various types of parking slot markings in a variety of lighting
conditions including daytime, nighttime, and underground. The proposed method can
readily be commercialized since it uses only those sensors already mounted on off
the-shelf vehicles: an around-view monitor (AVM) system, ultrasonic sensors, and
in-vehicle motion sensors. This method first detects separating lines by
extracting parallel line pairs from AVM images. Parking slot candidates are
generated by pairing separating lines based on the geometric constraints of the
parking slot. These candidates are confirmed by recognizing their entrance
positions using line and corner features and classifying their occupancies using
ultrasonic sensors. For more reliable recognition, this method uses the
separating lines and parking slots not only found in the current image but also
found in previous images by tracking their positions using the in-vehicle motion
sensor-based vehicle odometry. The proposed method was quantitatively evaluated
using a dataset obtained during the day, night, and underground, and it
outperformed previous methods by showing a 95.24% recall and a 97.64% precision.
PMID- 29659514
TI - Coronial Practice, Indigeneity and Suicide.
AB - All available data suggest that, like many other Indigenous peoples, Australian
Aborigines are significantly more likely to kill themselves than are non
Aboriginal Australians. This statistical disparity is normally positioned an
objective, ontological and undeniable social fact, a fact best explained as a
function of endemic community disadvantage and disenfranchisement. This research
explores the possibility that higher-than-normal Aboriginal suicide rates may
also be a function of coronial decision-making practices. Based upon in-depth
interviews with 32 coroners from across Australia, the following conclusions
emerged from the data. First, coroners have differing perceptions of Indigenous
capacity, and are less likely to have concerns about intent when the suicide is
committed by an Indigenous person. Second, coroners have identified divergent
scripts of Indigenous suicide, particularly its spontaneity and public location,
and this supports rather than challenges, a finding of suicide. Third, the
coronial perception of Indigenous life is a factor which influences a suicide
determination for Indigenous deaths. Finally, the low level of Indigenous
engagement with the coronial system, and the unlikelihood of a challenge to the
finding of suicide by Indigenous families, means that a coronial determination of
suicide is more likely.
PMID- 29659513
TI - Chromatin Architectural Changes during Cellular Senescence and Aging.
AB - Chromatin 3D structure is highly dynamic and associated with many biological
processes, such as cell cycle progression, cellular differentiation, cell fate
reprogramming, cancer development, cellular senescence, and aging. Recently, by
using chromosome conformation capture technologies, tremendous findings have been
reported about the dynamics of genome architecture, their associated proteins,
and the underlying mechanisms involved in regulating chromatin spatial
organization and gene expression. Cellular senescence and aging, which involve
multiple cellular and molecular functional declines, also undergo significant
chromatin structural changes, including alternations of heterochromatin and
disruption of higher-order chromatin structure. In this review, we summarize
recent findings related to genome architecture, factors regulating chromatin
spatial organization, and how they change during cellular senescence and aging.
PMID- 29659515
TI - Can Zymomonas mobilis Substitute Saccharomyces cerevisiae in Cereal Dough
Leavening?
AB - Baker’s yeast intolerance is rising among Western populations, where
Saccharomyces cerevisiae is spread in fermented food and food components.
Zymomonas mobilis is a bacterium commonly used in tropical areas to produce
alcoholic beverages, and it has only rarely been considered for dough leavening
probably because it only ferments glucose, fructose and sucrose, which are
scarcely present in flour. However, through alcoholic fermentation, similarly to
S. cerevisiae, it provides an equimolar mixture of ethanol and CO2 that can rise
a dough. Here, we propose Z. mobilis as a new leavening agent, as an alternative
to S. cerevisiae, overcoming its technological limit with different strategies:
(1) adding glucose to the dough formulation; and (2) exploiting the maltose
hydrolytic activity of Lactobacillus sanfranciscensis associated with Z. mobilis.
CO2 production, dough volume increase, pH value, microbial counts, sugars
consumption and ethanol production were monitored. Results suggest that glucose
addition to the dough lets Z. mobilis efficiently leaven a dough, while glucose
released by L. sanfranciscensis is not so well fermented by Z. mobilis, probably
due to the strong acidification. Nevertheless, the use of Z. mobilis as a
leavening agent could contribute to increasing the variety of baked goods
alternative to those leavened by S. cerevisiae.
PMID- 29659516
TI - "Skin-Core-Skin" Structure of Polymer Crystallization Investigated by Multiscale
Simulation.
AB - “Skin-core-skin” structure is a typical crystal morphology in
injection products. Previous numerical works have rarely focused on crystal
evolution; rather, they have mostly been based on the prediction of temperature
distribution or crystallization kinetics. The aim of this work was to achieve the
“skin-core-skin” structure and investigate the role of external flow
and temperature fields on crystal morphology. Therefore, the multiscale algorithm
was extended to the simulation of polymer crystallization in a pipe flow. The
multiscale algorithm contains two parts: a collocated finite volume method at the
macroscopic level and a morphological Monte Carlo method at the microscopic
level. The SIMPLE (semi-implicit method for pressure linked equations) algorithm
was used to calculate the polymeric model at the macroscopic level, while the
Monte Carlo method with stochastic birth-growth process of spherulites and shish
kebabs was used at the microscopic level. Results show that our algorithm is
valid to predict “skin-core-skin” structure, and the initial melt
temperature and the maximum velocity of melt at the inlet mainly affects the
morphology of shish-kebabs.
PMID- 29659517
TI - Energy Consumption Research of Mobile Data Collection Protocol for Underwater
Nodes Using an USV.
AB - The Unmanned Surface Vehicle (USV) integrated with an acoustic modem is a novel
mobile vehicle for data collection, which has an advantage in terms of mobility,
efficiency, and collection cost. In the scenario of data collection, the USV is
controlled autonomously along the planning trajectory and the data of underwater
nodes are dynamically collected. In order to improve the efficiency of data
collection and extend the life of the underwater nodes, a mobile data collection
protocol for underwater nodes using the USV was proposed. In the protocol, the
stop-and-wait ARQ transmission mechanism is adopted, where the duty cycle is
designed considering the ratio between the sleep mode and the detection mode, and
the transmission ratio is defined by the duty cycle, wake-up signal cycles, and
USV’s speed. According to protocol, the evaluation index for energy
consumption is constructed based on the duty cycle and the transmission ratio.
The energy consumption of the protocol is simulated and analyzed using the mobile
communication experiment data of USV, taking into consideration USV’s
speed, data sequence length, and duty cycle. Optimized protocol parameters are
identified, which in turn denotes the proposed protocol’s feasibility and
effectiveness.
PMID- 29659518
TI - Examining the Genetic Background of Porcine Muscle Growth and Development Based
on Transcriptome and miRNAome Data.
AB - Recently, selection in pigs has been focused on improving the lean meat content
in carcasses; this focus has been most evident in breeds constituting a paternal
component in breeding. Such sire-breeds are used to improve the meat quantity of
cross-breed pig lines. However, even in one breed, a significant variation in the
meatiness level can be observed. In the present study, the comprehensive analysis
of genes and microRNA expression profiles in porcine muscle tissue was applied to
identify the genetic background of meat content. The comparison was performed
between whole gene expression and miRNA profiles of muscle tissue collected from
two sire-line pig breeds (Pietrain, Hampshire). The RNA-seq approach allowed the
identification of 627 and 416 differentially expressed genes (DEGs) between pig
groups differing in terms of loin weight between Pietrain and Hampshire breeds,
respectively. The comparison of miRNA profiles showed differential expression of
57 microRNAs for Hampshire and 34 miRNAs for Pietrain pigs. Next, 43 genes and 18
miRNAs were selected as differentially expressed in both breeds and potentially
related to muscle development. According to Gene Ontology analysis, identified
DEGs and microRNAs were involved in the regulation of the cell cycle, fatty acid
biosynthesis and regulation of the actin cytoskeleton. The most deregulated
pathways dependent on muscle mass were the Hippo signalling pathway connected
with the TGF-beta signalling pathway and controlling organ size via the
regulation of ubiquitin-mediated proteolysis, cell proliferation and apoptosis.
The identified target genes were also involved in pathways such as the FoxO
signalling pathway, signalling pathways regulating pluripotency of stem cells and
the PI3K-Akt signalling pathway. The obtained results indicate molecular
mechanisms controlling porcine muscle growth and development. Identified genes
(SOX2, SIRT1, KLF4, PAX6 and genes belonging to the transforming growth factor
beta superfamily) could be considered candidate genes for determining muscle mass
in pigs.
PMID- 29659519
TI - Temperature Variability and Gastrointestinal Infections: A Review of Impacts and
Future Perspectives.
AB - The objectives of this research are to review and assess the current state of
knowledge of the association between environmental temperature and
gastrointestinal (GI) infections. A review of the published literature was
undertaken using standard approaches. Initially, four electronic databases
including Embase, Medline, Scopus, and Web of Science were chosen to retrieve
studies published from 1 January 2006 to 31 December 2017 based on selected
keywords used in the primary search. After the elimination of duplicates, the
titles were reviewed for relevance to the principal research question. Secondly,
the abstracts of titles deemed to be relevant were reviewed for significance and
finally the articles were reviewed in their entirety to identify their
contribution to the principal research question. Initially, 8201 articles were
identified, and eight studies finally met the inclusion criteria. A secondary
phase involving scrutiny of the references of key identified articles found three
further studies. Consequently, 11 papers were selected for the final review.
Current literature confirms a significant association between temperature and
infectious gastroenteritis worldwide. Also, a most-likely non-linear correlation
between rainfall and GI infections has been identified in that the rate of such
infections can be increased with either high or low precipitation. Finally, some
studies suggest high relative humidity may not increase the rate of GI infections
and some have found it may decrease it. These findings help inform predictions of
risk, particularly under future climate change scenarios.
PMID- 29659520
TI - Comparative microRNA-seq Analysis Depicts Candidate miRNAs Involved in Skin Color
Differentiation in Red Tilapia.
AB - Differentiation and variation in body color has been a growing limitation to the
commercial value of red tilapia. Limited microRNA (miRNA) information is
available on skin color differentiation and variation in fish so far. In this
study, a high-throughput Illumina sequencing of sRNAs was conducted on three
color varieties of red tilapia and 81,394,491 raw reads were generated. A total
of 158 differentially expressed miRNAs (|log2(fold change)| ≥ 1 and q-value
≤ 0.001) were identified. Target prediction and functional analysis of color
related miRNAs showed that a variety of putative target genes—including
slc7a11, mc1r and asip—played potential roles in pigmentation. Moreover;
the miRNA-mRNA regulatory network was illustrated to elucidate the pigmentation
differentiation, in which miR-138-5p and miR-722 were predicted to play important
roles in regulating the pigmentation process. These results advance our
understanding of the molecular mechanisms of skin pigmentation differentiation in
red tilapia.
PMID- 29659521
TI - Boy Smokers' Rationalisations for Engaging in Potentially Fatal Behaviour: In
Depth Interviews in The Netherlands.
AB - Adolescent smokers engage in cognitive rationalisation processes that lower
perceptions of personal vulnerability to the health consequences of smoking.
There is, however, hardly any evidence that provides in-depth insights on
adolescents’ recurring rationalisations. Therefore, we explored how boy
smokers deal with the knowledge that they are engaging in potentially fatal
behaviour. Interviews were held with 16 boy smokers aged 16 to 17 years old. The
qualitative analysis focussed on combining boys’ reasons about why they
think they will not experience severe health consequences into coherent
rationalisations that recurred among interviewees. Three rationalisations emerged
from the analysis. First, boys trivialize the immediate consequences and think
these can be compensated for and are outweighed by the benefits of smoking.
Second, boys assume that smoking will only take place during adolescence and they
will, therefore, recover from the damage inflicted. Third, boys believe that they
have control over the amount and frequency of smoking and, thereby, can ensure
that they will not experience fatal consequences. Boys’ recurring
rationalisations build on their view that they are supposed to have fun and will
not become typical adult smokers. Interventions should address these
rationalisations in order to increase adolescents’ perceptions of personal
vulnerability, and thereby contribute to decreasing adolescent smoking.
PMID- 29659522
TI - Rice Phyllosphere Bacillus Species and Their Secreted Metabolites Suppress
Aspergillus flavus Growth and Aflatoxin Production In Vitro and In Maize Seeds.
AB - The emergence of super-toxigenic strains by recombination is a risk from an
intensive use of intraspecific aflatoxin (AF) biocontrol agents (BCAs).
Periodical alternation with interspecific-BCAs will be safer since they preclude
recombination. We are developing an AF-biocontrol system using rice-associated
Bacilli reported previously (RABs). More than 50% of RABs inhibited the growth of
multiple A. flavus strains, with RAB4R being the most inhibitory and RAB1 among
the least. The fungistatic activity of RAB4R is associated with the lysis of A.
flavus hyphal tips. In field trails with the top five fungistatic RABs, RAB4R
consistently inhibited AF contamination of maize by Tox4, a highly toxigenic A.
flavus strain from Louisiana corn fields. RAB1 did not suppress A. flavus growth,
but strongly inhibited AF production. Total and HPLC-fractionated lipopeptides
(LPs) isolated from culture filtrates of RAB1 and RAB4R also inhibited AF
accumulation. LPs were stable in vitro with little loss of activity even after
autoclaving, indicating their potential field efficacy as a tank-mix application.
A. flavus colonization and AF were suppressed in RAB1- or RAB4R-coated maize
seeds. Since RAB4R provided both fungistatic and strong anti-mycotoxigenic
activities in the laboratory and field, it can be a potent alternative to
atoxigenic A. flavus strains. On the other hand, RAB1 may serve as an
environmentally safe helper BCA with atoxigenic A. flavus strains, due its lack
of strong fungistatic and hemolytic activities.
PMID- 29659524
TI - Sensor Technologies for Intelligent Transportation Systems.
AB - Modern society faces serious problems with transportation systems, including but
not limited to traffic congestion, safety, and pollution. Information
communication technologies have gained increasing attention and importance in
modern transportation systems. Automotive manufacturers are developing in-vehicle
sensors and their applications in different areas including safety, traffic
management, and infotainment. Government institutions are implementing roadside
infrastructures such as cameras and sensors to collect data about environmental
and traffic conditions. By seamlessly integrating vehicles and sensing devices,
their sensing and communication capabilities can be leveraged to achieve smart
and intelligent transportation systems. We discuss how sensor technology can be
integrated with the transportation infrastructure to achieve a sustainable
Intelligent Transportation System (ITS) and how safety, traffic control and
infotainment applications can benefit from multiple sensors deployed in different
elements of an ITS. Finally, we discuss some of the challenges that need to be
addressed to enable a fully operational and cooperative ITS environment.
PMID- 29659523
TI - Antibacterial Efficacy of Silver Nanoparticles on Endometritis Caused by
Prevotella melaninogenica and Arcanobacterum pyogenes in Dairy Cattle.
AB - Bovine postpartum diseases remain one of the most significant and highly
prevalent illnesses with negative effects on the productivity, survival, and
welfare of dairy cows. Antibiotics are generally considered beneficial in the
treatment of endometritis; however, frequent usage of each antibiotic drug is
reason for the emergence of multidrug resistance (MDR) of the pathogenic
microorganisms, representing a major impediment for the successful diagnosis and
management of infectious diseases in both humans and animals. We synthesized
silver nanoparticles (AgNPs) with an average size of 10 nm using the novel
biomolecule apigenin as a reducing and stabilizing agent, and evaluated the
efficacy of the AgNPs on the MDR pathogenic bacteria Prevotella melaninogenica
and Arcanobacterium pyogenes isolated from uterine secretion samples. AgNPs
inhibited cell viability and biofilm formation in a dose- and time-dependent
manner. Moreover, the metabolic toxicity of the AgNPs was assessed through
various cellular assays. The major toxic effect of cell death was caused by an
increase in oxidative stress, as evidenced by the increased generation of
reactive oxygen species (ROS), malondialdehyde, protein carbonyl content, and
nitric oxide. The formation of ROS is considered to be the primary mechanism of
bacterial death. Therefore, the biomolecule-mediated synthesis of AgNPs shows
potential as an alternative antimicrobial therapy for bovine metritis and
endometritis.
PMID- 29659525
TI - Transcriptomic Analysis of Flower Bud Differentiation in Magnolia sinostellata.
AB - Magnolias are widely cultivated for their beautiful flowers, but despite their
popularity, the molecular mechanisms regulating flower bud differentiation have
not been elucidated. Here, we used paraffin sections and RNA-seq to study the
process of flower bud differentiation in Magnolia sinostellata. Flower bud
development occurred between 28 April and 30 May 2017 and was divided into five
stages: undifferentiated, early flower bud differentiation, petal primordium
differentiation, stamen primordium differentiation, and pistil primordium
differentiation. A total of 52,441 expressed genes were identified, of which
11,592 were significantly differentially expressed in the five bud development
stages. Of these, 82 genes were involved in the flowering. In addition, MADS-box
and AP2 family genes play critical roles in the formation of flower organs and 20
differentially expressed genes associated with flower bud differentiation were
identified in M. sinostellata. A qRT-PCR analysis verified that the MADS-box and
AP2 family genes were expressed at high levels during flower bud differentiation.
Consequently, this study provides a theoretical basis for the genetic regulation
of flowering in M. sinostellata, which lays a foundation for further research
into flowering genes and may facilitate the development of new cultivars.
PMID- 29659526
TI - Improved Seam-Line Searching Algorithm for UAV Image Mosaic with Optical Flow.
AB - Ghosting and seams are two major challenges in creating unmanned aerial vehicle
(UAV) image mosaic. In response to these problems, this paper proposes an
improved method for UAV image seam-line searching. First, an image matching
algorithm is used to extract and match the features of adjacent images, so that
they can be transformed into the same coordinate system. Then, the gray scale
difference, the gradient minimum, and the optical flow value of pixels in
adjacent image overlapped area in a neighborhood are calculated, which can be
applied to creating an energy function for seam-line searching. Based on that, an
improved dynamic programming algorithm is proposed to search the optimal seam
lines to complete the UAV image mosaic. This algorithm adopts a more adaptive
energy aggregation and traversal strategy, which can find a more ideal splicing
path for adjacent UAV images and avoid the ground objects better. The
experimental results show that the proposed method can effectively solve the
problems of ghosting and seams in the panoramic UAV images.
PMID- 29659527
TI - Anti-Diabetic Effects and Anti-Inflammatory Effects of Laminaria japonica and
Hizikia fusiforme in Skeletal Muscle: In Vitro and In Vivo Model.
AB - Laminaria japonica (LJ) and Hizikia fusiforme (HF) are brown seaweeds known to
have various health-promoting effects. In this study, we investigated the anti
diabetic effects and possible mechanism(s) of LJ and HF by using both in vitro
and in vivo models. C2C12 myotubes, mouse-derived skeletal muscle cells, treated
with LF or HF extracts were used for the in vitro model, and muscle tissues from
C57BL/6N mice fed a high-fat diet supplemented with 5% LF or HF for 16 weeks were
used for the in vivo model. Although both the LF and HF extracts significantly
inhibited α-glucosidase activity in a dose-dependent manner, the HF extract
had a superior α-glucosidase inhibition than the LF extract. In addition,
glucose uptake was significantly increased by LJ- and HF-treated groups when
compared to the control group. Phosphorylation of protein kinase B and AMP
activated protein kinase was induced by LJ and HF in both the vivo and in vitro
skeletal muscle models. Furthermore, LJ and HF significantly decreased tumor
necrosis factor-α whereas both extracts increased interleukin (IL)-6 and IL
10 production in lipopolysaccharide-stimulated C2C12 myotubes. Taken together,
these findings imply that the brown seaweeds LJ and HF could be useful
therapeutic agents to attenuate muscle insulin resistance due to diet-induced
obesity and its associated inflammation.
PMID- 29659528
TI - Automated Quality Control for Sensor Based Symptom Measurement Performed Outside
the Lab.
AB - The use of wearable sensing technology for objective, non-invasive and remote
clinimetric testing of symptoms has considerable potential. However, the accuracy
achievable with such technology is highly reliant on separating the useful from
irrelevant sensor data. Monitoring patient symptoms using digital sensors outside
of controlled, clinical lab settings creates a variety of practical challenges,
such as recording unexpected user behaviors. These behaviors often violate the
assumptions of clinimetric testing protocols, where these protocols are designed
to probe for specific symptoms. Such violations are frequent outside the lab and
affect the accuracy of the subsequent data analysis and scientific conclusions.
To address these problems, we report on a unified algorithmic framework for
automated sensor data quality control, which can identify those parts of the
sensor data that are sufficiently reliable for further analysis. Combining both
parametric and nonparametric signal processing and machine learning techniques,
we demonstrate that across 100 subjects and 300 clinimetric tests from three
different types of behavioral clinimetric protocols, the system shows an average
segmentation accuracy of around 90%. By extracting reliable sensor data, it is
possible to strip the data of confounding factors in the environment that may
threaten reproducibility and replicability.
PMID- 29659529
TI - High-Intensity Intermittent Exercise Increases Fat Oxidation Rate and Reduces
Postprandial Triglyceride Concentrations.
AB - (1) Background: This study investigated the effect of acute barehanded whole body
high-intensity intermittent exercise (HIIE) and moderate intensity and continuous
exercise (MICE) at the same quantity of energy expenditure on postprandial
triglyceride (TG) concentrations. (2) Methods: Nine healthy males completed three
trials (HIIE, MICE and control (CON)) in a random order separated by at least 14
days. After each intervention, the participants rested for 12 h and consumed a
high-fat test meal on the next day. The blood samples and respiratory exchange
ratio were observed in the fasted state and for 4 h after consuming the test
meal. (3) Results: The HIIE had a significantly higher area under the curve of
postprandial fat oxidation rate than MICE (p = 0.027) and CON (p = 0.035) and
exhibited significantly lower postprandial TG concentration than the MICE and CON
at 2 and 4 h after the test meal. Moreover, the HIIE displayed a higher
postprandial TG concentration area under the curve than MICE (p = 0.013) and CON
(p = 0.048). (4) Conclusions: The present study concluded that acute barehanded
whole body HIIE could significantly lower postprandial TG concentrations. It
possibly can induce a rise in the postprandial fat oxidation rate.
PMID- 29659530
TI - Genome Sequence of the Freshwater Yangtze Finless Porpoise.
AB - The Yangtze finless porpoise (Neophocaena asiaeorientalis ssp. asiaeorientalis)
is a subspecies of the narrow-ridged finless porpoise (N. asiaeorientalis). In
total, 714.28 gigabases (Gb) of raw reads were generated by whole-genome
sequencing of the Yangtze finless porpoise, using an Illumina HiSeq 2000
platform. After filtering the low-quality and duplicated reads, we assembled a
draft genome of 2.22 Gb, with contig N50 and scaffold N50 values of 46.69
kilobases (kb) and 1.71 megabases (Mb), respectively. We identified 887.63 Mb of
repetitive sequences and predicted 18,479 protein-coding genes in the assembled
genome. The phylogenetic tree showed a relationship between the Yangtze finless
porpoise and the Yangtze River dolphin, which diverged approximately 20.84
million years ago. In comparisons with the genomes of 10 other mammals, we
detected 44 species-specific gene families, 164 expanded gene families, and 313
positively selected genes in the Yangtze finless porpoise genome. The assembled
genome sequence and underlying sequence data are available at the National Center
for Biotechnology Information under BioProject accession number PRJNA433603.
PMID- 29659531
TI - Gold Nanoparticles Grafted with PLL-b-PNIPAM: Interplay on Thermal/pH Dual
Response and Optical Properties.
AB - Narrowly distributed poly(l-lysine-b-N-isopropylacrylamide) (PLL-b-PNIPAM) was
prepared through ring-opening polymerization of ε-benzyloxycarbonyl-l
lysine N-carboxy-α-amino anhydride and atom transfer radical polymerization
of NIPAM, followed with the removal of ε-benzyloxycarbonyl group. Then
gold nanoparticles (AuNPs) grafted with PLL-b-PNIPAM (PNIPAM-PLL-AuNPs) were
obtained by the reduction of chloroauric acid with sodium citrate in the presence
of PLL-b-PNIPAM. PNIPAM-PLL-AuNPs and its precursors were thoroughly
characterized by proton magnetic resonance spectroscope, Fourier transform
infrared spectroscope, UV-vis spectroscope, transmission electron microscopy,
dynamic light scattering, thermogravimetric analysis, and circular dichroism. The
obtained PNIPAM-PLL-AuNPs exhibited high colloid stability even at strong
alkaline (pH = 12) and acidic (pH = 2) conditions. The thermal and pH dual
responsive behaviors of the grafting PLL-b-PNIPAM chains was observed to be
affected by AuNPs, while not for the secondary structure of PLL chains.
Correspondingly, the surface plasmon resonance (SPR) of AuNPs was found to be
sensitive to both pH value and temperature. A blue shift in the SPR happened both
with increasing pH value and increasing temperature. The stimuli-response was
reversible in heating-cooling cycles. The gold nanoparticles with both pH and
temperature response may have potential applications in biomedical areas and
biosensors.
PMID- 29659533
TI - Novel Mutations in pncA Gene of Pyrazinamide Resistant Clinical Isolates of
Mycobacterium tuberculosis.
AB - In clinical isolates of Mycobacterium tuberculosis (MTB), resistance to
pyrazinamide occurs by mutations in any positions of the pncA gene (NC_000962.3)
especially in nucleotides 359 and 374. In this study we examined the pncA gene
sequence in clinical isolates of MTB. Genomic DNA of 33 clinical isolates of MTB
was extracted by the Chelex100 method. The polymerase chain reactions (PCR) were
performed using specific primers for amplification of 744 bp amplicon comprising
the coding sequences (CDS) of the pncA gene. PCR products were sequenced by an
automated sequencing Bioscience system. Additionally, semi Nested-allele specific
(sNASP) and polymerase chain reaction-restriction fragment length polymorphism
(PCR-RFLP) methods were carried out for verification of probable mutations in
nucleotides 359 and 374. Sequencing results showed that from 33 MTB clinical
isolates, nine pyrazinamide-resistant isolates have mutations. Furthermore, no
mutation was detected in 24 susceptible strains in the entire 561 bp of the pncA
gene. Moreover, new mutations of G→A at position 3 of the pncA gene were
identified in some of the resistant isolates. Results showed that the sNASP
method could detect mutations in nucleotide 359 and 374 of the pncA gene, but the
PCR-RFLP method by the SacII enzyme could not detect these mutations. In
conclusion, the identification of new mutations in the pncA gene confirmed the
probable occurrence of mutations in any nucleotides of the pncA gene sequence in
resistant isolates of MTB.
PMID- 29659532
TI - Genetic Heterogeneity of SLC22 Family of Transporters in Drug Disposition.
AB - An important aspect of modern medicine is its orientation to achieve more
personalized pharmacological treatments. In this context, transporters involved
in drug disposition have gained well-justified attention. Owing to its broad
spectrum of substrate specificity, including endogenous compounds and
xenobiotics, and its strategical expression in organs accounting for drug
disposition, such as intestine, liver and kidney, the SLC22 family of
transporters plays an important role in physiology, pharmacology and toxicology.
Among these carriers are plasma membrane transporters for organic cations (OCTs)
and anions (OATs) with a marked overlap in substrate specificity. These two major
clades of SLC22 proteins share a similar membrane topology but differ in their
degree of genetic variability. Members of the OCT subfamily are highly
polymorphic, whereas OATs have a lower number of genetic variants. Regarding drug
disposition, changes in the activity of these variants affect intestinal
absorption and target tissue uptake, but more frequently they modify plasma
levels due to enhanced or reduced clearance by the liver and secretion by the
kidney. The consequences of these changes in transport-associated function
markedly affect the effectiveness and toxicity of the treatment in patients
carrying the mutation. In solid tumors, changes in the expression of these
transporters and the existence of genetic variants substantially determine the
response to anticancer drugs. Moreover, chemoresistance usually evolves in
response to pharmacological and radiological treatment. Future personalized
medicine will require monitoring these changes in a dynamic way to adapt the
treatment to the weaknesses shown by each tumor at each stage in each patient.
PMID- 29659535
TI - Adaptive Aggregation Routing to Reduce Delay for Multi-Layer Wireless Sensor
Networks.
AB - The quality of service (QoS) regarding delay, lifetime and reliability is the key
to the application of wireless sensor networks (WSNs). Data aggregation is a
method to effectively reduce the data transmission volume and improve the
lifetime of a network. In the previous study, a common strategy required that
data wait in the queue. When the length of the queue is greater than or equal to
the predetermined aggregation threshold ( N t ) or the waiting time is equal to
the aggregation timer ( T t ), data are forwarded at the expense of an increase
in the delay. The primary contributions of the proposed Adaptive Aggregation
Routing (AAR) scheme are the following: (a) the senders select the forwarding
node dynamically according to the length of the data queue, which effectively
reduces the delay. In the AAR scheme, the senders send data to the nodes with a
long data queue. The advantages are that first, the nodes with a long data queue
need a small amount of data to perform aggregation; therefore, the transmitted
data can be fully utilized to make these nodes aggregate. Second, this scheme
balances the aggregating and data sending load; thus, the lifetime increases. (b)
An improved AAR scheme is proposed to improve the QoS. The aggregation deadline (
T t ) and the aggregation threshold ( N t ) are dynamically changed in the
network. In WSNs, nodes far from the sink have residual energy because these
nodes transmit less data than the other nodes. In the improved AAR scheme, the
nodes far from the sink have a small value of T t and N t to reduce delay, and
the nodes near the sink are set to a large value of T t and N t to reduce energy
consumption. Thus, the end to end delay is reduced, a longer lifetime is
achieved, and the residual energy is fully used. Simulation results demonstrate
that compared with the previous scheme, the performance of the AAR scheme is
improved. This scheme reduces the delay by 14.91%, improves the lifetime by
30.91%, and increases energy efficiency by 76.40%.
PMID- 29659534
TI - Clinical Management of Low Vitamin D: A Scoping Review of Physicians' Practices.
AB - The role of vitamin D in the prevention and treatment of non-skeletal health
issues has received significant media and research attention in recent years.
Costs associated with clinical management of low vitamin D (LVD) have increased
exponentially. However, no clear evidence supports vitamin D screening to improve
health outcomes. Authoritative bodies and professional societies do not recommend
population-wide vitamin D screening in community-dwelling adults who are
asymptomatic or at low risk of LVD. To assess patterns of physicians’
management of LVD in this conflicting environment, we conducted a scoping review
of three electronic databases and the gray literature. Thirty-eight records met
inclusion criteria and were summarized in an evidence table. Thirteen studies
published between 2006 and 2015 across seven countries showed a consistent
increase in vitamin D lab tests and related costs. Many vitamin D testing
patterns reflected screening rather than targeted testing for individuals at high
risk of vitamin D deficiency or insufficiency. Interventions aimed at managing
inappropriate clinical practices related to LVD were effective in the short term.
Variability and controversy were pervasive in many aspects of vitamin D
management, shining a light on physicians’ practices in the face of
uncertainty. Future research is needed to inform better clinical guidelines and
to assess implementation practices that encourage evidence-based management of
LVD in adult populations.
PMID- 29659536
TI - Glucose Sensor Using U-Shaped Optical Fiber Probe with Gold Nanoparticles and
Glucose Oxidase.
AB - In this study, we proposed a U-shaped optical fiber probe fabricated using a
flame heating method. The probe was packaged in glass tube to reduce human
factors during experimental testing of the probe as a glucose sensor. The U
shaped fiber probe was found to have high sensitivity in detecting the very small
molecule. When the sensor was dipped in solutions with different refractive
indexes, its wavelength or transmission loss changed. We used electrostatic self
assembly to bond gold nanoparticles and glucose oxidase (GOD) onto the
sensor’s surface. The results over five cycles of the experiment showed
that, as the glucose concentration increased, the refractive index of the sensor
decreased and its spectrum wavelength shifted. The best wavelength sensitivity
was 2.899 nm/%, and the linearity was 0.9771. The best transmission loss
sensitivity was 5.101 dB/%, and the linearity was 0.9734. Therefore, the proposed
U-shaped optical fiber probe with gold nanoparticles and GOD has good potential
for use as a blood sugar sensor in the future.
PMID- 29659537
TI - Recent Developments in C-H Activation for Materials Science in the Center for
Selective C-H Activation.
AB - Abstract: Organic electronics is a rapidly growing field driven in large part by
the synthesis of ?-conjugated molecules and polymers. Traditional aryl cross
coupling reactions such as the Stille and Suzuki have been used extensively in
the synthesis of ?-conjugated molecules and polymers, but the synthesis of
intermediates necessary for traditional cross-couplings can include multiple
steps with toxic and hazardous reagents. Direct arylation through C-H bond
activation has the potential to reduce the number of steps and hazards while
being more atom-economical. Within the Center for Selective C-H Functionalization
(CCHF), we have been developing C-H activation methodology for the synthesis of ?
conjugated materials of interest, including direct arylation of difficult-to
functionalize electron acceptor intermediates and living polymerization of ?
conjugated polymers through C-H activation.
PMID- 29659538
TI - Cell Adhesion Molecules are Mediated by Photobiomodulation at 660 nm in Diabetic
Wounded Fibroblast Cells.
AB - Diabetes affects extracellular matrix (ECM) metabolism, contributing to delayed
wound healing and lower limb amputation. Application of light
(photobiomodulation, PBM) has been shown to improve wound healing. This study
aimed to evaluate the influence of PBM on cell adhesion molecules (CAMs) in
diabetic wound healing. Isolated human skin fibroblasts were grouped into a
diabetic wounded model. A diode laser at 660 nm with a fluence of 5 J/cm2 was
used for irradiation and cells were analysed 48 h post-irradiation. Controls
consisted of sham-irradiated (0 J/cm2) cells. Real-time reverse transcription
(RT) quantitative polymerase chain reaction (qPCR) was used to determine the
expression of CAM-related genes. Ten genes were up-regulated in diabetic wounded
cells, while 25 genes were down-regulated. Genes were related to transmembrane
molecules, cell–cell adhesion, and cell–matrix adhesion, and also
included genes related to other CAM molecules. PBM at 660 nm modulated gene
expression of various CAMs contributing to the increased healing seen in clinical
practice. There is a need for new therapies to improve diabetic wound healing.
The application of PBM alongside other clinical therapies may be very beneficial
in treatment.
PMID- 29659540
TI - Detection of Ultrasonic Stress Waves in Structures Using 3D Shaped Optic Fiber
Based on a Mach-Zehnder Interferometer.
AB - This work proposes a 3D shaped optic fiber sensor for ultrasonic stress waves
detection based on the principle of a Mach–Zehnder interferometer. This
sensor can be used to receive acoustic emission signals in the passive damage
detection methods and other types of ultrasonic signals propagating in the active
damage detection methods, such as guided wave-based methods. The sensitivity of
an ultrasonic fiber sensor based on the Mach–Zehnder interferometer mainly
depends on the length of the sensing optical fiber; therefore, the proposed
sensor achieves the maximum possible sensitivity by wrapping an optical fiber on
a hollow cylinder with a base. The deformation of the optical fiber is produced
by the displacement field of guided waves in the hollow cylinder. The sensor was
first analyzed using the finite element method, which demonstrated its basic
sensing capacity, and the simulation signals have the same characteristics in the
frequency domain as the excitation signal. Subsequently, the primary
investigations were conducted via a series of experiments. The sensor was used to
detect guided wave signals excited by a piezoelectric wafer in an aluminum plate,
and subsequently it was tested on a reinforced concrete beam, which produced
acoustic emission signals via impact loading and crack extension when it was
loaded to failure. The signals obtained from a piezoelectric acoustic emission
sensor were used for comparison, and the results indicated that the proposed 3D
fiber optic sensor can detect ultrasonic signals in the specific frequency
response range.
PMID- 29659539
TI - Post-Game High Protein Intake May Improve Recovery of Football-Specific
Performance during a Congested Game Fixture: Results from the PRO-FOOTBALL Study.
AB - The effects of protein supplementation on performance recovery and inflammatory
responses during a simulated one-week in-season microcycle with two games (G1,
G2) performed three days apart were examined. Twenty football players
participated in two trials, receiving either milk protein concentrate (1.15 and
0.26 g/kg on game and training days, respectively) (PRO) or an energy-matched
placebo (1.37 and 0.31 g/kg of carbohydrate on game and training days,
respectively) (PLA) according to a randomized, repeated-measures, crossover,
double-blind design. Each trial included two games and four daily practices.
Speed, jump height, isokinetic peak torque, and muscle soreness of knee flexors
(KF) and extensors (KE) were measured before G1 and daily thereafter for six
days. Blood was drawn before G1 and daily thereafter. Football-specific locomotor
activity and heart rate were monitored using GPS technology during games and
practices. The two games resulted in reduced speed (by 3-17%), strength of knee
flexors (by 12-23%), and jumping performance (by 3-10%) throughout recovery, in
both trials. Average heart rate and total distance covered during games remained
unchanged in PRO but not in PLA. Moreover, PRO resulted in a change of smaller
magnitude in high-intensity running at the end of G2 (75-90 min vs. 0-15 min)
compared to PLA (P = 0.012). KE concentric strength demonstrated a more prolonged
decline in PLA (days 1 and 2 after G1, P = 0.014-0.018; days 1, 2 and 3 after G2,
P = 0.016-0.037) compared to PRO (days 1 after G1, P = 0.013; days 1 and 2 after
G2, P = 0.014-0.033) following both games. KF eccentric strength decreased
throughout recovery after G1 (PLA: P=0.001-0.047-PRO: P =0.004-0.22) in both
trials, whereas after G2 it declined throughout recovery in PLA (P = 0.000-0.013)
but only during the first two days (P = 0.000-0.014) in PRO. No treatment effect
was observed for delayed onset of muscle soreness, leukocyte counts, and creatine
kinase activity. PRO resulted in a faster recovery of protein and lipid
peroxidation markers after both games. Reduced glutathione demonstrated a more
short-lived reduction after G2 in PRO compared to PLA. In summary, these results
provide evidence that protein feeding may more efficiently restore football
specific performance and strength and provide antioxidant protection during a
congested game fixture.
PMID- 29659542
TI - Secure and Usable User-in-a-Context Continuous Authentication in Smartphones
Leveraging Non-Assisted Sensors.
AB - Smartphones are equipped with a set of sensors that describe the environment
(e.g., GPS, noise, etc.) and their current status and usage (e.g., battery
consumption, accelerometer readings, etc.). Several works have already addressed
how to leverage such data for user-in-a-context continuous authentication, i.e.,
determining if the porting user is the authorized one and resides in his regular
physical environment. This can be useful for an early reaction against robbery or
impersonation. However, most previous works depend on assisted sensors, i.e.,
they rely upon immutable elements (e.g., cell towers, satellites, magnetism),
thus being ineffective in their absence. Moreover, they focus on accuracy
aspects, neglecting usability ones. For this purpose, in this paper, we explore
the use of four non-assisted sensors, namely battery, transmitted data, ambient
light and noise. Our approach leverages data stream mining techniques and offers
a tunable security-usability trade-off. We assess the accuracy, immediacy,
usability and readiness of the proposal. Results on 50 users over 24 months show
that battery readings alone achieve 97.05% of accuracy and 81.35% for audio,
light and battery all together. Moreover, when usability is at stake, robbery is
detected in 100 s for the case of battery and in 250 s when audio, light and
battery are applied. Remarkably, these figures are obtained with moderate
training and storage needs, thus making the approach suitable for current
devices.
PMID- 29659543
TI - Preliminary In-Situ Evaluation of an Innovative, Semi-Flexible Pavement Wearing
Course Mixture Using Fast Falling Weight Deflectometer.
AB - In the last forty, years semi-flexible pavements have been successfully employed,
especially in those areas subjected to heavy and slow-moving loads. They usually
comprise a wearing course of Grouted Macadam, a composite pavement material that
provides significant advantages in comparison to both concrete and asphalt
pavements. On the other hand, the laying process of this material is a two-stage
operation, and the realization complexity leads to long realization times and
high initial costs. Therefore, the use of semi-flexible pavements has been
limited to some fields of application and areas. Recently, an innovative material
has been developed to be used as an alternative to Grouted Macadam for semi
flexible pavement wearing course realization. This material should provide
similar or even superior characteristics compared to traditional Grouted Macadam.
This will reduce semi-flexible pavement construction time and avoid the need for
dividing the laying process. This paper presents an experimental program
involving the use of FastFWD, as an APT device, to evaluate in-situ properties
and performance of this material. The achieved results regarding the validation
of this new material by means of FastFWD appear promising both in terms of the
material's properties and resistance to dynamic load repetitions.
PMID- 29659541
TI - Zika Virus in the Male Reproductive Tract.
AB - Arthropod-borne viruses (arboviruses) are resurging across the globe. Zika virus
(ZIKV) has caused significant concern in recent years because it can lead to
congenital malformations in babies and Guillain-Barré syndrome in adults.
Unlike other arboviruses, ZIKV can be sexually transmitted and may persist in the
male reproductive tract. There is limited information regarding the impact of
ZIKV on male reproductive health and fertility. Understanding the mechanisms that
underlie persistent ZIKV infections in men is critical to developing effective
vaccines and therapies. Mouse and macaque models have begun to unravel the
pathogenesis of ZIKV infection in the male reproductive tract, with the testes
and prostate gland implicated as potential reservoirs for persistent ZIKV
infection. Here, we summarize current knowledge regarding the pathogenesis of
ZIKV in the male reproductive tract, the development of animal models to study
ZIKV infection at this site, and prospects for vaccines and therapeutics against
persistent ZIKV infection.
PMID- 29659544
TI - Health-Related Quality of Life in Patients with Arthritis: A Cross-Sectional
Survey among Middle-Aged Adults in Chongqing, China.
AB - : Background: Arthritis is a common disease in China, but few studies have been
conducted to explore the associated health-related quality of life (HRQoL) and
its influencing factors in Chongqing, China. This study aimed to explore the
association of arthritis and HRQoL and probe factors affecting HRQoL among
arthritis patients. METHODS: A cross-sectional survey was conducted in Chongqing,
China. A total of 1224 adults were included in the analysis. Medical Outcomes
Study Short Form 36 Health Survey (SF-36) was used to measure HRQoL. Multiple
linear regression models (stepwise) and covariance analysis models were used to
examine the association of arthritis with HRQoL and analyze factors associated
with HRQoL among arthritis patients. Results: Participants with arthritis had
poorer HRQoL than those without. Among arthritis patients, the female was
associated with a poorer state of physical functioning (p < 0.05); unemployed
patients had a poorer state of role-physical than employed patients (p < 0.05);
low average monthly income was associated with a poorer state of physical
functioning (p < 0.01); childhood non-breastfeeding history was associated with a
poorer state of social functioning (p < 0.01); average or dissatisfied attitude
to current living conditions was associated with a poorer state of vitality and
mental health (p < 0.05 for all); moreover, poor or general appetite was
associated with a poorer state of role-physical, general health, social
functioning, bodily pain, and role-emotional (p < 0.01 for all). Conclusions:
Arthritis patients have worse HRQoL than those without in the Chinese population.
Female, low socioeconomic status, childhood non-breastfeeding history, average or
dissatisfied attitude to current living conditions and poor or general appetite
were associated with poorer state of HRQoL among Chinese arthritis patients.
PMID- 29659545
TI - Identification of Major Rhizobacterial Taxa Affected by a Glyphosate-Tolerant
Soybean Line via Shotgun Metagenomic Approach.
AB - The worldwide commercial cultivation of transgenic crops, including glyphosate
tolerant (GT) soybeans, has increased widely during the past 20 years. However,
it is accompanied with a growing concern about potential effects of transgenic
crops on the soil microbial communities, especially on rhizosphere bacterial
communities. Our previous study found that the GT soybean line NZL06-698 (N698)
significantly affected rhizosphere bacteria, including some unidentified taxa,
through 16S rRNA gene (16S rDNA) V4 region amplicon deep sequencing via Illumina
MiSeq. In this study, we performed 16S rDNA V5-V7 region amplicon deep sequencing
via Illumina MiSeq and shotgun metagenomic approaches to identify those major
taxa. Results of these processes revealed that the species richness and evenness
increased in the rhizosphere bacterial communities of N698, the beta diversity of
the rhizosphere bacterial communities of N698 was affected, and that certain
dominant bacterial phyla and genera were related to N698 compared with its
control cultivar Mengdou12. Consistent with our previous findings, this study
showed that N698 affects the rhizosphere bacterial communities. In specific, N698
negatively affects Rahnella, Janthinobacterium, Stenotrophomonas, Sphingomonas
and Luteibacter while positively affecting Arthrobacter, Bradyrhizobium,
Ramlibacter and Nitrospira.
PMID- 29659546
TI - Physical Activity on Prescription with Counsellor Support: A 4-Year Registry
Based Study in Routine Health Care in Sweden.
AB - Background: Public health gains from physical activity on prescription (PAP)
depend on uptake in routine care. We performed an evaluation of the
implementation, in a Swedish county council, of counsellors who give personalized
support to PAP recipients aimed at facilitating PAP delivery. The aim was to
compare characteristics between PAP recipients and the health care population as
well as between PAP recipients who used and did not use counsellor support. We
also investigated professional belonging and health care setting of health care
professionals who prescribed PAP. Methods: All patients’ ≥18 years who
received PAP during 2009–2012 in primary and secondary care in the County
Council of Kronoberg were included (n = 4879). Data were retrieved from
electronic medical records. Main outcome measures were patient and professional
characteristics. Results: A third of the PAP recipients had diseases in ≥5
diagnostic groups and more than half had ≥11 office visits the year before
receiving PAP. Counsellor support was used by one-third and PAP recipients who
used counsellor support had more multiple diagnoses and office visits compared
with non-users. Physicians issued 44% of prescriptions and primary care was the
predominant setting. The amount of PAP did not change over time, but the
proportion of physicians’ prescriptions decreased while the proportion of
nurses’ prescriptions increased. Conclusions: PAP recipients had high
morbidity and were frequent health care attenders, indicating that PAP was
predominantly used for secondary or tertiary prevention. PAP rates did not
increase as intended after the implementation of counsellor support.
PMID- 29659547
TI - Multi-Sensor Registration in High-Precision CMM Based on a Composite Standard.
AB - Registration is a critical step in multi-sensor dimensional measurement. As the
accuracy of registration directly impacts the quality of final results, a
reference sphere as a common standard is problematic in high-precision
registration. In this paper, a novel method based on a composite standard is
proposed to fuse the multiple heterogeneous sensors in high-precision coordinate
measuring machines (CMMs), which will void the drawbacks of a reference sphere.
The composite standard consists of a cone and cylinder, which share a same
central axis. To ensure high precision in the submicron range, or better, the
standard is manufactured by an ultra-precision machine. Three features of the
composite standard are inspected by three sensors: a video camera (VC), a tactile
probe (TP), and a chromatic confocal displacement sensor (CC). All features will
concentrate on a common point through which the relation between the three
sensors will be obtained. The errors of each measurement were analyzed
theoretically, and simulations and real experiments were carried out to verify
the composite standard. This study demonstrates that the proposed registration
method is stable and that the standard has potential use for the registration of
multiple sensors in high-precision dimensional measurement.
PMID- 29659548
TI - Intelligent Fault Diagnosis of HVCB with Feature Space Optimization-Based Random
Forest.
AB - Mechanical faults of high-voltage circuit breakers (HVCBs) always happen over
long-term operation, so extracting the fault features and identifying the fault
type have become a key issue for ensuring the security and reliability of power
supply. Based on wavelet packet decomposition technology and random forest
algorithm, an effective identification system was developed in this paper. First,
compared with the incomplete description of Shannon entropy, the wavelet packet
time-frequency energy rate (WTFER) was adopted as the input vector for the
classifier model in the feature selection procedure. Then, a random forest
classifier was used to diagnose the HVCB fault, assess the importance of the
feature variable and optimize the feature space. Finally, the approach was
verified based on actual HVCB vibration signals by considering six typical fault
classes. The comparative experiment results show that the classification accuracy
of the proposed method with the origin feature space reached 93.33% and reached
up to 95.56% with optimized input feature vector of classifier. This indicates
that feature optimization procedure is successful, and the proposed diagnosis
algorithm has higher efficiency and robustness than traditional methods.
PMID- 29659549
TI - Blackcurrant Anthocyanins Increase the Levels of Collagen, Elastin, and
Hyaluronic Acid in Human Skin Fibroblasts and Ovariectomized Rats.
AB - Blackcurrants (Ribes nigrum L.) contain high levels of anthocyanin polyphenols,
which have beneficial effects on health, owing to their antioxidant and
anticarcinogenic properties. Phytoestrogens are plant-derived substances with
estrogenic activity, which could have beneficial effects on the skin. Estradiol
secretion decreases during menopause, reducing extracellular matrix (ECM)
component production by skin fibroblasts. Using a normal human female skin
fibroblast cell line (TIG113) and ovariectomized rats, the present study
investigated whether an anthocyanin-rich blackcurrant extract (BCE) and four
blackcurrant anthocyanins have novel phytoestrogenic activities that could
benefit the skin in menopausal women. In TIG113 cells, a microarray and the
Ingenuity(r) Pathway Analysis showed that 1.0 MUg/mL of BCE upregulated the
expression of many estrogen signaling-related genes. A quantitative RT-PCR
analysis confirmed that BCE (1.0 or 10.0 MUg/mL) and four types of anthocyanins
(10 MUM) altered the mRNA expression of ECM proteins and enzymes involved in ECM
turnover. Immunofluorescence staining indicated that the anthocyanins stimulated
the expression of ECM proteins, such as collagen (types I and III) and elastin.
Dietary administration of 3% BCE to ovariectomized rats for 3 months increased
skin levels of collagen, elastin, and hyaluronic acid. This is the first study to
show that blackcurrant phytoestrogens have beneficial effects on skin
experimental models.
PMID- 29659550
TI - Use of Olive Oil Industrial By-Product for Pasta Enrichment.
AB - BACKGROUND: During recent years food industries generally produce a large volume
of wastes both solid and liquid, representing a disposal and potential
environmental pollution problem. OBJECTIVE: The goal of the study was to
optimize, from both sensory and nutritional points of view, the formulation of
durum wheat spaghetti enriched with an olive oil industrial by-product, indicated
as olive paste. METHODS: Three consecutive steps were carried out. In the first
one, the olive paste was air-dried at low temperature, milled to record olive
paste flour and properly analyzed for its biochemical composition. In the second
step, the olive paste flour was added to the pasta dough at 10% and 15% (w/w). In
the last step, different concentrations of transglutaminase were added to
enriched pasta (10% olive paste) to further improve the quality. Sensory
properties and nutritional content of enriched and control pasta were properly
measured. RESULTS: Spaghetti with 10% olive paste flour and 0.6% transglutaminase
were considered acceptable to the sensory panel test. Nutritional analyses showed
that addition of 10% olive paste flour to pasta considerably increased content of
flavonoids and total polyphenols. CONCLUSIONS: The proper addition of olive paste
flour and transglutaminase for pasta enrichment could represent a starting point
to valorize olive oil industrial by-products and produce new healthy food
products.
PMID- 29659551
TI - Time-Course Investigation of Small Molecule Metabolites in MAP-Stored Red Blood
Cells Using UPLC-QTOF-MS.
AB - Red blood cells (RBCs) are routinely stored for 35 to 42 days in most countries.
During storage, RBCs undergo biochemical and biophysical changes known as RBC
storage lesion, which is influenced by alternative storage additive solutions
(ASs). Metabolomic studies have been completed on RBCs stored in a number of ASs,
including SAGM, AS-1, AS-3, AS-5, AS-7, PAGGGM, and MAP. However, the reported
metabolome analysis of laboratory-made MAP-stored RBCs was mainly focused on the
time-dependent alterations in glycolytic intermediates during storage. In this
study, we investigated the time-course of alterations in various small molecule
metabolites in RBCs stored in commercially used MAP for 49 days using ultra-high
performance liquid chromatography quadruple time-of-flight mass spectrometry
(UPLC-QTOF-MS). These alterations indicated that RBC storage lesion is related to
multiple pathways including glycolysis, pentose phosphate pathway, glutathione
homeostasis, and purine metabolism. Thus, our findings might be useful for
understanding the complexity of metabolic mechanisms of RBCs in vitro aging and
encourage the deployment of systems biology methods to blood products in
transfusion medicine.
PMID- 29659552
TI - Improving Pharmacists' Targeting of Patients for Medication Review and
Deprescription.
AB - Background: In an acute hospital setting, a multi-disciplinary approach to
medication review can improve prescribing and medicine selection in patients with
frailty. There is a need for a clear understanding of the roles and
responsibilities of pharmacists to ensure that interventions have the greatest
impact on patient care. Aim: To use a consensus building process to produce
guidance for pharmacists to support the identification of patients at risk from
their medicines, and to articulate expected actions and escalation processes.
Methods: A literature search was conducted and evidence used to establish a set
of ten scenarios often encountered in hospitalised patients, with six or more
possible actions. Four consultant physicians and four senior pharmacists ranked
their levels of agreement with the listed actions. The process was redrafted and
repeated until consensus was reached and interventions were defined. Outcome:
Generalised guidance for reviewing older adults' medicines was developed,
alongside escalation processes that should be followed in a specific set of
clinical situations. The panel agreed that both pharmacists and physicians have
an active role to play in medication review, and face-to-face communication is
always preferable to facilitate informed decision making. Only prescribers should
deprescribe, however pharmacists who are not also trained as prescribers may
temporarily "hold" medications in the best interests of the patient with
appropriate documentation and a follow up discussion with the prescribing team.
The consensus was that a combination of age, problematic polypharmacy, and the
presence of medication-related problems, were the most important factors in the
identification of patients who would benefit most from a comprehensive medication
review. Conclusions: Guidance on the identification of patients on inappropriate
medicines, and subsequent pharmacist-led intervention to prompt and promote
deprescribing, has been developed for implementation in an acute hospital.
PMID- 29659555
TI - The CiCs(SiI)n Defect in Silicon from a Density Functional Theory Perspective.
AB - Carbon constitutes a significant defect in silicon (Si) as it can interact with
intrinsic point defects and affect the operation of devices. In heavily
irradiated Si containing carbon the initially produced carbon interstitial-carbon
substitutional (CiCs) defect can associate with self-interstitials (SiI's) to
form, in the course of irradiation, the CiCs(SiI) defect and further form larger
complexes namely, CiCs(SiI)n defects, by the sequential trapping of self
interstitials defects. In the present study, we use density functional theory to
clarify the structure and energetics of the CiCs(SiI)n defects. We report that
the lowest energy CiCs(SiI) and CiCs(SiI)2 defects are strongly bound with -2.77
and -5.30 eV, respectively.
PMID- 29659553
TI - Therapeutic Potential of Annexin A1 in Ischemia Reperfusion Injury.
AB - Cardiovascular disease (CVD) continues to be the leading cause of death in the
world. Increased inflammation and an enhanced thrombotic milieu represent two
major complications of CVD, which can culminate into an ischemic event. Treatment
for these life-threatening complications remains reperfusion and restoration of
blood flow. However, reperfusion strategies may result in ischemia-reperfusion
injury (I/RI) secondary to various cardiovascular pathologies, including
myocardial infarction and stroke, by furthering the inflammatory and thrombotic
responses and delivering inflammatory mediators to the affected tissue. Annexin
A1 (AnxA1) and its mimetic peptides are endogenous anti-inflammatory and pro
resolving mediators, known to have significant effects in resolving inflammation
in a variety of disease models. Mounting evidence suggests that AnxA1, which
interacts with the formyl peptide receptor (FPR) family, may have a significant
role in mitigating I/RI associated complications. In this review article, we
focus on how AnxA1 plays a protective role in the I/R based vascular pathologies.
PMID- 29659556
TI - Electrochemical Determination of Norepinephrine by Means of Modified Glassy
Carbon Electrodes with Carbon Nanotubes and Magnetic Nanoparticles of Cobalt
Ferrite.
AB - This study describes the electrochemical preparation of the electrocatalytic
oxidation/reduction of noradrenaline in modified glassy carbon of cobalt ferrite
nanoparticles and carbon nanotubes (GC/MWCNT/FCo98). The cobalt ferrite powder
was characterized by X-ray diffraction (XRD) and transmission electron microscopy
(TEM). The optimum conditions found in an electrode composition were 4 MUL of
cobalt ferrite and 10 MUL of carbon nanotubes in 0.1 mol L-1 PBS at pH 7.0. The
electrode displays electrochemical behavior in a wide potential range (-0.4 to
1.0 V vs. Ag/AgCl), high conductivity, and electrode stability/durability in 0.1
mol L-1 PBS. Catalytic oxidation of noradrenaline was performed at the unmodified
GC electrode at +0.60 V vs. Ag/AgCl and current of 0.17 MUA and modified GC with
cobalt ferrite nanoparticles and carbon nanotubes at +0.54 V vs. Ag/AgCl and
current of 0.23 mA. With regard to the anodic peak current (Ipa) versus
noradrenaline concentration by means of the amperometric method at the modified
electrode, (which is linear in the 0.16 and 1.91 mmol L-1 concentration range),
the concentration limit was 0.76 MUmol L-1. In this way, the modified electrode
GC/MWCNT/FCo98 was found to be a promising application for the determination of
this neurotransmitter in the area of neuroscience.
PMID- 29659557
TI - Development of a 2 MHz Sonar Sensor for Inspection of Bridge Substructures.
AB - Hydraulic factors account for a large part of the causes of bridge collapse. Due
to the nature of the underwater environment, quick and accurate inspection is
required when damage occurs. In this study, we developed a 2 MHz side scan sonar
sensor module and effective operation technique by improving the limitations of
existing sonar. Through field tests, we analyzed the correlation of factors
affecting the resolution of the sonar data such as the angle of survey, the
distance from the underwater structure and the water depth. The effect of the
distance and the water depth and the structure on the survey angle was 66~82%. We
also derived the relationship between these factors as a regression model for
effective operating techniques. It is considered that application of the
developed 2 MHz side scan sonar and its operation method could contribute to
prevention of bridge collapses and disasters by quickly and accurately checking
the damage of bridge substructures due to hydraulic factors.
PMID- 29659554
TI - Demyelination in Multiple Sclerosis: Reprogramming Energy Metabolism and
Potential PPARgamma Agonist Treatment Approaches.
AB - Demyelination in multiple sclerosis (MS) cells is the site of several energy
metabolic abnormalities driven by dysregulation between the opposed interplay of
peroxisome proliferator-activated receptor gamma (PPARgamma) and WNT/beta-catenin
pathways. We focus our review on the opposing interactions observed in
demyelinating processes in MS between the canonical WNT/beta-catenin pathway and
PPARgamma and their reprogramming energy metabolism implications. Demyelination
in MS is associated with chronic inflammation, which is itself associated with
the release of cytokines by CD4+ Th17 cells, and downregulation of PPARgamma
expression leading to the upregulation of the WNT/beta-catenin pathway.
Upregulation of WNT/beta-catenin signaling induces activation of glycolytic
enzymes that modify their energy metabolic behavior. Then, in MS cells, a large
portion of cytosolic pyruvate is converted into lactate. This phenomenon is
called the Warburg effect, despite the availability of oxygen. The Warburg effect
is the shift of an energy transfer production from mitochondrial oxidative
phosphorylation to aerobic glycolysis. Lactate production is correlated with
increased WNT/beta-catenin signaling and demyelinating processes by inducing
dysfunction of CD4+ T cells leading to axonal and neuronal damage. In MS,
downregulation of PPARgamma decreases insulin sensitivity and increases
neuroinflammation. PPARgamma agonists inhibit Th17 differentiation in CD4+ T
cells and then diminish release of cytokines. In MS, abnormalities in the
regulation of circadian rhythms stimulate the WNT pathway to initiate the
demyelination process. Moreover, PPARgamma contributes to the regulation of some
key circadian genes. Thus, PPARgamma agonists interfere with reprogramming energy
metabolism by directly inhibiting the WNT/beta-catenin pathway and circadian
rhythms and could appear as promising treatments in MS due to these interactions.
PMID- 29659558
TI - Special Issue Introduction: Inherited Retinal Disease: Novel Candidate Genes,
Genotype-Phenotype Correlations, and Inheritance Models.
AB - Inherited retinal diseases (IRDs) are genetically and clinically heterogeneous
disorders.[...].
PMID- 29659559
TI - Vitamin D and the Liver-Correlation or Cause?
AB - Vitamin D is becoming increasingly accepted as an important physiological
regulator outside of its classical role in skeletal homeostasis. A growing body
of evidence connects vitamin D with hepatic disease. This review summarises the
role of vitamin D in liver homeostasis and disease and discusses the therapeutic
potential of vitamin D-based treatments to protect against hepatic disease
progression and to improve response to treatment. While pre-clinical experimental
data is promising, clinical trials around liver diseases have mostly been under
powered, and further studies will be required to clarify whether vitamin D or
vitamin D analogues have beneficial effects on liver disease.
PMID- 29659560
TI - Androgen Receptor Is Inactivated and Degraded in Bladder Cancer Cells by Phenyl
Glucosamine via miR-449a Restoration.
AB - BACKGROUND Bladder cancer caused by exposure to aniline dyes, chronic cystitis,
and smoking is detected in approximately 70 000 new cases annually. In the USA
alone, it leads to 15 000 deaths every year. In the present study, we
investigated the role of 3-((4'-amino-[1,1'-biphenyl]-4-yl)amino)-4-bromo-5-oxo
2,5-dihydrofuran-2-yl acetate (ABDHFA) in the inhibition of bladder cancer cell
viability. MATERIAL AND METHODS Viability of cells was examined using MTT assay
and distribution of cell cycle was assessed by flow cytometry. Expression of
cyclin D1, androgen, prostate-specific antigen (PSA), and miR-449a was analyzed
using Western blot and quantitative real-time polymerase chain reaction assays.
RESULTS The results demonstrated that ABDHFA treatment inhibited viability of
UMUC3 and TCCSUP AR-positive bladder cancer cells. ABDHFA treatment led to break
down of AR in UMUC3 and TCCSUP cells after 48 h in a dose-dependent manner. Up
regulation of miR-449a by lentivirus transfection down-regulated the AR
signalling pathway. In UMUC3 and TCCSUP cells, ABDHFA treatment led to inhibition
of mRNA and protein expression corresponding to AR. CONCLUSIONS In summary, the
present study demonstrates that proliferation of AR-positive bladder carcinoma
cells is markedly reduced by ABDHFA treatment through arrest of cell cycle and
degradation of AR protein. Thus, ABDHFA, a novel compound, can be used for the
treatment of bladder cancer.
PMID- 29659561
TI - Origins of scale invariance in vocalization sequences and speech.
AB - To communicate effectively animals need to detect temporal vocalization cues that
vary over several orders of magnitude in their amplitude and frequency content.
This large range of temporal cues is evident in the power-law scale-invariant
relationship between the power of temporal fluctuations in sounds and the sound
modulation frequency (f). Though various forms of scale invariance have been
described for natural sounds, the origins and implications of scale invariant
phenomenon remain unknown. Using animal vocalization sequences, including
continuous human speech, and a stochastic model of temporal amplitude
fluctuations we demonstrate that temporal acoustic edges are the primary acoustic
cue accounting for the scale invariant phenomenon. The modulation spectrum of
vocalization sequences and the model both exhibit a dual regime lowpass structure
with a flat region at low modulation frequencies and scale invariant 1/f2 trend
for high modulation frequencies. Moreover, we find a time-frequency tradeoff
between the average vocalization duration of each vocalization sequence and the
cutoff frequency beyond which scale invariant behavior is observed. These results
indicate that temporal edges are universal features responsible for scale
invariance in vocalized sounds. This is significant since temporal acoustic edges
are salient perceptually and the auditory system could exploit such statistical
regularities to minimize redundancies and generate compact neural representations
of vocalized sounds.
PMID- 29659562
TI - Organic cation transporter 1 (OCT1) modulates multiple cardiometabolic traits
through effects on hepatic thiamine content.
AB - A constellation of metabolic disorders, including obesity, dysregulated lipids,
and elevations in blood glucose levels, has been associated with cardiovascular
disease and diabetes. Analysis of data from recently published genome-wide
association studies (GWAS) demonstrated that reduced-function polymorphisms in
the organic cation transporter, OCT1 (SLC22A1), are significantly associated with
higher total cholesterol, low-density lipoprotein (LDL) cholesterol, and
triglyceride (TG) levels and an increased risk for type 2 diabetes mellitus, yet
the mechanism linking OCT1 to these metabolic traits remains puzzling. Here, we
show that OCT1, widely characterized as a drug transporter, plays a key role in
modulating hepatic glucose and lipid metabolism, potentially by mediating
thiamine (vitamin B1) uptake and hence its levels in the liver. Deletion of Oct1
in mice resulted in reduced activity of thiamine-dependent enzymes, including
pyruvate dehydrogenase (PDH), which disrupted the hepatic glucose-fatty acid
cycle and shifted the source of energy production from glucose to fatty acids,
leading to a reduction in glucose utilization, increased gluconeogenesis, and
altered lipid metabolism. In turn, these effects resulted in increased total body
adiposity and systemic levels of glucose and lipids. Importantly, wild-type mice
on thiamine deficient diets (TDs) exhibited impaired glucose metabolism that
phenocopied Oct1 deficient mice. Collectively, our study reveals a critical role
of hepatic thiamine deficiency through OCT1 deficiency in promoting the metabolic
inflexibility that leads to the pathogenesis of cardiometabolic disease.
PMID- 29659563
TI - Backbone Brackets and Arginine Tweezers delineate Class I and Class II aminoacyl
tRNA synthetases.
AB - The origin of the machinery that realizes protein biosynthesis in all organisms
is still unclear. One key component of this machinery are aminoacyl tRNA
synthetases (aaRS), which ligate tRNAs to amino acids while consuming ATP.
Sequence analyses revealed that these enzymes can be divided into two
complementary classes. Both classes differ significantly on a sequence and
structural level, feature different reaction mechanisms, and occur in diverse
oligomerization states. The one unifying aspect of both classes is their function
of binding ATP. We identified Backbone Brackets and Arginine Tweezers as most
compact ATP binding motifs characteristic for each Class. Geometric analysis
shows a structural rearrangement of the Backbone Brackets upon ATP binding,
indicating a general mechanism of all Class I structures. Regarding the origin of
aaRS, the Rodin-Ohno hypothesis states that the peculiar nature of the two aaRS
classes is the result of their primordial forms, called Protozymes, being encoded
on opposite strands of the same gene. Backbone Brackets and Arginine Tweezers
were traced back to the proposed Protozymes and their more efficient successors,
the Urzymes. Both structural motifs can be observed as pairs of residues in
contemporary structures and it seems that the time of their addition, indicated
by their placement in the ancient aaRS, coincides with the evolutionary trace of
Proto- and Urzymes.
PMID- 29659564
TI - Multiscale modelization in a small virus: Mechanism of proton channeling and its
role in triggering capsid disassembly.
AB - In this work, we assess a previously advanced hypothesis that predicts the
existence of ion channels in the capsid of small and non-enveloped icosahedral
viruses. With this purpose we examine Triatoma Virus (TrV) as a case study. This
virus has a stable capsid under highly acidic conditions but disassembles and
releases the genome in alkaline environments. Our calculations range from a
subtle sub-atomic proton interchange to the dismantling of a large-scale system
representing several million of atoms. Our results provide structure-based
explanations for the three roles played by the capsid to enable genome release.
First, we observe, for the first time, the formation of a hydrophobic gate in the
cavity along the five-fold axis of the wild-type virus capsid, which can be
disrupted by an ion located in the pore. Second, the channel enables protons to
permeate the capsid through a unidirectional Grotthuss-like mechanism, which is
the most likely process through which the capsid senses pH. Finally, assuming
that the proton leak promotes a charge imbalance in the interior of the capsid,
we model an internal pressure that forces shell cracking using coarse-grained
simulations. Although qualitatively, this last step could represent the mechanism
of capsid opening that allows RNA release. All of our calculations are in
agreement with current experimental data obtained using TrV and describe a
cascade of events that could explain the destabilization and disassembly of
similar icosahedral viruses.
PMID- 29659565
TI - Cyclic di-AMP regulation of osmotic homeostasis is essential in Group B
Streptococcus.
AB - Cyclic nucleotides are universally used as secondary messengers to control
cellular physiology. Among these signalling molecules, cyclic di-adenosine
monophosphate (c-di-AMP) is a specific bacterial second messenger recognized by
host cells during infections and its synthesis is assumed to be necessary for
bacterial growth by controlling a conserved and essential cellular function. In
this study, we sought to identify the main c-di-AMP dependent pathway in
Streptococcus agalactiae, the etiological agent of neonatal septicaemia and
meningitis. By conditionally inactivating dacA, the only diadenyate cyclase gene,
we confirm that c-di-AMP synthesis is essential in standard growth conditions.
However, c-di-AMP synthesis becomes rapidly dispensable due to the accumulation
of compensatory mutations. We identified several mutations restoring the
viability of a DeltadacA mutant, in particular a loss-of-function mutation in the
osmoprotectant transporter BusAB. Identification of c-di-AMP binding proteins
revealed a conserved set of potassium and osmolyte transporters, as well as the
BusR transcriptional factor. We showed that BusR negatively regulates busAB
transcription by direct binding to the busAB promoter. Loss of BusR repression
leads to a toxic busAB expression in absence of c-di-AMP if osmoprotectants, such
as glycine betaine, are present in the medium. In contrast, deletion of the gdpP
c-di-AMP phosphodiesterase leads to hyperosmotic susceptibility, a phenotype
dependent on a functional BusR. Taken together, we demonstrate that c-di-AMP is
essential for osmotic homeostasis and that the predominant mechanism is dependent
on the c-di-AMP binding transcriptional factor BusR. The regulation of osmotic
homeostasis is likely the conserved and essential function of c-di-AMP, but each
species has evolved specific c-di-AMP mechanisms of osmoregulation to adapt to
its environment.
PMID- 29659566
TI - Biocuration: Distilling data into knowledge.
AB - Data, including information generated from them by processing and analysis, are
an asset with measurable value. The assets that biological research funding
produces are the data generated, the information derived from these data, and,
ultimately, the discoveries and knowledge these lead to. From the time when Henry
Oldenburg published the first scientific journal in 1665 (Proceedings of the
Royal Society) to the founding of the United States National Library of Medicine
in 1879 to the present, there has been a sustained drive to improve how
researchers can record and discover what is known. Researchers' experimental work
builds upon years and (collectively) billions of dollars' worth of earlier work.
Today, researchers are generating data at ever-faster rates because of advances
in instrumentation and technology, coupled with decreases in production costs.
Unfortunately, the ability of researchers to manage and disseminate their results
has not kept pace, so their work cannot achieve its maximal impact. Strides have
recently been made, but more awareness is needed of the essential role that
biological data resources, including biocuration, play in maintaining and linking
this ever-growing flood of data and information. The aim of this paper is to
describe the nature of data as an asset, the role biocurators play in increasing
its value, and consistent, practical means to measure effectiveness that can
guide planning and justify costs in biological research information resources'
development and management.
PMID- 29659567
TI - Cytokinin stabilizes WUSCHEL by acting on the protein domains required for
nuclear enrichment and transcription.
AB - Concentration-dependent transcriptional regulation and the spatial regulation of
transcription factor levels are poorly studied in plant development. WUSCHEL, a
stem cell-promoting homeodomain transcription factor, accumulates at a higher
level in the rib meristem than in the overlying central zone, which harbors stem
cells in the shoot apical meristems of Arabidopsis thaliana. The differential
accumulation of WUSCHEL in adjacent cells is critical for the spatial regulation
and levels of CLAVATA3, a negative regulator of WUSCHEL transcription. Earlier
studies have revealed that DNA-dependent dimerization, subcellular partitioning
and protein destabilization control WUSCHEL protein levels and spatial
accumulation. Moreover, the destabilization of WUSCHEL may also depend on the
protein concentration. However, the roles of extrinsic spatial cues in
maintaining differential accumulation of WUS are not understood. Through
transient manipulation of hormone levels, hormone response patterns and analysis
of the receptor mutants, we show that cytokinin signaling in the rib meristem
acts through the transcriptional regulatory domains, the acidic domain and the
WUSCHEL-box, to stabilize the WUS protein. Furthermore, we show that the same
WUSCHEL-box functions as a degron sequence in cytokinin deficient regions in the
central zone, leading to the destabilization of WUSCHEL. The coupled functions of
the WUSCHEL-box in nuclear retention as described earlier, together with
cytokinin sensing, reinforce higher nuclear accumulation of WUSCHEL in the rib
meristem. In contrast a sub-threshold level may expose the WUSCHEL-box to
destabilizing signals in the central zone. Thus, the cytokinin signaling acts as
an asymmetric spatial cue in stabilizing the WUSCHEL protein to lead to its
differential accumulation in neighboring cells, which is critical for
concentration-dependent spatial regulation of CLAVATA3 transcription and meristem
maintenance. Furthermore, our work shows that cytokinin response is regulated
independently of the WUSCHEL function which may provide robustness to the
regulation of WUSCHEL concentration.
PMID- 29659568
TI - Introduced bullfrog facilitates pathogen invasion in the western United States.
AB - Batrachochytrium dendrobatidis (Bd), a causal agent of the amphibian fungal skin
disease chytridiomycosis, has been implicated in the decline and extinction of
over 200 species worldwide since the 1970s. Despite almost two decades of
research, the history of Bd and its global spread is not well understood.
However, the spread of the Global Panzootic Lineage of Bd (Bd-GPL), the lineage
associated with amphibian die-offs, has been linked with the American bullfrog
(Rana [Aqurana] catesbeiana) and global trade. Interestingly, R. catesbeiana is
native to the eastern U.S., where no Bd-related declines have been observed
despite Bd's presence since the late 1800s. In contrast Bd has been found to have
emerged in California and Mexico in the 1960s and 1970s, after which epizootics
(i.e., epidemics in wildlife) ensued. We hypothesize that Bd-GPL spread from the
eastern U.S. with the introduction of R. catesbeiana into the western US,
resulting in epizootics and declines of native host species. Using museum
records, we investigated the historical relationship between R. catesbeiana and
Bd invasion in the western US and found that R. catesbeiana arrived in the same
year or prior to Bd in most western watersheds that had data for both species,
suggesting that Bd-GPL may have originated in the eastern US and R. catesbeiana
may have facilitated Bd invasion in the western US. To predict areas with
greatest suitability for Bd, we created a suitability model by integrating
habitat suitability and host availability. When we incorporated invasion history
with high Bd suitability, we found that watersheds with non-native R. catesbeiana
in the mountain ranges of the West Coast have the highest disease risk. These
findings shed light on the invasion history and disease dynamics of Bd in North
America. Targeted historical surveys using archived specimens in natural history
collections and present-day field surveys along with more localized, community
level studies, monitoring, and surveillance are needed to further test this
hypothesis and grow our understanding of the disease ecology and host-pathogen
dynamics of Bd.
PMID- 29659569
TI - Targeted next generation sequencing identifies functionally deleterious germline
mutations in novel genes in early-onset/familial prostate cancer.
AB - Considering that mutations in known prostate cancer (PrCa) predisposition genes,
including those responsible for hereditary breast/ovarian cancer and Lynch
syndromes, explain less than 5% of early-onset/familial PrCa, we have sequenced
94 genes associated with cancer predisposition using next generation sequencing
(NGS) in a series of 121 PrCa patients. We found monoallelic
truncating/functionally deleterious mutations in seven genes, including ATM and
CHEK2, which have previously been associated with PrCa predisposition, and five
new candidate PrCa associated genes involved in cancer predisposing recessive
disorders, namely RAD51C, FANCD2, FANCI, CEP57 and RECQL4. Furthermore, using in
silico pathogenicity prediction of missense variants among 18 genes associated
with breast/ovarian cancer and/or Lynch syndrome, followed by KASP genotyping in
710 healthy controls, we identified "likely pathogenic" missense variants in ATM,
BRIP1, CHEK2 and TP53. In conclusion, this study has identified putative PrCa
predisposing germline mutations in 14.9% of early-onset/familial PrCa patients.
Further data will be necessary to confirm the genetic heterogeneity of inherited
PrCa predisposition hinted in this study.
PMID- 29659570
TI - Laboratory mouse housing conditions can be improved using common environmental
enrichment without compromising data.
AB - Animal welfare requires the adequate housing of animals to ensure health and well
being. The application of environmental enrichment is a way to improve the well
being of laboratory animals. However, it is important to know whether these
enrichment items can be incorporated in experimental mouse husbandry without
creating a divide between past and future experimental results. Previous small
scale studies have been inconsistent throughout the literature, and it is not yet
completely understood whether and how enrichment might endanger comparability of
results of scientific experiments. Here, we measured the effect on means and
variability of 164 physiological parameters in 3 conditions: with nesting
material with or without a shelter, comparing these 2 conditions to a "barren"
regime without any enrichments. We studied a total of 360 mice from each of 2
mouse strains (C57BL/6NTac and DBA/2NCrl) and both sexes for each of the 3
conditions. Our study indicates that enrichment affects the mean values of some
of the 164 parameters with no consistent effects on variability. However, the
influence of enrichment appears negligible compared to the effects of other
influencing factors. Therefore, nesting material and shelters may be used to
improve animal welfare without impairment of experimental outcome or loss of
comparability to previous data collected under barren housing conditions.
PMID- 29659571
TI - Allostery in the dengue virus NS3 helicase: Insights into the NTPase cycle from
molecular simulations.
AB - The C-terminus domain of non-structural 3 (NS3) protein of the Flaviviridae
viruses (e.g. HCV, dengue, West Nile, Zika) is a nucleotide triphosphatase
(NTPase) -dependent superfamily 2 (SF2) helicase that unwinds double-stranded RNA
while translocating along the nucleic polymer. Due to these functions, NS3 is an
important target for antiviral development yet the biophysics of this enzyme are
poorly understood. Microsecond-long molecular dynamic simulations of the dengue
NS3 helicase domain are reported from which allosteric effects of RNA and NTPase
substrates are observed. The presence of a bound single-stranded RNA
catalytically enhances the phosphate hydrolysis reaction by affecting the
dynamics and positioning of waters within the hydrolysis active site. Coupled
with results from the simulations, electronic structure calculations of the
reaction are used to quantify this enhancement to be a 150-fold increase, in
qualitative agreement with the experimental enhancement factor of 10-100.
Additionally, protein-RNA interactions exhibit NTPase substrate-induced
allostery, where the presence of a nucleotide (e.g. ATP or ADP) structurally
perturbs residues in direct contact with the phosphodiester backbone of the RNA.
Residue-residue network analyses highlight pathways of short ranged interactions
that connect the two active sites. These analyses identify motif V as a highly
connected region of protein structure through which energy released from either
active site is hypothesized to move, thereby inducing the observed allosteric
effects. These results lay the foundation for the design of novel allosteric
inhibitors of NS3.
PMID- 29659572
TI - A computational study of astrocytic glutamate influence on post-synaptic neuronal
excitability.
AB - The ability of astrocytes to rapidly clear synaptic glutamate and purposefully
release the excitatory transmitter is critical in the functioning of synapses and
neuronal circuits. Dysfunctions of these homeostatic functions have been
implicated in the pathology of brain disorders such as mesial temporal lobe
epilepsy. However, the reasons for these dysfunctions are not clear from
experimental data and computational models have been developed to provide further
understanding of the implications of glutamate clearance from the extracellular
space, as a result of EAAT2 downregulation: although they only partially account
for the glutamate clearance process. In this work, we develop an explicit model
of the astrocytic glutamate transporters, providing a more complete description
of the glutamate chemical potential across the astrocytic membrane and its
contribution to glutamate transporter driving force based on thermodynamic
principles and experimental data. Analysis of our model demonstrates that
increased astrocytic glutamate content due to glutamine synthetase downregulation
also results in increased postsynaptic quantal size due to gliotransmission.
Moreover, the proposed model demonstrates that increased astrocytic glutamate
could prolong the time course of glutamate in the synaptic cleft and enhances
astrocyte-induced slow inward currents, causing a disruption to the clarity of
synaptic signalling and the occurrence of intervals of higher frequency
postsynaptic firing. Overall, our work distilled the necessity of a low
astrocytic glutamate concentration for reliable synaptic transmission of
information and the possible implications of enhanced glutamate levels as in
epilepsy.
PMID- 29659573
TI - Whole-body iron transport and metabolism: Mechanistic, multi-scale model to
improve treatment of anemia in chronic kidney disease.
AB - Iron plays vital roles in the human body including enzymatic processes, oxygen
transport via hemoglobin and immune response. Iron metabolism is characterized by
~95% recycling and minor replenishment through diet. Anemia of chronic kidney
disease (CKD) is characterized by a lack of synthesis of erythropoietin leading
to reduced red blood cell (RBC) formation and aberrant iron recycling. Treatment
of CKD anemia aims to normalize RBC count and serum hemoglobin. Clinically, the
various fluxes of iron transport and accumulation are not measured so that
changes during disease (e.g., CKD) and treatment are unknown. Unwanted iron
accumulation in patients is known to lead to adverse effects. Current whole-body
models lack the mechanistic details of iron transport related to RBC maturation,
transferrin (Tf and TfR) dynamics and assume passive iron efflux from
macrophages. Hence, they are not predictive of whole-body iron dynamics and
cannot be used to design individualized patient treatment. For prediction, we
developed a mechanistic, multi-scale computational model of whole-body iron
metabolism incorporating four compartments containing major pools of iron and RBC
generation process. The model accounts for multiple forms of iron in vivo,
mechanisms involved in iron uptake and release and their regulation. Furthermore,
the model is interfaced with drug pharmacokinetics to allow simulation of
treatment dynamics. We calibrated our model with experimental and clinical data
from peer-reviewed literature to reliably simulate CKD anemia and the effects of
current treatment involving combination of epoietin-alpha and iron dextran. This
in silico whole-body model of iron metabolism predicts that a year of treatment
can potentially lead to 90% downregulation of ferroportin (FPN) levels, 15-fold
increase in iron stores with only a 20% increase in iron flux from the reticulo
endothelial system (RES). Model simulations quantified unmeasured iron fluxes,
previously unknown effects of treatment on FPN-level and iron stores in the RES.
This mechanistic whole-body model can be the basis for future studies that
incorporate iron metabolism together with related clinical experiments. Such an
approach could pave the way for development of effective personalized treatment
of CKD anemia.
PMID- 29659574
TI - The impact of school water, sanitation, and hygiene improvements on infectious
disease using serum antibody detection.
AB - BACKGROUND: Evidence from recent studies assessing the impact of school water,
sanitation and hygiene (WASH) interventions on child health has been mixed. Self
reports of disease are subject to bias, and few WASH impact evaluations employ
objective health measures to assess reductions in disease and exposure to
pathogens. We utilized antibody responses from dried blood spots (DBS) to measure
the impact of a school WASH intervention on infectious disease among pupils in
Mali. METHODOLOGY/PRINCIPAL FINDINGS: We randomly selected 21 beneficiary primary
schools and their 21 matched comparison schools participating in a matched
control trial of a comprehensive school-based WASH intervention in Mali. DBS were
collected from 20 randomly selected pupils in each school (n = 807). We analyzed
eluted IgG from the DBS using a Luminex multiplex bead assay to 28 antigens from
17 different pathogens. Factor analysis identified three distinct latent
variables representing vector-transmitted disease (driven primarily by dengue),
food/water-transmitted enteric disease (driven primarily by Escherichia coli and
Vibrio cholerae), and person-to-person transmitted enteric disease (driven
primarily by norovirus). Data were analyzed using a linear latent variable model.
Antibody evidence of food/water-transmitted enteric disease (change in latent
variable mean (beta) = -0.24; 95% CI: -0.53, -0.13) and person-to-person
transmitted enteric disease (beta = -0.17; 95% CI: -0.42, -0.04) was lower among
pupils attending beneficiary schools. There was no difference in antibody
evidence of vector-transmitted disease (beta = 0.11; 95% CI: -0.05, 0.33).
CONCLUSIONS/SIGNIFICANCE: Evidence of enteric disease was lower among pupils
attending schools benefitting from school WASH improvements than students
attending comparison schools. These findings support results from the parent
study, which also found reduced incidence of self-reported diarrhea among pupils
of beneficiary schools. DBS collection was feasible in this resource-poor field
setting and provided objective evidence of disease at a low cost per antigen
analyzed, making it an effective measurement tool for the WASH field. TRIAL
REGISTRATION: The trial was registered at ClinicalTrials.gov (NCT01787058).
PMID- 29659575
TI - Synergistic co-regulation and competition by a SOX9-GLI-FOXA phasic
transcriptional network coordinate chondrocyte differentiation transitions.
AB - The growth plate mediates bone growth where SOX9 and GLI factors control
chondrocyte proliferation, differentiation and entry into hypertrophy. FOXA
factors regulate hypertrophic chondrocyte maturation. How these factors integrate
into a Gene Regulatory Network (GRN) controlling these differentiation
transitions is incompletely understood. We adopted a genome-wide whole tissue
approach to establish a Growth Plate Differential Gene Expression Library (GP
DGEL) for fractionated proliferating, pre-hypertrophic, early and late
hypertrophic chondrocytes, as an overarching resource for discovery of pathways
and disease candidates. De novo motif discovery revealed the enrichment of SOX9
and GLI binding sites in the genes preferentially expressed in proliferating and
prehypertrophic chondrocytes, suggesting the potential cooperation between SOX9
and GLI proteins. We integrated the analyses of the transcriptome, SOX9, GLI1 and
GLI3 ChIP-seq datasets, with functional validation by transactivation assays and
mouse mutants. We identified new SOX9 targets and showed SOX9-GLI directly and
cooperatively regulate many genes such as Trps1, Sox9, Sox5, Sox6, Col2a1, Ptch1,
Gli1 and Gli2. Further, FOXA2 competes with SOX9 for the transactivation of
target genes. The data support a model of SOX9-GLI-FOXA phasic GRN in chondrocyte
development. Together, SOX9-GLI auto-regulate and cooperate to activate and
repress genes in proliferating chondrocytes. Upon hypertrophy, FOXA competes with
SOX9, and control toward terminal differentiation passes to FOXA, RUNX, AP1 and
MEF2 factors.
PMID- 29659576
TI - The non-linear and lagged short-term relationship between rainfall and
leptospirosis and the intermediate role of floods in the Philippines.
AB - BACKGROUND: Leptospirosis is a worldwide bacterial zoonosis. Outbreaks of
leptospirosis after heavy rainfall and flooding have been reported. However, few
studies have formally quantified the effect of weather factors on leptospirosis
incidence. We estimated the association between rainfall and leptospirosis cases
in an urban setting in Manila, the Philippines, and examined the potential
intermediate role of floods in this association. METHODS/PRINCIPAL FINDINGS:
Relationships between rainfall and the weekly number of hospital admissions due
to leptospirosis from 2001 to 2012 were analyzed using a distributed lag non
linear model in a quasi-Poisson regression framework, controlling for seasonally
varying factors other than rainfall. The role of floods on the rainfall
leptospirosis relationship was examined using an indicator. We reported relative
risks (RRs) by rainfall category based on the flood warning system in the
country. The risk of post-rainfall leptospirosis peaked at a lag of 2 weeks
(using 0 cm/week rainfall as the reference) with RRs of 1.30 (95% confidence
interval: 0.99-1.70), 1.53 (1.12-2.09), 2.45 (1.80-3.33), 4.61 (3.30-6.43), and
13.77 (9.10-20.82) for light, moderate, heavy, intense and torrential rainfall
(at 2, 5, 16, 32 and 63 cm/week), respectively. After adjusting for floods, RRs
(at a lag of 2 weeks) decreased at higher rainfall levels suggesting that flood
is on the causal pathway between rainfall and leptospirosis. CONCLUSIONS:
Rainfall was strongly associated with increased hospital admission for
leptospirosis at a lag of 2 weeks, and this association was explained in part by
floods.
PMID- 29659577
TI - Transcription factor HAT1 is a substrate of SnRK2.3 kinase and negatively
regulates ABA synthesis and signaling in Arabidopsis responding to drought.
AB - Drought is a major threat to plant growth and crop productivity. The phytohormone
abscisic acid (ABA) plays a critical role in plant response to drought stress.
Although ABA signaling-mediated drought tolerance has been widely investigated in
Arabidopsis thaliana, the feedback mechanism and components negatively regulating
this pathway are less well understood. Here we identified a member of Arabidopsis
HD-ZIP transcription factors HAT1 which can interacts with and be phosphorylated
by SnRK2s. hat1hat3, loss-of-function mutant of HAT1 and its homolog HAT3, was
hypersensitive to ABA in primary root inhibition, ABA-responsive genes
expression, and displayed enhanced drought tolerance, whereas HAT1 overexpressing
lines were hyposensitive to ABA and less tolerant to drought stress, suggesting
that HAT1 functions as a negative regulator in ABA signaling-mediated drought
response. Furthermore, expression levels of ABA biosynthesis genes ABA3 and NCED3
were repressed by HAT1 directly binding to their promoters, resulting in the ABA
level was increased in hat1hat3 and reduced in HAT1OX lines. Further evidence
showed that both protein stability and binding activity of HAT1 was repressed by
SnRK2.3 phosphorylation. Overexpressing SnRK2.3 in HAT1OX transgenic plant made a
reduced HAT1 protein level and suppressed the HAT1OX phenotypes in ABA and
drought response. Our results thus establish a new negative regulation mechanism
of HAT1 which helps plants fine-tune their drought responses.
PMID- 29659578
TI - Cell adhesion and fluid flow jointly initiate genotype spatial distribution in
biofilms.
AB - Biofilms are microbial collectives that occupy a diverse array of surfaces. It is
well known that the function and evolution of biofilms are strongly influenced by
the spatial arrangement of different strains and species within them, but how
spatiotemporal distributions of different genotypes in biofilm populations
originate is still underexplored. Here, we study the origins of biofilm genetic
structure by combining model development, numerical simulations, and microfluidic
experiments using the human pathogen Vibrio cholerae. Using spatial correlation
functions to quantify the differences between emergent cell lineage segregation
patterns, we find that strong adhesion often, but not always, maximizes the size
of clonal cell clusters on flat surfaces. Counterintuitively, our model predicts
that, under some conditions, investing in adhesion can reduce rather than
increase clonal group size. Our results emphasize that a complex interaction
between fluid flow and cell adhesiveness can underlie emergent patterns of
biofilm genetic structure. This structure, in turn, has an outsize influence on
how biofilm-dwelling populations function and evolve.
PMID- 29659580
TI - Preprints in medical research: Progress and principles.
AB - In this month's editorial, the PLOS Medicine Editors discuss the role of
preprints in human health research and propose a 3-part framework for ensuring
benefit.
PMID- 29659579
TI - Replication-incompetent rabies virus vector harboring glycoprotein gene of
lymphocytic choriomeningitis virus (LCMV) protects mice from LCMV challenge.
AB - BACKGROUND: Lymphocytic choriomeningitis virus (LCMV) causes a variety of
diseases, including asymptomatic infections, meningitis, and congenital
infections in the fetus of infected mother. The development of a safe and
effective vaccine against LCMV is imperative. This study aims to develop a new
candidate vaccine against LCMV using a recombinant replication-incompetent rabies
virus (RV) vector. METHODOLOGY/PRINCIPAL FINDINGS: In this study, we have
generated a recombinant deficient RV expressing the LCMV glycoprotein precursor
(GPC) (RVDeltaP-LCMV/GPC) which is lacking the RV-P gene. RVDeltaP-LCMV/GPC is
able to propagate only in cells expressing the RV-P protein. In contrast, the
LCMV-GPC can be expressed in general cells, which do not express RV-P protein.
The ability of RVDeltaP-LCMV/GPC to protect mice from LCMV infection and induce
cellular immunity was assessed. Mice inoculated intraperitoneally with RVDeltaP
LCMV/GPC showed higher survival rates (88.2%) than those inoculated with the
parental recombinant RV-P gene-deficient RV (RVDeltaP) (7.7%) following a LCMV
challenge. Neutralizing antibody (NAb) against LCMV was not induced, even in the
sera of surviving mice. CD8+ T-cell depletion significantly reduced the survival
rates of RVDeltaP-LCMV/GPC-inoculated mice after the LCMV challenge. These
results suggest that CD8+ T cells play a major role in the observed protection
against LCMV. In contrast, NAbs against RV were strongly induced in sera of mice
inoculated with either RVDeltaP-LCMV/GPC or RVDeltaP. In safety tests, suckling
mice inoculated intracerebrally with RVDeltaP-LCMV/GPC showed no symptoms.
CONCLUSIONS/SIGNIFICANCE: These results show RVDeltaP-LCMV/GPC might be a
promising candidate vaccine with dual efficacy, protecting against both RV and
LCMV.
PMID- 29659582
TI - Using pseudoalignment and base quality to accurately quantify microbial community
composition.
AB - Pooled DNA from multiple unknown organisms arises in a variety of contexts, for
example microbial samples from ecological or human health research. Determining
the composition of pooled samples can be difficult, especially at the scale of
modern sequencing data and reference databases. Here we propose a novel method
for taxonomic profiling in pooled DNA that combines the speed and low-memory
requirements of k-mer based pseudoalignment with a likelihood framework that uses
base quality information to better resolve multiply mapped reads. We apply the
method to the problem of classifying 16S rRNA reads using a reference database of
known organisms, a common challenge in microbiome research. Using simulations, we
show the method is accurate across a variety of read lengths, with different
length reference sequences, at different sample depths, and when samples contain
reads originating from organisms absent from the reference. We also assess
performance in real 16S data, where we reanalyze previous genetic association
data to show our method discovers a larger number of quantitative trait
associations than other widely used methods. We implement our method in the
software Karp, for k-mer based analysis of read pools, to provide a novel
combination of speed and accuracy that is uniquely suited for enhancing
discoveries in microbial studies.
PMID- 29659581
TI - An interplay between multiple sirtuins promotes completion of DNA replication in
cells with short telomeres.
AB - The evolutionarily-conserved sirtuin family of histone deacetylases regulates a
multitude of DNA-associated processes. A recent genome-wide screen conducted in
the yeast Saccharomyces cerevisiae identified Yku70/80, which regulate
nonhomologous end-joining (NHEJ) and telomere structure, as being essential for
cell proliferation in the presence of the pan-sirtuin inhibitor nicotinamide
(NAM). Here, we show that sirtuin-dependent deacetylation of both histone H3
lysine 56 and H4 lysine 16 promotes growth of yku70Delta and yku80Delta cells,
and that the NAM sensitivity of these mutants is not caused by defects in DNA
double-strand break repair by NHEJ, but rather by their inability to maintain
normal telomere length. Indeed, our results indicate that in the absence of
sirtuin activity, cells with abnormally short telomeres, e.g., yku70/80Delta or
est1/2Delta mutants, present striking defects in S phase progression. Our data
further suggest that early firing of replication origins at short telomeres
compromises the cellular response to NAM- and genotoxin-induced replicative
stress. Finally, we show that reducing H4K16ac in yku70Delta cells limits
activation of the DNA damage checkpoint kinase Rad53 in response to replicative
stress, which promotes usage of translesion synthesis and S phase progression.
Our results reveal a novel interplay between sirtuin-mediated regulation of
chromatin structure and telomere-regulating factors in promoting timely
completion of S phase upon replicative stress.
PMID- 29659583
TI - A modelling approach for exploring muscle dynamics during cyclic contractions.
AB - Hill-type muscle models are widely used within the field of biomechanics to
predict and understand muscle behaviour, and are often essential where muscle
forces cannot be directly measured. However, these models have limited accuracy,
particularly during cyclic contractions at the submaximal levels of activation
that typically occur during locomotion. To address this issue, recent studies
have incorporated effects into Hill-type models that are oftentimes neglected,
such as size-dependent, history-dependent, and activation-dependent effects.
However, the contribution of these effects on muscle performance has yet to be
evaluated under common contractile conditions that reflect the range of
activations, strains, and strain rates that occur in vivo. The purpose of this
study was to develop a modelling framework to evaluate modifications to Hill-type
muscle models when they contract in cyclic loops that are typical of locomotor
muscle function. Here we present a modelling framework composed of a damped
harmonic oscillator in series with a Hill-type muscle actuator that consists of a
contractile element and parallel elastic element. The intrinsic force-length and
force-velocity properties are described using Bezier curves where we present a
system to relate physiological parameters to the control points for these curves.
The muscle-oscillator system can be geometrically scaled while preserving dynamic
and kinematic similarity to investigate the muscle size effects while controlling
for the dynamics of the harmonic oscillator. The model is driven by time-varying
muscle activations that cause the muscle to cyclically contract and drive the
dynamics of the harmonic oscillator. Thus, this framework provides a platform to
test current and future Hill-type model formulations and explore factors
affecting muscle performance in muscles of different sizes under a range of
cyclic contractile conditions.
PMID- 29659584
TI - Long-term survival of patients with thyroid cancer according to the methods of
tumor detection: A nationwide cohort study in Korea.
AB - In this retrospective cohort study, we compared the survival of patients detected
by screening with those detected based on symptoms, according to their tumor
stages. After propensity score matching, 2,130 patients with papillary or
follicular thyroid cancer, identified by screening detection (SD) and clinical
detection (CD), were included. We compared the survival rates of patients
identified by SD and CD in the early and advanced stages of thyroid cancer. Cox
proportional hazard models were used to compare the hazard ratios (HRs) for
mortality between the two groups. Of the 1,065 patients in each group, 12 (1.1%)
died in the SD group, compared to 44 (4.1%) in the CD group, during an average
9.4 years (p<0.001). For early stage, there was no significant difference in all
cause and thyroid cancer-specific mortality between the two groups (p = 0.08, p =
0.0502). However, for advanced stage, the survival rates in the SD group were
significantly higher than in the CD group (p<0.001, p = 0.004). Moreover, after
adjusting for covariates, the HRs of all-cause mortality of the SD group was
significantly lower than that of the CD group for the advanced stage patients
(HRs: 0.37 [95% CIs: 0.17-0.80]), while no significant difference was observed in
the early stage. While screening for thyroid cancer was not beneficial for early
stage patients, our findings suggest that detection via screening is associated
with better survival for patients with advanced stage cancer. However, the
effects of selection bias and lead time bias could not be entirely excluded.
PMID- 29659585
TI - Protective effect of nicorandil on myocardial injury following percutaneous
coronary intervention in older patients with stable coronary artery disease:
Secondary analysis of a randomized, controlled trial (RINC).
AB - BACKGROUND: Our previous study examined an effect of remote ischemic
preconditioning (RIPC) or intravenous nicorandil on reduction of periprocedural
myocardial injury (pMI) following percutaneous coronary intervention (PCI) in
patients with stable coronary artery disease (CAD). We further investigated the
effect of RIPC or nicorandil on pMI in older patients. METHODS: Patients with
stable CAD who planned to undergo PCI were assigned to a 1:1:1 ratio to control,
intravenous nicorandil, or upper-limb RIPC groups. This substudy analyzed
patients aged >65 years (n = 282) from the principal cohort. The primary outcome
was the incidence of pMI following PCI. We defined pMI as an elevated level of
high-sensitive cardiac troponin T or creatine kinase myocardial band 12 or 24
hours after PCI. RESULTS: We found that pMI following PCI was significantly
reduced in the nicorandil group compared with the control group (37.2% vs. 53.7%,
multiplicity-adjusted p = 0.046), but not in the RIPC group compared with the
control group (43.0% vs. 53.7%, multiplicity-adjusted p = 0.245). The adjusted
odds ratios (95% confidence interval) for pMI in the RIPC and nicorandil groups
versus the control group were 0.63 (0.34 to 1.16) and 0.51 (0.27 to 0.96),
respectively. CONCLUSION: Intravenous nicorandil significantly reduces pMI
following PCI in a subgroup of older patients with stable CAD. Phase 3 trials are
required to validate our results. TRIAL REGISTRATION: UMIN Clinical Trials
Registry UMIN000005607.
PMID- 29659586
TI - Supply-side interventions to improve health: Findings from the Salud Mesoamerica
Initiative.
AB - BACKGROUND: Results-based aid (RBA) is increasingly used to incentivize action in
health. In Mesoamerica, the region consisting of southern Mexico and Central
America, the RBA project known as the Salud Mesoamerica Initiative (SMI) was
designed to target disparities in maternal and child health, focusing on the
poorest 20% of the population across the region. METHODS AND FINDINGS: Data were
first collected in 365 intervention health facilities to establish a baseline of
indicators. For the first follow-up measure, 18 to 24 months later, 368
facilities were evaluated in these same areas. At both stages, we measured a near
identical set of supply-side performance indicators in line with country-specific
priorities in maternal and child health. All countries showed progress in
performance indicators, although with different levels. El Salvador, Honduras,
Nicaragua, and Panama reached their 18-month targets, while the State of Chiapas
in Mexico, Guatemala, and Belize did not. A second follow-up measurement in
Chiapas and Guatemala showed continued progress, as they achieved previously
missed targets nine to 12 months later, after implementing a performance
improvement plan. CONCLUSIONS: Our findings show an initial success in the supply
side indicators of SMI. Our data suggest that the RBA approach can be a motivator
to improve availability of drugs and services in poor areas. Moreover, our
innovative monitoring and evaluation framework will allow health officials with
limited resources to identify and target areas of greatest need.
PMID- 29659588
TI - Effects of mTOR-Is on malignancy and survival following renal transplantation: A
systematic review and meta-analysis of randomized trials with a minimum follow-up
of 24 months.
AB - BACKGROUND: mTOR-Is positively influence the occurrence and course of certain
tumors after solid organ transplantation. The effect of mTOR-Is on the overall
incidence of tumors irrespective of their origin is not entirely clear.
Furthermore, conflicting data have been shown on mortality under mTOR-Is.
METHODS: The current literature was searched for prospective randomized
controlled renal transplantation trials. There were 1415 trials screened of which
13 could be included (pts. = 5924). A minimum follow-up of 24 months was
mandatory for inclusion. Incidence of malignancies and patient survival was
assessed in meta-analyses. RESULTS: The average follow-up of all trials was 40.6
months. Malignancy was significantly reduced under mTOR-Is compared to CNIs (RR
0.70, CI 0.49-0.99, p = 0.046). This effect remained stable when combined with
CNIs (RR 0.58, CI 0.34-1.00, p = 0.05). When NMSCs were excluded the risk for
malignancy remained significantly reduced under mTOR-I therapy (mono and combi)
(RR 0.43, CI 0.24-0.77, p = 0.0046). Graft survival was minimally decreased under
mTOR-Is (RR 0.99, CI 0.98-1.00, p = 0.054). This effect was abrogated when mTOR
Is were combined with CNIs (RR 0.99, CI 0.97-1.02, p = 0.50). Patient survival
was not different (RR 1.00, CI 0.99-1.01, p = 0.54). CONCLUSIONS: Posttransplant
patients have a lower incidence of malignancy when treated with an mTOR-I no
matter if it is used in combination with CNIs or not. This beneficial effect
remains significant even when NMSCs are excluded. With currently used mTOR-I
based regimen patient and graft survival is not different compared to CNI
therapies.
PMID- 29659587
TI - Can chimerism explain breast/ovarian cancers in BRCA non-carriers from BRCA
positive families?
AB - Hereditary breast and ovarian cancer syndrome (HBOC) is most frequently caused by
mutations in BRCA1 or BRCA2 (in short, BRCA) genes. The incidence of hereditary
breast and ovarian cancer in relatives of BRCA mutation carriers who test
negative for the familial mutation (non-carriers) may be increased. However, the
data is controversial, and at this time, these individuals are recommended the
same cancer surveillance as general population. One possible explanation for BRCA
phenocopies (close relatives of BRCA carriers who have developed cancer
consistent with HBOC but tested negative for a familial mutation) is natural
chimerism where lack of detectable mutation in blood may not rule out the
presence of the mutation in the other tissues. To test this hypothesis, archival
tumor tissue from eleven BRCA phenocopies was investigated. DNA from the tumor
tissue was analyzed using sequence-specific PCR, capillary electrophoresis, and
pyrosequencing. The familial mutations were originally detected in the patients'
first-degree relatives by commercial testing. The same testing detected no
mutations in the blood of the patients under study. The test methods targeted
only the known familial mutation in the tumor tissue. Tumor diagnoses included
breast, ovarian, endometrial and primary peritoneal carcinoma. None of the
familial mutations were found in the tumor samples tested. These results do not
support, but do not completely exclude, the possibility of chimerism in these
patients. Further studies with comprehensive sequence analysis in a larger
patient group are warranted as a chimeric state would further refine the
predictive value of genetic testing to include BRCA phenocopies.
PMID- 29659589
TI - Correlation between physical markers and psychiatric health in a Portuguese
systemic lupus erythematosus cohort: The role of suffering in chronic autoimmune
disease.
AB - BACKGROUND: Systemic lupus erythematosus (SLE) is a chronic autoimmune disease
that affects a large number of people throughout the world. Anxiety, depression
and fatigue are common symptoms of SLE that substantially contribute to decreased
quality of life. This study investigates the interplay between physical and
psychiatric manifestations of lupus. To this end, an SLE patient cohort was
examined for correlations between clinical presentation, laboratory tests, and
psychological indicators. METHODS: Seventy-two lupus patients were evaluated for
psychological status using a battery of instruments, including assessments for
fatigue (CFS & FSS), depression (HADS), anxiety (HADS), overall health (SF-36 &
PSQI) and intimate relationship satisfaction (RAS & CSI). Scores from these
assessments were correlated with lupus clinical profiles and laboratory test
values. RESULTS: The prevalence of depression in the SLE patient cohort was
41.7%, as measured by the hospital depression and anxiety scale. The study
identified that pain (p = 0.001), body mass index (p = 0.026), Chalder's fatigue
scale (p < 0.001), fatigue severity scale (p < 0.001), and anxiety (p = 0.001)
are all positively correlated with depression in SLE patients. Total complement
(CH50) (p = 0.032), and SF-36 physical and mental characteristic assessments are
negatively correlated with depression. Longitudinal analysis indicated that the
disease related complaint alopecia (p = 0.008) and relationship assessment scale
scores (p = 0.004) may also be correlated to depression in SLE patients.
Multivariant scrutiny of the clinical and psychosocial characteristics identified
the fatigue severity scale (p = 0.026), SF-36 physical function (p = 0.040),
physical role function (0.030), and mental health (p = 0.002) as the best
indicators directly correlated with depression for the SLE cohort. CONCLUSION:
These results reveal the influence of physical manifestations of lupus including
fatigue, pain, body mass index and anxiety, as well as decreased physical and
mental function, on depression. Fatigue is the strongest factor correlated with
depression in SLE patients in the cohort. Both physical and social/psychological
aspects likely contribute to the depression and anxiety in lupus.
PMID- 29659590
TI - Organic cultivation of Ashwagandha with improved biomass and high content of
active Withanolides: Use of Vermicompost.
AB - Withania somnifera (Ashwagandha) has recently been studied extensively for its
health-supplementing and therapeutic activities against a variety of ailments.
Several independent studies have experimentally demonstrated pharmaceutical
potential of its active Withanolides, Withaferin A (Wi-A), Withanone (Wi-N) and
Withanolide A (Wil-A). However, to promote its use in herbal industry, an
environmentally sustainable cultivation and high yield are warranted. In modern
agriculture strategies, there has been indiscriminate use of chemical fertilizers
to boost the crop-yield, however the practice largely ignored its adverse effect
on the quality of soil and the environment. In view of these, we attempted to
recruit Vermicompost (Vcom, 20-100%) as an organic fertilizer of choice during
the sowing and growing phases of Ashwagandha plants. We report that (i) pre
soaking of seeds for 12 h in Vermicompost leachate (Vcom-L) and Vermicompost tea
(Vcom-T) led to higher germination, (ii) binary combination of pre-soaking of
seeds and cultivation in Vcom (up to 80%) resulted in further improvement both in
germination and seedling growth, (iii) cultivated plants in the presence of
Vcom+Vcom-L showed higher leaf and root mass, earlier onset of flowering and
fruiting and (iv) leaves from the Vcom+Vcom-L cultivated plants showed higher
level of active Withanolides, Withanone (Wi-N), Withanolide A (Wil-A) and
Withaferin A (Wi-A) and showed anticancer activities in cell culture assays.
Taken together, we report a simple and inexpensive method for improving the yield
and pharmaceutical components of Ashwagandha leaves.
PMID- 29659591
TI - Does interferon-free direct-acting antiviral therapy for hepatitis C after
curative treatment for hepatocellular carcinoma lead to unexpected recurrences of
HCC? A multicenter study by the Japanese Red Cross Hospital Liver Study Group.
AB - BACKGROUND AND AIM: This study aimed to elucidate whether interferon (IFN)-free
direct-acting antiviral (DAA) therapy for hepatitis C after curative treatment of
hepatocellular carcinoma (HCC) promotes HCC recurrence in a real-world large
scale cohort. METHODS: This multicenter study was conducted by the Japanese Red
Cross Hospital Liver Study Group. This retrospective study analyzed 516 patients
who underwent antiviral treatment for hepatitis C with either IFN (n = 148) or
IFN-free DAA (n = 368) after curative HCC treatment; 78 IFN-treated patients and
347 IFN-free DAA-treated patients achieved sustained virological response (SVR).
The recurrence rate of HCC was compared between the antiviral therapies. Logistic
analysis and Cox proportional hazards analysis identified factors associated with
early recurrence of HCC within 24 weeks of antiviral therapy and recurrence
throughout the observation period, respectively. RESULTS: AFP at the completion
of antiviral therapy, clinical stage of HCC, and non-SVR were independent factors
associated with early recurrence of HCC. Among patients who had achieved SVR, the
clinical stage of HCC and the level of AFP at completion of antiviral therapy
were independent factors associated with early recurrence of HCC. For recurrence
throughout the observation period in SVR patients, AFP at completion of antiviral
therapy, duration between last HCC treatment to antiviral therapy, and the number
of treatments were independent factors. There was no significant difference in
the rate of early recurrence of HCC or recurrence throughout the observation
period between IFN and IFN-free DAA treated patients. CONCLUSIONS: There were no
differences in the early recurrence rate of HCC between patients who underwent
IFN and those who underwent IFN-free DAA as antiviral therapies.
PMID- 29659592
TI - Motor (but not auditory) attention affects syntactic choice.
AB - Understanding the determinants of syntactic choice in sentence production is a
salient topic in psycholinguistics. Existing evidence suggests that syntactic
choice results from an interplay between linguistic and non-linguistic factors,
and a speaker's attention to the elements of a described event represents one
such factor. Whereas multimodal accounts of attention suggest a role for
different modalities in this process, existing studies examining attention
effects in syntactic choice are primarily based on visual cueing paradigms.
Hence, it remains unclear whether attentional effects on syntactic choice are
limited to the visual modality or are indeed more general. This issue is
addressed by the current study. Native English participants viewed and described
line drawings of simple transitive events while their attention was directed to
the location of the agent or the patient of the depicted event by means of either
an auditory (monaural beep) or a motor (unilateral key press) lateral cue. Our
results show an effect of cue location, with participants producing more passive
voice descriptions in the patient-cued conditions. Crucially, this cue location
effect emerged in the motor-cue but not (or substantially less so) in the
auditory-cue condition, as confirmed by a reliable interaction between cue
location (agent vs. patient) and cue type (auditory vs. motor). Our data suggest
that attentional effects on the speaker's syntactic choices are modality-specific
and limited to the visual and motor, but not the auditory, domain.
PMID- 29659593
TI - The complexity of patients hospitalized in Internal Medicine wards evaluated by
FADOI-COMPLIMED score(s). A hypothetical approach.
AB - OBJECTIVES: The aim of this study is to develop a new predictive model to measure
complexity of patients in medical wards. SETTING: 29 Internal Medicine
departments in Italy. MATERIALS AND METHODS: The study cohort was made of 541
consecutive patients hospitalized for any cause, aged more than 40 years and with
at least two chronic diseases. First, we applied a hierarchical cluster analysis
and the principal component analysis (PCA) to a panel of questionnaires
[comorbidity (Charlson, CIRS), clinical stability (MEWS), social frailty
(Flugelman), cognitive dysfunction (SPSMQ), depression (5-item GDS), functional
dependence (ADL, IADL, Barthel), risk of sore threats (Exton-Smith scale),
nutrition (MNA), pain (NRPS), adherence to therapy (Morisky scale)], in order to
select domains informative for the definition of complexity. The following step
was to create the score(s) needed to quantify it. RESULTS: Two main clusters were
identified: the first includes 7 questionnaires whose common denominator is
dependence and frailty, the second consists of 3 questionnaires representative of
comorbidity. Globally, they account for about 70% of the total variance (55.2%
and 13.8%, respectively). The first principal component was simplified in
"Complimed Score 1" (CS1) as a recalibrated average between the Barthel Index and
the Exton Smith score, whereas the second cluster was approximated to "Complimed
Score 2" (CS2), by using the Charlson score only. CONCLUSIONS: Complexity is a
two-dimensional clinical phenomenon. The FADOI-Complimed Score(s) is a new tool
useful for the routine evaluation of complexity in medical patients, simple to
use and taking around 10 minutes to complete.
PMID- 29659594
TI - Determining carnivore habitat use in a rubber/forest landscape in Brazil using
multispecies occupancy models.
AB - Understanding the factors that influence the presence and distribution of
carnivores in human-dominated agricultural landscapes is one of the main
challenges for biodiversity conservation, especially in landscapes where setting
aside large protected areas is not feasible. Habitat use models of carnivore
communities in rubber plantations are lacking despite the critical roles
carnivores play in structuring ecosystems and the increasing expansion of rubber
plantations. We investigated the habitat use of a mammalian carnivore community
within a 4,200-ha rubber plantation/forest landscape in Bahia, Brazil. We placed
two different brands of camera traps in a 90-site grid. We used a multispecies
occupancy model to determine the probabilities of habitat use by each species and
the effect of different brands of camera traps on their detection probabilities.
Species showed significant differences in habitat use with domestic dogs (Canis
familiaris) and crab-eating foxes (Cerdocyon thous) having higher probabilities
of using rubber groves and coatis (Nasua nasua) having a higher probability of
using forest. The moderate level of captures and low detection probabilities (<=
0.1) of tayras (Eira barbara) and wildcats (Leopardus spp.) precluded a precise
estimation of habitat use probabilities using the multispecies occupancy model.
The different brands of camera traps had a significant effect on the detection
probability of all species. Given that the carnivore community has persisted in
this 70-year-old landscape, the results show the potential of rubber/forest
landscapes to provide for the long-term conservation of carnivore communities in
the Atlantic forest, especially in mosaics with 30-40% forest cover and guard
patrolling systems. The results also provide insights for mitigating the impact
of rubber production on biodiversity.
PMID- 29659595
TI - Emotional and economic intimate partner violence as key drivers of depression and
suicidal ideation: A cross-sectional study among young women in informal
settlements in South Africa.
AB - TRIAL REGISTRATION: NCT03022370. Registered 13 January 2017, retrospectively
registered.
PMID- 29659596
TI - Universal expression for the drag on a fluid sphere.
AB - An expression was developed for prediction of drag coefficients for any spherical
particle, drop or bubble in an infinite, homogeneous liquid. The formula
reproduces the limiting cases for gas bubbles and solid spheres, as well as the
exact Hadamard-Rybczynski solution. The accuracy of the expression, which is
valid for Reynolds numbers up to a few hundred, was confirmed by comparison with
published numerical predictions of the drag coefficient for a range of physical
circumstances.
PMID- 29659597
TI - Measuring movement into residential care institutions in Haiti after Hurricane
Matthew: A pilot study.
AB - BACKGROUND: Governments have an ethical imperative to safeguard children in
residential care institutions at all times, including in the aftermath of an
emergency. Yet, a lack of accurate data about how the magnitude and
characteristics of this population may change due to an emergency impedes
leaders' ability to formulate responsive policies and services, mobilize
resources and foster accountability. The purpose of this study was therefore to
determine the feasibility of evaluating movement of children into residential
care following an emergency. METHODS: The pilot study took place in Les Cayes
commune in the Sud Department of Haiti in April 2017. Six months prior to the
pilot, the area was severely affected by Hurricane Matthew, with widespread
devastation to property, livestock and livelihoods. Using a two-stage process,
the team created a comprehensive list of residential care institutions in Les
Cayes. At each facility, the data collectors attempted to administer four
separate tools: a group count tool, a record review tool, interviews with staff,
and interviews with children 10 years of age and older. RESULTS: Out of 27 known
institutions in Les Cayes, 22 institutions consented to participate in the
research. Within these 22 institutions, the prevalence of new arrivals to
residential care since Hurricane Matthew varied significantly across the four
tools, ranging from 0.69% according to the aggregated child interviews to 20.96%
according to the aggregated staff interviews. Record availability and quality was
very poor and child participation was difficult to arrange due to travel and
scheduling constraints. INTERPRETATION: Robust measurement of new arrivals to
residential care institutions was not feasible in Haiti following Hurricane
Matthew. Moreover, many of the challenges encountered are likely to be
encountered in humanitarian emergencies in other settings. Therefore, the
research team does not recommend scale-up of these methods in most humanitarian
settings. Alternative approaches that incorporate household survey methods to
ascertain movement into residential care based on reports from caregivers may be
more realistic in places with poor pre-existing governance systems and weak
registries and records for residential care institutions.
PMID- 29659598
TI - Reducing health inequalities with interventions targeting behavioral factors
among individuals with low levels of education - A rapid review.
AB - Individuals with low levels of education systematically have worse health than
those with medium or high levels of education. Yet there are few examples of
attempts to summarize the evidence supporting the efficacy of interventions
targeting health-related behavior among individuals with low education levels,
and a large part of the literature is descriptive rather than analytical. A rapid
review was carried out to examine the impact of such interventions. Special
attention was given to the relative impact of the interventions among individuals
with low education levels and their potential to reduce health inequality. Of
1,365 articles initially identified, only 31 were deemed relevant for the review,
and of those, nine met the inclusion and quality criteria. The comparability of
included studies was limited due to differences in study design, sample
characteristics, and definitions of exposure and outcome variables. Therefore,
instead of performing a formal meta-analysis, an overall assessment of the
available evidence was made and summarized into some general conclusions. We
found no support for the notion that the methods used to reduce smoking decrease
inequalities in health between educational groups. Evidence was also limited for
decreasing inequality through interventions regarding dietary intake, physical
activity and mental health. Only one study was found using an intervention
designed to decrease socioeconomic inequalities by increasing the use of breast
cancer screening. Thus, we concluded that there is a lack of support regarding
this type of intervention as well. Therefore, the main conclusion is that solid
evidence is lacking for interventions aimed at individual determinants of health
and that more research is needed to fill this gap in knowledge.
PMID- 29659599
TI - Profiling extracellular vesicle release by the filarial nematode Brugia malayi
reveals sex-specific differences in cargo and a sensitivity to ivermectin.
AB - The filarial nematode Brugia malayi is an etiological agent of Lymphatic
Filariasis. The capability of B. malayi and other parasitic nematodes to modulate
host biology is recognized but the mechanisms by which such manipulation occurs
are obscure. An emerging paradigm is the release of parasite-derived
extracellular vesicles (EV) containing bioactive proteins and small RNA species
that allow secretion of parasite effector molecules and their potential
trafficking to host tissues. We have previously described EV release from the
infectious L3 stage B. malayi and here we profile vesicle release across all
intra-mammalian life cycle stages (microfilariae, L3, L4, adult male and female
worms). Nanoparticle Tracking Analysis was used to quantify and size EVs
revealing discrete vesicle populations and indicating a secretory process that is
conserved across the life cycle. Brugia EVs are internalized by murine
macrophages with no preference for life stage suggesting a uniform mechanism for
effector molecule trafficking. Further, the use of chemical uptake inhibitors
suggests all life stage EVs are internalized by phagocytosis. Proteomic profiling
of adult male and female EVs using nano-scale LC-MS/MS described quantitative and
qualitative differences in the adult EV proteome, helping define the biogenesis
of Brugia EVs and revealing sexual dimorphic characteristics in immunomodulatory
cargo. Finally, ivermectin was found to rapidly inhibit EV release by all Brugia
life stages. Further this drug effect was also observed in the related filarial
nematode, the canine heartworm Dirofilaria immitis but not in an ivermectin
unresponsive field isolate of that parasite, highlighting a potential mechanism
of action for this drug and suggesting new screening platforms for anti-filarial
drug development.
PMID- 29659602
TI - A direct Primitive Variable Recovery Scheme for hyperbolic conservative
equations: The case of relativistic hydrodynamics.
AB - In this article we develop a Primitive Variable Recovery Scheme (PVRS) to solve
any system of coupled differential conservative equations. This method obtains
directly the primitive variables applying the chain rule to the time term of the
conservative equations. With this, a traditional finite volume method for the
flux is applied in order avoid violation of both, the entropy and "Rankine
Hugoniot" jump conditions. The time evolution is then computed using a forward
finite difference scheme. This numerical technique evades the recovery of the
primitive vector by solving an algebraic system of equations as it is often used
and so, it generalises standard techniques to solve these kind of coupled
systems. The article is presented bearing in mind special relativistic
hydrodynamic numerical schemes with an added pedagogical view in the appendix
section in order to easily comprehend the PVRS. We present the convergence of the
method for standard shock-tube problems of special relativistic hydrodynamics and
a graphical visualisation of the errors using the fluctuations of the numerical
values with respect to exact analytic solutions. The PVRS circumvents the
sometimes arduous computation that arises from standard numerical methods
techniques, which obtain the desired primitive vector solution through an
algebraic polynomial of the charges.
PMID- 29659600
TI - Reconstruction of a 10-mm-long median nerve gap in an ischemic environment using
autologous conduits with different patterns of blood supply: A comparative study
in the rat.
AB - The aim of this study was to evaluate in the Wistar rat the efficacy of various
autologous nerve conduits with various forms of blood supply in reconstructing a
10-mm-long gap in the median nerve (MN) under conditions of local ischemia. A 10
mm-long median nerve defect was created in the right arm. A loose silicone tube
was placed around the nerve gap zone, in order to simulate a local ischemic
environment. Rats were divided in the following experimental groups (each with 20
rats): the nerve Graft (NG) group, in which the excised MN segment was
reattached; the conventional nerve flap (CNF) and the arterialized neurovenous
flap (ANVF) groups in which the gap was bridged with homonymous median nerve
flaps; the prefabricated nerve flap (PNF) group in which the gap was
reconstructed with a fabricated flap created by leaving an arteriovenous fistula
in contact with the sciatic nerve for 5 weeks; and the two control groups, Sham
and Excision groups. In the latter group, the proximal stump of the MN nerve was
ligated and no repair was performed. The rats were followed for 100 days. During
this time, they did physiotherapy. Functional, electroneuromyographic and
histological studies were performed. The CNF and ANVF groups presented better
results than the NG group in the following assessments: grasping test,
nociception, motor stimulation threshold, muscle weight, and histomorphometric
evaluation. Radial deviation of the operated forepaw was more common in rats that
presented worse results in the other outcome variables. Overall, CNFs and ANVFs
produced a faster and more complete recovery than NGs in the reconstruction of a
10-mm-long median nerve gap in an ischemic environment in the Wistar rat.
Although, results obtained with CNFs were in most cases were better than ANVFs,
these differences were not statistically significant for most of the outcome
variables.
PMID- 29659601
TI - In vitro assessment of cytotoxic activities of Lachesis muta muta snake venom.
AB - Envenomation by the bushmaster snake Lachesis muta muta is considered severe,
characterized by local effects including necrosis, the main cause of permanent
disability. However, cellular mechanisms related to cell death and tissue
destruction, triggered by snake venoms, are poorly explored. The purpose of this
study was to investigate the cytotoxic effect caused by L. m. muta venom in
normal human keratinocytes and to identify the cellular processes involved in in
cellulo envenomation. In order to investigate venom effect on different cell
types, Alamar Blue assay was performed to quantify levels of cellular metabolism
as a readout of cell viability. Apoptosis, necrosis and changes in mitochondrial
membrane potential were evaluated by flow cytometry, while induction of autophagy
was assessed by expression of GFP-LC3 and analyzed using fluorescence microscopy.
The cytotoxic potential of the venom is shown by reduced cell viability in a
concentration-dependent manner. It was also observed the sequential appearance of
cells undergoing autophagy (by 6 hours), apoptosis and necrosis (12 and 24
hours). Morphologically, incubation with L. m. muta venom led to a significant
cellular retraction and formation of cellular aggregates. These results indicate
that L. m. muta venom is cytotoxic to normal human keratinocytes and other cell
lines, and this toxicity involves the integration of distinct modes of cell
death. Autophagy as a cell death mechanism, in addition to apoptosis and
necrosis, can help to unravel cellular pathways and mechanisms triggered by the
venom. Understanding the mechanisms that underlie cellular damage and tissue
destruction will be useful in the development of alternative therapies against
snakebites.
PMID- 29659603
TI - Genetic diversity and distribution of Senegalia senegal (L.) Britton under
climate change scenarios in West Africa.
AB - Climate change is predicted to impact species' genetic diversity and
distribution. We used Senegalia senegal (L.) Britton, an economically important
species distributed in the Sudano-Sahelian savannah belt of West Africa, to
investigate the impact of climate change on intraspecific genetic diversity and
distribution. We used ten nuclear and two plastid microsatellite markers to
assess genetic variation, population structure and differentiation across
thirteen sites in West Africa. We projected suitable range, and potential impact
of climate change on genetic diversity using a maximum entropy approach, under
four different climate change scenarios. We found higher genetic and haplotype
diversity at both nuclear and plastid markers than previously reported. Genetic
differentiation was strong for chloroplast and moderate for the nuclear genome.
Both genomes indicated three spatially structured genetic groups. The
distribution of Senegalia senegal is strongly correlated with extractable
nitrogen, coarse fragments, soil organic carbon stock, precipitation of warmest
and coldest quarter and mean temperature of driest quarter. We predicted 40.96 to
6.34 per cent of the current distribution to favourably support the species'
ecological requirements under future climate scenarios. Our results suggest that
climate change is going to affect the population genetic structure of Senegalia
senegal, and that patterns of genetic diversity are going to influence the
species' adaptive response to climate change. Our study contributes to the
growing evidence predicting the loss of economically relevant plants in West
Africa in the next decades due to climate change.
PMID- 29659604
TI - Similarity in replication timing between polytene and diploid cells is associated
with the organization of the Drosophila genome.
AB - Morphologically, polytene chromosomes of Drosophila melanogaster consist of
compact "black" bands alternating with less compact "grey" bands and interbands.
We developed a comprehensive approach that combines cytological mapping data of
FlyBase-annotated genes and novel tools for predicting cytogenetic features of
chromosomes on the basis of their protein composition and determined the genomic
coordinates for all black bands of polytene chromosome 2R. By a PCNA
immunostaining assay, we obtained the replication timetable for all the bands
mapped. The results allowed us to compare replication timing between polytene
chromosomes in salivary glands and chromosomes from cultured diploid cell lines
and to observe a substantial similarity in the global replication patterns at the
band resolution level. In both kinds of chromosomes, the intervals between black
bands correspond to early replication initiation zones. Black bands are depleted
of replication initiation events and are characterized by a gradient of
replication timing; therefore, the time of replication completion correlates with
the band length. The bands are characterized by low gene density, contain
predominantly tissue-specific genes, and are represented by silent chromatin
types in various tissues. The borders of black bands correspond well to the
borders of topological domains as well as to the borders of the zones showing
H3K27me3, SUUR, and LAMIN enrichment. In conclusion, the characteristic pattern
of polytene chromosomes reflects partitioning of the Drosophila genome into two
global types of domains with contrasting properties. This partitioning is
conserved in different tissues and determines replication timing in Drosophila.
PMID- 29659606
TI - Humoral immunity to memory antigens and pathogens is maintained in patients with
chronic kidney disease.
AB - Patients with chronic kidney disease (CKD) have an increased risk of infection
and poorer responses to vaccination. This suggests that CKD patients have an
impaired responsiveness to all antigens, even those first encountered before CKD
onset. To examine this we evaluated antibody responses against two childhood
vaccine antigens, tetanus (TT) and diphtheria toxoids (DT) and two common
pathogens, cytomegalovirus (CMV) and Salmonella enterica serovar Enteritidis
(SEn) in two independent cohorts consisting of age-matched individuals with and
without CKD. Sera were evaluated for antigen-specific IgG titres and the
functionality of antibody to SEn was assessed in a serum bactericidal assay.
Surprisingly, patients with CKD and control subjects had comparable levels of IgG
against TT and DT, suggesting preserved humoral memory responses to antigens
encountered early in life. Lipopolysaccharide-specific IgG titres and serum
bactericidal activity in patients with CKD were also not inferior to controls.
CMV-specific IgG titres in seropositive CKD patients were similar or even
increased compared to controls. Therefore, whilst responses to new vaccines in
CKD are typically lower than expected, antibody responses to antigens commonly
encountered prior to CKD onset are not. The immunodeficiency of CKD is likely
characterised by failure to respond to new antigenic challenges and efforts to
improve patient outcomes should be focussed here.
PMID- 29659607
TI - Phenotypic plasticity of pre-adult egg maturation in a parasitoid: Effects of
host-starvation and brood size.
AB - Larvae of parasitoid wasps develop on a single arthropod host, and often face
resource limitation that induces a tradeoff between egg maturation and somatic
growth. Part of the variation in the growth-reproduction allocation was shown to
be heritable, but how the larval developmental environment affects this
allocation is not well-known. Detection of life history tradeoffs is often
facilitated under stress conditions. We therefore exposed developing female
larvae of the polyembryonic parasitoid Copidosoma koehleri (Hymenoptera:
Encyrtidae) to laboratory manipulations aimed to restrict host resources (either
host-starvation or high larval density). We compared the females' body sizes and
egg loads shortly after adult emergence (<24 h) to those of closely related
control females, which developed at a lower larval density within non-starved
hosts. Host-starvation reduced the females' body sizes but not their initial egg
loads. Females that experienced high larval density produced more eggs but were
similar in body size to the low-density controls. Thus, the relative allocation
to reproduction increased in response to both manipulations of host condition.
Developmental duration and longevity were similar in all treatments. The negative
correlation between body size and reproductive allocation, observed in the host
starvation treatment, is compatible with previous evidence from other
parasitoids. In the high larval density treatment, however, reproductive
allocation increased while body size was maintained, suggesting that the higher
density increased rather than limited host resources per developing parasitoid
female. The additional host resources that were diverted into egg production
possibly resulted from increased feeding and body mass gain by hosts parasitized
by large broods of wasps. Our results demonstrate phenotypic plasticity in
resource allocation between growth and reproduction in a developing parasitoid.
This plasticity may contribute to an adaptive balance between longevity and
mobility vs. fecundity during the adult stage.
PMID- 29659605
TI - Chronic kidney disease in the global adult HIV-infected population: A systematic
review and meta-analysis.
AB - INTRODUCTION: The widespread use of antiretroviral therapies (ART) has increased
life expectancy in HIV patients, predisposing them to chronic non-communicable
diseases including Chronic Kidney Disease (CKD). We performed a systematic review
and meta-analysis (PROSPERO registration number CRD42016036246) to determine the
global and regional prevalence of CKD in HIV patients. METHODS: We searched
PubMed, Web of Science, EBSCO and AJOL for articles published between January
1982 and May 2016. CKD was defined as estimated glomerular filtration rate (eGFR)
<60ml/min using the MDRD, Cockcroft-Gault or CKD-EPI equations. Random effects
model was used to combine prevalence estimates from across studies after variance
stabilization via Freeman-Tukey transformation. RESULT: Sixty-one eligible
articles (n = 209,078 HIV patients) in 60 countries were selected. The overall
CKD prevalence was 6.4% (95%CI 5.2-7.7%) with MDRD, 4.8% (95%CI 2.9-7.1%) with
CKD-EPI and 12.3% (95%CI 8.4-16.7%) with Cockcroft-Gault; p = 0.003 for
difference across estimators. Sub-group analysis identified differences in
prevalence by WHO region with Africa having the highest MDRD-based prevalence at
7.9% (95%CI 5.2-11.1%). Within Africa, the pooled MDRD-based prevalence was
highest in West Africa [14.6% (95%CI 9.9-20.0%)] and lowest in Southern Africa
(3.2%, 95%CI 3.0-3.4%). The heterogeneity observed could be explained by WHO
region, comorbid hypertension and diabetes mellitus, but not by gender, hepatitis
B or C coinfection, CD4 count or antiretroviral status. CONCLUSION: CKD is common
in HIV-infected people, particularly in Africa. HIV treatment programs need to
intensify screening for CKD with added need to introduce global guidelines for
CKD identification and treatment in HIV positive patients.
PMID- 29659608
TI - Clinical impact of endometrial cancer stratified by genetic mutational profiles,
POLE mutation, and microsatellite instability.
AB - BACKGROUND: The molecular characterization of endometrial cancer (EC) can
facilitate identification of various tumor subtypes. Although EC patients with
POLE mutations reproducibly demonstrate better prognosis, the outcome of patients
with microsatellite instability (MSI) remains controversial. This study attempted
to interrogate whether genetic stratification of EC can identify distinct subsets
with prognostic significance. MATERIALS AND METHODS: A cohort of 138 EC patients
who underwent surgical resection with curative intent was enrolled. Sanger
sequencing was used to evaluate mutations in the POLE and KRAS genes. MSI
analysis was performed using four mononucleotide repeat markers and methylation
status of the MLH1 promoter was measured by a fluorescent bisulfite polymerase
chain reaction (PCR). Protein expression for mismatch repair (MMR) proteins was
evaluated by immunohistochemistry (IHC). RESULTS: Extensive hypermethylation of
the MLH1 promoter was observed in 69.6% ECs with MLH1 deficiency and 3.5% with
MMR proficiency, but in none of the ECs with loss of other MMR genes (P < .0001).
MSI-positive and POLE mutations were found in 29.0% and 8.7% EC patients,
respectively. Our MSI analysis showed a sensitivity of 92.7% for EC patients with
MMR deficiency, and a specificity of 97.9% for EC patients with MMR proficiency.
In univariate and multivariate analyses, POLE mutations and MSI status was
significantly associated with progression-free survival (P = 0.0129 and 0.0064,
respectively) but not with endometrial cancer-specific survival. CONCLUSIONS:
This study provides significant evidence that analyses of proofreading POLE
mutations and MSI status based on mononucleotide repeat markers are potentially
useful biomarkers to identify EC patients with better prognosis.
PMID- 29659609
TI - Patients' perceived needs of osteoarthritis health information: A systematic
scoping review.
AB - BACKGROUND: Optimal management of osteoarthritis requires active patient
participation. Understanding patients' perceived health information needs is
important in order to optimize health service delivery and health outcomes in
osteoarthritis. We aimed to review the existing literature regarding patients'
perceived health information needs for OA. METHODS: A systematic scoping review
was performed of publications in MEDLINE, EMBASE, CINAHL and PsycINFO (1990
2016). Descriptive data regarding study design and methodology were extracted and
risk of bias assessed. Aggregates of patients' perceived needs of osteoarthritis
health information were categorized. RESULTS: 30 studies from 2876 were included:
16 qualitative, 11 quantitative and 3 mixed-methods studies. Three areas of
perceived need emerged: (1) Need for clear communication: terms used were
misunderstood or had unintended connotations. Patients wanted clear explanations.
(2) Need for information from various sources: patients wanted accessible health
professionals with specialist knowledge of arthritis. The Internet, whilst a
source of information, was acknowledged to have dubious reliability. Print media,
television, support groups, family and friends were utilised to fulfil diverse
information needs. (3) Needs of information content: patients desired more
information about diagnosis, prognosis, management and prevention. CONCLUSIONS:
Patients desire more information regarding the diagnosis of osteoarthritis, its
impact on daily life and its long-term prognosis. They want more information not
only about pharmacological management options, but also non-pharmacological
options to help them manage their symptoms. Also, patients wanted this
information to be delivered in a clear manner from multiple sources of health
information. To address these gaps, more effective communication strategies are
required. The use of a variety of sources and modes of delivery may enable the
provision of complementary material to provide information more successfully,
resulting in better patient adherence to guidelines and improved health outcomes.
PMID- 29659610
TI - DNA metabarcoding and microscopic analyses of sea turtles biofilms: Complementary
to understand turtle behavior.
AB - Sea turtles are distributed in tropical and subtropical seas worldwide. They play
several ecological roles and are considered important indicators of the health of
marine ecosystems. Studying epibiotic diatoms living on turtle shells
suggestively has great potential in the study of turtle behavior because diatoms
are always there. However, diatom identification at the species level is time
consuming, requires well-trained specialists, and there is a high probability of
finding new taxa growing on turtle shells, which makes identification tricky. An
alternative approach based on DNA barcoding and high throughput sequencing (HTS),
metabarcoding, has been developed in recent years to identify species at the
community level by using a DNA reference library. The suitabilities of
morphological and molecular approaches were compared. Diatom assemblages were
sampled from seven juvenile green turtles (Chelonia mydas) from Mayotte Island,
France. The structures of the epibiotic diatom assemblages differed between both
approaches. This resulted in different clustering of the turtles based on their
diatom communities. Metabarcoding allowed better discrimination between turtles
based on their epibiotic diatom assemblages and put into evidence the presence of
a cryptic diatom diversity. Microscopy, for its part, provided more ecological
information of sea turtles based on historical bibliographical data and the
abundances of ecological guilds of the diatom species present in the samples.
This study shows the complementary nature of these two methods for studying
turtle behavior.
PMID- 29659611
TI - Preoperative evaluation of the efficacy of radio-hyperthermo-chemotherapy for
soft tissue sarcoma in a case series.
AB - PURPOSE: Radio-hyperthermo-chemo (RHC) therapy, which combines radiotherapy,
hyperthermia, and chemotherapy, for malignant soft tissue tumors has been
introduced with the aim of decreasing the possibility of local recurrence after
surgery. To avoid unnecessary neoadjuvant therapy and to plan the appropriate
surgical treatment, surveillance of RHC therapeutic efficacy during treatment is
necessary. In this study, we determined the optimal response criteria to evaluate
the efficacy of RHC by comparing preoperative images before and after RHC with
pathological evaluation of necrosis in the resected tumor. PATIENTS AND METHODS:
From 2004 to 2014, 20 patients were enrolled into this study. Needle biopsy
revealed 6 cases of myxoid liposarcoma, 6 cases of undifferentiated pleomorphic
sarcoma, 4 cases of myxofibrosarcoma, and 4 cases of synovial sarcoma. Based on
the Response Evaluation Criteria in Solid Tumors (RECIST) 1.1 or modified RECIST,
we calculated the responses to RHC therapy by comparing pre- and post-RHC therapy
images. In addition, resected specimens underwent pathological analysis to
evaluate response based on tumor necrosis. The correlation between assessment
based on preoperative images and resected tumors were evaluated by the Spearman's
rank-order correlation coefficient. RESULT: From the surgical specimens,
pathological assessment of necrosis in resected tumor were assessed as less than
50% (2 cases), 50-90% (9 cases), 90-99% (6 cases), and total necrosis (3 cases).
Use of the RECIST 1.1 underestimated good responders as stable disease (SD) or
progressive disease (PD) in 5 out of 15 cases; on the other hand, use of the
modified RECIST did not underestimate the pathological assessment of necrosis.
The correlations between responses based on preoperative images and those based
on histological assessments were 0.23 (RECIST 1.1) and 0.76 (modified RECIST).
CONCLUSION: Because pathological responses can be underestimated using the RECIST
1.1, the modified RECIST, which take into consideration tumor viability, as
assessed by contrast MRI, should also be considered when evaluating the efficacy
of RHC.
PMID- 29659612
TI - Effects of shortened scanning intervals on calculated regional rates of cerebral
protein synthesis determined with the L-[1-11C]leucine PET method.
AB - To examine effects of scan duration on estimates of regional rates of cerebral
protein synthesis (rCPS), we reanalyzed data from thirty-nine previously reported
L-[1-11C]leucine PET studies. Subjects consisted of 12 healthy volunteers studied
twice, awake and under propofol sedation, and 15 subjects with fragile X syndrome
(FXS) studied once under propofol sedation. All scans were acquired on a high
resolution scanner. We used a basis function method for voxelwise estimation of
parameters of the kinetic model of L-[1-11C]leucine and rCPS over the interval
beginning at the time of tracer injection and ending 30, 45, 60, 75 or 90 min
later. For each study and scan interval, regional estimates in nine regions and
whole brain were obtained by averaging voxelwise estimates over all voxels in the
region. In all three groups rCPS was only slightly affected by scan interval
length and was very stable between 60 and 90 min. Furthermore, statistical
comparisons of rCPS between awake and sedated healthy volunteers provided almost
identical results when they were based on 60 min scan data as when they were
based on data from the full 90 min interval. Statistical comparisons between
sedated healthy volunteers and sedated subjects with FXS also yielded almost
identical results when based on 60 and 90 min scan intervals. We conclude that,
under the conditions of our studies, scan duration can be shortened to 60 min
without loss of precision.
PMID- 29659613
TI - Diagnostic delay and mortality of active tuberculosis in patients after kidney
transplantation in a tertiary care hospital in China.
AB - TB infection in patients after kidney transplantation remains a concern in a
successful long-term outcome. This retrospective, descriptive study was performed
on tuberculosis infection after kidney transplantation in the Department of
Infectious Disease of the First Affiliated Hospital of Zhejiang University, a
tertiary care hospital in China, from January 2011 to April 2017, with the aim to
explain the clinical features of active tuberculosis after kidney transplantation
and explore the correlated factors for diagnostic delay and mortality. It
included 48 cases. All these cases were followed up for at least 12 months after
anti-tuberculosis therapy, except the ones who died during this period. The
median time of transplantation to active tuberculosis of these 48 patients was
about 5.4 years. The time from a first hospital visit to the diagnosis
(diagnostic delay) of 12 (25%) cases was more than 30 days. The correlated
factors for the diagnostic delay more than 30 days were a fever for more than 2
weeks and antibiotic use for more than 2 weeks. Nine (18.8%) cases died during
the anti-tuberculosis therapy or following-up period due to TB relapse. The risk
factors for mortality were severe complications, such as encephaledema, severe
pneumonia, intestinal perforation, liver function failure, and the following
multiple-organ failure. In conclusion, the possibility of tuberculosis infection
should be carefully assessed and sometimes diagnostic anti-tuberculosis therapy
may be required for patients who had a fever for more than 2 weeks or used
antibiotics for more than 2 weeks after kidney transplantation. Severe
complications and the following multiple-organ failure might increase the
mortality among these patients.
PMID- 29659614
TI - KSHV induces immunoglobulin rearrangements in mature B lymphocytes.
AB - Kaposi sarcoma herpesvirus (KSHV/HHV-8) is a B cell tropic human pathogen, which
is present in vivo in monotypic immunoglobulin lambda (Iglambda) light chain but
polyclonal B cells. In the current study, we use cell sorting to infect specific
B cell lineages from human tonsil specimens in order to examine the
immunophenotypic alterations associated with KSHV infection. We describe IL-6
dependent maturation of naive B lymphocytes in response to KSHV infection and
determine that the Iglambda monotypic bias of KSHV infection in vivo is due to
viral induction of BCR revision. Infection of immunoglobulin kappa (Igkappa)
naive B cells induces expression of Iglambda and isotypic inclusion, with
eventual loss of Igkappa. We show that this phenotypic shift occurs via re
induction of Rag-mediated V(D)J recombination. These data explain the selective
presence of KSHV in Iglambda B cells in vivo and provide the first evidence that
a human pathogen can manipulate the molecular mechanisms responsible for
immunoglobulin diversity.
PMID- 29659615
TI - Natural regeneration on seismic lines influences movement behaviour of wolves and
grizzly bears.
AB - Across the boreal forest of Canada, habitat disturbance is the ultimate cause of
caribou (Rangifer tarandus caribou) declines. Habitat restoration is a focus of
caribou recovery efforts, with a goal to finding ways to reduce predator use of
disturbances, and caribou-predator encounters. One of the most pervasive
disturbances within caribou ranges in Alberta, Canada are seismic lines cleared
for energy exploration. Seismic lines facilitate predator movement, and although
vegetation on some seismic lines is regenerating, it remains unknown whether
vegetation regrowth is sufficient to alter predator response. We used Light
Detection and Ranging (LiDAR) data, and GPS locations, to understand how
vegetation and other attributes of seismic lines influence movements of two
predators, wolves (Canis lupus) and grizzly bears (Ursus arctos). During winter,
wolves moved towards seismic lines regardless of vegetation height, while during
spring wolves moved towards seismic lines with higher vegetation. During summer,
wolves moved towards seismic lines with lower vegetation and also moved faster
near seismic lines with vegetation <0.7 m. Seismic lines with lower vegetation
height were preferred by grizzly bears during spring and summer, but there was no
relationship between vegetation height and grizzly bear movement rates. These
results suggest that wolves use seismic lines for travel during summer, but
during winter wolf movements relative to seismic lines could be influenced by
factors additional to movement efficiency; potentially enhanced access to areas
frequented by ungulate prey. Grizzly bears may be using seismic lines for
movement, but could also be using seismic lines as a source of vegetative food or
ungulate prey. To reduce wolf movement rate, restoration could focus on seismic
lines with vegetation <1 m in height. However our results revealed that seismic
lines continue to influence wolf movement behaviour decades after they were
built, and even at later stages of regeneration. Therefore it remains unknown at
what stage of natural regeneration, if any, wolves cease to respond to seismic
lines. To reduce wolf response to seismic lines, active restoration tactics like
blocking seismic lines and tree planting, along with management of alternate
prey, could be evaluated.
PMID- 29659616
TI - Estimating nearshore coral reef-associated fisheries production from the main
Hawaiian Islands.
AB - Currently, information on nearshore reef-associated fisheries is frequently
disparate or incomplete, creating a challenge for effective management. This
study utilized an existing non-commercial fishery dataset from Hawai'i, covering
the period 2004-13, to estimate a variety of fundamental fishery parameters,
including participation, effort, gear use, and catch per unit effort. We then
used those data to reconstruct total catches per island. Non-commercial fisheries
in this case comprise recreational, subsistence, and cultural harvest, which may
be exchanged, but are not sold. By combining those data with reported commercial
catch data, we estimated annual catch of nearshore reef-associated fisheries in
the main Hawaiian Islands over the study period to be 1,167,758 +/- 43,059 kg
year-1 (mean +/- standard error). Average annual commercial reef fish catch over
the same time period-184,911 kg year-1-was 16% of the total catch, but that
proportion varied greatly among islands, ranging from 23% on O'ahu to 5% on
Moloka'i. These results emphasize the importance of reef fishing in Hawai'i for
reasons beyond commerce, such as food security and cultural practice, and
highlight the large differences in fishing practices across the Hawaiian Islands.
PMID- 29659617
TI - Resistance and resilience of small-scale recirculating aquaculture systems (RAS)
with or without algae to pH perturbation.
AB - The experimental set-up of this study mimicked recirculating aquaculture systems
(RAS) where water quality parameters such as dissolved oxygen, pH, temperature,
and turbidity were controlled and wastes produced by fish and feeding were
converted to inorganic forms. A key process in the RAS was the conversion of
ammonia to nitrite and nitrite to nitrate through nitrification. It was
hypothesized that algae inclusion in RAS would improve the ammonia removal from
the water; thereby improving RAS water quality and stability. To test this
hypothesis, the stability of the microbiota community composition in a freshwater
RAS with (RAS+A) or without algae (RAS-A) was challenged by introducing an acute
pH drop (from pH 7 to 4 during three hours) to the system. Stigeoclonium nanum, a
periphytic freshwater microalga was used in this study. No significant effect of
the algae presence was found on the resistance to the acute pH drop on ammonia
conversion to nitrite and nitrite conversion to nitrate. Also the resilience of
the ammonia conversion to the pH drop disruption was not affected by the addition
of algae. This could be due to the low biomass of algae achieved in the RAS.
However, with regard to the conversion step of nitrite to nitrate, RAS+A was
significantly more resilient than RAS-A. In terms of overall bacterial
communities, the composition and predictive function of the bacterial communities
was significantly different between RAS+A and RAS-A.
PMID- 29659618
TI - Vitamin D receptor suppresses proliferation and metastasis in renal cell
carcinoma cell lines via regulating the expression of the epithelial Ca2+ channel
TRPV5.
AB - We previously demonstrated that transient receptor potential vanilloid subfamily
5 (TRPV5) expression was decreased in renal cell carcinoma (RCC) compared with
that in normal kidney tissues, a finding that was correlated with vitamin D
receptor (VDR) expression, but further investigations is warranted. The aim of
this study was to elucidate whether VDR could regulate the expression of TRPV5
and affect proliferation and metastasis in RCC. In this study, we used lentivirus
to conduct the model of VDR overexpression and knockdown caki-1 and 786-O RCC
cell lines in vitro. The results demonstrated that VDR overexpression
significantly inhibited RCC cells proliferation, migration and invasion, and
promoted apoptosis by the MTT, transwell cell migration/invasion and flow
cytometry assays, respectively. However, VDR knockdown in RCC cells had the
opposite effect. The RNA-sequence assay, which was assessed in caki-1 cells after
VDR overexpression and knockdown, also indicated that significantly
differentially expressed genes were associated with cell apoptotic,
differentiation, proliferation and migration. RT-PCR and western blot analysis
showed that VDR knockdown increased TRPV5 expression and VDR overexpression
decreased TRPV5 expression in caki-1 cells. Furthermore, knockdown of TRPV5
expression suppressed the VDR knockdown-induced change in the proliferation,
migration and invasion in caki-1 cells. Taken together, these findings confirmed
that VDR functions as a tumour suppressor in RCC cells and suppresses the
proliferation, migration and invasion of RCC through regulating the expression of
TRPV5.
PMID- 29659619
TI - Characterisation of two Toxoplasma PROPPINs homologous to Atg18/WIPI suggests
they have evolved distinct specialised functions.
AB - Toxoplasma gondii is a parasitic protist possessing a limited set of proteins
involved in the autophagy pathway, a self-degradative machinery for protein and
organelle recycling. This distant eukaryote has even repurposed part of this
machinery, centered on protein ATG8, for a non-degradative function related to
the maintenance of the apicoplast, a parasite-specific organelle. However, some
evidence also suggest Toxoplasma is able to generate autophagic vesicles upon
stress, and that some autophagy-related proteins, such as ATG9, might be involved
solely in the canonical autophagy function. Here, we have characterised TgPROP1
and TgPROP2, two Toxoplasma proteins containing WD-40 repeat that can bind lipids
for their recruitment to vesicular structures upon stress. They belong to the
PROPPIN family and are homologues to ATG18/WIPI, which are known to be important
for the autophagic process. We conducted a functional analysis of these two
Toxoplasma PROPPINs. One of them is dispensable for normal in vitro growth,
although it may play a role for parasite survival in specific stress conditions
or for parasite fitness in the host, through a canonical autophagy-related
function. The other, however, seems important for parasite viability in normal
growth conditions and could be primarily involved in a non-canonical function.
These divergent roles for two proteins from the same family illustrate the
functional versatility of the autophagy-related machinery in Toxoplasma.
PMID- 29659620
TI - Why do placentas evolve? Evidence for a morphological advantage during pregnancy
in live-bearing fish.
AB - A live-bearing reproductive strategy can induce large morphological changes in
the mother during pregnancy. The evolution of the placenta in swimming animals
involves a shift in the timing of maternal provisioning from pre-fertilization
(females supply their eggs with sufficient yolk reserves prior to fertilization)
to post-fertilization (females provide all nutrients via a placenta during the
pregnancy). It has been hypothesised that this shift, associated with the
evolution of the placenta, should confer a morphological advantage to the females
leading to a more slender body shape during the early stages of pregnancy. We
tested this hypothesis by quantifying three-dimensional shape and volume changes
during pregnancy and in full-grown virgin controls of two species within the live
bearing fish family Poeciliidae: Poeciliopsis gracilis (non-placental) and
Poeciliopsis turneri (placental). We show that P. turneri is more slender than P.
gracilis at the beginning of the interbrood interval and in virgins, and that
these differences diminish towards the end of pregnancy. This study provides the
first evidence for an adaptive morphological advantage of the placenta in live
bearing fish. A similar morphological benefit could drive the evolution of
placentas in other live-bearing (swimming) animal lineages.
PMID- 29659622
TI - The association of single and combined factors of sedentary behavior and physical
activity with subjective cognitive complaints among community-dwelling older
adults: Cross-sectional study.
AB - Subjective cognitive complaints (SCC) might be a meaningful indicator of dementia
onset or mild cognitive impairment, and identifying the related factors of SCC
could contribute to preventing these diseases. However, the relationship between
SCC and lifestyle factors remains largely unproven. The purpose of this study was
to examine the association of type of sedentary behavior, physical activity, or
their combination with SCC among community-dwelling older adults. In 2016, 6677
community-living elderly were recruited to participate in a survey investigating
cognition, physical activity, and sedentary behavior. In total, 5328 participants
responded to the questionnaire (79.8% valid response rate). SCC was assessed
using the National Functional Survey Questionnaire (Kihon checklist). The
relationships between SCC and physical activity, sedentary behavior (reading
books or newspapers, and television viewing), or combined physical activity and
sedentary behavior were examined via multiple logistic regression analysis. The
analysis revealed that moderate-to-vigorous physical activity (>=150 min/week)
was significantly related with a lower risk of SCC (odds ratio [OR] = 0.85; 95%
confidence interval [CI] = 0.74-0.97), and that reading behavior showed a dose
response relationship with SCC (OR for 10-20 min/day = 0.63; 95% CI = 0.53-0.75;
OR for 20-30 min/day = 0.59; 95% CI = 0.49-0.71; OR for >=30 min/day = 0.47; 95%
CI = 0.39-0.57). In addition, among those reporting high physical activity and
>=30 min/day for reading time, the OR for SCC was 0.40 (95% CI = 0.32-0.50)
compared with the combined group reporting lower physical activity and non
readers. The present study shows that increased physical activity and reading
time may be related to a reduced risk for SCC among community-dwelling older
adults.
PMID- 29659621
TI - Referral bias in ALS epidemiological studies.
AB - BACKGROUND: Despite concerns about the representativeness of patients from ALS
tertiary centers as compared to the ALS general population, the extent of
referral bias in clinical studies remains largely unknown. Using data from EURALS
consortium we aimed to assess nature, extent and impact of referral bias.
METHODS: Four European ALS population-based registries located in Ireland,
Piedmont, Puglia, Italy, and Limousin, France, covering 50 million person-years,
participated. Demographic and clinic characteristics of ALS patients diagnosed in
tertiary referral centers were contrasted with the whole ALS populations enrolled
in registries in the same geographical areas. RESULTS: Patients referred to ALS
centers were younger (with difference ranging from 1.1 years to 2.4 years), less
likely to present a bulbar onset, with a higher proportion of familial
antecedents and a longer survival (ranging from 11% to 15%) when compared to the
entire ALS population in the same geographic area. CONCLUSIONS: A trend for
referral bias is present in cohorts drawn from ALS referral centers. The
magnitude of the possible referral bias in a particular tertiary center can be
estimated through a comparison with ALS patients drawn from registry in the same
geographic area. Studies based on clinical cohorts should be cautiously
interpreted. The presence of a registry in the same area may improve the complete
ascertainment in the referral center.
PMID- 29659623
TI - Loss of CXCR6 coreceptor usage characterizes pathogenic lentiviruses.
AB - Pandemic HIV-1 originated from the cross-species transmission of SIVcpz, which
infects chimpanzees, while SIVcpz itself emerged following the cross-species
transmission and recombination of monkey SIVs, with env contributed by the
SIVgsn/mus/mon lineage that infects greater spot-nosed, mustached and mona
monkeys. SIVcpz and HIV-1 are pathogenic in their respective hosts, while the
phenotype of their SIVgsn/mus/mon ancestors is unknown. However, two well-studied
SIV infected natural hosts, sooty mangabeys (SMs) and African green monkeys
(AGMs), typically remain healthy despite high viral loads; these species express
low levels of the canonical coreceptor CCR5, and recent work shows that CXCR6 is
a major coreceptor for SIV in these hosts. It is not known what coreceptors were
used by the precursors of SIVcpz, whether coreceptor use changed during emergence
of the SIVcpz/HIV-1 lineage, and what T cell subsets express CXCR6 in natural
hosts. Using species-matched coreceptors and CD4, we show here that SIVcpz uses
only CCR5 for entry and, like HIV-1, cannot use CXCR6. In contrast, SIVmus
efficiently uses both CXCR6 and CCR5. Coreceptor selectivity was determined by
Env, with CXCR6 use abrogated by Pro326 in the V3 crown, which is absent in
monkey SIVs but highly conserved in SIVcpz/HIV-1. To characterize which cells
express CXCR6, we generated a novel antibody that recognizes CXCR6 of multiple
primate species. Testing lymphocytes from SM, the best-studied natural host, we
found that CXCR6 is restricted to CD4+ effector memory cells, and is expressed by
a sub-population distinct from those expressing CCR5. Thus, efficient CXCR6 use,
previously identified in SM and AGM infection, also characterizes a member of the
SIV lineage that gave rise to SIVcpz/HIV-1. Loss of CXCR6 usage by SIVcpz may
have altered its cell tropism, shifting virus from CXCR6-expressing cells that
may support replication without disrupting immune function or homeostasis,
towards CCR5-expressing cells with pathogenic consequences.
PMID- 29659624
TI - A 'smart' tube holder enables real-time sample monitoring in a standard lab
centrifuge.
AB - The centrifuge is among the oldest and most widely used pieces of laboratory
equipment, with significant applications that include clinical diagnostics and
biomedical research. A major limitation of laboratory centrifuges is their "black
box" nature, limiting sample observation to before and after centrifugation.
Thus, optimized protocols require significant trial and error, while unoptimized
protocols waste time by centrifuging longer than necessary or material due to
incomplete sedimentation. Here, we developed an instrumented centrifuge tube
receptacle compatible with several commercial benchtop centrifuges that can
provide real-time sample analysis during centrifugation. We demonstrated the
system by monitoring cell separations during centrifugation for different spin
speeds, concentrations, buffers, cell types, and temperatures. We show that the
collected data are valuable for analytical purposes (e.g. quality control), or as
feedback to the user or the instrument. For the latter, we verified an adaptation
where complete sedimentation turned off the centrifuge and notified the user by a
text message. Our system adds new functionality to existing laboratory
centrifuges, saving users time and providing useful feedback. This add-on
potentially enables new analytical applications for an instrument that has
remained largely unchanged for decades.
PMID- 29659625
TI - ST waveform analysis for monitoring hypoxic distress in fetal sheep after
prolonged umbilical cord occlusion.
AB - INTRODUCTION: The inconclusive clinical results for ST-waveform analysis (STAN)
in detecting fetal hypoxemia may be caused by the signal processing of the STAN
device itself. We assessed the performance of a clinical STAN device in signal
processing and in detecting hypoxemia in a fetal sheep model exposed to prolonged
umbilical cord occlusion (UCO). METHODS: Eight fetal lambs were exposed to 25
minutes of UCO. ECG recordings were analyzed during a baseline period and during
UCO. STAN-event rates and timing of episodic T/QRS rise, baseline T/QRS rise and
the occurrence of biphasic ST-waveforms, as well as signal loss, were assessed.
RESULTS: During baseline conditions of normoxemia, a median of 40 (IQR, 25-70)
STAN-events per minute were detected, compared to 10 (IQR, 2-22) during UCO.
During UCO STAN-events were detected in five subjects within 10 minutes and in
six subjects after 18 minutes, respectively. Two subjects did not generate any
STAN-event during UCO. Biphasic ST event rate was reduced during UCO (median 0,
IQR 0-5), compared to baseline (median 32, IQR, 6-55). ST-waveforms could not be
assessed in 62% of the recording time during UCO, despite a good quality of the
ECG signal. CONCLUSIONS: The STAN device showed limitations in detecting
hypoxemia in fetal sheep after prolonged UCO. The STAN device produced high false
positive event rates during baseline and did not detect T/QRS changes adequately
after prolonged fetal hypoxemia. During 14% of baseline and 62% of the UCO
period, the STAN-device could not process the ECG signal, despite its good
quality. Resolving these issues may improve the clinical performance of the STAN
device.
PMID- 29659626
TI - Influence of respiratory mechanics and drive on genioglossus movement under
ultrasound imaging.
AB - METHODS: Twenty healthy subjects (10 males, age 28+/-5 years [mean +/- SD]) lay
supine, awake, with the head in a neutral position. Ventilation was monitored
with inductance bands. Real-time B-mode ultrasound movies were analysed. We
measured genioglossus motion (i) during spontaneous breathing, voluntary targeted
breathing (normal tidal volume Vt), and voluntary hyperpnoea (at 1.5Vt and 2 Vt);
(ii) during inspiratory flow resistive loading; (iii) with changes in end
expiratory lung volume (EELV). RESULTS: Average peak inspiratory displacement of
the infero-posterior region of genioglossus was 0.89+/-0.56 mm; 1.02+/-0.88 mm;
1.27+/-0.70 mm respectively for voluntary Vt, and during voluntary hyperpnoea at
1.5Vt and 2Vt. A change in genioglossus motion was observed with increased Vt.
During increasing inspiratory resistive loading, the genioglossus displaced less
anteriorly (p = 0.005) but more inferiorly (p = 0.027). When lung volume was
altered, no significant changes in genioglossus movement were observed (p =
0.115). CONCLUSION: In healthy subjects, we observed non-uniform heterogeneous
inspiratory motion within the inferoposterior part of genioglossus during
spontaneous quiet breathing with mean peak displacement between 0.5-2 mm, with
more displacement in the posterior region than the anterior. This regional
heterogeneity disappeared during voluntary targeted breathing. This may be due to
different neural drive to genioglossus during voluntary breathing. During
inspiratory resistive loading, the observed genioglossus motion may serve to
maintain upper airway patency by balancing intraluminal negative pressure with
positive pressure generated by upper airway dilatory muscles. In contrast,
changes in EELV were not accompanied by major changes in genioglossus motion.
PMID- 29659627
TI - N6-methyladenosine modification and the YTHDF2 reader protein play cell type
specific roles in lytic viral gene expression during Kaposi's sarcoma-associated
herpesvirus infection.
AB - Methylation at the N6 position of adenosine (m6A) is a highly prevalent and
reversible modification within eukaryotic mRNAs that has been linked to many
stages of RNA processing and fate. Recent studies suggest that m6A deposition and
proteins involved in the m6A pathway play a diverse set of roles in either
restricting or modulating the lifecycles of select viruses. Here, we report that
m6A levels are significantly increased in cells infected with the oncogenic human
DNA virus Kaposi's sarcoma-associated herpesvirus (KSHV). Transcriptome-wide m6A
sequencing of the KSHV-positive renal carcinoma cell line iSLK.219 during lytic
reactivation revealed the presence of m6A across multiple kinetic classes of
viral transcripts, and a concomitant decrease in m6A levels across much of the
host transcriptome. However, we found that depletion of the m6A machinery had
differential pro- and anti-viral impacts on viral gene expression depending on
the cell-type analyzed. In iSLK.219 and iSLK.BAC16 cells the pathway functioned
in a pro-viral manner, as depletion of the m6A writer METTL3 and the reader
YTHDF2 significantly impaired virion production. In iSLK.219 cells the defect was
linked to their roles in the post-transcriptional accumulation of the major viral
lytic transactivator ORF50, which is m6A modified. In contrast, although the
ORF50 mRNA was also m6A modified in KSHV infected B cells, ORF50 protein
expression was instead increased upon depletion of METTL3, or, to a lesser
extent, YTHDF2. These results highlight that the m6A pathway is centrally
involved in regulating KSHV gene expression, and underscore how the outcome of
this dynamically regulated modification can vary significantly between cell
types.
PMID- 29659629
TI - Characterization of cocoa production, income diversification and shade tree
management along a climate gradient in Ghana.
AB - Reduced climatic suitability due to climate change in cocoa growing regions of
Ghana is expected in the coming decades. This threatens farmers' livelihood and
the cocoa sector. Climate change adaptation requires an improved understanding of
existing cocoa production systems and farmers' coping strategies. This study
characterized current cocoa production, income diversification and shade tree
management along a climate gradient within the cocoa belt of Ghana. The
objectives were to 1) compare existing production and income diversification
between dry, mid and wet climatic regions, and 2) identify shade trees in cocoa
agroforestry systems and their distribution along the climatic gradient. Our
results showed that current mean cocoa yield level of 288kg ha-1yr-1 in the dry
region was significantly lower than in the mid and wet regions with mean yields
of 712 and 849 kg ha-1 yr-1, respectively. In the dry region, farmers diversified
their income sources with non-cocoa crops and off-farm activities while farmers
at the mid and wet regions mainly depended on cocoa (over 80% of annual income).
Two shade systems classified as medium and low shade cocoa agroforestry systems
were identified across the studied regions. The medium shade system was more
abundant in the dry region and associated to adaptation to marginal climatic
conditions. The low shade system showed significantly higher yield in the wet
region but no difference was observed between the mid and dry regions. This study
highlights the need for optimum shade level recommendation to be climatic region
specific.
PMID- 29659628
TI - Interactions between genetic variation and cellular environment in skeletal
muscle gene expression.
AB - From whole organisms to individual cells, responses to environmental conditions
are influenced by genetic makeup, where the effect of genetic variation on a
trait depends on the environmental context. RNA-sequencing quantifies gene
expression as a molecular trait, and is capable of capturing both genetic and
environmental effects. In this study, we explore opportunities of using allele
specific expression (ASE) to discover cis-acting genotype-environment
interactions (GxE)-genetic effects on gene expression that depend on an
environmental condition. Treating 17 common, clinical traits as approximations of
the cellular environment of 267 skeletal muscle biopsies, we identify 10
candidate environmental response expression quantitative trait loci (reQTLs)
across 6 traits (12 unique gene-environment trait pairs; 10% FDR per trait)
including sex, systolic blood pressure, and low-density lipoprotein cholesterol.
Although using ASE is in principle a promising approach to detect GxE effects,
replication of such signals can be challenging as validation requires
harmonization of environmental traits across cohorts and a sufficient sampling of
heterozygotes for a transcribed SNP. Comprehensive discovery and replication will
require large human transcriptome datasets, or the integration of multiple
transcribed SNPs, coupled with standardized clinical phenotyping.
PMID- 29659630
TI - Body Mass Index of 92,027 patients acutely admitted to general hospitals in
Denmark: Associated clinical characteristics and 30-day mortality.
AB - BACKGROUND: Data are sparse on the range of BMI among patients acutely admitted
to general hospitals. We investigated BMI values and associated patient
characteristics, reasons for hospital admission, and mortality in Denmark.
METHODS: We identified all persons with an acute inpatient admission 2011-2014 in
Central Denmark Region and assessed BMI measurements recorded in the Clinical
Information System. We used cross-sectional and cohort analyses to examine the
BMI distribution and its association with demographic characteristics,
comorbidities, medication use, tobacco smoking, reasons for admission, and 30-day
mortality. RESULTS: Among 92,027 acutely admitted patients (median age 62 years,
49% female) with a BMI measurement, 4% had a BMI (kg/m2) <18.5, 42% a BMI between
18.5 and 25, 34% a BMI between 25 and 30, and 20% a BMI >=30. Compared with
normal-weight patients, 30-day mortality was high among patients with BMI <18.5
(7.5% vs. 2.8%, age- and smoking-adjusted odds ratio (aOR) 2.4; 95% confidence
interval (CI): 2.0-2.9, whereas patients with overweight (aOR 0.7; 95% CI: 0.6
0.8) and obesity class I (aOR 0.8; 95% CI: 0.6-0.9)). Compared with the total
population, patients with BMI <18.5 were older (68 years median); more were
female (73%); more had comorbidities (Charlson Comorbidity Index score >0 in 42%
vs. 33% overall), more were current smokers (45% vs. 27% overall), and acute
admissions due to respiratory diseases or femoral fractures were frequent. In
contrast, patients with BMI >=30 were relatively young (59 years median), fewer
smoked (24%): type 2 diabetes, sleep disorders, cholelithiasis, and heart failure
were frequent diagnoses. Prevalence of therapies for metabolic syndrome, pain,
and psychiatric disorders increased with higher BMI, while patients with BMI
<18.5 frequently used asthma medications, glucocorticoids, and antibiotics.
CONCLUSION: In patients acutely admitted to general hospitals, reasons for
hospital admission and associated clinical characteristics differ substantially
according to BMI range. BMI <18.5 is a clinical predictor of high short-term
mortality.
PMID- 29659631
TI - The hemodynamic effects of intravenous paracetamol (acetaminophen) vs normal
saline in cardiac surgery patients: A single center placebo controlled randomized
study.
AB - The hemodynamic effects of intravenous (IV) paracetamol in patients undergoing
cardiac surgery are unknown. We performed a prospective single center placebo
controlled randomized study with parallel group design in adult patients
undergoing elective cardiac surgery. Participants received paracetamol (1 gram)
IV or placebo (an equal volume of 0.9% saline) preoperatively followed by two
postoperative doses 6 hours apart. The primary endpoint was the absolute change
in systolic (SBP) 30 minutes after the preoperative infusion, analysed using an
ANCOVA model. Secondary endpoints included absolute changes in mean arterial
pressure (MAP) and diastolic blood pressure (DPB), and other key hemodynamic
variables after each infusion. All other endpoints were analysed using random
effect generalized least squares regression modelling with individual patients
treated as random effects. Fifty participants were randomly assigned to receive
paracetamol (n = 25) or placebo (n = 25). Post preoperative infusion, paracetamol
decreased SBP by a mean (SD) of 13 (18) mmHg, p = 0.02, compared to a mean (SD)
of 1 (11) mmHg with saline. Paracetamol decreased MAP and DBP by a mean (SD) of 9
(12) mmHg and 8 (9) mmHg (p = 0.01 and 0.02), respectively, compared to a mean
(SD) of 1 (8) mmHg and 0 (6) mmHg with placebo. Postoperatively, there were no
significant differences in pressure or flow based hemodynamic parameters in both
groups. This study provides high quality evidence that the administration of IV
paracetamol in patients undergoing cardiac surgery causes a transient decrease in
preoperative blood pressure when administered before surgery but no adverse
hemodynamic effects when administered in the postoperative setting.
PMID- 29659632
TI - Correction: Enterohemorrhagic Escherichia coli O157 subclade 8b strains in Chiba
Prefecture, Japan, produced larger amounts of Shiga toxin 2 than strains in
subclade 8a and other clades.
AB - [This corrects the article DOI: 10.1371/journal.pone.0191834.].
PMID- 29659633
TI - Correction: Transporter genes identified in landraces associated with high zinc
in polished rice through panicle transcriptome for biofortification.
AB - [This corrects the article DOI: 10.1371/journal.pone.0192362.].
PMID- 29659635
TI - Correction: Psychometric properties of the Resilience Scale for Adults (RSA) and
its relationship with life-stress, anxiety and depression in a Hispanic Latin
American community sample.
AB - [This corrects the article DOI: 10.1371/journal.pone.0187954.].
PMID- 29659634
TI - Genome-wide analysis of basic helix-loop-helix superfamily members in peach.
AB - The basic helix-loop-helix (bHLH) transcription factor family is a superfamily
found in all eukaryotes that plays important roles in regulating growth and
development. Over the past several decades, many bHLH superfamily genes have been
identified and characterized in herbaceous and woody plants. However, the genes
belonging to the bHLH superfamily in peach (Prunus persica) have not yet been
comprehensively identified and characterized. Here, we identified 95 members of
the bHLH superfamily in the peach genome, and these genes were classified into 19
subfamilies based on a phylogenetic comparison with bHLH proteins from
Arabidopsis. The members within each subfamily were highly conserved according to
the analysis of motif compositions and exon/intron organizations. The 95 bHLH
genes were unevenly distributed on chromosomes 1 to 8 of the peach genome. We
identified 57 pairs of bHLH members that were orthologous between peach and
Arabidopsis. Additionally, 48 pairs of paralogous bHLH genes were identified on
the eight chromosomes of the peach genome. Coupled with relative expression
analysis of bHLH genes in red-fleshed peach fruit at five developmental stages,
we identified several bHLH genes that might be involved in fruit development and
anthocyanin biosynthesis. This study provides insight into the molecular
mechanisms through which these genes are involved in the regulation of biological
and biochemical processes in peach and lays the foundation for further studies on
these genes.
PMID- 29659637
TI - [Restoration of pathological tooth wear].
AB - On account of tooth wear, a 30-year-old man and a 23-year-old woman were referred
to a clinic for specialised dental services by their general dentist. The man
suffered from degradation of hard dental tissues. He was not in pain but had some
functional problems. In addition, the diminishing aesthetics bothered him. The
woman suffered from pain frequently, had mild functional complaints and a deep
bite. Treatment of pathological tooth wear begins with a sound diagnosis and
investigating and eliminating aetiological factors. Sufficient motivation on the
patient's side is also important. The treatment should be as minimally invasive
as possible. When indirect restorations are absent a dynamic treatment plan would
be preferred, in which new spatial relations can be tested in composites. For the
treatment of localised loss of dental tissue the Dahl Concept might be
considered. Both cases emphasise the importance of evaluating the implemented
treatment. Long-term follow-up is necessary for the enhancement of knowledge and
experience in the interpretation of the aetiology of and approach to severe tooth
wear.
PMID- 29659636
TI - Prognostic score to predict mortality during TB treatment in TB/HIV co-infected
patients.
AB - BACKGROUND: Estimating mortality risk during TB treatment in HIV co-infected
patients is challenging for health professionals, especially in a low TB
prevalence population, due to the lack of a standardized prognostic system. The
current study aimed to develop and validate a simple mortality prognostic scoring
system for TB/HIV co-infected patients. METHODS: Using data from the CDC's
Tuberculosis Genotyping Information Management System of TB patients in Texas
reported from 01/2010 through 12/2016, age >=15 years, HIV(+), and outcome being
"completed" or "died", we developed and internally validated a mortality
prognostic score using multiple logistic regression. Model discrimination was
determined by the area under the receiver operating characteristic (ROC) curve
(AUC). The model's good calibration was determined by a non-significant Hosmer
Lemeshow's goodness of fit test. RESULTS: Among the 450 patients included in the
analysis, 57 (12.7%) died during TB treatment. The final prognostic score used
six characteristics (age, residence in long-term care facility, meningeal TB,
chest x-ray, culture positive, and culture not converted/unknown), which are
routinely collected by TB programs. Prognostic scores were categorized into three
groups that predicted mortality: low-risk (<20 points), medium-risk (20-25
points) and high-risk (>25 points). The model had good discrimination and
calibration (AUC = 0.82; 0.80 in bootstrap validation), and a non-significant
Hosmer-Lemeshow test p = 0.71. CONCLUSION: Our simple validated mortality
prognostic scoring system can be a practical tool for health professionals in
identifying TB/HIV co-infected patients with high mortality risk.
PMID- 29659638
TI - [The prevalence of tooth wear in the Dutch adult population].
AB - This study, performed in 2013, aimed to assess the prevalence of tooth wear in
the Dutch adult population. The prevalence of tooth wear was not only determined
for different age groups but also according to gender, socioeconomic status and
types of teeth. Results were compared with the outcomes of a previous study in a
comparable population in 2007. The data were collected as part of a comprehensive
dental-epidemiological research project. The 1,125 adults from the city of 's
Hertogenbosch who participated in the project were subdivided into 5 age groups.
Tooth wear was quantified using a 5-point ordinal occlusal/incisal grading scale.
The number of teeth affected by tooth wear was higher in older age groups. Men
showed more tooth wear than women, as did individuals with lower socioeconomic
status, among whom a similar tendency was observed. Tooth wear prevalence found
in 2013 was higher in all age groups than in 2007. One can conclude that tooth
wear is a common condition in the Dutch adult population.
PMID- 29659639
TI - [Preventive dentistry 10. Erosive tooth wear].
AB - Erosive tooth wear has recently been at the centre of attention and its
prevalence of erosive tooth wear among adolescents in the Netherlands appears to
be rising. The multifactorial nature of the aetiology of the condition makes it
difficult to identify the relevant causal factors, both in individual cases and
within the general population. Preventive intervention is indicated in those
cases where (active) erosive tooth wear is diagnosed. Early diagnosis is
important, especially in younger patients. Preventive measures, such as dietary
advice and the use of fluoride, are recommended but the scientific evidence for
their effectiveness is still limited. In cases where acid reflux disease is the
cause, treatment with medicines can have the effect of reducing the progression
of tooth wear. Recognising a non-active condition, for example after successful
preventive treatment, is difficult, but will be supported with digital methods in
the near future.
PMID- 29659640
TI - [European statement of consensus regarding the treatment of severe tooth wear].
AB - In 2016, a European consensus meeting was held regarding the treatment of severe
tooth wear. This meeting resulted in the publication of the European statement of
consensus on the treatment of severe tooth wear in 2017. In the statement, new
definitions of physiological and pathological tooth wear are described,
recommendations for diagnosis and taking preventive measure are presented, and
advice for counseling and monitoring is offered to better elucidate the
underlying aetiological factors causing tooth wear in the patient. The decision
whether restorative treatment is appropriate is multifactorial and partially
dependent on the severity and the consequences of the wear and on the aesthetic
and functional wishes of the patient. Restorative intervention should be delayed
as long as possible, but when such intervention is indicated, the preference is
for minimally invasive techniques using direct, indirect or hybrid treatment
methods.
PMID- 29659641
TI - Introduction.
PMID- 29659642
TI - The Disease Burden of Atopic Dermatitis.
AB - Recent studies have shed light on the nature of the burden of atopic dermatitis.
Analysis of observational data has revealed the effect of atopic dermatitis in
diverse areas such as work productivity, physical activity, mood, and risk of
comorbidities beyond other atopic conditions. Studies on adults with moderate to
severe disease show that the symptoms and consequences of atopic dermatitis
affect many aspects of their lives. A clearer understanding of the burden for
patients can inform open, sensitive discussions about goals of therapy. Semin
Cutan Med Surg 36(supp4):S92-S94.
PMID- 29659643
TI - Food Allergy and Atopic Dermatitis: Fellow Travelers or Triggers?
AB - Many children with atopic dermatitis also have an allergy to one or more foods,
but the presence of these two conditions in an individual does not necessarily
indicate a causal link between them. Testing and interpretation, sometimes with
specialist consultation, may be required to discern whether food allergy is
present in a child with atopic dermatitis and-if it is present-whether the food
is triggering or exacerbating signs and symptoms of atopic dermatitis. Recent
milestone trials have demonstrated that early introduction of peanuts can reduce
the development of peanut allergy in at-risk children. Parents may benefit from
education about current revised guidelines that now recommend offering peanut
containing foods to most children at the time he or she is ready for solid food.
Semin Cutan Med Surg 36(supp4):S95-S97.
PMID- 29659644
TI - Topical Therapy for Atopic Dermatitis: New and Investigational Agents.
AB - Recently a new class of topical medications for mild to moderate atopic
dermatitis has been introduced with US Food and Drug Administration (FDA)
approval of the first new prescription medication for this condition in more than
a decade. Crisaborole, the newly approved medication, has relieved pruritus in
more than one-third of patients within as little as 48 hours. It also has
demonstrated efficacy in patients with skin of color. Topical therapies
representing other new approaches to atopic dermatitis, with novel mechanisms of
action, have shown promise in clinical development. Semin Cutan Med Surg
36(supp4):S99-S102.
PMID- 29659645
TI - Systemic Therapy of Atopic Dermatitis: Welcome to the Revolution.
AB - Until recently, systemic therapy of atopic dermatitis was limited to off-label
use of immunomodulators, which can pose significant safety concerns, and
treatment with systemic corticosteroids, not recommended in the most recent
guidelines. The introduction of dupilumab in 2017 marked a major advance in
systemic therapy for atopic dermatitis. It has demonstrated long-term efficacy in
adults with moderate to severe disease, and is being studied in children. Several
other biologic agents and "small molecules" with varying mechanisms of action are
in phase 2 or 3 development. Semin Cutan Med Surg 36(supp4):S103-S105.
PMID- 29659646
TI - Improving Outcomes Through Therapeutic Patient Education.
AB - Therapeutic patient education is a nascent movement in the care of atopic
dermatitis, offering patients-and, for children, their caregivers-knowledge,
skills, support, and coping strategies for managing what for many is a relapsing/
remitting chronic disease that severely compromises quality of life.
Interventions range from a written treatment plan provided in the office to a 6
week education program. Studies indicate that this approach yields benefits for
patients and their caregivers. Most of these studies involved children and their
parents or other caregivers, but reduced signs and symptoms of disease and
improved quality of life also has been documented in adults. Semin Cutan Med Surg
36(supp4):S106-S107.
PMID- 29659648
TI - Single-gene prognostic signatures for advanced stage serous ovarian cancer based
on 1257 patient samples.
AB - OBJECTIVE: We sought to identify stable single-gene prognostic signatures based
on a large collection of advanced stage serous ovarian cancer (AS-OvCa) gene
expression data and explore their functions. METHODS: The empirical Bayes (EB)
method was used to remove the batch effect and integrate 8 ovarian cancer
datasets. Univariate Cox regression was used to evaluate the association between
gene and overall survival (OS). The Database for Annotation, Visualization and
Integrated Discovery (DAVID) tool was used for the functional annotation of genes
for Gene Ontology (GO) terms and Kyoto Encyclopedia of Genes and Genomes (KEGG)
pathways. RESULTS: The batch effect was removed by the EB method, and 1257
patient samples were used for further analysis. We selected 341 single-gene
prognostic signatures with FDR < 0.05, in which 110 and 231 genes were positively
and negatively associated with OS, respectively. The functions of these genes
were mainly involved in extracellular matrix organization, focal adhesion and DNA
replication which are closely associated with cancer. CONCLUSION: We used the EB
method to remove the batch effect of 8 datasets, integrated these datasets and
identified stable prognosis signatures for AS-OvCa.
PMID- 29659649
TI - Systems analysis of the genetic interaction network of yeast molecular
chaperones.
AB - Molecular chaperones are typically promiscuous interacting proteins that function
globally in the cell to maintain protein homeostasis. Recently, we had carried
out experiments that elucidated a comprehensive interaction network for the core
67 chaperones and 15 cochaperones in the budding yeast Saccharomyces cerevisiae
[Rizzolo et al., Cell Rep., 2017, 20, 2735-2748]. Here, the genetic (i.e.
epistatic) interaction network obtained for chaperones was further analyzed,
revealing that the global topological parameters of the resulting network have a
more central role in mediating interactions in comparison to the rest of the
proteins in the cell. Most notably, we observed Hsp10, Hsp70 Ssz1 chaperone, and
Hsp90 cochaperone Cdc37 to be the main drivers of the network architecture.
Systematic analysis on the physicochemical properties for all chaperone
interactors further revealed the presence of preferential domains and folds that
are highly interactive with chaperones such as the WD40 repeat domain. Further
analysis with established cellular complexes revealed the involvement of R2TP
chaperone in quaternary structure formation. Our results thus provide a global
overview of the chaperone network properties in yeast, expanding our
understanding of their functional diversity and their role in protein
homeostasis.
PMID- 29659650
TI - Statistical analysis of multi-dimensional, temporal gene expression of stem cells
to elucidate colony size-dependent neural differentiation.
AB - High throughput gene expression analysis using qPCR is commonly used to identify
molecular markers of complex cellular processes. However, statistical analysis of
multi-dimensional, temporal gene expression data is complicated by limited
biological replicates and large number of measurements. Moreover, many available
statistical tools for analysis of time series data assume that the data sequence
is static and does not evolve over time. With this assumption, the parameters
used to model the time series are fixed and thus, can be estimated by pooling
data together. However, in many cases, dynamic processes of biological systems
involve abrupt changes at unknown time points, making the assumption of
stationary time series break down. We addressed this problem using a combination
of statistical methods including hierarchical clustering, change point detection,
and multiple testing. We applied this multi-step method to multi-dimensional,
temporal gene expression data that resulted from our study of colony size
dependent neural cell differentiation of stem cells. The gene expression data
were time series as the observations were recorded sequentially over time.
Hierarchical clustering segregated the genes into three distinct clusters based
on their temporal expression profiles; change point detection identified specific
time points at which the entire dataset was divided into several homogenous
subsets to allow a separate analysis of each subset; and multiple testing
procedure identified the differentially expressed genes in each cluster within
each subset of data. We established that our multi-step approach pinpoints
specific sets of genes that underlie colony size-mediated neural differentiation
of stem cells and demonstrated its advantages over conventional parametric and
non-parametric tests that do not take into account temporal dynamics of the data.
Importantly, our proposed approach is broadly applicable to any multivariate data
sets of limited sample size from high throughput and high content screening such
as in drug and biomarker discovery studies.
PMID- 29659651
TI - STAT3 is required for proliferation and exhibits a cell type-specific binding
preference in mouse female germline stem cells.
AB - LIF-mediated STAT3 signaling is critically involved in stem cells and
development. However, its function in mouse female germline cells (FGSCs) remains
elusive. In this study, we demonstrated that LIF-induced STAT3 activation
contributes to the proliferation and undifferentiation maintenance of mouse
FGSCs. Characterization of the STAT3-mediated transcriptional network by
intersecting ChIP-seq and RNA-seq datasets revealed 405 direct target genes of
STAT3, which are primarily involved in proliferation and germline development. In
particular, we observed that STAT3 exhibits a FGSC-specific binding pattern when
compared with mouse embryonic stem cells. Taken together, our study reported that
the LIF-mediated STAT3 activation is actively involved in FGSCs and functions
through a distinctive binding pattern across the FGSC genome. This cell-type
specific binding preference provides an insight into understanding the genetic
base for STAT3-driven cellular functions in germline stem cells.
PMID- 29659654
TI - LIFE-COURSE LONGITUDINAL STUDIES ARE NEEDED TO ADVANCE INTEGRATION OF GENOMICS
AND SOCIAL EPIDEMIOLOGY.
PMID- 29659655
TI - Poor Encoding and Weak Early Consolidation Underlie Memory Acquisition Deficits
in Multiple Sclerosis: Retroactive Interference, Processing Speed, or Working
Memory?
AB - Objective: Learning and memory impairments are common in multiple sclerosis (MS)
and may be related to difficulty acquiring (encoding or consolidating) new
information. We evaluate the role of retroactive interference and investigate
whether minimizing interference immediately following encoding (early during
consolidation) will improve MS participants' ability to remember new verbal
information. Additionally, we investigate processing speed differences between
memory-impaired and unimpaired participants and present an exploratory analysis
of how the dual-components of working memory (capacity vs. processing) relate to
memory impairment. Method: MS memory-unimpaired (N = 12) and MS memory-impaired
participants (N = 12) were compared to healthy controls (N = 15). Interference
onset following encoding (early, mid, late, no interference) was manipulated over
the retention interval of a verbal learning and memory task. Response times (RT)
were recorded during interference trials. Results: MS memory-impaired
participants encoded less information and lost proportionally more information
over the retention interval (weak consolidation). Lengthening the onset of
interference did not benefit memory performance in this sample. Memory
performance was unrelated to RT but was related to performance on the Symbol
Digit Modalities Test. Primary capacity of working memory did not differ across
groups; however, secondary memory processing was reduced for MS memory-impaired
participants. Conclusion: Minimizing interference following encoding did not
improve memory in this sample. Both initial encoding and early consolidation were
reduced for memory-impaired MS participants. Evidence for a relationship between
processing speed and memory was mixed and depended on the processing speed
assessment used. Memory impairment in MS may be partially due to inefficient
processing within working memory.
PMID- 29659656
TI - Sexual risk-taking and subcortical brain volume in adolescence.
AB - Background : The developmental period of adolescence marks the initiation of new
socioemotional and physical behaviors, including sexual intercourse. However,
little is known about neurodevelopmental influences on adolescent sexual decision
making. Purpose: We sought to determine how subcortical brain volume correlated
with condom use, and whether those associations differed by gender and pubertal
development. Methods: We used FreeSurfer to extract subcortical volume among N =
169 sexually experienced youth (mean age 16.07 years; 31.95% female). We
conducted multiple linear regressions to examine the relationship between
frequency of condom use and subcortical volume, and whether these associations
would be moderated by gender and pubertal development. Results: We found that the
relationship between brain volume and condom use was better accounted for by
pubertal development than by gender, and moderated the association between limbic
brain volume and condom use. No significant relationships were observed in reward
areas (e.g., nucleus accumbens) or prefrontal cortical control areas.
Conclusions: These data highlight the potential relevance of subcortical
socioemotional processing structures in adolescents' sexual decision-making.
PMID- 29659657
TI - Prognostic Value of Electrocardiographic Left Ventricular Hypertrophy on
Cardiovascular Risk in a Non-Hypertensive Community-Based Population.
AB - BACKGROUND: The appearance of left ventricular hypertrophy on 12-lead
electrocardiography (ECG-LVH) has been clarified to be associated with the risk
of incidence of cardiovascular events (CVEs) in hypertensive individuals and the
general population, but not enough in non-hypertensive individuals. METHODS: A
total of 4,927 non-hypertensive individuals >=40 years of age who were free of
CVE in the general population were followed for the incidence of CVE. ECG-LVH was
defined according to criteria of the Sokolow-Lyon (SL) voltage, Cornell voltage
(CV), or Cornell voltage product (CP). RESULTS: During the average 9.8 +/- 2.0
years of follow-up, 267 individuals (5.4%) had their first CVE. The hazard ratio
(HR) for the incidence of CVE after full adjustment by potential confounders
significantly increased in the individuals with ECG-LVH by any criteria of the SL
voltage, CV, and CP (HR = 1.77, P < 0.001) compared to those with no ECG-LVH.
This association was also significant in individuals without any of obesity,
dyslipidemia, and diabetes mellitus or those with systolic blood pressure (BP)
<120 mm Hg and diastolic BP <80 mm Hg. Furthermore, ECG-LVH by each criteria
provided the reclassification improvement for the CVE risk prediction model by
the Framingham 10-year risk score (the net reclassification improvement = 0.17
0.22, each P value < 0.010). CONCLUSIONS: In the absence of hypertension, ECG-LVH
parameters are associated with the increased risk of developed CVEs independent
of the established risk factors and provide the additional prognostic value in an
assessment of the CVE risk using the traditional risk factors.
PMID- 29659658
TI - Effect of dietary fat intake and genetics on fat taste sensitivity: a co-twin
randomized controlled trial.
AB - Background: Individuals with impaired fat taste (FT) sensitivity have reduced
satiety responses after consuming fatty foods, leading to increased dietary fat
intake. Habitual consumption of dietary fat may modulate sensitivity to FT, with
high consumption decreasing sensitivity [increasing fatty acid taste threshold
(FATT)] and low consumption increasing sensitivity (decreasing FATT). However,
some individuals may be less susceptible to diet-mediated changes in FATT due to
variations in gene expression. Objective: The objective of this study was to
determine the effect of an 8-wk low-fat or high-fat diet on FATT while
maintaining baseline weight (<2.0 kg variation) to assess heritability and to
explore the effect of genetics on diet-mediated changes in FATT. Design: A co
twin randomized controlled trial including 44 pairs (mean +/- SD age: 43.7 +/-
15.4 y; 34 monozygotic, 10 dizygotic; 33 women, 10 men, 1 gender-discordant) was
conducted. Twins within a pair were randomly allocated to an 8-wk low-fat (<20%
of energy from fat) or high-fat (>35% of energy from fat) diet. FATT was assessed
by a 3-alternate forced choice methodology and transformed to an ordinal scale
(FT rank) at baseline and at 4 and 8 wk. Linear mixed models were fit to assess
diet effect on FT rank and diet effect modification due to zygosity. A variance
components model was fit to calculate baseline heritability. Results: There was a
significant time * diet interaction for FT rank after the 8-wk trial (P < 0.001),
with the same conclusions for the subset of participants maintaining baseline
weight (low-fat; n = 32; high-fat: n = 35). There was no evidence of zygosity
effect modification (interaction of time * diet * zygosity: P = 0.892).
Heritability of baseline FT rank was 8%. Conclusions: There appears to be little
to no genetic contribution on heritability of FATT or diet-mediated changes to
FATT. Rather, environment, specifically dietary fat intake, is the main
influencer of FT sensitivity, regardless of body weight. This trial was
registered with the Australian New Zealand Clinical Trials Registry at
http://www.anzctr.org.au/ as ACTRN12613000466741.
PMID- 29659659
TI - An examination of the heterogeneity in the pattern and association between rates
of change in grip strength and global cognition in late life. A multivariate
growth mixture modelling approach.
AB - Background: previous research has demonstrated how older adults exhibit different
patterns of change in cognitive and physical functioning, suggesting differences
in the underlying causal processes. Objective: to (i) identify subgroups of older
adults that best account for different patterns of longitudinal change in
performance on global cognition and grip strength, (ii) examine the
interrelationship between global cognition and grip strength trajectories within
these subgroups and (iii) identify demographic and health-related markers of
class membership. Methods: multivariate growth mixture models (GMM) were used to
identify groups of individuals with similar developmental trajectories of muscle
strength measured by grip strength, and global cognition measured by Mini Mental
State Examination (MMSE). Results: GMM analyses indicated high, moderate and low
functioning groups. Individuals in the high and moderate classes demonstrated
better cognitive and physical functioning at the start of the study and less
decline than those in the low functioning group. Notably, cognitive performance
was related to physical functioning at study entry only among individuals in the
low functioning group. Conclusion: the study demonstrates the applicability of
the multivariate GMM to achieve a better understanding of the heterogeneity of
various aging related processes.
PMID- 29659660
TI - Bioinformatics-guided connection of a biosynthetic gene cluster to the antitumor
antibiotic gilvusmycin.
PMID- 29659662
TI - Erratum to "Breastfeeding and Maternal Hypertension".
PMID- 29659661
TI - RNA and microRNA Stability in PAXgene-Fixed Paraffin-Embedded Tissue Blocks After
Seven Years' Storage.
AB - Objectives: To evaluate the stability of RNA and microRNA (miRNA) in PAXgene
fixed paraffin-embedded tissue blocks after 7 years' storage. Methods: RNA and
miRNA were extracted from PAXgene-fixed paraffin-embedded (PFPE) blocks in 2009
then stored at -80 degrees C. Seven years later, RNA and miRNA were again
extracted from the same blocks. RNA and miRNA integrity in the 2009 and 2016
extractions were compared using RNA integrity number (RIN), paraffin-embedded RNA
metric (PERM), reverse transcription polymerase chain reaction (RT-PCR) for
different amplicon lengths, and quantitative RT-PCR (qRT-PCR) for three mRNA and
three miRNA targets. Results: In PFPE blocks, mRNA was poorer in 2016 extractions
compared to the 2009 extractions in all blocks and all assays applied, with
transcripts degrading at different rates in the same blocks. For miRNA, qRT-PCR
showed no statistically significant differences between 2009 and 2016
extractions. Conclusions: mRNA in PFPE tissue blocks degrades at room temperature
storage over 7 years.
PMID- 29659663
TI - Real-World Evidence for Regulatory Decisions: Concomitant Administration of
Zoster Vaccine Live and Pneumococcal Polysaccharide Vaccine.
AB - The US Food and Drug Administration is charged with expanding the use of real
world evidence for regulatory decisions. As a test case for real-world evidence
to support regulatory decisions, we present the scenario of concomitant
vaccination with zoster vaccine live (ZVL) and 23-valent pneumococcal
polysaccharide vaccine (PPSV23). The prescribing information states that these
vaccines should not be given concurrently, based on a small trial using varicella
zoster virus antibody levels as a correlate of ZVL efficacy, even though ZVL
protects against herpes zoster via cell-mediated immunity. We conducted an
observational cohort study involving more than 35,000 members of Kaiser
Permanente Southern California receiving concomitant ZVL and PPSV23 versus PPSV23
prior to ZVL. Occurrence of herpes zoster was assessed through electronic health
records from January 1, 2007, to June 30, 2016. The adjusted hazard ratio
comparing incidence rates of herpes zoster in the concomitant vaccination cohort
and the prior vaccination cohort was 1.04 (95% confidence interval: 0.92, 1.16).
This real-world evidence study provides direct evidence for a lack of vaccine
interference, relying on herpes zoster occurrence rather than an intermediate
marker of immunity. Real-world evidence is essential for regulators and policy
makers in addressing evidentiary gaps regarding safety, effectiveness,
compliance, and vaccine interactions for the new recombinant zoster vaccine.
PMID- 29659665
TI - The Influence of Cognitive Reserve on Recovery from Traumatic Brain Injury.
AB - Objective: we sought to determine the degree to which cognitive reserve, as
assessed by the Test of Premorbid Functioning in combination with demographic
variables, could act as a buffer against the effect of traumatic brain injury
(TBI) on cognitive test performance. Method: retrospective analysis of a cohort
of 121 persons with TBI who completed the Wechsler Adult Intelligence Scale
Fourth Edition (WAIS-IV) within 1-12 months after injury. Results: regression
analyses indicated that cognitive reserve was a statistically significant
predictor of all postinjury WAIS-IV factor index scores, after controlling for
various premorbid and comorbid confounding variables. Only for Processing Speed
did injury severity make an additional statistically significant contribution to
the prediction model. Conclusions: cognitive reserve has a protective effect with
regard to the impact of TBI on cognitive test performance but this effect is
imperfect and does not completely negate the effect of injury severity.
PMID- 29659664
TI - Depletion of sucrose induces changes in the tip growth mechanism of tobacco
pollen tubes.
AB - Background and Aims: Pollen tubes are rapidly growing, photosynthetically
inactive cells that need high rates of energy to support growth. Energy can
derive from internal and external storage sources. The lack of carbon sources can
cause various problems during pollen tube growth, which in turn could affect the
reproduction of plants. Methods: We analysed the effects of energy deficiency on
the development of Nicotiana tabacum pollen tubes by replacing sucrose with
glycerol in the growth medium. We focused on cell growth and related processes,
such as metabolite composition and cell wall synthesis. Key Results: We found
that the lack of sucrose affects pollen germination and pollen tube length during
a specific growth period. Both sugar metabolism and ATP concentration were
affected by sucrose shortage when pollen tubes were grown in glycerol-based
media; this was related to decreases in the concentrations of glucose, fructose
and UDP-glucose. The intracellular pH and ROS levels also showed a different
distribution in pollen tubes grown in sucrose-depleted media. Changes were also
observed at the cell wall level, particularly in the content and distribution of
two enzymes related to cell wall synthesis (sucrose synthase and callose
synthase). Furthermore, both callose and newly secreted cell wall material
(mainly pectins) showed an altered distribution corresponding to the lack of
oscillatory growth in pollen tubes. Growth in glycerol-based media also
temporarily affected the movement of generative cells and, in parallel, the
deposition of callose plugs. Conclusion: Pollen tubes represent an ideal model
system for studying metabolic pathways during the growth of plant cells. In our
study, we found evidence that glycerol, a less energetic source for cell growth
than sucrose, causes critical changes in cell wall deposition. The evidence that
different aspects of pollen tube growth are affected is an indication that pollen
tubes adapt to metabolic stress.
PMID- 29659666
TI - Reliable Digit Span: Does it Adequately Measure Suboptimal Effort in an Adult
Epilepsy Population?
AB - Objective: Assessment of performance validity is a necessary component of any
neuropsychological evaluation. Prior research has shown that cutoff scores of <=6
or <=7 on Reliable Digit Span (RDS) can detect suboptimal effort across numerous
adult clinical populations; however, these scores have not been validated for
that purpose in an adult epilepsy population. This investigation aims to
determine whether these previously established RDS cutoff scores could detect
suboptimal effort in adults with epilepsy. Method: Sixty-three clinically
referred adults with a diagnosis of epilepsy or suspected seizures were
administered the Digit Span subtest of the Wechsler Adult Intelligence Scale
(WAIS-III or WAIS-IV). Most participants (98%) passed Trial 2 of the Test of
Memory Malingering (TOMM), achieving a score of >=45. Results: Previously
established cutoff scores of <=6 and <=7 on RDS yielded a specificity rate of 85%
and 77% respectively. Findings also revealed that RDS scores were positively
related to attention and intellectual functioning. Given the less than ideal
specificity rate associated with each of these cutoff scores, together with their
strong association to cognitive factors, secondary analyses were conducted to
identify more optimal cutoff scores. Preliminary results suggest that an RDS
cutoff score of <=4 may be more appropriate in a clinically referred adult
epilepsy population with a low average IQ or lower. Conclusions: Preliminary
findings indicate that cutoff scores of <=6 and <=7 on RDS are not appropriate in
adults with epilepsy, especially in individuals with low average IQ or below.
PMID- 29659667
TI - Exploration of older people's perceptions of behavioural factors associated with
falls.
AB - Background: falls rates in older people continue to rise despite concerted
efforts to manage falls risks. As more effective strategies to reduce falls in
older people may arise from better understanding their perspectives on falls
risk, this study aimed to explore perceptions and behavioural decisions that may
affect risk of falling among older people living in regional Australia. Method:
this qualitative research, informed by hermeneutics, explored older people's
perspectives on decisions they made that could affect their falls risk. The study
involved 26 participants (21 females) aged 65-84 years, residing in regional
Australia. In total, 13 participated in semi-structured focus groups and 13 in
semi-structured, in-depth interviews. Results: six key themes illuminated the
challenges older people faced in relation to falls risk. These were: the role
that independence played in decision making regarding risk; the influence of
previous falls experience; older people's level of understanding of risks;
ability and willingness to engage with support; the need or desire to cover up a
fall history; and the influence of finances in managing risk. Older people's
accounts demonstrated they experienced competing influences that impacted upon
decisions they made with respect to falls risks. Most significantly, the complex
interplay of these influences drove the decisions older people made, sometimes
placing them at greater risk of falling. Conclusion: consideration of the
multifaceted issues older people face when managing falls risk, and the influence
these factors have on their behaviours, is vital to successfully reducing rates
of fall related injuries in this population.
PMID- 29659668
TI - Cytology cell blocks are suitable for immunohistochemical testing for PD-L1 in
lung cancer.
AB - Background: PD-L1 immunohistochemistry (IHC) testing is usually carried out on
tissue blocks from core needle biopsy or surgical resections. In this study, we
assessed the feasibility of using cytology cell blocks for PD-L1 IHC assay.
Methods: A total of 1419 consecutive cases of non-small-cell lung cancer (NSCLC),
including 371 cytology cell blocks, 809 small biopsies, and 239 surgical
specimens, were included in the study. The cytology cell blocks were prepared
with formalin only, methanol/alcohol only or both. PD-L1 expression was examined
by staining with Dako PD-L1 IHC 22C3 pharmDx kit. A Tumor Proportion Score (TPS)
was categorized as <1%, 1%-49% and >=50% tumor cells. A total of 100 viable tumor
cells were required for adequacy. Results: Of the cytology cell blocks, 92% of
the specimens had an adequate number of tumor cells, not significantly different
from small biopsies. The rate of TPS >=50% differed between sample types and was
observed in 42% of cytology cell blocks versus 36% of small biopsies (P = 0.04),
and 29% of surgical resections (P = 0.001). The fixative methods did not affect
the immunostaining, with overall PD-L1 high expression (TPS >=50%) rates of 42%
in formalin-fixed specimens versus 40% in specimens with combined fixation by
methanol/alcohol and formalin (NS). The PD-L1 high expression rate was not
associated with EGFR, ALK or KRAS molecular alterations. Higher stage (IV) was
associated with higher PD-L1 TPS (P= 0.001). Conclusion: Our results show that
when the TPS >=50% is used as the end point, PD-L1 IHC performs well with
cytology cell blocks. Cell blocks should be considered as a valuable resource for
PD-L1 testing in advanced NSCLC. The clinical significance of higher PD-L1 IHC
scores in cytology specimens needs to be evaluated prospectively.
PMID- 29659669
TI - Overexpression of FIBCD1 Is Predictive of Poor Prognosis in Gastric Cancer.
AB - Objectives: Fibrinogen C domain containing 1 (FIBCD1) is a newly identified
acetyl group recognition receptor. The aim of this study was to evaluate the
prognostic significance of FIBCD1 in gastric cancer. Methods: This study included
706 samples, and the clinical data of all patients were recorded in detail. We
studied messenger RNA (mRNA) and protein expression of FIBCD1 in cancerous and
normal tissues by quantitative real-time polymerase chain reaction (n = 54) and
tissue microarray immunohistochemistry analysis (n = 706), respectively. Results:
mRNA and protein expression levels of FIBCD1 were significantly higher in gastric
cancer than in normal tissues. High FIBCD1 protein level showed significant
correlations with age (P = .011), TNM stage (P < .001), serum carcinoembryonic
antigen (CEA) level (P = .002), and the expression of human epidermal growth
factor receptor 2 (P < .001). Kaplan-Meier survival analysis revealed that
patients with gastric cancer with high levels of FIBCD1 had a significantly
shorter survival time than those with low expression levels. In univariate
analysis, high FIBCD1 expression, older age, histologic type, differentiation,
TNM stage, serum CEA, and serum CA19-9 level correlated with overall survival.
Multivariate analysis suggested that FIBCD1 expression was an independent
prognostic factor. Conclusions: FIBCD1 may be a novel biomarker to evaluate the
prognosis of gastric cancer.
PMID- 29659670
TI - Histone deacetylase SIRT6 inhibits glioma cell growth through down-regulating
NOTCH3 expression.
AB - Gliomas are the most common brain tumors of the central nervous system. In this
study, we investigated the molecular mechanisms and biological function of SIRT6
in human gliomas. The expression levels of SIRT6 in glioma tissues and cells were
analyzed by qRT-PCR and western blot analysis. CCK8 and clonogenicity assays were
performed to detect the cell proliferation. Furthermore, the migration and
invasion of glioma cells were examined by transwell assays. It was found that the
expression of SIRT6 was significantly lower in human glioma tissues or cell lines
compared with the normal brain tissue or NHA. Up-regulated SIRT6 significantly
decreased cell proliferation, migration and invasion of U87 and U251 cells. By
contrast, knockdown of SIRT6 dramatically increased cell proliferation, migration
and invasion of U87 and U251 cells. Moreover, over expression of NOTCH3
significantly increased the cell proliferation, migration, and invasion of U87
and U251 cells. However, these effects were abolished after overexpression of
SIRT6. These results suggest that SIRT6 may suppress cell proliferation,
migration, and invasion via inhibition of the NOTCH3 signaling pathway in glioma.
PMID- 29659671
TI - Early life determinants of frailty in old age: the Helsinki Birth Cohort Study.
AB - Background: there is evidence suggesting that several chronic diseases have their
origins in utero and that development taking place during sensitive periods may
affect the aging process. We investigated whether early life determinants would
be associated with frailty in old age. Methods: at a mean age of 71 years, 1,078
participants belonging to the Helsinki Birth Cohort Study were assessed for
frailty according to the Fried frailty criteria. Early life measurements (birth
weight, length, mother body mass index [BMI] and parity) were obtained from
birth, child welfare and school health records. Multinomial regression analysis
was used to assess the association between early life determinants and frailty in
old age. Results: weight, length and BMI at birth were all inversely associated
with frailty in old age. A 1 kg increase in birth weight was associated with a
lower relative risk ratio (RRR) of frailty (age and sex-adjusted RRR = 0.40, 95%
CI: 0.19, 0.82) compared to non-frailty. Associations persisted after adjusting
for several confounding factors. Compared to cohort members in the upper middle
class, those who as adults worked as manual workers or belonged to the lower
middle class, were at an increased risk of frailty. Conclusions: those who were
small at birth were at an increased risk of developing frailty in old age,
suggesting that frailty is at least partly programmed in early life. A less
privileged socioeconomic status in adulthood was associated with an increased
risk of frailty in old age.
PMID- 29659672
TI - Phase III randomized study of second line ADI-PEG 20 plus best supportive care
versus placebo plus best supportive care in patients with advanced hepatocellular
carcinoma.
AB - Background: Arginine depletion is a putative target in hepatocellular carcinoma
(HCC). HCC often lacks argininosuccinate synthetase, a citrulline to arginine
repleting enzyme. ADI-PEG 20 is a cloned arginine degrading enzyme-arginine
deiminase-conjugated with polyethylene glycol. The goal of this study was to
evaluate this agent as a potential novel therapeutic for HCC after first line
systemic therapy. Methods and patients: Patients with histologically proven
advanced HCC and Child-Pugh up to B7 with prior systemic therapy, were randomized
2 : 1 to ADI-PEG 20 18 mg/m2 versus placebo intramuscular injection weekly. The
primary end point was overall survival (OS), with 93% power to detect a 4-5.6
months increase in median OS (one-sided alpha = 0.025). Secondary end points
included progression-free survival, safety, and arginine correlatives. Results: A
total of 635 patients were enrolled: median age 61, 82% male, 60% Asian, 52%
hepatitis B, 26% hepatitis C, 76% stage IV, 91% Child-Pugh A, 70% progressed on
sorafenib and 16% were intolerant. Median OS was 7.8 months for ADI-PEG 20 versus
7.4 for placebo (P = 0.88, HR = 1.02) and median progression-free survival 2.6
months versus 2.6 (P = 0.07, HR = 1.17). Grade 3 fatigue and decreased appetite
occurred in <5% of patients. Two patients on ADI-PEG 20 had >=grade 3
anaphylactic reaction. Death rate within 30 days of end of treatment was 15.2% on
ADI-PEG 20 versus 10.4% on placebo, none related to therapy. Post hoc analyses of
arginine assessment at 4, 8, 12 and 16 weeks, demonstrated a trend of improved OS
for those with more prolonged arginine depletion. Conclusion: ADI-PEG 20
monotherapy did not demonstrate an OS benefit in second line setting for HCC. It
was well tolerated. Strategies to enhance prolonged arginine depletion and
synergize the effect of ADI-PEG 20 are underway. Clinical Trial number:
www.clinicaltrials.gov (NCT 01287585).
PMID- 29659673
TI - Evaluation of the Utility of Bone Marrow Morphology and Ancillary Studies in
Pediatric Patients Under Surveillance for Myelodysplastic Syndrome.
AB - Objectives: To evaluate the utility of flow cytometry, karyotype, and a
fluorescence in situ hybridization (FISH) panel in screening children for
myelodysplastic syndrome (MDS). Methods: Bone marrow morphology, flow cytometry,
karyotype, and FISH reports from 595 bone marrow specimens (246 patients) were
analyzed. Results: By morphology, 8.7% of cases demonstrated at least unilineage
dysplasia and/or increased blasts. Flow cytometry identified definitive
abnormalities in 2.8% of cases, all of which had abnormal morphology. Of the 42
cases (7.2%) with acquired karyotypic abnormalities, 26 had no morphologic
dysplasia. With a 98.2% concordance between karyotype and MDS FISH, FISH only
identified two additional cases, both with low-level (<4%) abnormalities.
Peripheral blood count evaluation only identified the absence of thrombocytopenia
to correlate with an absence of abnormal ancillary tests. Conclusions: The
combination of morphologic evaluation and karyotype with judicious use of flow
cytometry and MDS FISH is sufficient to detect abnormalities for these
indications.
PMID- 29659674
TI - GETTING SERIOUS ABOUT EMBODIMENT: CAUTIONS ABOUT INTERPRETING NOVEL FINDINGS OF
SOCIOECONOMIC PATTERNS IN BIOLOGICAL FUNCTION.
PMID- 29659675
TI - Facing the Inevitable: Being Prepared for Regulatory Requirements for Laboratory
Developed Tests.
AB - Objectives: We introduce regulatory terms, definitions, and the Quality System
Regulation as proposed by the US Food and Drug Administration in the 2014 draft
guidance entitled Framework for Regulatory Oversight of Laboratory Developed
Tests and explore medical device requirements applicable to a laboratory
environment to design, develop, and validate laboratory developed tests (LDTs).
Methods: We performed nine interviews with laboratory professionals to explore
concerns and challenges regarding the draft, translated the results into
operational factors, and surveyed professionals to test the factors that would
comprise a regulatory quality management system framework. Results: Nine
interviewees and 35 survey respondents shared concerns of risk classification,
process validation, patient safety, and general ambiguity regarding the proposed
requirements for development of LDTs. Conclusions: Respondents agree that a
regulatory quality management system is needed in laboratories that develop LDTs,
but the translation and method for design control to a clinical laboratory do not
exist. As a result, laboratories are taking the wait-and-see approach.
PMID- 29659676
TI - Methodology of clinical trials evaluating the incorporation of new drugs in the
first-line treatment of patients with diffuse large B-cell lymphoma (DLBCL): a
critical review.
AB - Background: The first-line treatment of diffuse large B-cell lymphoma (DLBCL) is
the combination of rituximab with CHOP (cyclophosphamide, doxorubicin,
vincristine, prednisone) chemotherapy, curing approximately 60% of patients. Many
clinical trials have been carried out over the last 10 years trying to improve
the results of this treatment, but the appropriateness of their planning
strategies could be rediscussed. Patients and methods: Reports of phase III
trials evaluating the addition of molecularly targeted agents or new monoclonal
antibodies to the classic R-CHOP backbone in first-line induction or maintenance
treatment were reviewed. The trial design, primary end point, number of patients
enrolled, patient selection criteria, treatment schedule and results were
registered for each one. In addition, the phases I and II trials which preceded
these phase III trials were also reviewed. Results: Among six phase III trials
with results, only one trial evaluating lenalidomide maintenance after response
to R-CHOP induction was positive and reached its primary end point. The other
five trials did not show an improved outcome with the addition of the new agent.
The preceding phases I and II trials were very heterogeneous in their end points
and design. Even though most of these trials were considered positive, thus
encouraging further investigation, so far they failed to predict the results of
the subsequent phase III trials. Conclusion: The standard of care for DLBCL is
still R-CHOP. Phase I/II trials failed to predict the results of subsequent phase
III trials evaluating non-chemotherapeutic agents added to R-CHOP. The
methodology of phase II trials evaluating new agents in DLBCL needs to be better
defined in the future.
PMID- 29659678
TI - Test Cost and Test Accuracy in Clinical Laboratories in Kampala, Uganda.
AB - Objectives: To assess the accuracy and costs of laboratory tests in Kampala,
Uganda. Methods: A random selection of 78 laboratories tested external quality
assurance samples at market rates. There were 40 moderate- to high-complexity and
38 low-complexity laboratories. Four percent (3/78) of these laboratories were
accredited and 94% (73/78) were private. The 40 moderate- to high-complexity
laboratories performed malaria blood smear, urine human chorionic gonadotropin
(hCG), human immunodeficiency virus (HIV), syphilis, glucose, and three-panel
tests: CBC, liver function tests, and kidney function tests. The 38 low
complexity laboratories performed malaria blood smear, urine hCG, and syphilis
testing only. Hematology, HIV, syphilis, and malarial proficiency testing samples
were prepared by accredited laboratories in Kampala. All other samples were
provided by the Royal College of Pathologists of Australia. Results: 77.1% of all
results were accurate (met target values). It varied widely by laboratory (50%
100%), test identity (malaria blood smear, 96%; serum urea nitrogen, 38%), and
test type (quantitative: 66% [31%-89%], qualitative: 91% [68%-97%]). Test prices
varied by up to 3,600%, and there was no correlation between test cost and
accuracy (r2 = 0.02). Conclusions: There were large differences in accuracy and
price across laboratories in Kampala. Price was not associated with quality.
PMID- 29659677
TI - Targeting the human epidermal growth factor receptor 2 (HER2) oncogene in
colorectal cancer.
AB - Human epidermal growth factor receptor 2 (HER2) is an oncogenic driver, and a
well-established therapeutic target in breast and gastric cancers. Using
functional and genomic analyses of patient-derived xenografts, we previously
showed that a subset (approximately 5%) of metastatic colorectal cancer (CRC)
tumors is driven by amplification or mutation of HER2. This paper reviews the
role of HER2 amplification as an oncogenic driver, a prognostic and predictive
biomarker, and a clinically actionable target in CRC, considering the specifics
of HER2 testing in this tumor type. While the role of HER2 as a biomarker for
prognosis in CRC remains uncertain, its relevance as a therapeutic target has
been established. Indeed, independent studies documented substantial clinical
benefit in patients treated with biomarker-driven HER2-targeted therapies, with
an impact on response rates and duration of response that compared favorably with
immunotherapy and other examples of precision oncology. HER2-targeted therapeutic
strategies have the potential to change the treatment paradigm for a clinically
relevant subgroup of metastatic CRC patients.
PMID- 29659679
TI - Surveillance imaging with FDG-PET/CT in the post-operative follow-up of stage 3
melanoma.
AB - Background: As early detection of recurrent melanoma maximizes treatment options,
patients usually undergo post-operative imaging surveillance, increasingly with
FDG-PET/CT (PET). To assess this, we evaluated stage 3 melanoma patients who
underwent prospectively applied and sub-stage-specific schedules of PET
surveillance. Patients and methods: From 2009, patients with stage 3 melanoma
routinely underwent PET +/- MRI brain scans via defined schedules based on sub
stage-specific relapse probabilities. Data were collected regarding patient
characteristics and outcomes. Contingency analyses were carried out of imaging
outcomes. Results: One hundred and seventy patients (stage 3A: 34; 3B: 93; 3C:
43) underwent radiological surveillance. Relapses were identified in 65 (38%)
patients, of which 45 (69%) were asymptomatic. False-positive imaging findings
occurred in 7%, and 6% had treatable second (non-melanoma) malignancies. Positive
predictive values (PPV) of individual scans were 56%-83%. Negative scans had
predictive values of 89%-96% for true non-recurrence [negative predictive values
(NPV)] until the next scan. A negative PET at 18 months had NPVs of 80%-84% for
true non-recurrence at any time in the 47-month (median) follow-up period.
Sensitivity and specificity of the overall approach of sub-stage-specific PET
surveillance were 70% and 87%, respectively. Of relapsed patients, 33 (52%)
underwent potentially curative resection and 10 (16%) remained disease-free after
24 months (median). Conclusions: Application of sub-stage-specific PET in stage 3
melanoma enables asymptomatic detection of most recurrences, has high NPVs that
may provide patient reassurance, and is associated with a high rate of detection
of resectable and potentially curable disease at relapse.
PMID- 29659680
TI - Reply to the letter to the editor 'ESMO-MCBS v1.1: statistical and patient
relevant shortcomings' by Emprechtinger et al.
PMID- 29659681
TI - Snacking Recommendations Worldwide: A Scoping Review.
AB - Across the globe, dietary habits include the consumption of foods and drinks
between main meals. Although often described as "snacks" or "snacking," there is
no scientific consensus of what constitutes a snack, either as an eating occasion
or as a snack food. Nonetheless, food-based dietary guidelines, compiled at
national or regional levels by governments, learned societies, and health
organizations, frequently refer to snacking habits and desirable or undesirable
snack food choices. This review aims to provide a comprehensive snapshot of
snacking recommendations worldwide. From a search of 207 countries and
organizations, 49 countries and 7 regional or global organizations were
identified that referred to snacks, snack foods, or snacking. A total of 136
snacking-specific recommendations or examples were identified, which varied in
nature whereby some provided advice on the quality of the snack food choice and
others focused on the frequency or energy and nutrient composition of such
snacks. Guidelines varied in terms of the detail of foods and drinks identified,
wherein some recommendations focused only on foods or food categories to include
(e.g., fruit or dairy) or to exclude (e.g., processed foods), whereas other
recommendations made reference to both. Both individual foods (e.g., apples) and
food categories (e.g., fruit) were mentioned. Reasons or rationales to support
the snacking choices were less frequently identified and varied across regions.
It is hoped that this analysis will stimulate discussion on the need for a
consensus in the scientific community and beyond with regard to snacking. An
agreed-upon definition of snacks, snacking, and snack foods could be used to
inform a number of stakeholders and ultimately help consumers adhere to healthful
diets as defined locally.
PMID- 29659682
TI - Xanthophylls.
PMID- 29659683
TI - Review of 100% Fruit Juice and Chronic Health Conditions: Implications for Sugar
Sweetened Beverage Policy.
AB - Whether or not drinking 100% fruit juice causes poor health is controversial.
Although 100% fruit juice may contain as much sugar as regular soda, it provides
needed nutrients to Americans' diets. We systematically reviewed the current
evidence of the association of 100% fruit juice consumption and chronic health
conditions in children and adults. We focused on data from systematic reviews and
meta-analyses about cardiometabolic health outcomes, liver disease, and caries.
Aside from increased risk of tooth decay in children and small amounts of weight
gain in young children and adults, there is no conclusive evidence that
consumption of 100% fruit juice has adverse health effects. Guidelines from
groups like the American Academy of Pediatrics and Dietary Guidelines for
Americans recommending that 100% fruit juice may be consumed in moderation are
consistent with the available evidence and should be used to inform food
policies.
PMID- 29659684
TI - omega-3 Fatty Acids, Impaired Fetal Growth, and Cardiovascular Risk: Nutrition as
Precision Medicine.
AB - Precision medicine refers to treatment or prevention strategies in a group of
individuals identified by their phenotype or genotype. Dietary components or
patterns may play an important role in precision medicine. There is emerging
evidence to support a role for n-3 (omega-3) fatty acids in lowering blood
pressure and reducing the extent of subclinical atherosclerosis in people born
with impaired fetal growth, a group at increased risk of coronary artery disease
partly due to an increased risk of hypertensive disorders. The evidence linking n
3 fatty acid intake with less atherosclerosis and lower blood pressure in people
with impaired fetal growth has been derived from studies in young children,
adolescents, and adults and has included dietary assessments by questionnaires
and circulating biomarkers. Furthermore, results appear to be similar for shorter
chain n-3 fatty acids from plant sources and long-chain n-3 fatty acids from
marine sources. The general framework used to develop this evidence, consisting
of hypothesis-driven analyses from observational studies and post hoc analyses of
a randomized clinical trial, before a priori testing as a primary outcome in
randomized trials, is presented and proposed as a potential model for the
identification and development of dietary precision medicine strategies.
PMID- 29659686
TI - Erratum: Food groups and risk of hypertension: a systematic review and dose
response meta-analysis of prospective studies.
PMID- 29659685
TI - Can Curcumin Counteract Cognitive Decline? Clinical Trial Evidence and Rationale
for Combining omega-3 Fatty Acids with Curcumin.
AB - The rate of cognitive decline in the elderly is highly variable. One potential
factor contributing to accelerated cognitive decline is chronic systemic
inflammation, because it has been linked to cognitive impairment and increased
dementia risk. Certain lifestyle factors, such as excess body weight and
sedentary behavior, can exacerbate a proinflammatory state in older adults,
resulting in chronic low-grade inflammation. Supplementing the diet with
curcumin, an anti-inflammatory polyphenolic compound from the curry spice
turmeric, is a potential approach to prevent accelerated cognitive decline by
counteracting chronic inflammatory processes. Although the anti-inflammatory
effects of curcumin are well established, the potential cognitive benefits of
curcumin were discovered more recently. Several animal and epidemiologic studies
on the effect of curcumin supplementation on cognition showed promising results;
however, randomized controlled trials in humans are limited. In this review, we
identified 5 randomized controlled trials, of which only 2 observed a beneficial
effect of curcumin supplementation on cognition by improving working memory. By
critically examining the methodologies of those studies, we identified some
limitations, one of which is that none of the studies explored the possibility
that anti-inflammatory mechanisms were mediating cognitive benefits (i.e., no
study tested participants with low-grade inflammation or measured inflammatory
biomarkers). Other factors influencing the likelihood of conclusive outcomes
include choice of study population (cognitively unimpaired compared with
impaired), study duration, curcumin dose and its bioavailability, and
neurocognitive test battery. On the basis of these findings, we offer
recommendations for future studies to examine the potential cognitive benefits of
curcumin in humans, which include evaluating its effects on cerebral endothelial
vasodilator function and boosting its cognitive effects by combining it with long
chain omega-3 (n-3) fatty acids.
PMID- 29659688
TI - Thriving, Not Just Surviving: Skills Essential to Leveraging Your Scientific
Career.
PMID- 29659687
TI - Obesity Interventions for Older Adults: Diet as a Determinant of Physical
Function.
AB - Throughout the world, a high prevalence of obesity in older populations has
created a new phenotype of frailty: the obese, functionally frail older adult.
The convergence of the obesity epidemic with global graying will undoubtedly
increase the prevalence of this concern. Barriers to treatment include
ambiguities about the appropriate level of obesity that should trigger an
intervention, due to age-related physiologic changes and a lack of consensus on
specific criteria and cutoffs. Moreover, obesity interventions for this
population have been limited by concerns about negative effects on lean mass,
bone mineral density, and even mortality. However, newly reported approaches for
restoring physical function by obesity reduction have shown good short-term
efficacy. Because the majority of these interventions have used exercise as part
of the treatment, this review focuses specifically on current understanding of
the discrete effects of dietary interventions for geriatric obesity with regards
to functional outcomes on tests including the Short Physical Performance Battery,
the Physical Performance Test, and the Western Ontario and McMaster Universities
Osteoarthritis Index. The literature showed roughly equal benefits to function
from a weight reduction diet or exercise regimen, although neither modality was
as efficacious alone as the 2 combined. Only 1 of 3 studies of protein intake
during weight loss showed a positive effect of protein on function, but findings
to date are too limited to prove or disprove a protein benefit. We conclude that
although diet and exercise should be combined whenever possible, it remains
important to further investigate the beneficial and likely unique effects that
calorie restriction and/or nutrient modification can provide, particularly for
obese and functionally frail older populations.
PMID- 29659689
TI - Perspective: Total, Added, or Free? What Kind of Sugars Should We Be Talking
About?
AB - There is consistent public guidance to limit sugars intakes. However, WHO
recommendations are for "free" sugars, whereas some other guidance documents and
public discussion focus on "added" sugars, and globally most food labeling states
"total" sugars. Total sugars comprise all mono- and disaccharides, regardless of
source, whereas both added and free sugars exclude the sugars that naturally
occur in dairy products and intact fruit and vegetables. Definitions of added and
free sugars differ mainly in their respective exclusion or inclusion of sugars in
juiced or pureed fruit and vegetables. To date, there has been little evidence
based analysis of the scientific basis for these different sugar classifications
or implications of their adoption for consumer communication and nutrition
labeling. Evidence of discriminating relations of total compared with added or
free sugars with weight gain or energy intake, type 2 diabetes, and dental caries
was identified from recent systematic reviews and meta-analyses. The relations
were weakest for total sugars and most consistent for dietary sources
corresponding to free sugars (including sugars added to and in fruit juices).
Consideration of these health outcomes suggests that the emphasis for intake
monitoring, public health guidance, and consumer communication should be on free
sugars. However, at present, the adoption of free sugars for these purposes would
also carry challenges related to implementation, including consumer
understanding, consensus on specifications, and current (labeling) regulations.
PMID- 29659690
TI - Perspective: Challenges and Controversial Issues in the Dietary Guidelines for
Americans, 1980-2015.
AB - Since 1980, every edition of the Dietary Guidelines for Americans (DGAs) has
recommended increased consumption of fruits, vegetables, and whole grains, but
reduced consumption of saturated fat, sugars, and sodium and, therefore, their
primary food sources. Every edition has generated controversy, mainly from
producers of foods affected by "eat less" recommendations, particularly meat.
Objections to the 2015 DGAs focused on environmental as well as scientific
issues, but also on purported conflicts of interest among members of the Dietary
Guidelines Advisory Committee. On this basis, critics induced Congress to
authorize the National Academy of Medicine (NAM) to review the process of drawing
up the guidelines. The NAM's 2017 reports should strengthen the process, but as
long as science continues to support advice to reduce consumption of targeted
foods, the guidelines will continue to elicit political controversy.
PMID- 29659691
TI - Perspective: Cardiovascular Responses to Sugar-Sweetened Beverages in Humans: A
Narrative Review with Potential Hemodynamic Mechanisms.
AB - Cardiovascular diseases are still the primary cause of mortality worldwide, with
high blood pressure and type 2 diabetes as major promoters. Over the past 3
decades, almost in parallel with the rise in cardiovascular disease incidence,
the consumption of sugar-sweetened beverages (SSBs) has increased. In this
context, SSBs are potential contributors to weight gain and increase the risk for
elevations in blood pressure, type 2 diabetes, coronary heart disease, and
stroke. Nevertheless, the mechanisms underlying the cardiovascular and metabolic
responses to SSBs, in particular on blood pressure, are poorly understood. We
discuss and propose potential mechanisms underlying differential effects of
sugars on postprandial blood pressure regulation; provide evidence for additional
molecular contributors, i.e., fibroblast growth factor 21, towards sugar-induced
cardiovascular responses; and discuss potential cardiovascular neutral sugars.
Furthermore, we explore whether pre-existing glucose intolerance in humans
exacerbates the cardiovascular responses to SSBs, thus potentially aggravating
the cardiovascular risk in already-susceptible individuals.
PMID- 29659693
TI - The History and Future of Dietary Guidance in America.
AB - Evidence-based dietary guidance in the United States has progressed substantially
since its inception >100 y ago. This review describes the historical development
and significance of dietary guidance in the United States, including the Dietary
Guidelines for Americans (DGAs), and emphasizes the foundations upon which they
were developed, the process in the formation of past and current guidelines, and
present and future applications. Dietary guidance during the first half of the
20th century was focused primarily on food groups in a healthy diet, food safety,
safe food storage, and the role of some minerals and vitamins in the prevention
of disease. This was punctuated by World War II messaging to reduce food waste
and increase food storage. In 1980, the first DGA report was released, and later,
the USDA and the Department of Health and Human Services (HHS) were given a
mandate for reissuance and reassessment every 5 y. An ad hoc advisory committee
made up of nongovernmental experts was established for each edition to review the
scientific evidence and provide content recommendations to the Secretaries of the
USDA and the HHS. Wording was changed from negative (avoid) to positive (choose)
and emphasis was increasingly placed on reducing the prevalence of overweight and
obesity and prevention of chronic diseases. Today, the DGAs guide all federally
funded feeding and educational programs, including food policies, food assistance
programs, and consumer education programs, as well as these programs at the
regional, state, and local levels. Additional users include dietitians and other
health professionals, food service personnel, food and beverage manufacturers,
schools, and day care facilities. Currently, the DGAs are intended for
individuals aged >=2 y. Future editions of the DGAs will include guidance for
infants and children <2 y, as well as pregnant women.
PMID- 29659692
TI - Bone Health following Bariatric Surgery: Implications for Management Strategies
to Attenuate Bone Loss.
AB - Bariatric surgery (BS) is an effective treatment for morbid obesity and its
associated comorbidities. Following such a procedure, however, patients are at
risk of developing metabolic bone disease owing to the combination of rapid
weight loss, severely restricted dietary intake, and reduced intestinal nutrient
absorption. Patients undergoing malabsorptive procedures are at a higher risk of
postoperative bone health deterioration than those undergoing restrictive
procedures; however, studies have demonstrated negative skeletal consequences of
restrictive procedures as well. The clinical practice guidelines of some
international associations have previously addressed preoperative evaluation and
postoperative clinical care in order to maintain bone health in BS patients.
Nevertheless, some issues regarding bone health in BS patients remain unclear
owing to the lack of relevant randomized clinical trials, including doses of
nutritional supplements pre- and post-BS. This review summarizes the current data
regarding the skeletal consequences of BS and its mechanisms, with an emphasis on
the preventive strategies and nutritional care that may be warranted in order to
attenuate bone deterioration following BS.
PMID- 29659694
TI - Nutritional Genomics and Direct-to-Consumer Genetic Testing: An Overview.
AB - The increasing prevalence in polygenic diseases, such as obesity, cardiovascular
disease, and type 2 diabetes, observed over the past few decades is more likely
linked to a rapid transition in lifestyle rather than to changes in the sequence
of the nuclear genome. In the new era of precision medicine, nutritional genomics
holds the promise to be translated into tailored nutritional strategies to
prevent and manage polygenic diseases more effectively. Nutritional genomics aims
to prevent, treat, and manage polygenic diseases through targeted therapies
formulated from individuals' genetic makeup and dietary intake. Direct-to
consumer genetic testing (DTC-GT) has become commercially available to equip
individuals with information on their genetic vulnerability to different
diseases. This information may potentially prompt behavioral changes against
adverse factors. However, scientific evidence behind the clinical recommendations
is a matter of continuous debate, and behavioral modifications after disclosing
genetic information remain inconclusive. In this review, we provide an overview
of nutritional genomics and related nutritional DTC-GT services and discuss
whether available data are sufficient to be translated into clinical
recommendations and public health initiatives. Overall, the scientific evidence
supporting the dissemination of genomic information for nutrigenomic purposes
remains sparse. Therefore, additional knowledge needs to be generated,
particularly for polygenic traits.
PMID- 29659696
TI - An Alternative to the Lateral Direct Browlift.
PMID- 29659695
TI - DeepSimulator: a deep simulator for Nanopore sequencing.
AB - Motivation: Oxford Nanopore sequencing is a rapidly developed sequencing
technology in recent years. To keep pace with the explosion of the downstream
data analytical tools, a versatile Nanopore sequencing simulator is needed to
complement the experimental data as well as to benchmark those newly developed
tools. However, all the currently available simulators are based on simple
statistics of the produced reads, which have difficulty in capturing the complex
nature of the Nanopore sequencing procedure, the main task of which is the
generation of raw electrical current signals. Results: Here we propose a deep
learning based simulator, DeepSimulator, to mimic the entire pipeline of Nanopore
sequencing. Starting from a given reference genome or assembled contigs, we
simulate the electrical current signals by a context-dependent deep learning
model, followed by a base-calling procedure to yield simulated reads. This
workflow mimics the sequencing procedure more naturally. The thorough experiments
performed across four species show that the signals generated by our context
dependent model are more similar to the experimentally obtained signals than the
ones generated by the official context-independent pore model. In terms of the
simulated reads, we provide a parameter interface to users so that they can
obtain the reads with different accuracies ranging from 83 to 97%. The reads
generated by the default parameter have almost the same properties as the real
data. Two case studies demonstrate the application of DeepSimulator to benefit
the development of tools in de novo assembly and in low coverage SNP detection.
Availability and implementation: The software can be accessed freely at:
https://github.com/lykaust15/DeepSimulator. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29659697
TI - Variations in leaf growth parameters within the tree structure of adult Coffea
arabica in relation to seasonal growth, water availability and air carbon dioxide
concentration.
AB - Background and Aims: Dynamics in branch and leaf growth parameters, such as the
phyllochron, duration of leaf expansion, leaf life span and bud mortality,
determine tree architecture and canopy foliage distribution. We aimed to estimate
leaf growth parameters in adult Arabica coffee plants based on leaf supporter
axis order and position along the vertical profile, considering their
modifications related to seasonal growth, air [CO2] and water availability.
Methods: Growth and mortality of leaves and terminal buds of adult Arabica coffee
trees were followed in two independent field experiments in two sub-tropical
climate regions of Brazil, Londrina-PR (Cfa) and Jaguariuna-SP (Cwa). In the Cwa
climate, coffee trees were grown under a FACE (free air CO2 enrichment) facility,
where half of those had been irrigated. Plants were observed at a 15-30 d
frequency for 1 year. Leaf growth parameters were estimated on five axes orders
and expressed as functions of accumulated thermal time ( degrees Cd per leaf).
Key Results: The phyllochron and duration of leaf expansion increased with axis
order, from the seond to the fourth. The phyllochron and life span during the
reduced vegetative seasonal growth were greater than during active growth. It
took more thermal time for leaves from the first- to fourth-order axes to expand
their blades under irrigation compared with rainfed conditions. The compensation
effects of high [CO2] for low water availability were observed on leaf retention
on the second and third axes orders, and duration of leaf expansion on the first-
and fourth-order axes. The second-degree polynomials modelled leaf growth
parameter distribution in the vertical tree profile, and linear regressions
modelled the proportion of terminal bud mortality. Conclusions: Leaf growth
parameters in coffee plants were determined by axis order. The duration of leaf
expansion contributed to phyllochron determination. Leaf growth parameters varied
according the position of the axis supporter along the vertical profile,
suggesting an effect of axes age and micro-environmental light modulations.
PMID- 29659698
TI - Molecular subtyping of cancer: current status and moving toward clinical
applications.
AB - Cancer is a collection of genetic diseases, with large phenotypic differences and
genetic heterogeneity between different types of cancers and even within the same
cancer type. Recent advances in genome-wide profiling provide an opportunity to
investigate global molecular changes during the development and progression of
cancer. Meanwhile, numerous statistical and machine learning algorithms have been
designed for the processing and interpretation of high-throughput molecular data.
Molecular subtyping studies have allowed the allocation of cancer into
homogeneous groups that are considered to harbor similar molecular and clinical
characteristics. Furthermore, this has helped researchers to identify both
actionable targets for drug design as well as biomarkers for response prediction.
In this review, we introduce five frequently applied techniques for generating
molecular data, which are microarray, RNA sequencing, quantitative polymerase
chain reaction, NanoString and tissue microarray. Commonly used molecular data
for cancer subtyping and clinical applications are discussed. Next, we summarize
a workflow for molecular subtyping of cancer, including data preprocessing,
cluster analysis, supervised classification and subtype characterizations.
Finally, we identify and describe four major challenges in the molecular
subtyping of cancer that may preclude clinical implementation. We suggest that
standardized methods should be established to help identify intrinsic subgroup
signatures and build robust classifiers that pave the way toward stratified
treatment of cancer patients.
PMID- 29659699
TI - A Different Perspective on Breast Implant Surface Texturization and Anaplastic
Large Cell Lymphoma (ALCL).
AB - Anaplastic large cell lymphoma (ALCL) is a rare form of lymphoma involving cells
of the immune system. It is currently gaining interest because of an association
between the development of ALCL and an increased risk in women who have had
breast implant surgery. Although not confirmed, many theories exist as to the
etiology of this association in women, and there is growing concern that ALCL is
more prevalent in women with textured breast implants. In particular, the risk of
developing ALCL appears to be related to increasing implant texturization or
"surface roughness." This paper examines the current manner of defining and
characterizing breast implant surface texture and challenges the appropriateness
of applying this technology and linking it to ALCL. It is not a comprehensive
review of the etiology of capsular contracture and ALCL, but it proposes an
alternative perspective on surface texture measurements from that currently
employed and its role in researching the etiology of ALCL. The authors hope that
their article will provoke thought and reflection when considering the issues
currently being highlighted with regard to texturing of breast implants.
PMID- 29659700
TI - Sex steroids drive the remodeling of oviductal extracellular matrix in cattle.
AB - The extracellular matrix (ECM) is a group of molecules that offer structural and
biochemical support to cells and interact with them to regulate their function.
Also, growth factors (GFs) stored in the ECM can be locally released during ECM
remodeling. Here, we hypothesize that the balance between ECM components and
remodelers is regulated according to the ovarian steroid milieu to which the
oviduct is exposed during the periovulatory period. Follicular growth was
manipulated to generate cows that ovulated small follicles (SF-small corpus
luteum [SCL]; n = 20) or large follicles (LF-large corpus luteum [LCL]; n = 21)
and possess corresponding Estradiol (E2) and Progesterone (P4) plasmatic
concentrations. Ampulla and isthmus samples were collected on day 4 (day 0 =
ovulation induction) and immediately frozen or fixed. The transcriptional profile
(n = 3/group) was evaluated by RNA sequencing. MMP Antibody Array was used to
quantify ECM remodelers' protein abundance and immunohistochemistry to quantify
type I collagen. Transcriptome analysis revealed the over-representation of ECM
organization and remodeling pathways in the LF-LCL group. Transcription of ECM
components (collagens), remodelers (ADAMs and MMPs), and related GFs were
upregulated in LF-LCL. Protein intensities for MMP3, MMP8, MMP9, MMP13, and TIMP4
were greater for the LF-LCL group. Type I collagen content in the mucosa was
greater in SF-SCL group. In conclusion, that the earlier and more intense
exposure to E2 and P4 during the periovulatory period in LF-LCL animals
stimulates ECM remodeling. We speculate that differential ECM regulation may
contribute to oviductal receptivity to the embryo.
PMID- 29659701
TI - Gain-of-function mutation of AtDICE1, encoding a putative endoplasmic reticulum
localized membrane protein, causes defects in anisotropic cell elongation by
disturbing cell wall integrity in Arabidopsis.
AB - Background and Aims: Anisotropic cell elongation depends on cell wall relaxation
and cellulose microfibril arrangement. The aim of this study was to characterize
the molecular function of AtDICE1 encoding a novel transmembrane protein involved
in anisotropic cell elongation in Arabidopsis. Methods: Phenotypic
characterizations of transgenic Arabidopsis plants mis-regulating AtDICE1
expression with different pharmacological treatments were made, and biochemical,
cell biological and transcriptome analyses were performed. Key Results:
Upregulation of AtDICE1 in Arabidopsis (35S::AtDICE1) resulted in severe
dwarfism, probably caused by defects in anisotropic cell elongation. Epidermal
cell swelling was evident in all tissues, and abnormal secondary wall thickenings
were observed in pith cells of stems. These phenotypes were reproduced not only
by inducible expression of AtDICE1 but also by overexpression of its poplar
homologue in Arabidopsis. RNA interference suppression lines of AtDICE1 resulted
in no observable phenotypic changes. Interestingly, wild-type plants treated with
isoxaben, a cellulose biosynthesis inhibitor, phenocopied the 35S::AtDICE1
plants, suggesting that cellulose biosynthesis was compromised in the
35S::AtDICE1 plants. Indeed, disturbed cortical microtubule arrangements in
35S::AtDICE1/GFP-TuA6 plants were observed, and the cellulose content was
significantly reduced in 35S::AtDICE1 plants. A promoter::GUS analysis showed
that AtDICE1 is mainly expressed in vascular tissue, and transient expression of
GFP:AtDICE1 in tobacco suggests that AtDICE1 is probably localized in the
endoplasmic reticulum (ER). In addition, the external N-terminal conserved domain
of AtDICE1 was found to be necessary for AtDICE1 function. Whole transcriptome
analyses of 35S::AtDICE1 revealed that many genes involved in cell wall
modification and stress/defence responses were mis-regulated. Conclusions:
AtDICE1, a novel ER-localized transmembrane protein, may contribute to
anisotropic cell elongation in the formation of vascular tissue by affecting
cellulose biosynthesis.
PMID- 29659702
TI - Ribopeaks: a web tool for bacterial classification through m/z data from
ribosomal proteins.
AB - Summary: MALDI-TOF MS is a rapid, sensitive and economic tool for bacterial
identification. Highly abundant bacterial proteins are detected by this
technique, including ribosomal proteins (r-protein), and the generated mass
spectra are compared with a MALDI-TOF MS spectra database. Currently, it allows
mainly the classification of clinical bacteria due to the limited number of
environmental bacteria included in the spectra database. We present a wide
ranging bacterium classifier tool, called Ribopeaks, which was created based on r
protein data from the Genbank. The Ribopeaks database has more than 28 500
bacterial taxonomic records. It compares the incoming m/z data from MALDI-TOF MS
analysis with models stored in the Ribopeaks database created by machine learning
and then taxonomically classifies the bacteria. Availability and implementation:
The software is available at http://www.ribopeaks.com. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29659703
TI - B-cell receptor reconstruction from single-cell RNA-seq with VDJPuzzle.
AB - Motivation: The B-cell receptor (BCR) performs essential functions for the
adaptive immune system including recognition of pathogen-derived antigens. The
vast repertoire and adaptive variation of BCR sequences due to V(D)J
recombination and somatic hypermutation necessitates single-cell characterization
of BCR sequences. Single-cell RNA sequencing presents the opportunity for
simultaneous capture of paired BCR heavy and light chains and the transcriptomic
signature. Results: We developed VDJPuzzle, a novel bioinformatic tool that
reconstructs productive, full-length B-cell receptor sequences of both heavy and
light chains and extract somatic mutations on the VDJ region. VDJPuzzle
successfully reconstructed BCRs from 100% (n=117) human and 96.5% (n=200) murine
B cells. The reconstructed BCRs were successfully validated with single-cell
Sanger sequencing. Availability and implementation: VDJPuzzle is available at
https://bitbucket.org/kirbyvisp/vdjpuzzle2. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29659704
TI - Development of the sphagnoid areolation pattern in leaves of Palaeozoic
protosphagnalean mosses.
AB - Background and Aims: Protosphagnalean mosses constitute the largest group of
extinct mosses of still uncertain affinity. Having the general morphology of the
Bryopsida, some have leaves with an areolation pattern characteristic of modern
Sphagna. This study describes the structure and variation of these patterns in
protosphagnalean mosses and provides a comparison with those of modern Sphagna.
Methods: Preparations of fossil mosses showing preserved leaf cell structure were
obtained by dissolving rock, photographed, and the resulting images were
transformed to graphical format and analysed with Areoana computer software. Key
Results: The sphagnoid areolation pattern is identical in its basic structure for
both modern Sphagnum and Palaeozoic protosphagnalean mosses. However, in the
former group the pattern develops through unequal oblique cell divisions, while
in the latter the same pattern is a result of equal cell divisions taking place
in a specific order with subsequent uneven cell growth. The protosphagnalean
pathway leads to considerable variability in leaf structure. Conclusions:
Protosphagnalean mosses had a unique ability to switch the development of leaf
areolation between a pathway unique to Sphagnum and another one common to all
other mosses. This developmental polyvariancy hinders attempts to classify these
mosses, as characters previously considered to be of generic significance can be
shown to co-occur in one individual leaf. New understanding of the ontogeny has
allowed us to re-evaluate the systematic significance of such diagnostic
characters in these Palaeozoic plants, showing that their similarity to Sphagnum
is less substantial.
PMID- 29659705
TI - IRscope: an online program to visualize the junction sites of chloroplast
genomes.
AB - Motivation: Genome plotting is performed using a wide range of visualizations
tools each with emphasis on a different informative dimension of the genome.
These tools can provide a deeper insight into the genomic structure of the
organism. Results: Here, we announce a new visualization tool that is
specifically designed for chloroplast genomes. It allows the users to depict the
genetic architecture of up to ten chloroplast genomes in the vicinity of the
sites connecting the inverted repeats to the short and long single copy regions.
The software and its dependent libraries are fully coded in R and the reflected
plot is scaled up to realistic size of nucleotide base pairs in the vicinity of
the junction sites. We introduce a website for easier use of the program and R
source code of the software to be used in case of preferences to be changed and
integrated into personal pipelines. The input of the program is an annotation
GenBank (.gb) file, the accession or GI number of the sequence or a DOGMA output
file. The software was tested using over a 100 embryophyte chloroplast genomes
and in all cases a reliable output was obtained. Availability and implementation:
Source codes and the online suit available at https://irscope.shinyapps.io/irapp/
or https://github.com/Limpfrog/irscope.
PMID- 29659706
TI - Body Composition Remodeling and Incident Mobility Limitations in African Ancestry
Men.
AB - Background: Mobility limitations are common, with higher prevalence in African
Americans compared to whites, and are associated with disability,
institutionalization and death. Aging is associated with losses of lean mass and
a shift to central adiposity, which are more pronounced in African Americans. We
aimed to examine the association of body composition remodeling with incident
mobility limitations in older men of African Ancestry. Methods: Seven-year
changes in body composition were measured using peripheral computed tomography
(pQCT) of the calf and whole-body dual x-ray absorptiometry (DXA) in 505 African
Ancestry men aged >=60 years and free of self-reported mobility limitations at
baseline. Self-reported incident mobility limitations were assessed at 7-year
follow-up. Odds of developing mobility limitations associated with baseline and
change in body composition were quantified using separate logistic regression
models. Results: Seventy-five men (14.9%) developed incident mobility limitations
over 6.2+/-0.6 years. Baseline body composition was not associated with incident
mobility limitations. After adjustment for covariates, gaining total and
intermuscular fat were associated with incident mobility limitations a (OR: 1.60;
95% CI: 1.21-2.13; OR: 1.51; 95% CI: 1.18-1.94). Changes in DXA lean mass were
not related to mobility limitations; however, maintaining pQCT calf muscle area
was protective against mobility limitations (OR: 0.65; 95% CI: 0.48-0.87).
Conclusions: Increases in body fat, and particularly intermuscular fat, and
decreases in calf skeletal muscle were associated with a higher risk of
developing mobility limitations. Our findings emphasize the importance of body
composition remodeling in the development of mobility limitations among African
ancestry men.
PMID- 29659708
TI - PhyloProfile: dynamic visualization and exploration of multi-layered phylogenetic
profiles.
AB - Summary: Phylogenetic profiles form the basis for tracing proteins and their
functions across species and through time. Novel genome sequences nowadays often
represent species from the remotest corner of the tree of life. Thus,
phylogenetic profiling becomes increasingly important for functionally annotating
this data and to integrate it into a comprehensive view on organismal evolution.
To strengthen the link between the sharing of a gene across species and of the
corresponding function, it is meanwhile common to complement phylogenetic
profiles with additional information, such as domain architecture similarities
between orthologs, or pairwise similarities of other protein features. However,
there are few visualization tools that facilitate an intuitive integration of
these various information layers. Here, we present PhyloProfile, an R-based tool
to visualize, explore and analyze multi-layered phylogenetic profiles.
Availability and implementation: PhyloProfile is available as open source code
under the MIT license at https://github.com/BIONF/phyloprofile. An online version
for testing PhyloProfile and for small to medium-scale analyses is available at
http://applbio.biologie.uni-frankfurt.de/phyloprofile.
PMID- 29659707
TI - Therapist-Client Interactions in Motivational Interviewing: The Effect of
Therapists' Utterances on Client Change Talk.
AB - Aims: Motivational Interviewing is an effective treatment for a range of
problematic behaviours, however, previous studies have revealed substantial
variability in the effectiveness of therapists. Curiously, the specific
behaviours which contribute to positive outcomes have rarely been studied. The
aim of this study was to investigate hypothesised relationships between
therapists' utterances and clients' change talk by analysing interactions sampled
from the United Kingdom Alcohol Treatment Trial (UKATT). Methods: This study
comprised secondary analysis of process data and recordings selectively sampled
from the UKATT database using sequential analyses of utterance categories,
defined using the Motivational Interviewing Sequential Code for Observing Process
Exchanges (MI-SCOPE). MI-SCOPE categories were modified on the basis of the
existing literature. Observed and expected frequencies of change talk,
transitional probabilities and their significance levels both immediately
following therapists' statements (Lag 1), and after a delay (Lag 2) were
calculated using the Generalised Sequential Querier (GSEQ) programme. Regression
analyses were conducted using SPSS 21.0. Results: In successful alcohol treatment
sessions, therapists' open questions and complex reflections were significantly
positively associated with client preparatory talk at Lag 1. Therapists' complex
reflections were significantly positively associated with and predictive of
strong client commitment talk at Lag 2. Conclusions: The findings extend those of
previous studies, suggesting that open questions and complex reflections play a
central role in preparing clients for, and facilitating strong commitment to,
behaviour change.
PMID- 29659709
TI - Progress in single-access information systems for wheat and rice crop
improvement.
AB - Improving productivity of the staple crops wheat and rice is essential to feed
the growing global population, particularly in the context of a changing climate.
However, current rates of yield gain are insufficient to support the predicted
population growth. New approaches are required to accelerate the breeding
process, and many of these are driven by the application of large-scale crop
data. To leverage the substantial volumes and types of data that can be applied
for precision breeding, the wheat and rice research communities are working
towards the development of integrated systems to access and standardize the
dispersed, heterogeneous available data. Here, we outline the initiatives of the
International Wheat Information System (WheatIS) and the International Rice
Informatics Consortium (IRIC) to establish Web-based single-access systems and
data mining tools to make the available resources more accessible, drive
discovery and accelerate the production of new crop varieties. We discuss the
progress of WheatIS and IRIC towards unifying specialized wheat and rice
databases and building custom software platforms to manage and interrogate these
data. Single-access crop information systems will strengthen scientific
collaboration, optimize the use of public research funds and help achieve the
required yield gains in the two most important global food crops.
PMID- 29659710
TI - SnapperDB: a database solution for routine sequencing analysis of bacterial
isolates.
AB - Summary: Real-time surveillance of infectious disease using whole genome
sequencing data poses challenges in both result generation and communication.
SnapperDB represents a set of tools to store bacterial variant data and
facilitate reproducible and scalable analysis of bacterial populations. We also
introduce the 'SNP address' nomenclature to describe the relationship between
isolates in a population to the single nucleotide resolution. We announce the
release of SnapperDB v1.0 a program for scalable routine SNP analysis and storage
of microbial populations. Availability and implementation: SnapperDB is
implemented as a python application under the open source BSD license. All code
and user guides are available at https://github.com/phe-bioinformatics/snapperdb.
Reference genomes and SnapperDB configs are available at https://github.com/phe
bioinformatics/snapperdb_references.
PMID- 29659711
TI - Corrigendum: Real-time monitoring using a breathalyzer-based eHealth system can
identify lapse/relapse patterns in alcohol use disorder patients.
PMID- 29659712
TI - Visually-sensitive networks in essential tremor: evidence from structural and
functional imaging.
PMID- 29659713
TI - Primary Squamous Cell Carcinoma Arising From a Breast Implant Capsule: A Case
Report and Review of the Literature.
AB - Level of Evidence 5:
PMID- 29659714
TI - Computation and application of tissue-specific gene set weights.
AB - Motivation: Gene set testing, or pathway analysis, has become a critical tool for
the analysis of high-dimensional genomic data. Although the function and activity
of many genes and higher-level processes is tissue-specific, gene set testing is
typically performed in a tissue agnostic fashion, which impacts statistical power
and the interpretation and replication of results. Results: To address this
challenge, we have developed a bioinformatics approach to compute tissue-specific
weights for individual gene sets using information on tissue-specific gene
activity from the Human Protein Atlas (HPA). We used this approach to create a
public repository of tissue-specific gene set weights for 37 different human
tissue types from the HPA and all collections in the Molecular Signatures
Database. To demonstrate the validity and utility of these weights, we explored
three different applications: the functional characterization of human tissues,
multi-tissue analysis for systemic diseases and tissue-specific gene set testing.
Availability and implementation: All data used in the reported analyses is
publicly available. An R implementation of the method and tissue-specific weights
for MSigDB gene set collections can be downloaded at
http://www.dartmouth.edu/~hrfrost/TissueSpecificGeneSets.
PMID- 29659715
TI - The cost-effectiveness of follow-up strategies after cancer treatment: a
systematic literature review.
AB - Introduction: The cost of treatment and follow-up of cancer patients in the UK is
substantial. In a budget-constrained system such as the NHS, it is necessary to
consider the cost-effectiveness of the range of management strategies at
different points on cancer patients' care pathways to ensure that they provide
adequate value for money. Sources of data: We conducted a systematic literature
review to explore the cost-effectiveness of follow-up strategies of patients
previously treated for cancer with the aim of informing UK policy. All papers
that were considered to be economic evaluations in the subject areas described
above were extracted. Areas of agreement: The existing literature suggests that
intensive follow-up of patients with colorectal disease is likely to be cost
effective, but the opposite holds for breast cancer. Areas of controversy:
Interventions and strategies for follow-up in cancer patients were variable
across type of cancer and setting. Drawing general conclusions about the cost
effectiveness of these interventions/strategies is difficult. Growing points: The
search identified 2036 references but applying inclusion/exclusion criteria a
total of 44 articles were included in the analysis. Breast cancer was the most
common (n = 11) cancer type followed by colorectal (n = 10) cancer. In general,
there were relatively few studies of cost-effectiveness of follow-up that could
influence UK guidance. Where there was evidence, in the most part, NICE guidance
broadly reflected this evidence. Areas timely to develop research: In terms of
future research around the timing, frequency and composition of follow-ups, this
is dependent on the type of cancer being considered. Nevertheless, across most
cancers, the possibility of remote follow-up (or testing) by health professionals
other than hospital consultants in other settings appears to warrant further
work.
PMID- 29659716
TI - The Effect of Smoking in the Cosmetic Surgery Population: Analysis of 129,007
Patients.
AB - Background: Smoking has been associated with several postoperative adverse
outcomes across multiple surgical disciplines, but the literature is limited for
aesthetic surgical procedures. Objectives: To compare complication rates between
smokers and nonsmokers undergoing common cosmetic procedures, identify specific
cosmetic procedures where smoking increases the risk of complications, and
evaluate smoking as an independent risk factor for major complications following
aesthetic surgery. Methods: A prospective cohort of patients undergoing cosmetic
surgery between 2008 and 2013 was identified from the CosmetAssureTM database.
Smoking was evaluated as a risk factor for major complications requiring
emergency room visit, hospital admission, or reoperation within 30 days after
surgery. Multivariate regression analysis was performed controlling for the
effects of age, gender, body mass index, type of surgical facility, type of
procedure, and combined procedures. Results: Of the 129,007 patients, 10,621
(8.2%) were smokers. All procedures examined, except breast augmentation, were
more frequently performed in the nonsmoker cohort. Overall major complications
were similar between smokers and nonsmokers (2.0% vs 1.9%, p=0.57). In univariate
analysis, surgical site infections (0.6% vs 0.5%, p=0.04) were significantly
higher among smokers, but suspected venous thromboembolism (0.2% vs 0.1%, p=0.01)
significantly higher among nonsmokers. Notably, smokers had a higher risk of
major complications after body procedures (2.9% vs 1.0%, p=0.01), as well as
thigh lifts (23.8% vs 3.6%, p<0.01) and male breast surgery (3.7% vs 1.4%,
p=0.03). In multivariate analysis, smoking was found to be an independent
predictor of surgical site infections (relative risk 1.61, p<0.01). Conclusions:
Smoking is an independent risk factor of major surgical site infections following
aesthetic surgery. Body procedures, as well as thigh lifts and male breast
surgery have higher complication rates in smokers.
PMID- 29659717
TI - Statin Treatment Is Associated With a Neutral Effect on Health-Related Quality of
Life Among Community-Dwelling Octogenarian Men: The Helsinki Businessmen Study.
AB - Background: Statin treatment is common among 80+ people, but little is known
about statin effects on health-related quality of life (HRQoL) in this oldest age
group. Methods: In the Helsinki Businessmen Study (HBS), men born from 1919 to
1934 (original n = 3,490), have been followed-up since the 1960s. In 2015, a
questionnaire about lifestyle, diseases, and medications, and including RAND
36/SF-36 HRQoL instrument was mailed to survivors. About 612 men (72.6%)
responded, 530 of them reporting their medications (98% community-living).
Propensity score analysis was used to compare statin users and nonusers for
HRQoL. Results: We compared 229 current statin users (median age 85 years,
interquartile range 84-88 years) with 301 nonusers (86; 84-89 years). Current
statin users had had significantly higher serum cholesterol level in midlife (p <
.001), but current lifestyle-related characteristics were similar in users and
nonusers. Statin users reported more hypertension (61.1%, p < .001), diabetes
(23.6%, p <.001), and atherosclerotic cardiovascular disease (ASCVD, 33.6%, p
<.001), than nonusers. Statin users reported higher mean scores than nonusers in
all eight RAND-36 subscales, but after adjustments for multiplicity and a
propensity score we found no significant differences between statin users and
nonusers. Stratification for primary (no ASCVD) and secondary (with CVD)
prevention supported the main results. Conclusions: Our study suggests that
statin treatment has no significant effect on health-related quality of life
among octogenarian, community-dwelling men. The results contradict concerns about
statin treatment in the oldest-old, and may caution against deprescribing of
statins due to old age alone.
PMID- 29659718
TI - A sequence family database built on ECOD structural domains.
AB - Motivation: The ECOD database classifies protein domains based on their
evolutionary relationships, considering both remote and close homology. The
family group in ECOD provides classification of domains that are closely related
to each other based on sequence similarity. Due to different perspectives on
domain definition, direct application of existing sequence domain databases, such
as Pfam, to ECOD struggles with several shortcomings. Results: We created
multiple sequence alignments and profiles from ECOD domains with the help of
structural information in alignment building and boundary delineation. We
validated the alignment quality by scoring structure superposition to demonstrate
that they are comparable to curated seed alignments in Pfam. Comparison to Pfam
and CDD reveals that 27 and 16% of ECOD families are new, but they are also
dominated by small families, likely because of the sampling bias from the PDB
database. There are 35 and 48% of families whose boundaries are modified
comparing to counterparts in Pfam and CDD, respectively. Availability and
implementation: The new families are now integrated in the ECOD website. The
aggregate HMMER profile library and alignment are available for download on ECOD
website (http://prodata.swmed.edu/ecod). Supplementary information: Supplementary
data are available at Bioinformatics online.
PMID- 29659719
TI - pysster: classification of biological sequences by learning sequence and
structure motifs with convolutional neural networks.
AB - Summary: Convolutional neural networks (CNNs) have been shown to perform
exceptionally well in a variety of tasks, including biological sequence
classification. Available implementations, however, are usually optimized for a
particular task and difficult to reuse. To enable researchers to utilize these
networks more easily, we implemented pysster, a Python package for training CNNs
on biological sequence data. Sequences are classified by learning sequence and
structure motifs and the package offers an automated hyper-parameter optimization
procedure and options to visualize learned motifs along with information about
their positional and class enrichment. The package runs seamlessly on CPU and GPU
and provides a simple interface to train and evaluate a network with a handful
lines of code. Using an RNA A-to-I editing dataset and cross-linking
immunoprecipitation (CLIP)-seq binding site sequences, we demonstrate that
pysster classifies sequences with higher accuracy than previous methods, such as
GraphProt or ssHMM, and is able to recover known sequence and structure motifs.
Availability and implementation: pysster is freely available at
https://github.com/budach/pysster. Supplementary information: Supplementary data
are available at Bioinformatics online.
PMID- 29659720
TI - MP-LAMP: parallel detection of statistically significant multi-loci markers on
cloud platforms.
AB - Summary: Exhaustive detection of multi-loci markers from genome-wide association
study datasets is a computationally challenging problem. This paper presents a
massively parallel algorithm for finding all significant combinations of alleles
and introduces a software tool termed MP-LAMP that can be easily deployed in a
cloud platform, such as Amazon Web Service, as well as in an in-house computer
cluster. Multi-loci marker detection is an unbalanced tree search problem that
cannot be parallelized by simple tree-splitting using generic parallel
programming frameworks, such as Map-Reduce. We employ work stealing and periodic
reduce-broadcast to decrease the running time almost linearly to the number of
cores. Availability and implementation: MP-LAMP is available at
https://github.com/tsudalab/mp-lamp. Supplementary information: Supplementary
data are available at Bioinformatics online.
PMID- 29659721
TI - JAMI: fast computation of conditional mutual information for ceRNA network
analysis.
AB - Motivation: Genome-wide measurements of paired miRNA and gene expression data
have enabled the prediction of competing endogenous RNAs (ceRNAs). It has been
shown that the sponge effect mediated by protein-coding as well as non-coding
ceRNAs can play an important regulatory role in the cell in health and disease.
Therefore, many computational methods for the computational identification of
ceRNAs have been suggested. In particular, methods based on Conditional Mutual
Information (CMI) have shown promising results. However, the currently available
implementation is slow and cannot be used to perform computations on a large
scale. Results: Here, we present JAMI, a Java tool that uses a non-parametric
estimator for CMI values from gene and miRNA expression data. We show that JAMI
speeds up the computation of ceRNA networks by a factor of ~70 compared to
currently available implementations. Further, JAMI supports multi-threading to
make use of common multi-core architectures for further performance gain.
Requirements: Java 8. Availability and implementation: JAMI is available as open
source software from https://github.com/SchulzLab/JAMI. Supplementary
information: Supplementary data are available at Bioinformatics online.
PMID- 29659722
TI - A joint spatial factor analysis model to accommodate data from misaligned areal
units with application to Louisiana social vulnerability.
AB - With the threat of climate change looming, the public health community has an
interest in identifying communities at the highest risk of devastation based not
only on geographic features but also on social characteristics. Indices of
community social vulnerability can be created by applying a spatial factor
analysis to a set of relevant social variables measured for each community;
however, current spatial factor analysis methodology is ill-equipped to handle
spatially misaligned data. We introduce a joint spatial factor analysis model
that can accommodate spatial data from two distinct partitions of a geographic
space and identify a common set of latent factors underlying them. By defining
the latent factors over the intersection of the two partitions, the model
minimizes loss of information. Using simulated data constructed to mimic the
spatial structure of our real data, we confirm the reliability of the model and
demonstrate its superiority over competing ad hoc methods for dealing with
misaligned data in spatial factor analysis. Finally, we construct an index of
community social vulnerability for each census tract in Louisiana, a state prone
to environmental disasters, which could be exacerbated by climate change, by
applying the joint spatial factor analysis model to a set of misaligned social
indicator data from the state. To demonstrate the utility of this index, we
integrate it with Louisiana flood insurance claims data to identify communities
that may be at particularly high risk during natural disasters, based on both
social and geographic features.
PMID- 29659723
TI - Age-Related Slowing of Contractile Properties Differs Between Power, Endurance,
and Nonathletes: A Tensiomyographic Assessment.
AB - Although master athletes maintain high levels of physical activity, they also
suffer from an age-related decline in skeletal muscle function. There are
indications of disproportional age- and physical inactivity-induced muscle
wasting between muscles. Tensiomyography is a noninvasive tool that has been used
to study the effects of a variety of sports on the contraction time (Tc) in
different skeletal muscles. The aim of this cross-sectional study was to assess
age-related changes in the Tc of the vastus lateralis, gastrocnemius medialis,
and biceps femoris muscles with Tensiomyography in older nonathletes (age = 62.1
+/- 12.7 years; NMALES = 133; NFEMALES = 246), and power (age = 56.9 +/- 13.5
years; NMALES = 100; NFEMALES = 78) and endurance master athletes (age = 56.5 +/-
14.5 years; NMALES = 76; NFEMALES = 73). We found an age-related slowing in all
muscles, irrespective of discipline, where endurance master athletes had the
longest and power master athletes had the shortest Tc. The longer Tc in endurance
master athletes than in nonathletes suggests that regular endurance sport
activity aggravates slowing of skeletal muscles during aging.
PMID- 29659724
TI - Efficient population-scale variant analysis and prioritization with VAPr.
AB - Summary: With the growing availability of population-scale whole-exome and whole
genome sequencing, demand for reproducible, scalable variant analysis has spread
within genomic research communities. To address this need, we introduce the
Python package Variant Analysis and Prioritization (VAPr). VAPr leverages
existing annotation tools ANNOVAR and MyVariant.info with MongoDB-based flexible
storage and filtering functionality. It offers biologists and bioinformatics
generalists easy-to-use and scalable analysis and prioritization of genomic
variants from large cohort studies. Availability and implementation: VAPr is
developed in Python and is available for free use and extension under the MIT
License. An install package is available on PyPi at
https://pypi.python.org/pypi/VAPr, while source code and extensive documentation
are on GitHub at https://github.com/ucsd-ccbb/VAPr.
PMID- 29659725
TI - Bone Morphogenetic Proteins, Satellite Cells, and Sarcopenia: Perspective in
Translational Medicine.
PMID- 29659726
TI - Dubious space for Artelon joint resurfacing for basal thumb (trapeziometacarpal
joint) osteoarthritis. A systematic review.
AB - Introduction: Trapeziometacarpal arthritis is a common and disabling condition.
There is no evidence in the literature of superiority of one surgical procedure
over others. Several prosthetic implants have been introduced to preserve joint
mobility. Sourced of data: We searched the on Medline (PubMed), Web of Science
and Scopus databases using the combined keywords 'artelon', 'thumb',
'carpometacarpal', 'trapeziometacarpal' and 'rhizoarthrosis'; 11 studies were
identified. Areas of agreement: The use of Artelon implant is not recommended
because of its high revision rate and worse outcomes compared to conventional
techniques. Areas of controversy: Inert materials subjected to compressive and
shearing forces could produce debris and subsequent inflammatory response. There
is debate in the published scientific literature regarding the role of
preoperative antibiotic profilaxis and post-surgery inflammatory response.
Growing points: Standard techniques such as trapeziectomy alone or combined with
interposition or suspensionplasty offer effective treatment for thumb basal joint
arthritis. Areas timely for developing research: Several prosthetic implants show
promising results in terms of pain relief and functional request, but there is a
need of long-term randomized controlled trials to demonstrate their equivalence,
and eventually superiority, compared to standard techniques.
PMID- 29659727
TI - Nitrite circumvents platelet resistance to nitric oxide in patients with heart
failure preserved ejection fraction and chronic atrial fibrillation.
AB - Aims: Heart failure (HF) is a pro-thrombotic state. Both platelet and vascular
responses to nitric oxide (NO) donors are impaired in HF patients with reduced
ejection fraction (HFrEF) compared with healthy volunteers (HVs) due to
scavenging of NO, and possibly also reduced activity of the principal NO sensor,
soluble guanylate cyclase (sGC), limiting the therapeutic potential of NO donors
as anti-aggregatory agents. Previous studies have shown that nitrite inhibits
platelet activation presumptively after its reduction to NO, but the mechanism(s)
involved remain poorly characterized. Our aim was to compare the effects of
nitrite on platelet function in HV vs. HF patients with preserved ejection
fraction (HFpEF) and chronic atrial fibrillation (HFpEF-AF), vs. patients with
chronic AF without HF, and to assess whether these effects occur independent of
the interaction with other formed elements of blood. Methods and results:
Platelet responses to nitrite and the NO donor sodium nitroprusside (SNP) were
compared in age-matched HV controls (n = 12), HFpEF-AF patients (n = 29), and
chronic AF patients (n = 8). Anti-aggregatory effects of nitrite in the presence
of NO scavengers/sGC inhibitor were determined and vasodilator-stimulated
phosphoprotein (VASP) phosphorylation was assessed using western blotting. In HV
and chronic AF, both nitrite and SNP inhibited platelet aggregation in a
concentration-dependent manner. Inhibition of platelet aggregation by the NO
donor SNP was impaired in HFpEF-AF patients compared with healthy and chronic AF
individuals, but there was no impairment of the anti-aggregatory effects of
nitrite. Nitrite circumvented platelet NO resistance independently of other blood
cells by directly activating sGC and phosphorylating VASP. Conclusion: We here
show for the first time that HFpEF-AF (but not chronic AF without HF) is
associated with marked impairment of platelet NO responses due to sGC dysfunction
and nitrite circumvents the 'platelet NO resistance' phenomenon in human HFpEF,
at least partly, by acting as a direct sGC activator independent of NO.
PMID- 29659728
TI - Racial Disparities in Invasive Methicillin-resistant Staphylococcus aureus
Infections, 2005-2014.
AB - Background: Despite substantial attention to the individual topics, little is
known about the relationship between racial disparities and antimicrobial
resistant and/or healthcare-associated infection trends, such as for methicillin
resistant Staphylococcus aureus (MRSA). Methods: We analyzed Emerging Infections
Program 2005-2014 surveillance data (9 US states) to determine whether reductions
in invasive MRSA incidence (isolated from normally sterile body sites) affected
racial disparities in rates. Case classification included hospital-onset (HO,
culture >3 days after admission), healthcare-associated community onset (HACO,
culture <=3 days after admission and dialysis, hospitalization, surgery, or long
term care residence within 1 year prior), or community-associated (CA, all
others). Negative binomial regression models were used to evaluate the adjusted
rate ratio (aRR) of MRSA in black patients (vs in white patients) controlling for
age, sex, and temporal trends. Results: During 2005-2014, invasive HO and HACO
(but not CA) MRSA rates decreased. Despite this, blacks had higher rates for HO
(aRR, 3.20; 95% confidence interval [CI], 2.35-4.35), HACO (aRR, 3.84; 95% CI,
2.94-5.01), and CA (aRR, 2.78; 95% CI, 2.30-3.37) MRSA. Limiting the analysis to
chronic dialysis patients reduced, but did not eliminate, the higher HACO MRSA
rates among blacks (aRR, 1.83; 95% CI, 1.72-1.96), even though invasive MRSA
rates among dialysis patients decreased during 2005-2014. These racial
differences did not change over time. Conclusions: Previous reductions in
healthcare-associated MRSA infections have not affected racial disparities in
MRSA rates. Improved understanding of the underlying causes of these differences
is needed to develop effective prevention interventions that reduce racial
disparities in MRSA infections.
PMID- 29659729
TI - T helper 17.1 cells associate with multiple sclerosis disease activity:
perspectives for early intervention.
AB - Interleukin-17-expressing CD4+ T helper 17 (Th17) cells are considered as
critical regulators of multiple sclerosis disease activity. However, depending on
the species and pro-inflammatory milieu, Th17 cells are functionally
heterogeneous, consisting of subpopulations that differentially produce
interleukin-17, interferon-gamma and granulocyte macrophage colony-stimulating
factor. In the current study, we studied distinct effector phenotypes of human
Th17 cells and their correlation with disease activity in multiple sclerosis
patients. T helper memory populations single- and double-positive for C-C
chemokine receptor 6 (CCR6) and CXC chemokine receptor 3 (CXCR3) were
functionally assessed in blood and/or cerebrospinal fluid from a total of 59
patients with clinically isolated syndrome, 35 untreated patients and 24
natalizumab-treated patients with relapsing-remitting multiple sclerosis, and
nine patients with end-stage multiple sclerosis. Within the clinically isolated
syndrome group, 23 patients had a second attack within 1 year and 26 patients did
not experience subsequent attacks during a follow-up of >5 years. Low frequencies
of T helper 1 (Th1)-like Th17 (CCR6+CXCR3+), and not Th17 (CCR6+CXCR3-) effector
memory populations in blood strongly associated with a rapid diagnosis of
clinically definite multiple sclerosis. In cerebrospinal fluid of clinically
isolated syndrome and relapsing-remitting multiple sclerosis patients, Th1-like
Th17 effector memory cells were abundant and showed increased production of
interferon-gamma and granulocyte macrophage colony-stimulating factor compared to
paired CCR6+ and CCR6-CD8+ T cell populations and their blood equivalents after
short-term culturing. Their local enrichment was confirmed ex vivo using
cerebrospinal fluid and brain single-cell suspensions. Across all pro
inflammatory T helper cells analysed in relapsing-remitting multiple sclerosis
blood, Th1-like Th17 subpopulation T helper 17.1 (Th17.1; CCR6+CXCR3+CCR4-)
expressed the highest very late antigen-4 levels and selectively accumulated in
natalizumab-treated patients who remained free of clinical relapses. This was not
found in patients who experienced relapses during natalizumab treatment. The
enhanced potential of Th17.1 cells to infiltrate the central nervous system was
supported by their predominance in cerebrospinal fluid of early multiple
sclerosis patients and their preferential transmigration across human brain
endothelial layers. These findings reveal a dominant contribution of Th1-like
Th17 subpopulations, in particular Th17.1 cells, to clinical disease activity and
provide a strong rationale for more specific and earlier use of T cell-targeted
therapy in multiple sclerosis.
PMID- 29659730
TI - An Information-Driven 2-Pathway Characterization of Occipitotemporal and
Posterior Parietal Visual Object Representations.
AB - Recent studies have demonstrated the existence of rich visual representations in
both occipitotemporal cortex (OTC) and posterior parietal cortex (PPC). Using
fMRI decoding and a bottom-up data-driven approach, we showed that although
robust object category representations exist in both OTC and PPC, there is an
information-driven 2-pathway separation among these regions in the
representational space, with occipitotemporal regions arranging hierarchically
along 1 pathway and posterior parietal regions along another pathway. We obtained
10 independent replications of this 2-pathway distinction, accounting for 58-81%
of the total variance of the region-wise differences in visual representation.
The separation of the PPC regions from higher occipitotemporal regions was not
driven by a difference in tolerance to changes in low-level visual features, did
not rely on the presence of special object categories, and was present whether or
not object category was task relevant. Our information-driven 2-pathway structure
differs from the well-known ventral-what and dorsal-where/how characterization of
posterior brain regions. Here both pathways contain rich nonspatial visual
representations. The separation we see likely reflects a difference in neural
coding scheme used by PPC to represent visual information compared with that of
OTC.
PMID- 29659733
TI - How the coming of the NHS changed British neurology.
PMID- 29659731
TI - Reply: ATAD1 encephalopathy and stiff baby syndrome: a recognizable clinical
presentation.
PMID- 29659732
TI - Dalbavancin as Primary and Sequential Treatment for Gram-Positive Infective
Endocarditis: 2-Year Experience at the General Hospital of Vienna.
AB - The clinical outcomes and safety of dalbavancin as primary and sequential
treatment of gram-positive bacteremia with infective endocarditis were evaluated
retrospectively. The clinical success rate was high (92.6%), but in 24 of 27
patients dalbavancin was used only after clearance of bacteria from the
bloodstream.
PMID- 29659735
TI - A Multispecific Investigation of the Metal Effect in Mammalian Odorant Receptors
for Sulfur-Containing Compounds.
AB - Metal-coordinating compounds are generally known to have strong smells, a
phenomenon that can be attributed to the fact that odorant receptors for intense
smelling compounds, such as those containing sulfur, may be metalloproteins. We
previously identified a mouse odorant receptor (OR), Olfr1509, that requires
copper ions for sensitive detection of a series of metal-coordinating odorants,
including (methylthio)methanethiol (MTMT), a strong-smelling component of male
mouse urine that attracts female mice. By combining mutagenesis and quantum
mechanics/molecular mechanics (QM/MM) modeling, we identified candidate binding
sites in Olfr1509 that may bind to the copper-MTMT complex. However, whether
there are other receptors utilizing metal ions for ligand-binding and other sites
important for receptor activation is still unknown. In this study, we describe a
second mouse OR for MTMT with a copper effect, namely Olfr1019. In an attempt to
investigate the functional changes of metal-coordinating ORs in multiple species
and to decipher additional sites involved in the metal effect, we cloned various
mammalian orthologs of the 2 mouse MTMT receptors, and a third mouse MTMT
receptor, Olfr15, that does not have a copper effect. We found that the function
of all 3 MTMT receptors varies greatly among species and that the response to
MTMT always co-occurred with the copper effect. Furthermore, using ancestral
reconstruction and QM/MM modeling combined with receptor functional assay, we
found that the amino acid residue R260 in Olfr1509 and the respective R261 site
in Olfr1019 may be important for receptor activation.
PMID- 29659734
TI - Quantitative Analysis of Multi-components by Single Marker and Fingerprint
Analysis of Achyranthes bidentata Blume.
AB - A simple and effective method of high performance liquid chromatography (HPLC)
with diode array detection was established to identify the origin of Achyranthes
bidentata Blume and evaluate its quality, based on chromatographic fingerprint
combined with the similarity analysis, hierarchical cluster analysis and the
quantitative analysis of multi-components by single marker (QAMS). In the
chromatographic fingerprint, 16 peaks were selected as the common model to
evaluate the similarities among 18 batches (S1-S18) of A. bidentata Blume samples
collected from different origins in China. The similarities values for 18 batches
of samples were more than 0.75, which compared with control fingerprint.
Furthermore, 18 batches of A. bidentata Blume samples were categorized into two
groups for quantitative analysis, the quantification of three bioactive
constituents (beta-ecdysterone, cyasterone and 5-hydroxymethyl furfural) between
QAMS and external standard method proved the consistency of the two methods, the
three constituents showed good regression (R > 0.9995) within linear ranges, and
their recoveries were within the range of 97.6-101.5%. This study demonstrated
that the quality of A. bidentata Blume can be successfully evaluated by means of
a combination of HPLC chromatographic fingerprint and QAMS approach.
PMID- 29659736
TI - ATAD1 encephalopathy and stiff baby syndrome: a recognizable clinical
presentation.
PMID- 29659737
TI - Feeding-Related Gut Microbial Composition Associates With Peripheral T-Cell
Activation and Mucosal Gene Expression in African Infants.
AB - Background: Exclusive breastfeeding reduces the rate of postnatal human
immunodeficiency virus (HIV) transmission compared to nonexclusive breastfeeding;
however, the mechanisms of this protection are unknown. Our study aimed to
interrogate the mechanisms underlying the protective effect of exclusive
breastfeeding. Methods: We performed a prospective, longitudinal study of infants
from a high-HIV-prevalence, low-income setting in South Africa. We evaluated the
role of any non-breast milk feeds, excluding prescribed medicines on stool
microbial communities via 16S rRNA gene sequencing, peripheral T-cell activation
via flow cytometry, and buccal mucosal gene expression via quantitative
polymerase chain reaction assay. Results: A total of 155 infants were recruited
at birth with mean gestational age of 38.9 weeks and mean birth weight of 3.2 kg.
All infants were exclusively breastfed (EBF) at birth, but only 43.5% and 20%
remained EBF at 6 or 14 weeks of age, respectively. We observed lower stool
microbial diversity and distinct microbial composition in exclusively breastfed
infants. These microbial communities, and the relative abundance of key taxa,
were correlated with peripheral CD4+ T-cell activation, which was lower in EBF
infants. In the oral mucosa, gene expression of chemokine and chemokine receptors
involved in recruitment of HIV target cells to tissues, as well as epithelial
cytoskeletal proteins, was lower in EBF infants. Conclusions: These data suggest
that nonexclusive breastfeeding alters the gut microbiota, increasing T-cell
activation and, potentially, mucosal recruitment of HIV target cells. Study
findings highlight a biologically plausible mechanistic explanation for the
reduced postnatal HIV transmission observed in EBF infants.
PMID- 29659738
TI - Disseminated Infection of Encephalitozoon cuniculi Associated With Osteolysis of
Hip Periprosthetic Tissue.
AB - Background: Among patients with hip joint endoprosthesis, periprosthetic
osteolysis is the most common complication following primary arthroplasty, and
subsequent implant loosening is the leading cause of arthroplasty revision.
Causes of stability loss, though not always evident, can be mechanical, allergic,
or infectious (bacterial and fungal agents) in nature. Microsporidia, widespread
opportunistic fungal pathogens that infect most human tissues, are a potential
infectious cause of stability loss. Infections caused by Encephalitozoon species
one of the most common microsporidial pathogens in humans-primarily localize to
intestinal and respiratory tracts, but can disseminate to tissues throughout the
body. Methods: We examined 53 immunocompetent patients, 23 after revision and 30
after primary hip arthroplasty, for infection by Encephalitozoon species.
Periprosthetic tissue, urine sediments, and stool samples were tested by
microscopic examination and genus-specific nested polymerase chain reaction
followed by genotyping. Results: Ten patients had Encephalitozoon-positive
periprosthetic tissues, 9 (39%) after revision and 1 (3.3%) after primary hip
arthroplasty. Among the tissue-positive postrevision patients, 7 had a positive
urine sample and 1 had a positive stool sample. Encephalitozoon cuniculi genotype
II was identified in 88.8% (16/18) of samples. Two urine samples were positive
for a novel Encephalitozoon species. Conclusions: Encephalitozoon cuniculi should
be considered as a cause of osteolysis in hip periprosthetic tissue, leading to a
loss of implant stability.
PMID- 29659739
TI - Physical Exercise Improves Aging-Related Changes in Angiotensin, IGF-1, SIRT1,
SIRT3, and VEGF in the Substantia Nigra.
AB - Dysregulation of tissue renin-angiotensin system (RAS) is involved in oxidative
and inflammatory processes observed in major aging-related diseases, including
neurodegenerative diseases such as Parkinson's disease (PD). Physical exercise
has beneficial effects against aging-related changes, dopaminergic neuron
vulnerability, and PD progression. The present study indicates that sedentary
aged rats have an increase in activity of the nigral angiotensin (Ang) II/Ang
type 1 receptor (AT1) axis (ie, the pro-oxidative pro-inflammatory arm), and a
decrease in the activity of the RAS protective arm (ie, Ang II/AT2 and Ang 1
7/Mas receptor axis) in comparison with young rats. In addition, sedentary aged
rats showed a decrease in levels of nigral IGF-1, SIRT1, SIRT3, and VEGF.
Treadmill running induced a significant increase in levels of IGF-1, SIRT1,
SIRT3, and VEGF, as well as an increase in expression of the protective Ang 1
7/Mas axis and inhibition of the Ang II/AT1 axis. The exercise-induced increase
in IGF-1 and sirtuins may mediate the effects of exercise on the nigral RAS.
However, exercise may induce the increase in VEGF and modulation of RAS activity
by different pathways. Exercise, via RAS, contributes to inhibition of the pro
oxidative and proinflammatory state that increase dopaminergic neuron
vulnerability and risk of PD with aging.
PMID- 29659740
TI - Cross-Border Transmission of Ebola Virus as the Cause of a Resurgent Outbreak in
Liberia in April 2016.
PMID- 29659741
TI - Formin 2 Regulates Lysosomal Degradation of Wnt-Associated beta-Catenin in Neural
Progenitors.
AB - Although neural progenitor proliferation along the ventricular zone is regulated
by beta-catenin through Wnt signaling, the cytoskeletal mechanisms that regulate
expression and localization of these proteins are not well understood. Our prior
studies have shown that loss of the actin-binding Filamin A (FlnA) and actin
nucleating protein Formin 2 (Fmn2) impairs endocytosis of low-density-lipoprotein
receptor-related protein 6 (Lrp6), thereby disrupting beta-catenin activation,
resulting in decreased brain size. Here, we report that activated RhoA-GTPase
disengages Fmn2 N- to C-terminal binding to promote Fmn2 activation and
redistribution into lysosomal vesicles. Fmn2 colocalizes with beta-catenin in
lysosomes and promotes its degradation. Further, Fmn2 binds the E3 ligase Smurf2,
enhances Smurf2-dependent ubiquitination, and degradation of Dishevelled-2
(Dvl2), thereby initiates beta-catenin degradation. Finally, Fmn2 overexpression
disrupts neuroepithelial integrity, neuronal migration, and proliferation
phenotypes in E13 mouse embryos, as seen with loss of Fmn2+FlnA function.
Conversely, co-expression of Dvl2 with Fmn2 rescues the proliferation defect due
to Fmn2 overexpression in mouse embryos. These findings suggest that there is a
homeostatic feedback mechanism in the cytoskeletal-dependent regulation of neural
proliferation within the cerebral cortex. Upstream, Fmn2 promotes proliferation
by stabilizing the Lrp6 receptor, leading to beta-catenin activation. Downstream,
RhoA-activated Fmn2 promotes lysosomal degradation of Dvl2, leading to beta
catenin degradation.
PMID- 29659742
TI - Sample Pretreatment Method for Determination of Indicator Polychlorinated
Biphenyls in Seafood using Ultrasonic Extraction Followed by Dispersive Solid
Phase Extraction and Gas Chromatography - Electron Capture Detection.
AB - A simple, rapid and novel method has been developed and validated for the
determination of seven indicator polychlorinated biphenyls (PCBs) in seafood
samples by gas chromatography coupled to electron capture detector. Freeze-dried
samples were done first ultrasonic extraction by n-hexane:methylene
chloride:acetone (3:1:1, v/v), and then one-step clean-up (dispersive solid-phase
extraction clean-up) or two-step clean-up (concentrated sulfuric acid
purification and dispersive solid-phase extraction clean-up) was selected
according to the lipid contents of the samples, if the lipid content was no more
than 1%, one-step clean-up was used, otherwise, two-step clean-up was chose. The
linearity of this method ranged from 1.25 to 100 MUg/L, with regression
coefficients ranging between 0.9991 and 0.9998. The limits of detection were in
low ng/g level, ranging between 0.005 and 0.0076 ng/g (wet weight). The
recoveries of spiked seven PCBs with external calibration method at different
concentration levels in Pseudosciaena polyactis, Penaeus vannamei and
Sinonovacula constricta were in the range of 78-105%, 73-110% and 75-107%,
respectively, and with relative standard deviations of 3.3-5.1%, 3.5-6.3% and 3.4
5.1% (n = 5), respectively. The performance of the proposed method was also
compared with traditional soxhlet extraction and column chromatography clean-up
on the same real seafood samples and comparable efficiencies were obtained. It is
concluded that this method can be successfully applied for the determination of
PCBs in different seafood samples.
PMID- 29659743
TI - Association of biomarker and physiologic indices with mortality in older adults:
Cardiovascular Health Study.
AB - Background: A goal of gerontology is discovering aging phenotypes that reflect
biological aging distinct from disease pathogenesis. Biomarkers strongly and
independently associated with mortality and which statistically attenuate
chronologic age could be used to define such a phenotype. We determined the
association of a Biomarker Index (BI) with mortality and compared it to a
validated Physiologic Index (PI) in older adults. Methods: The indices were
constructed in the Cardiovascular Health Study, mean (SD) age 74.5 (5.1) years.
The BI incorporated circulating levels of new biomarkers, including insulin-like
growth factor (IGF)-1, IGF binding protein 3, amino-terminal pro-B-type
natriuretic peptide, dehydroepiandrosterone sulfate, and interleukin-6, and was
built in test (N=2197) and validation (N=1124) samples. The PI included carotid
intima-media thickness, pulmonary capacity, brain white matter grade, cystatin-C,
and fasting glucose. Multivariable Cox proportional hazards models predicting
death were calculated with 10 years of follow-up. Results: In separate age
adjusted models, the hazard ratio (HR) for mortality per point of the BI was 1.30
(95% CI 1.25, 1.34) and the BI attenuated age by 25%. The HR for the PI was 1.28
(1.24, 1.33) (29% age attenuation). In the same model, the HR for the BI was 1.23
(1.18, 1.28) and for the PI was 1.22 (1.17, 1.26), and age was attenuated 42.5%.
Associations persisted after further adjustment. Conclusions: The BI and PI were
significantly and independently associated with mortality. Both attenuated the
age effect on mortality substantially. The indices may be feasible phenotypes for
developing interventions hoping to alter the trajectory of aging.
PMID- 29659744
TI - Human adipose mesenchymal stem cells overexpressing dual chemotactic gene showed
enhanced angiogenic capacity in ischaemic hindlimb model.
AB - Aims: In present study, we sought to characterize the angio-vasculogenic property
of human adipose mesenchymal stem cells (ASCs) overexpressing dual chemokine GCP
2 and SDF-1alpha (ASC-G/S) and to determine the therapeutic potential of ASC-G/S
in the context of experimental ischaemia. Methods and results: We generated ASC
G/S line and performed flow cytometry, quantitative (q)-PCR, Matrigel tube
formation, Matrigel plug assays, and in vivo therapeutic assays using hind limb
ischaemia mouse model. Q-PCR results showed that the representative pro
angiogenic factors were highly upregulated in ASC-G/S compared with ASCs single
chemokine overexpressing GCP-2 (ASC-G). In addition, ASC-G/S exhibited high
expression of endothelium-specific genes shch as vWF and Flk-1 and showed robust
in vitro micro-vascular formation. ASC-G/S was transplanted into ischaemic mouse
hind limbs and compared with control groups. ASC-G/S injection prevented limb
loss and augmented blood perfusion, suggesting that ASC-G/S enhances
neovascularization in hind limb ischaemia. In addition, transplanted ASC-G/S
revealed high vasculogenic potential in vivo compared with transplanted ASC-G.
Conclusion: Our data suggest that ASC-G/S has high therapeutic effects on hind
limb ischaemia via robust angiogenic and vasculogenic action.
PMID- 29659745
TI - Reply to Mercuro et al.
PMID- 29659746
TI - Reply: Visually-sensitive networks in essential tremor: evidence from structural
and functional imaging.
PMID- 29659748
TI - Burden, Etiology, and Risk Factors of Respiratory Virus Infections Among
Symptomatic Preterm Infants in the Tropics: A Retrospective Single-Center Cohort
Study.
AB - Background: The burden of respiratory viral infections (RVIs) among preterm
infants in the first few years of life, especially those living in the tropics
with year-long transmissions of respiratory viruses, remains unknown. We aimed to
describe the clinical epidemiology and associated risk factors for RVIs among
symptomatic preterm infants <=32 weeks up to 2 years of life. Methods: We
performed a data linkage analysis of clinical and hospital laboratory databases
for preterm infants born at KK Women's and Children's Hospital, Singapore, from
2005 to 2015. RVI episodes during initial admission and subsequent hospital
readmissions were included. Results: Of 1854 infants in the study, 270 (14.5%)
infants were diagnosed with at least 1 RVI. A total of 285 (85.3%) episodes were
diagnosed postdischarge, with the highest risk for RVIs being from 3 to 5 months
of age. The incidence of RVI in this population was 116 per 1000 infant-years and
respiratory syncytial virus was the main overall causative pathogen. Infants with
RVIs were more likely to be born at <=27 weeks' gestational age (odds ratio [OR],
1.7; 95% confidence interval [CI], 1.2-2.3), to have received postnatal steroids
(OR, 1.5; 95% CI, 1.0-2.1), and to be diagnosed with bronchopulmonary dysplasia
(OR, 1.7; 95% CI, 1.2-2.4). Conclusions: The burden of RVIs is high in preterm
infants in the tropics, affecting >1 of 10 infants born at <=32 weeks' gestation
before 2 years of age. Respiratory syncytial virus was the main causative
pathogen identified. Risk factors for RVI included extremely low gestational age,
receipt of postnatal steroids, and bronchopulmonary dysplasia.
PMID- 29659747
TI - Two Distinct Patterns of Clostridium difficile Diversity Across Europe Indicating
Contrasting Routes of Spread.
AB - Background: Rates of Clostridium difficile infection vary widely across Europe,
as do prevalent ribotypes. The extent of Europe-wide diversity within each
ribotype, however, is unknown. Methods: Inpatient diarrheal fecal samples
submitted on a single day in summer and winter (2012-2013) to laboratories in 482
European hospitals were cultured for C. difficile, and isolates the 10 most
prevalent ribotypes were whole-genome sequenced. Within each ribotype, country
based sequence clustering was assessed using the ratio of the median number of
single-nucleotide polymorphisms between isolates within versus across different
countries, using permutation tests. Time-scaled Bayesian phylogenies were used to
reconstruct the historical location of each lineage. Results: Sequenced isolates
(n = 624) were from 19 countries. Five ribotypes had within-country clustering:
ribotype 356, only in Italy; ribotype 018, predominantly in Italy; ribotype 176,
with distinct Czech and German clades; ribotype 001/072, including distinct
German, Slovakian, and Spanish clades; and ribotype 027, with multiple
predominantly country-specific clades including in Hungary, Italy, Germany,
Romania, and Poland. By contrast, we found no within-country clustering for
ribotypes 078, 015, 002, 014, and 020, consistent with a Europe-wide
distribution. Fluoroquinolone resistance was significantly more common in within
country clustered ribotypes (P = .009). Fluoroquinolone-resistant isolates were
also more tightly clustered geographically with a median (interquartile range) of
43 (0-213) miles between each isolate and the most closely genetically related
isolate, versus 421 (204-680) miles in nonresistant pairs (P < .001).
Conclusions: Two distinct patterns of C. difficile ribotype spread were observed,
consistent with either predominantly healthcare-associated acquisition or Europe
wide dissemination via other routes/sources, for example, the food chain.
PMID- 29659749
TI - A Brief History of Evolving Diagnostics and Therapy for Gonorrhea: Lessons
Learned.
AB - Progressively decreasing susceptibility of Neisseria gonorrhoeae to the
antibiotics recommended for treatment has raised concerns about the public health
threat of antibiotic resistant gonorrhea. This is not a new process, and the
organism has reliably developed resistance to all modern antibiotics used for
treatment since the dawn of the antibiotic era. The history of changing
recommendations for gonorrhea therapy is complex, however, and has been
influenced by diagnostic test methods and surveillance. Understanding the impact
of these influences may provide insights into current approaches to address this
reemerging public health challenge. We reviewed available methods for gonorrhea
diagnosis, and public health recommendations for gonorrhea treatment. The
literature review was supplemented by qualitative interviews with senior
investigators whose research helped shape gonorrhea management strategies over
the past 50 years. The process of development of antimicrobial resistance to the
antibiotics widely used for treatment seems to be inexorable. Many currently
voiced concerns are similar to those raised in the past. The public health threat
of increasing antimicrobial resistance by N. gonorrhoeae has been amplified as a
result of a smaller pipeline introducing new drugs for gonorrhea treatment.
Improved methods for gonorrhea diagnosis have also repeatedly influenced
appreciation of the burden of disease caused by N. gonorrhoeae. US Public Health
Service leadership has also shaped and improved the management of this important
public health problem.
PMID- 29659750
TI - Simultaneous Qualitative and Quantitative Study of Main Compounds in Commelina
communis Linn. by UHPLC-Q-TOF-MS-MS and HPLC-ESI-MS-MS.
AB - This study reported the identification and determination of the main components
of Commelina communis Linn. A total of 62 compounds were identified in C.
communis Linn. extract, which included 29 flavonoids and flavonoid glycosides, 17
phenolic acids, 4 alkaloids, 1 pyrimidine alkaloids, 3 sterols and 8 fatty acids
and others by ultra high performance liquid chromatography coupled with hybrid
triple quadrupole time-of-flight mass spectrometry. Moreover, a specific, simple,
rapid and sensitive high performance liquid chromatography with tandem mass
spectrometry method was developed and validated for determination of 13
components of C. communis Linn., which included orientin, iso-orientin, vitexin,
isovitexin, rutin, apigenin, protocatechuate, vanillic acid, caffeic acid,
ferulic acid, luteolin, quercetin and isorhamnetin. All calibration curves showed
good linearity (r >= 0.9991) within the test range. The intra- and inter-day
precisions (relative standard deviation%, RSD%) were within 1.04 and 0.92%, and
the recoveries ranged from 98.64 to 100.8%. These results may contribute to the
further study and quality control for C. communis Linn.
PMID- 29659751
TI - A Randomized, Placebo-Controlled Trial of the Quadrivalent Human Papillomavirus
Vaccine in Human Immunodeficiency Virus-Infected Adults Aged 27 Years or Older:
AIDS Clinical Trials Group Protocol A5298.
AB - Background: Adults living with human immunodeficiency virus (HIV) are at
increased risk for anal and oropharyngeal cancer caused by human papillomavirus
(HPV). The efficacy of HPV vaccines in this population is unknown. Methods: In
this phase 3, double-blind, randomized, controlled trial, we assigned HIV
infected adults aged >=27 years to the quadrivalent HPV (types 6, 11, 16, 18)
vaccine or placebo (1:1) stratified by sex and presence of anal high-grade
squamous intraepithelial lesions on biopsy (bHSIL). The primary endpoint was
vaccine efficacy against incident persistent anal infection with quadrivalent
vaccine types or single detection at the final visit that were not present at
baseline. Secondary endpoints included vaccine efficacy for anal bHSIL after week
52, persistent oral HPV infection. Results: A total of 575 participants were
randomized. The Data and Safety Monitoring Board stopped the study early due to
futility. Vaccine efficacy was 22% (95.1% confidence interval [CI], -31%, 53%)
for prevention of persistent anal infection or single detection at the final
visit, 0% (95% CI -44%, 31%) for improving bHSIL outcomes and 88% (95.1% CI 2%,
98%) for preventing persistent oral HPV infection, but was 32% (95.1% CI -80%,
74%) for 6-month persistent oral HPV infection or single detection at the final
visit. Conclusions: These results do not support HPV vaccination of HIV-infected
adults aged >=27 years to prevent new anal HPV infections or to improve anal HSIL
outcomes. However, our data suggest a role for prevention of oral HPV infections,
but this finding should be confirmed in future studies. Clinical Trials
Registration: NCT01461096.
PMID- 29659752
TI - Performance of Anal Cytology Compared With High-Resolution Anoscopy and Histology
in Women With Lower Anogenital Tract Neoplasia.
AB - Background: Information on the performance of anal cytology in women who are high
risk for human papillomavirus-related lesions and the factors that might
influence cytology are largely lacking. Methods: Retrospective study including
all new referrals of women with a previous history of anogenital neoplasia from
January 2012 to July 2017, with concomitant anal cytology and high-resolution
anoscopy with or without biopsies. Results: Six hundred and thirty six anal
cytology samples and 323 biopsies obtained from 278 women were included. Overall
sensitivity and specificity of "any abnormality" on anal cytology to predict any
abnormality in histology was 47% (95% confidence interval [CI], 41%-54%) and 84%
(95% CI, 73%-91%), respectively. For detecting high-grade squamous
intraepithelial lesions (HSIL)/cancer, sensitivity was 71% (95% CI, 61%-79%) and
specificity was 73% (95% CI, 66%-79%). There was a poor concordance between
cytological and histological grades (kappa = 0.147). Cytology had a higher
sensitivity to predict HSIL/cancer in immunosuppressed vs nonimmunosuppressed
patients (92% vs 60%, P = .002). The sensitivity for HSIL detection was higher
when 2 or more quadrants were affected compared with 1 (86% vs 57%, P = .006). A
previous history of vulvar HSIL/cancer (odds ratio [OR], 1.71, 1.08-2.73; P =
.023), immunosuppression (OR, 1.88, 1.17-3.03; P = .009), and concomitant genital
HSIL/cancer (OR, 2.51, 1.47-4.29; P = .001) were risk factors for abnormal
cytology. Conclusions: Women characteristics can influence the performance of
anal cytology. The sensitivity for detecting anal HSIL/cancer was higher in those
immunosuppressed and with more extensive disease.
PMID- 29659753
TI - Patient and Strain Characteristics Associated With Clostridium difficile
Transmission and Adverse Outcomes.
AB - Background: No study has used whole-genome sequencing (WGS) to investigate risk
factors for Clostridium difficile (CD) transmission between cases, or assessed
the impact of recent acquisition on patient outcome. Methods: This 20 month
retrospective cohort study included consecutive cytotoxin-positive diarrheal
samples, which underwent culture, ribotyping, and WGS (Illumina). Sequenced
isolates were compared using single nucleotide variants (SNVs). Independent
predictors of acquisition from another case, onward transmission, 120-day
recurrence, and 30-day mortality were identified using logistic regression with
backwards elimination. Results: Of 660 CD cases, 640 (97%) were sequenced, of
which 567 (89%) shared a ribotype with a prior case, but only 227 (35%) were <=2
SNVs from a prior case, supporting recent acquisition. Plausible (<2 SNVs) recent
ward-based acquisition from a symptomatic case was more frequent in certain
ribotypes; 64% (67/105) for ribotype-027 cases, compared with 11% (6/57) for
ribotype-078. Independent risk factors (adjusted P < .05) for CD acquisition
included older age, longer inpatient duration, and ribotype; these factors, and
male sex, increased onward transmission. Patients with a plausible donor had a
greater risk of recurrence (adjusted P = .001) and trended towards greater 30-day
mortality (adjusted P = .06). Ribotype had no additional mortality or recurrence
impact after adjusting for acquisition (P > .1). Conclusions: Greater
transmission of certain lineages suggests CD may have different reservoirs and
modes of transmission. Acquiring CD from a recent case is associated with poorer
clinical outcomes. Clinical characteristics associated with increased healthcare
associated CD transmission could be used to target preventative interventions.
PMID- 29659754
TI - A Randomized Study Evaluating the Effectiveness of Oseltamivir Initiated at the
Time of Hospital Admission in Adults Hospitalized With Influenza-Associated Lower
Respiratory Tract Infections.
AB - Background: Influenza-associated hospitalizations result in high morbidity and
mortality. We sought to determine if early empiric anti-influenza therapy
improves outcomes of hospitalized patients with influenza-associated lower
respiratory tract infections (I-LRTIs). Methods: This was a randomized,
unblinded, trial of adult patients hospitalized with I-LRTIs in Kentucky during
2009-2012. Patients were randomized to group A (standard of care) or group B
(standard of care plus oseltamivir as early as possible but within 24 hours of
enrollment). The primary outcome was development of clinical failure (composite
variable including failure to reach clinical improvement within 7 days, transfer
to intensive care 24 hours after admission, or rehospitalization or death within
30 days). Intent-to-treat (ITT) (all LRTI) and per-protocol (PP) (I-LRTI)
analyses were done. Results: A total of 1107 patients were enrolled and included
in the ITT analysis, 556 in group A and 551 in group B. The median time from
symptom onset to hospital admission was 5 days (interquartile range, 5) for both
groups; oseltamivir was initiated median day 6 in group B. There was no
difference in the development of clinical failure (group A, 25%, and group B,
24%; P = .561). In the PP analysis, 11 of 45 (24%) patients in group A and 4 of
29 (14%) patients in group B had clinical failure (P = .414). Conclusions:
Initiation of oseltamivir more than 5 days after illness onset did not reduce
clinical failures among hospitalized patients with I- LRTIs. However, we did not
enroll our projected sample size of I-LRTI. Clinical Trials Registration:
NCT01248715.
PMID- 29659755
TI - Mechanical Thrombectomy for Patients With Infective Endocarditis and Ischemic
Large-Vessel Stroke.
PMID- 29659756
TI - Six of one is not half a dozen of the other.
PMID- 29659757
TI - Depression and Anxiety as Risk Factors for Delayed Care-Seeking Behavior in Human
Immunodeficiency Virus-Infected Individuals in South Africa.
AB - Background: Facility- and community-based efforts to improve human
immunodeficiency virus (HIV) testing in sub-Saharan Africa may benefit from
understanding how mental health influences HIV care-seeking behavior. Methods: We
conducted a study among adults presenting for HIV testing in the Umlazi township
of South Africa. Prior to testing, we measured depression using the 9-item
Patient Health Questionnaire and anxiety using the 7-item Generalized Anxiety
Disorder scale. We categorized patients as delayed presenters (presenting to
clinic >3 months after first HIV-positive test), late testers (presenting within
3 months of diagnosis with a CD4 count <=200 cells per uL), or neither. We used
multinomial logistic regression adjusting for sociodemographic and behavioral
characteristics to determine the effects of depression and anxiety on HIV care
seeking behavior. Results: Among 1482 HIV-infected adults, 59% were female and
mean age was 33 years. The prevalence of depression in the cohort was 33% and
anxiety was 9%. In adjusted models, mild to moderate depression was not
associated with delayed presentation or late testing. HIV-infected adults with
severe depression had 3.6 greater odds (95% confidence interval [CI], 1.2-10.2)
of delayed presentation and 2.2 greater odds (95% CI, 1.01-4.8) of late testing
compared with those without depression. Individuals with generalized anxiety had
2.3 greater odds (95% CI, 1.3-4.2) of delayed presentation compared with those
without anxiety. Conclusions: Severe depression was associated with delayed
presentation and late testing, while anxiety was associated only with delayed
presentation. Screening for mental health services may improve antiretroviral
therapy initiation and linkage to care following HIV testing.
PMID- 29659758
TI - Accelerated Clearance of Infliximab is Associated With Treatment Failure in
Patients With Corticosteroid-Refractory Acute Ulcerative Colitis.
AB - Background and Aims: A significant proportion of patients with corticosteroid
refractory acute ulcerative colitis [UC] fail therapy. We aimed to assess the
pharmacokinetics [PK] of infliximab [IFX] in patients with corticosteroid
refractory acute UC and determine the association between induction IFX PK and
short- and long-term therapy outcome. Methods: A population PK model was
developed using data from 51 patients with UC [n = 42] and Crohn's disease [n =
9]. A subset of patients [n = 36] with acute corticosteroid-refractory UC (median
Mayo score 11 [range 8-12]; 33 of 36 hospitalized; median corticosteroid dose at
study entry 50mg prednisolone equivalent IV/oral) commencing IFX were studied to
assess further correlations between PK from the first induction dose and therapy
outcomes. Serial induction drug levels from the 36 UC patients were collected,
facilitating population-based PK analysis. IFX and antibodies-to-infliximab
[ATIs] concentrations were determined using AnsrTM IFX assay [Prometheus Inc.].
Results: The Week 14 clinical response and Week 54 corticosteroid-free remission
rates were 78% [28/36] and 53% [19/36], respectively. The estimated effective IFX
half-life [T1/2] (median [range]) and clearance (median [range]) were 8.42 [3.94
22.03] days and 0.50 [0.19-1.41] L/day respectively. Longer induction IFX T1/2
and lower clearance were associated with the Week 14 clinical response [p =
0.005] and the Week 54 corticosteroid-free remission rates [p = 0.007].
Conclusions: Accelerated IFX clearance occurs in corticosteroid-refractory acute
UC and is associated with therapy failure. These data support the use of
accelerated IFX induction regimens in patients with corticosteroid-refractory
acute UC failing conventional dosing regimens.
PMID- 29659759
TI - Community-Acquired Legionella Pneumonia in Human Immunodeficiency Virus-Infected
Adult Patients: A Matched Case-Control Study.
AB - We investigate whether the clinical presentations and outcomes of Legionella
pneumonia in human immunodeficiency virus (HIV)-infected patients were comparable
to those seen in non-HIV-infected patients (case-control design). HIV-infected
individuals presented neither a more severe disease nor a worse clinical outcome
than matched HIV-negative control patients.
PMID- 29659760
TI - Coxiella burnetii: A Hidden Pathogen in Interstitial Lung Disease?
AB - We report 7 patients with interstitial lung disease seen at computed tomographic
scan review. Coxiella burnetii infection was diagnosed in situ in 1 lung biopsy
specimen. Q fever may be a cofactor of interstitial lung disease, especially in
endemic areas.
PMID- 29659761
TI - Reply to Kendall.
PMID- 29659763
TI - The Distribution and Habitat Affinities of the Invasive Ant Myrmica rubra
(Hymenoptera: Formicidae) in Southern New England.
AB - The Eurasian ant Myrmica rubra (L.) (Hymenoptera: Formicidae) was first
discovered in North America in the early 1900s in Massachusetts. Populations have
since appeared in at least seven states within the United States and in seven
Canadian provinces. We conducted a systematic search for the ant across southern
New England-the states of Connecticut, Massachusetts, and Rhode Island-where M.
rubra is spreading from multiple loci. The species occurs in two large regions in
Massachusetts, each spanning approximately 75 km, and in several smaller
populations in Massachusetts and Rhode Island. No populations were discovered
anywhere in Connecticut or across large expanses of central Massachusetts and
northern Rhode Island, despite the presence of apparently favorable habitat. This
pattern of distribution suggests a combination of long-distance dispersal by
human transport coupled with slow local spread. Resurveys of sites previously
known to support M. rubra showed that populations persist for decades. Within
invaded areas, M. rubra was strongly associated with particular habitats.
Colonies were most prevalent in freshwater wetlands and in moist forests near
wetlands and water; they were uncommon in drier forests and were rare in open
habitats outside of wetlands. The slow rate of spread over the last 110 yr
suggests that the ants do not easily disperse between patches of suitable
habitat.
PMID- 29659762
TI - Activation of sigma20-dependent recombination and horizontal gene transfer in
Mycoplasma genitalium.
AB - In the human pathogen Mycoplasma genitalium, homologous recombination is under
the control of sigma20, an alternative sigma factor that boosts the generation of
genetic and antigenic diversity in the population. Under laboratory growth
conditions, sigma20 activation is rare and the factors governing its intermittent
activity are unknown. Two sigma20-regulated genes, rrlA and rrlB, showed to be
important for recombination of homologous DNA sequences in this bacterium.
Herein, we demonstrate that rrlA and rrlB code for two small proteins that
participate in a feed-forward loop essential for sigma20 function. In addition,
we identify novel genes regulated by sigma20 and show that several non-coding
regions, which function as a reservoir for the generation of antigenic diversity,
are also activated by this alternative sigma factor. Finally, we reveal that M.
genitalium cells can transfer DNA horizontally by a novel mechanism that requires
RecA and is facilitated by sigma20 over-expression. This DNA transfer system is
arguably fundamental for persistence of M. genitalium within the host since it
could facilitate a rapid dissemination of successful antigenic variants within
the population. Overall, these findings impose a novel conception of genome
evolution, genetic variation and survival of M. genitalium within the host.
PMID- 29659764
TI - Ambulatory Quinolone Prescribing: Moving From Opportunity to Implementation.
PMID- 29659765
TI - Physiological Response of Pedunculate Oak Trees to Gall-Inducing Cynipidae.
AB - Gall-inducing Cynipidae (Hymenoptera) manipulate the leaves of their host plants
and induce local resistance, resulting in a diversity of physiological changes.
In this study, three gall morphotypes caused by the asexual generation of Cynips
quercusfolii L., Neuroterus numismalis (Fourc.) and Neuroterus quercusbaccarum L.
(Hymenoptera: Cynipidae) on pedunculate oaks (Quercus robur L. (Fagales:
Fagaceae)), were used as a model to examine physiological alterations in galls
and foliar tissues, compared to non-galled tissues. Our goal was to investigate
whether plant physiological response to insect feeding on the same host plant
varies depending on gall-wasp species. In particular, the cytoplasmic membrane
condition, hydrogen peroxide (H2O2) concentration and changes in antioxidative
enzyme activities, including guaiacol peroxidase (GPX) and ascorbate peroxidase
(APX) were examined in this study. All cynipid species increased H2O2 levels in
the leaves with galls, while the level of H2O2 in galls depended on the species.
The presence of galls of all species on oak leaves caused an increase of
electrolyte leakage and lipid peroxidation level. A significant induction of GPX
activity was observed in the leaves with galls of all species, indicating stress
induction. Conversely, the decrease in APX activity in both leaves with galls and
galled tissues exposed to feeding of all cynipid species.
PMID- 29659766
TI - Food Suitability and Population Dynamics of Lorryia formosa (Acari: Tydeidae).
AB - Lorryia formosa Cooreman (Acari: Tydeidae) is a species of mite commonly
associated with citrus in many countries including the United States. A survey
report in 1957 suggested phytophagous nature, while other studies claimed that L.
formosa populations are associated with honeydew producing insects and sooty mold
and it acts as a sanitizing agent. We investigated the effect of various diets on
the survival and progeny production of L. formosa on excised leaves and the
survival and potential to cause feeding damage to leaves of potted plants in a
greenhouse study. A 2-yr field survey of a mandarin orchard was also conducted to
elucidate the seasonal infestation, damage potential and population structure of
L. formosa in a natural habitat. Results showed that all L. formosa adults and
immatures died in less than 14 d on excised leaves, did not survive beyond 7 d on
potted citrus plants alone, and caused no observable feeding damage to leaves or
fruit. When sugar water, honeydew, or cottony cushion scale, Icerya purchasi
Maskell (Hemiptera: Margarodidae), was present, adults and immatures survived the
duration of the experiments and produced additional generations. The field survey
showed that all stages of L. formosa were present in a mandarin orchard
throughout the year and insecticide applications affected but did not eliminate
mite populations. Fruit generally had a greater percentage infestation of mites
(44.8 +/- 4.0) than leaves (16.0 +/- 4.7). These studies confirmed that L.
formosa cannot sustain a population on leaf tissue alone and is nondamaging to
citrus in California.
PMID- 29659768
TI - Digital chest drainage is better than traditional chest drainage following
pulmonary surgery: a meta-analysis.
AB - In this systematic review with meta-analysis, we sought to determine the current
strength of evidence for or against digital and traditional chest drainage
systems following pulmonary surgery with regards to hard clinical end points and
cost-effectiveness. PubMed, EMBASE and Web of Science were searched from their
inception to 31 July 2017. The weighted mean difference (WMD) and the risk ratio
were used for continuous and dichotomous outcomes, respectively, each with 95%
confidence intervals (CIs). The heterogeneity and risk of bias were also
assessed. A total of 10 randomized controlled trials enrolling 1268 patients were
included in this study. Overall, digital chest drainage reduced the duration of
chest tube placement (WMD -0.72 days; 95% CI -1.03 to -0.40; P < 0.001), length
of hospital stay (WMD -0.97 days; 95% CI -1.46 to -0.48; P < 0.001), air leak
duration (WMD -0.95 days; 95% CI -1.51 to 0.39; P < 0.001), and postoperative
cost (WMD -443.16 euros; 95% CI -747.60 to -138.73; P = 0.004). However, the
effect differences between the 2 groups were not significant for the duration of
a prolonged air leak and the percentage of patients discharged home on a device.
The stability of these studies was strong. No publication bias was detected. It
may be necessary to use a digital chest drainage system for patients who
underwent pulmonary surgery to reduce the duration of chest tube placement,
length of hospital stay and air leak duration.
PMID- 29659769
TI - Landscape Context Affects Aphid Parasitism by Lysiphlebus testaceipes
(Hymenoptera: Aphidiinae) in Wheat Fields.
AB - Winter wheat is Oklahoma's most widely grown crop, and is planted during
September and October, grows from fall through spring, and is harvested in June.
Winter wheat fields are typically interspersed in a mosaic of habitats in other
uses, and we hypothesized that the spatial and temporal composition and
configuration of landscape elements, which contribute to agroecosystem diversity
also influence biological control of common aphid pests. The parasitoid
Lysiphlebus testaceipes (Cresson; Hymenoptera: Aphidiinae) is highly effective at
reducing aphid populations in wheat in Oklahoma, and though a great deal is known
about the biology and ecology of L. testaceipes, there are gaps in knowledge that
limit predicting when and where it will be effective at controlling aphid
infestations in wheat. Our objective was to determine the influence of landscape
structure on parasitism of cereal aphids by L. testaceipes in wheat fields early
in the growing season when aphid and parasitoid colonization occurs and later in
the growing season when aphid and parasitoid populations are established in wheat
fields. Seventy fields were studied during the three growing seasons. Significant
correlations between parasitism by L. testaceipes and landscape variables existed
for patch density, fractal dimension, Shannon's patch diversity index, percent
wheat, percent summer crops, and percent wooded land. Correlations between
parasitism and landscape variables were generally greatest at a 3.2 km radius
surrounding the wheat field. Correlations between parasitism and landscape
variables that would be expected to increase with increasing landscape diversity
were usually positive. Subsequent regression models for L. testaceipes parasitism
in wheat fields in autumn and spring showed that landscape variables influenced
parasitism and indicated that parasitism increased with increasing landscape
diversity. Overall, results indicate that L. testaceipes utilizes multiple
habitats throughout the year depending on their availability and acceptability,
and frequently disperses among habitats. Colonization of wheat fields by L.
testaceipes in autumn appears to be enhanced by proximity to fields of summer
crops and semi-natural habitats other than grasslands.
PMID- 29659770
TI - Reply to Ngu et al.
PMID- 29659771
TI - Infectious Complications After Deployment Trauma: Following Wounded US Military
Personnel Into Veterans Affairs Care.
AB - Background: Infectious complications related to deployment trauma significantly
contribute to the morbidity and mortality of wounded service members. The Trauma
Infectious Disease Outcomes Study (TIDOS) collects data on US military personnel
injured in Iraq and Afghanistan in an observational cohort study of infectious
complications. Patients enrolled in TIDOS may also consent to follow-up through
the Department of Veterans Affairs (VA). We present data from the first 337 TIDOS
enrollees to receive VA healthcare. Methods: Data were collected from the
Department of Defense (DoD) Trauma Registry, TIDOS infectious disease module, DoD
and VA electronic medical records, and telephone interview. Cox proportional
hazard analysis was performed to identify predictors of post-discharge infections
related to deployment trauma. Results: Among the first 337 TIDOS enrollees who
entered VA healthcare, 111 (33%) had 244 trauma-related infections during their
initial trauma hospitalization (2.1 infections per 100 person-days). Following
initial discharge, 127 (38%) enrollees had 239 trauma-related infections (170
during DoD follow-up and 69 during VA time). Skin and soft-tissue infections and
osteomyelitis were predominant during and after the initial trauma
hospitalization. In a multivariate model, a shorter time to development of a new
infection following discharge was independently associated with injury severity
score >=10 and occurrence of >=1 inpatient infection during initial trauma
hospitalization. Conclusions: Incident infections related to deployment trauma
continue well after initial hospital discharge and into VA healthcare. Overall,
38% of enrolled patients developed a new trauma-related infection after their
initial hospital discharge, with 29% occurring after the patient left military
service.
PMID- 29659772
TI - Interaction Between Two Leafminer Parasitoids, Halticoptera arduine (Hymenoptera:
Pteromalidae) and Diglyphus isaea (Hymenoptera: Eulophidae), in the Management of
Liriomyza huidobrensis (Diptera: Agromyzidae).
AB - Liriomyza spp., leafminer flies (Mik; Diptera: Agromyzidae), are economically
important quarantine pests that puncture and mine leaves and fruits of various
horticultural crops worldwide, affecting yield and trade. Halticoptera arduine
(Walker; Hymenoptera: Pteromalidae), a key parasitoid from the pests' areas of
origin in South America, was introduced as a potential alternative management
strategy. Prior to H. arduine release, its potential interactions with the
dominant local ectoparasitoid, Diglyphus isaea (Walker; Hymenoptera: Eulophidae),
were assessed. Halticoptera arduine and D. isaea were released in single,
sequential and simultaneous combinations on Liriomyza huidobrensis (Blanchard;
Diptera: Agromyzidae) to evaluate possible effect on the parasitism rate,
reproduction and host mortality. The combination of both parasitoids did not
significantly affect the specific parasitism rates of either of them, an
indication that H. arduine and D. isaea can coexist. Parasitism rates of the
exotic H. arduine were significantly superior to the indigenous D. isaea in all
release combinations except when both species were released simultaneously. While
50 individuals of D. isaea resulted only in 21.23 +/- 2.1% parasitism, 50
parasitoids composed of 25 H. arduine and 25 D. isaea caused 53.27 +/- 4.99%.
Both parasitoids further induced significant nonreproductive host mortalities.
Both parasitoids' F1 progenies sex ratios were female-biased in all parasitoid
release combinations except in single release of D. isaea with a balanced sex
ratio. The improvement in D. isaea's sex ratio induced by the presence of H.
arduine suggests a synergetic effect on D. isaea's reproductive performance. The
introduction of H. arduine in horticulture production systems may therefore
improve natural control of Liriomyza leafminers in East Africa.
PMID- 29659774
TI - Are intestinal worms nature's anti-atherosclerosis vaccine?
PMID- 29659773
TI - Gut Barrier Dysfunction-A Primary Defect in Twins with Crohn's Disease
Predominantly Caused by Genetic Predisposition.
AB - Background and Aims: The aetiology of Crohn's disease is poorly understood. By
investigating twin pairs discordant for Crohn's disease, we aimed to assess
whether the dysregulated barrier represents a cause or a consequence of
inflammation and to evaluate the impact of genetic predisposition on barrier
function. Methods: Ileal biopsies from 15 twin pairs discordant for Crohn's
disease [monozygotic n = 9, dizygotic n = 6] and 10 external controls were
mounted in Ussing chambers to assess paracellular permeability to 51Chromium [Cr]
EDTA and trancellular passage to non-pathogenic E. coli K-12. Experiments were
performed with and without provocation with acetylsalicylic acid.
Immunofluorescence and ELISA were used to quantify the expression level of tight
junction proteins. Results: Healthy co-twins and affected twins displayed
increased 51Cr-EDTA permeability at 120 min, both with acetylsalicylic acid [p <
0.001] and without [p < 0.001] when compared with controls. A significant
increase in 51Cr-EDTA flux was already seen at 20 min in healthy monozygotic co
twins compared with controls [p<=0.05] when stratified by zygosity, but not in
healthy dizygotic co-twins. No difference in E. coli passage was observed between
groups. Immunofluorescence of the tight junction proteins claudin-5 and
tricellulin showed lower levels in healthy co-twins [p < 0.05] and affected twins
[p < 0.05] compared with external controls, while ELISA only showed lower
tricellulin in Crohn's disease twins [p < 0.05]. Conclusion: Our results suggest
that barrier dysfunction is a primary defect in Crohn's disease, since changes
were predominantly seen in healthy monozygotic co-twins. Passage of E. coli seems
to be a consequence of inflammation, rather than representing a primary defect.
PMID- 29659775
TI - Ustekinumab Rescue Therapy in a Patient With Chronic Refractory Pouchitis.
PMID- 29659776
TI - Disseminated Infection of Encephalitozoon cuniculi Associated With Osteolysis of
Hip Periprosthetic Tissue: Association Versus Causation.
PMID- 29659777
TI - Number of pregnancies and subsequent phenotype in a cross-sectional cohort of
women with arrhythmogenic cardiomyopathy.
AB - Aims: We aimed to assess the relation between number of pregnancies and cardiac
structure, function, and arrhythmic events in women with arrhythmogenic
cardiomyopathy (AC). Methods and results: We included female AC patients in a
cross-sectional study. Number of pregnancies and pregnancy related symptoms were
recorded. Ventricular arrhythmias were defined as aborted cardiac arrest,
sustained ventricular tachycardia, or appropriate implantable cardioverter
defibrillator therapy. Right and left ventricular dimensions and function,
including strain analyses, were assessed by echocardiography and magnetic
resonance imaging. We created a new AC severity score to grade the severity of AC
disease. We included 77 women (age 47 +/- 16, 43 probands and 34 AC mutation
positive female relatives), 19 +/- 14 years after last pregnancy. Median number
of pregnancies was 2 (0-4); 19 had no previous pregnancies, 16 had 1 pregnancy,
30 had 2, and 12 had >=3 pregnancies. Presence of a definite AC diagnosis (P =
0.36), severity of AC disease (P = 0.53), and arrhythmic events (P = 0.25) did
not differ between groups of pregnancies. Number of pregnancies was related to
increased right ventricular outflow tract diameter in single variable analyses
[odds ratio (OR) 1.76, 95% confidence interval (CI) 1.08-2.87; P = 0.02], but not
when adjusted for body surface area and age (OR 1.56, 95% CI 0.91-2.66; P =
0.11). The number of pregnancies was not associated with any other measures of
cardiac structure and function. Conclusion: Higher number of pregnancies did not
seem to relate to a worse phenotype in women with AC.
PMID- 29659778
TI - Impact of diabetes mellitus on the contractile properties of the left and right
atrial myofilaments.
AB - OBJECTIVES: The incidence of diabetes mellitus in patients with ischaemic
cardiomyopathy is increasing. To evaluate the impact of diabetes mellitus on
contractility, we examined the calcium-induced force in left and right atrial
myofilaments of patients with and without diabetes. METHODS: We included 149
patients (106 without diabetes, 43 with diabetes), scheduled for elective
coronary artery bypass grafting from August 2016 to June 2017. The left and right
atria were excised and prepared for skinned fibre measurements (pCa-force curve).
The unit for the force measurements is Millinewton (mN). Comprehensive
demographic data as well as echocardiographic findings of the patients were
collected. RESULTS: We observed a significant decrease of left atrial force
values in patients with diabetes, averaged over all calcium concentrations
(patients with diabetes 0.50 +/- 0.19 mN vs 0.68 +/- 0.23 mN in patients without
diabetes, P = 0.002) as well as in right atrial fibres (patients with diabetes
0.35 +/- 0.17 mN vs 0.47 +/- 0.21 mN in patients without diabetes, P = 0.005).
There was a significant influence of repeated measurements (of the calcium
concentrations) on force in left atrial myofilaments (P < 0.001). There was also
a significant impact of diabetes on the force values of the different calcium
concentrations in left atrial myofilaments (P 0.002). In right atrial
myofilaments we also found a significant influence of repeated measurements (of
the calcium concentrations) on force (P < 0.001). Additionally the impact of
diabetes on the force values was significant (P = 0.005). CONCLUSIONS: We
demonstrated that diabetes mellitus has a significantly negative impact on
calcium-induced force development in left and right atrial myofilaments.
PMID- 29659781
TI - Intraoperative analgesic regimens may affect postoperative pain: single-port
versus multi-port video-assisted thoracic surgery.
PMID- 29659780
TI - Health Outcomes for Clients of Needle and Syringe Programs in Prisons.
AB - High levels of drug dependence have been observed in the prison population
globally, and the sharing of injecting drug equipment in prisons has contributed
to higher prevalence of bloodborne diseases in prisoners than in the general
population. Few prison needle and syringe programs (PNSPs) exist. We conducted a
systematic review to assess evidence regarding health outcomes of PNSPs. We
searched peer-reviewed databases for data relating to needle and syringe programs
in prisons. The search methodology was conducted in accordance with accepted
guidelines. Five studies met review inclusion criteria, and all presented
evidence associating PNSPs with one or more health benefits, but the strength of
the evidence was low. The outcomes for which the studies collectively
demonstrated the strongest evidence were prevention of human immunodeficiency
virus and viral hepatitis. Few negative consequences from PNSPs were observed,
consistent with previous evidence assessments. More research is needed on PNSP
effectiveness, and innovative study designs are needed to overcome methodological
limitations of previous research. Until stronger evidence becomes available,
policymakers are urged to recognize that not implementing PNSPs has the potential
to cause considerable harm, in light of what is currently known about the risks
and benefits of needle and syringe programs and PNSPs and about the high
prevalence of human immunodeficiency virus and viral hepatitis in prisons.
PMID- 29659782
TI - Right ventricular outflow tract reconstruction using a polytetrafluoroethylene
conduit in Ross patients.
AB - OBJECTIVES: The type of conduit used for right ventricular outflow tract (RVOT)
reconstruction during the Ross procedure remains problematic because of the
limited availability of pulmonary allografts and the unsatisfactory long-term
results associated with the use of xenografts. Polytetrafluoroethylene (PTFE)
conduits have been proposed as an alternative. This study evaluated the results
of RVOT reconstruction using a PTFE conduit during the Ross procedure. METHODS:
Between 2007 and 2015, 28 patients underwent RVOT reconstruction using PTFE
conduits. The mean age of the patients was 35.9 +/- 18.1 (range 4-58) years. The
total root replacement technique was used in all patients. The mean PTFE conduit
size was 25.3 +/- 2.3 mm. RESULTS: The early mortality rate was 3.6% (1 patient).
The mean follow-up duration was 48.5 +/- 31.2 months; there were no late deaths.
The transprosthetic gradients increased significantly over time. The conduit size
was the only independent predictor of peak RVOT gradient progression (P = 0.02).
None of the patients demonstrated significant RVOT regurgitation. One patient
required an RVOT reoperation. CONCLUSIONS: The PTFE conduit demonstrates
acceptable haemodynamic results at the mid-term follow-up and could be considered
as an alternative substitute for RVOT reconstruction during the Ross procedure.
PMID- 29659783
TI - Managed Fire Frequency Significantly Influences the Litter Arthropod Community in
Longleaf Pine Flatwoods.
AB - Frequent prescribed burns are essential to pine forest restoration and
management. Research studies have assessed effects of prescribed fire and burn
frequency on plants and vertebrates, but impacts of fire on terrestrial
invertebrate communities are still poorly understood. This case study
investigated effects of burning frequency on species richness and community
composition of social insects (ants, Hymenoptera: Formicidae and termites,
Blattodea: Isoptera) in fire-managed Southern longleaf pine flatwoods in central
Florida. Community response to different fire frequencies was assessed: burned
annually, every 2 yr, or every 3 yr, 30 yr unburned and 75 yr unburned. Richness
was similar across all treatments, but ant community composition and species
density significantly differed between frequently burned (1, 2, and 3 yr) and
long-unburned (30 and 75 yr) treatments. Long-unburned treatments had higher ant
abundance, but the species present were less characteristic of open canopy
longleaf pine habitat than ants in frequently burned treatments. The annual burn
treatment differed from 2-yr burn in species density, but to a lesser degree.
Exotic species abundance was highest in frequently burned sites; only native
species were detected in the 75-yr unburned plot. The red imported fire ant,
Solenopsis invicta Buren (Hymenoptera: Formicidae), was detected in all regularly
burned plots but not in long-unburned sites. Frequent burning at this site
increased habitat suitability for ant species adapted to the sunny, open canopy,
and diverse niches characteristic of longleaf pine forest; however, regular fire
disturbance also increased the likelihood of exotic ant species establishment.
PMID- 29659784
TI - Left atrial geometry and outcome of atrial fibrillation ablation: results from
the multicentre LAGO-AF study.
AB - Aims: Left atrial (LA) remodelling is a key determinant of atrial fibrillation
(AF) ablation outcome. Optimal methods to assess this process are scarce. LA
sphericity is a shape-based parameter shown to be independently associated to
procedural success. In a multicentre study, we aimed to test the feasibility of
assessing LA sphericity and evaluate its capability to predict procedural
outcomes. Methods and results: This study included consecutive patients
undergoing first AF ablation during 2013. A 3D model of the LA chamber, excluding
pulmonary veins and LA appendage, was used to quantify LA volume (LAV) and LA
sphericity (>=82.1% was considered spherical LA). In total, 243 patients were
included across 9 centres (71% men, aged 56 +/- 10 years, 44% with hypertension
and 76% CHA2DS2-VASc <= 1). Most patients had paroxysmal AF (66%) and underwent
radiofrequency ablation (60%). Mean LA diameter (LAD), LAV, and LA sphericity
were 42 +/- 6 mm, 100 +/- 33 mL, and 82.6 +/- 3.5%, respectively. Adjusted Cox
models identified paroxysmal AF [hazard ratio (HR 0.54, P = 0.032)] and LA
sphericity (HR 1.87, P = 0.035) as independent predictors for AF recurrence. A
combined clinical-imaging score [Left Atrial Geometry and Outcome (LAGO)]
including five items (AF phenotype, structural heart disease, CHA2DS2-VASc <= 1,
LAD, and LA sphericity) classified patients at low (<=2 points) and high risk
(>=3 points) of procedural failure (35% vs. 82% recurrence at 3-year follow-up,
respectively; HR 3.10, P < 0.001). Conclusion: In this multicentre, real-life
cohort, LA sphericity and AF phenotype were the strongest predictors of AF
ablation outcome after adjustment for covariates. The LAGO score was easy to
implement, identified high risk of procedural failure, and could help select
optimal candidates. Clinical Trial Registration Information: NCT02373982
(http://clinicaltrials.gov/ct2/show/NCT02373982).
PMID- 29659786
TI - Impact of Pregnancy and Lactation on the Long-Term Regulation of Energy Balance
in Female Mice.
AB - During pregnancy and lactation, the maternal body undergoes many changes in the
regulation of appetite, body weight, and glucose homeostasis to deal with the
metabolic demands of the growing fetus and subsequent demands of providing milk
for offspring. The aim of the current study was to investigate the consequences
of one reproductive cycle of pregnancy and lactation on the long-term regulation
of energy homeostasis. After weaning of pups, reproductively experienced (RE)
mice maintained a higher body weight compared with age-matched control mice.
Although there was no difference in daily food intake or the feeding response to
exogenous leptin administration, RE mice were less active than age-matched
control mice as measured by average daily x + y beam breaks or average daily
ambulatory distance. RE and age-matched controls were also subjected to either a
high-fat diet or control diet for 6 weeks to determine if experiencing a major
challenge to energy homeostasis such as pregnancy and lactation leads to
increased susceptibility to a second challenge to this system. Although both RE
and control mice gained a similar amount of body weight on the high-fat diet,
only the RE mice had impaired glucose tolerance when consuming the high-fat diet,
thus demonstrating an increased susceptibility to the negative consequences of a
high-fat diet after pregnancy and lactation. Overall, these data indicate that
pregnancy and lactation have long-term consequences on energy homeostasis in
mothers.
PMID- 29659785
TI - Glucocorticoid-Induced Metabolic Disturbances Are Exacerbated in Obese Male Mice.
AB - The purpose of this study was to determine the effects of glucocorticoid-induced
metabolic dysfunction in the presence of diet-induced obesity. C57BL/6J adult
male lean and diet-induced obese mice were given dexamethasone, and levels of
hepatic steatosis, insulin resistance, and lipolysis were determined. Obese mice
given dexamethasone had significant, synergistic effects on fasting glucose,
insulin resistance, and markers of lipolysis, as well as hepatic steatosis. This
was associated with synergistic transactivation of the lipolytic enzyme adipose
triglyceride lipase. The combination of chronically elevated glucocorticoids and
obesity leads to exacerbations in metabolic dysfunction. Our findings suggest
lipolysis may be a key player in glucocorticoid-induced insulin resistance and
fatty liver in individuals with obesity.
PMID- 29659787
TI - Large dissecting intramural haematoma of the oesophagus and stomach and major
gastro-oesophageal bleeding after transoesophageal echocardiography during
transcatheter aortic valve replacement procedures.
PMID- 29659788
TI - The Burden and Benefits of Caregiving: A Latent Class Analysis.
AB - Background and Objectives: Informal caregiving to older adults is a key part of
the U.S. long-term care system. Caregivers' experiences consist of burden and
benefits, but traditional analytic approaches typically consider dimensions
independently, or cannot account for burden and benefit levels and combinations
that co-occur. This study explores how benefits and burden simultaneously shape
experiences of caregiving to older adults, and factors associated with experience
types. Research Design and Methods: 2015 National Health and Aging Trends Study
(NHATS) and National Study of Caregiving (NSOC) data were linked to obtain
reports from caregivers and recipients. Latent class and regression analysis were
conducted on a nationally representative sample of U.S. informal caregivers to
older persons. Results: Five distinguishable caregiving experiences types and
their population prevalence were identified. Subjective burden and benefits level
and combination uniquely characterize each group. Primary stressors (recipient
depression, medical diagnoses), primary appraisal (activities of daily living,
instrumental activities of daily living, medical task assistance, hours
caregiving), and background/contextual factors (caregiver age, race, relationship
to recipient, mental health, coresidence, long-term caregiving) are associated
with experience types. Discussion and Implications: Findings highlight
caregivers' experience multiplicity and ambivalence, and identify groups that may
benefit most from support services. In cases where it is not possible to reduce
burden, assistance programs may focus on increasing the benefits perceptions.
PMID- 29659789
TI - Bipolar disorder in primary care: a qualitative study of clinician and patient
experiences with diagnosis and treatment.
AB - Objective: To understand primary care patients' and clinicians' experiences with
diagnosis and treatment of patients with bipolar disorder in primary care.
Methods: We conducted a qualitative study using thematic content analysis of
individual interviews with nine primary care clinicians and six patients from
Federally Qualified Health Centers to understand their experiences with the
diagnosis and treatment of bipolar disorder. Results: Themes of bipolar disorder
detection, referral to specialty mental health care and medication treatment
emerged from individual interviews with primary care patients and clinicians.
Clinicians and patients faced challenges deciding to continue with care in
primary care that is easier to access, but less intensive, than specialty care
that can be harder to access but at times of higher quality. Conclusions:
Potential next steps in research include identifying ways to support primary care
clinicians in detection of patients with bipolar disorder, and strategies to
support treatment of patients in primary care with easier access to specialty
care including consultation in primary care or co-management with specialty care.
PMID- 29659791
TI - Human Placental Growth Hormone Variant in Pathological Pregnancies.
AB - Growth hormone (GH), an endocrine hormone, primarily secreted from the anterior
pituitary, stimulates growth, cell reproduction, and regeneration and is a major
regulator of postnatal growth. Humans have two GH genes that encode two versions
of GH proteins: a pituitary version (GH-N/GH1) and a placental GH-variant (GH
V/GH2), which are expressed in the syncytiotrophoblast and extravillous
trophoblast cells of the placenta. During pregnancy, GH-V replaces GH-N in the
maternal circulation at mid-late gestation as the major circulating form of GH.
This remarkable change in spatial and temporal GH secretion patterns is proposed
to play a role in mediating maternal adaptations to pregnancy. GH-V is associated
with fetal growth, and its circulating concentrations have been investigated
across a range of pregnancy complications. However, progress in this area has
been hindered by a lack of readily accessible and reliable assays for measurement
of GH-V. This review will discuss the potential roles of GH-V in normal and
pathological pregnancies and will touch on the assays used to quantify this
hormone.
PMID- 29659790
TI - Ratio of transmitral early filling velocity to early diastolic strain rate
predicts long-term risk of cardiovascular morbidity and mortality in the general
population.
AB - Aims: It has previously been demonstrated that the ratio of early mitral inflow
velocity to global diastolic strain rate (E/e'sr) is a significant predictor of
cardiac events in specific patient populations. The utility of this measurement
to predict cardiovascular events in a general population has not been evaluated.
Methods and results: A total of 1238 participants in a general population study
underwent a health examination including echocardiography where global
longitudinal strain (GLS) and E/e'sr were determined. The primary endpoint was
the composite of incident heart failure (HF), acute myocardial infarction (AMI)
or cardiovascular death (CVD). During follow-up (median 11 years), 140 (11.3%)
participants reached the composite endpoint. E/e'sr was associated with adverse
outcome [HR 1.17 95% CI (1.13-1.21); P < 0.001, per 10 cm increase]. After
multivariable adjustment for echocardiographic and clinical parameters, E/e'sr
remained an independent predictor of the composite endpoint [HR 1.08, 95% CI
(1.02-1.13); P = 0.003] as opposed to E/e' [HR 1.03, 95% CI (0.99-1.06); P = 0.11
per 1 unit increase]. Global longitudinal strain modified the relationship
between E/e'sr and outcome (P for interaction = 0.015). E/e'sr was a stronger
predictor in participants with good systolic function as determined by GLS (GLS >
18%) after multivariable adjustment, when compared to participants with reduced
systolic function (GLS < 18%) [HR 1.28 95% CI (1.06-1.54); P = 0.011, and HR 1.08
95% CI (1.02-1.14); P = 0.012, respectively). E/e'sr provided incremental
information [Harrell's C-index: 0.839 (0.81-0.87) vs. 0.844 (0.82-0.87); P =
0.045] beyond the SCORE risk chart. Conclusion: In the general population, E/e'sr
provides independent and incremental prognostic information regarding
cardiovascular morbidity and mortality. Additionally, E/e'sr is a stronger
predictor of cardiac events than E/e'.
PMID- 29659792
TI - Tracking the NGS revolution: managing life science research on shared high
performance computing clusters.
AB - Background: Next-generation sequencing (NGS) has transformed the life sciences,
and many research groups are newly dependent upon computer clusters to store and
analyze large datasets. This creates challenges for e-infrastructures accustomed
to hosting computationally mature research in other sciences. Using data gathered
from our own clusters at UPPMAX computing center at Uppsala University, Sweden,
where core hour usage of ~800 NGS and ~200 non-NGS projects is now similar, we
compare and contrast the growth, administrative burden, and cluster usage of NGS
projects with projects from other sciences. Results: The number of NGS projects
has grown rapidly since 2010, with growth driven by entry of new research groups.
Storage used by NGS projects has grown more rapidly since 2013 and is now limited
by disk capacity. NGS users submit nearly twice as many support tickets per user,
and 11 more tools are installed each month for NGS projects than for non-NGS
projects. We developed usage and efficiency metrics and show that computing jobs
for NGS projects use more RAM than non-NGS projects, are more variable in core
usage, and rarely span multiple nodes. NGS jobs use booked resources less
efficiently for a variety of reasons. Active monitoring can improve this
somewhat. Conclusions: Hosting NGS projects imposes a large administrative burden
at UPPMAX due to large numbers of inexperienced users and diverse and rapidly
evolving research areas. We provide a set of recommendations for e
infrastructures that host NGS research projects. We provide anonymized versions
of our storage, job, and efficiency databases.
PMID- 29659793
TI - Good practices and challenges in addressing poliomyelitis and measles in the
European Union.
AB - Background: All European Union (EU) and European Economic Area (EEA) Member
States have pledged to ensure political commitment towards sustaining the
region's poliomyelitis-free status and eliminating measles. However, there remain
significant gaps between policy and practice in many countries. This article
reports on an assessment conducted for the European Commission that aimed to
support improvements in preparedness and response to poliomyelitis and measles in
Europe. Methods: A documentary review was complemented by qualitative interviews
with professionals working in International and EU agencies, and in at-risk or
recently affected EU/EEA Member States (six each for poliomyelitis and measles).
Twenty-six interviews were conducted on poliomyelitis and 24 on measles; the data
were subjected to thematic analysis. Preliminary findings were then discussed at
a Consensus Workshop with 22 of the interviewees and eight other experts.
Results: Generic or disease-specific plans exist in the participating countries
and cross-border communications during outbreaks were generally reported as
satisfactory. However, surveillance systems are of uneven quality, and clinical
expertise for the two diseases is limited by a lack of experience. Serious
breaches of protocol have recently been reported from companies producing
poliomyelitis vaccines, and vaccine coverage rates for both diseases were also
sub-optimal. A set of suggested good practices to address these and other
challenges is presented. Conclusions: Poliomyelitis and measles should be brought
fully onto the policy agendas of all EU/EEA Member States, and adequate resources
provided to address them. Each country must abide by the relevant commitments
that they have already made.
PMID- 29659794
TI - Understanding medical overuse: the case of problematic polypharmacy and the
potential of ethnography.
PMID- 29659795
TI - Managing uncertainty: a qualitative study of GPs' views on the diagnosis and
immediate management of transient ischaemic attack and the potential of a
diagnostic tool.
AB - Background: Most patients with transient ischaemic attack (TIA) present to their
GP. Early identification and treatment reduces the risk of subsequent stroke and
consequent disability and mortality. Objective: To explore GPs' views on the
diagnosis and immediate management of suspected TIA, and the potential utility of
a diagnostic tool. Methods: This is a qualitative interview study based in
Leicestershire, UK. A purposive sample of 10 GPs participated in 30-minute semi
structured telephone interviews. Data were analysed thematically. Results: GPs
reported that TIA was more likely to be suspected when patients were more obvious
candidates for TIA based on their history, characteristics and symptom
presentation. Referrals were in part a strategy to manage risk under conditions
of uncertainty and to seek reassurance. GPs valued using a TIA risk
stratification tool but felt this did not inform their diagnostic decision
making. A diagnostic tool for TIA in primary care was seen to have potential to
improve the decision-making process about diagnosis and management and enhance
confidence of GPs, particularly in ruling out TIAs. GPs saw benefits of using
hard thresholds, but remained concerned about missing TIAs and saw a tool as an
adjunct to clinical judgement. Conclusions: GPs weigh up the likelihood of TIA in
the context of assessments of candidacy and diverse, often vague, symptoms. A
diagnostic tool could support GPs in this process and help reduce reliance on
referrals to TIA clinics for reassurance, provided the tool was designed to
support decision making in cases of less 'typical' presentations.
PMID- 29659796
TI - Dissemination of multi-resistant Gram-negative bacteria into German wastewater
and surface waters.
AB - Carbapenem antibiotics constitute the mainstay therapy of nosocomial infections
with extended spectrum beta-lactamase producing Gram-negative bacteria; however,
resistance against these compounds is increasing. This study was designed to
demonstrate that carbapenemase-producing bacteria are disseminated from hospitals
into the environment. To this end, resistant bacteria were isolated from a
clinical/urban and from a rural catchment system in Germany in 2016/17. The study
followed the dissemination of resistant bacteria from the wastewater through the
wastewater treatment plant (WWTP) into the receiving surface waters. The bacteria
were cultivated on selective agar and characterized by antibiotic testing, real
time PCR targeting carbapenemase genes and typing. Bacteria with resistance to
third generation cephalosporins were isolated from all sample sites. 134 isolates
harboring carbapenemase genes encoding VIM, NDM and OXA-48 and 26 XDR
(extensively drug-resistant) strains with susceptibility to only one or two
antibiotics were isolated from the clinical/urban system. The rural system
yielded eight carbapenemase producers and no XDR strains. In conclusion, clinical
wastewaters were charged with a high proportion of multidrug resistant bacteria.
Although most of these bacteria were eliminated during wastewater treatment,
dissemination into surface waters is possible as single carbapenemase producers
were still present in the effluent of the WWTP.
PMID- 29659797
TI - Apixaban compared to heparin/vitamin K antagonist in patients with atrial
fibrillation scheduled for cardioversion: the EMANATE trial.
AB - Aim: The primary objective was to compare apixaban to heparin/vitamin K
antagonist (VKA) in patients with atrial fibrillation (AF) and <=48 h
anticoagulation prior to randomization undergoing cardioversion. Methods: One
thousand five hundred patients were randomized. The apixaban dose of 5 mg b.i.d.
was reduced to 2.5 mg b.i.d. in patients with two of the following: age >= 80
years, weight <= 60 kg, or serum creatinine >= 133 umol/L. To expedite
cardioversion, at the discretion of the investigator, imaging and/or a loading
dose of 10 mg (down-titrated to 5 mg) was allowed. The endpoints for efficacy
were stroke, systemic embolism (SE), and death. The endpoints for safety were
major bleeding and clinically relevant non-major (CRNM) bleeding. Results: There
were 1038 active and 300 spontaneous cardioversions; 162 patients were not
cardioverted. Imaging was performed in 855 patients, and 342 received a loading
dose of apixaban. Comparing apixaban to heparin/VKA in the full analysis set,
there were 0/753 vs. 6/747 strokes [relative risk (RR) 0; 95% confidence interval
(95% CI) 0-0.64; nominal P = 0.015], no SE, and 2 vs. 1 deaths (RR 1.98; 95% CI
0.19-54.00; nominal P > 0.999). In the safety population, there were 3/735 vs.
6/721 major (RR 0.49; 95% CI 0.10-2.07; nominal P = 0.338) and 11 vs. 13 CRNM
bleeding events (RR 0.83; 95% CI 0.34-1.89; nominal P = 0.685). On imaging, 60/61
with thrombi continued randomized treatment; all (61) were without outcome
events. Conclusions: Rates of strokes, systemic emboli, deaths, and bleeds were
low for both apixaban and heparin/VKA treated AF patients undergoing
cardioversion. Clinical Trials.gov number: NCT02100228.
PMID- 29659798
TI - Can certain infections protect against atherosclerosis?
PMID- 29659799
TI - Exposure of Escherichia coli to human hepcidin results in differential expression
of genes associated with iron homeostasis and oxidative stress.
AB - Hepcidin belongs to the antimicrobial peptide family but has weak activity with
regards to bacterial killing. The regulatory function of hepcidin in humans
serves to maintain an iron-restricted environment that limits the growth of
pathogens; this study explored whether hepcidin affected bacterial iron
homeostasis and oxidative stress using the model organism Escherichia coli. Using
the Miller assay it was determined that under low iron availability exposure to
sub-inhibitory doses of hepcidin (4-12MUM) led to 2-fold and 4-fold increases in
the expression of ftnA and bfd, respectively (P < 0.05), in both a wild type (WT)
and Deltafur (ferric uptake regulator) background. Quantitative real-time PCR
analysis of oxyR and sodA, treated with 4 or 8 MUM of hepcidin showed that
expression of these genes was significantly (P < 0.05) increased, whereas
expression of lexA was unchanged, indicating that hepcidin likely mediated
oxidative stress but did not induce DNA damage.
PMID- 29659800
TI - Activity Patterns and Health Outcomes in Later Life: The Role of Nature of
Engagement.
AB - Background and Objectives: The health benefit of activity participation at older
ages is documented in the current literature. Many studies, however, only
explored the health benefits of engaging in a few activities and did not examine
mechanisms connecting activity participation to health. We investigated the
pathway between activity and health by testing the mediation role of the nature
of engagement (physical, cognitive, and social) on physical, mental, and
cognitive health of older adults. Research Design and Methods: We analyzed data
of 6,044 older adults from the 2010 and 2012 Health and Retirement Study linked
with 2011 Consumption and Activity Mail Survey. We used latent class analysis to
identify the patterns of participating in 33 activities as well as patterns of
nature of engagement, and examined how these patterns were associated with
cognition, depressive symptoms, and self-rated health in later life. Results:
Meaningful patterns of activity (high, medium, low, passive leisure, and working)
and the nature of activity engagement (full, partial, and minimal) were
identified. High and working groups, compared to the passive leisure group,
showed better health and cognition outcomes. The nature of engagement mediated
the relationship between activity patterns and health, especially for older
adults who were either full or partially engaged. Discussion and Implications:
The nature of engagement may play a more important role than the activity itself
in relation to health. Identifying the heterogeneity in activity engagement in
later life is critical for tailoring interventions and designing programs that
can improve the health of older adults.
PMID- 29659801
TI - Long-term performance of homografts versus stented bioprosthetic valves in the
pulmonary position in patients aged 10-20 years.
AB - OBJECTIVES: We aimed to compare the long-term performance of pulmonary homografts
and stented bioprosthetic valves in the pulmonary position in patients aged 10-20
years. METHODS: Between January 1995 and December 2015, 188 patients aged 10-20
years undergoing pulmonary valve replacement were identified retrospectively from
hospital databases in both congenital cardiac centres in Brisbane. Valve
performance was evaluated using previously described standard criteria.
Propensity score matching was used to balance the 2 treatment groups. RESULTS:
Freedom from structural valve degeneration in homografts (n = 131) was 97%, 92%
and 85% at 3, 5 and 10 years, respectively, and 91% and 53% at 3 and 5 years,
respectively, in the bioprosthesis group (n = 57). Freedom from reintervention in
homografts was 96%, 93% and 88% at 3, 5 and 10 years, respectively, and 93% and
68% at 3 and 5 years, respectively, in the bioprosthesis group. The unadjusted
Cox regression analysis demonstrated that a bioprosthesis was at 5.64 times the
risk of structural valve degeneration and 3.89 times the risk of reintervention.
The Cox regression analysis performed on the propensity matched sample (45 pairs
of patients) revealed that a bioprosthesis was at almost 10 times the risk of
experiencing structural valve degeneration [hazard ratio (HR) = 9.18] and at more
than 8 times the risk of undergoing a reintervention (HR = 8.34). CONCLUSIONS: In
our patient population, pulmonary homografts outperformed stented bioprosthetic
valves within 5 years when implanted in the pulmonary position in patients aged
10-20 years. We recommend the use of a pulmonary homograft for pulmonary valve
replacement in this age group in patients undergoing surgery for congenital heart
disease.
PMID- 29659802
TI - Cancer incidence in patients with a high normal platelet count: a cohort study
using primary care data.
AB - Background: A platelet count >400 * 109/l (i.e. thrombocytosis) is a recently
discovered risk marker of cancer. The risk of undiagnosed cancer in patients with
thrombocytosis is 11.6% for men and 6.2% for women, well above the 3% risk
threshold set by National Institute for Health and Care Excellence (NICE) for
cancer investigation. Patients with a platelet count at the upper end of the
normal range (325-400 * 109/l) could be at increased risk of undiagnosed
malignancy. Objective: To quantify the risk of an undiagnosed cancer in patients
with a platelet count at the upper end of the normal range. Methods: A primary
care-based cohort study using Clinical Practice Research Datalink (CPRD) data
from 2000 to 2013. The study sample comprised 2704 individuals stratified by
platelet count: 325-349 * 109/l; 350-374 * 109/l; 375-399 * 109/l. Incident
cancer diagnoses in the year following that platelet count were obtained from
patient records. Results: Cancer incidence rose with increasing platelet count:
2.6% [95% confidence interval (CI) 1.9 to 3.6] in subjects with a count of 325
349 * 109/l, 3.7% (95% CI 2.5 to 5.3) in subjects with a count of 350-374 * 109/l
and 5.1% (95% CI 3.4 to 7.5) in those with a count of 375-399 * 109/l. Colorectal
cancer was most commonly diagnosed in all three groups. Cancer incidence was
consistently higher in males than in females. Conclusion: These results suggest
that clinicians should consider cancer in patients with a platelet count >375 *
109/l, review reasons for testing and any additional reported symptoms. Until
these results are replicated on a larger scale, recommendations for clinical
action cannot be made.
PMID- 29659803
TI - Corrigendum: Level of agreement between physician and patient assessment of non
medical health factors.
PMID- 29659805
TI - The new hypertension guideline: logical but unwise.
PMID- 29659804
TI - High-content screen for modifiers of Niemann-Pick type C disease in patient
cells.
AB - Niemann-Pick type C (NPC) disease is a rare lysosomal storage disease caused
primarily by mutations in NPC1. NPC1 encodes the lysosomal cholesterol transport
protein NPC1. The most common NPC1 mutation is a missense mutation (NPC1I1061T)
that causes misfolding and rapid degradation of mutant protein in the endoplasmic
reticulum. Cholesterol accumulates in enlarged lysosomes as a result of decreased
levels of lysosomal NPC1I1061T protein in patient cells. There is currently no
cure or FDA-approved treatment for patients. We sought to identify novel
compounds that decrease lysosomal cholesterol storage in NPC1I1061T/I1061T
patient fibroblasts using a high-content screen with the cholesterol dye, filipin
and the lysosomal marker, LAMP1. A total of 3532 compounds were screened,
including 2013 FDA-approved drugs, 327 kinase inhibitors and 760 serum
metabolites. Twenty-three hits were identified that decreased both filipin and
LAMP1 signals. The majority of hits (16/21) were histone deacetylase (HDAC)
inhibitors, a previously described class of modifiers of NPC cholesterol storage.
Of the remaining hits, the antimicrobial compound, alexidine dihydrochloride had
the most potent lysosomal cholesterol-reducing activity. Subsequent analyses
showed that alexidine specifically increased levels of NPC1 transcript and mature
protein in both control and NPC patient cells. Although unsuitable for systemic
therapy, alexidine represents a unique tool compound for further NPC studies and
as a potent inducer of NPC1. Together, these findings confirm the utility of high
content image-based compound screens of NPC1 patient cells and support extending
the approach into larger compound collections.
PMID- 29659806
TI - Evolution of conservative treatment of acute traumatic aortic injuries: lights
and shadows.
AB - OBJECTIVES: The objective of this study is to compare early and long-term results
in terms of survival and aortic complications for traumatic aortic injuries
depending on the initial management strategy. METHODS: From January 1980 to
January 2017, 101 patients with aortic injuries were divided into 3 groups
according to management strategy at admission: 60 patients, conservative
management; 26 patients, open surgery and 15 patients, endovascular repair. The
groups were similar in terms of gender and trauma severity scores. RESULTS: All
but 1 aortic-related complications and aortic-related mortality occurred in the
conservative group (11.6% conservative vs 2.4% in both surgical and endovascular
groups, P = 0.091). Total follow-up was 1109.27 patient-years. Survival in the
conservative, surgical and endovascular group was 71.7%, 80.8% and 79.4% at 1
year, 68.2%, 80.8% and 79.4% at 5 years and 63.9%, 72.7% and 79.4% at 10 years,
respectively (log-rank = 0.218). The rate of aortic-related complications was
58.3% in the conservative cohort. Cox regression identified the following risk
factors for aortic-related complications: aortic injuries grade >I [odds ratio
(OR), 3.05; P = 0.021], Trauma Injury Severity Score >50% (OR 1.21; P = 0.042)
and the decade of treatment (OR 0.49; P = 0.011). CONCLUSIONS: Minimal aortic
injuries seem to be an amenable target for medical management, but patients
remain at risk of developing aortic-related complications. Close, long-term
imaging surveillance is mandatory to detect such complications at an early stage.
PMID- 29659808
TI - Knowledge and application of European Society of Cardiology (ESC) Guidelines in
the management of mitral regurgitation: this is not bad but we can do much
better.
PMID- 29659807
TI - The Genome Sequence of "Candidatus Fokinia solitaria": Insights on Reductive
Evolution in Rickettsiales.
AB - "Candidatus Fokinia solitaria" is an obligate intracellular endosymbiont of a
unicellular eukaryote, a ciliate of the genus Paramecium. Here, we present the
genome sequence of this bacterium and subsequent analysis. Phylogenomic analysis
confirmed the previously reported positioning of the symbiont within the
"Candidatus Midichloriaceae" family (order Rickettsiales), as well as its high
sequence divergence from other members of the family, indicative of fast sequence
evolution. Consistently with this high evolutionary rate, a comparative genomic
analysis revealed that the genome of this symbiont is the smallest of the
Rickettsiales to date. The reduced genome does not present flagellar genes, nor
the pathway for the biosynthesis of lipopolysaccharides (present in all the other
so far sequenced members of the family "Candidatus Midichloriaceae") or genes for
the Krebs cycle (present, although not always complete, in Rickettsiales). These
results indicate an evolutionary trend toward a stronger dependence on the host,
in comparison with other members of the family. Two alternative scenarios are
compatible with our results; "Candidatus Fokinia solitaria" could be either a
recently evolved, vertically transmitted mutualist, or a parasite with a high
host-specificity.
PMID- 29659809
TI - A new mouse model of ARX dup24 recapitulates the patients' behavioral and fine
motor alterations.
AB - The aristaless-related homeobox (ARX) transcription factor is involved in the
development of GABAergic and cholinergic neurons in the forebrain. ARX mutations
have been associated with a wide spectrum of neurodevelopmental disorders in
humans, among which the most frequent, a 24 bp duplication in the polyalanine
tract 2 (c.428_451dup24), gives rise to intellectual disability, fine motor
defects with or without epilepsy. To understand the functional consequences of
this mutation, we generated a partially humanized mouse model carrying the
c.428_451dup24 duplication (Arxdup24/0) that we characterized at the behavior,
neurological and molecular level. Arxdup24/0 males presented with hyperactivity,
enhanced stereotypies and altered contextual fear memory. In addition, Arxdup24/0
males had fine motor defects with alteration of reaching and grasping abilities.
Transcriptome analysis of Arxdup24/0 forebrains at E15.5 showed a down-regulation
of genes specific to interneurons and an up-regulation of genes normally not
expressed in this cell type, suggesting abnormal interneuron development.
Accordingly, interneuron migration was altered in the cortex and striatum between
E15.5 and P0 with consequences in adults, illustrated by the defect in the
inhibitory/excitatory balance in Arxdup24/0 basolateral amygdala. Altogether, we
showed that the c.428_451dup24 mutation disrupts Arx function with a direct
consequence on interneuron development, leading to hyperactivity and defects in
precise motor movement control and associative memory. Interestingly, we
highlighted striking similarities between the mouse phenotype and a cohort of 33
male patients with ARX c.428_451dup24, suggesting that this new mutant mouse line
is a good model for understanding the pathophysiology and evaluation of
treatment.
PMID- 29659810
TI - Conservation of Sex-Linked Markers among Conspecific Populations of a Viviparous
Skink, Niveoscincus ocellatus, Exhibiting Genetic and Temperature-Dependent Sex
Determination.
AB - Sex determination systems are exceptionally diverse and have undergone multiple
and independent evolutionary transitions among species, particularly reptiles.
However, the mechanisms underlying these transitions have not been established.
Here, we tested for differences in sex-linked markers in the only known reptile
that is polymorphic for sex determination system, the spotted snow skink,
Niveoscincus ocellatus, to quantify the genomic differences that have accompanied
this transition. In a highland population, sex is determined genetically, whereas
in a lowland population, offspring sex ratio is influenced by temperature. We
found a similar number of sex-linked loci in each population, including shared
loci, with genotypes consistent with male heterogamety (XY). However, population
specific linkage disequilibrium suggests greater differentiation of sex
chromosomes in the highland population. Our results suggest that transitions
between sex determination systems can be facilitated by subtle genetic
differences.
PMID- 29659811
TI - Complete Genome Sequence of Streptococcus ruminantium sp. nov. GUT-187T (=DSM
104980T =JCM 31869T), the Type Strain of S. ruminantium, and Comparison with
Genome Sequences of Streptococcus suis Strains.
AB - Streptococcus ruminantium sp. nov. of type strain GUT-187T, previously classified
as Streptococcus suis serotype 33, is a recently described novel streptococcal
species. This study was designed to determine the complete genome sequence of S.
ruminantium GUT-187T using a combination of Oxford Nanopore and the Illumina
platform, and to compare this sequence with the genomes of 27 S. suis
representative strains. The genome of GUT-187T was 2,090,539 bp in size, with a
GC content of 40.01%. This genome contained 1,961 predicted protein coding DNA
sequences (CDSs); of these, 1,685 (85.9%) showed similarity with S. suis CDSs. Of
the remaining 276 CDSs, 81 (29.3%) showed some degree of similarity with CDSs of
other streptococcal species. The genome of GUT-187T contained no intact prophage.
The numbers of prophages and CRISPR spacers, as well as the presence or absence
of genes encoding CRISPR-associated proteins, differed in S. ruminantium and S.
suis. A phylogenetic analysis indicates that GUT-187T may be outgroup to the S.
suis strains in our sample, thereby justifying its classification as distinct
species. Gene mapping indicated 10.2 times of massive genome rearrangements in
average occurred between S. ruminantium and S. suis. There was no significant
statistical difference in clusters of orthologous group distribution between S.
ruminantium and S. suis.
PMID- 29659812
TI - The genome sequence and transcriptome of Potentilla micrantha and their
comparison to Fragaria vesca (the woodland strawberry).
AB - Background: The genus Potentilla is closely related to that of Fragaria, the
economically important strawberry genus. Potentilla micrantha is a species that
does not develop berries but shares numerous morphological and ecological
characteristics with Fragaria vesca. These similarities make P. micrantha an
attractive choice for comparative genomics studies with F. vesca. Findings: In
this study, the P. micrantha genome was sequenced and annotated, and RNA-Seq data
from the different developmental stages of flowering and fruiting were used to
develop a set of gene predictions. A 327 Mbp sequence and annotation of the
genome of P. micrantha, spanning 2674 sequence contigs, with an N50 size of
335,712, estimated to cover 80% of the total genome size of the species was
developed. The genus Potentilla has a characteristically larger genome size than
Fragaria, but the recovered sequence scaffolds were remarkably collinear at the
micro-syntenic level with the genome of F. vesca, its closest sequenced relative.
A total of 33,602 genes were predicted, and 95.1% of bench-marking universal
single-copy orthologous genes were complete within the presented sequence. Thus,
we argue that the majority of the gene-rich regions of the genome have been
sequenced. Conclusions: Comparisons of RNA-Seq data from the stages of floral and
fruit development revealed genes differentially expressed between P. micrantha
and F. vesca.The data presented are a valuable resource for future studies of
berry development in Fragaria and the Rosaceae and they also shed light on the
evolution of genome size and organization in this family.
PMID- 29659814
TI - The first whole transcriptomic exploration of pre-oviposited early chicken
embryos using single and bulked embryonic RNA-sequencing.
AB - Background: The chicken is a valuable model organism, especially in evolutionary
and embryology research because its embryonic development occurs in the egg.
However, despite its scientific importance, no transcriptome data have been
generated for deciphering the early developmental stages of the chicken because
of practical and technical constraints in accessing pre-oviposited embryos.
Findings: Here, we determine the entire transcriptome of pre-oviposited avian
embryos, including oocyte, zygote, and intrauterine embryos from Eyal-giladi and
Kochav stage I (EGK.I) to EGK.X collected using a noninvasive approach for the
first time. We also compare RNA-sequencing data obtained using a bulked embryo
sequencing and single embryo/cell sequencing technique. The raw sequencing data
were preprocessed with two genome builds, Galgal4 and Galgal5, and the expression
of 17,108 and 26,102 genes was quantified in the respective builds. There were
some differences between the two techniques, as well as between the two genome
builds, and these were affected by the emergence of long intergenic noncoding RNA
annotations. Conclusion: The first transcriptome datasets of pre-oviposited early
chicken embryos based on bulked and single embryo sequencing techniques will
serve as a valuable resource for investigating early avian embryogenesis, for
comparative studies among vertebrates, and for novel gene annotation in the
chicken genome.
PMID- 29659813
TI - Draft genome of the protandrous Chinese black porgy, Acanthopagrus schlegelii.
AB - Background: As one of the most popular and valuable commercial marine fishes in
China and East Asian countries, the Chinese black porgy (Acanthopagrus
schlegelii), also known as the blackhead seabream, has some attractive
characteristics such as fast growth rate, good meat quality, resistance to
diseases, and excellent adaptability to various environments. Furthermore, the
black porgy is a good model for investigating sex changes in fish due to its
protandrous hermaphroditism. Here, we obtained a high-quality genome assembly of
this interesting teleost species and performed a genomic survey on potential
genes associated with the sex-change phenomenon. Findings: We generated 175.4
gigabases (Gb) of clean sequence reads using a whole-genome shotgun sequencing
strategy. The final genome assembly is approximately 688.1 megabases (Mb),
accounting for 93% of the estimated genome size (739.6 Mb). The achieved scaffold
N50 is 7.6 Mb, reaching a relatively high level among sequenced fish species. We
identified 19 465 protein-coding genes, which had an average transcript length of
17.3 kb. By performing a comparative genomic analysis, we found 3 types of genes
potentially associated with sex change, which are useful for studying the genetic
basis of the protandrous hermaphroditism. Conclusions: We provide a draft genome
assembly of the Chinese black porgy and discuss the potential genetic mechanisms
of sex change. These data are also an important resource for studying the biology
and for facilitating breeding of this economically important fish.
PMID- 29659816
TI - The relative price of healthy and less healthy foods available in Australian
school canteens.
AB - School canteens have an important role in modelling a healthy food environment.
Price is a strong predictor of food and beverage choice. This study compared the
relative price of healthy and less healthy lunch and snack items sold within
Australian school canteens. A convenience sample of online canteen menus from
five Australian states were selected (100 primary and 100 secondary schools).
State-specific canteen guidelines were used to classify menu items into 'green'
(eat most), 'amber' (select carefully) and 'red' (not recommended in schools).
The price of the cheapest 'healthy' lunch (vegetable-based 'green') and snack
('green' fruit) item was compared to the cheapest 'less healthy' ('amber/red')
lunch and snack item, respectively, using an un-paired t-test. The relative price
of the 'healthy' items and the 'less healthy' items was calculated to determine
the proportion of schools that sold the 'less healthy' item cheaper. The mean
cost of the 'healthy' lunch items was greater than the 'less healthy' lunch items
for both primary (AUD $0.70 greater) and secondary schools ($0.50 greater; p <
0.01). For 75% of primary and 57% of secondary schools, the selected 'less
healthy' lunch item was cheaper than the 'healthy' lunch item. For 41% of primary
and 48% of secondary schools, the selected 'less healthy' snack was cheaper than
the 'healthy' snack. These proportions were greatest for primary schools located
in more, compared to less, disadvantaged areas. The relative price of foods sold
within Australian school canteens appears to favour less healthy foods. School
canteen healthy food policies should consider the price of foods sold.
PMID- 29659817
TI - Effect of resource availability on evolution of virulence and competition in an
environmentally transmitted pathogen.
AB - Understanding ecological and epidemiological factors driving pathogen evolution
in contemporary time scales is a major challenge in modern health management.
Pathogens that replicate outside the hosts are subject to selection imposed by
ambient environmental conditions. Increased nutrient levels could increase
pathogen virulence by pre-adapting for efficient use of resources upon contact to
a nutrient rich host or by favouring transmission of fast-growing virulent
strains. We measured changes in virulence and competition in Flavobacterium
columnare, a bacterial pathogen of freshwater fish, under high and low nutrient
levels. To test competition between strains in genotype mixtures, we developed a
quantitative real-time PCR assay. We found that a virulent strain maintained its
virulence and outcompeted less virulent strains independent of the nutrient level
and resource renewal rate while a less virulent strain further lost virulence in
chemostats under low nutrient level and over long-term serial culture under high
nutrient level. Our results suggest that increased outside-host nutrient levels
might maintain virulence in less virulent strains and increase their contribution
to epidemics in aquaculture. The results highlight a need to further explore the
role of resource in the outside-host environment in maintaining strain diversity
and driving evolution of virulence among environmentally growing pathogens.
PMID- 29659815
TI - Plant Lineage-Specific Amplification of Transcription Factor Binding Motifs by
Miniature Inverted-Repeat Transposable Elements (MITEs).
AB - Transposable elements are one of the main drivers of plant genome evolution.
Transposon insertions can modify the gene coding capacity or the regulation of
their expression, the latter being a more subtle effect, and therefore
particularly useful for evolution. Transposons have been show to contain
transcription factor binding sites that can be mobilized upon transposition with
the potential to integrate new genes into transcriptional networks. Miniature
inverted-repeat transposable elements (MITEs) are a type of noncoding DNA
transposons that could be particularly suited as a vector to mobilize
transcription factor binding sites and modify transcriptional networks during
evolution. MITEs are small in comparison to other transposons and can be excised,
which should make them less mutagenic when inserting into promoters. On the other
hand, in spite of their cut-and-paste mechanisms of transposition, they can reach
very high copy numbers in genomes. We have previously shown that MITEs have
amplified and redistributed the binding motif of the E2F transcription factor in
different Brassicas. Here, we show that MITEs have amplified and mobilized the
binding motifs of the bZIP60 and PIF3 transcription factors in peach and Prunus
mume, and the TCP15/23 binding motif in tomato. Our results suggest that MITEs
could have rewired new genes into transcriptional regulatory networks that are
responsible for important adaptive responses and breeding traits in plants, such
as stress responses, flowering time, or fruit ripening. The results presented
here therefore suggest a general impact of MITEs in the evolution of
transcriptional regulatory networks in plants.
PMID- 29659818
TI - Surgical treatment of huge left ventricular outflow tract pseudoaneurysm.
AB - Pseudoaneurysms arising from the left ventricular outflow tract are rarely
reported. We report a 26-year-old man who was admitted with paroxysmal chest pain
and dyspnoea on exertion. Computed tomography revealed the presence of a
pseudoaneurysm (93 * 77 mm), and the communication was below the aortic annulus.
Through median sternotomy, a huge pulsatile pseudoaneurysm was exposed, and an 8
mm-diameter communication of the pseudoaneurysm in the left ventricular outflow
tract wall was visualized. The defect was successfully repaired, and the
postoperative course was uneventful.
PMID- 29659819
TI - Mindfulness-based lifestyle programs for the self-management of Parkinson's
disease in Australia.
AB - Despite emerging evidence suggesting positive outcomes of mindfulness training
for the self-management of other neurodegenerative diseases, limited research has
explored its effect on the self-management of Parkinson's disease (PD). We aimed
to characterize the experiences of individuals participating in a facilitated,
group mindfulness-based lifestyle program for community living adults with Stage
2 PD and explore how the program influenced beliefs about self-management of
their disease. Our longitudinal qualitative study was embedded within a
randomized controlled trial exploring the impact of a 6-week mindfulness-based
lifestyle program on patient-reported function. The study was set in Melbourne,
Australia in 2012-2013. We conducted semi-structured interviews with participants
before, immediately after, and 6 months following participation in the program.
Sixteen participants were interviewed prior to commencing the program. Of these,
12 were interviewed shortly after its conclusion, and 9 interviewed at 6 months.
Prior to the program, participants felt a lack of control over their illness. A
desire for control and a need for alternative tools for managing the progression
of PD motivated many to engage with the program. Following the program, where
participants experienced an increase in mindfulness, many became more accepting
of disease progression and reported improved social relationships and self
confidence in managing their disease. Mindfulness-based lifestyle programs have
the potential for increasing both participants' sense of control over their
reactions to disease symptoms as well as social connectedness. Community-based
mindfulness training may provide participants with tools for self-managing a
number of the consequences of Stage 2 PD.
PMID- 29659820
TI - Recent mouse models and vaccine candidates for preventing chronic/latent
tuberculosis infection and its reactivation.
AB - Tuberculosis (TB) remains a major challenge in public health worldwide. Until
today, the only widely used and approved vaccine is the Mycobacterium bovis
bacille Calmette-Guerin (BCG). This vaccine provides a highly variable level of
protection against the active, pulmonary form of tuberculosis, and practically
none against the latent form of TB infection. This disparity in protection has
been extensively studied, and for this reason, several groups have focused their
research on the quest for attenuated vaccines based on M. tuberculosis or on the
identification of latency-associated antigens that can be incorporated into
modified BCG, or that can be used as adjuvanted subunit vaccines. In order to
seek new potential antigens relevant for infection, some researchers have
performed experiments with highly sensitive techniques such as transcriptomic and
proteomic analyses using sputum samples from humans or by using mouse models
resembling several aspects of TB. In this review, we focus on reports of new
mouse models or mycobacterial antigens recently tested for developing vaccine
candidates against chronic/latent tuberculosis and its reactivation.
PMID- 29659821
TI - Finnish NGOs promoting health equity in the context of welfare economy.
AB - Health inequality is a national challenge in Finland. The WHO global strategy of
Health for All implies that all people should have an equal opportunity to
develop and maintain their health through fair and just access to health
resources. This article examines the role of Finnish Non-Governmental
Organizations (NGO) in strengthening the health equity. The article presents the
strategy and specific criteria constructed by the NGOs to promote health equity
in society. The health equity criteria and welfare economy strategy are combined
to a framework which NGOs can utilize in their work to promote health equity. The
welfare economy strategy describes the important issues that NGOs have to address
when working towards a specific societal goal, in this case equity. The health
equity criteria in turn are an instrument for the practical implementation of the
preconditions of equity.
PMID- 29659822
TI - Development and application of CRISPR/Cas9 technologies in genomic editing.
AB - Genomic editing to correct disease-causing mutations is a promising approach for
the treatment of human diseases. As a simple and programmable nuclease-based
genomic editing tool, the clustered regularly interspaced short palindromic
repeats (CRISPR)/CRISPR-associated protein 9 (Cas9) system has substantially
improved the ability to make precise changes in the human genome. Rapid
development of CRISPR-based technologies in recent years has expanded its
application scope and promoted CRISPR-based therapies in preclinical trails.
Here, we review the application of the CRISPR system over the last 2 years;
including its development and application in base editing, transcription
modulation and epigenetic editing, genomic-scale screening, and cell and embryo
therapy. Finally, the prospects and challenges related to application of
CRISPR/Cas9 technologies are discussed.
PMID- 29659823
TI - A hyperactivating proinflammatory RIPK2 allele associated with early-onset
osteoarthritis.
AB - Osteoarthritis (OA) is a common debilitating disease characterized by abnormal
remodeling of the cartilage and bone of the articular joint. Ameliorating
therapeutics are lacking due to limited understanding of the molecular pathways
affecting disease initiation and progression. Notably, although a link between
inflammation and overt OA is well established, the role of inflammation as a
driver of disease occurrence is highly disputed. We analyzed a family with
dominant inheritance of early-onset OA and found that affected individuals
harbored a rare variant allele encoding a significant amino acid change
(p.Asn104Asp) in the kinase domain of receptor interacting protein kinase 2
(RIPK2), which transduces signals from activated bacterial peptidoglycan sensors
through the NF-kappaB pathway to generate a proinflammatory immune response.
Functional analyses of RIPK2 activity in zebrafish embryos indicated that the
variant RIPK2104Asp protein is hyperactive in its signaling capacity, with
augmented ability to activate the innate immune response and the NF-kappaB
pathway and to promote upregulation of OA-associated genes. Further we show a
second allele of RIPK2 linked to an inflammatory disease associated with
arthritis also has enhanced activity stimulating the NF-kappaB pathway. Our
studies reveal for the first time the inflammatory response can function as a
gatekeeper risk factor for OA.
PMID- 29659824
TI - Education Corner.
PMID- 29659825
TI - Differential effects of 14-3-3 dimers on Tau phosphorylation, stability and
toxicity in vivo.
AB - Neurodegenerative dementias collectively known as Tauopathies involve aberrant
phosphorylation and aggregation of the neuronal protein Tau. The largely neuronal
14-3-3 proteins are also elevated in the central nervous system (CNS) and
cerebrospinal fluid of Tauopathy patients, suggesting functional linkage. We use
the simplicity and genetic facility of the Drosophila system to investigate in
vivo whether 14-3-3s are causal or synergistic with Tau accumulation in
precipitating pathogenesis. Proteomic, biochemical and genetic evidence
demonstrate that both Drosophila 14-3-3 proteins interact with human wild-type
and mutant Tau on multiple sites irrespective of their phosphorylation state. 14
3-3 dimers regulate steady-state phosphorylation of both wild-type and the R406W
mutant Tau, but they are not essential for toxicity of either variant. Moreover,
14-3-3 elevation itself is not pathogenic, but recruitment of dimers on
accumulating wild-type Tau increases its steady-state levels ostensibly by
occluding access to proteases in a phosphorylation-dependent manner. In contrast,
the R406W mutant, which lacks a putative 14-3-3 binding site, responds
differentially to elevation of each 14-3-3 isoform. Although excess 14-3-3zeta
stabilizes the mutant protein, elevated D14-3-3E has a destabilizing effect
probably because of altered 14-3-3 dimer composition. Our collective data
demonstrate the complexity of 14-3-3/Tau interactions in vivo and suggest that 14
3-3 attenuation is not appropriate ameliorative treatment of Tauopathies.
Finally, we suggest that 'bystander' 14-3-3s are recruited by accumulating Tau
with the consequences depending on the composition of available dimers within
particular neurons and the Tau variant.
PMID- 29659826
TI - Association between ambient air pollution and pregnancy rate in women who
underwent IVF.
AB - STUDY QUESTION: Are the concentrations of five criteria air pollutants associated
with probabilities of biochemical pregnancy loss and intrauterine pregnancy in
women? SUMMARY ANSWER: Increased concentrations of ambient particulate matter
(PM10), nitrogen dioxide (NO2), carbon monoxide (CO) during controlled ovarian
stimulation (COS) and after embryo transfer were associated with a decreased
probability of intrauterine pregnancy. WHAT IS KNOWN ALREADY: Exposure to high
ambient air pollution was suggested to be associated with low fertility and high
early pregnancy loss in women. STUDY DESIGN, SIZE, DURATION: Using a
retrospective cohort study design, we analysed 6621 cycles of 4581 patients who
underwent one or more fresh IVF cycles at a fertility centre from January 2006 to
December 2014, and lived in Seoul at the time of IVF treatment.
PARTICIPANTS/MATERIALS, SETTING, METHODS: To estimate patients' individual
exposure to air pollution, we computed averages of hourly concentrations of five
air pollutants including PM10, NO2, CO, sulphur dioxide (SO2) and ozone (O3)
measured at 40 regulatory monitoring sites in Seoul for each of the four exposure
periods: period 1 (start of COS to oocyte retrieval), period 2 (oocyte retrieval
to embryo transfer), period 3 (embryo transfer to hCG test), and period 4 (start
of COS to hCG test). Hazard ratios (HRs) from the time-varying Cox-proportional
hazards model were used to estimate probabilities of biochemical pregnancy loss
and intrauterine pregnancy for an interquartile range (IQR) increase in each air
pollutant concentration during each period, after adjusting for individual
characteristics. We tested the robustness of the result using generalised linear
mixed model, accounting for within-woman correlation. MAIN RESULTS AND THE ROLE
OF CHANCE: Mean age of the women was 35 years. Average BMI was 20.9 kg/m2 and the
study population underwent 1.4 IVF cycles on average. Cumulative pregnancy rate
in multiple IVF cycles was 51.3% per person. Survival analysis showed that air
pollution during periods 1 and 3 was generally associated with IVF outcomes.
Increased NO2 (adjusted HR = 0.93, 95% CI: 0.87, 0.99) and CO (0.94, 95% CI:
0.89, 1.00) during period 1 were associated with decreased probability of
intrauterine pregnancy. PM10 (0.92, 95% CI: 0.85, 0.99), NO2 (0.93, 95% CI =
0.86, 1.00) and CO (0.93, 95% CI: 0.87, 1.00) levels during period 3 were also
inversely associated with intrauterine pregnancy. Both PM10 (1.17, 95% CI: 1.04
1.33) and NO2 (1.18, 95% CI: 1.03, 1.34) during period 3 showed positive
associations with biochemical pregnancy loss. LIMITATIONS, REASONS FOR CAUTION:
The district-specific ambient air pollution treated as an individual exposure may
not represent the actual level of each woman's exposure to air pollution.
Smoking, working status, parity or gravidity of women, and semen analysis data
were not included in the analysis. WIDER IMPLICATIONS OF THE FINDINGS: This study
provided evidence of an association between increased ambient concentrations of
PM10, NO2 and CO and reduced probabilities for achieving intrauterine pregnancy
using multiple IVF cycle data. Specifically, our results indicated that lower
intrauterine pregnancy rates in IVF cycles may be linked to ambient air pollution
during COS and the post-transfer period. STUDY FUNDING/COMPETING INTEREST(S):
This research was supported by Basic Science Research Program through the
National Research Foundation of Korea (NRF) funded by the Ministry of Education
(2013 R1A6A3A04059017, 2016 R1D1A1B03933410 and 2018 R1A2B6004608) and the
National Cancer Center of Korea (NCC-1810220-01). The authors report no conflicts
of interest. TRIAL REGISTRATION NUMBER: N/A.
PMID- 29659827
TI - A MEI1 homozygous missense mutation associated with meiotic arrest in a
consanguineous family.
AB - Although meiotic arrest in males is observed in about 25% of azoospermic
patients, pure homogeneous arrest in all seminiferous tubules is less frequent,
and may be due to mutation of a single gene. However, given the large number of
genes involved in meiosis, this gives rises to extensive genetic heterogeneity.
Only two genetic abnormalities have been reported on a regular basis: the X
linked exonic TEX11 deletion, and the AZFb microdeletion on the Y chromosome.
Other single gene defects were private and found in consanguineous families.
Here, we report on a homozygous missense mutation in the gene coding for meiotic
double-stranded break formation protein 1 (MEI1; c.C3307T:p.R1103W) observed in
two brothers (from a consanguineous Tunisian family) with non-obstructive
azoospermia and meiotic arrest. A fertile brother was heterozygous for the
mutation. All the queried databases predicted that this mutation is damaging, and
it has previously been reported that Mei1 knock-out is associated with meiotic
arrest in a murine model. Hence, meiotic arrest in the two brothers was probably
caused by an alteration in a gene known to be fundamental for chromosome
synapsis.
PMID- 29659828
TI - The next generation of precision medicine: observational studies, electronic
health records, biobanks and continuous monitoring.
AB - Precision medicine can utilize new techniques in order to more effectively
translate research findings into clinical practice. In this article, we first
explore the limitations of traditional study designs, which stem from (to name a
few): massive cost for the assembly of large patient cohorts; non-representative
patient data; and the astounding complexity of human biology. Second, we propose
that harnessing electronic health records and mobile device biometrics coupled to
longitudinal data may prove to be a solution to many of these problems by
capturing a 'real world' phenotype. We envision that future biomedical research
utilizing more precise approaches to patient care will utilize continuous and
longitudinal data sources.
PMID- 29659829
TI - Take a break!
PMID- 29659831
TI - Institutional delivery in India, 2004-14: unravelling the equity-enhancing
contributions of the public sector.
AB - To achieve faster and equitable improvements in maternal and child health
outcomes, the government of India launched the National Rural Health Mission in
2005. This paper describes the equity-enhancing role of the public sector in
increasing use of institutional delivery care services in India between 2004 and
2014. Information on 24 661 births from nationally representative survey data for
2004 and 2014 is analysed. Concentration index is computed to describe
socioeconomic-rank-related relative inequalities in institutional delivery and
decomposition is used to assess the contributions of public and private sectors
in overall socioeconomic inequality. Multilevel logistic regression is applied to
examine the changes in socioeconomic gradient between 2004 and 2014. The analysis
finds that utilization of institutional delivery care in India increased from 43%
in 2004 to 83% in 2014. The bulk of the increase was in public sector use (21% in
2004 to 53% in 2014) with a modest increase in private sector use (22% in 2004 to
30% in 2014). The shift from a pro-rich to pro-poor distribution of public sector
use is confirmed. Decomposition analysis indicates that 51% of these reductions
in socioeconomic inequality are associated with improved pro-poor distribution of
public sector births. Multilevel logistic regressions confirm the disappearance
of a wealth-based gradient in public sector births between 2004 and 2014. We
conclude that public health investments in India have significantly contributed
towards an equitable increase in the coverage of institutional delivery care.
Sustained policy efforts are necessary, however, with an emphasis on education,
sociocultural and geographical factors to ensure universal coverage of
institutional delivery care services in India.
PMID- 29659832
TI - Average sperm count remains unchanged despite reduction in maternal smoking:
results from a large cross-sectional study with annual investigations over 21
years.
AB - STUDY QUESTION: How are temporal trends in lifestyle factors, including exposure
to maternal smoking in utero, associated to semen quality in young men from the
general population? SUMMARY ANSWER: Exposure to maternal smoking was associated
with lower sperm counts but no overall increase in sperm counts was observed
during the study period despite a decrease in this exposure. WHAT IS KNOWN
ALREADY: Meta-analyses suggest a continuous decline in semen quality but few
studies have investigated temporal trends in unselected populations recruited and
analysed with the same protocol over a long period and none have studied
simultaneous trends in lifestyle factors. STUDY DESIGN, SIZE, DURATION: Cross
sectional population-based study including ~300 participants per year (total
number = 6386) between 1996 and 2016. PARTICIPANTS/MATERIALS, SETTING, METHODS:
The study is based on men from the Greater Copenhagen area, Denmark, with a
median age of 19 years, and unselected with regard to fertility status and semen
quality. The men delivered a semen sample, had a blood sample drawn and a
physical examination performed and answered a comprehensive questionnaire,
including information on lifestyle and the mother's pregnancy. Temporal trends in
semen quality and lifestyle were illustrated graphically, and trends in semen
parameters and the impact of prenatal and current lifestyle factors were explored
in multiple regression analyses. MAIN RESULTS AND THE ROLE OF CHANCE: Throughout
the study period, 35% of the men had low semen quality. Overall, there were no
persistent temporal trends in semen quality, testicular volume or levels of
follicle-stimulating hormone over the 21 years studied. The men's alcohol intake
was lowest between 2011 and 2016, whereas BMI, use of medication and smoking
showed no clear temporal trends. Parental age increased, and exposure in utero to
maternal smoking declined from 40% among men investigated in 1996-2000 to 18%
among men investigated in 2011-2016. Exposure to maternal smoking was associated
with lower sperm counts but no overall increase in sperm counts was observed
despite the decrease in this exposure. LIMITATIONS, REASONS FOR CAUTION:
Information of current and prenatal lifestyle was obtained by self-report, and
the men delivered only one semen sample each. WIDER IMPLICATIONS OF THE FINDINGS:
The significant decline in in utero exposure to maternal smoking, which was not
reflected in an overall improvement of semen quality at the population level,
suggest that other unknown adverse factors may maintain the low semen quality
among Danish men. STUDY FUNDING/COMPETING INTEREST(S): The study has received
financial support from the ReproUnion; the Research fund of Rigshospitalet,
Copenhagen University Hospital; the European Union (Contract numbers BMH4-CT96
0314,QLK4-CT-1999-01422, QLK4-CT-2002-00603, FP7/2007-2013, DEER Grant agreement
no. 212844); the Danish Ministry of Health; the Danish Environmental Protection
Agency; A.P. Moller and wife Chastine McKinney Mollers foundation; and Svend
Andersens Foundation. None of the funders had any role in the study design,
collection, analysis or interpretation of data, writing of the paper or
publication decisions. TRIAL REGISTRATION NUMBER: N/A.
PMID- 29659830
TI - Genome-wide association study identifies nine novel loci for 2D:4D finger ratio,
a putative retrospective biomarker of testosterone exposure in utero.
AB - The ratio of the length of the index finger to that of the ring finger (2D:4D) is
sexually dimorphic and is commonly used as a non-invasive biomarker of prenatal
androgen exposure. Most association studies of 2D:4D ratio with a diverse range
of sex-specific traits have typically involved small sample sizes and have been
difficult to replicate, raising questions around the utility and precise meaning
of the measure. In the largest genome-wide association meta-analysis of 2D:4D
ratio to date (N = 15 661, with replication N = 75 821), we identified 11 loci (9
novel) explaining 3.8% of the variance in mean 2D:4D ratio. We also found weak
evidence for association (beta = 0.06; P = 0.02) between 2D:4D ratio and
sensitivity to testosterone [length of the CAG microsatellite repeat in the
androgen receptor (AR) gene] in females only. Furthermore, genetic variants
associated with (adult) testosterone levels and/or sex hormone-binding globulin
were not associated with 2D:4D ratio in our sample. Although we were unable to
find strong evidence from our genetic study to support the hypothesis that 2D:4D
ratio is a direct biomarker of prenatal exposure to androgens in healthy
individuals, our findings do not explicitly exclude this possibility, and
pathways involving testosterone may become apparent as the size of the discovery
sample increases further. Our findings provide new insight into the underlying
biology shaping 2D:4D variation in the general population.
PMID- 29659834
TI - An open-source, integrated pedigree data management and visualization tool for
genetic epidemiology.
AB - With advances in genetic epidemiology, increasingly large amounts of pedigree
related information are being collected by family studies, including twin
studies. To date, biomedical data management systems that cater for family data
have usually done so as part of their standard (non-family-centric) data model.
Consequently, data managers with computing expertise are needed to extract family
datasets and perform family-centric operations. We present a robust approach to
handling large family datasets. Our approach is implemented as a new module which
extends the capabilities of The Ark, an open-source web-based biomedical data
management tool. Using an algorithm designed by the authors, the pedigree module
dynamically infers family relationships for any selected subject (not necessarily
the proband). A web interface allows researchers to create, update, delete and
navigate parental and twin relationships between subjects, and bulk import/export
pedigrees. Consanguineous relationships can be captured, and configurable
pedigree visualizations generated. A web services interface provides
interoperability.
PMID- 29659833
TI - Ift172 conditional knock-out mice exhibit rapid retinal degeneration and protein
trafficking defects.
AB - Intraflagellar transport (IFT) is a bidirectional transport process that occurs
along primary cilia and specialized sensory cilia, such as photoreceptor
outersegments. Genes coding for various IFT components are associated with
ciliopathies. Mutations in IFT172 lead to diseases ranging from isolated retinal
degeneration to severe syndromic ciliopathies. In this study, we created a mouse
model of IFT172-associated retinal degeneration to investigate the ocular disease
mechanism. We found that depletion of IFT172 in rod photoreceptors leads to a
rapid degeneration of the retina, with severely reduced electroretinography (ERG)
responses by 1 month and complete outer-nuclear layer (ONL) degeneration by 2
months. We investigated molecular mechanisms of degeneration and show that IFT172
protein reduction leads to mislocalization of specific photoreceptor outersegment
(OS) proteins (RHO, RP1, IFT139), aberrant light-driven translocation of alpha
transducin and altered localization of glioma-associated oncogene family member 1
(GLI1). This mouse model exhibits key features of the retinal phenotype observed
in patients with IFT172-associated blindness and can be used for in vivo testing
of ciliopathy therapies.
PMID- 29659835
TI - Anti-Mullerian hormone levels and incidence of early natural menopause in a
prospective study.
AB - STUDY QUESTION: Are anti-Mullerian hormone (AMH) levels assessed in women aged 32
44 associated with risk of incident early natural menopause? SUMMARY ANSWER: We
observed strong, significant associations between lower AMH levels and higher
risk of early menopause. WHAT IS KNOWN ALREADY: The ability to predict risk early
menopause, defined as menopause before age 45, prior to fertility decline would
improve options for family planning and cardiovascular disease prevention. Though
AMH is an established marker of menopause timing in older reproductive-aged
women, whether AMH is associated with risk of early menopause has not been
evaluated. STUDY DESIGN, SIZE, DURATION: We assessed these relations in a nested
case-control study within the prospective Nurses' Health Study II cohort.
Premenopausal blood samples were collected in 1996-1999. Participants were
followed until 2011 for early natural menopause, with follow-up rates >94%.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Early menopause cases (n = 327) were
women reporting natural menopause between blood collection and age 45. Controls
(n = 491) experienced menopause after age 45 and included 327 cases matched to
controls on the basis of age at blood draw (+/-4 months) and other factors. AMH
levels up to 12 years before early menopause were assayed in 2016. MAIN RESULTS
AND THE ROLE OF CHANCE: In multivariable conditional logistic regression models
adjusting for matching factors, body mass index, smoking, parity, oral
contraceptive use, and other factors, each 0.10 ng/ml decrease in AMH was
associated with a 14% higher risk of early menopause (95% confidence interval
(CI) 1.10 to 1.18; P < 0.001). In polynomial regression models including linear
and quadratic terms for AMH, odds ratios for early menopause for women with AMH
levels of 1.5, 1.0 and 0.5 ng/ml compared to 2.0 ng/ml were 2.6, 7.5 and 23 (all
P < 0.001). Significant associations were observed irrespective of smoking
status, adiposity, infertility history and menstrual cycle characteristics.
Furthermore, models assessing the predictive ability of AMH showed high
concordance, and C-statistics were high, ranging from 0.68 (age <=35) to 0.93
(age 42). LIMITATIONS, REASONS FOR CAUTION: Our population was relatively
homogenous with respect to race/ethnicity. Further work in more ethnically
diverse populations is needed. WIDE IMPLICATION OF THE FINDINGS: To our
knowledge, this is the first prospective study to evaluate whether AMH levels are
associated with early menopause. These findings support the utility of AMH as a
clinical marker of early menopause in otherwise healthy women. STUDY
FUNDING/COMPETING INTEREST(S): This project was supported by UM1CA176726,
R01CA67262, and R01HD078517 from the U.S. Department of Health and Human
Services, National Institutes of Health. No competing interests declared.
PMID- 29659836
TI - Efficacy and safety of ceftazidime/avibactam: a systematic review and meta
analysis.
AB - Background: Ceftazidime/avibactam is approved for complicated intra-abdominal and
urinary tract infections (UTIs) based on results from randomized controlled
trials (RCTs). Data regarding its effectiveness in treating hospital-acquired
infections or resistant pathogens have not been systematically compiled. Methods:
A systematic review and meta-analysis including RCTs evaluating
ceftazidime/avibactam versus comparator for the treatment of any infection.
Primary outcome was 30 day all-cause mortality. Subgroups of hospital-acquired
infections and specific resistance phenotypes were planned. Results: Seven
publications (eight trials, 4093 patients) were included, reporting a baseline
~25% of ESBL-carrying Enterobacteriaceae. No significant difference between
ceftazidime/avibactam and comparator (mostly carbapenem) was demonstrated for 30
day all-cause mortality, late follow-up mortality and clinical response [relative
risk (RR) 1.10, 95% CI 0.70-1.72, P = 0.69; RR 1.23, 95% CI 0.87-1.76, P = 0.25;
RR 0.98, 95% CI 0.96-1.01, P = 0.21, respectively, without significant
heterogeneity]. Higher microbiological response rate was demonstrated with
ceftazidime/avibactam in patients with UTI (RR 1.14, 1.0-1.29, P = 0.05, I2 =
51%). No significant difference in clinical response was demonstrated for
patients with ceftazidime-resistant pathogens (RR 1.02, 95% CI 0.94-1.10, P =
0.66, I2 = 0%). Results for other subgroups of resistant pathogens or hospital
acquired infection were not available. Serious adverse events (SAEs) were
significantly more common with ceftazidime/avibactam (RR 1.24, 95% CI 1.00-1.54,
P = 0.05, I2 = 0%). Conclusions: Ceftazidime/avibactam is clinically and
microbiologically as effective as carbapenems for treatment of infections in a
setting of ~25% ESBL-carrying Enterobacteriaceae. Safety of the drug should be
further evaluated owing to a higher rate of SAEs compared with carbapenems.
Further studies should assess the drug's effectiveness in the treatment of
carbapenemase-producing Enterobacteriaceae.
PMID- 29659838
TI - Comparative methylome analysis of ICF patients identifies heterochromatin loci
that require ZBTB24, CDCA7 and HELLS for their methylated state.
AB - Alterations of DNA methylation landscapes and machinery are a hallmark of many
human diseases. A prominent case is the ICF syndrome, a rare autosomal recessive
immunological/neurological disorder diagnosed by the loss of DNA methylation at
(peri)centromeric repeats and its associated chromosomal instability. It is
caused by mutations in the de novo DNA methyltransferase DNMT3B in about half of
the patients (ICF1). In the remainder, the striking identification of mutations
in factors devoid of DNA methyltransferase activity, ZBTB24 (ICF2), CDCA7 (ICF3)
or HELLS (ICF4), raised key questions about common or distinguishing DNA
methylation alterations downstream of these mutations and hence, about the
functional link between the four factors. Here, we established the first
comparative methylation profiling in ICF patients with all four genotypes and we
provide evidence that, despite unifying hypomethylation of pericentromeric
repeats and a few common loci, methylation profiling clearly distinguished ICF1
from ICF2, 3 and 4 patients. Using available genomic and epigenomic annotations
to characterize regions prone to loss of DNA methylation downstream of ICF
mutations, we found that ZBTB24, CDCA7 and HELLS mutations affect CpG-poor
regions with heterochromatin features. Among these, we identified clusters of
coding and non-coding genes mostly expressed in a monoallelic manner and
implicated in neuronal development, consistent with the clinical spectrum of
these patients' subgroups. Hence, beyond providing blood-based biomarkers of
dysfunction of ICF factors, our comparative study unveiled new players to
consider at certain heterochromatin regions of the human genome.
PMID- 29659837
TI - Noonan syndrome-causing SHP2 mutants impair ERK-dependent chondrocyte
differentiation during endochondral bone growth.
AB - Growth retardation is a constant feature of Noonan syndrome (NS) but its
physiopathology remains poorly understood. We previously reported that
hyperactive NS-causing SHP2 mutants impair the systemic production of insulin
like growth factor 1 (IGF1) through hyperactivation of the RAS/extracellular
signal-regulated kinases (ERK) signalling pathway. Besides endocrine defects, a
direct effect of these mutants on growth plate has not been explored, although
recent studies have revealed an important physiological role for SHP2 in
endochondral bone growth. We demonstrated that growth plate length was reduced in
NS mice, mostly due to a shortening of the hypertrophic zone and to a lesser
extent of the proliferating zone. These histological features were correlated
with decreased expression of early chondrocyte differentiation markers, and with
reduced alkaline phosphatase staining and activity, in NS murine primary
chondrocytes. Although IGF1 treatment improved growth of NS mice, it did not
fully reverse growth plate abnormalities, notably the decreased hypertrophic
zone. In contrast, we documented a role of RAS/ERK hyperactivation at the growth
plate level since 1) NS-causing SHP2 mutants enhance RAS/ERK activation in
chondrocytes in vivo (NS mice) and in vitro (ATDC5 cells) and 2) inhibition of
RAS/ERK hyperactivation by U0126 treatment alleviated growth plate abnormalities
and enhanced chondrocyte differentiation. Similar effects were obtained by
chronic treatment of NS mice with statins. In conclusion, we demonstrated that
hyperactive NS-causing SHP2 mutants impair chondrocyte differentiation during
endochondral bone growth through a local hyperactivation of the RAS/ERK
signalling pathway, and that statin treatment may be a possible therapeutic
approach in NS.
PMID- 29659840
TI - What is the optimal target for the second arterial graft in patients undergoing
coronary bypass surgery?
AB - A best evidence topic in cardiac surgery was written according to a structured
protocol. The question addressed was whether the anterolateral or the inferior
wall served as a better location for the 2nd arterial graft in a 3-system
coronary artery bypass grafting procedure. In total, more than 1800 papers were
found, of which 6 represented the best evidence to answer the clinical question.
All papers demonstrated equivalent early postoperative mortality and morbidity.
The 3 largest studies comparing bilateral internal thoracic arteries showed no
difference in perioperative mortality and morbidity regardless of whether the 2nd
internal thoracic artery was used to graft the left or right system. One of these
studies, however, showed significant survival benefit for the left-sided group at
8 years, whereas another study showed a reduced patency of right internal
thoracic arteries when grafted to the right coronary artery and when used in
situ. One study compared radial grafts to the right- and left-sided targets and
showed no difference in long-term patency. Another study also reported on the
angiographic patency of right internal thoracic arteries. The authors noted a non
significant increase in graft failure when non-left anterior descending arteries
were grafted but no difference between circumflex or posterior descending
arteries. All studies demonstrated similar or better mid- and long-term outcomes
and patency rates when using the 2nd arterial graft to revascularize left-sided
targets when compared with the right. However, all outcomes were similar when
comparing non-left anterior descending left-sided targets with non-right coronary
artery right-sided targets. Therefore, the right coronary artery itself should
probably be avoided as the 2nd arterial target.
PMID- 29659839
TI - Airway glycomic and allergic inflammatory consequences resulting from keratan
sulfate galactose 6-O-sulfotransferase (CHST1) deficiency.
AB - Siglec-F is a pro-apoptotic receptor on mouse eosinophils that recognizes 6'
sulfated sialyl Lewis X and 6'-sulfated sialyl N-acetyl-lactosamine as well as
multivalent sialyl N-acetyl-lactosamine structures on glycan arrays. We
hypothesized that attenuation of the carbohydrate sulfotransferase 1 (CHST1) gene
encoding keratan sulfate galactose 6-O-sulfotransferase, an enzyme likely
required for 6'-sulfation of some of these putative Siglec-F glycan ligands,
would result in decreased Siglec-F lung ligand levels and enhanced allergic
eosinophilic airway inflammation. Tissue analysis detected CHST1 expression
predominantly not only in parenchymal cells but not in airway epithelium, the
latter being a location where Siglec-F ligands are located. Western blotting of
lung extracts with Siglec-F-Fc fusion proteins detected ~500 kDa and ~200 kDa
candidate Siglec-F ligands that were not appreciably altered in CHST1-/- lungs
compared with normal mouse lungs. Characterization of the O-linked glycans of
lung tissue and bronchoalveolar lavage fluid detected altered sialylation but
minimal change in sulfation. Eosinophilic airway inflammation was induced in wild
type (WT) and CHST1-/- mice via sensitization to ovalbumin (OVA) and repeated
airway challenge. After OVA sensitization and challenge, Siglec-F ligands on
airway cells, and numbers of eosinophils and neutrophils accumulating in the
airways, both increased to a similar degree in WT and CHST1-/- mouse lungs, while
macrophages and lymphocytes increased significantly more in CHST1-/- mouse airway
compared with normal mouse lungs. Therefore, keratan sulfate galactose 6-O
sulfotransferase does not contribute to the synthesis of glycan ligands for
Siglec-F in the airways, although its absence results in exaggerated accumulation
of airway macrophages and lymphocytes.
PMID- 29659841
TI - Incidence and mortality from adverse effects of medical treatment in the UK, 1990
2013: levels, trends, patterns and comparisons.
AB - Objective: To present an update on incidence and mortality from adverse effects
(AEs) of medical treatment in the UK, its four countries and nine English regions
between 1990 and 2013. Design: Descriptive epidemiological study on AEs of
medical treatment. AEs are shown as a single cause-of-injury category from the
Global Burden of Disease (GBD) 2013 study. Data sources: The GBD 2013 interactive
data visualisation tools 'Epi Visualisation' and 'GBD Compare'. Outcome measures:
The means of incidence and mortality rates with 95% uncertainty intervals (UIs).
The estimates are age-standardised. Results: Incidence rate was 175 and 176 cases
per 100 000 men, 173 and 174 cases per 100 000 women in 1990 and 2013, in the UK
(UI 170-180). The mortality from AEs declined from 1.33 deaths (UI 0.99-1.5) to
0.92 deaths (UI 0.75-1.2) per 100 000 individuals in the UK between 1990 and 2013
(30.8% change). Although mortality trends were descending in every region of the
UK, they varied by geography and gender. Mortality rates in Scotland, North East
England and West Midlands were highest. Mortality rates in South England and
Northern Ireland were lowest. In 2013, age-specific mortality rates were higher
in males in all 20 age groups compared with females. Conclusions: Despite gains
in reducing mortality from AEs of medical treatment in the UK between 1990 and
2013, the incidence of AEs remained the same. The results of this analysis
suggest revising healthcare policies and programmes aimed to reduce incidence of
AEs in the UK.
PMID- 29659843
TI - A computed tomography-based planning tool for predicting difficulty of minimally
invasive aortic valve replacement.
AB - OBJECTIVES: Minimally invasive aortic valve replacement has proven its value over
the last decade by its significant advancement and reduction in mortality,
morbidity and admission time. However, minimally invasive aortic valve
replacement is associated with some on-site difficulties such as limited aortic
annulus exposure. Currently, computed tomography scans are used to evaluate the
anatomical relationship among the intercostal spaces, ascending aorta and aortic
valve prior to surgery. We hypothesized that quantitative measurements of access
distance and access angle are associated with outcome and access difficulty.
METHODS: We introduce a novel minimally invasive aortic valve replacement
planning prototype that allows automatic measurements of access angle, access
distance and aortic annulus dimensions. The prototype visualizes these
measurements on the chest cage as ISO contours. The association of these measures
with outcome parameters such as extracorporeal circulation time, aortic cross
clamping time and access difficulty score was assessed. We included 14 patients
who received a new valve by ministernotomy. RESULTS: The mean access angle was
40.3 +/- 5.1 degrees . It was strongly associated with aortic cross-clamping time
(Pearson correlation coefficient = 0.60, P = 0.02) and access difficulty score
(Spearman's rank correlation coefficient = 0.57, P = 0.03). Access angles were
significantly different between easy and difficult access groups (P = 0.03).
There was no significant association between access distance and outcome
parameters. CONCLUSIONS: Access angle is strongly associated with procedure
complexity. The automated presentation of this measure suggests added value of
the prototype in clinical practice.
PMID- 29659842
TI - Insights into the pathogenesis of dominant retinitis pigmentosa associated with a
D477G mutation in RPE65.
AB - RPE65 is the essential trans-cis isomerase of the classical retinoid (visual)
cycle. Mutations in RPE65 give rise to severe retinal dystrophies, most of which
are associated with loss of protein function and recessive inheritance. The only
known exception is a c.1430G>A (D477G) mutation that gives rise to dominant
retinitis pigmentosa with delayed onset and choroidal and macular involvement.
Position 477 is distant from functionally critical regions of RPE65. Hence, the
mechanism of D477G pathogenicity remains unclear, although protein misfolding and
aggregation mechanisms have been suggested. We characterized a D477G knock-in
mouse model which exhibited mild age-dependent changes in retinal structure and
function. Immunoblot analysis of protein extracts from the eyes of these knock-in
mice demonstrated the presence of ubiquitinated RPE65 and reduced RPE65
expression. We observed an accumulation of retinyl esters in the knock-in mice as
well as a delay in rhodopsin regeneration kinetics and diminished
electroretinography responses, indicative of RPE65 functional impairment induced
by the D477G mutation in vivo. However, a cell line expressing D477G RPE65
revealed protein expression levels, cellular localization and retinoid isomerase
activity comparable to cells expressing wild-type protein. Structural analysis of
an RPE65 chimera suggested that the D477G mutation does not perturb protein
folding or tertiary structure. Instead, the mutation generates an aggregation
prone surface that could induce cellular toxicity through abnormal complex
formation as suggested by crystal packing analysis. These results indicate that a
toxic gain-of-function induced by the D477G RPE65 substitution may play a role in
the pathogenesis of this form of dominant retinitis pigmentosa.
PMID- 29659844
TI - Surgery for acute infective endocarditis: epidemiological data from a Spanish
nationwide hospital-based registry.
AB - OBJECTIVES: Infective endocarditis (IE) is a serious and eventually lethal
disease with rising incidence in the past couple of decades. The aim of this
study was to evaluate the contemporary epidemiological trends of surgical
endocarditis patients, to analyse the clinical outcomes and to study their
profile, associated prognostic factors and costs. METHODS: This is a
retrospective study of all patients admitted for IE in Spanish hospitals and
discharged between 1 January 1997 and 31 December 2014. Data were extracted from
the minimum basic data set of the National Surveillance System for Hospital Data
in Spain provided by the Spanish Ministry of Health. Hospitalizations,
comorbidities, outcomes and costs were analysed. RESULTS: In total, 34 399
patients with IE were included; 15.7% of patients received surgical treatment and
84.3% received medical treatment only. Surgical patients were mostly men (71.9%)
and had a lower mean age (59.2 +/- 16.08 years) than the medical treatment group
(P < 0.0001). Mortality among surgical patients showed a decreasing trend between
1997 (32.0%) and 2014 (22.7%) and increased with age (47.6% in >=85 years of
age). Length of hospital stay and the percentage of patients with organ
dysfunction were also higher in this group. The cost of the surgical treatment
group was higher and increased since 1997 (15 259.22 euros), remaining stable
from 2010 (40 700 euros) (P < 0.0001). CONCLUSIONS: Surgical treatment in IE has
trended upwards in Spain during the last 2 decades. Patients are getting older
and more frequently experience organ dysfunction. Mortality ratio steadily
declined without changes in the length of hospital stay.
PMID- 29659845
TI - Data Resource Profile: Danish Conscription Registry Data (DCRD).
PMID- 29659846
TI - Is administration of dual-antiplatelet therapy beneficial for patients following
off-pump coronary artery bypass grafting?
AB - A best evidence topic in cardiac surgery was written according to a structured
protocol. The question addressed was whether administration of dual-antiplatelet
therapy (DAPT) following off-pump coronary artery bypass grafting (OPCAB) would
improve postoperative clinical outcomes or minimize the incidence of
postoperative graft failure. In total, 101 papers were found using the reported
search, 14 of which represented the best evidence to answer the clinical
question. The authors, journal, date and country of publication, patient group
studied, study type, relevant outcomes and results of these papers are tabulated.
One meta-analysis and 3 randomized controlled trials showed that DAPT following
OPCAB is associated with decreased incidence of saphenous vein graft occlusion.
One randomized controlled trial and 4 observational studies showed no effect of
DAPT on mortality following OPCAB, whereas 3 observational studies showed that
DAPT decreased mortality. One meta-analysis and 4 observational studies showed
that DAPT reduced the incidence of cardiac events following OPCAB. One randomized
controlled trial and 4 observational studies showed that DAPT did not increase
the incidence of major or minor bleeding complications following OPCAB. The
results presented suggest that administration of DAPT in patients following OPCAB
for at least 3 months improves saphenous vein graft patency and could be
protective against recurrence of cardiac events, especially acute coronary
syndrome, in comparison with aspirin monotherapy. The administration of DAPT
following OPCAB is safe and is not associated with increased incidence of major
or minor bleeding complications when compared with aspirin alone.
PMID- 29659847
TI - Follicle growth and endocrine dynamics in women with spontaneous luteinized
unruptured follicles versus ovulation.
AB - STUDY QUESTION: Do growth patterns and endocrine profiles differ between
ovulatory follicles (OvFs) and luteinized unruptured follicles (LUFs) in women?
SUMMARY ANSWER: Growth rates, diameters and associated endocrine profiles
differed between OvFs and LUFs in unstimulated cycles. WHAT IS KNOWN ALREADY: Two
three waves of antral follicles develop during the menstrual cycle in ovulatory
women of reproductive age, with the second or third wave terminating in
ovulation. In contrast, some women can develop LUFs, where a preovulatory
follicle fails to rupture and there is subsequent luteinization of the follicle
wall. However, no study has compared OvFs and LUFs in unstimulated cycles. STUDY
DESIGN, SIZE, DURATION: This retrospective observational study was conducted in
56 healthy women of reproductive age (range: 19-41 years) and with a history of
regular menstrual cycles. PARTICIPANTS/MATERIALS, SETTING, METHODS: Participants
who met inclusion criteria were enrolled, as previously reported. Daily
transvaginal ultrasonography was performed for one interovulatory interval (IOI)
to measure the diameters of all follicles >2 mm. Blood samples were collected
every 3 days during the IOI to measure serum concentrations of FSH, LH, estradiol
and progesterone. MAIN RESULTS AND THE ROLE OF CHANCE: The interval from
emergence to deviation (i.e. follicle selection) was shorter (P < 0.05) for LUFs
compared to OvFs. However, the intervals from emergence to maximum diameter and
deviation to maximum diameter were longer (P < 0.05) for LUFs compared to OvFs.
Follicle deviation in LUFs occurred at a larger diameter (P < 0.05) compared to
OvFs, and LUFs grew to larger (P < 0.0001) diameters compared to OvFs. Moreover,
LUFs grew faster (P < 0.05) from emergence to deviation and from deviation to
maximum diameter, compared to OvFs. LUFs were associated with low (P < 0.05)
systemic LH levels at emergence and maximum diameter compared to OvFs. LUFs were
also associated with low (P < 0.05) systemic FSH and high (P < 0.05) systemic
progesterone at deviation and maximum diameter, respectively. Estradiol was
higher (P < 0.05) at deviation and lower (P < 0.05) at maximum diameter for LUFs
compared to OvFs. LIMITATIONS, REASONS FOR CAUTION: A 3-day interval of blood
sampling for hormonal analyses was conducted, as a more frequent sampling
interval was not considered acceptable by the study volunteers. A 3-day sampling
interval did not allow characterization of acute changes in hormone production
during the IOI. In addition, study visits were less frequent when LUFs persisted
long after the expected day of the second ovulation of the IOI. WIDER
IMPLICATIONS OF THE FINDINGS: Information about the growth and endocrine dynamics
of OvFs and LUFs developing in unstimulated cycles in women may be applied to the
early detection of LUF-associated anovulatory infertility and clinical management
of women with this condition. STUDY FUNDING/COMPETING INTEREST(S): No external
funding sources were used for this study. The authors have no conflicts of
interest in publishing this manuscript. TRIAL REGISTRATION NUMBER:
ClinicalTrials.gov Identifier: NCT01389141.
PMID- 29659849
TI - Corrigendum to: 'Onchocerciasis: the beginning of the end'.
PMID- 29659848
TI - Why after 50 years of effective contraception do we still have unintended
pregnancy? A European perspective.
AB - Unintended pregnancy is a public health concern throughout Europe. There is no
common definition and no standard way to measure unintended pregnancy.
Identifying unintended births is difficult and prevalence estimates vary
depending on how and when the question is asked. Abortion rates are not a proxy
and are themselves notoriously inaccurate. An estimated 34% (in Western Europe)
to 54% (in Eastern Europe) of pregnancies are unintended. The determinants of
unintended pregnancy are the length of the reproductive span and exposure to the
risk of conception; the desired number of children and contraceptive use and
effectiveness. The age of sexual debut fell during the 20th century in Europe to
between 15 and 18 years of age. Mean age at first birth for women is now over 30
years in most European countries and most couples want no more than two children.
Thus most couples must use contraception perfectly for many years in order to
avoid unintended pregnancy. Use of effective contraception is high throughout
most of Europe but there is scope, through better provision of sexual health
services, better formal sex education and better training of providers, to
increase the uptake of the most effective contraceptives and improve use of all
methods. For individual women unintended pregnancy can be a disaster and recourse
to induced abortion should be freely available.
PMID- 29659850
TI - Orthologues of Streptococcus pyogenes nuclease A (SpnA) and Streptococcal 5'
nucleotidase A (S5nA) found in Streptococcus iniae.
AB - Streptococcus pyogenes nuclease A (SpnA) and streptococcal 5' nucleosidase A
(S5nA) are two recently described virulence factors from the human pathogen S.
pyogenes. In vitro studies have shown that SpnA is a nuclease that cleaves ssDNA
and dsDNA, including the DNA backbone of neutrophil extracellular traps. S5nA was
shown to hydrolyse AMP and ADP, but not ATP, to generate the immunomodulatory
molecule adenosine. S5nA also generates the macrophage-toxic deoxyadenosine from
dAMP. However, detailed in vivo studies of the two enzymes have been hampered by
difficulties with using current animal models for this exclusive human pathogen.
Here we report the identification of two novel enzymes from the fish pathogen
Streptococcus iniae that show similarities to SpnA and S5nA in amino acid
sequence, protein domain structure and biochemical properties. We propose that
SpnAi and S5nAi are orthologues of the S. pyogenes enzymes, providing a rationale
to analyse the in vivo function of the two enzymes using a S. iniae-zebrafish
infection model.
PMID- 29659851
TI - Causes of death at the University of Ghana Hospital in Accra-a 37-year review
(1979-2015).
AB - Background: An analysis of the causes of death in developing countries is needed
to improve healthcare delivery. The aim of this study was to conduct a
descriptive analysis of the causes of death at the University of Ghana Hospital
from 1979 to 2015. Methods: Data were extracted from the electronic database of
the University of Ghana Hospital. Diseases were grouped into three broad groups
of causes of death as per the Global Burden of Disease cause list, with some
diseases of epidemiological importance outlined and analysed by age, gender and
time in years. Results: Of 3263 deaths, almost 60% were caused by non
communicable diseases (NCDs) that consisted of cancers, diabetes mellitus,
cardiovascular diseases and other systemic conditions. Deaths by malaria,
tuberculosis, diarrhoeal diseases and immunizable childhood diseases declined
over the years while deaths from NCDs increased. The majority of cases of NCDs
were due to cardiovascular disorders. Conclusions: The study suggests that Ghana
has a double burden of disease with predominantly NCDs from cardiovascular
diseases, metabolic disorders and cancers. Although malaria and other childhood
related illnesses have declined significantly, human immunodeficiency virus is
fuelling the communicable disease mortalities. There is an urgent need to scale
up NCD control interventions while strengthening communicable disease control.
PMID- 29659852
TI - Determinants of primary healthcare seeking behaviours for children during the
first 18 months of life in Benin.
AB - Background: Primary healthcare is a key element of management of childhood
illness in Africa. The objectives were to identify primary care seeking
determinants among infants and young children up to 18 mo in a birth cohort from
Benin. Methods: From 2007 to 2009 in Benin, a birth cohort was followed until the
age of 18 mo in three health centres. Multilevel Poisson regression models were
fitted to identify the factors related to the monthly number of consultations.
Maternal and newborn characteristics and infant general health parameters were
considered. Results: A total of 566 children were followed. On average, 0.46
consultations per month per child were recorded. The number of consultations was
significantly lower after the first 6 mo of life (p<0.001). A distance >1000 m
was associated with fewer consultations (p=0.01). Primiparity was significantly
associated with higher care seeking (relative risk 1.17 [95% CI 1.05 to 1.30],
p<0.01). No child characteristics at birth were significantly associated with the
number of consultations (all p>0.16). Conclusions: Development of health
structures and improvement of access remain important goals for strengthening of
the primary care health system. Studying factors of care seeking behaviour, like
parity, can help to identify women more prone to seek care for their child during
the first year of life.
PMID- 29659853
TI - In vitro activity of ceftaroline against clinical Staphylococcus aureus isolates
collected during a national survey conducted in Belgian hospitals.
PMID- 29659854
TI - Prospective Evaluation of Operating Room Inefficiency.
AB - Previously, they identified that 60 per cent of their facility's total operative
time is nonoperative. They performed a review of their operating room to
determine where inefficiencies exist in nonoperative time. Live video of
operations performed in a burn operating room from June 23, 2017 to August 16,
2017 was prospectively reviewed. Preparation (end of induction to procedure
start) and turnover (patient out of room to next patient in room) were divided
into the following activities: 1) Preparation: remove dressing, position patient,
clean patient, drape patient, and 2) Turnover: clean operating room, scrub tray
setup, anesthesia setup. Ideal preparation time was calculated as the sum of time
needed to perform preparation activities consecutively. Ideal turnover time was
calculated as the sum of time needed to clean the operating room and to set up
either the scrub tray or anesthesia (the larger of the two times as these can be
done in parallel). They reviewed 101 consecutive operations. An average of 2.4 +/
0.8 cases per day were performed. Ideal preparation and turnover time were 16.6
and 30.1 minutes, a 38.3 and 32.5 per cent reduction compared with actual times.
Attending surgeon presence in the operating room within 10 minutes of a patient's
arrival was found to significantly decrease time to incision by 33 per cent (52.7
+/- 14.3 minutes down to 35.7 +/- 20.4, P < .0001). A reduction in preparation
and turnover time could save $1.02 million and generate $1.76 million in
additional revenue annually. Reducing preparation and turnover to ideal times
could increase caseload to 4 per day, leading to millions of dollars of savings
annually.
PMID- 29659856
TI - Integration of physical abuse clinical decision support into the electronic
health record at a Tertiary Care Children's Hospital.
AB - Objective: To evaluate the effect of a previously validated electronic health
record-based child abuse trigger system on physician compliance with clinical
guidelines for evaluation of physical abuse. Methods: A randomized controlled
trial (RCT) with comparison to a preintervention group was performed. RCT
experimental subjects' providers received alerts with a direct link to a physical
abuse-specific order set. RCT-control subjects' providers had no alerts, but
could manually search for the order set. Preintervention subjects' providers had
neither alerts nor access to the order set. Compliance with clinical guidelines
was calculated. Results: Ninety-nine preintervention subjects and 130 RCT
subjects (73 RCT-experimental and 57 RCT-control) met criteria to undergo a
physical abuse evaluation. Full compliance with clinical guidelines was 84% pre
intervention, 86% in RCT-control group, and 89% in RCT-experimental group. The
physical abuse order set was used 43 times during the 7-month RCT. When the abuse
order set was used, full compliance was 100%. The proportion of cases in which
there was partial compliance decreased from 10% to 3% once the order set became
available (P = .04). Male gender, having >10 years of experience and completion
of a pediatric emergency medicine fellowship were associated with increased
compliance. Discussion/Conclusion: A child abuse clinical decision support system
comprised of a trigger system, alerts and a physical abuse order set was quickly
accepted into clinical practice. Use of the physical abuse order set always
resulted in full compliance with clinical guidelines. Given the high baseline
compliance at our site, evaluation of this alert system in hospitals with lower
baseline compliance rates will be more valuable in assessing the efficacy in
adherence to clinical guidelines for the evaluation of suspected child abuse.
PMID- 29659855
TI - Mobile colistin resistance gene mcr-5 in porcine Aeromonas hydrophila.
AB - Objectives: To characterize the mobile colistin resistance gene mcr-5 in
Aeromonas hydrophila from backyard pigs in rural areas of China. Methods: Pig
faecal samples from 194 households were directly tested for the presence of mcr-5
by PCR assay and the phenotypic antimicrobial susceptibility profiles of the mcr
5-positive isolates were determined using the broth dilution method. The genomic
location and transferability of mcr-5 were analysed by S1-PFGE with Southern
blotting and DNA hybridization, and natural transformation, respectively. One
strain isolated from an mcr-5-positive sample was subjected to WGS and the
stability of the mcr-5-harbouring plasmid over successive generations was
examined by subculturing. Results: One mcr-5-positive A. hydrophila isolate
showing resistance, with a colistin MIC of 4 mg/L, was isolated from a backyard
pig faecal sample. mcr-5 was located on a 7915 bp plasmid designated pI064-2,
which could naturally transform into a colistin-susceptible A. hydrophila strain
of porcine origin and mediated colistin resistance in both the original isolate
and its transformants. The plasmid backbone (3790 bp) of pI064-2 showed 81%
nucleotide sequence identity to the corresponding region of the ColE2-type
plasmid pAsa1 from Aeromonas salmonicida, while similar replication primases are
widely distributed among aeromonads, Enterobacteriaceae and Pseudomonas species.
Conclusions: To the best of our knowledge, this is the first identification of
the novel colistin resistance gene mcr-5 in an A. hydrophila isolate from the
faeces of a backyard pig. mcr-5 is expected to be able to disseminate among
different bacterial species and genera.
PMID- 29659857
TI - Evaluation of a recommender app for apps for the treatment of depression and
anxiety: an analysis of longitudinal user engagement.
AB - Objective: While depression and anxiety are common mental health issues, only a
small segment of the population has access to standard one-on-one treatment. The
use of smartphone apps can fill this gap. An app recommender system may help
improve user engagement of these apps and eventually symptoms. Methods:
IntelliCare was a suite of apps for depression and anxiety, with a Hub app that
provided app recommendations aiming to increase user engagement. This study
captured the records of 8057 users of 12 apps. We measured overall engagement and
app-specific usage longitudinally by the number of weekly app sessions
("loyalty") and the number of days with app usage ("regularity") over 16 weeks.
Hub and non-Hub users were compared using zero-inflated Poisson regression for
loyalty, linear regression for regularity, and Cox regression for engagement
duration. Adjusted analyses were performed in 4561 users for whom we had baseline
characteristics. Impact of Hub recommendations was assessed using the same
approach. Results: When compared to non-Hub users in adjusted analyses, Hub users
had a lower risk of discontinuing IntelliCare (hazard ratio = 0.67, 95% CI, 0.62
0.71), higher loyalty (2- to 5-fold), and higher regularity (0.1-0.4 day/week
greater). Among Hub users, Hub recommendations increased app-specific loyalty and
regularity in all 12 apps. Discussion/Conclusion: Centralized app recommendations
increase overall user engagement of the apps, as well as app-specific usage.
Further studies relating app usage to symptoms can validate that such a
recommender improves clinical benefits and does so at scale.
PMID- 29659858
TI - ZAAPS programme results for 2016: an activity and spectrum analysis of linezolid
using clinical isolates from medical centres in 42 countries.
AB - Objectives: To report the linezolid activity, resistance mechanisms and
epidemiological typing of selected isolates observed during the 2016 Zyvox(r)
Annual Appraisal of Potency and Spectrum (ZAAPS) programme. Methods: A total of
8325 organisms were consecutively collected from 76 centres in 42 countries
(excluding the USA). Broth microdilution susceptibility testing was performed and
isolates displaying linezolid MICs of >=4 mg/L were molecularly characterized.
Results: Linezolid inhibited 99.8% of all Gram-positive pathogens at the
respective susceptible breakpoints and showed a modal MIC of 1 mg/L, except for
CoNS, for which the modal MIC result was 0.5 mg/L. Among isolates displaying
linezolid MICs of >=4 mg/L, one Staphylococcus aureus (linezolid MIC of 4 mg/L)
harboured cfr and belonged to ST72, while four CoNS (MICs of 16-32 mg/L; ST2)
showed drug target alterations. Two Enterococcus faecium (ST117) from a single
site in Rome were linezolid non-susceptible (MICs of 8 mg/L) and had G2576T
mutations. Eight linezolid-non-susceptible Enterococcus faecalis (MICs of 4 mg/L;
4 sites in 4 countries; ST256, ST480, ST766 and ST775) carried optrA and isolates
carrying optrA from the same medical centre were genetically related. One
Streptococcus gallolyticus (MIC of 4 mg/L) and one Streptococcus mitis (MIC of 16
mg/L) carried optrA and G2576T mutations, respectively. Conclusions: These
results document the continued long-term in vitro potency of linezolid.
Alterations in the 23S rRNA and/or L3/L4 proteins remain the main oxazolidinone
resistance mechanisms in E. faecium and CoNS, whereas optrA emerged as the sole
mechanism in E. faecalis. Surveillance and infection control will be important
strategies to detect optrA and prevent it from disseminating.
PMID- 29659860
TI - Analyzing the effects of instillation volume on intravesical delivery using
biphasic solute transport in a deformable geometry.
AB - Ailments of the bladder are often treated via intravesical delivery-direct
application of therapeutic into the bladder through a catheter. This technique is
employed hundreds of thousands of times every year, but protocol development has
largely been limited to empirical determination. Furthermore, the numerical
analyses of intravesical delivery performed to date have been restricted to
static geometries and have not accounted for bladder deformation. This study uses
a finite element analysis approach with biphasic solute transport to investigate
several parameters pertinent to intravesical delivery including solute
concentration, solute transport properties and instillation volume. The volume of
instillation was found to have a substantial impact on the exposure of solute to
the deeper muscle layers of the bladder, which are typically more difficult to
reach. Indeed, increasing the instillation volume from 50-100 ml raised the
muscle solute exposure as a percentage of overall bladder exposure from 60-70%
with higher levels achieved for larger instillation volumes. Similar increases
were not seen for changes in solute concentration or solute transport properties.
These results indicate the role that instillation volume may play in targeting
particular layers of the bladder during an intravesical delivery.
PMID- 29659859
TI - Seroprevalence of Toxoplasma gondii and Neospora caninum infection in sheep,
goats, and fallow deer farmed on the same area.
AB - Toxoplasma gondii and Neospora caninum are coccidian parasites with a global
distribution that cause reproductive failure and production losses in livestock.
The seroprevalence of both parasite species in ruminants and Cervidae has been
investigated worldwide and found to vary greatly. Studies carried out on mixed
flocks with 3 ruminant species (sheep, goats, and fallow deer) living under the
same conditions are excellent models for identifying any differences in the rate
of infection with the 2 parasites between the animal species. Additionally, the
species used in the present study differ in their feeding categories: grazers,
browsers, and intermediate feeders. The aim of the study is to identify any
variation in the prevalence of the 2 parasites in mixed flocks and to identify
any possible relationships with food choice. The seroprevalence against T. gondii
and N. caninum in 167 captive fallow deer, 64 sheep, and 39 goats were detected
using commercially available ELISA. The seroprevalence for T. gondii achieved 10%
in fallow deer, 21% in goats, and 47% in sheep. The seroprevalence for N. caninum
achieved 13% in sheep and fallow deer and 21% in goats. Overall, 53% of the
sheep, 33% of the goats, and 22% of the fallow deer were seropositive for both
infections. Coinfection of T. gondii and N. caninum was detected in 6% of sheep,
8% of goats, and 2% of fallow deer. Statistical analyses of the seroprevalence
levels observed between 2 parasites for each animal species revealed that only
the results obtained for sheep were significant (P < 0.01). Additionally, the
differences in the seroprevalence levels for T. gondii between sheep and goats
and between sheep and fallow deer were statistically significant (P < 0.01). The
results of the N. caninum seroprevalence levels observed among animal species
were not significant. Although the variations in susceptibility to T. gondii and
N. caninum infections demonstrated by the examined animals may affect the
differences in seropositivity, these appear to be related to the feeding habits
of the animal species. Therefore, the risk of infection by agents found close to
the ground, such as coccidian oocysts, varies. Sheep as grazers are at a greater
risk of infection by T. gondii than goats and fallow deer.
PMID- 29659861
TI - In vitro activity of imipenem/relebactam against Gram-negative ESKAPE pathogens
isolated in 17 European countries: 2015 SMART surveillance programme.
AB - Objectives: Relebactam is an inhibitor of class A beta-lactamases, including KPC
beta-lactamases, and class C beta-lactamases, and is currently under clinical
development in combination with imipenem. The objective of the current study was
to evaluate the in vitro activity of imipenem/relebactam against Gram-negative
ESKAPE pathogens (Klebsiella pneumoniae, Acinetobacter baumannii, Pseudomonas
aeruginosa and Enterobacter spp.) submitted by clinical laboratories in 17
European countries to the Study for Monitoring Antimicrobial Resistance Trends
(SMART) global surveillance programme in 2015. Methods: MICs were determined
using the CLSI standard broth microdilution method and interpreted using EUCAST
clinical breakpoints. Relebactam was tested at a fixed concentration of 4 mg/L in
combination with doubling dilutions of imipenem. Imipenem/relebactam MICs were
interpreted using breakpoints for imipenem. Results: Rates of susceptibility to
imipenem and imipenem/relebactam for isolates of P. aeruginosa (n = 1705), K.
pneumoniae (n = 1591) and Enterobacter spp. (n = 772) were 72.0/94.7%, 88.7/94.8%
and 95.6/96.8%, respectively. Relebactam restored imipenem susceptibility to
81.1%, 54.2% and 26.5% of imipenem-non-susceptible isolates of P. aeruginosa (n =
477), K. pneumoniae (n = 179) and Enterobacter spp. (n = 34). Most
imipenem/relebactam-non-susceptible isolates carried MBLs, OXA-48 or GES
carbapenemases. Relebactam did not increase the number of isolates of A.
baumannii (n = 486) susceptible to imipenem. Conclusions: Relebactam restored
susceptibility to imipenem for the majority of imipenem-non-susceptible isolates
of P. aeruginosa and K. pneumoniae tested as well as some isolates of imipenem
non-susceptible Enterobacter spp. Based on our results, imipenem/relebactam
appears to be a promising therapeutic option for treating patients with
infections caused by antimicrobial-resistant Gram-negative bacilli.
PMID- 29659863
TI - Frequent use of emergency departments by older people: a comparative cohort study
of characteristics and outcomes.
AB - Objective: To characterise older people who frequently use emergency departments
(EDs) and compare patient outcomes with older non-frequent ED attenders. Design:
Retrospective comparative cohort study. Logistic regression modelling of patient
characteristics and health service usage, comparing older frequent ED attenders
(>=4 ED attendances in 12 months) to non-frequent ED attenders. Setting: Three
Australian public hospital EDs, with a total of 143 327 emergency attendances in
the 12 months. Participants: People aged >=65 years attending the ED in financial
year 2013/2014. Main Outcome Measures: The primary outcome was frequent ED use;
secondary outcomes were ED length of stay, discharge destination from ED,
hospital length of stay, re-presentation within 48 h, hospital readmission within
30 days and in-hospital mortality. Results: Five percent of older people were
frequent attenders (n = 1046/21 073), accounting for 16.9% (n = 5469/32 282) of
all attendances by older people. Frequent ED attenders were more likely to be
male, aged 75-84 years, arrive by ambulance and have a diagnosis relating to
chronic illness. Frequent attenders stayed 0.4 h longer in ED (P < 0.001), were
more likely to be admitted to hospital (69.2% vs 67.2%; P = 0.004), and had a 1
day longer hospital stay (P < 0.001). In-hospital mortality for older frequent ED
attenders was double that of non-frequent attenders (7.0% vs 3.2%, P < 0.001)
over 12 months. Conclusions: Older frequent ED attenders had more chronic disease
and care needs requiring hospital admission than non-frequent attenders. A new
approach to care planning and coordination is recommended, to optimise the
patient journey and improve outcomes.
PMID- 29659862
TI - Effects of hormonal growth promotants on beef quality: a meta-analysis.
AB - Benefits of hormonal growth promotants (HGPs) include production efficiency,
profit, and reduced environmental effects for beef cattle. Questions remain about
effects of HGP on beef quality, particularly on measures of toughness such as
Warner-Bratzler shear force (WBSF), tenderness, and other taste-panel attributes
of beef. The objective of this meta-analysis was to assess the effects of HGP on
beef quality using the results of randomized controlled trials identified from 3
searched databases. Thirty-one experiments with 181 treatment comparisons were
used to evaluate the effects of HGP on WBSF and sensory measures of beef quality.
Experiments varied in design, used many different hormonal treatments and
combinations, which were single or repeated, in different breeds and sex groups
of cattle, with or without electrical stimulation, and with different lengths of
time on feed and beef aging. The effects of multiple treatment comparisons in
experiments were evaluated using robust regression models and compared to Knapp
Hartung and permutation meta-analytical methods. Increased WBSF was associated
with HGP treatment. Use of multiple HGP implants was associated with an increase
in WBSF of 0.248 kg (95% CI = 0.203 to 0.292). Effects of a single implant only
increased WBSF by 0.176 kg (95% CI = 0.109 to 0.242). Aging of beef did not alter
the association of HGP with increased WBSF (P = 0.105); however, the point
direction was toward a reduced effect with aging (standardized mean difference
[SMD] = -0.005 per day aged). While aging lowered WBSF, it did not reduce the SMD
between HGP treatment and reference groups. Comparisons using trenbolone acetate
did not differ in WBSF from those using other implants (P > 0.15). The findings
on sensory panel tenderness differ from those using WBSF as HGP treatment was not
associated with reduced tenderness (P > 0.3) and multiple HGP treatments improved
tenderness (SMD = 0.468) compared to a single implant. Further, juiciness,
flavor, and connective tissue were not associated with HGP use, whereas there was
a marked 5.5-point decrease in the Meat Standards Australia meat quality 4 score,
albeit with limited experiments. In general, the true variance of experiments,
tau2 (tau2) was low (<0.1), but heterogeneity, I2 was high (>50%) indicating that
much of the variance was due to factors other than measurement error. More
targeted studies on the role of HGP in influencing beef quality are needed.
PMID- 29659864
TI - Type VI collagen alpha1 chain polypeptide in non-triple helical form is an
alternative gene product of COL6A1.
AB - Expression of type IV collagen alpha1 chain in non-triple helical form, NTH
alpha1(IV), is observed in cultured human cells, human placenta and rabbit
tissues. Biological functions of NTH alpha1(IV) are most likely to be distinct
from type IV collagen, since their biochemical characteristics are quite
different. To explore the biological functions of NTH alpha1(IV), we prepared
some anti-NTH alpha1(IV) antibodies. In the course of characterization of these
antibodies, one antibody, #141, bound to a polypeptide of 140 kDa in size in
addition to NTH alpha1(IV). In this study, we show evidence that the 140 kDa
polypeptide is a novel non-triple helical polypeptide of type VI collagen alpha1
chain encoded by COL6A1, or NTH alpha1(VI). Expression of NTH alpha1(VI) is
observed in supernatants of several human cancer cell lines, suggesting that the
NTH alpha1(VI) might be involved in tumourigenesis. Reactivity with lectins
indicates that sugar chains of NTH alpha1(VI) are different from those of the
alpha1(VI) chain in triple helical form of type VI collagen, suggesting a
synthetic mechanism and a mode of action of NTH alpha1(VI) is different from type
VI collagen.
PMID- 29659866
TI - Corrigendum to: A proposed fractional-order Gompertz model and its application to
tumour growth data.
PMID- 29659865
TI - Effects of a multielement trace mineral injection and vitamin E supplementation
on performance, carcass characteristics, and color stability of strip steaks from
feedlot heifers.
AB - The objective was to evaluate the interaction of a trace mineral (TM) injection
(Multimin 90) and a supranutritional concentration of dietary vitamin E (VITE) on
performance, carcass characteristics, and color stability of strip steaks from
feedlot heifers. Prior to trial initiation, Angus * Simmental cross heifers (N =
48) were managed on a common diet supplemented to meet the NRC recommendations.
Heifers were stratified by BW and allotted to a 2 * 2 factorial arrangement: 1)
no supplemental vitamin E and saline injection (CONT + SAL), 2) 1,000 IU vitamin
E.heifer-1.d-1 and saline injection (VITE + SAL), 3) no supplemental vitamin E
and TM injection (CONT + MM), or 4) vitamin E and TM injection (VITE + MM). Trace
mineral injection contained 15, 10, 5, and 60 mg/mL of Cu, Mn, Se, and Zn,
respectively, and TM injection or saline injection (1 mL/68 kg BW) were given on
day 0 of the 89-d finishing period. All heifers were fed a common diet containing
a basal concentration of 19.8 IU/kg DM vitamin E. Heifers were slaughtered and
loins sections were collected. Strip steaks were cut and placed in overwrap trays
for evaluation of color stability for 16 d. Data were analyzed using the MIXED
procedure of SAS. Color stability data were analyzed as repeated measures.
Neither TM injection nor VITE had an effect on final BW, DMI, or G:F (P >= 0.12).
There was a tendency (P = 0.09) for TM injection to increase ADG. A tendency (P =
0.08) was observed for TM injection to increase DMI of heifers receiving
supranutritional VITE. Trace mineral injection and VITE had no effect on HCW,
yield grade, 12th-rib backfat thickness, or ribeye area (P >= 0.34). Marbling
scores tended to increase (P = 0.08) in VITE heifers compared with control-fed
heifers. Vitamin E supplementation decreased final lipid oxidation (1.00 vs. 1.97
ug malondialdehyde/g fat, P = 0.03) and total visual discoloration (15.82% vs.
33.96%, P = 0.04) of steaks compared with steaks from nonsupplemented heifers.
Heifers fed supranutritional VITE produced steaks that maintained retail color
longer shown by lower hue angle values (38.17 degrees vs. 38.66 degrees , P <
0.01) than nonsupplemented heifers. A TM injection * vitamin E * day interaction
(P < 0.01) revealed by day 16 steaks from the CONT + MM heifers exhibited greater
discoloration than VITE + SAL and VITE + MM steaks with CONT + SAL intermediate.
Overall, VITE improved color stability and TM injection appeared to increase
discoloration of strip steaks from feedlot heifers after day 14 of display.
PMID- 29659867
TI - Gastric Emptying Impacts the Timing of Meal Glucose Peak in Subjects With
Uncomplicated Type 1 Diabetes.
AB - Context: Diabetes mellitus is associated with gastrointestinal (GI) motility
dysfunction, ranging from delayed to accelerated gastric emptying (GE).
Objective: To evaluate GE in patients with type 1 diabetes mellitus (T1DM)
without chronic complications and to investigate its relation with postprandial
glucose and GI hormone responses. Design: Cross-sectional study.
Setting/Participants: Forty-two patients with T1DM free of chronic complications
referred to Federico II University and 31 healthy controls similar for age, sex,
and body mass index. Interventions/Main Outcome Measures: GE was assessed by
using the 13C-octanoate breath test with a standardized solid meal. During the
meal, plasma glucose, ghrelin, and glucagon-like peptide 1 (GLP-1) responses were
assessed, and GI symptoms were evaluated by a specific questionnaire. Results:
Patients with T1DM showed a significantly slower GE half-emptying time (GE t1/2)
(113 +/- 34 minutes) than did controls (89 +/- 17 minutes; P < 0.001). Thirty-six
percent of T1DM showed a delayed GE (t1/2 > 120 minutes), whereas all controls
showed a normal GE. When patients with T1DM were stratified according to GE t1/2,
postmeal glucose response was significantly different between those with delayed
and those with normal GE (P = 0.013). In particular, patients with T1DM and
delayed GE showed a significantly longer mean time to peak glucose than did
patients with normal GE (P = 0.004). In addition, GE t1/2 was an independent
predictor of the time to peak glucose (beta = 0.329; P = 0.025). GLP-1 and
ghrelin responses to the test meal, as well as the prevalence of GI symptoms,
were similar between patients with T1DM and controls and between patients with
T1DM with normal GE and those with delayed GE. Conclusions: Delayed GE time is
associated with a longer time to peak glucose. GE evaluation could be useful for
individualizing the timing of preprandial insulin bolus in patients with T1DM.
PMID- 29659868
TI - Automatic population of eMeasurements from EHR systems for inpatient falls.
AB - Objective: Representing nursing data sets in a standard way will help to
facilitate sharing relevant information across settings. We aimed to populate
nursing process and outcome metrics with electronic health record (EHR) data and
then compare the results with event reporting systems. Methods: We used the
"eMeasure" development process of the National Quality Forum adopted by the
American Nurses Association. We used operational definitions of quality measures
from the American Nurses Association and the US Institute for Healthcare
Improvement and employed concept mapping of local data elements to 2 controlled
vocabularies to define a standard data dictionary: (1) Logical Observation
Identifiers Names and Codes and (2) International Classification for Nursing
Practice. We assessed feasibility using the nursing data set of 7829 and 8199
patients from 2 general hospitals with different EHR systems. Using inpatient
falls as a use case, we compared the populated measures with results from the
event reporting systems. Results: We identified 17 care components and 118 unique
concepts and matched them with data elements in the EHRs. Including suboptimal
mapping, 98% of the assessment concepts mapped to Logical Observation Identifiers
Names and Codes and 52.9% of intervention concepts mapped to International
Classification for Nursing Practice. While not all process indicators were
available from event reporting systems, we successfully populated 9 fall
prevention process indicators and the fall rate outcome indicator from the 2
EHRs. We were unable to populate the falls with an injury rate indicator.
Conclusions: EHR data can populate fall prevention process measure metrics and at
least one inpatient fall prevention outcome metric.
PMID- 29659869
TI - Comment on: MIC-based dose adjustment: facts and fables.
PMID- 29659870
TI - Corrigendum.
PMID- 29659871
TI - Rare Variants in the Gene ALPL That Cause Hypophosphatasia Are Strongly
Associated With Ovarian and Uterine Disorders.
AB - Context: Mutations in alkaline phosphatase (AlkP), liver/bone/kidney (ALPL),
which encodes tissue-nonspecific isozyme AlkP, cause hypophosphatasia (HPP). HPP
is suspected by a low-serum AlkP. We hypothesized that some patients with bone or
dental disease have undiagnosed HPP, caused by ALPL variants. Objective: Our
objective was to discover the prevalence of these gene variants in the Vanderbilt
University DNA Biobank (BioVU) and to assess phenotypic associations. Design: We
identified subjects in BioVU, a repository of DNA, that had at least one of three
known, rare HPP disease-causing variants in ALPL: rs199669988, rs121918007,
and/or rs121918002. To evaluate for phenotypic associations, we conducted a
sequential phenome-wide association study of ALPL variants and then performed a
de-identified manual record review to refine the phenotype. Results: Out of
25,822 genotyped individuals, we identified 52 women and 53 men with HPP disease
causing variants in ALPL, 7/1000. None had a clinical diagnosis of HPP. For
patients with ALPL variants, the average serum AlkP levels were in the lower
range of normal or lower. Forty percent of men and 62% of women had documented
bone and/or dental disease, compatible with the diagnosis of HPP. Forty percent
of the female patients had ovarian pathology or other gynecological abnormalities
compared with 15% seen in controls. Conclusions: Variants in the ALPL gene cause
bone and dental disease in patients with and without the standard biomarker, low
plasma AlkP. ALPL gene variants are more prevalent than currently reported and
underdiagnosed. Gynecologic disease appears to be associated with HPP-causing
variants in ALPL.
PMID- 29659872
TI - Evaluating the microbiome of two sampling locations in the nasal cavity of cattle
with bovine respiratory disease complex (BRDC).
AB - Bovine respiratory disease complex (BRDC) is a multifactor disease, and disease
incidence may be associated with an animal's commensal bacterial populations
(microbiome) in the upper nasal cavity. Identifying these commensal bacterial
populations in the upper nasal cavity may help us to understand the impact of the
microbiome on incidence of BRDC in cattle. Various sampling techniques have
previously been utilized to evaluate the microbiome of different locations of the
upper nasal cavity in cattle. Therefore, our objective was to determine whether
bacterial populations of the nasal cavity vary based on these sampling locations.
Two common sampling techniques were evaluated, including 6-inch nasal swabs and
deep nasopharyngeal swabs. Nasal swabs from calves were collected when the animal
was diagnosed with BRDC after weaning in the feedlot in addition to collection of
samples from asymptomatic cohorts. Samples were pooled in groups based on year
the animal was in the feedlot (2015 or 2016), when the animal was diagnosed with
BRDC (1 to 5 weeks after weaning), type of sample (6-inch nasal swab or deep
nasopharyngeal swab), and health status (diagnosis with BRDC or control).
Variable regions 1 through 3 along the 16S rRNA gene were amplified by PCR and
sequenced using next-generation sequencing (Illumina MiSeq) for identification of
the bacterial taxa present. Overall, sampling site did not consistently influence
diversity of the bacterial populations of the upper nasal cavity. However, the
effect of disease incidence on the microbiome was depended on sampling time after
weaning (P = 0.0462) for 2015, while the main effects of sampling time after
weaning (P = 0.00992) and disease phenotype (P = 0.012) were significant for
2016. These data for 2016 demonstrate that in addition to bacterial profiles
changing throughout weaning, calves diagnosed with BRDC have different bacterial
profiles compared to their control cohorts. In addition, evaluation of the
microbiome identified predominant bacteria genera in the upper nasal cavity
included those previously reported to be associated with cattle diagnosed with
BRDC including Mycoplasma sp., Psychrobacter sp., and Mannheimia sp. In summary,
these results demonstrate that shorter, less invasive 6-inch nasal swabs produce
similar results to deep nasopharyngeal swabs.
PMID- 29659873
TI - Two new Salmonella genomic islands 1 from Proteus mirabilis and description of
blaCTX-M-15 on a variant (SGI1-K7).
AB - Objectives: To characterize the structure of Salmonella genomic islands 1 (SGI1s)
from two clinical Proteus mirabilis isolates: one producing an ESBL and the other
a penicillinase. Methods: WGS completed by PCR and Sanger sequencing was
performed to determine sequences of SGI1s from Pm2CHAMA and Pm37THOMI strains.
Results: Two new variants of SGI1 named SGI1-Pm2CHAMA (53.6 kb) and SGI1-K7 (55.1
kb) were identified. The backbone of SGI1-Pm2CHAMA shared 99.9% identity with
that of SGI1. Its MDR region (26.3 kb) harboured two class 1 integrons (an In2
type integron and an In4-type integron) containing in particular a qacH cassette
(encoding a quaternary ammonium compound efflux pump). These two integrons framed
a complex region (harbouring among others blaCARB-4) resulting from transposon
insertions mediated by IS26 and successive transposition events of ISs (ISAba14
isoform and the new ISPmi2). The second variant (SGI1-K7) had the same backbone
as SGI1-K. Its MDR region (29.7 kb) was derived from that of SGI1-K and was
generated by three events. The two main events were mediated by IS26: inversion
of a large portion of the MDR region of SGI1-K and insertion of a structure
previously reported on plasmids carried by prevalent and successful MDR clones of
Enterobacteriaceae. This last event led to the insertion of the blaCTX-M-15 gene
into SGI1-K7. Conclusions: This study confirmed the great plasticity of the MDR
region of SGI1 and its potential key role for the dissemination of clinically
significant antibiotic resistance among Enterobacteriaceae.
PMID- 29659874
TI - Carfentanil in Impaired Driving Cases and the Importance of Drug Seizure Data.
AB - Drug seizures containing carfentanil continue to increase in Palm Beach County,
FL, USA despite international efforts to control the distribution of the drug.
The analysis of drug seizures from the county in 2016 and 2017 demonstrated that
carfentanil was the most commonly identified fentanyl analog and was most often
detected in combination with heroin, fentanyl, furanyl fentanyl and/or other
fentanyl analogs. Carfentanil is an ultra-potent opioid requiring a method with
adequate sensitivity for detection in blood specimens from impairment cases.
Previous research indicated that carfentanil could not be identified in
biological specimens by routine drug testing protocols and that detection
requires targeted analysis with greater sensitivity. Due to the prevalence of
carfentanil in drug seizures, a sensitive targeted qualitative method by liquid
chromatography tandem mass spectrometry in antemortem blood samples was
evaluated, validated and implemented. The method included identification of
carfentanil, acetyl fentanyl, beta-hydroxythiofentanyl, butyryl fentanyl,
fentanyl, furanyl fentanyl, kavain, mitragynine, MT-45 and U-47700. In 2017
carfentanil was the second most frequently detected drug, after ethanol, in
driving under the influence blood cases. Of all blood cases in which drug testing
was performed (n = 145), carfentanil was detected in 38% followed by alprazolam
(29%), fentanyl (27%), delta-9-tetrahydrocannabinol (24%) and morphine (23%). In
toxicology cases carfentanil was rarely identified alone (only four cases) and
was most commonly identified with other opioids (73% of cases), benzodiazepines
(43%) and stimulants (29%). The high incidence of carfentanil-positive cases
detected by this method underscores the importance of continually monitoring
regional drug seizure trends, and evaluating the adequacy of toxicology testing
panels based on these trends.
PMID- 29659875
TI - Sensitive and simultaneous determination of nine anticoagulant rodenticides in
human blood by UPLC-MS-MS with phospholipid removal pretreatment.
AB - A sensitive and rapid method for the simultaneous determination of nine
anticoagulant rodenticides (ARs) in human blood is reported herein. The method
involves phospholipid removal pretreatment for reduced matrix effect (ME) and
detection with ultra-performance liquid chromatography coupled with tandem mass
spectrometry. Satisfactory recoveries were achieved ranging from 80.6% to 113.1%
for the nine analytes, with the intra-day relative standard deviations (RSDs) in
the range of 3.4-7.9% and inter-day RSDs in the range of 4.1-8.3%, indicating
good precision. Linear relationships with correlation coefficients above 0.998 (n
= 6) were found in the range of 1-2,000 ng/mL. High sensitivity was achieved with
limits of detection ranging from 0.02 to 0.3. The application of phospholipid
removal step significantly optimized the ME, and the reduction of ME ranged from
6.1% to 15.5%. This method was successfully applied to the determination of ARs
for blood samples from real forensic cases. These results prove that this method
is reliable for rapid forensic and clinical diagnosis. The removal capabilities
for five representative phospholipids that are abundant in blood were evaluated
individually with PhreeTM phospholipid removal plates. While significant
capabilities for phospholipid removal were confirmed, the results showed that the
removal capability for certain phospholipid could be improved.
PMID- 29659876
TI - Effects of Lactobacillus reuteri LR1 on the growth performance, intestinal
morphology, and intestinal barrier function in weaned pigs.
AB - The objective of this study was to investigate the effects of Lactobacillus
reuteri LR1, a new strain isolated from the feces of weaned pigs, on the growth
performance, intestinal morphology, immune responses, and intestinal barrier
function in weaned pigs. A total of 144 weaned pigs (Duroc * Landrace *
Yorkshire, 21 d of age) with an initial BW of 6.49 +/- 0.02 kg were randomly
assigned to 3 dietary treatments with 8 replicate pens, each of per treatment and
6 pigs. Pigs were fed a basal diet (CON, controls), the basal diet supplemented
with 100 mg/kg olaquindox and 75 mg/kg aureomycin (OA) or the basal diet
supplemented with 5 * 1010 cfu/kg L. reuteri LR1 for a 14-d period. At the end of
study, the ADG, ADFI, and G:F were calculated, and 1 randomly selected pig from
each pen was euthanized for sample collection. The LR1 increased ADG (22.73%, P <
0.05) compared with CON. The villus height of the ileum was increased (P < 0.05)
and crypt depth in duodenum was reduced (P < 0.05), along with increased (P <
0.05) villus height to crypt depth ratio of the jejunum and ileum by LR1 compared
with CON and OA. LR1 increased (P < 0.05) ileal mucosal content of IL-22 and
transforming growth factor-beta compared with OA. Compared with CON, LR1
increased (P < 0.05) and OA decreased (P < 0.05) the ileal content of secretory
immunoglobulin A (sIgA), and the abundance of transcripts of porcine beta
defensin 2 and protegrin 1-5. Compared with CON, LR1 increased (P < 0.05) tight
junction protein zonula occludens-1 and occludin transcripts in the mucosa of the
jejunum and ileum, and those of mucin-2 in ileal mucosa. The relative expression
of toll-like receptor 2 (TLR2) and TLR4 were increased (P < 0.05) in ileal mucosa
in pigs fed LR1 compared with CON. In conclusion, these data indicated that
dietary LR1 supplementation at 5 * 1010 cfu/kg improved growth performance,
intestinal morphology, and intestinal barrier function in weaned pigs.
PMID- 29659877
TI - Cytosolic phosphoenolpyruvate carboxykinase is a response gene involved in
porcine adipocyte adaptation to heat stress.
AB - Heat stress (HS) leads to increased lipid storage and expression of cytosolic
phosphoenolpyruvate carboxykinase (PCK1) in pig adipocytes. However, the
importance of PCK1 activation and lipid storage in the adaptive response to HS is
unknown. Therefore, in vitro experiments were conducted to investigate the effect
of PCK1 inhibition with 3-mercaptopicolinic acid (3MPA) on lipid storage and
adipocyte response during HS. In vitro culture of adipocytes under HS (41.0
degrees C) increased (P < 0.05) triacylglycerol accumulation compared with
control (37.0 degrees C). HS increased (P < 0.05) reactive oxygen species level
and 3MPA further upregulated (P < 0.05) its level. Heat shock protein 70 (HSP70)
gene expression was induced (P < 0.05) by HS compared to control, and PCK1
inhibition with 3MPA attenuated (P < 0.05) its induction by HS. The endoplasmic
reticulum (ER) stress markers, C/EBP homologous protein (CHOP) was also
upregulated by HS and 3MPA further upregulated (P < 0.05) CHOP mRNA level. These
results suggest that with inhibition of PCK1 during HS, in vitro cultured
adipocytes were less able to induce adaptive responses such as upregulation of
HSP70 and triglycerides, and this exacerbated ER stress during HS. Thus, PCK1 may
function to alleviate ER stress that occurs during HS.
PMID- 29659878
TI - Retail stability of three beef muscles from grass-, legume-, and feedlot-finished
cattle.
AB - This study aimed to determine the influence of finishing diet on beef appearance
and lipid oxidation of three beef muscles. A total of 18 Angus steers were
selected from three diet treatments: grass-finished (USUGrass), legume-finished
(USUBFT), and grain-finished (USUGrain). After processing, longissimus thoracis
(LT), triceps brachii (TB), and gluteus medius (GM) steaks were evaluated over a
7-d display period. A muscle * diet interaction was observed for instrumental
lightness (L*) and redness (a*) (P <= 0.001). Within each combination, USUGrass
was considered darker with lower (P < 0.05) L* compared with USUGrain. For
USUBFT, L* was similar to USUGrain for the TB and LT, while the L* of USUBFT and
USUGrain GM differed (P < 0.05). In terms of redness, LT a* values were elevated
(P < 0.05) in USUGrass compared with USUBFT and USUGrain. For GM steaks, a* of
USUBFT and USUGrass were each greater (P < 0.05) than USUGrain. Surface a* of TB
steaks were greatest (P < 0.05) for USUGrass followed by USUBFT, and with
USUGrain, being lowest (P < 0.05). An overall increase in L* was observed
throughout display dependent on diet (P = 0.013). During display, USUGrain steaks
had the greatest (P < 0.05) L* followed by USUBFT and USUGrass. Additionally, a
day * muscle interaction was observed for a* (P = 0.009). Initially, TB steaks
had the greatest (P < 0.05) a* values. However, at day 3, a* values were similar
(P > 0.05) among muscles. Visual color scores were in agreement with loss of
redness (a*) during display, dependent on diet and muscle type (P < 0.001).
Similarly, a day * diet * muscle interaction was observed for visual
discoloration (P < 0.001). Day and diet interacted to influence thiobarbituric
acid reactive substances (TBARS) (P < 0.001). Initial values did not differ (P >
0.05) between USUGrain and USUBFT; however, USUGrass had lower initial (P < 0.05)
TBARS than both USUGrain and USUBFT. At days 3 and 7, TBARS were greatest (P <
0.05) in USUGrain steaks, followed by USUBFT, which was greater (P < 0.05) than
USUGrass. A diet * muscle interaction was observed for 10 volatile compounds
originating from lipid degradation (P <= 0.013). These compounds were less (P <
0.05) abundant in USUGrass compared to TB or GM of USUGrain. This study
determined grass-finished beef to have a darker more red color and less lipid
oxidation in multiple muscles. Possible mechanisms for this may include an
increase in endogenous antioxidants in grass-finished beef.
PMID- 29659879
TI - Orlistat Therapy for Children With Type 1 Hyperlipoproteinemia: A Randomized
Clinical Trial.
AB - Context: Patients with type 1 hyperlipoproteinemia (T1HLP), a rare genetic
disorder, have extreme chylomicronemia and recurrent episodes of acute
pancreatitis. Currently, the only therapeutic option is to consume an extremely
low-fat diet because the triglyceride-lowering medications are not efficacious.
Objective: To determine the efficacy of orlistat, a gastric and pancreatic lipase
inhibitor, in reducing serum triglyceride levels in patients with T1HLP. Design
and Setting: We conducted a randomized, open-label, clinical trial with a four
period, two-sequence ("orlistat" and "off orlistat" for 3 months), crossover
study design. Patients: Two unrelated young Asian Indian males (11 and 9 years
old) with T1HLP due to homozygous large GPIHBP1 deletions were enrolled at the UT
Southwestern Medical Center. The patients were randomized to receive 3 months of
orlistat or no therapy (off), then crossed over to the other arm, and this
sequence was then repeated. Fasting serum triglyceride levels, fat-soluble
vitamins, and gastrointestinal side effects were assessed. Results: Compared with
the two off periods, orlistat therapy reduced serum triglycerides by 53.3% and
53.0% in patient 1 and 45.8% and 62.2% in patient 2. There was no deficiency of
fat-soluble vitamin levels, and their growth continued. There were no serious
adverse effects of orlistat; patient 1 had a mild increase in passage of gas and
bloating, and patient 2 had constipation with mild stool leakage. Conclusion:
Orlistat is safe and highly efficacious in lowering serum triglycerides in
children with T1HLP and should be the first-line therapy in conjunction with an
extremely low-fat diet.
PMID- 29659880
TI - Eight Years of Clinical Legionella PCR Testing Illustrates a Seasonal Pattern.
PMID- 29659881
TI - Results from the Survey of Antibiotic Resistance (SOAR) 2014-16 in Russia.
AB - Objectives: To determine antibiotic susceptibility in isolates of Streptococcus
pneumoniae and Haemophilus influenzae collected in 2014-16 from Russia. Methods:
MICs were determined by CLSI broth microdilution and susceptibility was assessed
using CLSI, EUCAST and pharmacokinetic/pharmacodynamic (PK/PD) breakpoints.
Results: A total of 279 S. pneumoniae and 279 H. influenzae were collected.
Overall, 67.0% of S. pneumoniae were penicillin susceptible by CLSI oral/EUCAST
and 93.2% by CLSI intravenous (iv) breakpoints. All were fluoroquinolone
susceptible, with amoxicillin, amoxicillin/clavulanic acid and ceftriaxone
susceptibility >=92.8% by CLSI and PK/PD breakpoints. Isolates showed lower
susceptibility to cefuroxime, cefaclor, macrolides and
trimethoprim/sulfamethoxazole by CLSI criteria: 85.0%, 76.7%, 68.8% and 67.7%,
respectively. Generally, susceptibility was slightly lower by EUCAST criteria,
except for cefaclor, for which the difference in susceptibility was much greater.
Penicillin-resistant isolates had low susceptibility (<=60%) to all agents except
fluoroquinolones. All 279 H. influenzae were ceftriaxone susceptible, 15.4% were
beta-lactamase positive and >=97.5% were amoxicillin/clavulanic acid susceptible
(CLSI, EUCAST and PK/PD breakpoints). Four isolates were fluoroquinolone non
susceptible by current EUCAST criteria. A major discrepancy was found with
azithromycin susceptibility between CLSI (99.3%) and EUCAST and PK/PD (2.2%)
breakpoints. Trimethoprim/sulfamethoxazole was poorly active (62.7% susceptible).
Conclusions: Susceptibility to penicillin (oral), macrolides and
trimethoprim/sulfamethoxazole was low in S. pneumoniae from Russia. However,
isolates were fully susceptible to fluoroquinolones and >=92.8% were susceptible
to amoxicillin, amoxicillin/clavulanic acid and ceftriaxone. Isolates of H.
influenzae only showed reduced susceptibility to ampicillin, cefaclor,
clarithromycin and trimethoprim/sulfamethoxazole. Some differences were detected
between CLSI, EUCAST and PK/PD breakpoints, especially with cefaclor, cefuroxime
and macrolides. These data suggest further efforts are required to harmonize
international breakpoints.
PMID- 29659882
TI - Results from the Survey of Antibiotic Resistance (SOAR) 2014-16 in Bulgaria,
Romania, Serbia and Croatia.
AB - Objectives: To determine antibiotic susceptibility of isolates of Streptococcus
pneumoniae (n = 573) and Haemophilus influenzae (n = 345) collected in 2014-16
from Bulgaria, Romania, Serbia and Croatia. Methods: MICs were determined by CLSI
broth microdilution and susceptibility was assessed using CLSI, EUCAST and
pharmacokinetic/pharmacodynamic (PK/PD) breakpoints. Results: Among S.
pneumoniae, susceptibility was generally lowest in Romania and Serbia and highest
in Bulgaria. Rates of susceptibility to penicillin (CLSI oral or EUCAST) were
22.3% and 21.8% in Romania and Serbia respectively, 57% in Croatia and 86.6% in
Bulgaria. Similarly, macrolide susceptibility using CLSI/EUCAST breakpoints was
low in Romania and Serbia (~28% and 34.5%, respectively), higher in Croatia
(55.9%) and highest in Bulgaria (~75%). Only fluoroquinolones were active against
all isolates in all four countries. Susceptibility was higher and variability
across countries less pronounced for H. influenzae. Susceptibility by CLSI
criteria to amoxicillin/clavulanic acid, azithromycin, cefuroxime, ceftriaxone
and fluoroquinolones was >=98% in all countries. Ampicillin susceptibility ranged
from 85.3% in Romania to 100% in Bulgaria. Much greater variability was seen
across breakpoints. Susceptibility to azithromycin and cefuroxime using CLSI
criteria was >=98% in all four countries, but was 0%-1% by EUCAST criteria.
Conclusions: The variability in antimicrobial susceptibility using different
breakpoints makes it difficult for clinicians to interpret antimicrobial
resistance data, and efforts should be made to harmonize breakpoints. The
variability found across the four neighbouring countries demonstrates the need to
monitor and publish national and local resistance patterns. These findings
provide information critical for the selection of appropriate antimicrobial
agents for the treatment of S. pneumoniae and H. influenzae.
PMID- 29659883
TI - Results from the Survey of Antibiotic Resistance (SOAR) 2014-16 in the Czech
Republic.
AB - Objectives: To determine the antibiotic susceptibility of isolates of
Streptococcus pneumoniae and Haemophilus influenzae collected in 2014-16 from
patients with community-acquired respiratory infections in the Czech Republic.
Methods: MICs were determined by CLSI broth microdilution and susceptibility was
assessed using CLSI, EUCAST and pharmacokinetic/pharmacodynamic (PK/PD)
breakpoints. Results: S. pneumoniae isolates (n = 200) showed high rates of
susceptibility (>95%) to amoxicillin, amoxicillin/clavulanic acid, penicillin
[intravenous (iv) non-meningitis], ceftriaxone, cefuroxime and the
fluoroquinolones using CLSI breakpoints. Susceptibility to cefaclor and
trimethoprim/sulfamethoxazole was 94%-94.5%, to penicillin (oral) 91.5% and to
the macrolides 89.5%. Susceptibility of H. influenzae (n = 197) to
amoxicillin/clavulanic acid, ceftriaxone, cefuroxime, azithromycin and the
fluoroquinolones was >=98% by CLSI criteria. Rates of susceptibility to the
remaining agents were >=75% except for clarithromycin at 37.1%. Great variability
was seen across breakpoints, especially for the macrolides, cefaclor and
cefuroxime (oral), 98.0% of H. influenzae showing susceptibility to the latter by
CLSI criteria, 69.5% by PK/PD and 1.5% by EUCAST standards. The beta-lactamase
rate was 13.7% with no beta-lactamase-negative-ampicillin-resistant (BLNAR)
isolates by CLSI criteria. Conclusions: Antibiotic resistance among the two major
respiratory pathogens remained low in the Czech Republic. These findings support
local clinicians in continuing the historically restrictive use of antibiotics in
the Czech Republic, with selection of narrower-spectrum agents for the empirical
therapy of community-acquired respiratory tract infections. This highlights one
of the great benefits of continuous surveillance of antimicrobial resistance:
knowledge of current local resistance patterns reduces the need to choose broad
spectrum agents that contribute to increasing resistance worldwide.
PMID- 29659884
TI - Results from the Survey of Antibiotic Resistance (SOAR) 2014-16 in Greece.
AB - Objectives: To determine antimicrobial susceptibility in isolates of
Streptococcus pneumoniae and Haemophilus influenzae collected in 2014-16 from
patients with community-acquired respiratory tract infections in Greece. Methods:
MICs were determined by CLSI broth microdilution and susceptibility assessed
using CLSI, EUCAST and pharmacokinetic/pharmacodynamic (PK/PD) breakpoints.
Results: A total of 99 S. pneumoniae and 52 H. influenzae isolates were
collected. Overall, 36.4% of S. pneumoniae were penicillin susceptible by CLSI
oral/EUCAST and 88.9% by CLSI intravenous (iv) breakpoints. All were
fluoroquinolone susceptible with >=94% of isolates also susceptible to
amoxicillin, amoxicillin/clavulanic acid and ceftriaxone by CLSI and PK/PD
breakpoints. Trimethoprim/sulfamethoxazole, cefuroxime, cefaclor and macrolides
were less active, with rates of susceptibility of 83.8%, 69.7%, 50.5% and 49.5%,
respectively, by CLSI. Generally susceptibility was the same or slightly lower by
EUCAST, but the cefaclor difference was much greater. Among H. influenzae, 15.4%
of isolates were beta-lactamase positive. Susceptibility to
amoxicillin/clavulanic acid, ceftriaxone, cefuroxime and the fluoroquinolones was
seen in >95% of isolates by CLSI criteria. Susceptibility to azithromycin was
seen in 94.2% of isolates using CLSI breakpoints, but clarithromycin
susceptibility was lower (61.5%). However, susceptibility to both macrolides was
seen in <5% of isolates by PK/PD and EUCAST criteria. Susceptibility to
trimethoprim/sulfamethoxazole was seen in 71.2% of isolates. Conclusions: Owing
to the high prevalence of macrolide resistance among S. pneumoniae and the
reduced activity of clarithromycin against H. influenzae, it appears that these
agents are not appropriate as monotherapy for community-acquired pneumonia in
Greece. Amoxicillin/clavulanic acid, on the other hand, maintained excellent in
vitro activity and, as opposed to the similarly effective fluoroquinolones, is
safe to use in paediatric patients.
PMID- 29659885
TI - Results from the Survey of Antibiotic Resistance (SOAR) 2014-16 in Ukraine and
the Slovak Republic.
AB - Objectives: To determine antibiotic susceptibility in isolates of Streptococcus
pneumoniae and Haemophilus influenzae collected in 2014-16 from Ukraine and the
Slovak Republic. Methods: MICs were determined by CLSI broth microdilution and
susceptibility was assessed using CLSI, EUCAST and
pharmacokinetic/pharmacodynamic (PK/PD) breakpoints. Results: S. pneumoniae
isolates collected in Ukraine (n = 100) showed susceptibility rates >=97% for
amoxicillin, amoxicillin/clavulanic acid, penicillin [intravenous (iv) non
meningitis] and fluoroquinolones, between 83% and 86% for oral penicillin,
macrolides and cefaclor, and 75% for trimethoprim/sulfamethoxazole.
Susceptibility was substantially lower in the Slovak Republic (n = 95). All
isolates were susceptible to the fluoroquinolones, but susceptibility to
penicillin, amoxicillin, amoxicillin/clavulanic acid, cefuroxime and
trimethoprim/sulfamethoxazole varied between 61% and 64%, with only 44% of
isolates susceptible to the macrolides. Susceptibility of H. influenzae was more
homogeneous, with susceptibility to amoxicillin/clavulanic acid, ceftriaxone,
cefuroxime, azithromycin and the fluoroquinolones seen in >90% of isolates by
CLSI criteria in both countries. Much greater variability was seen across
breakpoints, especially for azithromycin, cefaclor and cefuroxime. The beta
lactamase rate was 5.1% (5/98) in the Slovak Republic and 7.3% (7/96) in Ukraine,
but the Slovak Republic also had a relatively high rate of beta-lactamase
negative-ampicillin-resistant (BLNAR) isolates (7.1%; 7/98). Conclusions: The
variability found across these two neighbouring countries illustrates the need to
monitor and publish national and local resistance patterns. This information is
not only critical for effective empirical therapy but can also be used to help
shape and support antimicrobial stewardship efforts in order to limit antibiotic
resistance.
PMID- 29659886
TI - Bone Parameters in Anorexia Nervosa and Athletic Amenorrhea: Comparison of Two
Hypothalamic Amenorrhea States.
AB - Objective: We have reported low bone mineral density (BMD), impaired bone
structure, and increased fracture risk in participants with anorexia nervosa (AN)
and normal-weight oligoamenorrheic athletes (OAs). However, data directly
comparing compartment-specific bone parameters in participants with AN, OAs, and
controls are lacking. Design: A total of 468 female participants 14 to 21.9 years
old were included: 269 with AN, 104 OAs, and 95 normal-weight eumenorrheic
controls. Dual-energy x-ray absorptiometry was used to assess areal BMD (aBMD) of
the whole body less head (WBLH), spine, and hip. High-resolution peripheral
quantitative computed tomography was used to assess volumetric BMD (vBMD), bone
geometry, and structure at the non-weight-bearing distal radius and weight
bearing distal tibia. Results: Participants with AN had lower WBLH and hip aBMD z
scores than OAs and controls (P < 0.0001). Participants with AN and OAs had lower
spine aBMD z scores than controls (P < 0.01). At the radius, total and cortical
vBMD, percentage cortical area, and thickness were lower in the AN and OA groups
than in controls (P <= 0.04); trabecular vBMD was lower in participants with AN
than controls. At the tibia, participants with AN had lower measures for most
parameters compared with OAs and controls (P < 0.05); OAs had lower cortical vBMD
than controls (P = 0.002). Participants with AN and OAs had higher fracture rates
than controls. Stress fracture prevalence was highest in OAs (P < 0.0001);
nonstress fracture prevalence was highest in participants with AN (P < 0.05).
Conclusion: AN is deleterious to bone at all sites and both bone compartments. A
high stress fracture rate in OAs, who have comparable WBLH and hip aBMD measures
to controls, indicates that BMD in these women may need to be even higher to
avoid fractures.
PMID- 29659887
TI - Homeostasis Model Assessment of Insulin Resistance and Survival in Patients With
Diabetes and Acute Coronary Syndrome.
AB - Objective: Insulin resistance has been linked to development and progression of
atherosclerosis and is present in most patients with type 2 diabetes. Whether the
degree of insulin resistance predicts adverse outcomes in patients with type 2
diabetes and acute coronary syndrome (ACS) is uncertain. Design: The Effect of
Aleglitazar on Cardiovascular Outcomes after Acute Coronary Syndrome in Patients
with Type 2 Diabetes Mellitus trial compared the peroxisome proliferator
activated receptor-alpha/gamma agonist aleglitazar with placebo in patients with
type 2 diabetes and recent ACS. In participants not treated with insulin, we
determined whether baseline homeostasis model assessment of insulin resistance
(HOMA-IR; n = 4303) or the change in HOMA-IR on assigned study treatment (n =
3568) was related to the risk of death or major adverse cardiovascular events
(cardiovascular death, myocardial infarction, and stroke) in unadjusted and
adjusted models. Because an inverse association of HOMA-IR with N-terminal pro-B
type natriuretic peptide (NT-proBNP) has been described, we specifically examined
effects of adjustment for the latter. Results: In unadjusted analysis, twofold
higher baseline HOMA-IR was associated with lower risk of death [hazard ratio
(HR): 0.79, 95% CI: 0.68 to 0.91, P = 0.002]. Adjustment for 24 standard
demographic and clinical variables had minimal effect on this association.
However, after further adjustment for NT-proBNP, the association of HOMA-IR with
death was no longer present (adjusted HR: 0.99, 95% CI: 0.83 to 1.19, P = 0.94).
Baseline HOMA-IR was not associated with major adverse cardiovascular events, nor
was the change in HOMA-IR on study treatment associated with death or major
adverse cardiovascular events. Conclusions: After accounting for levels of NT
proBNP, insulin resistance assessed by HOMA-IR is not related to the risk of
death or major adverse cardiovascular events in patients with type 2 diabetes and
ACS.
PMID- 29659888
TI - Randomized, Placebo-Controlled Trial to Evaluate Effects of Eplerenone on
Metabolic and Inflammatory Indices in HIV.
AB - Context: HIV-infected individuals demonstrate increased renin-angiotensin
aldosterone system activation in association with visceral adiposity, insulin
resistance, and inflammation. A physiologically based treatment approach
targeting mineralocorticoid receptor (MR) blockade may improve metabolic and
inflammatory indices in HIV. Objective: To investigate effects of eplerenone on
insulin sensitivity, inflammatory indices, and other metabolic parameters in HIV.
Design: Six-month, double-blind, randomized, placebo-controlled trial. Setting:
Academic clinical research center. Participants: HIV-infected individuals with
increased waist circumference and abnormal glucose homeostasis. Intervention:
Eplerenone 50 mg or placebo daily. Outcome: The primary end point was change in
insulin sensitivity measured by the euglycemic-hyperinsulinemic clamp technique.
Secondary end points included change in body composition and inflammatory
markers. Results: Forty-six individuals were randomized to eplerenone (n = 25) vs
placebo (n = 21). Eplerenone did not improve insulin sensitivity [0.48 (-1.28 to
1.48) vs 0.43 (-1.95 to 2.55) mg/min/MUIU/mL insulin; P = 0.71, eplerenone vs
placebo] when measured by the gold standard euglycemic-hyperinsulinemic clamp
technique. Intramyocellular lipids (P = 0.04), monocyte chemoattractant protein-1
(P = 0.04), and high-density lipoprotein (P = 0.04) improved among those
randomized to eplerenone vs placebo. Trends toward decreases in interleukin-6 (P
= 0.10) and high-sensitivity C-reactive protein (P = 0.10) were also seen with
eplerenone vs placebo. Plasma renin activity and aldosterone levels increased in
the eplerenone vs placebo-treated group, demonstrating expected physiology. MR
antagonism with eplerenone was well tolerated among the HIV population, with no
considerable changes in blood pressure or potassium. Conclusion: MR blockade may
improve selected metabolic and inflammatory indices in HIV-infected individuals.
Further studies are necessary to understand the clinical potential of MR
antagonism in HIV.
PMID- 29659889
TI - Anti-Ebola Virus Antibody Levels in Convalescent Plasma and Viral Load After
Plasma Infusion in Patients With Ebola Virus Disease.
AB - Background: Ebola virus (EBOV) neutralizing antibody in plasma may reduce viral
load following administration of plasma to patients with Ebola virus disease
(EVD), but measurement of these antibodies is complex. Methods: Anti-EBOV
antibody was measured by 2 neutralization and 2 enzyme-linked immunosorbent
assays (ELISAs) in convalescent plasma (ECP) from 100 EVD survivor donors in
Liberia. Viral load was assessed repetitively in patients with EVD participating
in a clinical trial of enhanced standard of care plus ECP. Results: All 4 anti
EBOV assays were highly concordant for detection of EBOV antibody. Antibodies
were not detected in plasma specimens obtained from 15 of 100 donors, including 7
with documented EBOV-positive reverse-transcription polymerase chain reaction
during EVD. Viral load was reduced following each dose in the 2 clinical trial
participants who received ECP with higher antibody levels but not in the 2 who
received ECP with lower antibody levels. Conclusions: Recovery from EVD can occur
with absence of detectable anti-EBOV antibody several months after disease onset.
ELISAs may be useful to select ECP donors or identify ECP units that contain
neutralizing antibody. ECP with higher anti-EBOV antibody levels may have greater
effect on EBOV load-an observation that requires further investigation. Clinical
Trials Registration: NCT02333578.
PMID- 29659890
TI - Postharvest Irradiation Treatment for Quarantine Control of Western Flower Thrips
(Thysanoptera: Thripidae).
AB - The western flower thrips, Frankliniella occidentalis (Pergande) (Thysanoptera:
Thripidae), is an important pest of fresh horticultural produce and as such is
considered a biosecurity risk in many countries from which it is absent.
Information is needed on the radiation tolerance of important surface pests of
quarantine importance such as F. occidentalis so that phytosanitary irradiation
treatments for exported fresh commodities can be lowered to below the 400 Gy
generic treatment currently approved for most insects in the United States and
Australia. Lowering the dose will help minimize any product quality problems,
reduce costs, and shorten treatment time. In large-scale confirmatory trials
conducted in two independent laboratories in Hawaii and Australia, a dose of 250
Gy (measured doses 222-279 Gy) applied to adult F. occidentalis on green beans
resulted in no reproduction in 5,050 treated individuals. At 250 Gy, the
effective dose is significantly below the 400 Gy generic dose, demonstrating that
irradiation at this lowered level is an effective method for the disinfestation
of F. occidentalis from fresh horticultural produce.
PMID- 29659891
TI - Sampling Transgenic Corn Producing Bt Toxins for Corn Earworm Injury.
AB - Transgenic corn, Zea mays L., hybrids expressing insecticidal proteins from
Bacillus thuringiensis (Bt) and non-Bt near isolines were sampled for injury from
Helicoverpa zea (Boddie) in North and South Carolina from 2012 to 2017. A total
of 7,260 ears were sampled, with an average kernel injury from H. zea feeding of
1.22 +/- 0.02 (SEM) cm2. The chi2 statistics for observed and Poisson predicted
distributions of the area of kernel injury indicated nonrandom distributions for
all hybrids (P < 0.0001), which were confirmed by all variance to mean ratios
(ID) being significantly greater than one. Slopes b of Taylor's power law and
beta of Iwao's patchiness regressions for the area of kernel injury were all
either not significantly (P > 0.05) different from a value of one or
significantly (P < 0.05) less than a value of one. Within each family of hybrids,
relationships between proportions of ear samples with injury and area of kernel
injury were similar among Bt and non-Bt hybrids. For the same level of injury, to
reach a population estimate within 10% of the mean (Dx = 0.1), the number of
sample units required was large (>100), particularly at low levels of injury.
Sample sizes for estimates within 30% of the mean (Dx = 0.3) were considerable
smaller. Sample size for Bt hybrids relative to non-Bt hybrids varied with the
levels of injury and with family. Our study has provided the first sampling
recommendations for population estimates of H. zea injury to corn ears.
PMID- 29659892
TI - Effect of Physiological State on Female Melon Fly (Diptera: Tephritidae)
Attraction to Host and Food Odor in the Field.
AB - Foraging behavior of wild female melon fly, Bactrocera (Zeugodacus) cucurbitae
Coquillett, a worldwide pest of economically important cucurbit crops, was
examined through mark and recapture studies in both wild (Kona: dominated by the
invasive weed ivy gourd, Coccinea grandis [L.] Voigt [Cucurbitaceae]), and
cultivated (Kapoho: dominated by papaya, Carica papaya L. [Caricaceae] orchards)
habitats on Hawaii Island. In particular, the extent to which wild melon flies
and color-marked F2 females responded to cucumber odor and Solulys yeast
hydrolysate laced with ammonium acetate (1%, wt/vol) according to sexual maturity
stage and degree of protein hunger was documented. Kona results indicated that
more wild and color-marked F2 females responded to cucumber (Cucumis sativus L.
[Cucurbitaceae]) odor than to protein odor with the exception of captured wild
flies without eggs, which responded similarly to protein bait and cucumber odor.
Results with captured wild females and color-marked F2 females in Kapoho
suggested a significant preference for cucumber odor over protein odor regardless
of whether or not they had eggs in their ovaries with the exception of protein
deprived color-marked F2 females, which responded to both odors in equal numbers.
Implications of these new findings based on wild melon flies in natural habitats
are discussed with respect to integrated pest management control strategies with
protein bait sprays used in Hawaii. The possibility of adding cucurbit volatiles
to protein-based baits is discussed.
PMID- 29659893
TI - Temporal Mitogenomics of the Galapagos Giant Tortoise from Pinzon Reveals
Potential Biases in Population Genetic Inference.
AB - Empirical population genetic studies generally rely on sampling subsets of the
population(s) of interest and of the nuclear or organellar genome targeted,
assuming each is representative of the whole. Violations of these assumptions may
impact population-level parameter estimation and lead to spurious inferences.
Here, we used targeted capture to sequence the full mitochondrial genome from 123
individuals of the Galapagos giant tortoise endemic to Pinzon Island (Chelonoidis
duncanensis) sampled at 2 time points pre- and postbottleneck (circa 1906 and
2014) to explicitly assess differences in diversity estimates and demographic
reconstructions based on subsets of the mitochondrial genome versus the full
sequences and to evaluate potential biases associated with diversity estimates
and demographic reconstructions from postbottlenecked samples alone. Haplotypic
diversities were equal between the temporal samples based on the full
mitochondrial genome, but single gene estimates suggested either decreases or
increases in diversity depending upon the region. Demographic reconstructions
based on the full sequence were more similar between the temporal samples than
those based on the control region alone, or a subset of 3 regions, where the
trends in population size changes shifted in magnitude and direction between the
temporal samples. In all cases, the estimated coalescent point was more distant
for the historical than contemporary sample. In summary, our results empirically
demonstrate the influence of sampling bias when interpreting population genetic
patterns and punctuate the need for careful consideration of potentially
conflicting evolutionary signal across the mitochondrial genome.
PMID- 29659894
TI - Usability of American Sign Language Videos for Presenting Mathematics Assessment
Content.
AB - There is considerable interest in determining whether high-quality American Sign
Language videos can be used as an accommodation in tests of mathematics at both K
12 and postsecondary levels; and in learning more about the usability (e.g.,
comprehensibility) of ASL videos with two different types of signers - avatar
(animated figure) and human. The researchers describe the results of
administering each of nine pre-college mathematics items in both avatar and human
versions to each of 31 Deaf participants with high school and post-high school
backgrounds. This study differed from earlier studies by obliging the
participants to rely on the ASL videos to answer the items. While participants
preferred the human version over the avatar version (apparently due largely to
the better expressiveness and fluency of the human), there was no discernible
relationship between mathematics performance and signed version.
PMID- 29659895
TI - Identification of Differential Transcriptional Patterns in Primary and Secondary
Hyperparathyroidism.
AB - Context: Hyperparathyroidism is associated with hypercalcemia and the excess of
parathyroid hormone secretion; however, the alterations in molecular pattern of
functional genes during parathyroid tumorigenesis have not been unraveled. We
aimed at establishing transcriptional patterns of normal and pathological
parathyroid glands (PGs) in sporadic primary (HPT1) and secondary
hyperparathyroidism (HPT2). Objective: To evaluate dynamic alterations in
molecular patterns as a function of the type of PG pathology, a comparative
transcript analysis was conducted in subgroups of healthy samples, sporadic HPT1
adenoma and hyperplasia, and HPT2. Design: Normal, adenomatous, HPT1, and HPT2
hyperplastic PG formalin-fixed paraffin-embedded samples were subjected to
NanoString analysis. In silico microRNA (miRNA) analyses and messenger RNA-miRNA
network in PG pathologies were conducted. Individual messenger RNA and miRNA
levels were assessed in snap-frozen PG samples. Results: The expression levels of
c-MET, MYC, TIMP1, and clock genes NFIL3 and PER1 were significantly altered in
HPT1 adenoma compared with normal PG tissue when assessed by NanoString and
quantitative reverse transcription polymerase chain reaction. RET was affected in
HPT1 hyperplasia, whereas CaSR and VDR transcripts were downregulated in HPT2
hyperplastic PG tissue. CDH1, c-MET, MYC, and CaSR were altered in adenoma
compared with hyperplasia. Correlation analyses suggest that c-MET, MYC, and
NFIL3 exhibit collective expression level changes associated with HPT1 adenoma
development. miRNAs, predicted in silico to target these genes, did not exhibit a
clear tendency upon experimental validation. Conclusions: The presented gene
expression analysis provides a differential molecular characterization of PG
adenoma and hyperplasia pathologies, advancing our understanding of their
etiology.
PMID- 29659896
TI - Does Metformin Treatment During Pregnancy Modify the Future Metabolic Profile in
Women With PCOS?
AB - Context: Worldwide, metformin is prescribed to improve pregnancy outcome in
polycystic ovary syndrome (PCOS). Metformin may also benefit future health by
modulating increased metabolic stress during pregnancy. Objective: To investigate
whether metformin during pregnancy modified future metabolic health in women with
PCOS. Design: Follow-up study of a randomized controlled trial that compared
metformin with placebo in women with PCOS. Mean follow-up period was 7.7 years
(range, 5 to 11 years). Setting: Three university hospitals, seven local
hospitals, and one gynecological specialist practice. Participants: Women with
PCOS according to Rotterdam criteria; all former participants in the Metformin in
Pregnant PCOS Women Study. Intervention: Metformin 2000 mg daily or placebo from
first trimester to delivery in the original study. No intervention in the present
follow-up study. Main Outcomes and Measures: Main outcome measure was weight gain
in the follow-up period. Weight, body mass index (BMI), waist and hip
circumferences, and blood pressure (BP) were registered. Body composition was
assessed by bioelectrical impedance analysis, and fasting lipids, glucose, and
insulin were analyzed. Results: Of 239 invited women, 131 (55%) participated in
the follow-up. Weight gain was similar in women given metformin (2.1 +/- 10.5 kg)
and women given placebo (1.8 +/- 11.2 kg) at 7.7 years' follow-up after pregnancy
(P = 0.834). No difference was found in BMI, waist/hip ratio, BP, body
composition, lipids, glucose and insulin levels, or prevalence of metabolic
syndrome at follow-up between those treated with metformin and those treated with
placebo during pregnancy. Conclusion: Metformin treatment during pregnancy did
not influence the metabolic profile in women with PCOS at 7.7 years of follow-up.
PMID- 29659897
TI - Additional Screening and Treatment of Malaria During Pregnancy Provides Further
Protection Against Malaria and Nonmalarial Fevers During the First Year of Life.
AB - Background: Although consensus exists that malaria in pregnancy (MiP) increases
the risk of malaria in infancy, and eventually nonmalarial fevers (NMFs), there
is a lack of conclusive evidence of benefits of MiP preventive strategies in
infants. Methods: In Burkina Faso, a birth cohort study was nested to a clinical
trial assessing the effectiveness of a community-based scheduled screening and
treatment of malaria in combination with intermittent preventive treatment with
sulfadoxine-pyrimethamine (CSST/IPTp-SP) to prevent placental malaria. Clinical
episodes and asymptomatic infections were monitored over 1 year of follow-up to
compare the effect of CSST/IPTp-SP and standard IPTp-SP on malaria and NMFs.
Results: Infants born during low-transmission season from mothers receiving
CSST/IPTp-SP had a 26% decreased risk of experiencing a first clinical episode
(hazard ratio, 0.74 [95% confidence interval, .55-0.99]; P = .047). CSST/IPTp-SP
interacted with birth season and gravidity to reduce the incidence of NMFs. No
significant effects of CSST/IPTp-SP on the incidence of clinical episodes,
parasite density, and Plasmodium falciparum infections were observed.
Conclusions: Our findings indicate that CSST/IPTp-SP strategy may provide
additional protection against both malaria and NMFs in infants during the first
year of life, and suggest that malaria control interventions during pregnancy
could have long-term benefits in infants.
PMID- 29659899
TI - Reply to Rucinski et al.
PMID- 29659898
TI - Cholesterol Metabolism Is Enhanced in the Liver and Brain of Children With Citrin
Deficiency.
AB - Context: Citrin-deficient infants present neonatal intrahepatic cholestasis
caused by citrin deficiency (NICCD), which resolves at 12 months. Thereafter,
they have normal liver function associated with hypercholesterolemia, and a
preference for lipid-rich carbohydrate-restricted diets. However, some develop
adult-onset type II citrullinemia, which is associated with metabolic
abnormalities. Objectives: To identify the causes of hypercholesterolemia in
citrin-deficient children post-NICCD. Design and Setting: We determined the
concentrations of sterol markers of cholesterol synthesis, absorption, and
catabolism by liquid chromatography-electrospray ionization-tandem mass
spectrometry and evaluated serum lipoprotein profiles. Subjects: Twenty citrin
deficient children aged 5 to 13 years and 37 age-matched healthy children.
Intervention: None. Main Outcome Measures: Relationship between serum
lipoproteins and sterol markers of cholesterol metabolism. Results: The citrin
deficient group had a significantly higher high-density lipoprotein cholesterol
(HDL-C) concentration than did the control group (78 +/- 11 mg/dL vs 62 +/- 14
mg/dL, P < 0.001), whereas the two groups had similar low-density lipoprotein
cholesterol and triglyceride concentrations. The concentrations of markers of
cholesterol synthesis (lathosterol and 7-dehydrocholesterol) and bile acids
synthesis (7alpha-hydroxycholesterol and 27-hydroxycholesterol) were 1.5- to 2.8
fold and 1.5- to 3.9-fold, respectively, higher in the citrin-deficient group
than in the control group. The concentration of 24S-hydroxycholesterol, a marker
of cholesterol catabolism in the brain, was 2.5-fold higher in the citrin
deficient group. In both groups, the HDL-C concentration was significantly
positively correlated with that of 27-hydroxycholesterol, the first product of
the alternative bile acid synthesis pathway. Conclusions: HDL-C and sterol marker
concentrations are elevated in citrin-deficient children post-NICCD. Moreover,
cholesterol synthesis and elimination are markedly enhanced in the liver and
brain of citrin-deficient children.
PMID- 29659900
TI - Monitoring of the Cowpea Bruchid, Callosobruchus maculatus (Coleoptera:
Bruchidae), Feeding Activity in Cowpea Seeds: Advances in Sensing Technologies
Reveals New Insights.
AB - Cowpea provides a significant source of protein for over 200 million people in
Sub-Saharan Africa. The cowpea bruchid, Callosobruchus maculatus (F) (Coleoptera:
Bruchidae), is a major pest of cowpea as the larval stage attacks stored cowpea
grains, causing postharvest loss. Cowpea bruchid larvae spend all their time
feeding within the cowpea seed. Past research findings, published over 25 yr ago,
have shown that feeding activity of several bruchids within a cowpea seed emit
mechanical vibrations within the frequency range 5-75 kHz. This work led to the
development of monitoring technologies that are both important for basic research
and practical application. Here, we use newer and significantly improved
technologies to re-explore the nature of the vibration signals produced by an
individual C. maculatus, when it feeds in cowpea seeds. Utilizing broadband
frequency sensing, individual fourth-instar bruchid larvae feeding activities
(vibration events) were recorded to identify specific key emission frequencies.
Verification of recorded events and association to actual feeding activities was
achieved through mass measurements over 24 h for a series of replicates. The
measurements identified variable peak event emission frequencies across the
replicate sample set ranging in frequency from 16.4 to 26.5 kHz. A positive
correlation between the number of events recorded and the measured mass loss of
the cowpea seed was observed. The procedure and verification reported in this
work provide an improved basis for laboratory-based monitoring of single larval
feeding. From the rich dataset captured, additional analysis can be carried out
to identify new key variables of hidden bruchid larval activity.
PMID- 29659901
TI - Considerations on the Species Complex of the Cortelezzii series (Diptera:
Psychodidae) and Description of Evandromyia chacuensis sp. nov., a New
Phlebotomine Species of the Chaco Region, Argentina.
AB - A new species of phlebotomine sand fly is described and illustrated based on male
and female specimens collected in rural and semi-urban areas of the Chaco
Province, Argentina. A separation of the Cortelezzii series in two species
complexes is proposed, as is a species key for its identification. The
morphological characters of the new species permit its inclusion in the
Cortelezzii series of genus Evandromyia, subgenus Barrettomyia (Diptera:
Psychodidae). The species is named Evandromyia chacuensis sp. nov. Szelag, Rosa,
Galati, Andrade Fhilo & Salomon (Diptera: Psychodidae).
PMID- 29659902
TI - Seasonal Reproductive Performance and Pre-diapausing Mating Status of Female
Riptortus pedestris (Hemiptera: Alydidae) Collected in Fields.
AB - Two unexplained phenomena are found in Riptortus pedestris (Fabricius)
(Hemiptera: Alydidae): the first is that it tends to enter reproductive diapause
much earlier in the season and to occur on host plants until late fall before
finding hibernation site. The second is that they emerge in early spring when
primary food sources such as leguminous plants are unavailable. To understand
these phenological trends, the reproductive seasonality of both field-collected
and laboratory-reared R. pedestris were compared under conditions of with/without
food or access to mates. Females collected in spring or fall produced very few
eggs in laboratory. But, when food sources were provided, all the bugs produced
more eggs. Eggs also hatched normally except those produced by the females
collected in fall. This indicates that females collected in the spring were
already mated while those collected in the fall were not, most likely because
they were in reproductive diapause. Similarly, when food was provided, all
laboratory-reared bugs produced eggs, regardless of diapause status, with longer
preoviposition period in diapausing bugs which might be due to the termination of
reproductive diapause, but only eggs from mated females hatched. In conclusion,
while spring reproduction (oviposition and egg hatch) of R. pedestris can occur
in the presence of food resources, any lack of food can be limiting factor
impeding these activities. In fall, reproduction is greatly reduced even when
food resources are available, and under these conditions failure of females to
become mated, due to reproductive diapause, is likely the limiting factor.
PMID- 29659903
TI - Feasibility study of cancer genome alterations identified by next generation
sequencing: ABC study.
AB - Background: To confirm the feasibility and explore the clinical applicability of
amplicon sequencing by next generation sequencing (NGS) of biopsy samples from
patients with advanced solid tumors, we conducted a prospective study. Methods:
Patients with unresectable, advanced, or recurrent solid tumors were included.
Key eligibility criteria were as follows: 20 years or older, any planned systemic
therapy, adequate lesion for biopsy, and written informed consent. Samples were
fixed in 10% buffered formalin and embedded in paraffin. Cancer-derived DNA was
extracted, and amplicon sequencing was performed using Ion AmpliseqTM Cancer
Hotspot Panel version 1.0 or version 2.0 by central vendor. We evaluated the
success rate of sequencing, and the proportion of the patients with actionable
mutations. We organized an expert panel to share the results of targeted
sequence, make annotations and reports, and discuss concomitant
ethical/legal/social issues. Results: A total of 232 patients were included, and
208 were successfully analyzed (success rate of 89.7%). The biopsy procedures
were safe, with only one case of Grade 3 vasovagal reaction. The proportion of
actionable/druggable mutations was 38.9% (81/208), which was not significantly
different between the cancer panel version 1.0 and version 2.0 (P = 0.476).
Expert panel could discuss the findings and make sufficient reports. Conclusions:
We confirmed the feasibility of NGS-based amplicon sequencing using biopsy
samples, making the basis for nationwide genome screening for cancer patients
using biopsy samples. Our results suggest that focused panel may be sufficient to
detect major mutations.
PMID- 29659905
TI - Parasitism of Two Spodoptera spp. by Microplitis prodeniae (Hymenoptera:
Braconidae).
AB - Early instar larvae of the tobacco cutworm Spodoptera litura (Lepidoptera:
Noctuidae) and the beet armyworm Spodoptera exigua (Lepidoptera: Noctuidae) are
recognized hosts of the parasitic wasp Microplitis prodeniae Rao and Kurian
(Hymenoptera: Braconidae), although M. prodeniae has previously been regarded as
monophagous. In this study, we found the immature period and longevity of M.
prodeniae developing in S. exigua was similar to that in S. litura. It was shown
that the development time of M. prodeniae in S. exigua was 15.1 +/- 0.3 d, not
significantly different from 15.0 +/- 0.2 d in S. litura. The parasitism rate of
M. prodeniae attacking S. exigua was significantly lower than on S. litura (65.48
+/- 2.29 and 43.83 +/- 2.20%, respectively), whilst the female ratio of the
wasp's offspring was not significantly different when developing on the two
species. M. prodeniae females prefer to oviposit on the second- and third-instar
host larvae of S. exigua, rather than other instars. The effects of development
of M. prodeniae on two important lepidopterous pests are discussed.
PMID- 29659904
TI - Coinfection with Zika Virus (ZIKV) and Dengue Virus Results in Preferential ZIKV
Transmission by Vector Bite to Vertebrate Host.
AB - Background: Several tropical cities are permissive to Aedes aegypti and dengue
virus (DENV) endemicity and have allowed for invasion and circulation of Zika
virus (ZIKV) in the same areas. People living in arbovirus-endemic regions have
been simultaneously infected with >=2 arboviruses. Methods: A. aegypti mosquitoes
from Manaus, the capital city of Amazonas State in Brazil, were coinfected with
circulating strains of DENV and ZIKV. The coinfected vectors were allowed to bite
BALB/c mice. Results: A. aegypti from Manaus is highly permissive to
monoinfection and coinfection with DENV and ZIKV and is capable of cotransmitting
both pathogens by bite. Coinfection strongly influences vector competence,
favoring transmission of ZIKV to the vertebrate host. Conclusions: This finding
suggests that A. aegypti is an efficient vector of ZIKV and that ZIKV would be
preferentially transmitted by coinfected A. aegypti. Coinfection in the vector
population should be considered a new critical epidemiological factor and may
represent a major public health challenge.
PMID- 29659906
TI - Increased Chromogranin A-Positive Hormone-Negative Cells in Chronic Pancreatitis.
AB - Context: Chronic pancreatitis (CP) is characterized by inflammation, fibrosis,
and a loss of pancreatic acinar cells, which can result in exocrine and
eventually endocrine deficiency. Pancreatitis has been reported to induce
formation of new endocrine cells (neogenesis) in mice. Our recent data have
implicated chromogranin A-positive hormone-negative (CPHN) cells as potential
evidence of neogenesis in humans. Objective: We sought to establish if CPHN cells
were more abundant in CP in humans. Design, Setting, and Participants: We
investigated the frequency and distribution of CPHN cells and the expression of
the chemokine C-X-C motif ligand 10 (CXCL10) and its receptor chemokine C-X-C
motif receptor 3 in pancreas of nondiabetic subjects with CP. Results: CPHN cell
frequency in islets was increased sevenfold in CP [2.1% +/- 0.67% vs 0.35% +/-
0.09% CPHN cells in islets, CP vs nonpancreatitis (NP), P < 0.01], as were the
CPHN cells found as scattered cells in the exocrine areas (17.4 +/- 2.9 vs 4.2 +/
0.6, CP vs NP, P < 0.001). Polyhormonal endocrine cells were also increased in
CP (2.7 +/- 1.2 vs 0.1 +/- 0.04, CP vs NP, % of polyhormonal cells of total
endocrine cells, P < 0.01), as was expression of CXCL10 in alpha and beta cells.
Conclusion: There is increased islet endogenous expression of the inflammation
marker CXCL10 in islets in the setting of nondiabetic CP and an increase in
polyhormonal (insulin-glucagon expressing) cells. The increase in CPHN cells in
CP, often in a lobular distribution, may indicate foci of attempted endocrine
cell regeneration.
PMID- 29659907
TI - Effect of Cold Storage on Biological Traits of Psix saccharicola (Hymenoptera:
Platygastridae), an Egg Parasitoid of Acrosternum arabicum (Hemiptera:
Pentatomidae).
AB - Psix saccharicola (Mani) (Hymenoptera: Platygastridae) is a solitary egg
parasitoid of the pistachio green stink bug, Acrosternum arabicum (Wagner)
(Hemiptera: Pentatomidae), which is one of the most important pests of pistachio
in Iran. Augmentation of P. saccharicola field populations using mass-reared
individuals may provide an alternative to conventional pesticide use for
pistachio green stink bug control. Cold storage is an important component of mass
rearing protocols for optimum timing of host egg parasitization and potentially
extended storage of P. saccharicola pupae prior to adult emergence. The impact of
cold storage on A. arabicum eggs for various time intervals at 4.0 degrees C was
investigated. Results indicated that host eggs stored at 4.0 degrees C for up to
60 d could be exploited by P. sacchricola, whereas no offspring were produced
when eggs were stored for 120 d. The emergence rates of the F1 and F2 generations
declined with increased host egg storage time. Both sex ratio and survival rate
of the F2 generation decreased as the refrigeration time of host eggs increased.
The impact of cold storage on P. saccharicola pupae was evaluated. Reared pupae
of P. saccharicola were held for 1 wk at three temperatures and compared with a
control (27 +/- 1 degrees C). Psix saccharicola pupae were tolerant to cold
storage at 8 and 12 degrees C. Cold storage adversely affected mean adult
emergence at 4 degrees C, which decreased following low temperature exposure.
Furthermore, mean percentage survivorship was unaffected by storage at low
temperatures in the F1 generation, but was reduced at 4 degrees C. The sex ratio
of the F1 generation became more male-biased when held at lower storage
temperatures. The highest female proportion was observed at 12 degrees C.
PMID- 29659908
TI - Prototheca zopfii Colitis in Inherited CARD9 Deficiency.
AB - Human protothecosis is a rare microalgae infection, and its dissemination
typically occurs in immunocompromised individuals, but no specific immune defect
has been reported. Here, we describe an 8-year-old daughter of a consanguineous
union with abdominal pain and bloody diarrhea for 3 months who was found to have
pancolitis with numerous microalgae identified as Prototheca zopfii. In the
absence of a known immunodeficiency, exome sequencing was performed, which
uncovered a novel recessive frameshift mutation in CARD9 (p.V261fs). This report
highlights that CARD9 deficiency should be investigated in patients with
unexplained systemic/visceral protothecosis and suggests a new mechanistic
insight into anti-Prototheca immunity.
PMID- 29659909
TI - Sexually Transmitted Bedfellows: Exquisite Association Between HIV and Herpes
Simplex Virus Type 2 in 21 Communities in Southern Africa in the HIV Prevention
Trials Network 071 (PopART) Study.
AB - Background: Human immunodeficiency virus (HIV) and herpes simplex virus type 2
(HSV2) are strongly associated, although mechanisms are not fully understood. An
HIV prevention trial allowed reexamination of this association at individual and
community levels. Methods: The HIV Prevention Trials Network 071 (PopART) study
evaluates a combination prevention intervention in 21 urban communities in Zambia
and South Africa. To measure impact on HIV infection incidence, a cohort of
approximately 2000 adults (age range, 18-44 years) was selected randomly from
each community. Baseline data on sociodemographic characteristics, behavior, and
HIV/HSV2 serologic findings were used to examine the association between HIV and
HSV2. At the community level, HIV prevalence was plotted against HSV2 prevalence.
Results: A total of 38691 adults participated. HSV2 prevalence among women and
men was 50% and 22%, respectively, in Zambia and 60% and 27%, respectively, in
South Africa. Estimated HSV2 infection incidence among those aged 18-24 years was
8.06 cases/100 person-years (95% confidence interval [CI], 6.76-9.35) and 1.76
cases/100 person-years (95% CI, 1.30-2.22) among women and men, respectively. A 6
fold higher odds of HIV infection was seen in HSV2-infected individuals in both
sexes, after adjustment for confounders (odds ratio, 6.66 [95% CI, 6.07-7.31]
among women and 6.57 [95% CI, 5.56-7.77] among men). At the community-level,
there was a strong linear relationship between HIV and HSV2 prevalence (rho =
0.92; P < .001). Conclusions: There was an exquisite association between these 2
infections, at the individual and community levels, likely due in part to a
powerful cofactor effect of HSV2 on HIV transmission. HSV2 control could
contribute to HIV prevention.
PMID- 29659911
TI - Comparative Bio-Efficacy and Synergism of New Generation Polyfluorobenzyl and
Conventional Pyrethroids Against Culex quinquefasciatus (Diptera: Culicidae).
AB - Intensive exposure to insecticides has resulted in the evolution of insecticide
resistance in the mosquitoes. We tested the bio-efficacy of two Culex
quinquefasciatus Say (Diptera: Culicidae) laboratory strains differentially bio
responsive to pyrethroids to understand the comparative efficacy of different
polyfluorobenzyle and conventional pyrethroid molecules and the role of piperonyl
butoxide (PBO) in synergizing these molecules in increased tolerance of
mosquitoes to these molecules. We have taken deltamethrin (alpha-cyano pyrethroid
with phenoxybenzyl moiety); permethrin (phenoxybenzyl pyrethroid without an alpha
cyano group); transfluthrin, dimefluthrin, metofluthrin, and meperfluthrin
(polyfluorinated benzyl compounds); and prallethrin (modified cyclopentadienone
compound) for this study. We found higher bio-efficacy in dimefluthrin,
metofluthrin, and meperfluthrin compared with transfluthrin against tested
mosquito strains. We found that transfluthrin exhibited synergism with PBO, which
supports the hypothesis that P450 enzymes could play a role in the detoxification
process of transfluthrin, which was earlier not believed. However, other
polyfluorobenzyl pyrethroids with a 4-(methoxymethyl) phenyl capping in the
tetrafluorobenzyl ring (dimefluthrin, metofluthrin, and meperfluthrin) exhibit
greater synergism with PBO compared with transfluthrin. Further study is required
to understand the mechanism for higher synergistic ratios in polyfluorobenzyl
pyrethroids with 4-(methoxymethyl) phenyl moiety and ascertain the possible
involvement of novel mechanisms that may involve in developing resistance. This
is the first report of comparative bio-efficacy of multiple polyfluorobenzyl
pyrethroids and PBO synergism against mosquitoes.
PMID- 29659910
TI - Household Transmission of Ebola Virus: Risks and Preventive Factors, Freetown,
Sierra Leone, 2015.
AB - Background: Knowing risk factors for household transmission of Ebola virus is
important to guide preventive measures during Ebola outbreaks. Methods: We
enrolled all confirmed persons with Ebola who were the first case in a household,
December 2014-April 2015, in Freetown, Sierra Leone, and their household
contacts. Cases and contacts were interviewed, contacts followed prospectively
through the 21-day incubation period, and secondary cases confirmed by laboratory
testing. Results: We enrolled 150 index Ebola cases and 838 contacts; 83 (9.9%)
contacts developed Ebola during 21-day follow-up. In multivariable analysis, risk
factors for transmission included index case death in the household, Ebola
symptoms but no reported fever, age <20 years, more days with wet symptoms; and
providing care to the index case (P < .01 for each). Protective factors included
avoiding the index case after illness onset and a piped household drinking water
source (P < .01 for each). Conclusions: To reduce Ebola transmission, communities
should rapidly identify and follow-up all household contacts; isolate those with
Ebola symptoms, including those without reported fever; and consider closer
monitoring of contacts who provided care to cases. Households could consider
efforts to minimize risk by designating one care provider for ill persons with
all others avoiding the suspected case.
PMID- 29659912
TI - Turning Off the Tap: Using the FAST Approach to Stop the Spread of Drug-Resistant
Tuberculosis in the Russian Federation.
AB - Background: We report the association of the FAST strategy (find cases actively,
separate safely, and treat effectively) with reduction of hospital-based
acquisition of multidrug-resistant tuberculosis in the Russian Federation.
Methods: We used preintervention and postintervention cohorts in 2 Russian
hospitals to determine whether the FAST strategy was associated with a reduced
odds of converting MDR tuberculosis within 12 months among patients with
tuberculosis susceptible to isoniazid and rifampin at baseline. Results: Sixty
three of 709 patients (8.9%) with isoniazid and rifampin-susceptible tuberculosis
acquired MDR tuberculosis; 55 (12.2%) were in the early cohort, and 8 (3.1%) were
in the FAST cohort. The FAST strategy was associated with a reduced odds
(adjusted odds ratio, 0.16; 95% confidence interval, .07-.39) and 9.2% absolute
reduction in the risk of MDR tuberculosis acquisition. Conclusion: Use of the
FAST strategy in 2 Russian hospitals was associated with significantly less MDR
tuberculosis 12 months after implementation.
PMID- 29659913
TI - A randomized, double-blind, non-inferiority study of hydromorphone hydrochloride
immediate-release tablets versus oxycodone hydrochloride immediate-release powder
for cancer pain: efficacy and safety in Japanese cancer patients.
AB - Background: Hydromorphone is a standard opioid analgesic for cancer pain that,
prior to this study, was not approved in Japan, where options for opioid
switching are limited. We aimed to investigate the efficacy and safety of
hydromorphone (DS-7113b) immediate-release tablets in opioid-naive cancer
patients with moderate to severe cancer pain. Methods: Multicenter, active
controlled, randomized, double-blind, parallel-group, non-inferiority study of
183 cancer patients over 20 years of age at 50 clinical sites in Japan.
Hydromorphone tablets or oxycodone hydrochloride powder was orally administered
four times daily for 5 days. The initial doses of hydromorphone and oxycodone
hydrochloride were 4 mg/day and 10 mg/day, respectively, and adjusted as
necessary. Efficacy was evaluated as the intergroup difference (95% confidence
interval [CI]) of the least squares mean by analysis of covariance, using the
baseline visual analog scale (VAS) as a covariate for change in VAS score at
treatment completion/discontinuation in the full analysis set. Results: Non
inferiority of hydromorphone versus oxycodone was confirmed, with an intergroup
difference (95% CI) in the least squares mean of -3.4 mm (-9.8 to 3.1 mm) for
change in VAS scores, which was below the upper limit of the 95% CI at 10 mm, the
non-inferiority limit determined during study planning. Adverse events occurred
in 83.0% (73/88) of patients in the hydromorphone group and 77.4% (65/84) in the
oxycodone group. The most frequently observed adverse events were somnolence,
constipation, vomiting and nausea. Conclusions: The efficacy and safety of
hydromorphone tablets are equivalent to those of oxycodone immediate-release
powder.
PMID- 29659914
TI - Cognitive Abilities Moderate the Effect of Disease Severity on Health-Related
Quality of Life in Pediatric Sickle Cell Disease.
AB - Objective: Complications that can arise from sickle cell disease (SCD) have the
potential to negatively affect health-related quality of life (HRQL). SCD
manifests in varying degrees of severity, but effects on HRQL are not uniform.
Cognitive abilities influence HRQL in other pediatric groups, potentially through
variability in treatment adherence and psychological coping. This study examined
the effect of SCD severity on HRQL and explored cognitive abilities as a
moderator of this relationship. Methods: A total of 86 children and adolescents
with SCD (ages 7-16 years) completed a cognitive assessment (Wechsler Scale of
Intelligence for Children, Fifth Edition), and primary caregivers rated their
child's SCD severity and HRQL (PedsQL Sickle Cell Disease Module). A hierarchical
linear regression was conducted to evaluate the interactive effect of SCD
severity and cognitive functioning on HRQL. Results: Caregiver-rated SCD severity
predicted HRQL and cognitive abilities interacted with disease severity to
influence HRQL. Youth with milder SCD and cognitive abilities in the average
range or higher demonstrated significantly better HRQL compared with youth with
mild SCD but below average cognitive abilities. Youth with more severe disease
appeared to exhibit similarly low levels of HRQL, with only a minimal influence
of cognitive abilities. Conclusions: Cognitive factors modify the effect of SCD
severity on HRQL, particularly among youth with milder forms of SCD. Future
studies are warranted to clarify the role of cognitive abilities in determining
HRQL. Clinicians should monitor youth with milder forms of SCD and limited
cognitive abilities for worsening HRQL and opportunities to provide support
around disease self-management.
PMID- 29659915
TI - Temperature Alters the Response to Insecticides in Drosophila suzukii (Diptera:
Drosophilidae).
AB - Drosophila suzukii Matsumura (Diptera: Drosophilidae) is an invasive pest in
Europe and is a major threat to the soft fruit industry. Because of an ample
temperature range, the pest spans from low to high elevation crops in mountain
areas of the Southern Alps. Starting from field observations on the variable
efficacy of insecticides under different temperatures, experiments were designed
to test the efficacy of chemical families of insecticides available against this
pest. Pyrethroids and spynosins proved to be the most effective under all
temperature conditions (14, 22, and 30 degrees C) in all assays. Organophosphates
and neonicotinoids showed significantly lower efficacy at low temperatures,
indicating that they are not suitable to protect crops under those conditions.
The management of the pest in cold habitats, which are suitable for the
cultivation of high-quality berries as for example in mountain farming systems,
is constrained by a limited number of molecules available for fruit protection.
Temperature has to be considered among factors affecting the decision-making
process for the choice of registered formulations to be used in pest control.
PMID- 29659917
TI - Jumonji domain-containing protein family: the functions beyond lysine
demethylation.
PMID- 29659918
TI - Association of dairy intake with weight change in adolescents undergoing obesity
treatment.
AB - Background: The role of dairy products in obesity treatment for adolescents is
unclear. The study purpose was to assess the association between dairy intake and
changes in BMI z-score (zBMI) during adolescent obesity treatment. Methods:
Observational study nested within a randomized control trial. Linear mixed
effects regression models were adjusted for important non-lifestyle factors then
further adjusted for dietary and physical activity variables. In total, 91
adolescents were studied. Results: Each serving of total dairy (beta = -0.0054, P
< 0.01), unflavored milk (beta = -0.012, P < 0.01), reduced fat (beta = -0.0078,
P < 0.05), and low fat/fat-free products (beta = -0.0149, P < 0.01) was
associated with a decrease in zBMI over 12 months. These associations were no
longer significant after adjustment for other dietary and physical activity
factors. Sugar-sweetened beverage intake was inversely associated with intake of
total dairy (beta = -0.186, P = 0.001), unflavored milk (beta = -0.115, P =
0.003) and low fat/fat-free dairy (beta = -0.125, P = 0.001). Conclusions:
Intakes of total dairy, unflavored milk, reduced fat dairy and low fat/fat-free
dairy products are associated with improved obesity treatment outcomes among
adolescents. This could be due to co-occurring healthy lifestyle behaviors or to
replacement of other food and beverages associated with obesity, such as sugar
sweetened beverages, by dairy products.
PMID- 29659916
TI - Human Gut Microbiota Predicts Susceptibility to Vibrio cholerae Infection.
AB - Background: Cholera is a public health problem worldwide, and the risk factors
for infection are only partially understood. Methods: We prospectively studied
household contacts of patients with cholera to compare those who were infected to
those who were not. We constructed predictive machine learning models of
susceptibility, using baseline gut microbiota data. We identified bacterial taxa
associated with susceptibility to Vibrio cholerae infection and tested these taxa
for interactions with V. cholerae in vitro. Results: We found that machine
learning models based on gut microbiota, as well as models based on known
clinical and epidemiological risk factors, predicted V. cholerae infection. A
predictive gut microbiota of roughly 100 bacterial taxa discriminated between
contacts who developed infection and those who did not. Susceptibility to cholera
was associated with depleted levels of microbes from the phylum Bacteroidetes. By
contrast, a microbe associated with cholera by our modeling framework, Paracoccus
aminovorans, promoted the in vitro growth of V. cholerae. Gut microbiota
structure, clinical outcome, and age were also linked. Conclusion: These findings
support the hypothesis that abnormal gut microbial communities are a host factor
related to V. cholerae susceptibility.
PMID- 29659919
TI - Response to Hashiguchi and Gomez (2018).
PMID- 29659921
TI - Co-circulation of Flanders Virus and West Nile Virus in Culex Mosquitoes
(Diptera: Culicidae) from Chicago, Illinois.
AB - West Nile virus (WNV) and Flanders virus (FLAV) co-occur in regions of North
America. Because both viruses are maintained in a transmission cycle involving
Culex mosquitoes and birds, screening mosquitoes for FLAV has been suggested as
an enhancement to WNV surveillance and epidemic prediction. Using samples
collected in 2010 and 2012 in Chicago, IL, USA, we demonstrate the presence of
FLAV in four out of 287 (1.4%) Culex pools. We estimated minimum infection rates
for WNV and FLAV to be 5.66 and 1.22 in 2010 and 8.74 and 0.61 in 2012,
respectively. FLAV occurred 1 and 3 wk prior to the peak of WNV transmission in
2010 and 2012, respectively. FLAV sequences from Chicago were genetically diverse
and phylogenetically representative of lineage A viruses from across the United
States.
PMID- 29659922
TI - Chronology of the Intrapuparial Development of the Blowfly Chrysomya albiceps
(Diptera: Calliphoridae): Application in Forensic Entomology.
AB - Insects display different patterns of development, and blow flies have one of the
most specialized patterns of intrapuparial development of all. In forensic
entomology, pupae can be used as a tool to estimate the minimum postmortem time
interval (minPMI). We analyzed the intrapuparial development of Chrysomya
albiceps (Diptera: Caloricidade), whose larvae had been fed pig lungs and reared
in a climate-controlled room at 28 degrees C day/26 degrees C night, 70 +/- 10%
RH, and 12 h of photophase and monitored daily. After the third-instar larvae
abandoned their diet, the process of pupariation and pupation was monitored. At
pre-established times, five pupae were collected, euthanized, and fixed in 5%
formaldehyde, inside polypropylene test tubes with caps. Since they were the
first, they were classified as 0 h pupae. Twelve collections occurred until the
emergence of the adults, at 0, 2, 4, 6, 8, 10, 24, 30, 48, 54, 72, 78, 96, and 99
h (n = 84). The fixed pupae were dissected under the microscope, with the aid of
anatomical tweezers and hypodermic needles, and photographed. The stages of
metamorphosis and the morphological alterations occurring during the process were
identified, described, and recorded before and after pupation. These phases were:
pupation, larval pupal apolysis, cryptocephalic, phanerocephalic, pharate adult,
emergence, and adult. The cryptophalic phase occurred between 4 and 6 h after
pupation; the phanerocephalic phase between 6 and 10 h after; the pharate adult
phase between 24 and 96 h after; and the imago/emergence phase 99 h after
pupation.
PMID- 29659920
TI - Chromosome 14q32.2 Imprinted Region Disruption as an Alternative Molecular
Diagnosis of Silver-Russell Syndrome.
AB - Context: Silver-Russell syndrome (SRS) (mainly secondary to 11p15 molecular
disruption) and Temple syndrome (TS) (secondary to 14q32.2 molecular disruption)
are imprinting disorders with phenotypic (prenatal and postnatal growth
retardation, early feeding difficulties) and molecular overlap. Objective: To
describe the clinical overlap between SRS and TS and extensively study the
molecular aspects of TS. Patients: We retrospectively collected data on 28
patients with disruption of the 14q32.2 imprinted region, identified in our
center, and performed extensive molecular analysis. Results: Seventeen (60.7%)
patients showed loss of methylation of the MEG3/DLK1 intergenic differentially
methylated region by epimutation. Eight (28.6%) patients had maternal uniparental
disomy of chromosome 14 and three (10.7%) had a paternal deletion in 14q32.2.
Most patients (72.7%) had a Netchine-Harbison SRS clinical scoring system >=4/6,
and consistent with a clinical diagnosis of SRS. The mean age at puberty onset
was 7.2 years in girls and 9.6 years in boys; 37.5% had premature pubarche. The
body mass index of all patients increased before pubarche and/or the onset of
puberty. Multilocus analysis identified multiple methylation defects in 58.8% of
patients. We identified four potentially damaging genetic variants in genes
encoding proteins involved in the establishment or maintenance of DNA
methylation. Conclusions: Most patients with 14q32.2 disruption fulfill the
criteria for a clinical diagnosis of SRS. These clinical data suggest similar
management of patients with TS and SRS, with special attention to their young age
at the onset of puberty and early increase of body mass index.
PMID- 29659923
TI - A Nonsynonymous Variant in the GOLM1 Gene in Cutaneous Malignant Melanoma.
AB - Background: Statistically significant linkage of melanoma to chromosome 9q21 was
previously reported in a Danish pedigree resource and independently confirmed in
Utah high-risk pedigrees, indicating strong evidence that this region contains a
melanoma predisposition gene. Methods: Whole-exome sequencing of pairs of related
melanoma case subjects from two pedigrees with evidence of 9q21 linkage was
performed to identify the responsible predisposition gene. Candidate variants
were tested for association with melanoma in an independent set of 454 unrelated
familial melanoma case subjects and 396 unrelated cancer-free control subjects
from Utah, and 1534 melanoma case subjects and 1146 noncancer control subjects
from Texas (MD Anderson) via a two-sided Fisher exact test. Results: A rare
nonsynonymous variant in Golgi Membrane Protein 1 (GOLM1), rs149739829, shared in
two hypothesized predisposition carriers in one linked pedigree was observed.
Segregation of this variant in additional affected relatives of the index
carriers was confirmed. A statistically significant excess of carriers of the
variant was observed among Utah case subjects and control subjects (odds ratio
[OR] = 9.81, 95% confidence interval [CI] = 8.35 to 11.26, P < .001) and
statistically significantly confirmed in Texas case subjects and control subjects
(OR = 2.45, 95% CI = 1.65 to 3.25, P = .02). Conclusion: These findings support
GOLM1 as a candidate melanoma predisposition gene.
PMID- 29659925
TI - Tobacco Smoking-Associated Alterations in the Immune Microenvironment of Squamous
Cell Carcinomas.
AB - Background: Tobacco smoking creates DNA damage, inducing mutations and
potentially altering the tumor immune microenvironment. These types of genetic
and immune microenvironment alterations are critical factors known to affect
tumor response to immunotherapy. Here we analyze the association between the
mutational signature of tobacco smoking, tumor mutational load, and metrics of
immune activity in squamous cell carcinomas arising in the head and neck and
lung. Methods: Using RNA and DNA sequencing data from The Cancer Genome Atlas
head and neck (HNSC; n = 287) and lung (LUSC; n = 130) squamous cell carcinoma
data sets and two independent gene expression data sets (HNSC, n = 136; LUSC, n =
75), we examined associations between the mutational smoking signature, mutation
count, immune cell infiltration, cytolytic activity, and interferon-gamma
signaling. Results: An increasing mutational smoking signature was associated
with statistically significantly increased overall mutational load in both HNSC
(rho = .33, P = 1.01 * 10-7) and LUSC (rho = .49, P = 2.80 * 10-9). In HNSC, a
higher mutational smoking signature was associated with lower levels of immune
infiltration (rho = -.37, P = 1.29 * 10-10), cytolytic activity (rho = -.28, P =
4.07 * 10-6), and interferon-gamma pathway signaling (rho = .39, P = 3.20 * 10
11). In LUSC, these associations were reversed (rho = .19, P = .03; rho = .20, P
= .02; and rho = .18, P = .047, respectively). Differentially expressed genes
between smoking-high and smoking-low tumors revealed broad tobacco-induced
immunosuppression in HNSC, in contrast to a tumor-inflamed microenvironment in
smokers with LUSC. Conclusions: In squamous cell carcinomas, the genetic smoking
signature is associated with higher mutational load, but variable effects on
tumor immunity can occur, depending on anatomic site. In HNSC, smoking is
predominantly immunosuppressive; in LUSC, more pro-inflammatory. Both tumor
mutation load and immune microenvironment affect clinical response to
immunotherapy. Thus, the mutational smoking signature is likely to have relevance
for immunotherapeutic investigation in smoking-associated cancers.
PMID- 29659924
TI - Evaluation of a Booster Dose of Pentavalent Rotavirus Vaccine Coadministered With
Measles, Yellow Fever, and Meningitis A Vaccines in 9-Month-Old Malian Infants.
AB - Background: Rotavirus vaccines given to infants are safe and efficacious. A
booster dose of rotavirus vaccine could extend protection into the second year of
life in low-resource countries. Methods: We conducted an open-label, individual
randomized trial in Bamako, Mali. We assigned 600 infants aged 9-11 months to
receive measles vaccine (MV), yellow fever vaccine (YFV), and meningococcal A
conjugate vaccine (MenAV) with or without pentavalent rotavirus vaccine (PRV). We
assessed the noninferiority (defined as a difference of <=10%) of seroconversion
and seroresponse rates to MV, YFV, and MenAV. We compared the seroresponse to
PRV. Results: Seroconversion to MV occurred in 255 of 261 PRV recipients (97.7%)
and 246 of 252 control infants (97.6%; difference, 0.1% [95% confidence interval
{CI}, -4.0%-4.2%]). Seroresponse to YFV occurred in 48.1% of PRV recipients (141
of 293), compared with 52.2% of controls (153 of 293; difference, -4.1% [95% CI,
12.2%-4.0%]). A 4-fold rise in meningococcus A bactericidal titer was observed in
273 of 292 PRV recipients (93.5%) and 276 of 293 controls (94.2%; difference,
0.7% [95% CI, -5.2%-3.8%]). Rises in geometric mean concentrations of
immunoglobulin A and immunoglobulin G antibodies to rotavirus were higher among
PRV recipients (118 [95% CI, 91-154] and 364 [95% CI, 294-450], respectively),
compared with controls (68 [95% CI, 50-92] and 153 [95% CI, 114-207],
respectively). Conclusions: PRV did not interfere with MV and MenAV; this study
could not rule out interference with YFV. PRV increased serum rotavirus antibody
levels. Clinical Trials Registration: NCT02286895.
PMID- 29659926
TI - Evidence-based cancer prevention recommendations for Japanese.
AB - A comprehensive evidence-based cancer prevention recommendation for Japanese was
developed. We evaluated the magnitude of the associations of lifestyle factors
and infection with cancer through a systematic review of the literature, meta
analysis of published data, and pooled analysis of cohort studies in Japan. Then,
we judged the strength of evidence based on the consistency of the associations
between exposure and cancer and biological plausibility. Important factors were
extracted and summarized as an evidence-based, current cancer prevention
recommendation: 'Cancer Prevention Recommendation for Japanese'. The
recommendation addresses six important domains related to exposure and cancer,
including smoking, alcohol drinking, diet, physical activity, body weight and
infection. The next step should focus on the development of effective behavior
modification programs and their implementation and dissemination.
PMID- 29659927
TI - H1N1pdm09 Influenza Virus and Its Descendants Lack Extra-epitopic Amino Acid
Residues Associated With Reduced Recognition by M158-66-Specific CD8+ T Cells.
AB - Extra-epitopic amino acid residues affect recognition of human influenza A
viruses (IAVs) by CD8+ T-lymphocytes (CTLs) specific for the highly conserved HLA
A*0201 restricted M158-66 epitope located in the matrix 1 (M1) protein. These
residues are absent in the M1 protein of the 2009-pandemic IAV (H1N1pdm09).
Consequently, stimulation with M1 protein of H1N1pdm09 IAV resulted in stronger
activation and lytic activity of M158-66-specific CTLs than stimulation with
seasonal H3N2 IAVs. During >6 years of circulation in the human population,
descendants of the H1N1pdm09 virus had accumulated 4 other amino acid
substitutions. However, these did not affect M158-66-specific CTL activation.
PMID- 29659928
TI - Detection of Aedes (Stegomyia) aegypti (Diptera: Culicidae) Populations in
Southern Alabama Following a 26-yr Absence and Public Perceptions of the Threat
of Zika Virus.
AB - With the establishment of Zika virus in the Americas, an accurate understanding
of the geographic range of its primary vector, Aedes (Stegomyia) aegypti (L.)
(Diptera: Culicidae), is vital to assessing transmission risk. In an article
published in June 2016, Hahn and colleagues compiled county-level records in the
United States for the presence of Ae. aegypti and Aedes (Stegomyia) albopictus
(Skuse) (Diptera: Culicidae) reported between January 1995 and March 2016.
Despite ecological suitability for both mosquito species along the Gulf Coast,
Ae. aegypti was not reported in Alabama during the time interval, a result
consistent with research suggesting that interactions between these two species
often result in displacement of Ae. aegypti. Herein, we report the detection of
Ae. aegypti populations in Mobile, Alabama, after a 26-yr absence and present
findings on human perceptions of Zika virus relevant to transmission. It is
unclear whether the specimens (69 out of 1074) represent a recent re-introduction
or belong to a previously undetected remnant population. Sequencing of mtDNA from
identified Ae. aegypti matched closest to a specimen collected in Kerala, India.
A survey of residents in the surveillance area suggests high encounter rates with
mosquitoes in and around homes. Despite high self-reported knowledge about Zika
virus, the survey revealed gaps in knowledge regarding its transmission cycle and
relative degrees of vulnerability to serious illness among segments of the human
population. These findings highlight the importance of continued surveillance,
vector control, and public-health education in Gulf Coast states, as well as the
potential threat of Ae. Aegypti-transmitted pathogens in southern Alabama.
PMID- 29659929
TI - Cross-associations between physical activity and sedentary time on metabolic
health: a comparative assessment using self-reported and objectively measured
activity.
AB - Purpose: Physical activity and sedentary time have distinct physiologic and
metabolic effects, but little is known about their joint associations. Methods:
The Canadian Health Measures Survey (n = 5950) was used to (i) examine the joint
relationship between active/non-sedentary (referent group), active/sedentary,
inactive/non-sedentary and inactive/sedentary phenotypes on obesity and metabolic
health; and (ii) compare these relationships when using objective (accelerometer)
total activity or subjective (self-report) leisure-time measures. Weighted
associations for the metabolic syndrome (MetS), individual MetS components, 1+
disease (1 or more of diabetes, myocardial infarction, stroke, cardiovascular
disease) and obesity were estimated using logistic regression. Results: After
adjustments, the odds (OR, 95% CI) of 1+ disease (OR = 3.05, 1.47-6.34) and
abdominal obesity (OR = 2.75, 1.16-6.55) were higher in the inactive/sedentary
group versus the referent group (OR = 1.00) when measured objectively. Within
self-report leisure-time groups, elevated odds were observed for the
inactive/sedentary group for MetS, obesity, abdominal obesity and elevated
triglycerides. Inactive/non-sedentary and active/sedentary groups were similarly
protective when measured by accelerometer. Conclusion: Using accelerometer data,
the inactive/sedentary group was at higher risk for 1+ disease and abdominal
obesity only, whereas the active/sedentary and inactive/non-sedentary groups were
not at higher risk for any health outcome.
PMID- 29659930
TI - Automated Cervical Screening and Triage, Based on HPV Testing and Computer
Interpreted Cytology.
AB - Background: State-of-the-art cervical cancer prevention includes human
papillomavirus (HPV) vaccination among adolescents and screening/treatment of
cervical precancer (CIN3/AIS and, less strictly, CIN2) among adults. HPV testing
provides sensitive detection of precancer but, to reduce overtreatment, secondary
"triage" is needed to predict women at highest risk. Those with the highest-risk
HPV types or abnormal cytology are commonly referred to colposcopy; however,
expert cytology services are critically lacking in many regions. Methods: To
permit completely automatable cervical screening/triage, we designed and
validated a novel triage method, a cytologic risk score algorithm based on
computer-scanned liquid-based slide features (FocalPoint, BD, Burlington, NC). We
compared it with abnormal cytology in predicting precancer among 1839 women
testing HPV positive (HC2, Qiagen, Germantown, MD) in 2010 at Kaiser Permanente
Northern California (KPNC). Precancer outcomes were ascertained by record
linkage. As additional validation, we compared the algorithm prospectively with
cytology results among 243 807 women screened at KPNC (2016-2017). All
statistical tests were two-sided. Results: Among HPV-positive women, the
algorithm matched the triage performance of abnormal cytology. Combined with
HPV16/18/45 typing (Onclarity, BD, Sparks, MD), the automatable strategy referred
91.7% of HPV-positive CIN3/AIS cases to immediate colposcopy while deferring
38.4% of all HPV-positive women to one-year retesting (compared with 89.1% and
37.4%, respectively, for typing and cytology triage). In the 2016-2017
validation, the predicted risk scores strongly correlated with cytology (P <
.001). Conclusions: High-quality cervical screening and triage performance is
achievable using this completely automated approach. Automated technology could
permit extension of high-quality cervical screening/triage coverage to currently
underserved regions.
PMID- 29659932
TI - Effects of Bacterial Dose and Fly Sex on Persistence and Excretion of Salmonella
enterica serovar Typhimurium From Adult House Flies (Musca domestica L.; Diptera:
Muscidae).
AB - Salmonella Typhimurium (Le Minor and Popoff 1987; Enterobacteriales:
Enterobacteriaceae) is a pathogen that causes gastroenteritis in humans and can
be harbored by house flies. Factors influencing excretion of S. Typhimurium from
infected flies have not been elucidated but are essential for assessing
transmission potential. We determined the persistence and excretion of a green
fluorescent protein (GFP) expressing strain of S. Typhimurium from house flies.
Individual male and female flies were fed either sterile Luria-Bertani (LB) broth
(controls) or cultures of "high" (~105 colony forming units [CFU]) or "low" (~104
CFU) doses of bacteria (treatments). Bacterial persistence was determined over 16
h by culturing whole-fly homogenate. Both sex and dose affected persistence
between 6 and 12 h postingestion. In a separate experiment, fly excretion events
were monitored during this time interval and excreta droplets were individually
cultured for bacteria. Female flies had more excretion events than males across
treatments. We observed interactions of fly sex and bacterial abundance (dose),
both on the proportion of Salmonella-positive droplets and the CFU shed per
droplet (CFU/droplet). In the low-dose treatment, males excreted a greater
proportion of positive droplets than females. In the high-dose treatment, males
excreted more CFU/droplet than females. High-dose male flies excreted more
CFU/droplet than low-dose males, but low-dose females excreted more CFU/droplet
than high-dose females. Irrespective of sex, low-dose flies excreted a greater
dose-adjusted CFU (CFU droplet/CFU fed) than high-dose flies. This study
demonstrates that both bacterial abundance and fly sex may influence excretion of
bacteria from flies, and should be considered when assessing the risk of house
fly transmission of pathogens.
PMID- 29659931
TI - Shade compromises the photosynthetic efficiency of NADP-ME less than that of PEP
CK and NAD-ME C4 grasses.
AB - The high energy cost and apparently low plasticity of C4 photosynthesis compared
with C3 photosynthesis may limit the productivity and distribution of C4 plants
in low light (LL) environments. C4 photosynthesis evolved numerous times, but it
remains unclear how different biochemical subtypes perform under LL. We grew
eight C4 grasses belonging to three biochemical subtypes [NADP-malic enzyme (NADP
ME), NAD-malic enzyme (NAD-ME), and phosphoenolpyruvate carboxykinase (PEP-CK)]
under shade (16% sunlight) or control (full sunlight) conditions and measured
their photosynthetic characteristics at both low and high light. We show for the
first time that LL (during measurement or growth) compromised the CO2
concentrating mechanism (CCM) to a greater extent in NAD-ME than in PEP-CK or
NADP-ME C4 grasses by virtue of a greater increase in carbon isotope
discrimination (?P) and bundle sheath CO2 leakiness (phi), and a greater
reduction in photosynthetic quantum yield (Phimax). These responses were partly
explained by changes in the ratios of phosphoenolpyruvate carboxylase
(PEPC)/initial Rubisco activity and dark respiration/photosynthesis (Rd/A). Shade
induced a greater photosynthetic acclimation in NAD-ME than in NADP-ME and PEP-CK
species due to a greater Rubisco deactivation. Shade also reduced plant dry mass
to a greater extent in NAD-ME and PEP-CK relative to NADP-ME grasses. In
conclusion, LL compromised the co-ordination of the C4 and C3 cycles and, hence,
the efficiency of the CCM to a greater extent in NAD-ME than in PEP-CK species,
while CCM efficiency was less impacted by LL in NADP-ME species. Consequently,
NADP-ME species are more efficient at LL, which could explain their agronomic and
ecological dominance relative to other C4 grasses.
PMID- 29659934
TI - A New Species of Sucking Louse (Phthiraptera: Anoplura: Polyplacidae) From the
Gray Mouse Lemur, Microcebus murinus (Primates: Cheirogaleidae), in Madagascar.
AB - Lemurpediculus madagascariensis sp. nov. (Phthiraptera: Anoplura: Polyplacidae)
is described from the Gray Mouse lemur, Microcebus murinus (J. F. Miller)
(Primates: Cheirogaleidae), from Ankarafantsika National Park, Madagascar. Lemurs
were trapped using Sherman Live Traps and visually inspected for lice, which were
preserved in 90% ethanol. Adults of both sexes and the third-instar nymph of the
new species are illustrated and distinguished from the four previously known
species of Lemurpediculus: L. verruculosus (Ward); L. petterorum Paulian; L.
claytoni Durden, Blanco, and Seabolt; and L. robbinsi Durden, Blanco, and
Seabolt. It is not known if the new species of louse is a vector of any pathogens
or parasites.
PMID- 29659933
TI - Circulating Tumor Cells in Breast Cancer Patients Treated by Neoadjuvant
Chemotherapy: A Meta-analysis.
AB - Background: We conducted a meta-analysis in nonmetastatic breast cancer patients
treated by neoadjuvant chemotherapy (NCT) to assess the clinical validity of
circulating tumor cell (CTC) detection as a prognostic marker. Methods: We
collected individual patient data from 21 studies in which CTC detection by
CellSearch was performed in early breast cancer patients treated with NCT. The
primary end point was overall survival, analyzed according to CTC detection,
using Cox regression models stratified by study. Secondary end points included
distant disease-free survival, locoregional relapse-free interval, and
pathological complete response. All statistical tests were two-sided. Results:
Data from patients were collected before NCT (n = 1574) and before surgery (n =
1200). CTC detection revealed one or more CTCs in 25.2% of patients before NCT;
this was associated with tumor size (P < .001). The number of CTCs detected had a
detrimental and decremental impact on overall survival (P < .001), distant
disease-free survival (P < .001), and locoregional relapse-free interval (P <
.001), but not on pathological complete response. Patients with one, two, three
to four, and five or more CTCs before NCT displayed hazard ratios of death of
1.09 (95% confidence interval [CI] = 0.65 to 1.69), 2.63 (95% CI = 1.42 to 4.54),
3.83 (95% CI = 2.08 to 6.66), and 6.25 (95% CI = 4.34 to 9.09), respectively. In
861 patients with full data available, adding CTC detection before NCT increased
the prognostic ability of multivariable prognostic models for overall survival (P
< .001), distant disease-free survival (P < .001), and locoregional relapse-free
interval (P = .008). Conclusions: CTC count is an independent and quantitative
prognostic factor in early breast cancer patients treated by NCT. It complements
current prognostic models based on tumor characteristics and response to therapy.
PMID- 29659935
TI - Importance of Leishmania Species and Vector Sand Fly (Diptera: Psychodidae)
Identification.
PMID- 29659936
TI - Longitudinal Seroprevalence Study Elucidates High Norovirus Burden in Sub-Saharan
Africa.
PMID- 29659937
TI - Pioneer Paper: An Accidental Scientist: Chance, Failure, Risk-Taking, and
Mentoring.
AB - I never intended to become a scientist. My career developed on the basis of
chance happenings, repeated failure, the willingness to take risks and the
acceptance and provision of mentoring. My career has included periods of
difficulty and shifted back and forth between academic health centers and
universities in Canada. Although I have been amply recognized for my successes,
my greatest learning has come from my failures. My greatest satisfaction has been
in the development, evaluation and dissemination of interventions. The
combination of intellectual stimulation and emotional gratification has meant a
rewarding career.
PMID- 29659938
TI - Mutual Risks of Cutaneous Melanoma and Specific Lymphoid Neoplasms: Second Cancer
Occurrence and Survival.
AB - Background: It is unclear whether the established association between cutaneous
melanoma (CM) and lymphoid neoplasms (LNs) differs across LN subtypes. This study
quantifies risk for developing CM after specific LNs and, conversely, for
developing specific LNs after CM, as well as assessing clinical impact. Methods:
We identified a cohort of Caucasian adults (age 20-83 years) initially diagnosed
with CM or LN, as reported to 17 US population-based cancer registries, 2000
2014. Standardized incidence ratios (SIRs) quantified second cancer risk. We
assessed impact of second cancer development on risk of all-cause mortality using
Cox regression. Results: Among 151 949 one-or-more-year survivors of first
primary LN, second primary CM risk was statistically significantly elevated after
chronic lymphocytic leukemia/small lymphocytic lymphoma (SIR = 1.96, 95%
confidence interval [CI] = 1.74 to 2.21), follicular lymphoma (SIR = 1.32, 95% CI
= 1.09 to 1.58), and plasma cell neoplasms (SIR = 1.33, 95% CI = 1.07 to 1.63).
Risks for these same subtypes were statistically significantly elevated among 148
336 survivors of first primary CM (SIR = 1.44, 95% CI = 1.25 to 1.66; SIR = 1.47,
95% CI = 1.21 to 1.77; SIR = 1.25, 95% CI = 1.06 to 1.47; respectively). Risk for
CM was statistically significantly elevated after diffuse large B-cell lymphoma
(SIR = 1.22, 95% CI = 1.02 to 1.45) and Hodgkin lymphoma (SIR = 1.75, 95% CI =
1.33 to 2.26), but the reciprocal relationship was not observed. There were no
statistically significant associations between marginal zone lymphoma and CM.
Among survivors of most LN subtypes, CM statistically significantly increased
risk of death (hazard ratio [HR] range = 1.52, 95% CI = 1.25 to 1.85, to 2.46,
95% CI = 1.45 to 4.16). Among survivors of CM, LN statistically significantly
increased risk of death (HR range = 1.75, 95% CI = 1.15 to 2.65, to 6.28, 95% CI
= 5.00 to 7.88), with the highest risks observed for the most aggressive LN
subtypes. Conclusions: Heterogeneous associations between CM and specific LN
subtypes provide novel insights into the etiology of these malignancies, with the
mutual association between CM and certain LN suggesting shared etiology.
Development of second primary CM or LN substantially reduces overall survival.
PMID- 29659939
TI - Persistence of Zika Virus After Birth.
PMID- 29659940
TI - Risk factors for pericardial effusion after chemoradiotherapy for thoracic
esophageal cancer-comparison of four-field technique and traditional two opposed
fields technique.
AB - Pericardial effusion is an important late toxicity after concurrent
chemoradiotherapy (CCRT) for locally advanced esophageal cancer. We investigated
the clinical and dosimetric factors that were related to pericardial effusion
among patients with thoracic esophageal cancer who were treated with definitive
CCRT using the two opposed fields technique (TFT) or the four-field technique
(FFT), as well as the effectiveness of FFT. During 2007-2015, 169 patients with
middle and/or lower thoracic esophageal cancer received definitive CCRT, and 94
patients were evaluable (51 FFT cases and 43 TFT cases). Pericardial effusion was
observed in 74 patients (79%) and appeared at 1-18.5 months (median: 5.25 months)
after CCRT. The 1-year incidences of pericardial effusions were 73.2% and 76.7%
in the FFT and TFT groups, respectively (P = 0.6395). The mean doses to the
pericardium were 28.6 Gy and 31.8 Gy in the FFT and TFT groups, respectively (P =
0.0259), and the V40 Gy proportions were 33.5% and 48.2% in the FFT and TFT
groups, respectively (P < 0.0001). Grade 3 pericardial effusion was not observed
in patients with a pericardial V40 Gy of <40%, or in patients who were treated
using the FFT. Although the mean pericardial dose and V40 Gy in the FFT group
were smaller than those in the TFT group, the incidences of pericardial effusion
after CCRT were similar in both groups. As symptomatic pericardial effusion was
not observed in patients with a pericardial V40 Gy of <40% or in the FFT group,
it appears that FFT with a V40 Gy of <40% could help minimize symptomatic
pericardial effusion.
PMID- 29659941
TI - Circulating Tumor Cells in Patients Receiving Neoadjuvant Chemotherapy: Refining
the Paradigm of Prognosis and Treatment Individualization.
PMID- 29659942
TI - Evolutionary Associations of Endosymbiotic Ciliates Shed Light on the Timing of
the Marsupial-Placental Split.
AB - Trichostome ciliates are among the most conspicuous protists in the
gastrointestinal tract of a large variety of vertebrates. However, little is
still known about phylogeny of the trichostome/vertebrate symbiotic systems,
evolutionary correlations between trichostome extrinsic traits, and character
dependent diversification of trichostomes. These issues were investigated here,
using the relaxed molecular clock technique along with stochastic mapping of
character evolution, and binary-state speciation and extinction models. Clock
analyses revealed that trichostomes colonized the vertebrate gastrointestinal
tract ~135 Ma, that is, near the paleontological minimum for the split of therian
mammals into marsupials and placentals. According to stochastic mapping, the last
common ancestor of trichostomes most likely invaded the hindgut of a mammal.
Although multiple shifts to fish/amphibian or avian hosts and to the foregut
compartments took place during the trichostome phylogeny, only transition to the
foregut was recognized as a key innovation responsible for the explosive
radiation of ophryoscolecid trichostomes after the Cretaceous/Tertiary boundary,
when ungulates began their diversification. Since crown radiations of main
trichostome lineages follow those of their mammalian hosts and are in agreement
with their historic dispersal routes, the present time-calibrated phylogeny might
help to elucidate controversies in the geological and molecular timing of the
split between marsupials and placental mammals.
PMID- 29659944
TI - Factors Related to Aedes aegypti (Diptera: Culicidae) Populations and Temperature
Determine Differences on Life-History Traits With Regional Implications in
Disease Transmission.
AB - Aedes aegypti (L.) (Diptera: Culicidae) is a vector of many medically significant
viruses in the Americas, including dengue virus, chikungunya virus, and Zika
virus. Traits such as longevity, fecundity, and feeding behavior contribute to
the ability of Ae. aegypti to serve as a vector of these pathogens. Both local
environmental factors and population genetics could contribute to variability in
these traits. We performed a comparative study of Ae. aegypti populations from
four geographically and environmentally distinct collection sites in Argentina in
which the cohorts from each population were held at temperature values simulating
a daily cycle, with an average of 25 degrees C in order to identify the influence
of population on life-history traits. In addition, we performed the study of the
same populations held at a daily temperature cycle similar to that of the
surveyed areas. According to the results, Aguaray is the most outstanding
population, showing features that are important to achieve high fitness. Whereas
La Plata gathers features consistent with low fitness. Iguazu was outstanding in
blood-feeding rate while Posadas's population showed intermediate values. Our
results also demonstrate that climate change could differentially affect unique
populations, and that these differences have implications for the capacity for
Ae. aegypti to act as vectors for medically important arboviruses.
PMID- 29659943
TI - Cooperation process between a local government and experts in official voluntary
decontamination of environmental radioactivity.
AB - Kashiwa city, inside the Tokyo metropolitan area and located ~200 km south of the
Fukushima Dai-ichi Nuclear Power Plant, received a raised environmental ambient
radiation dose due to the disaster relative to the rest of area. In this paper,
the challenging process of the official voluntary decontamination activity in
Kashiwa city, Chiba prefecture, which was carried out with strong cooperation
between a local government and experts, is described and reviewed in terms of the
effectiveness of the radiation protection. The support system for the official
voluntary decontamination work was constructed by the citizens. It was completed
within ~15 months after the accident, by way of the following five main steps.
(i) A local forum was organized, commencing an open discussion. (ii) (a) Some
civic groups started their own activities independent of the national and local
governments' policies and measures, and (b) Kashiwa city office held information
symposia and risk communication meetings with key persons as a kind of
stakeholders as well as education and training of official leaders for voluntary
decontamination work. (iii) Joint positive discussion and work projects between
civic groups and the local government were started by key personnel. (iv) Local
government planned and fixed its official decontamination strategy based on the
results of several open discussions. (v) A guidance book for official voluntary
decontamination by citizens was distributed by Kashiwa city office. The
systematic framework for voluntary decontamination by citizens in Kashiwa city
was developed through cooperation between a local government and decontamination
experts, which was rare at that time. The authors believe that the process is
valuable and should be shared with experts from various fields around the world.
PMID- 29659946
TI - Ethylene responsive factor ERF110 mediates ethylene-regulated transcription of a
sex determination-related orthologous gene in two Cucumis species.
AB - In plants, unisexual flowers derived from developmental sex determination form
separate stamens and pistils that facilitate cross pollination. In cucumber and
melon, ethylene plays a key role in sex determination. Six sex determination
related genes have been identified in ethylene biosynthesis in these Cucumis
species. The interactions among these genes are thought to involve ethylene
signaling; however, the underlying mechanism of regulation remains unknown. In
this study, hormone treatment and qPCR assays were used to confirm expression of
these sex determination-related genes in cucumber and melon is ethylene
sensitive. RNA-Seq analysis subsequently helped identify the ethylene responsive
factor (ERF) gene, CsERF110, related to ethylene signaling and sex determination.
CsERF110 and its melon ortholog, CmERF110, shared a conserved AP2/ERF domain and
showed ethylene-sensitive expression. Yeast one-hybrid and ChIP-PCR assays
further indicated that CsERF110 bound to at least two sites in the promoter
fragment of CsACS11, while transient transformation analysis showed that CsERF110
and CmERF110 enhance CsACS11 and CmACS11 promoter activity, respectively. Taken
together, these findings suggest that CsERF110 and CmERF110 respond to ethylene
signaling, mediating ethylene-regulated transcription of CsACS11 and CmACS11 in
cucumber and melon, respectively. Furthermore, the mechanism involved in its
regulation is thought to be conserved in these two Cucumis species.
PMID- 29659945
TI - Plasmodium falciparum Falcipain-2a Polymorphisms in Southeast Asia and Their
Association With Artemisinin Resistance.
AB - Background: Falcipain-2a ([FP2a] PF3D7_1115700) is a Plasmodium falciparum
cysteine protease and hemoglobinase. Functional FP2a is required for potent
activity of artemisinin, and in vitro selection for artemisinin resistance
selected for an FP2a nonsense mutation. Methods: To investigate associations
between FP2a polymorphisms and artemisinin resistance and to characterize the
diversity of the enzyme in parasites from the China-Myanmar border, we sequenced
the full-length FP2a gene in 140 P falciparum isolates collected during 2004
2011. Results: The isolates were grouped into 8 different haplotype groups.
Haplotype group I appeared in samples obtained after 2008, coinciding with
implementation of artemisinin-based combination therapy in this region. In
functional studies, compared with wild-type parasites, the FP2a haplotypes
demonstrated increased ring survival, and all haplotype groups exhibited
significantly reduced FP2a activity, with group I showing the slowest protease
kinetics and reduced parasite fitness. Conclusions: These results suggest that
altered hemoglobin digestion due to FP2a mutations may contribute to artemisinin
resistance.
PMID- 29659947
TI - Pediatric Thoracic Trauma in Iraq and Afghanistan.
AB - Introduction: The objective of this study is to review available data on
pediatric thoracic trauma seen at U.S. military treatment facilities in Iraq and
Afghanistan and describe the scope of injuries, patterns seen, and associated
mortality. The results were compared with adults injured in Iraq and Afghanistan
and other reports of pediatric thoracic trauma in the literature. Materials and
Methods: The investigators received approval from the Uniformed Services
University of the Health Sciences' institutional review board before the study.
The Joint Theatre Trauma Registry was queried for all patients with an ICD-9 code
for thoracic trauma. Two-tailed Student's t-test, Mann-Whitney rank sum, chi2,
ANOVA, or multiple logistic regression was used as indicated. Results: There were
955 patients under the age of 18 yr, just over 12% of all thoracic trauma.
Penetrating injuries were common (73.6%), including gunshot wounds. The most
common pediatric diagnoses were contusions (45%), pneumothorax (40%), and rib
and/or sternal fractures (18%). The overall mortality for children was 15.2%
compared with 13.8% and 9% for civilian adults and Coalition members with
thoracic trauma, respectively. Mortality was inversely related to age among
pediatric patients. Children under 2 yr of age had the highest mortality (25.1%).
Patients under 12 yr of age were more likely to die than those between 12 and 18
(OR 2.02, 95% CI 1.27-3.22) yr. Thoracic vascular injuries and cardiac injuries
resulted in the highest mortality among pediatric patients. The presence of a
hemothorax was independently associated with an increased risk for mortality (OR
1.78, 95% CI 1.06-2.99) as was a concomitant head injury (OR 2.17, 95% CI 1.33
3.54). There was a 2.7% incidence of burns among pediatric patients with a high
associated mortality (46.2%). Nearly one-half of all the children identified
required a transfusion (47%). Conclusion: Penetrating injuries predominated and
these children commonly required a transfusion. Mortality was inversely related
to age. Children with a hemothorax or a concomitant head injury had significant
increases in mortality. Children with thoracic injury as the result of a burn
suffered the highest mortality.
PMID- 29659949
TI - EMC10 governs male fertility via maintaining sperm ion balance.
AB - Infertility is a severe public health problem worldwide that prevails up to 15%
in reproductive-age couples, and male infertility accounts for half of total
infertility. Studies on genetically modified animal models have identified lots
of genes involved in the pathogenesis of male infertility. The underlying causes,
however, remain largely unclear. In this study, we provide evidence that EMC10,
one subunit of endoplasmic reticulum (ER) membrane protein complex (EMC), is
required for male fertility. EMC10 is significantly decreased in spermatozoa from
patients with asthenozoospermia and positively associated with human sperm
motility. Male mice lacking Emc10 gene are completely sterile. Emc10-null
spermatozoa exhibit multiple defects including abnormal morphology, decreased
motility, impaired capacitation, and impotency of acrosome reaction, thereby
which are incapable of fertilizing intact or ZP-free oocytes. However, intra
cytoplasmic sperm injection (ICSI) could rescue this defect caused by EMC10
deletion. Mechanistically, EMC10 deficiency leads to inactivation of Na/K-ATPase,
in turn giving rise to an increased level of intracellular Na+ in spermatozoa,
which contributes to decreased sperm motility and abnormal morphology. Other
mechanistic investigations demonstrate that the absence of EMC10 results in a
reduction of HCO3- entry and subsequent decreases of both cAMP-dependent protein
kinase A (PKA) substrate phosphorylation and protein tyrosine phosphorylation.
These data demonstrate that EMC10 is indispensable to male fertility via
maintaining sperm ion balance of Na+ and HCO3-, and also suggest that EMC10 is a
promising biomarker for male fertility and a potential pharmaceutical target to
treat male infertility.
PMID- 29659948
TI - miR156-SPL modules regulate induction of somatic embryogenesis in citrus callus.
AB - miR156 is a highly conserved plant miRNA and has been extensively studied because
of its versatile roles in plant development. Here, we report a novel role of
miR156 in regulating somatic embryogenesis (SE) in citrus, one of the most widely
cultivated fruit crops in the world. SE is an important means of in vitro
regeneration, but over the course of long-term sub-culturing there is always a
decline in the SE potential of the preserved citrus embryogenic callus, and this
represents a key obstacle for citrus biotechnology. In this study, the SE
competence of citrus callus of wild kumquat (Fortunella hindsii) was
significantly enhanced by either overexpression of csi-miR156a or by individual
knock-down of the two target genes, CsSPL3 and CsSPL14, indicating that the
effect of miR156-SPL modules was established during the initial phases of SE
induction. Biological processes that might promote SE in response to miR156
overexpression were explored using RNA-seq, and mainly included hormone signaling
pathways, stress responses, DNA methylation, and the cell cycle. CsAKIN10 was
identified as interacting protein of CsSPL14. Our results provide insights into
the regulatory pathway through which miR156-SPL modules enhance the SE potential
of citrus callus, and provide a theoretical basis for improvement of plant SE
competence.
PMID- 29659950
TI - Vitamin D3, 25-Hydroxyvitamin D3, and Food Fortification.
PMID- 29659951
TI - l-Glutamine Attenuates Apoptosis in Porcine Enterocytes by Regulating Glutathione
Related Redox Homeostasis.
AB - Background: Programmed cell death plays a fundamental role in intestinal
development and mucosal homeostasis. Dysregulation of these processes is
associated with an impaired intestinal-mucosal barrier, reduced nutrient
absorption, and initiation and progression of intestinal diseases. 4-Hydroxy-2
nonenal (4-HNE), a product of lipid peroxidation, is commonly used to induce
oxidative stress in cells. l-Glutamine is known to protect cells from apoptosis.
However, the underlying mechanisms are largely unknown. Objective: This study was
conducted to test the hypothesis that l-glutamine attenuates 4-HNE-induced
apoptosis by modulating glutathione (GSH) and thioredoxin (TXN) antioxidant
systems and the expression of genes involved in 4-HNE metabolism in enterocytes.
Methods: Intestinal porcine epithelial cell line 1 (IPEC-1) cells were cultured
with or without 4-HNE (30 MUmol/L) in the presence of 0.05 or 0.25 mmol l
glutamine/L (a physiological concentration in the lumen of the small intestine)
for indicated time periods. Cell viability, abundances of apoptotic proteins,
mitochondrial membrane depolarization, production of reactive oxygen species
(ROS) and GSH, and expression of genes involved in the biosynthesis of GSH,
thioredoxin, and 4-HNE metabolism were determined. Results: Compared with basal
medium containing 0.05 mmol l-glutamine/L, 4-HNE enhanced apoptosis by 19.6% (P <
0.05) in a caspase-3-dependent manner. This effect was accompanied by elevated
intracellular ROS production (39.5% and 85.3% for 2- and 4-h treatment,
respectively), increased mitochondrial depolarization by 80%, and decreased
intracellular GSH concentrations by 17.7%. These effects of 4-HNE were reduced by
0.25 mmol l-glutamine/L. Further study showed that the protective effect of l
glutamine was associated with the enhanced expression of genes involved in GSH
production (including GCLC, GCLM, GSR, CBS, and CTH) by 3.9-14-fold, as well as
genes involved in 4-HNE metabolism [e.g., glutathione S-transferase A (GSTA)1 and
GSTA4] by 1.9-7.2-fold. The mRNA levels for ADH5, AKR1C1, AKR1A1, and TXNRD1 were
enhanced 1.4-8.8-fold by 4-HNE but were not changed in cells co-treated with 4
HNE and l-glutamine. Conclusion: These findings indicate that l-glutamine
attenuates 4-HNE-induced apoptosis by regulating GSH-related redox homeostasis
and enhancing GSTA-mediated metabolism in enterocytes.
PMID- 29659952
TI - ABO Genotype Does Not Modify the Association between the "Blood-Type" Diet and
Biomarkers of Cardiometabolic Disease in Overweight Adults.
AB - Background: Although 7 million copies of Eat Right 4 Your Type have been sold in
>60 languages, there has been a lack of evidence supporting the "blood-type" diet
hypothesis. Objective: The present study aimed to examine the validity of this
diet in overweight adults. Methods: A total of 973 adults [mean +/- SEM age: 44.6
+/- 0.4 y; mean +/- SEM body mass index (BMI; kg/m2): 32.5 +/- 0.2; 758 women,
215 men] were participants of the Toronto Healthy Diet Study. A 1-mo, 196-item
food-frequency questionnaire was used to determine dietary intakes before and
after a 6-mo dietary intervention. Diet scores were calculated to determine
relative adherence to each of the 4 blood-type diets as a secondary analysis. ABO
blood group was determined by genotyping rs8176719 and rs8176746. ANCOVA was used
to compare cardiometabolic risk factors across tertiles of diet scores. Results:
At baseline, individuals with a higher adherence score to the type A diet had
lower diastolic blood pressure (tertile 3 compared with tertile 1: 70.9 +/- 1.1
compared with 73.3 +/- 1.1 mm Hg; P < 0.01). Lower waist circumference was
observed in individuals with higher adherence to the type B (tertile 3 compared
with tertile 1: 100.8 +/- 1.8 compared with 105.4 +/- 1.7 cm; P < 0.01) and type
AB (tertile 3 compared with tertile 1: 101.2 +/- 1.8 compared with 104.8 +/- 1.7
cm; P < 0.01) diets. After a 6-mo dietary intervention, individuals with
increased adherence to the type A and type B diets had greater reductions in BMI
and waist circumference, respectively (P < 0.01). Individuals with an increase in
type O diet adherence showed decreases in both BMI and waist circumference (P <
0.01). However, matching the diets with the corresponding ABO genotype of each
individual did not change the effect size of any of these associations either at
baseline or at 6 mo. Conclusions: ABO genotype does not modify any association
between blood-type diets and biomarkers of cardiometabolic disease in overweight
adults, suggesting that the theory behind this diet is not valid This study was
based on the data of a trial that was registered at www.clinicaltrials.gov as
NCT00516620.
PMID- 29659953
TI - Test-Retest Reproducibility of Adult-Reported High School Diet Varies among
Racially and Ethnically Diverse US Men and Women.
AB - Background: Adolescent diet is thought to play an important role in future
chronic disease risk. However, few studies have examined the reproducibility of
adult-reported adolescent diet, and evidence for possible differences in
reproducibility by demographic characteristics is limited. Objective: We assessed
the ability of adults to consistently report past high school diet over a 1-y
period and examined differences in reproducibility by selected demographic
characteristics. Methods: By using age-adjusted partial Spearman (rho) or Pearson
(r) correlations, we assessed 1-y reproducibility for 33 line items, 20 food
groups, and 2 dietary patterns of high school diet reported in adulthood via a
questionnaire completed by 742 participants in the Cancer Prevention Study 3 (CPS
3) Diet Substudy. Results: Participants' median age was 53 y (range: 31-70 y),
65.2% were women, 59.8% were non-Hispanic white, 24.8% were non-Hispanic black,
and 15.4% were Hispanic. The mean Spearman correlation assessing reproducibility
across the 33 line items was 0.60 and ranged from 0.44 to 0.72, with no
differences in mean correlations by age, sex, race/ethnicity, education, or body
mass index (BMI). Reproducibility was similar across food groups (rho = 0.62;
range: 0.44-0.68), with differences by sex, ethnicity, age, or BMI observed for
some food groups (e.g., sugar-sweetened beverages). Pearson correlations for the
reproducibility of 2 major eating patterns, "fast food" and "whole food," were
0.73 and 0.72, respectively. Conclusion: These results show good 1-y
reproducibility of assessed high school diet, as reported from memory in
adulthood, by line item, food group, and dietary pattern, with noted differences
by demographic characteristics.
PMID- 29659954
TI - The Ossabaw Pig Is a Suitable Translational Model to Evaluate Dietary Patterns
and Coronary Artery Disease Risk.
AB - Background: Animal models that mimic diet-induced human pathogenesis of chronic
diseases are of increasing importance in preclinical studies. The Ossabaw pig is
an established model for obesity-related metabolic disorders when fed extreme
diets in caloric excess. Objective: To increase the translational nature of this
model, we evaluated the effect of diets resembling 2 human dietary patterns, the
Western diet (WD) and the Heart Healthy Diet (HHD), without or with atorvastatin
(-S or +S) therapy, on cardiometabolic risk factors and atherosclerosis
development. Methods: Ossabaw pigs (n = 32; 16 boars and 16 gilts, aged 5-8 wk)
were randomized according to a 2 * 2 factorial design into 4 groups (WD-S, WD+S,
HHD-S, and HHD+S) and were fed the respective diets for 6 mo. The WD (high in
saturated fat, cholesterol, and refined grain) and the HHD (high in unsaturated
fat, whole grain, and fruit and vegetables) were isocaloric [38% of energy (%E)
from fat, 47%E from carbohydrate, and 15%E from protein]. Body composition was
determined by using dual-energy X-ray absorptiometry, serum fatty acid (FA)
profiles by gas chromatography, cardiometabolic risk profile by standard
procedures, and degree of atherosclerosis by histopathology. Results: Serum FA
profiles reflected the predominant dietary FA. Pigs fed the WD had 1- to 4-fold
higher concentrations of LDL cholesterol, non-HDL cholesterol, HDL cholesterol,
high-sensitivity C-reactive protein (hs-CRP), tumor necrosis factor alpha (TNF
alpha), alkaline phosphatase (ALP), and alanine aminotransferase (ALT) compared
with HHD-fed pigs (all P-diet < 0.05). Statin therapy significantly lowered
concentrations of LDL cholesterol (-39%), non-HDL cholesterol (-38%), and
triglycerides (-6%) (P-statin < 0.02). A greater degree of atheromatous changes
(macrophage infiltration, foam cells, fatty streaks) and lesion incidence was
documented in the coronary arteries (P-diet < 0.05), as well as 2- to 3-fold
higher lipid deposition in the aortic arch or thoracic aorta of WD- compared with
HHD-fed pigs (P-diet < 0.001). Conclusions: Ossabaw pigs manifested a
dyslipidemic and inflammatory profile accompanied by early-stage atherosclerosis
when fed a WD compared with an HHD, which was moderately reduced by atorvastatin
therapy. This phenotype presents a translational model to examine mechanistic
pathways of whole food-based dietary patterns on atherosclerosis development.
PMID- 29659955
TI - Accretion of Fat-Free Mass Rather Than Fat Mass in Infancy Is Positively
Associated with Linear Growth in Childhood.
AB - Background: We have previously shown that fat-free mass (FFM) at birth is
associated with height at 2 y of age in Ethiopian children. However, to our
knowledge, the relation between changes in body composition during early infancy
and later linear growth has not been studied. Objective: This study examined the
associations of early infancy fat mass (FM) and FFM accretion with linear growth
from 1 to 5 y of age in Ethiopian children. Methods: In the infant Anthropometry
and Body Composition (iABC) study, a prospective cohort study was carried out in
children in Jimma, Ethiopia, followed from birth to 5 y of age. FM and FFM were
measured <=6 times from birth to 6 mo by using air-displacement plethysmography.
Linear mixed-effects models were used to identify associations between
standardized FM and FFM accretion rates during early infancy and linear growth
from 1 to 5 y of age. Standardized accretion rates were obtained by dividing FM
and FFM accretion by their respective SD. Results: FFM accretion from 0 to 6 mo
of age was positively associated with length at 1 y (beta = 0.64; 95% CI: 0.19,
1.09; P = 0.005) and linear growth from 1 to 5 y (beta = 0.63; 95% CI: 0.19,
1.07; P = 0.005). The strongest association with FFM accretion was observed at 1
y. The association with linear growth from 1 to 5 y was mainly engendered by the
1-y association. FM accretion from 0 to 4 mo was positively associated with
linear growth from 1 to 5 y (beta = 0.45; 95% CI: 0.02, 0.88; P = 0.038) in the
fully adjusted model. Conclusions: In Ethiopian children, FFM accretion was
associated with linear growth at 1 y and no clear additional longitudinal effect
from 1 to 5 y was observed. FM accretion showed a weak association from 1 to 5 y.
This trial was registered at www.controlled-trials.com as ISRCTN46718296.
PMID- 29659956
TI - Polyphenols Have No Impact on Endothelial Function in Patients with Obstructive
Sleep Apnea: A Randomized Controlled Trial.
AB - Background: Endothelial dysfunction, a pathophysiologic determinant of
atherogenesis, has been found to occur in obstructive sleep apnea syndrome (OSA)
and is improved by continuous positive airway pressure (CPAP). However, the
efficacy of CPAP therapy is limited by variable adherence. Alternative treatment
strategies are needed. The impact of polyphenols on endothelial function has
never been evaluated in OSA. Objective: We evaluated the impact of 1-mo
supplementation with grape juice polyphenols (GJPs) on the reactive hyperemia
index (RHI), a validated measure of endothelial function in patients with severe
OSA. Methods: Forty participants [75% men, median (IQR) age: 61 y (34, 64 y), BMI
(in kg/m2): 30.6 (20.9, 33.7)] with severe OSA [median apnea-hypopnea index 43/h
(33/h, 56/h)] were randomly assigned to receive GJPs (300 mg/d; n = 20) or
placebo (n = 20) for 1 mo. The primary outcome was the change in RHI between
baseline and after 1 mo of GJPs or placebo. Secondary outcome measures included
changes in blood pressure (BP), heart rate (HR), and polysomnographic indexes.
Results: No significant differences in RHI and BP outcomes were observed between
the GJPs and placebo groups. A significant between-group difference was observed
for HR changes [-1 bpm (-5, +5 bpm) in the GJPs group compared with +6 bpm (+3,
+10 bpm) in the placebo group; P = 0.001]. A significant decrease in total sleep
time was observed in the GJPs group compared with the placebo group [-10 min (
33, 6 min) compared with +15 min (-12, 40 min), respectively; P = 0.02], with no
between-group differences in the distribution of sleep stages. Conclusions: In
participants with severe OSA and no overt cardiovascular disease, 1-mo GJP
supplementation had no effect on endothelial function. This trial was registered
at clinicaltrials.gov as NCT01977924.
PMID- 29659957
TI - Dietary Fermentable Fibers Attenuate Chronic Kidney Disease in Mice by Protecting
the Intestinal Barrier.
AB - Background: Chronic kidney disease (CKD) is a worldwide health problem. Although
the pathogenesis of CKD is still unclear, recent studies suggest that systemic
inflammation caused by a dysregulated microflora and an impaired intestinal
barrier is involved in CKD development. Objective: We investigated the effects of
the fermentable dietary fibers (DFs), unmodified guar gum (GG), and partially
hydrolyzed GG (PHGG) (i.e., substances with distinct viscosity characteristics)
on CKD development, with a particular focus on colonic tight junction (TJ)
barriers in mice. Methods: Male 7-wk-old ICR mice were fed an AIN-93G diet that
contained 0.25% adenine for 2 wk to induce CKD. Mice fed adenine were then
divided into 3 groups and fed the unsupplemented diet (CKD) or a diet containing
10% PHGG (CKD+PHGG) or GG (CKD+GG) for 3 wk. Control (CON) mice were fed an AIN
93G diet without adenine throughout the 5-wk experiment. Plasma urea
concentration; the colonic TJ proteins zonula occludens (ZO) 1, ZO2, occludin,
junctional adhesion molecule A (JAMA), and claudin isoforms; renal inflammatory
cytokines tumor necrosis factor alpha (Tnfa), interleukin (Il ) 1beta (Il1b), and
Il6; and cecal short-chain fatty acids (SCFAs) and microflora were analyzed.
Results: Compared with the CON, CKD+PHGG, and CKD+GG groups, the CKD group had a
2.2- to 4.4-fold higher plasma urea concentration and greater expression of
inflammatory cytokine genes in the kidney, including Tnfa (4.4- to 48-fold), Il1b
(4.6- to 56-fold), and Il6 (8.8- to 115-fold). The CON, CKD+PHGG, and CKD+GG
groups had greater expression of colonic TJ proteins including ZO1 (2.9- to 3.7
fold), ZO2 (3.4- to 4.3-fold), occludin (3.0- to 3.3-fold), JAMA (4.4- to 5.4
fold), and claudin 7 (2.1- to 2.6-fold) and higher cecal SCFA (1.8- to 3.5-fold)
and Lactobacillus (2.7- to 4.0-fold) concentrations than the CKD group.
Conclusion: Supplemental feeding with fermentable DFs, such as GG and PHGG, might
be effective for the prevention or management of CKD by restoring colonic barrier
integrity and microflora composition, as shown in mice.
PMID- 29659959
TI - Reply to TR Hill and I Kyriazakis.
PMID- 29659958
TI - Food Photography Is Not an Accurate Measure of Energy Intake in Obese, Pregnant
Women.
AB - Background: To improve weight management in pregnant women, there is a need to
deliver specific, data-based recommendations on energy intake. Objective: This
cross-sectional study evaluated the accuracy of an electronic reporting method to
measure daily energy intake in pregnant women compared with total daily energy
expenditure (TDEE). Methods: Twenty-three obese [mean +/- SEM body mass index
(kg/m2): 36.9 +/- 1.3] pregnant women (aged 28.3 +/-1.1 y) used a smartphone
application to capture images of their food selection and plate waste in free
living conditions for >=6 d in early (13-16 wk) and late (35-37 wk) pregnancy.
Energy intake was evaluated by the smartphone application SmartIntake and
compared with simultaneous assessment of TDEE obtained by doubly labeled water.
Accuracy was defined as reported energy intake compared with TDEE (percentage of
TDEE). Ecological momentary assessment prompts were used to enhance data
reporting. Two-one-sided t tests for the 2 methods were used to assess
equivalency, which was considered significant when accuracy was >80%. Results:
Energy intake reported by the SmartIntake application was 63.4% +/- 2.3% of TDEE
measured by doubly labeled water (P = 1.00). Energy intake reported as snacks
accounted for 17% +/- 2% of reported energy intake. Participants who used their
own phones compared with participants who used borrowed phones captured more
images (P = 0.04) and had higher accuracy (73% +/- 3% compared with 60% +/- 3% of
TDEE; P = 0.01). Reported energy intake as snacks was significantly associated
with the accuracy of SmartIntake (P = 0.03). To improve data quality, excluding
erroneous days of likely underreporting (<60% TDEE) improved the accuracy of
SmartIntake, yet this was not equivalent to TDEE (-22% +/- 1% of TDEE; P = 1.00).
Conclusions: Energy intake in obese, pregnant women obtained with the use of an
electronic reporting method (SmartIntake) does not accurately estimate energy
intake compared with doubly labeled water. However, accuracy improves by applying
criteria to eliminate erroneous data. Further evaluation of electronic reporting
in this population is needed to improve compliance, specifically for reporting
frequent intake of small meals. This trial was registered at
www.clinicaltrials.gov as NCT01954342.
PMID- 29659961
TI - Multi-Copper Ferroxidase-Deficient Mice Have Increased Brain Iron Concentrations
and Learning and Memory Deficits.
AB - Background: The accumulation of iron occurs in the central nervous system (CNS)
in several neurodegenerative diseases. Although multi-copper ferroxidases (MCFs)
play an important role in cellular iron metabolism and homeostasis, the mechanism
of MCFs in the CNS remains unclear. Objective: The aim was to study the role of
MCFs in CNS iron metabolism and homeostasis by using hephaestin/ceruloplasmin
(Heph/Cp) double knockout (KO) mice. Methods: Heph/Cp double KO male mice were
generated by crossing both single KO mice. In Heph/Cp KO and wild-type (WT)
control mice at 4 wk and 6 mo of age, iron concentrations of selected brain
regions were measured by atomic absorption spectrophotometry, and gene
expressions of Heph, Cp, ferroportin 1 (Fpn1) [+ iron responsive element (IRE)],
L-ferritin, H-ferritin, transferrin receptor 1 (Tfrc), and divalent metal
transporter 1 (Dmt1) (+IRE) were quantitated by quantitative reverse
transcriptase-polymerase chain reaction. Brain region L-ferritin protein
concentration, superoxide dismutase (SOD), and glutathione peroxidase (GPx)
activities and malondialdehyde (MDA) concentration were also determined. Learning
and memory abilities in Heph/Cp KO and WT control mice at 6 mo of age were tested
by the IntelliCage system (New Behavior). Results: Iron concentration was
significantly higher in Heph/Cp KO mice than in WT control mice at 4 wk of age in
the cortex (50%), hippocampus (120%), brainstem (35%), and cerebellum (220%) and
at 6 mo of age in the cortex (140%), hippocampus (420%), brainstem (560%), and
cerebellum (340%). L-Ferritin and MDA concentrations were significantly higher
and SOD and GPx activities were significantly lower in the cortex, hippocampus,
brainstem, and cerebellum of KO mice than in those of WT controls at both 4 wk
and 6 mo of age. Iron-related gene expressions also differed significantly
between groups. Learning and memory deficits occurred in Heph/Cp KO mice at 6 mo
of age. Conclusion: Mutation of both MCFs in mice induces iron accumulation in
brain regions, oxidative damage, and learning and memory defects.
PMID- 29659960
TI - Obese Mice Losing Weight Due to trans-10,cis-12 Conjugated Linoleic Acid
Supplementation or Food Restriction Harbor Distinct Gut Microbiota.
AB - Background: trans-10,cis-12 Conjugated linoleic acid (t10,c12-CLA) is a dietary
supplement that promotes weight loss by increasing fat oxidation and energy
expenditure. We previously reported that in the absence of t10,c12-CLA, mice
forced to lose equivalent body weight by food restriction (FR) do not exhibit
increases in fat oxidation or energy expenditure but have improved glucose
metabolism, consistent with FR as a metabolically healthy weight-loss method.
Objective: Because diet is a primary determinant of gut bacterial populations, we
hypothesized that the disparate metabolic effects accompanying weight loss from
t10,c12-CLA or FR could be related to altered intestinal microbiota. Methods: Ten
week-old male LDL receptor-deficient (Ldlr-/-) mice were fed a high-fat, high
sucrose diet (HFHS; 36% lard fat, 36.2% sucrose + 0.15% cholesterol) for 12 wk
(baseline), then switched to the HFHS diet alone (obese control), HFHS + 1%
c9,t11-CLA (obese fatty acid control), HFHS + 1% t10,c12-CLA (weight-loss
inducing fatty acid), or HFHS + FR (weight-loss control group with 75-85% ad
libitum HFHS food intake) for a further 8 wk. Fecal microbial content, short
chain fatty acids (butyrate, acetate), tissue CLA concentrations, and intestinal
nutrient transporter expression were quantified. Results: Mice fed t10,c12-CLA or
assigned to FR lost 14.5% of baseline body weight. t10,c12-CLA-fed mice had
elevated concentrations of fecal butyrate (2-fold) and plasma acetate (1.5-fold)
compared with HFHS-fed controls. Fecal alpha diversity decreased by 7.6-14% in
all groups. Butyrivibrio and Roseburia, butyrate-producing microbes, were
enriched over time by t10,c12-CLA. By comparing with each control group, we also
identified bacterial genera significantly enriched in the t10,c12-CLA recipients,
including Lactobacillus, Actinobacteria, and the newly identified Ileibacterium
valens of the Allobaculum genus, whereas other taxa were enriched by FR,
including Clostridiales and Bacteroides. Conclusion: Modalities resulting in
equivalent weight loss but with divergent metabolic effects are associated with
compositional differences in the mouse intestinal microbiota.
PMID- 29659962
TI - Low Dietary Folate Interacts with MTHFD1 Synthetase Deficiency in Mice, a Model
for the R653Q Variant, to Increase Incidence of Developmental Delays and Defects.
AB - Background: Suboptimal folate intake, a risk factor for birth defects, is common
even in areas with folate fortification. A polymorphism in
methylenetetrahydrofolate dehydrogenase 1 (MTHFD1), R653Q (MTHFD1 c.1958 G > A),
has also been associated with increased birth defect risk, likely through reduced
purine synthesis. Objective: We aimed to determine if the interaction of MTHFD1
synthetase deficiency and low folate intake increases developmental abnormalities
in a mouse model for MTHFD1 R653Q. Methods: Female Mthfd1S+/+ and Mthfd1S+/- mice
were fed control or low-folate diets (2 and 0.3 mg folic acid/kg diet,
respectively) before mating and during pregnancy. Embryos and placentas were
examined for anomalies at embryonic day 10.5. Maternal 1-carbon metabolites were
measured in plasma and liver. Results: Delays and defects doubled in litters of
Mthfd1S+/- females fed low-folate diets compared to wild-type females fed either
diet, or Mthfd1S+/- females fed control diets [P values (defects): diet 0.003,
maternal genotype 0.012, diet * maternal genotype 0.014]. These adverse outcomes
were associated with placental dysmorphology. Intrauterine growth restriction was
increased by embryonic Mthfd1S+/- genotype, folate deficiency, and interaction of
maternal Mthfd1S+/- genotype with folate deficiency (P values: embryonic genotype
0.045, diet 0.0081, diet * maternal genotype 0.0019). Despite a 50% increase in
methylenetetrahydrofolate reductase expression in low-folate maternal liver (P
diet = 0.0007), methyltetrahydrofolate concentration decreased 70% (P diet
<0.0001) and homocysteine concentration doubled in plasma (P diet = 0.0001); S
adenosylmethionine decreased 40% and S-adenosylhomocysteine increased 20% in low
folate maternal liver (P diet = 0.002 and 0.0002, respectively). Conclusions:
MTHFD1 synthetase-deficient mice are more sensitive to low folate intake than
wild-type mice during pregnancy. Reduced purine synthesis due to synthetase
deficiency and altered methylation potential due to low folate may increase
pregnancy complications. Further studies and individualized intake
recommendations may be required for women homozygous for the MTHFD1 R653Q
variant.
PMID- 29659963
TI - Saturated Fats from Butter but Not from Cheese Increase HDL-Mediated Cholesterol
Efflux Capacity from J774 Macrophages in Men and Women with Abdominal Obesity.
AB - Background: Recent evidence suggests that the association between dietary
saturated fatty acids (SFAs) and coronary artery disease risk varies according to
food sources. How SFAs from butter and cheese influence HDL-mediated cholesterol
efflux capacity (CEC), a key process in reverse cholesterol transport, is
currently unknown. Objective: In a predefined secondary analysis of a previously
published trial, we have examined how diets rich in SFAs from either cheese or
butter influence HDL-mediated CEC, compared with diets rich in either
monounsaturated fatty acids (MUFAs) or polyunsaturated fatty acids (PUFAs).
Methods: In a randomized crossover controlled consumption trial, 46 men and women
with abdominal obesity consumed 5 isocaloric diets, each for 4 wk. Two diets were
rich in SFAs either from cheese (CHEESE) or butter (BUTTER) [12.4-12.6% of energy
(%E) as SFAs, 32%E as fat, 52%E as carbohydrates]. In 2 other diets, SFAs (5.8%E)
were replaced with either MUFAs from refined olive oil (MUFA) or PUFAs from corn
oil (PUFA). Finally, a lower fat and carbohydrate diet was used as a control
(5.8%E as SFAs, 25.0%E as fat, 59%E as carbohydrates; CHO). Post-diet HDL
mediated CEC was determined ex vivo using radiolabelled J774 macrophages
incubated with apolipoprotein B-depleted serum from the participants. Results:
Mean (+/-SD) age was 41.4 +/- 14.2 y, and waist circumference was 107.6 +/- 11.5
cm in men and 94.3 +/- 12.4 cm in women. BUTTER and MUFA increased HDL-mediated
CEC compared with CHEESE (+4.3%, P = 0.026 and +4.7%, P = 0.031, respectively).
Exploring the significant diet * sex interaction (P = 0.044) revealed that the
increase in HDL-mediated CEC after BUTTER compared with CHEESE was significant
among men (+6.0%, P = 0.047) but not women (+2.9%, P = 0.19), whereas the
increase after MUFA compared with CHEESE was significant among women (+9.1%, P =
0.008) but not men (-0.6%, P = 0.99). Conclusion: These results provide evidence
of a food matrix effect modulating the impact of dairy SFAs on HDL-mediated CEC
with potential sex-related differences that deserve further investigation. This
trial was registered at clinicaltrials.gov as NCT02106208.
PMID- 29659964
TI - Universal Salt Iodization Provides Sufficient Dietary Iodine to Achieve Adequate
Iodine Nutrition during the First 1000 Days: A Cross-Sectional Multicenter Study.
AB - Background: Dietary iodine requirements are high during pregnancy, lactation, and
infancy, making women and infants vulnerable to iodine deficiency. Universal salt
iodization (USI) has been remarkably successful for preventing iodine deficiency
in the general population, but it is uncertain if USI provides adequate iodine
intakes during the first 1000 d. Objective: We set out to assess if USI provides
sufficient dietary iodine to meet the iodine requirements and achieve adequate
iodine nutrition in all vulnerable population groups. Methods: We conducted an
international, cross-sectional, multicenter study in 3 study sites with mandatory
USI legislation. We enrolled 5860 participants from 6 population groups (school
age children, nonpregnant nonlactating women of reproductive age, pregnant women,
lactating women, 0-6-mo-old infants, and 7-24-mo-old infants) and assessed iodine
status [urinary iodine concentration (UIC)] and thyroid function in Linfen, China
(n = 2408), Tuguegarao, the Philippines (n = 2512), and Zagreb, Croatia (n =
940). We analyzed the iodine concentration in household salt, breast milk,
drinking water, and cow's milk. Results: The salt iodine concentration was low
(<15 mg/kg) in 2.7%, 33.6%, and 3.1%, adequate (15-40 mg/kg) in 96.3%, 48.4%, and
96.4%, and high (>40 mg/kg) in 1.0%, 18.0%, and 0.5% of household salt samples in
Linfen (n = 402), Tuguegarao (n = 1003), and Zagreb (n = 195), respectively. The
median UIC showed adequate iodine nutrition in all population groups, except for
excessive iodine intake in school-age children in the Philippines and borderline
low intake in pregnant women in Croatia. Conclusions: Salt iodization at ~25
mg/kg that covers a high proportion of the total amount of salt consumed supplies
sufficient dietary iodine to ensure adequate iodine nutrition in all population
groups, although intakes may be borderline low during pregnancy. Large variations
in salt iodine concentrations increase the risk for both low and high iodine
intakes. Strict monitoring of the national salt iodization program is therefore
essential for optimal iodine nutrition. This trial was registered at
clinicaltrials.gov as NCT02196337.
PMID- 29659965
TI - A Healthy Asian A Posteriori Dietary Pattern Correlates with A Priori Dietary
Patterns and Is Associated with Cardiovascular Disease Risk Factors in a
Multiethnic Asian Population.
AB - Background: Healthful dietary patterns are associated with cardiovascular disease
risk factors in Western populations. However, a consistent healthful dietary
pattern across major Asian ethnic groups has yet to be identified. Objective: We
aimed to identify a posteriori dietary patterns for Chinese, Malay, and Indian
ethnic groups in an urban Asian setting, compare these with a priori dietary
patterns, and ascertain associations with cardiovascular disease risk factors
including hypertension, obesity, and abnormal blood lipid concentrations.
Methods: We used cross-sectional data from 8433 Singapore residents (aged 21-94
y) from the Multi-Ethnic Cohort study of Chinese, Malay, and Indian ethnicity.
Food consumption was assessed using a validated 169-item food-frequency
questionnaire. With the use of 28 food groups, dietary patterns were derived by
principal component analysis, and their association with cardiovascular disease
risk factors was assessed using multiple linear regression. Associations between
derived patterns and a priori patterns (aHEI-2010-Alternative Healthy Eating
Index-2010, aMED-alternate Mediterranean Diet, and DASH-Dietary Approaches to
Stop Hypertension) were assessed, and the magnitude of associations with risk
factors compared. Results: We identified a "healthy" dietary pattern, similar
across ethnic groups, and characterized by high intakes of whole grains, fruit,
dairy, vegetables, and unsaturated cooking oil and low intakes of Western fast
foods, sugar-sweetened beverages, poultry, processed meat, and flavored rice.
This "healthy" pattern was inversely associated with body mass index (BMI; in
kg/m2) (-0.26 per 1 SD of the pattern score; 95% CI: -0.36, -0.16), waist
circumference (-0.57 cm; 95% CI: -0.82, -0.32), total cholesterol (-0.070 mmol/L;
95% CI: -0.091, -0.048), LDL cholesterol (-0.054 mmol/L; 95% CI: -0.074, -0.035),
and fasting triglycerides (-0.22 mmol/L; 95% CI: -0.04, -0.004) and directly
associated with HDL cholesterol (0.013 mmol/L; 95% CI: 0.006, 0.021). Generally,
"healthy" pattern associations were at least as strong as a priori pattern
associations with cardiovascular disease risk factors. Conclusion: A healthful
dietary pattern that correlated well with a priori patterns and was associated
with lower BMI, serum LDL cholesterol, total cholesterol, and fasting
triglyceride concentrations was identified across 3 major Asian ethnic groups.
PMID- 29659966
TI - Peri-Urban, but Not Urban, Residence in Bolivia Is Associated with Higher Odds of
Co-Occurrence of Overweight and Anemia among Young Children, and of Households
with an Overweight Woman and Stunted Child.
AB - Background: Urban populations have grown globally alongside emerging simultaneous
burdens of undernutrition and obesity. Yet, how heterogeneous urban environments
are associated with this nutritional double burden is poorly understood.
Objective: We aimed to determine: 1) the prevalence of the nutritional double
burden and its components in urban, peri-urban, and rural areas of Bolivia; and
2) the association of residence in these areas with the nutritional double burden
and its components. Design: We surveyed 3946 randomly selected households from 2
metropolitan regions of Bolivia. Census data and remotely sensed imagery were
used to define urban, peri-urban, and rural districts along a transect in each
region. We defined 5 nutritional double burdens: concurrent overweight and anemia
among women of reproductive age (15-49 y), and children (6-59 mo), respectively;
concurrent overweight and stunting among children; and households with an
overweight woman and, respectively, an anemic or stunted child. Capillary
hemoglobin concentrations were measured to assess anemia (women: hemoglobin <120
g/L; children: hemoglobin <110 g/L), and overweight and stunting were calculated
from height, weight, and age data. Results: In multiple logistic regression
models, peri-urban, but not urban residence, was associated with higher odds of
concurrent overweight and anemia among children (OR: 1.8; 95% CI; 1.0, 3.2) and
of households with an overweight woman and stunted child (1.8; 1.2, 2.7).
Examining the components of the double burden, peri-urban women and children,
respectively, had higher odds of overweight than rural residents [women (1.5;
1.2, 1.8); children (1.5; 1.0, 2.4)], and children from peri-urban regions had
higher odds of stunting (1.5; 1.1, 2.2). Conclusions: Peri-urban, but not urban,
residence in Bolivia is associated with a higher risk of the nutritional double
burden than rural areas. Understanding how heterogeneous urban environments
influence nutrition outcomes could inform integrated policies that simultaneously
address both undernutrition and obesity.
PMID- 29659967
TI - Carbohydrate Replacement of Rice or Potato with Lentils Reduces the Postprandial
Glycemic Response in Healthy Adults in an Acute, Randomized, Crossover Trial.
AB - Background: The postprandial blood glucose response (PBGR) following carbohydrate
replacement of high-glycemic index (GI) foods with pulses, in a mixed meal, has
not been accurately defined. Objective: We aimed to determine the extent to which
PBGR and relative glycemic response (RGR) are lowered when half of the available
carbohydrate (AC) from rice or potato is replaced with cooked lentils. Methods:
Using a crossover design, 2 groups of 24 healthy adults randomly consumed 50 g AC
from control white rice alone [mean +/- SD body mass index (BMI, in kg/m2): 24.3
+/- 0.5; mean +/- SD age: 27.7 +/- 1.2 y], instant potato alone (BMI: 24.0 +/-
0.5; age: 27.4 +/- 1.2 y), or the same starch source in a 50:50 AC combination
with each of 3 types of commercially available lentils (large green, small green,
split red). Fasting and postprandial blood samples were analyzed for glucose and
insulin, and used to derive incremental area under the curve (iAUC), RGR, and
maximum concentration (Cmax). Treatment effects were assessed with the use of
repeated-measures ANOVA within the rice and potato treatments. Results: In
comparison to rice alone, blood glucose iAUC and Cmax (P < 0.001) were lowered
after consumption of rice with large green (P = 0.057), small green (P = 0.002),
and split red (P = 0.006) lentils. Blood glucose iAUC and Cmax were also
significantly lowered (P < 0.0001) after consumption of potato combined with each
lentil, compared to potato alone. Plasma insulin iAUC and Cmax were significantly
(P < 0.001) decreased when lentils were combined with potato, but not with rice.
The RGRs of rice and potato were lowered by ~20% and 35%, respectively, when half
of their AC was replaced with lentils. Conclusions: Replacing half of the AC from
high-GI foods with lentils significantly attenuates PBGR in healthy adults; this
can contribute to defining a health claim for pulses and blood glucose lowering.
This trial was registered at clinicaltrials.gov as NCT02426606.
PMID- 29659968
TI - Healthy Plant-Based Diets Are Associated with Lower Risk of All-Cause Mortality
in US Adults.
AB - Background: Plant-based diets, often referred to as vegetarian diets, are
associated with health benefits. However, the association with mortality is less
clear. Objective: We investigated associations between plant-based diet indexes
and all-cause and cardiovascular disease mortality in a nationally representative
sample of US adults. Methods: Analyses were based on 11,879 participants (20-80 y
of age) from NHANES III (1988-1994) linked to data on all-cause and
cardiovascular disease mortality through 2011. We constructed an overall plant
based diet index (PDI), which assigns positive scores for plant foods and
negative scores for animal foods, on the basis of a food-frequency questionnaire
administered at baseline. We also constructed a healthful PDI (hPDI), in which
only healthy plant foods received positive scores, and a less-healthful
(unhealthy) PDI (uPDI), in which only less-healthful plant foods received
positive scores. Cox proportional hazards models were used to estimate the
association between plant-based diet consumption in 1988-1994 and subsequent
mortality. We tested for effect modification by sex. Results: In the overall
sample, PDI and uPDI were not associated with all-cause or cardiovascular disease
mortality after controlling for demographic characteristics, socioeconomic
factors, and health behaviors. However, among those with an hPDI score above the
median, a 10-unit increase in hPDI was associated with a 5% lower risk in all
cause mortality in the overall study population (HR: 0.95; 95% CI: 0.91, 0.98)
and among women (HR: 0.94; 95% CI: 0.88, 0.99), but not among men (HR: 0.95; 95%
CI: 0.90, 1.01). There was no effect modification by sex (P-interaction > 0.10).
Conclusions: A nonlinear association between hPDI and all-cause mortality was
observed. Healthy plant-based diet scores above the median were associated with a
lower risk of all-cause mortality in US adults. Future research exploring the
impact of quality of plant-based diets on long-term health outcomes is necessary.
PMID- 29659969
TI - Aspartame Consumption for 12 Weeks Does Not Affect Glycemia, Appetite, or Body
Weight of Healthy, Lean Adults in a Randomized Controlled Trial.
AB - Background: Low-calorie sweeteners are often used to moderate energy intake and
postprandial glycemia, but some evidence indicates that they may exacerbate these
aims. Objective: The trial's primary aim was to assess the effect of daily
aspartame ingestion for 12 wk on glycemia. Effects on appetite and body weight
were secondary aims. Methods: One hundred lean [body mass index (kg/m2): 18-25]
adults aged 18-60 y were randomly assigned to consume 0, 350, or 1050 mg
aspartame/d (ASP groups) in a beverage for 12 wk in a parallel-arm design. At
baseline, body weight and composition were determined, a 240-min oral-glucose
tolerance test (OGTT) was administered, and measurements were made of appetite
and selected hormones. Participants also collected a 24-h urine sample. During
the intervention, the 0-mg/d ASP group consumed capsules containing 680 mg
dextrose and 80 mg para-amino benzoic acid. For the 350-mg/d ASP group, the
beverage contained 350 mg aspartame and the 1050-mg/d ASP group consumed the same
beverage plus capsules containing 680 mg dextrose and 700 mg aspartame. Body
weight, blood pressure, heart rate, and waist circumference were measured weekly.
At weeks 4, 8, and 12, participants collected 24-h urine samples and kept
appetite logs. Baseline measurements were repeated at week 12. Results: With the
exception of the baseline OGTT glucose concentration at 60 min (and resulting
area under the curve value), there were no group differences for glucose,
insulin, resting leptin, glucagon-like peptide 1, or gastric inhibitory peptide
at baseline or week 12. There also were no effects of aspartame ingestion on
appetite, body weight, or body composition. Compliance with the beverage
intervention was ~95%. Conclusions: Aspartame ingested at 2 doses for 12 wk had
no effect on glycemia, appetite, or body weight among healthy, lean adults. These
data do not support the view that aspartame is problematic for the management of
glycemia, appetite, or body weight. This trial was registered at
www.clinicaltrials.gov as NCT02999321.
PMID- 29659970
TI - Cholic Acid Supplementation of a High-Fat Obesogenic Diet Suppresses Hepatic
Triacylglycerol Accumulation in Mice via a Fibroblast Growth Factor 21-Dependent
Mechanism.
AB - Background: Supplementation of a high-fat obesogenic diet (HFD) with cholic acid
(CA) suppresses the development of obesity, insulin resistance, and hepatic
steatosis in mice. Objective: We investigated the role of fibroblast growth
factor 21 (FGF21) in mediating the beneficial actions of CA on metabolic
syndrome. Methods: Male 7-wk-old wild-type (WT) mice and FGF21 knockout (FGF21KO)
mice were fed an HFD for 12 wk followed by a 4-wk period in which the mice were
fed the HFD alone or supplemented with 0.5% CA. Body composition, gross energy
efficiency, glucose tolerance, homeostasis model assessment of insulin resistance
(HOMA-IR), and hepatic triacylglycerol (TG) concentrations were measured.
Results: CA administration improved glucose tolerance and decreased total body
fat accretion, gross energy efficiency, fasting blood glucose concentrations, and
HOMA-IR in both WT mice and FGF21KO mice. The extent of the effect of CA on
glucose tolerance, fasting blood glucose concentrations, and HOMA-IR was similar
in both mouse strains, whereas the extent of the effect of CA on total body fat
accretion and gross energy efficiency was 4.2- to 4.4-fold greater in FGF21KO
mice than in WT mice. Further analyses showed that CA decreased hepatic TG
concentrations in WT mice (49%) but had no effect on hepatic TG concentrations in
FGF21KO mice. CA decreased the activation state of hepatic acetyl-CoA carboxylase
1 (ACC1) and adipose tissue hormone-sensitive lipase (HSL) in WT mice but was not
effective in decreasing the activation of ACC1 and HSL in FGF21KO mice.
Conclusions: FGF21 signaling is required for the beneficial effect of CA on
hepatic TG accumulation in mice fed an HFD. We propose that FGF21 signaling
potentiates the ability of CA to decrease the activation of ACC1 and HSL, key
enzymes controlling the supply of long-chain fatty acid precursors for hepatic TG
synthesis.
PMID- 29659971
TI - New Insight about Height: Body-Composition Changes in Infancy Predict Later
Linear Growth.
PMID- 29659972
TI - Acceleration of Olfactory Receptor Gene Loss in Primate Evolution: Possible Link
to Anatomical Change in Sensory Systems and Dietary Transition.
AB - Primates have traditionally been regarded as vision-oriented animals with low
olfactory ability, though this "microsmatic primates" view has been challenged
recently. To clarify when and how degeneration of the olfactory system occurred
and to specify the relevant factors during primate evolution, we here examined
the olfactory receptor (OR) genes from 24 phylogenetically and ecologically
diverse primate species. The results revealed that strepsirrhines with curved
noses had functional OR gene repertoires that were nearly twice as large as those
for haplorhines with simple noses. Neither activity pattern (nocturnal/diurnal)
nor color vision system showed significant correlation with the number of
functional OR genes while phylogeny and nose structure (haplorhine/strepsirrhine)
are statistically controlled, but extent of folivory did. We traced the
evolutionary fates of individual OR genes by identifying orthologous gene groups,
demonstrating that the rates of OR gene losses were accelerated at the ancestral
branch of haplorhines, which coincided with the acquisition of acute vision. The
highest rate of OR gene loss was observed at the ancestral branch of leaf-eating
colobines; this reduction is possibly linked with the dietary transition from
frugivory to folivory because odor information is essential for fruit foraging
but less so for leaf foraging. Intriguingly, we found accelerations of OR gene
losses in an external branch to every hominoid species examined. These findings
suggest that the current OR gene repertoire in each species has been shaped by a
complex interplay of phylogeny, anatomy, and habitat; therefore, multiple factors
may contribute to the olfactory degeneration in primates.
PMID- 29659973
TI - The Diagnostic Challenge of Acquired Thrombotic Thrombocytopenic Purpura in
Children: Case Report and Review of the Literature.
AB - Acquired thrombotic thrombocytopenic purpura (TTP) is a rare disease in children.
Despite advances in diagnosis and treatment, acquired TTP remains a challenging
disease due to the lack of a simple diagnostic test and the variable response to
plasma exchange. Herein, we describe a case of a 5-year-old of black ethnicity
boy who presented with a sudden onset of fatigue and body aches. Laboratory
investigations revealed a thrombotic microangiopathic manifestation. TTP was
diagnosed, and plasma exchange and corticosteroids were initiated, with an
excellent response. Subsequently, reduced disintegrin and metalloprotease with
thrombospodin-2-like repeats (ADAMTS-13) activity and human immunodeficiency
virus (HIV) were confirmed. Antiretroviral treatment was started as long-term
management. At last follow-up, he continues in stable remission.
PMID- 29659974
TI - Active Host Response to Algal Symbionts in the Sea Slug Elysia chlorotica.
AB - Sacoglossan sea slugs offer fascinating systems to study the onset and
persistence of algal-plastid symbioses. Elysia chlorotica is particularly
noteworthy because it can survive for months, relying solely on energy produced
by ingested plastids of the stramenopile alga Vaucheria litorea that are
sequestered in cells lining its digestive diverticula. How this animal can
maintain the actively photosynthesizing organelles without replenishment of
proteins from the lost algal nucleus remains unknown. Here, we used RNA-Seq
analysis to test the idea that plastid sequestration leaves a significant
signature on host gene expression during E. chlorotica development. Our results
support this hypothesis and show that upon exposure to and ingestion of V.
litorea plastids, genes involved in microbe-associated molecular patterns and
oxidative stress-response mechanisms are significantly up-regulated.
Interestingly, our results with E. chlorotica mirror those found with corals that
maintain dinoflagellates as intact cells in symbiosomes, suggesting parallels
between these animal-algal symbiotic interactions.
PMID- 29659975
TI - Synergistic Binding of bHLH Transcription Factors to the Promoter of the Maize
NADP-ME Gene Used in C4 Photosynthesis Is Based on an Ancient Code Found in the
Ancestral C3 State.
AB - C4 photosynthesis has evolved repeatedly from the ancestral C3 state to generate
a carbon concentrating mechanism that increases photosynthetic efficiency. This
specialized form of photosynthesis is particularly common in the PACMAD clade of
grasses, and is used by many of the world's most productive crops. The C4 cycle
is accomplished through cell-type-specific accumulation of enzymes but cis
elements and transcription factors controlling C4 photosynthesis remain largely
unknown. Using the NADP-Malic Enzyme (NADP-ME) gene as a model we tested whether
mechanisms impacting on transcription in C4 plants evolved from ancestral
components found in C3 species. Two basic Helix-Loop-Helix (bHLH) transcription
factors, ZmbHLH128 and ZmbHLH129, were shown to bind the C4NADP-ME promoter from
maize. These proteins form heterodimers and ZmbHLH129 impairs trans-activation by
ZmbHLH128. Electrophoretic mobility shift assays indicate that a pair of cis
elements separated by a seven base pair spacer synergistically bind either
ZmbHLH128 or ZmbHLH129. This pair of cis-elements is found in both C3 and C4
Panicoid grass species of the PACMAD clade. Our analysis is consistent with this
cis-element pair originating from a single motif present in the ancestral C3
state. We conclude that C4 photosynthesis has co-opted an ancient C3 regulatory
code built on G-box recognition by bHLH to regulate the NADP-ME gene. More
broadly, our findings also contribute to the understanding of gene regulatory
networks controlling C4 photosynthesis.
PMID- 29659976
TI - A novel radiation-shielding undergarment using tungsten functional paper for
patients with permanent prostate brachytherapy.
AB - Tungsten functional paper (TFP) is a paper-based radiation-shielding material,
which is lead-free and easy to cut. We developed a radiation protection
undergarment using TFP for prostate cancer patients treated with permanent 125I
seed implantation (PSI). The aim of this study was to evaluate the shielding
ability of the undergarment with respect to household contacts and members of the
public. Between October 2016 and April 2017, a total of 10 prostate cancer
patients treated with PSI were enrolled in this prospective study. The external
radiation exposure from each patient 1 day after PSI was measured with and
without the undergarment. Measurements were performed using a survey meter at 100
cm from the surface of the patient's body. The exposure rates were measured from
five directions: anterior, anteriorly oblique, lateral, posteriorly oblique, and
posterior. The measured radiation exposure rates without the undergarment,
expressed as mean +/- standard deviation, from the anterior, anteriorly oblique,
lateral, posteriorly oblique, and posterior directions were 1.28 +/- 0.43 MUSv/h,
0.70 +/- 0.34 MUSv/h, 0.21 +/- 0.062 MUSv/h, 0.65 +/- 0.33 MUSv/h and 1.24 +/-
0.41 MUSv/h, respectively. The undergarment was found to have (mean +/- standard
deviation) shielding abilities of 88.7 +/- 5.8%, 44.0 +/- 42.1%, 50.6 +/- 15.9%,
72.9 +/- 27.0% and 90.4 +/- 10.7% from the anterior, anteriorly oblique, lateral,
posteriorly oblique, and posterior directions, respectively. In conclusion, this
shielding undergarment is a useful device that has the potential to reduce
radiation exposure for the general public and the patient's family.
PMID- 29659977
TI - Quantification of variation in dose-volume parameters for the heart, pericardium
and left ventricular myocardium during thoracic tumor radiotherapy.
AB - Cardiac activity can induce dose-volume evaluation errors for cardiac structures.
The purpose of this study was to quantify the variation in dose-volume parameters
for the heart, pericardium and left ventricular myocardium (LVM) throughout the
cardiac circle. The heart, pericardium and LVM of 22 patients were contoured on
20 phases of electrocardiography-gated 4D computed tomography (4DCT) images
acquired during breath-hold. Radiotherapy plans were designed on 0% phase of the
4DCT images, and the dose distributions of the plans were imported into MIM
Maestro and deformed to each phase to generate distributions for all phases.
Variations in dose-volume parameters for the heart, pericardium and LVM were
compared among different phases. The rates of variation in Dmean for the heart
and pericardium were 3.33 +/- 1.04% and 2.66 +/- 1.15%, respectively. The mean
values of the maximum difference in V5, V10, V20, V30 and V40 were all <2% for
the heart and pericardium and were not statistically significant (P > 0.05). The
rate of variation in Dmean for the LVM reached 87.05 +/- 38.34%, and the maximum
differences in V5, V10, V20, V30 and V40 were 13.76 +/- 4.46%, 13.64 +/- 4.33%,
12.84 +/- 4.55%, 11.62 +/- 4.85% and 3.63 +/- 2.56%, respectively; all
differences were statistically significant (P < 0.05). Variations in dose-volume
parameters were more significant in the LVM than in the heart and pericardium (P
< 0.05). The dose-volume parameters for the LVM were significantly influenced by
cardiac activity, whereas those for the heart and pericardium were not;
therefore, individual dosimetric evaluation and limitation must be performed for
the LVM.
PMID- 29659978
TI - Consideration of the usefulness of a size-specific dose estimate in pediatric CT
examination.
AB - Computed tomography (CT) has recently been utilized in various medical settings,
and technological advances have resulted in its widespread use. However, medical
radiation exposure associated with CT scans accounts for the largest share of
examinations using radiation; thus, it is important to understand the organ dose
and effective dose in detail. The CT dose index and dose-length product are used
to evaluate the organ dose. However, evaluations using these indicators fail to
consider the age and body type of patients. In this study, we evaluated the
effective dose based on the CT examination data of 753 patients examined at our
hospital using the size-specific dose estimate (SSDE) method, which can calculate
the exposure dose with consideration of the physique of a patient. The results
showed a large correlation between the SSDE conversion factor and physique, with
a larger exposure dose in patients with a small physique when a single scan is
considered. Especially for children, the SSDE conversion factor was found to be 2
or more. In addition, the patient exposed to the largest dose in this study was a
10-year-old, who received 40.4 mSv (five series/examination). In the future, for
estimating exposure using the SSDE method and in cohort studies, the diagnostic
reference level of SSDE should be determined and a low-exposure imaging protocol
should be developed to predict the risk of CT exposure and to maintain the
quality of diagnosis with better radiation protection of patients.
PMID- 29659979
TI - Redox-dependent control of nuclear transcription in plants.
AB - Redox-dependent regulatory networks are affected by altered cellular or
extracellular levels of reactive oxygen species (ROS). Perturbations of ROS
production and scavenging homeostasis have a considerable impact on the nuclear
transcriptome. While the regulatory mechanisms by which ROS modulate gene
transcription in prokaryotes, lower eukaryotes, and mammalian cells are well
established, new insights into the mechanism underlying redox control of gene
expression in plants have only recently been known. In this review, we aim to
provide an overview of the current knowledge on how ROS and thiol-dependent
transcriptional regulatory networks are controlled. We assess the impact of redox
perturbations and oxidative stress on transcriptome adjustments using cat2
mutants as a model system and discuss how redox homeostasis can modify the
various parts of the transcriptional machinery.
PMID- 29659980
TI - Management of Bullet Emboli to the Heart and Great Vessels.
AB - Introduction: Firearm-related injuries account for 20% of all injury-related
deaths and are responsible for 105,000 injuries annually. The occurrence of
bullet emboli to the heart is exceedingly rare. Given the rarity of emboli,
controversy exists over management. The primary endpoint of this study is to
establish a management algorithm for venous bullet emboli to the heart. Materials
and methods: A literature search was performed using PubMed and Google Scholar
with the following search terms: cardiac bullet embolus, cardiac missile embolus,
and bullet embolus. Any discoverable case report(s) or series after 1960 were
included in the review. The following data points were collected: age, sex,
presentation, imaging, foreign body entry site, foreign body destination site,
management, and outcomes. Results: Fifty-four articles met our search criteria. A
total of 62 patients with thoracic venous bullet emboli were identified with the
following distributions: right atrium (9.7%), right ventricle (54.8%), pulmonary
arterial tree (32.3%), and intra-thoracic inferior vena cava (3.2%). Only 11.3%
of patients had symptoms directly related to the cardiac venous emboli; however,
all patients with acute symptoms underwent immediate intervention. Of those
patients with bullet emboli to the pulmonary arterial tree, 45% were observed;
whereas, only 20% with emboli to the right heart were observed. Those without
signs or symptoms usually underwent an intervention (72.7%). Endovascular
retrieval was successful in 53% of attempts. Of the endovascular attempts that
failed, 28.6% were observed and 71.4% underwent open retrieval. Those who were
asymptomatic and observed had no reported adverse sequelae during the follow-up.
No mortalities were discovered in this review. Conclusion: Bullet emboli can
prove to be a clinical challenge. Adjuncts such as X-ray, computed tomography,
transthoracic, and/or transesophageal echocardiography help establish the emboli
location. While observation in the asymptomatic patient is reasonable in some
circumstances, most patients undergo removal. Removal of bullet cardiac emboli is
safe with the availability of modern techniques.
PMID- 29659981
TI - Neutral Variation in the Context of Selection.
AB - In its initial formulation by Motoo Kimura, the neutral theory was concerned
solely with the level of variability maintained by random genetic drift of
selectively neutral mutations, and the rate of molecular evolution caused by the
fixation of such mutations. The original theory considered events at a single
genetic locus in isolation from the rest of the genome. It did not take long,
however, for theoreticians to wonder whether selection at one or more loci might
influence neutral variability at linked sites. Once DNA sequence variability
could be studied, and especially when resequencing of whole genomes became
possible, it became clear that patterns of neutral variability in genomes are
affected by selection at linked sites, and that these patterns could advance our
understanding of natural selection, and can be used to detect the action of
selection in genomic regions, including selection much weaker than could be
detected by direct measurements of the relative fitnesses of different genotypes.
We outline the different types of processes that have been studied, in
approximate order of their historical development.
PMID- 29659983
TI - Inorganic carbon and pH dependency of photosynthetic rates in Trichodesmium.
AB - Increasing atmospheric CO2 concentrations are leading to increases in dissolved
CO2 and HCO3- concentrations and decreases in pH and CO32- in the world's oceans.
There remain many uncertainties as to the magnitude of biological responses of
key organisms to these chemical changes. In this study, we established the
relationship between photosynthetic carbon fixation rates and pH, CO2, and HCO3-
concentrations in the diazotroph, Trichodesmium erythraeum IMS101. Inorganic 14C
assimilation was measured in TRIS-buffered artificial seawater medium where the
absolute and relative concentrations of CO2, pH, and HCO3- were manipulated.
First, we varied the total dissolved inorganic carbon concentration (TIC) (<0 to
~5 mM) at constant pH, so that ratios of CO2 and HCO3- remained relatively
constant. Second, we varied pH (~8.54 to 7.52) at constant TIC, so that CO2
increased whilst HCO3- declined. We found that 14C-assimilation could be
described by the same function of CO2 for both approaches, but it showed
different dependencies on HCO3- when pH was varied at constant TIC than when TIC
was varied at constant pH. A numerical model of the carbon-concentrating
mechanism (CCM) of Trichodesmium showed that carboxylation rates are modulated by
HCO3- and pH. The decrease in assimilation of inorganic carbon (Ci) at low CO2,
when TIC was varied, was due to HCO3- uptake limitation of the carboxylation
rate. Conversely, when pH was varied, Ci assimilation declined due to a high-pH
mediated increase in HCO3- and CO2 leakage rates, potentially coupled to other
processes (uncharacterised within the CCM model) that restrict Ci assimilation
rates under high-pH conditions.
PMID- 29659984
TI - Molecular Biology and Evolution, Volume 35, Issue 4.
PMID- 29659982
TI - Transcription start site analysis reveals widespread divergent transcription in
D. melanogaster and core promoter-encoded enhancer activities.
AB - Mammalian gene promoters and enhancers share many properties. They are composed
of a unified promoter architecture of divergent transcripton initiation and gene
promoters may exhibit enhancer function. However, it is currently unclear how
expression strength of a regulatory element relates to its enhancer strength and
if the unifying architecture is conserved across Metazoa. Here we investigate the
transcription initiation landscape and its associated RNA decay in Drosophila
melanogaster. We find that the majority of active gene-distal enhancers and a
considerable fraction of gene promoters are divergently transcribed. We observe
quantitative relationships between enhancer potential, expression level and core
promoter strength, providing an explanation for indirectly related histone
modifications that are reflecting expression levels. Lowly abundant unstable RNAs
initiated from weak core promoters are key characteristics of gene-distal
developmental enhancers, while the housekeeping enhancer strengths of gene
promoters reflect their expression strengths. The seemingly separable layer of
regulation by gene promoters with housekeeping enhancer potential is also
indicated by chromatin interaction data. Our results suggest a unified promoter
architecture of many D. melanogaster regulatory elements, that is universal
across Metazoa, whose regulatory functions seem to be related to their core
promoter elements.
PMID- 29659985
TI - Grapevine fatty acid hydroperoxide lyase generates actin-disrupting volatiles and
promotes defence-related cell death.
AB - Fatty acid hydroperoxides can generate short-chained volatile aldehydes that may
participate in plant defence. A grapevine hydroperoxide lyase (VvHPL1) clustering
to the CYP74B class was functionally characterized with respect to a role in
defence. In grapevine leaves, transcripts of this gene accumulated rapidly to
high abundance in response to wounding. Cellular functions of VvHPL1 were
investigated upon heterologous expression in tobacco BY-2 cells. A C-terminal
green fluorescent protein (GFP) fusion of VvHPL1 was located in plastids. The
overexpression lines were found to respond to salinity stress or the bacterial
elicitor harpin by increasing cell death. This signal-dependent mortality
response was mitigated either by addition of exogenous jasmonic acid or by
treatment with diphenyleneiodonium (DPI), an inhibitor of NADPH oxidases. By
feeding different substrates to recombinantly expressed enzyme, VvHPL1 could also
be functionally classified as true 13-HPL. The cognate products generated by this
13-HPL were cis-3-hexenal and trans-2-hexenal. Using a GFP-tagged actin marker
line, one of these isomeric products, cis-3-hexenal, was found specifically to
elicit a rapid disintegration of actin filaments. This response was not only
observed in the heterologous system (tobacco BY-2), but also in a grapevine cell
strain expressing this marker, as well as in leaf discs from an actin marker
grape used as a homologous system. These results are discussed in the context of
a role for VvHPL1 in a lipoxygenase-dependent signalling pathway triggering cell
death-related defence that bifurcates from jasmonate-dependent basal immunity.
PMID- 29659987
TI - An in vitro verification of strength estimation for moving an 125I source during
implantation in brachytherapy.
AB - This study aims to demonstrate the feasibility of a method for estimating the
strength of a moving brachytherapy source during implantation in a patient.
Experiments were performed under the same conditions as in the actual treatment,
except for one point that the source was not implanted into a patient. The
brachytherapy source selected for this study was 125I with an air kerma strength
of 0.332 U (MUGym2h-1), and the detector used was a plastic scintillator with
dimensions of 10 cm * 5 cm * 5 cm. A calibration factor to convert the counting
rate of the detector to the source strength was measured and then the accuracy of
the proposed method was investigated for a manually driven source. The accuracy
was found to be under 10% when the shielding effect of additional needles for
implantation at other positions was corrected, and about 30% when the shielding
was not corrected. Even without shielding correction, the proposed method can
detect dead/dropped source, implantation of a source with the wrong strength, and
a mistake in the number of the sources implanted. Furthermore, when the
correction was applied, the achieved accuracy came close to within 7% required to
find the Oncoseed 6711 (125I seed with unintended strength among the commercially
supplied values of 0.392, 0.462 and 0.533 U).
PMID- 29659986
TI - Brassica yellows virus P0 protein impairs the antiviral activity of NbRAF2 in
Nicotiana benthamiana.
AB - In interactions between poleroviruses and their hosts, few cellular proteins have
been identified that directly interact with the multifunctional virus P0 protein.
To help explore the functions of P0, we identified a Brassica yellows virus
genotype A (BrYV-A) P0BrA-interacting protein from Nicotiana benthamiana, Rubisco
assembly factor 2 (NbRAF2), which localizes in the nucleus, cell periphery,
chloroplasts, and stromules. We found that its C-terminal domain (amino acids 183
211) is required for self-interaction. A split ubiquitin membrane-bound yeast two
hybrid system and co-immunoprecipitation assays showed that NbRAF2 interacted
with P0BrA, and co-localized in the nucleus and at the cell periphery.
Interestingly, the nuclear pool of NbRAF2 decreased in the presence of P0BrA and
during BrYV-A infection, and the P0BrA-mediated reduction of nuclear NbRAF2
required dual localization of NbRAF2 in the chloroplasts and nucleus. Tobacco
rattle virus-based virus-induced gene silencing of NbRAF2 promoted BrYV-A
infection in N. benthamiana, and the overexpression of nuclear NbRAF2 inhibited
BrYV-A accumulation. Potato leafroll virus P0PL also interacted with NbRAF2 and
decreased its nuclear accumulation, indicating that NbRAF2 may be a common target
of poleroviruses. These results suggest that nuclear NbRAF2 possesses antiviral
activity against BrYV-A infection, and that BrYV-A P0BrA interacts with NbRAF2
and alters its localization pattern to facilitate virus infection.
PMID- 29659988
TI - VK-phantom male with 583 structures and female with 459 structures, based on the
sectioned images of a male and a female, for computational dosimetry.
AB - The anatomical structures in most phantoms are classified according to tissue
properties rather than according to their detailed structures, because the tissue
properties, not the detailed structures, are what is considered important.
However, if a phantom does not have detailed structures, the phantom will be
unreliable because different tissues can be regarded as the same. Thus, we
produced the Visible Korean (VK) -phantoms with detailed structures (male, 583
structures; female, 459 structures) based on segmented images of the whole male
body (interval, 1.0 mm; pixel size, 1.0 mm2) and the whole female body (interval,
1.0 mm; pixel size, 1.0 mm2), using house-developed software to analyze the text
string and voxel information for each of the structures. The density of each
structure in the VK-phantom was calculated based on Virtual Population and a
publication of the International Commission on Radiological Protection. In the
future, we will standardize the size of each structure in the VK-phantoms. If the
VK-phantoms are standardized and the mass density of each structure is precisely
known, researchers will be able to measure the exact absorption rate of
electromagnetic radiation in specific organs and tissues of the whole body.
PMID- 29659989
TI - Estimating Improved Partitioning Schemes for Ultraconserved Elements.
AB - Ultraconserved (UCEs) are popular markers for phylogenomic studies. They are
relatively simple to collect from distantly-related organisms, and contain
sufficient information to infer relationships at almost all taxonomic levels.
Most studies of UCEs use partitioning to account for variation in rates and
patterns of molecular evolution among sites, for example by estimating an
independent model of molecular evolution for each UCE. However, rates and
patterns of molecular evolution vary substantially within as well as between
UCEs, suggesting that there may be opportunities to improve how UCEs are
partitioned for phylogenetic inference. We propose and evaluate new partitioning
methods for phylogenomic studies of UCEs: Sliding-Window Site Characteristics
(SWSC), and UCE Site Position (UCESP). The first method uses site characteristics
such as entropy, multinomial likelihood, and GC content to generate partitions
that account for heterogeneity in rates and patterns of molecular evolution
within each UCE. The second method groups together nucleotides that are found in
similar physical locations within the UCEs. We examined the new methods with
seven published data sets from a variety of taxa. We demonstrate the UCESP method
generates partitions that are worse than other strategies used to partition UCE
data sets (e.g., one partition per UCE). The SWSC method, particularly when based
on site entropies, generates partitions that account for within-UCE heterogeneity
and leads to large increases in the model fit. All of the methods, code, and data
used in this study, are available from
https://github.com/Tagliacollo/PartitionUCE. Simplified code for implementing the
best method, the SWSC-EN, is available from
https://github.com/Tagliacollo/PFinderUCE-SWSC-EN.
PMID- 29659990
TI - Erratum: In vitro activity of isavuconazole against fluconazole-resistant
isolates of Histoplasma capsulatum.
PMID- 29659992
TI - Neutral Theory: From Complex Population History to Natural Selection and
Sociocultural Phenomena in Human Populations.
AB - Here, we present a synthetic view on how Kimura's Neutral theory has helped us
gaining insight on the different evolutionary forces that shape human evolution.
We put this perspective in the frame of recent emerging challenges: the use of
whole genome data for reconstructing population histories, natural selection on
complex polygenic traits, and integrating cultural processes in human evolution.
PMID- 29659991
TI - Consequences of Asexuality in Natural Populations: Insights from Stick Insects.
AB - Recombination is a fundamental process with significant impacts on genome
evolution. Predicted consequences of the loss of recombination include a reduced
effectiveness of selection, changes in the amount of neutral polymorphisms
segregating in populations, and an arrest of GC-biased gene conversion. Although
these consequences are empirically well documented for nonrecombining genome
portions, it remains largely unknown if they extend to the whole genome scale in
asexual organisms. We identify the consequences of asexuality using de novo
transcriptomes of five independently derived, obligately asexual lineages of
stick insects, and their sexual sister-species. We find strong evidence for
higher rates of deleterious mutation accumulation, lower levels of segregating
polymorphisms and arrested GC-biased gene conversion in asexuals as compared with
sexuals. Taken together, our study conclusively shows that predicted consequences
of genome evolution under asexuality can indeed be found in natural populations.
PMID- 29659993
TI - Neutral Theory and Phenotypic Evolution.
AB - Although the neutral theory of molecular evolution was proposed to explain DNA
and protein sequence evolution, in principle it could also explain phenotypic
evolution. Nevertheless, overall, phenotypes should be less likely than genotypes
to evolve neutrally. I propose that, when phenotypic traits are stratified
according to a hierarchy of biological organization, the fraction of evolutionary
changes in phenotype that are adaptive rises with the phenotypic level
considered. Consistently, molecular traits are frequently found to evolve
neutrally whereas a large, random set of organismal traits were recently reported
to vary largely adaptively. Many more studies of unbiased samples of phenotypic
traits are needed to test the general validity of this hypothesis.
PMID- 29659994
TI - Resident and Staff Satisfaction of Pediatric Graduate Medical Education Training
on Transition to Adult Care of Medically Complex Patients.
AB - Introduction: This study aims to describe the quantity and satisfaction current
residents and experienced pediatricians have with graduate medical education on
transitioning medically complex patients to adult care. There is an increasing
need for transitioning medically complex adolescents to adult care. Over 90% now
live into adulthood and require transition to adult healthcare providers. The
2010 National Survey of Children with Special Health Care Needs found that only
40% of youth 12-17 yr receive the necessary services to appropriately transition
to adult care. Materials and Methods: Prospective, descriptive, anonymous, web
based survey of pediatric residents and staff pediatricians at Army pediatric
residency training programs was sent in March 2017. Questions focused on
assessing knowledge of transition of care, satisfaction with transition training,
and amount of education on transition received during graduate medical education
training. Results: Of the 145 responders (310 potential responders, 47% response
rate), transition was deemed important with a score of 4.3 out of 5. The comfort
level with transition was rated 2.6/5 with only 4.2% of participants receiving
formal education during residency. The most commonly perceived barriers to
implementing a curriculum were time constraints and available resources. Of the
five knowledge assessment questions, three had a correct response rate of less
than 1/3. Conclusions: The findings show the disparity between the presence of
and perceived need for a formal curriculum on transitioning complex pediatric
patients to adult care. This study also highlighted the knowledge gap of the
transition process for novice and experienced pediatricians alike.
PMID- 29659995
TI - The Importance of Wall Apposition in Flow Diverters.
AB - BACKGROUND: It is assumed that high pore densities in flow diverters (FDs) are
beneficial for intracranial aneurysm (IA) healing. However, various animal
studies are not conclusive on the issue, suggesting that other factors are in
play. One important factor might be wall apposition. OBJECTIVE: To (1) determine
the relationship between FD pore density and aneurysm occlusion, and (2)
determine the relationship between FD wall apposition and aneurysm occlusion.
METHODS: Saccular aneurysms were microsurgically created in the aorta of 36
Wistar rats. Twelve rats received a low pore density FD (10 pores/mm2), 12 rats
received a high pore density FD (23 pores/mm2), and the remaining 12 rats served
as a control group. Six animals from each group were sacrificed 1 and 3 mo after
surgery. We determined aneurysm occlusion, the number of struts not in contact
with the aorta wall, and the average distance from malapposed struts to aorta
wall through histology. RESULTS: No significant differences were found in
aneurysm occlusion between the low pore density and high pore density groups (P >
.05) after 1 and 3 mo of follow-up. The average number of malapposed struts was
lower for the occluded aneurysm group (4.4 +/- 1.9) compared to the nonoccluded
aneurysm group (7.7 +/- 2.6, P < .01). The average distance between malapposed
struts and parent artery wall was lower for the occluded aneurysm group (33.9 MUm
+/- 11.5 MUm) than for the nonoccluded aneurysm group (48.7 MUm +/- 18.8 MUm, P <
.05). CONCLUSION: Wall apposition is more important than pore density for
aneurysm occlusion.
PMID- 29659996
TI - My favourite flowering image: an Arabidopsis inflorescence expressing fluorescent
reporters for the APETALA3 and SUPERMAN genes.
PMID- 29659997
TI - Image quality improvement in cone-beam CT using the super-resolution technique.
AB - This study was conducted to improve cone-beam computed tomography (CBCT) image
quality using the super-resolution technique, a method of inferring a high
resolution image from a low-resolution image. This technique is used with two
matrices, so-called dictionaries, constructed respectively from high-resolution
and low-resolution image bases. For this study, a CBCT image, as a low-resolution
image, is represented as a linear combination of atoms, the image bases in the
low-resolution dictionary. The corresponding super-resolution image was inferred
by multiplying the coefficients and the high-resolution dictionary atoms
extracted from planning CT images. To evaluate the proposed method, we computed
the root mean square error (RMSE) and structural similarity (SSIM). The resulting
RMSE and SSIM between the super-resolution images and the planning CT images
were, respectively, as much as 0.81 and 1.29 times better than those obtained
without using the super-resolution technique. We used super-resolution technique
to improve the CBCT image quality.
PMID- 29659999
TI - Increased Body Mass Index Associated With Reduced Risk of Delayed Cerebral
Ischemia and Subsequent Infarction After Aneurysmal Subarachnoid Hemorrhage.
AB - BACKGROUND: Increased body mass index (BMI) may be protective against cerebral
ischemia in certain clinical contexts. OBJECTIVE: To investigate whether
increased BMI was associated with delayed cerebral ischemia (DCI) and subsequent
infarction after aneurysmal subarachnoid hemorrhage (aSAH). METHODS: We
retrospectively reviewed the clinical course of patients presenting to our
institution for management of aSAH. Patient were segregated according to BMI< or
>=29.4, a value determined by Classification and Regression Tree analysis.
Predictors of DCI and delayed infarction were identified using stepwise
multivariate logistic regression analysis. RESULTS: There were 161 patients
included for analysis. Average BMI within our patient cohort was 28.9, with 67
patients presenting with a BMI of >=29.4 on admission. DCI occurred in 50
patients (31.1%) and was complicated by delayed infarction in 15 patients (9.3%).
On stepwise multivariate analysis, BMI >= 29.4 was independently associated with
reduced likelihood of DCI (odds ratio [OR] 0.42, 95% confidence interval [CI]
0.18-0.92) and delayed infarction (OR 0.13, 95% CI 0.02-0.61; P = .008).
Increasing maximum flow velocity on transcranial Doppler ultrasound was
independently associated with increased odds of both DCI (Unit OR 1.19, 95% CI
1.09-1.30; P < .001) and delayed infarction (Unit OR 1.31, 95% CI 1.13-1.56; P <
.001), while intracerebral hemorrhage was independently associated with increased
odds of delayed infarction (OR 6.99, 95% CI 1.82-30.25; P = .005). CONCLUSION: We
report an association between elevated BMI and reduced incidence of DCI and
delayed infarction, suggesting a protective effect of increasing BMI on the risk
of ischemic complications after aSAH.
PMID- 29659998
TI - The arginine methyltransferase CARM1 represses p300*ACT*CREMtau activity and is
required for spermiogenesis.
AB - CARM1 is a protein arginine methyltransferase (PRMT) that has been firmly
implicated in transcriptional regulation. However, the molecular mechanisms by
which CARM1 orchestrates transcriptional regulation are not fully understood,
especially in a tissue-specific context. We found that Carm1 is highly expressed
in the mouse testis and localizes to the nucleus in spermatids, suggesting an
important role for Carm1 in spermiogenesis. Using a germline-specific conditional
Carm1 knockout mouse model, we found that it is essential for the late stages of
haploid germ cell development. Loss of Carm1 led to a low sperm count and
deformed sperm heads that can be attributed to defective elongation of round
spermatids. RNA-seq analysis of Carm1-null spermatids revealed that the
deregulated genes fell into similar categories as those impacted by p300-loss,
thus providing a link between Carm1 and p300. Importantly, p300 has long been
known to be a major Carm1 substrate. We found that CREMtau, a key testis-specific
transcription factor, associates with p300 through its activator, ACT, and that
this interaction is negatively regulated by the methylation of p300 by Carm1.
Thus, high nuclear Carm1 levels negatively impact the p300*ACT*CREMtau axis
during late stages of spermiogenesis.
PMID- 29660000
TI - Spermatogonial behavior in marmoset: a new generation, their kinetics and niche.
AB - STUDY QUESTION: Could a more detailed evaluation of marmoset spermatogonial
morphology, kinetics and niches using high-resolution light microscopy (HRLM)
lead to new findings? SUMMARY ANSWER: Three subtypes of marmoset undifferentiated
spermatogonia, which were not evenly distributed in terms of number and position
along the basal membrane, and an extra premeiotic cell division not present in
humans were identified using HRLM. WHAT IS KNOWN ALREADY: The seminiferous
epithelium cycle (SEC) of marmosets is divided into nine stages when based on the
acrosome system, and several spermatogenic stages can usually be recognized
within the same tubular cross-section. Three spermatogonial generations have been
previously described in marmosets: types Adark, Apale and B spermatogonia. STUDY
DESIGN, SIZE, DURATION: Testes from five adult Callithrix penicillata were fixed
by glutaraldehyde perfusion via the cardiac route and embedded in Araldite
plastic resin for HRLM evaluation. Semi-thin sections (1 MUm) were analyzed
morphologically and morphometrically to evaluate spermatogonial morphology and
kinetics (number, mitosis and apoptosis), spermatogenesis efficiency and the
spermatogonial niche. PARTICIPANTS/MATERIALS, SETTING, METHODS: Shape and nuclear
diameter, the presence and distribution of heterochromatin, the granularity of
the euchromatin, as well as the number, morphology and degree of nucleolar
compaction were observed for morphological characterization. Kinetics analyses
were performed for all spermatogonial subtypes and preleptotene spermatocytes,
and their mitosis and apoptosis indexes determined across all SEC stages.
Spermatogenesis parameters (mitotic, meiotic, Sertoli cell workload and general
spermatogenesis efficiency) were determined through the counting of Adark and
Apale spermatogonia, preleptotene and pachytene primary spermatocytes, round
spermatids, and Sertoli cells at stage IV of the SEC. MAIN RESULTS AND THE ROLE
OF CHANCE: This is the first time that a study in marmosets demonstrates: the
existence of a new spermatogonial generation (B2); the presence of two subtypes
of Adark spermatogonia with (AdVac) and without (AdNoVac) nuclear rarefaction
zones; the peculiar behavior of AdVac spermatogonia across the stages of the SEC,
suggesting that they are quiescent stem spermatogonia; and that AdVac
spermatogonia are located close to areas in which blood vessels, Leydig cells and
macrophages are concentrated, suggesting a niche area for these cells. LARGE
SCALE DATA: Not applicable. LIMITATIONS, REASONS FOR CAUTION: The C. penicillata
spermatogonial kinetics evaluated here consider spermatogonial number across the
SEC and their mitotic and apoptotic figures identified in HRLM sections.
Therefore, caution is required when comparing absolute values between species.
Although morphometric evaluation has suggested that AdVac spermatogonia are stem
cells, a functional proof of this is still missing. It is known that parameters
of the spermatogenic process in C. penicillata have similarities with those of
the common marmoset C. jacchus, however, a detailed study of spermatogonial
morphology, kinetics and niche has not yet been performed in C. jacchus, and a
full comparison of the two species is not possible. WIDER IMPLICATIONS OF THE
FINDINGS: Our findings in C. penicillata contribute to a better understanding of
the spermatogonial behavior and spermatogenesis efficiency in non-human primates.
Given the phylogenetic closeness of the marmoset to the human species, similar
processes might occur in humans. Therefore, marmosets may be an excellent model
for studies regarding human testicular biology, fertility and related disorders.
STUDY FUNDING/COMPETING INTEREST(S): Experiments were partially supported by
Coordenacao de Aperfeicoamento de Pessoal de Nivel Superior (CAPES), Fundacao de
Amparo a Pesquisa do Estado de Minas Gerais (FAPEMIG) and Conselho Nacional de
Desenvolvimento Cientifico e Tecnologico (CNPq). The authors declare that there
are no conflicts of interest.
PMID- 29660001
TI - Translatome analysis at the egg-to-embryo transition in sea urchin.
AB - Early embryogenesis relies on the translational regulation of maternally stored
mRNAs. In sea urchin, fertilization triggers a dramatic rise in translation
activity, necessary for the onset of cell division. Here, the full spectrum of
the mRNAs translated upon fertilization was investigated by polysome profiling
and sequencing. The translatome of the early sea urchin embryo gave a complete
picture of the polysomal recruitment dynamics following fertilization. Our
results indicate that only a subset of maternal mRNAs were selectively recruited
onto polysomes, with over-represented functional categories in the translated
set. The increase in translation upon fertilization depends on the formation of
translation initiation complexes following mTOR pathway activation. Surprisingly,
mTOR pathway inhibition differentially affected polysomal recruitment of the
newly translated mRNAs, which thus appeared either mTOR-dependent or mTOR
independent. Therefore, our data argue for an alternative to the classical cap
dependent model of translation in early development. The identification of the
mRNAs translated following fertilization helped assign translational activation
events to specific mRNAs. This translatome is the first step to a comprehensive
analysis of the molecular mechanisms governing translation upon fertilization and
the translational regulatory networks that control the egg-to-embryo transition
as well as the early steps of embryogenesis.
PMID- 29660002
TI - Convergent Acquisition of Nonembryonic Development in Styelid Ascidians.
AB - Asexual propagation and whole body regeneration are forms of nonembryonic
development (NED) widespread across animal phyla and central in life history and
evolutionary diversification of metazoans. Whereas it is challenging to
reconstruct the gains or losses of NED at large phylogenetic scale, comparative
studies could benefit from being conducted at more restricted taxonomic scale, in
groups for which phylogenetic relationships are well established. The ascidian
family of Styelidae encompasses strictly sexually reproducing solitary forms as
well as colonial species that combine sexual reproduction with different forms of
NED. To date, the phylogenetic relationships between colonial and solitary
styelids remain controversial and so is the pattern of NED evolution. In this
study, we built an original pipeline to combine eight genomes with 18 de novo
assembled transcriptomes and constructed data sets of unambiguously orthologous
genes. Using a phylogenomic super-matrix of 4,908 genes from these 26 tunicates
we provided a robust phylogeny of this family of chordates, which supports two
convergent acquisitions of NED. This result prompted us to further describe the
budding process in the species Polyandrocarpa zorritensis, leading to the
discovery of a novel mechanism of asexual development. Whereas the pipeline and
the data sets produced can be used for further phylogenetic reconstructions in
tunicates, the phylogeny provided here sets an evolutionary framework for future
experimental studies on the emergence and disappearance of complex characters
such as asexual propagation and whole body regeneration.
PMID- 29660003
TI - Temperature and nitrogen supply interact to determine protein distribution
gradients in the wheat grain endosperm.
AB - Gradients exist in the distribution of storage proteins in the wheat (Triticum
aestivum) endosperm and determine the milling properties and protein recovery
rate of the grain. A novel image analysis technique was developed to quantify
both the gradients in protein concentration, and the size distribution of protein
bodies within the endosperm of wheat plants grown under two different (20 or 28
degrees C) post-anthesis temperatures, and supplied with a nutrient solution with
either high or low nitrogen content. Under all treatment combinations, protein
concentration was greater in the endosperm cells closest to the aleurone layer
and decreased towards the centre of the two lobes of the grain, i.e. a negative
gradient. This was accompanied by a decrease in size of protein bodies from the
outer to the inner endosperm layers in all but one of the treatments. Elevated
post-anthesis temperature had the effect of increasing the magnitude of the
negative gradients in both protein concentration and protein body size, whilst
limiting nitrogen supply decreased the gradients.
PMID- 29660004
TI - Renal transplant outcomes and de novo donor-specific anti-human leukocyte antigen
antibodies: a systematic review.
AB - Background: Pre-transplant donor-specific anti-human leukocyte antigen antibodies
(DSAs) are known risk factors for acute rejection and reduced graft survival
after kidney transplantation. DSAs may also develop de novo DSAs (dnDSAs) after
transplantation but the clinical implications of these antibodies remain
uncertain. Methods: We undertook a systematic review of observational studies
that examined the association between dnDSAs and graft and patient outcomes
(through August 2017) with the Grading of Recommendations Assessment, Development
and Evaluation (GRADE) system of reporting used to assess the quality of evidence
available. Results: Thirty-six studies involving 10 535 transplant recipients
were eligible. There was moderate quality evidence that transplant recipients who
developed dnDSAs had increased risks of acute antibody-mediated rejection (AMR)
[relative risk (RR) 9.66; 95% confidence interval (CI) 6.79-13.73, 16 studies, n
= 4174]. For all other outcomes, the evidence was low to very low due to moderate
high heterogeneity and low study quality (acute cellular rejection, RR 2.92; 95%
CI 2.16-3.94, 22 studies, n = 4991, low-quality evidence; chronic AMR and
transplant glomerulopathy RR 6.78; 95% CI 4.31-10.66, 3 studies, n = 1617, very
low-quality evidence; and graft loss RR 4.95; 95% CI 3.81-6.43, 19 studies, n =
5473, low-quality evidence). Meta-regression indicated that deceased kidney
donation (R2 = 1.00, P < 0.001) and region of study conduction (R2 = 0.50, P =
0.005) modified associations between dnDSAs and outcomes. Conclusions: dnDSAs are
associated with increased risks of adverse graft and patient outcomes after
kidney transplantation, but estimation uncertainty of the augmented risks exist
due to limitations such as heterogeneity within the existing literature.
Therapeutic interventions targeted to eliminate or prevent these antibodies
evaluated in randomized controlled trials are needed to establish whether dnDSAs
are causal to transplantation outcomes.
PMID- 29660005
TI - Volumetric response quantified using T1 subtraction predicts long-term survival
benefit from cabozantinib monotherapy in recurrent glioblastoma.
AB - Background: To overcome challenges with traditional response assessment in anti
angiogenic agents, the current study uses T1 subtraction maps to quantify
volumetric radiographic response in monotherapy with cabozantinib, an orally
bioavailable tyrosine kinase inhibitor with activity against vascular endothelial
growth factor receptor 2 (VEGFR2), hepatocyte growth factor receptor (MET), and
AXL, in an open-label, phase II trial in patients with recurrent glioblastoma
(GBM) (NCT00704288). Methods: A total of 108 patients with adequate imaging data
and confirmed recurrent GBM were included in this retrospective study from a
phase II multicenter trial of cabozantinib monotherapy (XL184-201) at either 100
mg (N = 87) or 140 mg (N = 21) per day. Contrast enhanced T1-weighted digital
subtraction maps were used to define volume of contrast-enhancing tumor at
baseline and subsequent follow-up time points. Volumetric radiographic response
(>65% reduction in contrast-enhancing tumor volume from pretreatment baseline
tumor volume sustained for more than 4 wk) was tested as an independent predictor
of overall survival (OS). Results: Volumetric response rate for all therapeutic
doses was 38.9% (41.4% and 28.6% for 100 mg and 140 mg doses, respectively). A
log-linear association between baseline tumor volume and OS (P = 0.0006) and a
linear correlation between initial change in tumor volume and OS (P = 0.0256)
were observed. A significant difference in OS was observed between responders
(median OS = 20.6 mo) and nonresponders (median OS = 8.0 mo) (hazard ratio [HR] =
0.3050, P < 0.0001). Multivariable analyses showed that continuous measures of
baseline tumor volume (HR = 1.0233, P < 0.0001) and volumetric response (HR =
0.2240, P < 0.0001) were independent predictors of OS. Conclusions: T1
subtraction maps provide value in determining response in recurrent GBM treated
with cabozantinib and correlated with survival benefit.
PMID- 29660007
TI - Uric acid is not associated with diabetic nephropathy and other complications in
type 1 diabetes.
AB - Background: To examine the association between plasma uric acid (UA) and the
presence of diabetic complications including diabetic nephropathy and
cardiovascular risk factors in patients with type 1 diabetes. Methods: This
study, which is cross-sectional in design, included 676 Caucasian type 1 diabetes
patients from the Steno Diabetes Center Copenhagen. Participants with UA within
the three lowest sex-specific quartiles were compared with participants with
levels in the highest quartile. Unadjusted and adjusted linear regression
analyses were applied. Adjustment included sex, age, diabetes duration, body mass
index, high-density lipoprotein cholesterol, smoking, haemoglobin A1c, 24-h pulse
pressure, urinary albumin excretion rate (UAER), estimated glomerular filtration
rate (eGFR) and treatment with renin-angiotensin-aldosterone system blockers.
Results: Of the 676 patients, 372 (55%) were male, mean +/- SD age was 55 +/- 13
years and eGFR was 82 +/- 26 mL/min/1.73 m2. The median UA was 0.30
(interquartile range 0.23-0.37) mmol/L. UA in the upper sex-specific quartile was
associated with lower eGFR, higher UAER and carotid-femoral pulse wave velocity
and lower 24 h and daytime diastolic blood pressure (BP) in unadjusted analyses
(P < 0.001). Moreover, UA in the upper sex-specific quartile was associated with
higher nighttime systolic BP and the presence of cardiovascular disease in
unadjusted analyses (P <= 0.01), but significance was lost after adjustment (P >=
0.17). UA was higher across the retinopathy groups [nil (n = 142), simplex (n =
277), proliferative (n = 229) and blind (n = 19)] in unadjusted analyses (P <
0.0001), but not after adjustment (P = 0.12). Patients with an accelerated
decline in eGFR (>=3 mL/min/year) had significantly higher UA at baseline (P =
0.006) compared with slow decliners (<3 mL/min/year), but significance was lost
after adjustment (P = 0.10). Conclusions: In type 1 diabetes patients, higher UA
was associated with lower kidney function and other diabetic complications. The
association between higher UA and lower eGFR and lower diastolic BP was
independent of traditional risk factors.
PMID- 29660006
TI - Validation of postoperative residual contrast-enhancing tumor volume as an
independent prognostic factor for overall survival in newly diagnosed
glioblastoma.
AB - Background: In the current study, we pooled imaging data in newly diagnosed
glioblastoma (GBM) patients from international multicenter clinical trials,
single institution databases, and multicenter clinical trial consortiums to
identify the relationship between postoperative residual enhancing tumor volume
and overall survival (OS). Methods: Data from 1511 newly diagnosed GBM patients
from 5 data sources were included in the current study: (i) a single institution
database from UCLA (N = 398; Discovery); (ii) patients from the Ben and Cathy Ivy
Foundation for Early Phase Clinical Trials Network Radiogenomics Database (N =
262 from 8 centers; Confirmation); (iii) the chemoradiation placebo arm from an
international phase III trial (AVAglio; N = 394 from 120 locations in 23
countries; Validation); (iv) the experimental arm from AVAglio examining
chemoradiation plus bevacizumab (N = 404 from 120 locations in 23 countries;
Exploratory Set 1); and (v) an Alliance (N0874) phase I/II trial of vorinostat
plus chemoradiation (N = 53; Exploratory Set 2). Postsurgical, residual enhancing
disease was quantified using T1 subtraction maps. Multivariate Cox regression
models were used to determine influence of clinical variables, O6-methylguanine
DNA methyltransferase (MGMT) status, and residual tumor volume on OS. Results: A
log-linear relationship was observed between postoperative, residual enhancing
tumor volume and OS in newly diagnosed GBM treated with standard chemoradiation.
Postoperative tumor volume is a prognostic factor for OS (P < 0.01), regardless
of therapy, age, and MGMT promoter methylation status. Conclusion: Postsurgical,
residual contrast-enhancing disease significantly negatively influences survival
in patients with newly diagnosed GBM treated with chemoradiation with or without
concomitant experimental therapy.
PMID- 29660008
TI - Exosomal miR-214 from endometrial stromal cells inhibits endometriosis fibrosis.
AB - STUDY QUESTION: Is it possible to improve fibrosis in endometriosis by microRNA
214 delivery in exosomes? SUMMARY ANSWER: Upregulation of miR-214 may inhibit
fibrogenesis and its delivery by exosomes derived from ectopic endometrial
stromal cells (ESCs), offers an alternative therapeutic approach for
endometriosis fibrosis. WHAT IS KNOWN ALREADY: Fibrosis is the primary
pathological feature of endometriosis. MiR-214 plays an important role in
fibrotic disease. Connective tissue growth factor (CTGF) is a critical fibrogenic
mediator of miR-214. The expression of miR-214 is decreased in ectopic ESCs
compared with normal ESCs. miRNAs are a natural cargo of exosomes and these could
be exploited as carriers of miRNA in replacement therapy. STUDY DESIGN, SIZE,
DURATION: Paired eutopic and ectopic endometrial tissue samples were obtained
from 10 women with ovarian endometrioma. ESCs and epithelial cells from both were
cultured in vitro. RT-PCR, western blot and immunohistochemistry were used to
study the effect of transfection with miR-214 mimics on CTGF expression and
fibrogenesis respectively, with and without TGFbeta stimulation. Exosomes were
isolated from ectopic ESCs and Endometrioma tissue was isolated from four
patients, dispersed an injected (ip) into nude mice and allowed to implant. The
mice were treated with miR-214-enriched exosomes or controls to confirm the
effect of inhibiting CTGF overexpression on endometriosis fibrosis.
PARTICIPANTS/MATERIALS, SETTING, METHODS: The primary ectopic ESCs were
transfected with miR-214 mimics. The levels of miR-214, CTGF and fibrotic markers
were measured by RT-PCR and Immunohistochemistry. A mouse model of endometriosis
was established by ip injection of human ectopic endometrial tissues into nude
mice. MiR-214-enriched exosomes were injected into the mice and endometriotic
lesions were measured on Day 28. Changes in fibrosis of the endometriotic
implants were studied by histopathological staining. MAIN RESULTS AND THE ROLE OF
CHANCE: CTGF and fibrotic markers upregulation in endometriosis is associated
with a reciprocal down-regulation of miR-214. By using miR-214 mimics and
antagomirs to investigate expression of fibrotic markers, we found that increased
production of miR-214 reduced Collagen alphaI and CTGF expression in
endometriosis stromal and endometrial epithelial cells in response to fibrosis
inducing stimuli (P < 0.001 versus non-treatment). Ectopic ESCs yielded nano
sized exosomes which expressed miR-214. Loading exosomes with miR-214 mimics and
injecting them into an experimental endometriosis mouse model resulted in a
decrease in the expression of fibrosis-associated proteins (P < 0.001 versus PBS
control group). LARGE SCALE DATA: N/A. LIMITATIONS, REASONS FOR CAUTION: We only
isolated exosomes from ectopic ESCs, whether this is the optimum source requires
further study. WIDER IMPLICATIONS OF THE FINDINGS: Upregulation of miRNA-214
potentially offers an alternative therapeutic approach for endometriosis
fibrosis. STUDY FUNDING/COMPETING INTEREST(S): This work was supported by grants
from the National Natural Science Foundation of China (Grant no. 81771549 Jinwei
Miao). The authors declare that there is no conflict of interest.
PMID- 29660009
TI - Critical Care in the Military Health System: A 24-h Point Prevalence Study.
AB - Background: Healthcare expenditures are a significant economic cost with critical
care services constituting one of its largest components. The Military Health
System (MHS) is the largest, global healthcare system of its kind. In this
project, we sought to describe critical care services and the patients who
receive them in the MHS. Methods: We surveyed 26 military treatment facilities
(MTFs) representing 38 critical care services or intensive care units (ICUs).
MTFs with multiple ICUs and critical care services responded to the survey as
services (e.g., surgical or medical ICU service), whereas MTFs with only one ICU
responded as a unit and gave information about all types of patients (i.e.,
medical and surgical). Our survey was divided into an administrative portion and
a 24-h point prevalence survey of patients and patient care. The administrative
portion is reported separately in this journal. The 24-h point prevalence survey
collected information about all patients present in, admitted to, or discharged
from participating services/units during the same 24-h period in December 2014.
The survey was anonymous and protected health information was not collected.
Findings: Sixteen MTFs (69%) and 27 ICU services/units (71%) returned the point
prevalence survey. MTFs with >200 beds (n = 3, 22%) were categorized as "high
capacity centers" (HCCs) whereas those with <=200 beds (n = 13, 78%) were
characterized as low capacity centers (LCCs). Two MTFs (one HCC and one LCC)
returned only administrative data. The remaining 16 MTFs reported data about 151
patients. In all, 100 (67%) of the patients were at three HCCs during this study
period. One HCC accounted for 39% (59 patients) of all patient care during this
study. Most patients were cared for in mixed medical/surgical ICUs (34.4%),
followed by medical (21.2%), surgical (18.5%), trauma (11.9%), cardiac (7.9%),
and burn (6.0%) ICUs. The most common medical indication for admission was
cardiac followed by general medical. The most common surgical indications for
admission were trauma, other, and cardiothoracic surgery. The average APACHE II
score of all patients across both LCCs and HCCs was 11 +/- 8.1 (8 +/- 7.8 vs. 13
+/- 7.7 p = 0.008). The lower acuity of patients in this study is reflected in a
high turnover rate, low rate of arterial and central line placements (33%), and
low rates of life support (all types, 30%; mechanical ventilation only, 21.2%;
noninvasive mechanic ventilation only, 7.9%; and vasoactive medications, 6.6%).
Thirty-five (23.2%) patients within the study were affected by a total of 57
complications. The three most common complications experienced were acute kidney
injury, bleeding, and sepsis. Discussion: This is the first detailed report about
MHS critical care services and the patients receiving care. It describes a low
acuity ICU patient population, concentrated at larger MTFs. This study highlights
the need for the establishment of a system that allows for the continuous
collection of high priority information about clinical care in the MHS in order
to facilitate implementation of standardized protocols and process improvements.
PMID- 29660010
TI - Costs for Breast Cancer Care in the Military Health System: An Analysis by
Benefit Type and Care Source.
AB - Introduction: Breast cancer care imposes a significant financial burden to U.S.
healthcare systems. Health services factors, such as insurance benefit type and
care source, may impact costs to the health system. Beneficiaries in the U.S.
Military Health System (MHS) have universal healthcare coverage and access to a
network of military facilities (direct care) and private practices (purchased
care). This study aims to quantify and compare breast cancer care costs to the
MHS by insurance benefit type and care source. Materials and Methods: We
conducted a retrospective analysis of data linked between the MHS data repository
administrative claims and central cancer registry databases. The institutional
review boards of the Walter Reed National Military Medical Center, the Defense
Health Agency, and the National Institutes of Health Office of Human Subjects
Research reviewed and approved the data linkage. We used the linked data to
identify records for women aged 40-64 yr who were diagnosed with breast cancer
between 2003 and 2007 and to extract information on insurance benefit type, care
source, and cost to the MHS for breast cancer treatment. We estimated per capita
costs for breast cancer care by benefit type and care source in 2008 USD using
generalized linear models, adjusted for demographic, pathologic, and treatment
characteristics. Results: The average per capita (n = 2,666) total cost for
breast cancer care was $66,300 [standard error (SE) $9,200] over 3.31 (1.48)
years of follow-up. Total costs were similar between benefit types, but varied by
care source. The average per capita cost was $34,500 ($3,000) for direct care (n
= 924), $96,800 ($4,800) for purchased care (n = 622), and $60,700 ($3,900) for
both care sources (n = 1,120), respectively. Care source differences remained by
tumor stage and for chemotherapy, radiation, and hormone therapy treatment types.
Conclusions: Per capita costs to the MHS for breast cancer care were similar by
benefit type and lower for direct care compared with purchased care. Further
research is needed in breast and other tumor sites to determine patterns and
determinants of cancer care costs between benefit types and care sources within
the MHS.
PMID- 29660011
TI - Craniotomy and Survival for Primary Central Nervous System Lymphoma.
AB - BACKGROUND: Cytoreductive surgery is considered controversial for primary central
nervous system lymphoma (PCNSL). OBJECTIVE: To investigate survival following
craniotomy or biopsy for PCNSL. METHODS: The National Cancer Database-Participant
User File (NCDB, n = 8936), Surveillance, Epidemiology, and End Results Program
(SEER, n = 4636), and an institutional series (IS, n = 132) were used. We
retrospectively investigated the relationship between craniotomy, prognostic
factors, and survival for PCNSL using case-control design. RESULTS: In NCDB,
craniotomy was associated with increased median survival over biopsy (19.5 vs
11.0 mo), independent of subsequent radiation and chemotherapy (hazard ratio [HR]
0.80, P < .001). We found a similar trend with survival for craniotomy vs biopsy
in the IS (HR 0.68, P = .15). In SEER, gross total resection was associated with
increased median survival over biopsy (29 vs 10 mo, HR 0.68, P < .001). The
survival benefit associated with craniotomy was greater within recursive
partitioning analysis (RPA) class 1 group in NCDB (95.1 vs 29.1 mo, HR 0.66, P <
.001), but was smaller for RPA 2-3 (14.9 vs 10.0 mo, HR 0.86, P < .001). A
surgical risk category (RC) considering lesion location and number, age, and
frailty was developed. Craniotomy was associated with increased survival vs
biopsy for patients with low RC (133.4 vs 41.0 mo, HR 0.33, P = .01), but not
high RC in the IS. CONCLUSION: Craniotomy is associated with increased survival
over biopsy for PCNSL in 3 retrospective datasets. Prospective studies are
necessary to adequately evaluate this relationship. Such studies should evaluate
patients most likely to benefit from cytoreductive surgery, ie, those with
favorable RPA and RC.
PMID- 29660013
TI - Rethinking the Career Landscape for Nicotine and Tobacco Trainees and Early
Career Professionals.
PMID- 29660012
TI - Coordination of Rad1-Rad10 interactions with Msh2-Msh3, Saw1 and RPA is essential
for functional 3' non-homologous tail removal.
AB - Double strand DNA break repair (DSBR) comprises multiple pathways. A subset of
DSBR pathways, including single strand annealing, involve intermediates with 3'
non-homologous tails that must be removed to complete repair. In Saccharomyces
cerevisiae, Rad1-Rad10 is the structure-specific endonuclease that cleaves the
tails in 3' non-homologous tail removal (3' NHTR). Rad1-Rad10 is also an
essential component of the nucleotide excision repair (NER) pathway. In both
cases, Rad1-Rad10 requires protein partners for recruitment to the relevant DNA
intermediate. Msh2-Msh3 and Saw1 recruit Rad1-Rad10 in 3' NHTR; Rad14 recruits
Rad1-Rad10 in NER. We created two rad1 separation-of-function alleles,
rad1R203A,K205A and rad1R218A; both are defective in 3' NHTR but functional in
NER. In vitro, rad1R203A,K205A was impaired at multiple steps in 3' NHTR. The
rad1R218A in vivo phenotype resembles that of msh2- or msh3-deleted cells;
recruitment of rad1R218A-Rad10 to recombination intermediates is defective.
Interactions among rad1R218A-Rad10 and Msh2-Msh3 and Saw1 are altered and
rad1R218A-Rad10 interactions with RPA are compromised. We propose a model in
which Rad1-Rad10 is recruited and positioned at the recombination intermediate
through interactions, between Saw1 and DNA, Rad1-Rad10 and Msh2-Msh3, Saw1 and
Msh2-Msh3 and Rad1-Rad10 and RPA. When any of these interactions is altered, 3'
NHTR is impaired.
PMID- 29660014
TI - IGF2BP1 enhances an aggressive tumor cell phenotype by impairing miRNA-directed
downregulation of oncogenic factors.
AB - The oncofetal IGF2 mRNA binding proteins (IGF2BPs) are upregulated in most
cancers but their paralogue-specific roles in tumor cells remain poorly
understood. In a panel of five cancer-derived cell lines, IGF2BP1 shows highly
conserved oncogenic potential. Consistently, the deletion of IGF2BP1 impairs the
growth and metastasis of ovarian cancer-derived cells in nude mice. Gene
expression analyses in ovarian cancer-derived cells reveal that the knockdown of
IGF2BPs is associated with the downregulation of mRNAs that are prone to miRNA
regulation. All three IGF2BPs preferentially associate upstream of miRNA binding
sites (MBSs) in the 3'UTR of mRNAs. The downregulation of mRNAs co-regulated by
miRNAs and IGF2BP1 is abrogated at low miRNA abundance or when miRNAs are
depleted. IGF2BP1 associates with these target mRNAs in RISC-free complexes and
its deletion enhances their association with AGO2. The knockdown of most miRNA
regulated target mRNAs of IGF2BP1 impairs tumor cell properties. In four primary
cancers, elevated synthesis of these target mRNAs is largely associated with
upregulated IGF2BP1 mRNA levels. In ovarian cancer, the enhanced expression of
IGF2BP1 and most of its miRNA-controlled target mRNAs is associated with poor
prognosis. In conclusion, these findings indicate that IGF2BP1 enhances an
aggressive tumor cell phenotype by antagonizing miRNA-impaired gene expression.
PMID- 29660016
TI - An Interview with Major General (Retired) Melissa Rank: The Evolution of Air
Force Nurse Corps Leaders.
PMID- 29660015
TI - Structural basis of DNA target recognition by the B3 domain of Arabidopsis
epigenome reader VAL1.
AB - Arabidopsis thaliana requires a prolonged period of cold exposure during winter
to initiate flowering in a process termed vernalization. Exposure to cold induces
epigenetic silencing of the FLOWERING LOCUS C (FLC) gene by Polycomb group (PcG)
proteins. A key role in this epigenetic switch is played by transcriptional
repressors VAL1 and VAL2, which specifically recognize Sph/RY DNA sequences
within FLC via B3 DNA binding domains, and mediate recruitment of PcG silencing
machinery. To understand the structural mechanism of site-specific DNA
recognition by VAL1, we have solved the crystal structure of VAL1 B3 domain (VAL1
B3) bound to a 12 bp oligoduplex containing the canonical Sph/RY DNA sequence 5'
CATGCA-3'/5'-TGCATG-3'. We find that VAL1-B3 makes H-bonds and van der Waals
contacts to DNA bases of all six positions of the canonical Sph/RY element. In
agreement with the structure, in vitro DNA binding studies show that VAL1-B3 does
not tolerate substitutions at any position of the 5'-TGCATG-3' sequence. The VAL1
B3-DNA structure presented here provides a structural model for understanding the
specificity of plant B3 domains interacting with the Sph/RY and other DNA
sequences.
PMID- 29660018
TI - Sexual Assault and Disabling PTSD in Active Duty Service Women.
AB - Introduction: Sexual assault in the military is a major concern and may result in
significant health problems, such as post-traumatic stress disorder (PTSD). Those
developing disabling PTSD symptoms may require a disability evaluation. We
examined disability evaluation trends for service women with PTSD to better
understand characteristics associated with inability to continue Active Duty
service. Methods: This is a retrospective review of disability reports and
electronic medical records for 322 Active Duty women diagnosed with and treated
for PTSD by psychiatrists and psychologists at a large military treatment
facility between 2011 and 2014. Service women requiring medical disability
evaluation for PTSD (n = 159) were included in the study as "IDES cases"
(Integrated Disability Evaluation System - IDES). A similar number of women,
randomly selected from those seeking care for PTSD but not requiring disability
evaluation during the same period, were included in the "control" group (n =
163). Analyzes done to evaluate differences between groups (IDES cases vs.
controls) included demographic and service-related characteristics, history of
chronic pain, and PTSD index trauma types, such as pre-military trauma and
military sexual trauma (MST). Logistic regression was performed to identify the
factors associated with inclusion in IDES. Results: MST was the most frequent
PTSD index trauma in the IDES group (73.6% vs. 44.8% of control group) and the
most significant factor associated with IDES inclusion (OR 2.6, p = 0.032). Those
in the IDES group had significantly greater number of mental health visits for
PTSD (IDES: m = 68.6 vs. controls: m = 29.6) and more frequent chronic pain
history (IDES 40.9% vs. controls 19.6%) than those in the control group.
Approximately 65% of women in both groups had a history of childhood abuse, but
childhood abuse, as a PTSD index trauma, was negatively associated with IDES
inclusion (OR 0.293, p = 0.006). Conclusions: Active Duty service women with PTSD
and a MST index trauma are much more likely to require disability evaluation
(IDES) than those with PTSD due to other trauma types. IDES evaluation for
conditions such as PTSD may result in early termination of military service and
is a potential downstream consequence of MST. Service women requiring greater
numbers of mental health visits for PTSD treatment may benefit from a
multidisciplinary approach to treating concurrent health conditions, such as
chronic pain. Those providing care for service women should evaluate for MST,
chronic pain and pre-military trauma, such as childhood abuse; and aggressively
treat these conditions to prevent PTSD and disability.
PMID- 29660017
TI - Defining the impact of sumoylation on substrate binding and catalysis by thymine
DNA glycosylase.
AB - Thymine DNA glycosylase (TDG) excises thymine from mutagenic G.T mispairs
generated by deamination of 5-methylcytosine (mC) and it removes two mC
derivatives, 5-formylcytosine (fC) and 5-carboxylcytosine (caC), in a multistep
pathway for DNA demethylation. TDG is modified by small ubiquitin-like modifier
(SUMO) proteins, but the impact of sumoylation on TDG activity is poorly defined
and the functions of TDG sumoylation remain unclear. We determined the effect of
TDG sumoylation, by SUMO-1 or SUMO-2, on substrate binding and catalytic
parameters. Single turnover experiments reveal that sumoylation dramatically
impairs TDG base-excision activity, such that G.T activity is reduced by >=45
fold and fC and caC are excised slowly, with a reaction half-life of >=9 min (37
degrees C). Fluorescence anisotropy studies reveal that unmodified TDG binds
tightly to G.fC and G.caC substrates, with dissociation constants in the low
nanomolar range. While sumoylation of TDG weakens substrate binding, the residual
affinity is substantial and is comparable to that of biochemically-characterized
readers of fC and caC. Our findings raise the possibility that sumoylation
enables TDG to function, at least transiently, as reader of fC and caC. Notably,
sumoylation could potentially facilitate TDG recruitment of other proteins,
including transcription factors or epigenetic regulators, to these sites in DNA.
PMID- 29660019
TI - Modeling the diffusion of D-2-hydroxyglutarate from IDH1 mutant gliomas in the
central nervous system.
AB - Background: Among diffusely infiltrative gliomas in adults, 20%-30% contain a
point mutation in isocitrate dehydrogenase 1 (IDH1mut), which increases
production of D-2-hydroxyglutarate (D2HG). This is so efficient that D2HG often
reaches 30 mM within IDH1mut gliomas. Yet, while up to 100 uM D2HG can be
detected in the circulating cerebrospinal fluid of IDH1mut glioma patients, the
exposure of nonneoplastic cells within and surrounding an IDH1mut glioma to D2HG
is unknown and difficult to measure directly. Methods: Conditioned medium from
patient-derived wild type IDH1 (IDH1wt) and IDH1mut glioma cells was analyzed for
D2HG by liquid chromatography-mass spectrometry (LC-MS). Mathematical models of
D2HG release and diffusion around an IDH1mut glioma were independently generated
based on fluid dynamics within the brain and on previously reported intratumoral
and cerebrospinal D2HG concentrations. Results: LC-MS analysis indicates that
patient-derived IDH1mut glioma cells release 3.7-97.0 pg D2HG per cell per week.
Extrapolating this to an average-sized tumor (30 mL glioma volume and 1 * 108
cells/mL tumor), the rate of D2HG release by an IDH1mut glioma (SA) is estimated
at 3.2-83.0 * 10-12 mol/mL/sec. Mathematical models estimate an SA of 2.9-12.9 *
10-12 mol/mL/sec, within the range of the in vitro LC-MS data. In even the most
conservative of these models, the extracellular concentration of D2HG exceeds 3
mM within a 2 cm radius from the center of an IDH1mut glioma. Conclusions: The
microenvironment of an IDH1mut glioma is likely being exposed to high
concentrations of D2HG, in the low millimolar range. This has implications for
understanding how D2HG affects nonneoplastic cells in an IDH1mut glioma.
PMID- 29660020
TI - Analysis of Anterior Cervical Discectomy and Fusion Healthcare Costs via the
Value-Driven Outcomes Tool.
AB - BACKGROUND: Examining the costs of single- and multilevel anterior cervical
discectomy and fusion (ACDF) is important for the identification of cost drivers
and potentially reducing patient costs. A novel tool at our institution provides
direct costs for the identification of potential drivers. OBJECTIVE: To assess
perioperative healthcare costs for patients undergoing an ACDF. METHODS: Patients
who underwent an elective ACDF between July 2011 and January 2017 were identified
retrospectively. Factors adding to total cost were placed into subcategories to
identify the most significant contributors, and potential drivers of total cost
were evaluated using a multivariable linear regression model. RESULTS: A total of
465 patients (mean, age 53 +/- 12 yr, 54% male) met the inclusion criteria for
this study. The distribution of total cost was broken down into supplies/implants
(39%), facility utilization (37%), physician fees (14%), pharmacy (7%), imaging
(2%), and laboratory studies (1%). A multivariable linear regression analysis
showed that total cost was significantly affected by the number of levels
operated on, operating room time, and length of stay. Costs also showed a narrow
distribution with few outliers and did not vary significantly over time.
CONCLUSION: These results suggest that facility utilization and supplies/implants
are the predominant cost contributors, accounting for 76% of the total cost of
ACDF procedures. Efforts at lowering costs within these categories should make
the most impact on providing more cost-effective care.
PMID- 29660021
TI - Mapping of genomic EGFRvIII deletions in glioblastoma: insight into rearrangement
mechanisms and biomarker development.
AB - Background: Epidermal growth factor receptor (EGFR) variant III (vIII) is the
most common oncogenic rearrangement in glioblastoma (GBM), generated by deletion
of exons 2 to 7 of EGFR. The proximal breakpoints occur in variable positions
within the 123-kb intron 1, presenting significant challenges in terms of
polymerase chain reaction (PCR)-based mapping. Molecular mechanisms underlying
these deletions remain unclear. Methods: We determined the presence of EGFRvIII
and its breakpoints for 29 GBM samples using quantitative PCR, arrayed PCR
mapping, Sanger sequencing, and whole genome sequencing (WGS). Patient-specific
breakpoint PCR was performed on tumors, plasma, and cerebrospinal fluid (CSF)
samples. The breakpoint sequences and single nucleotide polymorphisms (SNPs) were
analyzed to elucidate the underlying biogenic mechanism. Results: PCR mapping and
WGS independently unveiled 8 EGFRvIII breakpoints in 6 tumors. Patient-specific
primers yielded EGFRvIII PCR amplicons in matched tumors and in cell-free DNA
(cfDNA) from a CSF sample, but not in cfDNA or extracellular-vesicle DNA from
plasma. The breakpoint analysis revealed nucleotide insertions in 4 samples, an
insertion of a region outside of the EGFR locus in 1, microhomologies in 3, as
well as a duplication or an inversion accompanied by microhomologies in 2,
suggestive of distinct DNA repair mechanisms. In the GBM samples that harbored
distinct breakpoints, the SNP compositions of EGFRvIII and amplified non-vIII
EGFR were identical, suggesting that these rearrangements arose from amplified
non-vIII EGFR. Conclusion: Our approach efficiently "fingerprints" each sample's
EGFRvIII breakpoints. Breakpoint sequence analyses suggest that independent
breakpoints arose from precursor amplified non-vIII EGFR through different DNA
repair mechanisms.
PMID- 29660022
TI - Molecular ablation of tumor blood vessels inhibits therapeutic effects of
radiation and bevacizumab.
AB - Background: Glioblastoma (GBM) is an aggressive and highly vascular tumor with
median survival below 2 years. Despite advances in surgery, radiotherapy, and
chemotherapy, survival has improved modestly. To combat glioma vascular
proliferation, anti-angiogenic agents targeting vascular endothelial growth
factor (VEGF) were introduced. Preclinically these agents were effective, yet
they did not improve overall survival in phase III trials. We tested the
hypothesis that ganciclovir (GCV)-mediated killing of proliferating endothelial
cells expressing herpes simplex virus type 1 thymidine kinase (HSV1-TK) would
have direct antitumor effects, and whether vessel ablation would affect the
antitumor activity of anti-VEGF antibodies and radiotherapy. Methods:
Proliferating endothelial cells were eliminated using GCV-mediated killing of
proliferating endothelial cells expressing HSV1-TK (in Tie2-TK-IRES-GFP mice).
Syngeneic NRAS/p53 (NP) gliomas were implanted into the brains of Tie2-TK-IRES
GFP mice. Endothelial proliferation activates the Tie2 promoter and HSV1-TK
expression. Administration of GCV kills proliferating tumor endothelial cells and
slows tumor growth. The effects of endothelial cell ablation on anti-angiogenic
therapy were examined using anti-VEGF antibodies or irradiation. Results: GCV
administration reduced tumor growth and vascular density, increased tumor
apoptosis, and prolonged survival. Anti-VEGF antibodies or irradiation also
prolonged survival. Surprisingly, combining GCV with irradiation, or with anti
VEGF antibodies, reduced their individual therapeutic effects. Conclusion: GCV
mediated killing of proliferating endothelial cells expressing HSV1-TK, anti-VEGF
antibodies, or irradiation all reduced growth of a murine glioma. However,
elimination of microvascular proliferation decreased the efficacy of anti-VEGF or
irradiation therapy. We conclude that, in our model, the integrity of
proliferating vessels is necessary for the antiglioma effects of anti-VEGF and
radiation therapy.
PMID- 29660023
TI - Radiation induces age-dependent deficits in cortical synaptic plasticity.
AB - Background: Radiation-induced cognitive dysfunction is a significant side effect
of cranial irradiation for brain tumors. Clinically, pediatric patients are more
vulnerable than adults. However, the underlying mechanisms of dysfunction,
including reasons for age dependence, are still largely unknown. Previous studies
have focused on the loss of hippocampal neuronal precursor cells and deficits in
memory. However, survivors may also experience deficits in attention, executive
function, or other non-hippocampal-dependent cognitive domains. We hypothesized
that brain irradiation induces age-dependent deficits in cortical synaptic
plasticity. Methods: In vivo recordings were used to test neuronal plasticity
along the direct pathway from the cornu ammonis 1 (CA1)/subicular region to the
prefrontal cortex (PFC). Specifically, long-term potentiation (LTP) in the
CA1/subicular-PFC pathway was assessed after cranial irradiation of juvenile and
adult Sprague Dawley rats. We further assessed a potential role for glutamate
toxicity by evaluating the potential neuroprotective effects of memantine.
Results: LTP was greatly inhibited in both adult and juvenile animals at 3 days
after radiation but returned to near-normal levels by 8 weeks-only in adult rats.
Memantine given before, but not after, irradiation partially prevented LTP
inhibition in juvenile and adult rats. Conclusion: Cranial radiation impairs
neuroplasticity along the hippocampal-PFC pathway; however, its effects vary by
age. Pretreatment with memantine offered protection to both juvenile and adult
animals. Deficits in cortical plasticity may contribute to radiation-induced
cognitive dysfunction, including deficits in attention and age-dependent
sensitivity of such pathways, which may underlie differences in clinical outcomes
between juveniles and adults after cranial irradiation.
PMID- 29660025
TI - Morning Cortisol Is Associated With Stress and Sleep in Elite Military Men: A
Brief Report.
AB - Introduction: Accumulating evidence suggests that trends in salivary cortisol
after awakening may be reliable biological predictors of morbidity and mortality.
In a sample of elite military men, our lab recently established summary
parameters of morning cortisol as well as their stability across 2 d of repeated
sampling. Materials and Methods: In this follow-on study, we evaluated summary
parameters and their relationships to theoretically relevant demographic (i.e.,
age, body mass index) and biobehavioral correlates (i.e., blood pressure [BP],
sleep parameters, fatigue, and perceived stress). Fifty-eight male active duty
U.S. Navy SEALs self-collected salivary samples on 2 consecutive, midweek
workdays upon waking (WAKE), WAKE+30 min, WAKE+60 min, 4 p.m., and 9 p.m. in a
nondeployed, free-living setting. Resting BP was measured manually, and sleep
wake periods were objectively derived using actigraphy. Daily fatigue and
perceived stress were measured by self-report. Summary parameters of morning
cortisol magnitude (i.e., peak value [Peak], area under the curve in terms of
ground [AUCG], and average of morning samples [AVE]) were assessed with respect
to each demographic and biobehavioral item via correlational analyses. A subgroup
of 29 participants was selected for compliance with salivary sampling in the
morning across 2 d. Results: Perceived stress was positively associated with Peak
(r[27] = 0.437, p < 0.05), AUCG (r[25] = 0.500, p < 0.01), and AVE (r[25] =
0.506, p < 0.01). Total sleep time was also positively associated with Peak
(r[26] = 0.378, p < 0.05). There were borderline associations between some
summary parameters and diastolic BP, percent sleep, and wake after sleep onset.
Age, systolic BP, body mass index, time in bed, sleep efficiency, and fatigue did
not associate with morning cortisol. Conclusions: Preliminary evidence of morning
cortisol summary parameters as biobehavioral indicators was established, and
these parameters appeared to associate with stress and sleep in elite military
men.
PMID- 29660024
TI - Crystal structure of the novel lesion-specific endonuclease PfuEndoQ from
Pyrococcus furiosus.
AB - Because base deaminations, which are promoted by high temperature, ionizing
radiation, aerobic respiration and nitrosative stress, produce mutations during
replication, deaminated bases must be repaired quickly to maintain genome
integrity. Recently, we identified a novel lesion-specific endonuclease,
PfuEndoQ, from Pyrococcus furiosus, and PfuEndoQ may be involved in the DNA
repair pathway in Thermococcales of Archaea. PfuEndoQ recognizes a deaminated
base and cleaves the phosphodiester bond 5' of the lesion site. To elucidate the
structural basis of the substrate recognition and DNA cleavage mechanisms of
PfuEndoQ, we determined the structure of PfuEndoQ using X-ray crystallography.
The PfuEndoQ structure and the accompanying biochemical data suggest that
PfuEndoQ recognizes a deaminated base using a highly conserved pocket adjacent to
a Zn2+-binding site and hydrolyses a phosphodiester bond using two Zn2+ ions. The
PfuEndoQ-DNA complex is stabilized by a Zn-binding domain and a C-terminal
helical domain, and the complex may recruit downstream proteins in the DNA repair
pathway.
PMID- 29660026
TI - Familial Syndromes Involving Meningiomas Provide Mechanistic Insight Into
Sporadic Disease.
AB - Currently, there is an incomplete understanding of the molecular pathogenesis of
meningiomas, the most common primary brain tumor. Several familial syndromes are
characterized by increased meningioma risk, and the genetics of these syndromes
provides mechanistic insight into sporadic disease. The best defined of these
syndromes is neurofibromatosis type 2, which is caused by a mutation in the NF2
gene and has a meningioma incidence of approximately 50%. This finding led to the
subsequent discovery that NF2 loss-of-function occurs in up to 60% of sporadic
tumors. Other important familial diseases with increased meningioma risk include
nevoid basal cell carcinoma syndrome, multiple endocrine neoplasia 1 (MEN1),
Cowden syndrome, Werner syndrome, BAP1 tumor predisposition syndrome, Rubinstein
Taybi syndrome, and familial meningiomatosis caused by germline mutations in the
SMARCB1 and SMARCE1 genes. For each of these syndromes, the diagnostic criteria,
incidence in the population, and frequency of meningioma are presented to review
the relevant clinical information for these conditions. The genetic mutations,
molecular pathway derangements, and relationship to sporadic disease for each
syndrome are described in detail to identify targets for further investigation.
Familial syndromes characterized by meningiomas often affect genes and pathways
that are also implicated in a subset of sporadic cases, suggesting key molecular
targets for therapeutic intervention. Further studies are needed to resolve the
functional relevance of specific genes whose significance in sporadic disease
remains to be elucidated.
PMID- 29660027
TI - The 6-hydroxychromanol derivative SUL-109 ameliorates renal injury after deep
hypothermia and rewarming in rats.
AB - Background: Mitochondrial dysfunction plays an important role in kidney damage in
various pathologies, including acute and chronic kidney injury and diabetic
nephropathy. In addition to the well-studied ischaemia/reperfusion (I/R) injury,
hypothermia/rewarming (H/R) also inflicts acute kidney injury. Substituted 6
hydroxychromanols are a novel class of mitochondrial medicines that ameliorate
mitochondrial oxidative stress and protect the mitochondrial network. To identify
a novel 6-hydroxychromanol that protects mitochondrial structure and function in
the kidney during H/R, we screened multiple compounds in vitro and subsequently
assessed the efficacy of the 6-hydroxychromanol derivatives SUL-109 and SUL-121
in vivo to protect against kidney injury after H/R in rats. Methods: Human
proximal tubule cell viability was assessed following exposure to H/R for 48/4 h
in the presence of various 6-hydroxychromanols. Selected compounds (SUL-109, SUL
121) or vehicle were administered to ketamine-anaesthetized male Wistar rats (IV
135 ug/kg/h) undergoing H/R at 15 degrees C for 3 h followed by rewarming and
normothermia for 1 h. Metabolic parameters and body temperature were measured
throughout. In addition, renal function, renal injury, histopathology and
mitochondrial fitness were assessed. Results: H/R injury in vitro lowered cell
viability by 94 +/- 1%, which was counteracted dose-dependently by multiple 6
hydroxychomanols derivatives. In vivo, H/R in rats showed kidney injury molecule
1 expression in the kidney and tubular dilation, accompanied by double-strand DNA
breaks and protein nitrosylation. SUL-109 and SUL-121 ameliorated tubular kidney
damage, preserved mitochondrial mass and maintained cortical adenosine 5'
triphosphate (ATP) levels, although SUL-121 did not reduce protein nitrosylation.
Conclusions: The substituted 6-hydroxychromanols SUL-109 and SUL-121 ameliorate
kidney injury during in vivo H/R by preserving mitochondrial mass, function and
ATP levels. In addition, both 6-hydroxychromanols limit DNA damage, but only SUL
109 also prevented protein nitrosylation in tubular cells. Therefore SUL-109
offers a promising therapeutic strategy to preserve kidney mitochondrial
function.
PMID- 29660028
TI - Reoperation for Medulloblastoma Prior to Adjuvant Therapy.
AB - BACKGROUND: Surgery remains an integral part of the treatment of medulloblastoma.
We present our experience with repeat surgery for this tumor before initiation of
adjuvant therapy. OBJECTIVE: To report what was found intraoperatively and where
at time of second-look surgery and detail any postoperative events or
readmissions within 90 days of surgery. METHODS: Two separate institutional
databases were queried to identify patients who underwent repeat resection of
suspected residual medulloblastoma from January 2003 to January 2017. RESULTS: We
identified 51 patients (36 male, 15 female) who underwent repeat surgery. Average
age at diagnosis was 8.31 years (range, 1.3-21.2). Imaging prior to repeat
surgery demonstrated unequivocal residual tumor in 37 patients, but indeterminate
in 14 patients. All but 1 patient had histopathologically confirmed residual
tumor (50/51, 98%). The fourth ventricle was the primary site in 39 (76%) cases,
compared with hemispheric in 12 cases (24%). Thirty (59%) tumors were non-WNT/non
SHH. All indeterminate cases (except for 1 patient) had residual tumor.
Hemostatic agents were found within the resection cavity in 80% of indeterminate
cases. The most common sites of residual tumor were lateral (26/39, 67%, lateral
recess and/or foramen of Luschka) and roof (25/39, 64%); the superior medullary
velum was the most common region of the roof (19/25, 76%). Eight (16%) patients
developed new neurological deficits: cranial nerve palsies in 5 patients and
posterior fossa syndrome in 3 patients. CONCLUSION: Meticulous inspection of the
resection cavity is necessary, paying particular attention to the roof and
lateral recess. Hemostatic agents can conceal residual tumor.
PMID- 29660029
TI - Chloride: from Nutrient to Toxicant.
AB - In salinized soils in which chloride (Cl-) is the dominant salt anion, growth of
plants that tolerate only low concentrations of salt (glycophytes) is disturbed
by Cl- toxicity. Chlorotic discolorations precede necrotic lesions, causing yield
reductions. Little is known about the effects of Cl- toxicity on these
dysfunctions. A lack of understanding exists regarding (i) the molecular and
physiological mechanisms that lead to Cl--induced damage and (ii) the adaptive
aspects of induced tolerance to Cl- salinity. Here, mechanistic explanations for
the Cl--induced stress responses are proposed and novel ideas and strategies by
which glycophytic plants avoid the excessive accumulation of Cl- are reviewed.
New experiments are suggested to test the proposed hypotheses. Cl- salinity
constrains global food security and thus we urgently need more research into the
causes and consequences of Cl- salinity.
PMID- 29660030
TI - Letter: Angio-Architectural Features of High-Grade Intracranial Dural
Arteriovenous Fistulas: Correlation With Aggressive Clinical Presentation and
Hemorrhagic Risk.
PMID- 29660031
TI - Meningioma transcription factors link cell lineage with systemic metabolic cues.
AB - Background: Tumor cells recapitulate cell-lineage transcriptional programs that
are characteristic of normal tissues from which they arise. It is unclear why
such lineage programs are fatefully maintained in tumors and if they contribute
to cell proliferation and viability. Methods: Here, we used the most common brain
tumor, meningioma, which is strongly associated with female sex and high body
mass index (BMI), as a model system to address these questions. We screened
expression profiling data to identify the transcription factor (TF) genes which
are highly enriched in meningioma, and characterized the expression pattern of
those TFs and downstream genes in clinical meningioma samples as well as normal
brain tissues. Meningioma patient-derived cell lines (PDCLs) were used for
further validation and characterization. Results: We identified 8 TFs highly
enriched in meningioma. Expression of these TFs, which included sine oculis
homeobox 1 (SIX1), readily distinguished meningiomas from other primary brain
tumors and was maintained in PDCLs and even in pulmonary meningothelial nodules.
In meningioma PDCLs, SIX1 and its coactivator eyes absent 2 (EYA2) supported the
expression of the leptin receptor (LEPR), the cell-surface receptor for leptin
(LEP), the adipose-specific hormone that is high in women and in individuals with
high BMI. Notably, these transcriptional regulatory factors, LEPR and LEP, both
contributed to support meningioma PDCLs proliferation and survival, elucidating a
survival dependency on both a core transcriptional program and a metabolic cell
surface receptor. Conclusions: These findings provide one rationale for why
lineage TF expression is maintained in meningioma and for the epidemiological
association of female sex and obesity with meningioma risk.
PMID- 29660032
TI - FCTC Implementation in Nigeria: Lessons for Low and Middle-Income Countries.
AB - Background: Nigeria is a significant tobacco market and influential country in
Africa. Nigeria ratified the WHO Framework Convention on Tobacco Control (FCTC)
in 2005. We reviewed Nigeria's tobacco control legislation since 2000 and
compliance of the National Tobacco Control Act (NTCA) 2015 with the FCTC.
Methods: We reviewed the National Tobacco Control Bills 2011 (proposed by
legislature) and 2014 (proposed by Executive), the NTCA 2015, and media stories
on tobacco control from 2008 to 2017. Results: The NTCA, despite being more
comprehensive than Nigeria's first Tobacco Smoking (Control) law of 1990,
maintained provisions promoted by the tobacco industry. Examples include:
allowing designated smoking areas in hospitality venues, higher educational
institutions, and transportation venues; a loophole in the advertising
restictions allowing communications with consenting adults; having the
Manufacturers Association of Nigeria (MAN) (which includes tobacco companies) on
the National Tobacco Control Committee charged with working with the Ministry of
Health to implement the law. The industry is also directly involved with the
Standards Organisation of Nigeria (SON) in preparing regulations on cigarette
constituents and emissions. In an unprecedented step globally, the law requires
that implementing regulations be approved by the National Assembly, giving the
industry another opportunity to weaken this law further by lobbying the
legislators to favour the industry. As of January 2018 the law was still not
being enforced. Conclusion: The NTCA can be strengthened through implementation
guidelines still being developed. The industry should be prevented from
interfering with through MAN and SON, as required by FCTC Article 5.3.
Implications: The tobacco industry works to block FCTC implementation even after
a country ratifies the treaty. The Nigerian case illustrates that it is essential
for health authorities to remain vigilant and ensure that the tobacco industry
does not play a decision-making role in the process of tobacco legislation and
regulation either directly or indirectly. The unprecedented step of requiring
approval of implementing regulations for the Nigerian law should not be allowed
to become a precedent in other countries.
PMID- 29660034
TI - E-cigarettes May Support Smokers With High Smoking-Related Risk Awareness to Stop
Smoking in the Short Run: Preliminary Results by Randomized Controlled Trial.
AB - Introduction: E-cigarettes may be positively used in tobacco cessation
treatments. However, neither the World Health Organization nor the American Food
and Drug Administration has recognized them as effective cessation aids. Data
about the efficacy and safety of e-cigarettes are still limited and
controversial. Methods: This was a double-blind randomized controlled study. The
main aim was to assess the efficacy of the use of e-cigarettes in a tobacco
cessation program with a group of chronic smokers voluntarily involved in long
term lung cancer screening. Participants were randomized into three arms: e
cigarettes (Arm 1), placebo (Arm 2), and control (Arm 3). All subjects also
received a low-intensity counseling. Results: About 25% of participants who
followed a cessation program based on the use of e-cigarettes (Arm 1 and Arm 2)
were abstinent after 3 months. Conversely, only about 10% of smokers in Arm 3
stopped. Participants in Arm 1 also reported a higher reduction rate (M =
11.6441, SD = 7.574) than participants in Arm 2 (M = -10.7636, SD = 8.156) and
Arm 3 (M = -9.1379, SD = 8.8127). Conclusions: Our findings support the efficacy
and safety of e-cigarettes in a short-term period. E-cigarettes use led to a
higher cessation rate. Furthermore, although all participants reported a
significant reduction of daily cigarette consumption compared to the baseline,
the use of e-cigarettes (including those without nicotine) allowed smokers to
achieve better results. Implications: E-cigarettes increased the stopping rate as
well as the reduction of daily cigarettes in participants who continued smoking.
In fact, although all participants reported a significant reduction of tobacco
consumption compared to the baseline, the use of e-cigarettes allowed smokers to
achieve a better result. It could be worthwhile to associate this device with new
ICT-driven models of self-management support in order to enable people to better
handle behavioral changes and side effects. This is true for ready-to-quit
smokers (such as our participants) but can also be advantageous for less
motivated smokers engaged in clinical settings.
PMID- 29660033
TI - Phosphorylation of xeroderma pigmentosum group C regulates ultraviolet-induced
DNA damage repair.
AB - Nucleotide excision repair (NER) is the most versatile DNA repair system that
removes bulky DNA damage induced by various endogenous and exogenous factors,
including UV radiation. Defects in NER can lead to the xeroderma pigmentosum (XP)
syndrome, mainly characterized by increased carcinogenesis in the skin. The
function of NER factors, including xeroderma pigmentosum group C (XPC), can be
regulated by post-translational modifications such as ubiquitination. However,
the role of phosphorylation in XPC function remains unknown. Here, we show that
phosphorylation of XPC acts as a novel post-translational regulatory mechanism of
the NER pathway. We show that XPC is phosphorylated at serine 94. Moreover, after
UVB irradiation, XPC phosphorylation regulates recruitment of ubiquitinated XPC
and its downstream NER factors to the chromatin. In addition, upon evaluating the
predicted kinases for XPC phosphorylation, we found that casein kinase II (CK2)
promotes NER. Furthermore, CK2 kinase mediates XPC phosphorylation at serine 94,
and also promotes recruitment of ubiquitinated XPC to the chromatin after UVB
irradiation. Our findings have identified XPC phosphorylation as a new mechanism
for regulating NER following UV-induced DNA damage.
PMID- 29660035
TI - The preventable burden of work-related ill-health.
AB - Background: The fraction of ill-health overall attributable to occupational
conditions has not been extensively evaluated, thus contributing to the
perception of a lesser relevance of education and research in occupational health
in respect to other fields of medical research and practice. Aims: To assess the
relevance of work-related conditions on the aetiology of human ill-health in
different health domains. Methods: We extracted the risk estimates associated
with heritability and with occupational risk factors for chronic lymphocytic
leukaemia (CLL), major depressive disorder (MDD) and long QT syndrome (LQTS) from
13 published international reports. The selection criteria for the eligible
studies were: genome-wide studies, or studies of the occupational risk factors
associated with one of the three diseases of interest. We calculated and compared
the respective population attributable fraction for the combined occupational
risk factors, and for heritability. Results: We estimated that occupational risk
factors would account for 12% (95% confidence interval (CI) 4-19) of CLL, 11%
(95% CI 7-15) of MDD and 10% (95% CI 2-13) of LQTS burden in the general
population. The corresponding figures for heritability would be 16% (95% CI 11
22), 28% (95% CI 20-5) and 17% (95% CI 7-27). Conclusions: More efforts in
capacity building and research in occupational health are warranted aiming to
prevent ill-health and to preserve a productive life for the ageing work
population.
PMID- 29660036
TI - Exercise therapy and work-related musculoskeletal disorders in sedentary workers.
AB - Background: Work-related upper limb disorders (WRULDs) are a syndrome of symptoms
affecting the upper quadrant of the body and are a significant cause of pain,
disability and sickness absence among workers. Exercise therapy is considered to
be a clinical and cost-effective strategy in WRULD management. Aims: To evaluate
the effectiveness of exercise therapy for WRULDs in sedentary workers. Methods:
This review follows an a priori protocol to maintain internal validity describing
essential procedures to be followed (e.g. a comprehensive search strategy, duel
extraction and critical appraisal). The methodological quality of the studies
were assessed using Cochrane Risk of Bias Tool for all randomized controlled
trials and the Assessing the Methodological Quality of Systematic Reviews
(AMSTAR) tool for systematic reviews. Results: A total of 11 articles were
selected for inclusion. There was moderate evidence to suggest exercise is
effective in reducing the symptoms of pain and improved function in WRULDs in
sedentary workers when compared to a control group. Conclusions: The results were
comparable to recent systematic reviews, which have found evidence to support the
use of exercise therapy, in mixed populations of workers. There is a need for
further research to highlight the most effective form of exercise, optimal dosage
and delivery method.
PMID- 29660037
TI - Twelve Million Smokers Look Online for Smoking Cessation Help Annually: Health
Information National Trends Survey Data, 2005-2017.
AB - Background: This study quantified the potential reach of Internet smoking
cessation interventions to support calculations of potential population impact
(reach * effectiveness). Using a nationally representative survey, we calculated
the number and proportion of adult smokers that look for cessation assistance
online each year. Methods: Five waves (2005, 2011, 2013, 2015, 2017) of the
National Cancer Institute's Health Information National Trends Survey were
examined. The survey asked US adults whether they ever go online to use the
Internet, World Wide Web, or email and had used the Internet to look for
information about quitting smoking within the past 12 months. We estimated the
proportion and number of (1) all US adult smokers, and (2) online US adult
smokers that searched for cessation information online. Cross-year comparisons
were assessed with logistic regression. Results: The proportion of all smokers
who searched online for cessation information increased over the past decade (p <
.001): 16.5% in 2005 (95% CI = 13.2% to 20.4%), 20.9% in 2011 (95% CI = 15.55% to
28.0%), 25.6% in 2013 (95% CI = 19.7% to 33.0%), 23.4% in 2015 (95% CI = 16.9% to
31.0%), and 35.9% in 2017 (95% CI = 24.8% to 48.9%). Among online smokers only,
approximately one third searched online for cessation information each year from
2005 through 2015. In 2017, that proportion increased to 43.7% (95% CI = 29.7% to
58.7%), when an estimated 12.4 million online smokers searched for cessation
help. Conclusions: More than one third of all smokers turn to the Internet for
help quitting each year, representing more than 12 million US adults.
Implications: This research provides contemporary estimates for the reach of
Internet interventions for smoking cessation. Such estimates are necessary to
estimate the population impact of Internet interventions on quit rates. The
research finds more than 12 million US smokers searched online for cessation
information in 2017.
PMID- 29660038
TI - External Ventricular Drain and Hemorrhage in Aneurysmal Subarachnoid Hemorrhage
Patients on Dual Antiplatelet Therapy: A Retrospective Cohort Study.
AB - BACKGROUND: Stenting and flow diversion for aneurysmal sub arachnoid hemorrhage
(aSAH) require the use of dual antiplatelet therapy (DAPT). OBJECTIVE: To
investigate whether DAPT is associated with hemorrhagic complication following
placement of external ventricular drains (EVD) in patients with aSAH. METHODS:
Rates of radiographically identified hemorrhage associated with EVD placement
were compared between patients who received DAPT for stenting or flow diversion,
and patients who underwent microsurgical clipping or coiling and did not receive
DAPT by way of a backward stepwise multivariate analysis. RESULTS: Four hundred
forty-three patients were admitted for aSAH management. Two hundred ninety-eight
patients required placement of an EVD. One hundred twenty patients (40%) were
treated with stent-assisted coiling or flow diversion and required DAPT, while
178 patients (60%) were treated with coiling without stents or microsurgical
clipping and did not receive DAPT. Forty-two (14%) cases of new hemorrhage along
the EVD catheter were identified radiographically. Thirty-two of these
hemorrhages occurred in patients on DAPT, while 10 occurred in patients without
DAPT. After multivariate analysis, DAPT was significantly associated with
radiographic hemorrhage [odds ratio: 4.92, 95% confidence interval: 2.45-9.91, P
= .0001]. We did not observe an increased proportion of symptomatic hemorrhage in
patients receiving DAPT (10 of 32 [31%]) vs those without (5 of 10 [50%]; P =
.4508). CONCLUSION: Patients with aSAH who receive stent-assisted coiling or flow
diversion are at higher risk for radiographic hemorrhage associated with EVD
placement. The timing between EVD placement and DAPT initiation does not appear
to be of clinical significance. Stenting and flow diversion remain viable options
for aSAH patients.
PMID- 29660039
TI - Trial Readiness in Cavernous Angiomas With Symptomatic Hemorrhage (CASH).
AB - BACKGROUND: Brain cavernous angiomas with symptomatic hemorrhage (CASH) are
uncommon but exact a heavy burden of neurological disability from recurrent
bleeding, for which there is no proven therapy. Candidate drugs to stabilize the
CASH lesion and prevent rebleeding will ultimately require testing of safety and
efficacy in multisite clinical trials. Much progress has been made in
understanding the epidemiology of CASH, and novel biomarkers have been linked to
the biological mechanisms and clinical activity in lesions. Yet, the ability to
enroll and risk-stratify CASH subjects has never been assessed prospectively at
multiple sites. Biomarkers and other outcomes have not been evaluated for their
sensitivity and reliability, nor have they been harmonized across sites.
OBJECTIVE: To address knowledge gaps and establish a research network as
infrastructure for future clinical trials, through the Trial Readiness grant
mechanism, funded by National Institute of Neurological Disorders and
Stroke/National Institutes of Health. METHODS: This project includes an
observational cohort study to assess (1) the feasibility of screening, enrollment
rates, baseline disease categorization, and follow-up of CASH using common data
elements at multiple sites, (2) the reliability of imaging biomarkers including
quantitative susceptibility mapping and permeability measures that have been
shown to correlate with lesion activity, and (3) the rates of recurrent
hemorrhage and change in functional status and biomarker measurements during
prospective follow-up. EXPECTED OUTCOMES: We propose a harmonized multisite
assessment of enrollment rates of CASH, baseline features relevant to
stratification in clinical trials, and follow-up assessments of functional
outcomes in relation to clinical bleeds. We introduce novel biomarkers of
vascular leak and hemorrhage, with firm mechanistic foundations, which have been
linked to clinical disease activity. We shall test their reliability and validity
at multiple sites, and assess their changes over time, with and without clinical
rebleeds, hence their fitness as outcome instruments in clinical trials.
DISCUSSION: The timing cannot be more opportune, with therapeutic targets
identified, exceptional collaboration among researchers and the patient
community, along with several drugs ready to benefit from development of a path
to clinical testing using this network in the next 5 years.
PMID- 29660040
TI - Commentary: La Pitie-Salpetriere Hospital in Paris: the Historic Cradle of
Neurosurgery.
PMID- 29660041
TI - Cardiovascular Effects of Hookah Smoking: Potential Implications for
Cardiovascular Risk.
AB - Implications: This review provides an overview on the potential impact of hookah
smoking on cardiovascular health. Readers will gain an insight into evidence on
its toxicological constituents, human health effects, and pathophysiological
mechanisms by which hookah smoking might cause cardiovascular disease. The review
also highlights current research gaps regarding the cardiovascular consequences
of hookah smoking, specifically the long-term consequences in the U.S. and Europe
among flavored hookah tobacco users.
PMID- 29660042
TI - Pediatric Irritable Bowel Syndrome: Perspectives on Pain and Adolescent Social
Functioning.
AB - Objective: The goal of the study was to describe the experiences of adolescents
with irritable bowel syndrome (IBS) from the perspective of adolescents, their
parents, and health care providers who treat adolescents who have IBS. Design:
The study consisted of semistructured interviews. Setting: Participants were
recruited from multidisciplinary pain clinics. Subjects: Thirty-six people
participated in the study: 12 adolescents, 12 parents, and 12 health care
providers. Results: Two main themes associated with the impact of IBS on
adolescents' social functioning emerged from the qualitative interview data: 1)
disconnection from peers and 2) strain on family relationships, with subthemes
reflecting the perspectives of adolescents, parents, and health care providers.
Conclusions: Participants in our study described that adolescents with IBS
encounter significant peer- and family-related social stress. Helpful
interventions may be those that focus on social support from other adolescents
with similar conditions, as well as family-based therapeutic interventions.
PMID- 29660043
TI - Delayed Occipitocervical Instability With Cranial Settling After Far-Lateral
Transcondylar Surgery for Invasive Skull Base Tumor.
AB - BACKGROUND: Occipitocervical instability is a rare but potentially severe
complication of a far-lateral transcondylar surgical approach to the skull base.
OBJECTIVE: To investigate the incidence of clinically significant
occipitocervical instability after transcondylar surgery via a far-lateral
approach and to determine whether the extent of occipital condyle resection
relative to the hypoglossal canal was associated with the development of
occipitocervical instability. METHODS: A retrospective review of patients
undergoing far-lateral transcondylar surgery was performed at our institution to
identify patients who developed postoperative occipitocervical instability.
RESULTS: Of the 61 far-lateral transcondylar operations performed, the authors
identified 2 cases of delayed occipitocervical instability after surgery. In each
case, the patient had tumor invading into the occipital condyle and supracondylar
region and a resection extending anterior to the hypoglossal canal was performed.
Both patients presented with pathological fractures and a severe occipitocervical
deformity. CONCLUSION: Patients who have tumor involvement of the occipital
condyle and supracondylar region and undergo partial unilateral condylar
resection are at risk for occipitocervical instability and should be considered
for occipitocervical fusion.
PMID- 29660044
TI - Multimodal Neuroimaging Differences in Nicotine Abstinent vs. Satiated Smokers.
AB - Introduction: Research on cigarette smokers suggests cognitive and behavioral
impairments. However, much remains unclear how the functional neurobiology of
smokers is influenced by nicotine state. Therefore, we sought to determine which
state, be it acute nicotine abstinence or satiety, would yield the most robust
differences compared to non-smokers when assessing neurobiological markers of
nicotine dependence. Methods: Smokers(N=15) and sociodemographically matched non
smokers(N=15) were scanned twice using a repeated-measures design. Smokers were
scanned after a 24-hour nicotine abstinence, and immediately after smoking their
usual brand cigarette. The neuroimaging battery included a stop-signal task of
response inhibition and pseudo-continuous arterial spin labeling to measure
cerebral blood flow (CBF). Whole brain voxel-wise ANCOVAs were carried out on
stop success and stop fail SST contrasts and CBF maps to assess differences among
non-, abstinent and satiated smokers. Cluster-correction was performed using
AFNI's 3dClustSim to achieve a significance of p<0.05. Results: Smokers exhibited
higher brain activation in bilateral inferior frontal gyrus (IFG), a brain region
known to be involved in inhibitory control, during successful response
inhibitions relative to non-smokers. This effect was significantly higher during
nicotine abstinence relative to satiety. Smokers also exhibited lower CBF in the
bilateral IFG than non-smokers. These hypo-perfusions were not different between
abstinence and satiety. Conclusions: These findings converge on alterations in
smokers in prefrontal circuits known to be critical for inhibitory control. These
effects are present, even when smokers are satiated, but the neural activity
required to achieve performance equal to controls is increased when smokers are
in acute abstinence. Implications: Our multi-modal neuroimaging study gives
neurobiological insights into the cognitive demands of maintaining abstinence and
suggest targets for assessing the efficacy of therapeutic interventions.
PMID- 29660045
TI - Effect of dietary supplementation with Moringa oleifera leaf on performance, meat
quality, and oxidative stability of meat in broilers.
AB - The objective of this study was to evaluate the effects of dietary
supplementation with Moringa oleifera leaf (MOL) on performance, carcass
characteristics, meat quality, and oxidative stability of breast muscle in
broilers. A total of 720 1-d-old male Arbor Acres birds were randomly divided
into 6 dietary groups, which were fed a basal diet supplemented with 0, 1, 2, 5,
10, and 15% MOL, respectively. Each group had 6 replicates of 20 birds each. The
feeding trial lasted for 42 d. The results showed dietary MOL supplementation
linearly and quadratically decreased body weight and average daily gain (P <
0.01), and increased feed conversion ratio (P < 0.001). Abdominal fat decreased
linearly and quadratically in response to the supplementation of MOL in diets,
both on d 21 and 42 (P < 0.001). In breast muscle, dietary supplementation with
MOL quadratically increased the contents of C18:2, C18:3n-3, C20:4,
polyunsaturated fatty acids (PUFA), n-3 PUFA, n-6 PUFA (P < 0.01), and decreased
thrombogenic index (TI; P = 0.019). Dietary inclusion of MOL improved meat color,
evidenced by quadratically reduced b* (yellowness) values (45 min postmortem, P =
0.001; 24 h postmortem, P = 0.018) and increased a* (redness) values (24 h
postmortem, P < 0.001). Besides, diets supplemented with MOL quadratically
decreased malondialdehyde (MDA) levels in breast muscle during storage (P <
0.001). Plasma total anti-oxidative capacity, total superoxide dismutase,
glutathione peroxidase activities increased quadratically (P < 0.01), whereas MDA
decreased quadratically (P < 0.001), in response to dietary MOL supplementation.
In summary, MOL could be used as a feed ingredient for broilers to improve PUFA
contents, oxidative stability, color of breast muscle, and abdominal fat without
adverse effects on growth performance, with an inclusion of 1.56% in the diets.
PMID- 29660046
TI - Seeing new opportunities to help smokers quit: A UK national survey of
optometrist delivered smoking cessation behavioural support interventions.
AB - Background: Smoking is a risk factor for various eye conditions. Brief smoking
cessation interventions have demonstrated effectiveness when delivered by a range
of healthcare professionals. Optometrists are well-placed in the community to
advise otherwise healthy smokers to quit, yet remain relatively neglected in
smoking cessation research and policy. In a national survey, this study
investigated self-reported practices of UK optometrists for delivering brief
tobacco smoking cessation interventions to patients. Methods: A randomly selected
sample of 1,200 optometrists out of the 9000 optometrists registered on the UK
College of Optometrists database were invited to complete a 40-item, web-based
survey assessing: training related to smoking cessation; current practice [i.e.
the proportion of patients to which components of very brief advice (Ask, Advise,
Assist) and other evidence-based smoking cessation behaviour change techniques
were delivered]; and barriers/enablers to intervention delivery. Results: In
total, 408 (34%) responses were received. Most (83%) optometrists received no
training in practical skills for delivering smoking cessation support. A third
(34%) routinely assessed smoking status. Fewer self-reported advising smokers to
quit (22%), offering assistance (via referral to dedicated services) (3%), or
advice on smoking cessation medications (2%). Perceived barriers included
insufficient knowledge/training (81%) and time (65%). Optometrists were more
likely to assess and advise on smoking cessation if they practised in Scotland
(chi2(2)=32.95, p<0.001), an independent optometry practice (chi2(1)=4.27,
p=0.39), or had received smoking cessation training chi2(1)=13.1, p<0.001).
Conclusions: Substantial gaps exist in UK optometrists' current smoking cessation
training and practice. Evidence-based training resources are needed to support
the implementation of smoking cessation interventions into routine optometry
practice. Implications: Optometrists are well placed in the community to delivery
brief advice interventions to a large population of smokers. This survey provides
a comprehensive description of current UK optometry practice related to the
provision of evidence-based brief tobacco smoking cessation interventions to
patients. Although optometrists perceive advising on smoking cessation as part of
their role, numerous substantial gaps in current practice and training remain
which need to be addressed through targeted interventions to increase
implementation.
PMID- 29660048
TI - FDA Policy on Setting Maximum Nicotine Content in Cigarettes.
PMID- 29660047
TI - Trigeminal Nerve Atrophy Predicts Pain Recurrence After Gamma Knife Stereotactic
Radiosurgery for Classical Trigeminal Neuralgia.
AB - BACKGROUND: Trigeminal nerve atrophy and neurovascular compression (NVC) are
frequently observed in classical trigeminal neuralgia (CTN). OBJECTIVE: To
determine whether nerve characteristics contribute to Gamma Knife (Elekta AB,
Stockholm, Sweden) surgery (GKS) outcomes in unilateral CTN without previous
surgery. METHODS: From 2006 to 2012, 67 patients with unilateral CTN without
previous surgery received GKS with a maximal dose of 90 Gy delivered to the
trigeminal nerve juxta brainstem. Two evaluators, blinded to the side of pain,
analyzed the magnetic resonance images before GKS to obtain the parameters,
including nerve cross-sectional area (CSA), vessel type of NVC, and site of NVC
along the nerve. Correlations of the parameters with pain relief (Barrow
Neurological Institute [BNI] grades I-IIIb) and recurrence (BNI grades VI-V) were
made by using Cox regression and Kaplan-Meier analyses. RESULTS: The median CSA
of the symptomatic nerves was significantly smaller than that of the asymptomatic
nerves (4.95 vs 5.9 mm2, P < .001). After adjustment for age and sex, larger
nerve CSA was associated with lower initial pain relief (hazard ratio 0.81, P =
.03) and lower pain recurrence after initial response (hazard ratio 0.58, P =
.02). Patients with nerve atrophy (CSA of <= 4.4 mm2 after receiver operating
characteristic curve analysis) had a lower 5-yr probability of maintaining pain
relief after initial response than those without nerve atrophy (65% vs 86%, P =
.04). CONCLUSION: Trigeminal nerve atrophy may predict pain recurrence in
patients with initial post-GKS relief of CTN. Arterial and proximal NVC are not
predictive of GKS outcomes. Future studies are required to determine optimal
treatments for long-term pain relief in patients with CTN and trigeminal nerve
atrophy.
PMID- 29660049
TI - Ginsenoside Rg1 enhanced immune responses to infectious bursal disease vaccine in
chickens with oxidative stress induced by cyclophosphamide.
AB - This study was designed to evaluate the effect of oral administration of
ginsenoside Rg1 on oxidative stress induced by cyclophosphamide in chickens.
Ninety-six chickens were randomly divided into 4 groups, each consisting of 24
birds. Groups 2 and 3 received intramuscular injection of cyclophosphamide at 100
mg/kg body weight for 3 d to induce oxidative stress and immune suppression.
Groups 1 and 4 were injected with saline in the same way as groups 2 and 3. Then
chickens in group 3 were orally administrated Rg1 of 1 mg/kg body weight in
drinking water for 7 d. After that, groups 1 to 3 were orally vaccinated with
attenuated infectious bursal disease vaccine (Strain B87). Blood samples were
collected for determination of infectious bursal disease virus-specific
antibodies, cytokines, and oxidative parameters. Splenocytes were prepared for
lymphocyte proliferation assay. The results showed that oral administration of
ginsenoside Rg1 significantly enhanced specific antibody, IFN-gamma, and IL-6
responses, and lymphocyte proliferation induced by concanavalin A and
lipopolysaccharide in chickens injected with cyclophosphamide. Antioxidant
activity of ginsenoside Rg1 was also observed in chickens by increased total
antioxidant capacity, total superoxide dismutase, catalase, glutathione
peroxidase, glutathione, ascorbic acid, and alpha-tocopherol, as well as
decreased malondialdehyde and protein carbonyl. Therefore, oral administration of
Rg1 was shown to improve the immune responses to infectious bursal disease
vaccine in chickens suffering from oxidative stress.
PMID- 29660050
TI - In Reply: Incidence and Predictive Factors of Sepsis Following Adult Spinal
Deformity Surgery.
PMID- 29660051
TI - Resection of Right Frontal Arteriovenous Malformation: 3-Dimensional Operative
Video.
AB - The video demonstrates resection of a Grade II Spetzler-Martin unruptured, medium
sized arteriovenous malformation (AVM). A young woman presented with headaches
and seizures. The right frontal lesion measured 4.5 cm in largest dimension and
had superficial venous drainage. Partial Onyx embolization, primarily of the
anterior cerebral feeding arteries, was performed. Bone removal for exposure
allowed identification of indentation from the large superficial draining vein.
The video demonstrates careful microsurgical dissection on the AVM/brain
interface, with selective interruption of feeding arteries circumferentially. The
lesion was removed after ligation of the large superficial draining vein.
Postoperative day 1 and 1-yr angiography demonstrated complete resection. The
patient's symptoms abated after resection. This case is presented with a waiver
of informed consent as per the Institutional Review Board.
PMID- 29660052
TI - Side-to-Side and End-to-Side Double Anastomosis Using the Parietal-Branch of the
Superficial Temporal Artery-A Novel Technique for Extracranial to Intracranial
Bypass Surgery: 3-Dimensional Operative Video.
AB - In this 3-dimensional video, we perform a side-to-side and end-to-side double
anastomosis using the parietal-branch of the superficial temporal artery (STA) to
provide flow augmentation in a symptomatic 59-yr-old male with bilateral internal
carotid artery occlusion at the origin, and left M1 segment occlusion. The
patient suffered multiple left hemispheric strokes despite maximal medical
therapy and was found to have poor hemodynamic reserve in the left hemisphere
during evaluation with regional and global blood oxygenation level-dependent
functional magnetic resonance imaging with CO2-challenge as well as quantitative
magnetic resonance angiography and noninvasive optimal vessel analysis pre- and
post-acetazolamide challenge. Postoperatively, the patient did very well and his
hemodynamic studies improved significantly. The importance of this technique
relies on the fact that we are using a single donor vessel to perform 2
anastomoses, and carries the following advantages: (1) the frontal STA branch
remains intact and therefore can still be used at a later time if further
revascularization is needed; (2) wound complications related to devascularizing
the scalp from harvesting both STA branches are reduced; (3) 2 vascular
territories are augmented (frontal and temporal) while using a single donor; (4)
we are maximizing donor potential and optimizing cut flow index (CFI; total
bypass flow postanastomosis divided by bypass cut flow) by flow augmenting 2
separate vascular beds therefore increasing demand. To explain that fourth point
further: if the STA donor is able to carry a maximum 100 mL/min when cut, and
after performing the first anastomosis bypass flow is only 37 mL/min, CFI will be
37/100 = 0.37, reflecting low demand, a poor indicator of graft patency, as
previously published.1,2 By adding a second anastomosis which demands an
additional 60 mL/min from the same STA donor, CFI (60 + 37)/100 improves to 1.
Institutional Review Board approval was obtained for the review of patient chart
and video files. Informed consent was obtained directly from the patient via
telephone regarding use of media for educational and publication purposes.
PMID- 29660053
TI - Translational Science: Basic Science to Public Policy and Back Again.
PMID- 29660054
TI - The Gateway Effect of e-cigarettes; Reflections on main Criticisms.
PMID- 29660055
TI - Accuracy Assessment of Pedicle and Lateral Mass Screw Insertion Assisted by
Customized 3D-Printed Drill Guides: A Human Cadaver Study.
AB - BACKGROUND: Accurate cervical screw insertion is of paramount importance
considering the risk of damage to adjacent vital structures. Recent research in 3
dimensional (3D) technology describes the advantage of patient-specific drill
guides for accurate screw positioning, but consensus about the optimal guide
design and the accuracy is lacking. OBJECTIVE: To find the optimal design and to
evaluate the accuracy of individualized 3D-printed drill guides for lateral mass
and pedicle screw placement in the cervical and upper thoracic spine. METHODS:
Five Thiel-embalmed human cadavers were used for individualized drill-guide
planning of 86 screw trajectories in the cervical and upper thoracic spine. Using
3D bone models reconstructed from acquired computed tomography scans, the drill
guides were produced for both pedicle and lateral mass screw trajectories. During
the study, the initial minimalistic design was refined, resulting in the advanced
guide design. Screw trajectories were drilled and the realized trajectories were
compared to the planned trajectories using 3D deviation analysis. RESULTS: The
overall entry point and 3D angular accuracy were 0.76 +/- 0.52 mm and 3.22 +/-
2.34 degrees , respectively. Average measurements for the minimalistic guides
were 1.20 mm for entry points, 5.61 degrees for the 3D angulation, 2.38 degrees
for the 2D axial angulation, and 4.80 degrees for the 2D sagittal angulation.
For the advanced guides, the respective measurements were 0.66 mm, 2.72 degrees ,
1.26 degrees , and 2.12 degrees , respectively. CONCLUSION: The study ultimately
resulted in an advanced guide design including caudally positioned hooks,
crosslink support structure, and metal inlays. The novel advanced drill guide
design yields excellent drilling accuracy.
PMID- 29660056
TI - Pyomyositis: an unusual cause of hip pain in a patient on certolizumab pegol and
leflunomide.
PMID- 29660057
TI - Letter: Incidence and Predictive Factors of Sepsis Following Adult Spinal
Deformity Surgery.
PMID- 29660058
TI - Histological parameters to evaluate intestinal health on broilers challenged with
Eimeria and Clostridium perfringens with or without enramycin as growth promoter.
AB - The maintenance of integrity of the gastrointestinal tract is an important aspect
for animal productivity, since it is able to absorb nutrients more efficiently
and serves as a barrier against microorganisms. To control agents detrimental to
intestinal integrity, growth-promoting antibiotics (AGP) are used, which reduce
the number of toxin-producing microorganisms in the intestinal lumen, acting as
anti-inflammatory agents. There is a demand for restriction of use of AGP in
animal feed, but there are few studies showing what parameters we should observe
to search for alternative additives. The aim of this study was to establish
histological parameters that explain the effect of enramycin as growth promoter
on intestinal health in broilers challenged with Eimeria and Clostridium
perfringens. The zootechnical performance and the histology by I See Inside (ISI)
methodology were evaluated on liver and ileum samples. Chickens challenged
without AGP have the worst BWG, FCR, and histological ISI score (ISI score 9) in
the ileum compared to non-challenged (ISI score 5). The use of enramycin on
challenged group significantly minimized the ISI score in the ileum at 21 and 28
d (ISI score 7.4 and 8.0, respectively) compared with the challenged group not
fed with enramycin (ISI score 9.2 and 9.9, respectively), associated with reduced
lamina propria thickness and inflammatory cell infiltration. We suggest these 2
histological parameters as a standard to compare products for gut health.
PMID- 29660059
TI - Menthol Cigarette use in Young Adult Smokers with Severe Mental Illnesses.
AB - Introduction: Smokers with severe mental illness (SMI) are more likely to start
smoking and less likely to quit. Menthol may facilitate smoking progression,
dependence and maintenance by reducing harshness and irritation from smoking and
providing a unique sensory experience during use. High rates of menthol use have
been reported in smokers with SMI, but information on young adults with SMI has
not been reported. Methods: This study provides a secondary analysis to assess
the impact of menthol use in a pilot trial of brief tobacco interventions.
Participants were assessed at baseline and again at a three-month follow-up with
structured interviews and breath carbon monoxide to confirm self-reported 7-day
abstinence at follow-up. Results: Participants included 81 young adult smokers
with SMI, mean age of 24.2 years (SD=3.6; range 18-30). Overall, 58% of the group
reported that they recently used a menthol-flavored product. Menthol use was
correlated with race (African American [18/21, 85.7%] vs. White [24/53, 45.3%] or
Other race [5/7, 71.4%]; X2=10.7, p=.005) and more lifetime psychiatric
hospitalizations (t=2.39, p=.02), but not with cigarettes per day, nicotine
dependence, quit attempts over the follow-up period, nor with achieving
biologically confirmed abstinence at the follow-up assessment. Conclusions: The
high prevalence of menthol flavored cigarette use in this study group is
consistent with previous reports of high rates of menthol use among young adults,
Blacks, and middle-aged SMI smokers. This study supports existing evidence that
policies to restrict menthol flavoring in combustible tobacco products could
reduce smoking in young adults with SMI. Implications: High rates of menthol use
have been reported in middle-aged smokers with severe mental illness, but
information on young adults with severe mental illness has not been reported. In
this study, over half (58%) of 81 young adult smokers with severe mental illness
used a menthol-flavored product. Menthol use was associated with race and with
history of psychiatric hospitalizations. The research supports existing evidence
that policies to restrict menthol flavoring in combustible tobacco products could
reduce smoking in young adults with severe mental illness.
PMID- 29660060
TI - Dietary effects of Bacillus subtilis fmbj on growth performance, small intestinal
morphology, and its antioxidant capacity of broilers.
AB - This paper aimed to study the dietary effects of Bacillus subtilis fmbj (BS fmbj)
on growth performance, small intestinal histomorphology, and its antioxidant
capacity of broilers at 21 d of raising. A total of 300 1-d old male Arbor Acres
broilers were randomly assigned to 5 groups: broilers fed the basal diets with 0
g/kg BS fmbj (CON), 0.2 g/kg BS fmbj (BS-1), 0.3 g/kg BS fmbj (BS-2), 0.4 g/kg BS
fmbj (BS-3), and 0.5 g/kg BS fmbj (BS-4). The results showed that there were no
differences in the growth performance among treatments during the trail. Dietary
BS fmbj in broiler diets increased (P < 0.05) the serum immunoglobulin A (IgA)
and immunoglobulin G (IgG) concentration, and enhanced the secretory
immunoglobulin A (sIgA) level of small intestine (jejunum and ileum) compared
with those in the CON group. The BS groups could improve (P < 0.05) the values of
villus length, villus width, crypt depth, and villus area of small intestine
compared with that in the CON group. Compared with the CON group, the BS group
increased (P < 0.05) small intestinal antioxidant capacity and its mitochondrial
antioxidant capacity, and also improved the antioxidant related-gene expression.
The BS group exerted a lower (P < 0.05) level of oxidative damages in small
intestine than that of the CON group. In conclusion, dietary BS fmbj in broiler
diets was potential to improve the small intestinal histomorphology, small
intestinal antioxidant capacity, and its mitochondrial antioxidant capacity. Thus
this BS fmbj might be considered to be an important additive for the poultry
industry.
PMID- 29660061
TI - The change of volatile compounds of two kinds of vinasse-cured ducks during
processing.
AB - Vinasse-cured duck was processed by boiling, salting, and vinasse-dry-curing or
vinasse-wet-curing. Volatile compounds, moisture contents, reducing sugars, and
thiobarbituric acid reactive substances (TBARS) values during processing were
determined. Reducing sugars decreased during boiling and increased after vinasse
curing. TBARS values increased throughout the processing. The volatiles of ducks
during processing and vinasse-curing agents (dry vinasse and vinasse sauce) were
125 compounds. The first principal component (PC1) belonged to the flavor of
cooked duck, whereas the second principal component (PC2) represented the flavor
of yellow rice wine. The 66.49 and 29.61% of typical aroma of vinasse-dry-cured
product were from dry vinasse and lipid oxidation, respectively, whereas the
75.58 and 21.89% of aroma of vinasse-wet-cured product were from vinasse sauce
and lipid oxidation, respectively. Lipid oxidation products increased after
boiling, whereas salting promoted their release. Compared with vinasse-wet-cured
duck, vinasse-dry-cured product presented richer aroma of lipid oxidation and
weaker flavor of vinasse-curing agents. Besides, protein denaturation which was
caused by ethanol could influence the retention and release of flavor. In
conclusion, two kinds of vinasse-curing methods influenced the characteristic
volatiles of products and their generation during processing.
PMID- 29660062
TI - Minimally Invasive Exposure of the Maxillary Artery at the Anteromedial
Infratemporal Fossa.
AB - BACKGROUND: The maxillary artery (MA) has been described as a reliable donor for
extracranial-intracranial high-flow bypass. Existing techniques to harvest MA
require brain retraction and drilling of the middle fossa (with or without a
zygomatic osteotomy), carrying the potential risks of venous bleeding, injury to
the branches of the maxillary or mandibular nerves, muscular transection, or
temporomandibular junction disorders. OBJECTIVE: To describe a novel technique to
expose the MA without bony drilling and with minimal impact to surrounding
structures. METHODS: A conventional curvilinear incision was performed in 10
cadaveric specimens, prior to elevating the scalp to expose the zygomatic root
and lateral orbital rim. The sphenozygomatic suture was followed to the
anterolateral edge of the inferior orbital fissure (IOF) to locate and harvest
the pterygoid segment of the MA. Topographic anatomy was assessed using
surrounding landmarks and 3D Cartesian coordinates to define the surgical area.
The number of visible MA branches and their lengths were recorded. RESULTS: The
MA was successfully exposed in all specimens. This approach allowed 6 branches of
MA to be exposed. The average length of exposure was 23.3 +/- 8.3 mm and the
average surgical area was 2.8 +/- 0.9 cm2. The IOF was 11.5 +/- 4.2 mm from the
MA. CONCLUSION: Our technique provides landmarks to identify the distal pterygoid
segment of MA as a donor for extracranial-intracranial bypasses without the need
for additional craniectomies. Clear anatomical landmarks, including the
sphenozygomatic suture, anterolateral edge of IOF, infraorbital artery, and the
pterygomaxillary fissure defined a trajectory to efficiently localize the MA with
minimal risk to surrounding structures.
PMID- 29660063
TI - Five successful pregnancies with antenatal anakinra exposure.
AB - Objectives: Our aim is to add to the limited existing prospective data on IL-1
inhibitor use in pregnancy. Methods: Data were obtained from the Organization of
Teratology Information Specialists Autoimmune Disease in Pregnancy Project, a
prospective cohort study of pregnancy outcomes in the USA and Canada. Eligible
women were enrolled prior to 19 weeks' gestation between 2004 and 2017. Outcomes
were obtained by maternal interview and medical record abstraction. Results: Five
pregnancies with anakinra exposure were identified, all resulting in full-term
singleton live births with no major or long-term complications. Three maternal
subjects used anakinra for adult-onset Still's disease and two for systemic JIA.
For all individuals who discontinued anakinra, some amount of steroid medication
was necessary for treatment of disease flare. Two maternal subjects developed
oligohydramnios, one also with pregnancy-induced hypertension. Two women had
Caesarian sections, one medically indicated and one scheduled. One infant had low
birth weight, but follow-up records indicated normal adjusted weight at 1 year.
Three women successfully breastfed their infants, at least two of whom continued
anakinra while breastfeeding. Conclusion: Anakinra was used successfully in five
full-term pregnancies; however, two subjects developed oligohydramnios, a process
that can be linked to fetal renal anomalies. Given previously reported cases of
congenital renal anomalies associated with both antenatal anakinra use and
maternal hyperthermia, the relationship between maternal IL-1 inhibitor use,
uncontrolled maternal febrile disease and fetal outcomes should be further
explored.
PMID- 29660065
TI - In Reply: Angio-Architectural Features of High-Grade Intracranial Dural
Arteriovenous Fistulas: Correlation With Aggressive Clinical Presentation and
Hemorrhagic Risk.
PMID- 29660064
TI - Health and Housework in Later Life: A Longitudinal Study of Retired Couples.
AB - Objectives: To examine how changes in wives' and husbands' health influenced
housework time and domestic outsourcing in retired couples. Method: We estimated
fixed-effects models to test hypotheses about the gendered influence of health
declines on absolute and relative measures of time spent on routine and
nonroutine housework as well as the probability of outsourcing housework. The
data were obtained from 23 waves of the German Socio-Economic Panel Study,
comprising N = 25,119 annual observations of N = 3,889 retired couples aged 60-85
years. Results: Wives' and husbands' housework time declined with health status,
but these effects were large only for serious health problems. We found evidence
for within-couple compensation of spouses' health declines, a mechanism that was
limited to indispensable tasks of routine housework. The probability of getting
paid help from outside the household increased with declining health, and this
increase was more strongly tied to wives' health declines than to husbands'
health declines. Discussion: The results demonstrate the relevance of health
status for the performance of housework in retired couples. The evidence attests
to the resilience of couples during later-life stages in which health issues may
severely inhibit domestic productivity.
PMID- 29660066
TI - Accidental Hypothermia Cardiac Arrest Treated Successfully with Invasive Body
Cavity Lavage.
PMID- 29660067
TI - Japanese spotted fever.
PMID- 29660069
TI - Nocardia - A rare cause of brain Abscess.
PMID- 29660068
TI - Re: Validation of the medical admission risk system (MARS): a combined
physiological and laboratory risk prediction tool for 5- to 7-day in-hospital
mortality. Ohman MC, et al. QJM. 2018. PMID: 29538743.
PMID- 29660070
TI - Full-Length Transcriptome Sequencing and Modular Organization Analysis of the
Naringin/Neoeriocitrin-Related Gene Expression Pattern in Drynaria roosii.
AB - Drynaria roosii (Nakaike) is a traditional Chinese medicinal fern, known as
'GuSuiBu'. The effective components, naringin and neoeriocitrin, share a highly
similar chemical structure and medicinal function. Our HPLC-tandem mass
spectrometry (MS/MS) results showed that the accumulation of
naringin/neoeriocitrin depended on specific tissues or ages. However, little was
known about the expression patterns of naringin/neoeriocitrin-related genes
involved in their regulatory pathways. Due to a lack of basic genetic
information, we applied a combination of single molecule real-time (SMRT)
sequencing and second-generation sequencing (SGS) to generate the complete and
full-length transcriptome of D. roosii. According to the SGS data, the
differentially expressed gene (DEG)-based heat map analysis revealed that
naringin/neoeriocitrin-related gene expression exhibited obvious tissue- and time
specific transcriptomic differences. Using the systems biology method of modular
organization analysis, we clustered 16,472 DEGs into 17 gene modules and studied
the relationships between modules and tissue/time point samples, as well as
modules and naringin/neoeriocitrin contents. We found that naringin/neoeriocitrin
related DEGs distributed in nine distinct modules, and DEGs in these modules
showed significantly different patterns of transcript abundance to be linked to
specific tissues or ages. Moreover, weighted gene co-expression network analysis
(WGCNA) results further identified that PAL, 4CL and C4H, and C3H and HCT acted
as the major hub genes involved in naringin and neoeriocitrin synthesis,
respectively, and exhibited high co-expression with MYB- and basic helix-leucine
helix (bHLH)-regulated genes. In this work, modular organization and co
expression networks elucidated the tissue and time specificity of the gene
expression pattern, as well as hub genes associated with naringin/neoeriocitrin
synthesis in D. roosii. Simultaneously, the comprehensive transcriptome data set
provided important genetic information for further research on D. roosii.
PMID- 29660071
TI - Assessing effects of yeast cell wall supplementation on threonine requirements in
broilers as measured by performance and intestinal morphology.
AB - The objective of this study was to evaluate whether or not the use of a prebiotic
yeast cell wall (YCW) affects threonine requirements in starter broilers. Two
hundred and forty 1-d-old Ross 308 broiler chickens were distributed among 2
Petersime battery brooder units (48 pens; 5 birds per pen). Different threonine
to lysine ratios (0.60, 0.65, and 0.70 to 1.0) with 1.22% available lysine with
and without YCW at 250 ppm was fed to the chickens in order to evaluate
performance and intestinal morphology over a 21-d trial. A basal diet with 22%
protein and 2,980 kcal/kg ME was prepared to create the 6 dietary treatments. The
calculated lysine concentration was 1.34%, whereas threonine was 0.81, 0.88, and
0.94%, respectively. Pen weights and feed consumption were recorded at d 1, 7,
14, and 21 of the experiment. Jejunal and ileal samples were collected on d 21
for histology analysis to evaluate villi height (VH), crypt depth, villi width
(VW), and muscularis thickness. A threonine to lysine ratio of 0.7:1.0 resulted
in significant improvement of performance variables with increased jejunum VW and
ileum VH compared with the other threonine to lysine ratios. Adding YCW to
starter broiler did not affect the requirement for threonine.
PMID- 29660073
TI - Creation of a formate: malate oxidoreductase by fusion of dehydrogenase enzymes
with PEGylated cofactor swing arms.
AB - Enzymatic biocatalysis can be limited by the necessity of soluble cofactors.
Here, we introduced PEGylated nicotinamide adenine dinucleotide (NAD(H)) swing
arms to two covalently fused dehydrogenase enzymes to eliminate their
nicotinamide cofactor requirements. A formate dehydrogenase and cytosolic malate
dehydrogenase were connected via SpyCatcher-SpyTag fusions. Bifunctionalized
polyethylene glycol chains tethered NAD(H) to the fusion protein. This produced a
formate:malate oxidoreductase that exhibited cofactor-independent ping-pong
kinetics with predictable Michaelis constants. Kinetic modeling was used to
explore the effective cofactor concentrations available for electron transfer in
the complexes. This approach could be used to create additional cofactor
independent transhydrogenase biocatalysts by swapping fused dehydrogenases.
PMID- 29660072
TI - Bacterial communication between Lactobacillus spp. isolated from poultry in the
inhibition of Salmonella Heidelberg-proof of concept.
AB - Bacterial communication has become an increasingly studied topic aiming at the
discovery of new products to aid the treatment of diseases for which conventional
options do not work. The production of safe foods, free of pathogens, has been
receiving increasing attention due to market demands for food products of high
quality and free of residues. This study assessed the communication between
Lactobacillus spp. during the in vitro inhibition of Salmonella Heidelberg (SH)
and the impact an autoinducer produced by a strain of Lactobacillus plantarum has
on communication in the normal microbiota and inhibiting SH in newborn chicks.
For this purpose, the isolates of Lactobacillus spp. were isolated cloacal swabs
of broilers and identified through biochemical and molecular assays and were
obtained from broiler farms. They later had their inhibitory potential against SH
stimulated after contact with the autoinducer. For assessing bacterial
communication (quorum sensing) during the inhibition of SH by Lactobacillus spp.,
a spot on the lawn assay was conducted. For the in vivo, 75 one-day-old chicks
were divided in 5 experimental groups: control with no treatment; treatment with
a Lactobacillus spp. pool on the first day; treatment with autoinducer on the
second day; treatment with Lactobacillus spp. on the first day; and autoinducer
on the second day and treatment without autoinducer. The autoinducer was assessed
through an SH count in the ceca of the birds. The autoinducer produced by the
strain of L. plantarum proved to be efficient for communicating with the other
Lactobacillus spp. isolates as previous contact with SH induced the production of
an autoinducer capable of increasing inhibition of SH both in vitro (in average
132.73%) and in vivo, acting similarly to the Lactobacillus spp. pool (probiotic)
by decreasing the SH count in the ceca (64%-24 h, 42%-96 h, and 46%-168 h).
PMID- 29660075
TI - Acute medical risk scores: is MARS out of this world?
PMID- 29660076
TI - Stereotype Threat Reduces the Positivity of Older Adults' Recall.
AB - Objectives: As people get older, they show a relative preference to remember
positive information over negative information. In two experiments, we tested
whether the positivity of older adults' memory is affected by stereotype threat
about age-related cognitive declines. We also tested whether highlighting a
positive aging stereotype (older adults are wise) would inoculate older adults
from stereotype threat's adverse effects. Method: In Experiments 1 and 2, we
manipulated whether stereotypes about age-related cognitive decline were
highlighted (stereotype threat) or mitigated (stereotype alleviation). In
Experiment 2, we included a third condition (intervention + stereotype threat),
which highlighted positive and negative aging stereotypes. Participants then saw
emotionally evocative pictures and completed a memory test. Results: In both
experiments, stereotype threat selectively reduced older adults' memory for
positive pictures but did not affect their memory for negative pictures. This
eliminated the positivity effect (i.e., the Age * Valence interaction; Experiment
1). Our positive stereotype intervention did not reduce stereotype threat's
adverse effect (Experiment 2). Discussion: Our findings show that the positivity
effect is more robust when testing situations minimize stereotype threat. They
also suggest that health interventions designed to capitalize on the positivity
effect should ensure that ageist stereotypes are mitigated in the environment.
PMID- 29660074
TI - The effect of triple therapy on the mortality of catastrophic anti-phospholipid
syndrome patients.
AB - Objectives: The objective of this study was to assess the effect that triple
therapy (anticoagulation plus CS plus plasma exchange and/or IVIGs) has on the
mortality risk of patients with catastrophic APS (CAPS) included in the CAPS
Registry. Methods: Patients from the CAPS Registry were grouped based on their
treatments: triple therapy; drugs included in the triple therapy but in different
combinations; and none of the treatments included in the triple therapy. The
primary endpoint was all-cause mortality. Multivariate logistic regression models
were used to compare mortality risk between groups. Results: The CAPS Registry
cohort included 525 episodes of CAPS accounting for 502 patients. After excluding
54 episodes (10.3%), a total of 471 patients with CAPS were included [mean (s.d.)
age 38.5 years (17); 68.2% female; primary APS patients 62%]. Overall, 174
(36.9%) patients died. Triple therapy was prescribed in 189 episodes (40.1%),
other combinations in 270 (57.3%) and none of those treatments in 12 episodes
(2.5%); the mortality rate in the three groups was 28.6, 41.1 and 75%,
respectively. Triple therapy was positively associated with a higher chance of
survival when compared with non-treatment [adjusted odds ratio (OR) = 9.7, 95%
CI: 2.3, 40.6] or treatment with other combinations of drugs included in the
triple therapy (adjusted OR = 1.7, 95% CI: 1.2, 2.6). No statistical differences
were found between patients that received triple therapy with plasma exchange or
IVIGs (P = 0.92). Conclusion: Triple therapy is independently associated with a
higher survival rate among patients with CAPS.
PMID- 29660077
TI - Immediate Effects of a Single Session of Motor Skill Training on the Lumbar
Movement Pattern During a Functional Activity in People With Low Back Pain: A
Repeated-Measures Study.
AB - Background: People with low back pain (LBP) may display an altered lumbar
movement pattern of early lumbar motion compared to people with healthy backs.
Modifying this movement pattern during a clinical test decreases pain. It is
unknown whether similar effects would be seen during a functional activity.
Objective: The objective of this study was to examine the lumbar movement
patterns before and after motor skill training, effects on pain, and
characteristics that influenced the ability to modify movement patterns. Design:
The design consisted of a repeated-measures study examining early-phase lumbar
excursion in people with LBP during a functional activity test. Methods: Twenty
six people with chronic LBP received motor skill training, and 16 people with
healthy backs were recruited as a reference standard. Twenty minutes of motor
skill training to decrease early-phase lumbar excursion during the performance of
a functional activity were used as a treatment intervention. Early-phase lumbar
excursion was measured before and after training. Participants verbally reported
increased pain, decreased pain, or no change in pain during performance of the
functional activity test movement in relation to their baseline pain. The
characteristics of people with LBP that influenced the ability to decrease early
phase lumbar excursion were examined. Results: People with LBP displayed greater
early-phase lumbar excursion before training than people with healthy backs (LBP:
mean = 11.2 degrees , 95% CI = 9.3 degrees -13.1 degrees ; healthy backs: mean =
7.1 degrees , 95% CI = 5.8 degrees -8.4 degrees ). Following training, the LBP
group showed a decrease in the amount of early-phase lumbar excursion (mean
change = 4.1 degrees , 95% CI = 2.4 degrees -5.8 degrees ); 91% of people with
LBP reported that their pain decreased from baseline following training. The
longer the duration of LBP (beta = - 0.22) and the more early-phase lumbar
excursion before training (beta = - 0.82), the greater the change in early-phase
lumbar excursion following training. Limitations: The long-term implications of
modifying the movement pattern and whether the decrease in pain attained was
clinically significant are unknown. Conclusions: People with LBP were able to
modify their lumbar movement pattern and decrease their pain with the movement
pattern within a single session of motor skill training.
PMID- 29660079
TI - Completion task to uncover consumer's perception: a case study using distinct
types of hen's eggs.
AB - The choice and consumption of eggs are made considering a consumers'
multidimensional perception, and their understanding becomes essential to the
production targeting and the products' success in the market. In this context,
this work aimed to verify the consumers' perception about the distinct types of
hens' eggs, using a projective technique of completion task combined with
presentation of images. A hundred consumers (n = 100) evaluated the main factors,
both positive and negative, involved at the purchase time of eggs besides
estimating their price. Between the positive factors that guide the eggs'
consumption and purchase, the category with highest mention of terms was
"Health," whereas negatively it was highlighted the category "Price." Concerning
the perception of price, the results showed that the factory farm white eggs'
value was the one that least differed from the average market price, possibly due
to the nearness and familiarity with this variety. The methodology of completion
task combined with presentation of images proved as being a practical and
efficient tool to capture the consumers' perception of eggs, capable of providing
valuable information to the ones involved in the production chain and
commercialization of these products.
PMID- 29660078
TI - Respirable Uranyl-Vanadate-Containing Particulate Matter Derived From a Legacy
Uranium Mine Site Exhibits Potentiated Cardiopulmonary Toxicity.
AB - Exposure to windblown particulate matter (PM) arising from legacy uranium (U)
mine sites in the Navajo Nation may pose a human health hazard due to their
potentially high metal content, including U and vanadium (V). To assess the toxic
impact of PM derived from Claim 28 (a priority U mine) compared with background
PM, and consider the putative role of metal species U and V. Two representative
sediment samples from Navajo Nation sites (Background PM and Claim 28 PM) were
obtained, characterized in terms of chemistry and morphology, and fractioned to
the respirable (<= 10 MUm) fraction. Mice were dosed with either PM sample,
uranyl acetate, or vanadyl sulfate via aspiration (100 ug), with assessments of
pulmonary and vascular toxicity 24 h later. Particulate matter samples were also
examined for in vitro effects on cytotoxicity, oxidative stress, phagocytosis,
and inflammasome induction. Claim 28 PM10 was highly enriched with U and V and
exhibited a unique nanoparticle ultrastructure compared with background PM10.
Claim 28 PM10 exhibited enhanced pulmonary and vascular toxicity relative to
background PM10. Both U and V exhibited complementary pulmonary inflammatory
potential, with U driving a classical inflammatory cytokine profile (elevated
interleukin [IL]-1beta, tumor necrosis factor-alpha, and keratinocyte
chemoattractant/human growth-regulated oncogene) while V preferentially induced a
different cytokine pattern (elevated IL-5, IL-6, and IL-10). Claim 28 PM10 was
more potent than background PM10 in terms of in vitro cytotoxicity, impairment of
phagocytosis, and oxidative stress responses. Resuspended PM10 derived from U
mine waste exhibit greater cardiopulmonary toxicity than background dusts.
Rigorous exposure assessment is needed to gauge the regional health risks
imparted by these unremediated sites.
PMID- 29660080
TI - Contributions of Ankle, Knee, Hip, and Trunk Muscle Function to Gait Performance
in People With Multiple Sclerosis: A Cross-Sectional Analysis.
AB - Background: The relative importance of lower extremity and trunk muscle function
to gait in people with multiple sclerosis (MS) is unknown. Objective: This study
aimed to investigate the association of lower extremity and trunk muscle function
with gait performance in people who have MS and mild-to-moderate disability.
Design: This was a cross-sectional, observational study. Methods: Participants
were people who had an Expanded Disability Status Scale score of <= 5.5. Eleven
lower extremity and trunk muscles were assessed using handheld dynamometry or
endurance tests. Gait performance was assessed with the Timed 25-Foot (7.62 m)
Walk (T25FW) and 6-Minute Walk Test (6MWT). Regression analysis was used to
quantify the association between gait outcomes and muscle variables. Results:
Seventy-two participants with MS and a mean Expanded Disability Status Scale
score of 3.5 (SD = 1.14) were enrolled. Adjusted for age and sex, the
multivariate model including hip abduction, ankle plantar flexion, trunk flexion,
and knee flexion explained 57% of the adjusted variance in the T25FW; hip
abduction, ankle plantar flexion, and trunk flexion explained 61% of the adjusted
variance in the 6MWT. The strongest predictors were ankle plantar flexion
endurance for the T25FW and hip abduction strength for the 6MWT: a 1-SD increase
in ankle plantar flexion (15.2 heel-raise repetitions) was associated with a 0.33
second reduction in the T25WT (95% CI = - 0.71 to - 0.14 seconds); a 1-SD
increase in normalized hip abduction strength (0.14 kg/body mass index) was
associated with a 54.4-m increase in the 6MWT (28.99 to 79.81 m). Limitations:
Different measurement scales for independent variables were included because the
muscle function assessment used either force or endurance. Conclusions: For the
major muscles in the lower extremity and trunk, hip abduction, ankle plantar
flexion, trunk flexion, and knee flexion were the strongest predictors of gait
performance.
PMID- 29660081
TI - Data-Driven Analysis of Functional Connectivity Reveals a Potential Auditory
Verbal Hallucination Network.
AB - Schizophrenia is a severe global health problem, with over half of such patients
experiencing auditory verbal hallucinations (AVHs). A better understanding of the
neural correlates differentiating patients experiencing AVHs from patients not
experiencing AVHs and healthy controls may identify targets that lead to better
treatment strategies for AVHs. Employing 2 data-driven, voxel-based measure of
functional connectivity, we studied 46 patients with schizophrenia or
schizoaffective disorder (28 experiencing AVHs and 18 not experiencing AVHs).
Twenty healthy controls matched for age, gender, ethnicity, education level,
handedness, and estimated verbal intelligence were included for comparison. The
intrinsic connectivity distribution (ICD) was used to model each voxel's
connectivity to the rest of the brain using a Weibull distribution. To
investigate lateralization of connectivity, we used cross-hemisphere ICD, a
method that separates the contribution of each hemisphere to interrogate
connectivity laterality. Patients with AVHs compared with patients without AVHs
exhibited significantly decreased whole-brain connectivity in the medial
prefrontal cortex and posterior cingulate cortex, less lateralized connectivity
in left putamen, and more lateralized connectivity in left interior frontal
gyrus. Correlations with Auditory Hallucination Rating Scale (AHRS) and post hoc
seed connectivity analyses revealed significantly altered network connectivity.
Using the results from all analyses comparing the patient groups and correlations
with AHRS, we identified a potential AVH network, consisting of 25 nodes, showing
substantial overlap with the default mode network and language processing
networks. This network as a whole, instead of individual nodes, may represent
actionable targets for interventions.
PMID- 29660083
TI - Limy bile syndrome.
PMID- 29660082
TI - Molecular Components of Arabidopsis Intact Vacuoles Clarified with Metabolomic
and Proteomic Analyses.
AB - We analyzed the metabolites and proteins contained in pure intact vacuoles
isolated from Arabidopsis suspension-cultured cells using capillary
electrophoresis-mass spectrometry (CE-MS), Fourier transform-ion cyclotron
resonance (FT-ICR)-MS and liquid chromatography (LC)-MS. We identified 21 amino
acids and five organic acids as major primary metabolites in the vacuoles with CE
MS. Further, we identified small amounts of 27 substances including well-known
vacuolar molecules, but also some unexpected substances (e.g. organic phosphate
compounds). Non-target analysis of the vacuolar sample with FT-ICR-MS suggested
that there are 1,106 m/z peaks that could predict the 5,090 molecular formulae,
and we have annotated 34 compounds in these peaks using the KNapSAck database. By
conducting proteomic analysis of vacuolar sap, we found 186 proteins in the same
vacuole samples. Since the vacuole is known as a major degradative compartment,
many of these were hydrolases, but we also found various oxidoreductases and
transferases. The relationships between the proteins and metabolites in the
vacuole are discussed.
PMID- 29660084
TI - Recent developments in biologic therapies for the treatment of patients with
systemic lupus erythematosus.
AB - SLE has a complex pathogenesis, and multiple therapeutic targets have been
discovered in recent years. In spite of belimumab being approved by the US Food
and Drug Administration and the widespread use of rituximab, there have been many
failed attempts to treat SLE successfully using biologic agents. In this review,
we consider newer biologic approaches that might offer the hope of improving the
outcome of SLE patients. These include the fully humanized anti-CD20 mAbs,
PEGylated anti-CD40L, IFNalpha inhibitors, rigerimod and immune complexes
blockade.
PMID- 29660085
TI - Pneumo-orbita causing optic nerve compression.
PMID- 29660086
TI - How to improve success rates of endoscopic management for buried bumper syndrome.
AB - Background: Buried bumper syndrome (BBS) is a rare complication of percutaneous
endoscopic gastrostomy. Complete BBS without visible parts of the inner bumper is
a challenge for endoscopic treatment. Methods and Aims: Data base analysis of all
procedures performed at our tertiary university endoscopy center between 2000 and
2015 was conducted. Our aim was to improve the success rates of endoscopic
treatment using a standardized approach and a pull-modification of the
papillotome-based extraction technique in a prospective cohort. Results:
Retrospectively, 55 patients were identified (37 men; age 54 +/- 16 years). The
prospective series comprised 11 patients (8 men; age 63 +/- 27 years). Patients
with partial BBS were effectively treated by endoscopy in both cohorts (24/25 and
4/4 patients, respectively). For complete BBS (Cyrany grade 3), success rates of
endoscopic therapy differed significantly between the cohorts (P = 0.017). In the
retrospective cohort, only 38% of patients (9/24 patients) were successfully
treated. In the prospective cohort, all six patients (deep-type in five cases)
were managed without complications. Patients with extra-gastric tubes underwent
primary surgery in both cohorts (six and one patients, respectively). Conclusion:
A structured approach improved success rates of endoscopic treatment. All
patients with an internal bumper verified to lie within the gastric wall can be
treated by an experienced investigator using a papillotome-based technique.
PMID- 29660087
TI - Older Adults Pay an Additional Cost When Texting and Walking: Effects of Age,
Environment, and Use of Mixed Reality on Dual-Task Performance.
AB - Background: Texting while walking (TeWW) has become common among people of all
ages, and mobile phone use during gait is increasingly associated with pedestrian
injury. Although dual-task walking performance is known to decline with age, data
regarding the effect of age on dual-task performance in ecological settings are
limited. Objective: The objective of this study was to evaluate the effect of
age, environment (indoors/outdoors), and mixed reality (merging of real and
virtual environments) on TeWW performance. Design: A cross-sectional design was
used. Methods: Young (n = 30; 27.8 +/- 4.4 years) and older (n = 20; 68.9 +/- 3.9
years) adults performed single- and dual-task texting and walking indoors and
outdoors, with and without a mixed reality display. Participants also completed
evaluations of visual scanning and cognitive flexibility (Trail Making Test) and
functional mobility (Timed "Up & Go" Test). Results: Indoors, similar
interference to walking and texting occurred for both groups, but only older
adults' gait variability increased under dual task conditions. Outdoors, TeWW was
associated with larger age-related differences in gait variability, texting
accuracy, and gait dual-task costs. Young adults with better visual scanning and
cognitive flexibility performed TeWW with lower gait costs (r = 0.52-0.65). The
mixed reality display was unhelpful and did not modify walking or texting.
Limitations: Older adults tested in this study were relatively high functioning.
Gaze of participants was not directly monitored. Conclusions: Although young and
older adults possess the resources necessary for TeWW, older adults pay an
additional "price" when dual-tasking, especially outdoors. TeWW may have
potential as an ecologically valid assessment and/or an intervention paradigm for
dual-task performance among older adults as well as for clinical populations.
PMID- 29660088
TI - The MYB96 Transcription Factor Regulates Triacylglycerol Accumulation by
Activating DGAT1 and PDAT1 Expression in Arabidopsis Seeds.
AB - Maturing seeds stimulate fatty acid (FA) biosynthesis and triacylglycerol (TAG)
accumulation to ensure carbon and energy reserves. Transcriptional reprogramming
is a key regulatory scheme in seed oil accumulation. In particular, TAG assembly
is mainly controlled by the transcriptional regulation of two key enzymes, acyl
CoA:diacylglycerol acyltransferase 1 (DGAT1) and phospholipid:diacylglycerol
acyltransferase 1 (PDAT1), in Arabidopsis seeds. However, the transcriptional
regulators of these enzymes are as yet unknown. Here, we report that the R2R3
type MYB96 transcription factor regulates seed oil accumulation by activating the
genes encoding DGAT1 and PDAT1, the rate-limiting enzymes of the last step of TAG
assembly. Total FA levels are significantly elevated in MYB96-overexpressing
transgenic seeds, but reduced in MYB96-deficient mutant seeds. Notably, MYB96
regulation of TAG accumulation is independent of WRINKLED 1 (WRI1)-mediated FA
biosynthesis. Taken together, our findings indicate that FA biosynthesis and TAG
accumulation are under independent transcriptional control, and MYB96 is mainly
responsible for TAG assembly in seeds.
PMID- 29660089
TI - A case of undetectable Glycated hemoglobin (HbA1C).
PMID- 29660091
TI - Context before implementation: a qualitative study of decision makers' views of a
peer-led healthy lifestyle intervention for people with serious mental illness in
supportive housing.
AB - People with serious mental illness die at an earlier age than people in the
general population largely due to cardiovascular disease. Healthy lifestyle
interventions can help reduce this health inequity. In this qualitative study, we
examined the perceptions that decision makers in supportive housing agencies had
toward a peer-led healthy lifestyle intervention and their views of contextual
factors that could shape implementation at these agencies. A purposive sample of
12 decision makers from three supportive housing agencies was recruited. We
presented participants a vignette describing our peer-led intervention and used
semistructured qualitative interviews to examine their views. Interviews were
recorded, professionally transcribed, and analyzed using directed content
analysis. Participants reported positive views toward the intervention with the
most valued intervention attributes being relative advantage over existing
services, compatibility to clients' needs, ability to pilot the intervention, and
cost. A model emerged from our data depicting multilevel contextual factors
believed to shape the implementation of our intervention at these agencies,
including system- (funding, marketability, and external regulations),
organization- (leadership support, fit with organization, staff buy-in and
burden), and client-level (adaptability to clients' needs, and clients' buy-in)
factors. Study findings illustrate the importance of understanding the context of
practice before implementation. This examination can help identify critical views
from decision makers that could undermine or advance the integration of peer-led
interventions in supportive housing agencies and help identify structures,
policies, and organizational practices that can inform the implementation
process.
PMID- 29660090
TI - Inhibition of left anterior intraparietal sulcus shows that mutual adjustment
marks dyadic joint-actions in humans.
AB - Creating real-life dynamic contexts to study interactive behaviors is a
fundamental challenge for the social neuroscience of interpersonal relations.
Real synchronic interpersonal motor interactions involve online, inter-individual
mutual adaptation (the ability to adapt one's movements to those of another in
order to achieve a shared goal). In order to study the contribution of the left
anterior Intra Parietal Sulcus (aIPS) (i.e. a region supporting motor functions)
to mutual adaptation, here, we combined a behavioral grasping task where pairs of
participants synchronized their actions when performing mutually adaptive
imitative and complementary movements, with the inhibition of activity of aIPS
via non-invasive brain stimulation. This approach allowed us to investigate
whether aIPS supports online complementary and imitative interactions. Behavioral
results showed that inhibition of aIPS selectively impairs pair performance
during complementary compared to imitative interactions. Notably, this effect
depended on pairs' mutual adaptation skills and was higher for pairs composed of
participants who were less capable of adapting to each other. Thus, we provide
the first causative evidence for a role of the left aIPS in supporting mutually
adaptive interactions and show that the inhibition of the neural resources of one
individual of a pair is compensated at the dyadic level.
PMID- 29660092
TI - Sandoz receives positive CHMP opinion for proposed biosimilar infliximab.
PMID- 29660093
TI - Posts, pics, or polls? Which post type generates the greatest engagement in a
Facebook physical activity intervention?
AB - Social networking websites have attracted considerable attention as a delivery
platform for physical activity interventions. Current evidence highlights a need
to enhance user engagement with these interventions to actualize their potential.
The purpose of this study was to determine which post type generates the most
engagement from participants and whether engagement was related to change in
physical activity in an intervention delivered via Facebook. Subgroup analysis of
the intervention condition of a randomized controlled trial was conducted. The
group moderator posted a new message to the private Facebook group each day of
the program. The Facebook posts (n = 118) were categorized into the following
types: moderator-initiated running program, multimedia, motivational, opinion
polls, or discussion question and participant-initiated experience shares, or
questions. Four metrics were used to measure volume of engagement with each post
type, "likes," "comments," "poll votes," and "photo uploads." One-way ANOVA was
used to determine whether engagement differed by post type and an independent
samples t-test to determine differences in engagement between moderator and
participant-initiated posts. Pearson correlation was used to examine associations
between total engagement and change in physical activity. Engagement varied by
post type. Polls elicited the greatest engagement (p <= .01). The most common
form of engagement was "likes," and engagement was higher for moderator-initiated
rather than participant-initiated posts (mean = 8.0 [SD 6.8] vs. 5.3 [SD 3.2]; p
<= .01). Total engagement with the Facebook group was not directly associated
with change in physical activity (r = -.13, p = .47). However, engagement was
associated with compliance with the running program (r = .37, p = .04) and there
was a nonsignificant positive association between compliance and change in
physical activity (r = .32, p = .08). Posts requiring a simple response generated
the most engagement. Intervention moderators should facilitate familiarity
between participants at the intervention outset, to encourage engagement between
participants. Engagement was related to change in physical activity, and these
recommendations should be incorporated to enhance engagement and efficacy of
interventions.
PMID- 29660094
TI - Identifying which conduits are moving water in woody plants: a new HRCT-based
method.
AB - In vivo imaging methods are useful for examination of plant vascular tissues,
particularly in the identification of fluid vs gas-filled conduits; however,
these methods may not allow for the simple identification of conductive conduits.
Our aim in the present study was to develop a method that would allow for the in
vivo identification of conductive conduits. Intact plants and segments of
grapevine (Vitis vinifera L.) and intact American chestnut (Castanea dentata
(Marshall) Borkh.) saplings were examined. We found that iohexol, a water soluble
iodine-rich molecule, was a useful contrast agent. We also stained the xylem of
segments and gas- dried samples to compare between intact scans and excised
segments. Iohexol could be readily fed through cut roots or stems into the
transpiration stream, was successfully transported through the xylem and marked
conductive vessels within high-resolution computed tomography (HRCT) scans.
Iohexol results were comparable to those obtained by staining cut segments, with
iohexol detecting greater numbers of smaller conduits in some samples. Samples
contained gas-filled conduits, as well as both conductive (containing iohexol
tracer) and non-conductive (no iohexol tracer) fluid-filled vessels. Fluid-filled
non-conductive vessels were likely still developing or were not connected to the
sap stream by a low resistance pathway. We found minimal differences between
intact and excised segments other than excision-related dilution of iohexol. Both
vessels and vasicentric tracheids were filled with iohexol in chestnut, providing
a new tool to study the functions of these different cell types. The use of
iohexol as a tracer to identify conductive vessels may greatly improve the
utility of HRCT as a tool in the study of plant hydraulic function. Future
studies using HRCT will likely need to incorporate conductive vessel markers or
controls into experiments due to the presence of non-conductive fluid-filled
vessels within the xylem.
PMID- 29660095
TI - Effect of dietary Bacillus coagulans supplementation on growth performance and
immune responses of broiler chickens challenged by Salmonella enteritidis.
AB - This study was conducted to evaluate the protective efficacy of dietary Bacillus
coagulans (B. coagulans) supplementation in birds receiving Salmonella
enteritidis (SE). Two hundred and forty 1-day-old Cobb broilers were randomly
assigned to 2 * 2 factorial arrangements of treatments with 2 levels of dietary
B. coagulans (0 or 400 mg/kg) and 2 levels of SE challenge (0 or 1 * 109 SE
between d 9 to 11). Results showed that SE infection did not affect growth
performance, but caused intestinal inflammation and barrier function impairment
by reducing intestinal goblet cells and beneficial bacteria numbers, increasing
cecal Salmonella colonization and liver Salmonella invasion, downregulating
jejunal mucin-2 (at 7 and 17 d post-infection, DPI), TLR2 (at 7 and 17 DPI), TLR4
(at 17 DPI), TNFSF15 (at 7 and 17 DPI) gene mRNA levels, and upregulating jejunal
IFN-gamma mRNA levels (at 17 DPI) compared to uninfected birds. Moreover, SE
infection also elevated the concentration of jejunal anti-Salmonella IgA and sera
anti-Salmonella IgG compared to uninfected birds. However, chickens received B.
coagulans diets showed significant increase in body weight gain and weight gain
to feed intake ratio from d 15 to 21, alkaline phosphatase activity (at 7 DPI),
cecal Lactobacilli and Bifidobacterium numbers (at 7 DPI; at 17 DPI), villous
height: crypt ratio (at 17 DPI), and goblet cell numbers (at 7 and 17 DPI),
whereas exhibiting reduced jejunal crypt depth (at 17 DPI), cecal Escherichia
coli (at 7, 17, and 31 DPI), and Salmonella (at 7 and 17 DPI) levels compared
with the non-supplemented birds, regardless of SE infection. In addition, B.
coagulans supplement upregulated lysozyme mRNA levels (at 17 DPI), downregulated
IFN-gamma mRNA levels (at 7 and 17 DPI), showed an increased trend in Fowlicidin
2 mRNA levels (at 7 DPI) and a reduced trend in liver Salmonella load compared to
the non-supplemented control. These data indicated that B. coagulans has a
protective effect in SE infected broilers.
PMID- 29660097
TI - Personal Account: One Out of a Hundred.
PMID- 29660096
TI - REFERENCE NEUTRON FIELDS IN CALIBRATION LABORATORY; SIMPLE DOSIMETRIC PARAMETERS
AND THEIR CHANGES IN TIME.
AB - The calibration laboratory revises periodically the dosimetric properties of its
calibration fields. For reference neutron fields based on radionuclide sources,
it is due to presence of isotopic impurities in the source ingredients.
Consequently, in long term, the admixtures and their decay products become
significant. Neglecting the impact of such effect leads to inconsistencies
between the neutron emission rate observed during the measurements and the
emission rate derived from the decay curve of the main isotope. In the Radiation
Protection Measurements Laboratory at the National Centre for Nuclear Research
the neutron fields of the bare sources of 252Cf, 241AmBe and 239PuBe have been
examined for nearly 30 years, regularly, in fixed geometry. Additionally, at the
particular point of a calibration bench, determination of neutron fluence rate,
ambient dose equivalent rate and its scattered component, total neutron and gamma
dose rate, gamma to total dose ratio, radiation quality factor and total tissue
kerma are occasionally determined. In this article, we would like to present
recently achieved results and their comparison with the measurement data formerly
presented. The growth of the neutron emission of 239PuBe source, as well as
discrepancies between the decay curves of relevant isotopes and the emission rate
of 252Cf and 241AmBe will be presented.
PMID- 29660098
TI - ESTIMATION OF RADIATION DOSES FROM ABDOMINAL COMPUTED TOMOGRAPHY SCANS.
AB - A total of 120 adult female and male patients randomly selected from 10 hospitals
in the West Bank and Gaza Strip were investigated for organ and effective doses
from abdominal computed tomography scan. The organs considered in this study are
liver, stomach and colon. Assessment of radiation doses was performed by using a
commercially available Monte Carlo based software VirtualDoseTM CT, a product of
Virtual Phantoms, Inc. The software utilizes male and female tissue equivalent
mathematical phantoms of all ages and sizes from new born up to morbidly obese
patients. The corresponding phantom was selected for every patient according to
patient's demographic parameters. Patient demographic data, scanning parameters
and dose indicators (including patient body mass index (BMI), milliampere-second
(mAs), X-ray tube kilovoltage (kVp), computed tomography dose index (CTDIvol),
dose length product (DLP), manufacturer, name and type of operated CT scanner)
were recorded for every examination. The collected parameters were used to
calculate the organ and effective doses for every patient. The highest estimated
patient organ doses were 25 mGy for liver, 20 mGy for stomach and 30 mGy for
colon for a male patient with BMI of 30 kg/m2 and 90 kg of weight. This patient
correspondent effective dose was 9 mSv. The average effective dose for the entire
patient population was 5.5 mSv with a range between 2 and 10 mSv. The highest
effective dose was found for a female patient with a BMI of 26.6 kg/m2, and 77 kg
of weight. This patient correspondent organ doses were 14, 9 and 14 mGy for the
liver, stomach and colon, respectively. The average organs doses per patient
estimated for patients from all investigated hospitals were 13.1, 7.6 and 13.2
mGy for liver, stomach and colon, respectively. Both effective dose and organ
doses increase with BMI and body weight. In general, the estimated radiation
doses from abdominal CT examinations in this study are low and comparable with
those published in the literature.
PMID- 29660099
TI - Age alters uptake pattern of organic and inorganic nitrogen by rubber trees.
AB - Several studies have explored plant nutrient acquisition during ecosystem
succession, but it remains unclear how age affects nitrogen (N) acquisition by
the same tree species. Clarifying the age effect will be beneficial to
fertilization management through improving N-use efficiency and reducing the risk
of environmental pollution due to NO3- leaching. To clarify the effect of age on
N uptake, rubber (Hevea brasiliensis (Willd. ex A. Juss.) Muell. Arg.)
plantations of five ages (7, 16, 24, 32 and 49 years) were selected in
Xishuangbanna of southern China for brief 15N exposures of intact roots using
field hydroponic experiments. 15N-labeled NH4+, NO3- or glycine were applied in
this study. All targeted rubber trees uptake rates followed an order of NH4+ >
glycine > NO3-. As age increased, NH4+ uptake increased first and then decreased
sharply, partly consistent with the pattern of soil NH4+ concentrations. Uptake
of glycine decreased first and then increased gradually, while no significant
change of NO3- uptake rates existed with increasing age. Overall, rubber trees
with ages from 7 to 49 years all showed a preference for NH4+ uptake. Young
rubber trees (7 and 16 years) had higher NH4+ and lower glycine preferences than
older trees (24, 32 and 49 years). Mycorrhizal colonization rates of rubber trees
were higher in intermediately aged plantations (16, 24 and 32 years) than in
plantations aged 7 and 49 years. A positive relationship was observed between
arbuscular mycorrhizal colonization rates and NO3- preference. The results from
this study demonstrate that rubber trees do not change their preference for NH4+
but strongly decreased their reliance on it with age. These findings indicate
that the shift of N uptake patterns with age should be taken into account for
rubber fertilization management to improve N-use efficiency and reduce the risk
of environmental pollution during rubber production.
PMID- 29660100
TI - Assessment of Iodine Nutritional Status of School-Age Children in Kolkata
District of West Bengal State in Post-Iodation Scenario.
AB - To evaluate the state of iodine nutrition in post-iodation scenario, 3500
children were examined clinically for endemic goitre. Iodine and thiocyanate were
measured in 240 urine samples; iodine content in 210 salt samples was measured.
Total goitre prevalence was 6.1%. Median urinary iodine level was 21.80 ug/dl,
and mean (+/-SD) urinary thiocyanate was 0.89 +/- 0.49 mg/dl. Iodine content of
only 11.9% salt samples was below recommended level of 15 ppm, 25.2% was between
15 and 30 ppm and 62.9% was >30 ppm. Iodine deficiency disorders are thus
clinically mild public health problem of the studied population; however, they
have no biochemical iodine deficiency. Studied population found exposed to
thiocyanate load that might be the possible cause for persistence of endemic
goitre. People of Kolkata should be advised to eat commonly consumed goitrogenic
foods after boiling and decanting the water. Periodical monitoring and evaluation
of iodine status should be mandatory.
PMID- 29660101
TI - Stand development and other intrinsic factors largely control fine-root dynamics
with only subtle modifications from resource availability.
AB - Forest productivity depends on resource acquisition by ephemeral roots and
leaves. A combination of intrinsic and environmental factors influences ephemeral
organs; however, difficulties in studying belowground organs impede mechanistic
understanding of fine-root production and turnover. To quantify factors
controlling fine-root dynamics, we grew a deciduous hardwood (Populus deltoides
Bartr.) and an evergreen conifer (Pinus taeda L.) with distinct soil moisture and
nutrient availability treatments. We monitored fine-root dynamics with
minirhizotrons for 6 years during early stand development and expressed results
on a root length, biomass and mortality-risk basis. Stand development and other
intrinsic factors consistently influenced both species in the same direction and
by similar magnitude. Live-root length increased to a peak during establishment
and slowly declined after roots of neighboring trees overlapped. Root longevity
was highest during establishment and decreased thereafter. Root longevity
consistently increased with depth of appearance and initial root diameter. Season
of appearance affected root longevity in the following order: spring > summer >
fall > winter. The influence of soil resource availability on fine-root dynamics
was inconsistent between species, and ranked below that of rooting depth, initial
diameter, stand development and phenology. Fine-root biomass either increased or
was unaffected by greater resource availability. Fine-root production and live
root length decreased with irrigation for both species, and increased with
fertilization only for poplar. Fine-root mortality risk both increased and
decreased depending on species and amendment treatment. Differing responses to
soil moisture and nutrient availability between species suggests we should
carefully evaluate generalizations about the response of fine-root dynamics to
resource availability. While attempting to describe and explain carbon allocation
to fine-root production and turnover, modelers and physiologists should first
consider consistent patterns of allocation caused by different depth, diameter,
stand development, phenology and species before considering allocation due to
soil resource availability.
PMID- 29660102
TI - Psychopathic traits influence amygdala-anterior cingulate cortex connectivity
during facial emotion processing.
AB - There is accumulating evidence that youths with antisocial behavior or
psychopathic traits show deficits in facial emotion recognition, but little is
known about the neural mechanisms underlying these impairments. A number of
neuroimaging studies have investigated brain activity during facial emotion
processing in youths with Conduct Disorder (CD) and adults with psychopathy, but
few of these studies tested for group differences in effective connectivity-i.e.
changes in connectivity during emotion processing. Using functional magnetic
resonance imaging and psycho-physiological interaction methods, we investigated
the impact of CD and psychopathic traits on amygdala activity and effective
connectivity in 46 male youths with CD and 25 typically-developing controls when
processing emotional faces. All participants were aged 16-21 years. Relative to
controls, youths with CD showed reduced amygdala activity when processing angry
or sad faces relative to neutral faces, but the groups did not significantly
differ in amygdala-related effective connectivity. In contrast, psychopathic
traits were negatively correlated with amygdala-ventral anterior cingulate cortex
connectivity for angry vs neutral faces, but were unrelated to amygdala responses
to angry or sad faces. These findings suggest that CD and psychopathic traits
have differential effects on amygdala activation and functional interactions
between limbic regions during facial emotion processing.
PMID- 29660103
TI - Submicroscopic Plasmodium falciparum parasitaemia in human immunodeficiency virus
infected adults living in Gabon (Central Africa)-a pilot study.
AB - Background: Submicroscopic malaria infections contribute to malaria transmission.
Describing the extent of the parasite reservoir is of importance. In people
living with human immunodeficiency virus (HIV), the frequency of subpatent
malaria infections is rarely reported. The aim of the present study was to
determine the frequency of submicroscopic infections in people living with HIV in
Gabon and its relationship with cotrimoxazole (CTX) use. Methods: A survey was
conducted in two health care centres in rural areas (Koulamoutou and Oyem) and
three in urban areas (Libreville) of Gabon from March 2015 to June 2016. Blood
samples were collected from consenting people living with HIV with a negative
blood smear. Information on CTX and antiretroviral therapy intake was recorded
from the medical file of the patient and through an interview. For molecular
analysis, the Plasmodium small subunit ribosomal RNA gene was amplified by nested
polymerase chain reaction. Results: Submicroscopic infections were detected in
10.1% (n=12/119) of the people living with HIV, more frequently in those residing
in rural areas (15.1%) compared with urban areas (2.1%) (p<0.01). The proportion
of anaemic patients was 1.74-fold more frequent in malaria-infected patients,
although not statistically significant. Submicroscopic infections frequency did
not vary according to CTX intake (p=0.6). Conclusions: The present pilot study
highlights a non-negligible frequency of submicroscopic malaria infections in
people living with HIV from rural areas, but no relationship with CTX intake was
found.
PMID- 29660104
TI - DEVELOPMENT OF AN IONIZATION CHAMBER FOR LOW AND MEDIUM ENERGY PHOTON DOSIMETRY.
AB - Measurement of dose due to low and medium energy X-ray using an ionization
chamber needs special considerations as the wall thickness of the detector plays
an important role in signal generation. A thin and plane wall ionization chamber
having 900 cc volume was developed to study its calibration coefficient with air
kerma (Kair) rate and ambient dose equivalent (H*(10)) rate at various X-ray beam
qualities generated from a dosimetry grade X-ray machine. Optimized ionization
chamber wall thickness was determined through measurements where a flat energy
response of the ionization chamber could be established. The measurement shows
that the air kerma rate based calibration coefficient for ionization chamber
varies up to 45% and ambient dose equivalent rate based calibration coefficient
varies up to 20% for wall thickness 1.2-1200 mg/cm2 in the energy range 17.6-213
keV.
PMID- 29660105
TI - The impact of non-persistence on the direct and indirect costs in patients
treated with subcutaneous tumour necrosis factor-alpha inhibitors in Germany.
AB - Objective: The goal of the present study was to estimate the treatment costs in
immune-mediated rheumatic disease patients initiating treatment with an s.c.
biologic agent based on treatment persistence. Methods: This is a retrospective
cohort study based on the German statutory health insurance funds database.
Patients ?18 years of age with a diagnosis of AS, PsA or RA treated with s.c. TNF
alpha inhibitors (TNFis) were included. Persistence was estimated as the duration
of time from s.c. TNFi therapy initiation to discontinuation, which was defined
as at least 60 days without therapy. We performed 1:1 matching based on a
propensity score that was constructed as the conditional probability of being
persistent as a function of age, gender, index year, physician specialty and
Charlson comorbidity index. Finally, the cost differences between the matched
pairs were estimated using the Wilcoxon test. Results: After 1:1 matching, 678
persistent and 678 non-persistent patients were available for cost analyses.
Using a 2-year time period, the costs for office-based visits per patient were
?2319 in the persistent cohort compared with ?3094 in the non-persistent cohort
(P < 0.001). Co-medication costs were ?2828 in the persistent cohort compared
with ?5498 in the non-persistent cohort, hospitalization costs were ?3551 in the
persistent cohort compared with ?5890 in the non-persistent cohort and sick leave
costs were ?717 in the persistent cohort compared with ?1241 in the non
persistent cohort (all P < 0.001). Conclusion: The results of this study indicate
that persistence with s.c. TNFi treatment can be associated with several cost
offsets for immune-mediated rheumatic disease patients.
PMID- 29660106
TI - Safety, effectiveness and feasibility of outpatient management of children with
pneumonia with chest indrawing at Port Moresby General Hospital, Papua New
Guinea.
AB - Implementing the World Health Organization (WHO) recommendations on home-based
management of pneumonia with chest indrawing is challenging in many settings. In
Papua New Guinea, 120 children presenting with the WHO definition of pneumonia
were screened for danger signs, comorbidities and hypoxaemia using pulse
oximetry; 117 were appropriate for home care. We taught mothers about danger
signs and when to return, using structured teaching materials and a video. The
children were given a single dose of intramuscular benzylpenicillin, then sent
home on oral amoxicillin for 5 days, with follow-up at Days 2 and 6. During the
course of treatment, five (4%) of the 117 children were admitted and 15 (13%)
were lost to follow-up. There were no deaths. Treating children with pneumonia
with chest indrawing but no danger signs is feasible as long as safeguards are in
place-excluding high-risk patients, checking for danger signs and hypoxemia and
providing education for mothers and follow-up.
PMID- 29660107
TI - Recess environment and curriculum intervention on children's physical activity:
IPLAY.
AB - Understanding the impacts of the built environment on physical activity (PA) is
essential to promoting children's PA. The purpose of this study was to
investigate the effects of schoolyard renovations and a PA recess curriculum
alone and in combination on children's PA. This was a 2 (learning landscape [LL]
vs. non-LL) * 2 (curriculum intervention vs. no curriculum intervention)
factorial design with random assignment to the curriculum intervention, and six
elementary schools per condition. PA outcomes were assessed preprogram, mid
program, immediate postprogram, and one year postprogram. No meaningful
intervention effects were found. Lack of an effect may be due to the brief dose
of recess, the curriculum not being integrated within the schoolyard, the LL
implementation occurring prior to the study, or the already high levels of PA.
Potential avenues to promote PA include making recess longer, integrating recess
into the school curricula, and developing recess PA curricula integrating
schoolyards.
PMID- 29660108
TI - Early development of the longsnout seahorse Hippocampus reidi (Syngnathidae)
within the male brood pouch.
AB - Fertilized and unfertilized eggs and embryos of the longsnout seahorse
Hippocampus reidi were collected at different stages of development and provided
the basis for a description of morphological development from fertilization until
release from the paternal pouch. Images of fertilized eggs, as well as their
rupture after a few minutes in seawater are reported for the first time. The yolk
sac transitioned from ovoid to spherical shape and was reabsorbed progressively
until release. The tail began rising from the surface of the deuteroplasm while
embryos were in the egg envelope. Embryos lacked a primordial fin fold and
developed some species characteristics, such as rays in the dorsal fin, before
resorption of the yolk sac. At release, juvenile seahorses were in an advanced
stage of development even if they lacked important adult characteristics, such as
ring plates and coronet. The tail was not prehensile in juveniles at release; a
small caudal fin was present, although this fin is lost in adults.
PMID- 29660109
TI - Screening for emotional disorders in patients with cancer using the Brief Symptom
Inventory (BSI) and the BSI-18 versus a standardized psychiatric interview (the
World Health Organization Composite International Diagnostic Interview).
AB - BACKGROUND: Given the adverse consequences of psychiatric and psychosocial
morbidity on the quality of life for patients with cancer, prompt detection of
psychological symptoms is mandatory. The authors examined the properties and
accuracy of the Brief Symptom Inventory (the 53-item version [BSI] and the 18
item version [BSI-18]) for the detection of psychiatric morbidity compared with
the World Health Organization Composite International Diagnostic Interview (CIDI)
for International Classification of Diseases-10th Revision psychiatric diagnoses.
METHODS: A convenience sample of 498 patients with newly diagnosed cancer who
were recruited in cancer outpatient services participated in the CIDI interview
and in BSI and BSI-18 assessments. RESULTS: The prevalence of psychiatric
morbidity was 39.75%. When participants were classified as cases using the BSI
standard case rule, agreement with the CIDI was potentially acceptable
(sensitivity, 72.7%; specificity, 88.7%). In contrast, the accuracy of the BSI-18
in identifying cases was poor according to the standard case rule, with very low
sensitivity (29.3%) (misclassification rate, 28.7%). By using a first alternative
case-rule system (a BSI-18 global severity index [GSI] T-score >=57), sensitivity
marginally improved (45%), whereas a second alternative case-rule system (a GSI T
score >=50) significantly increased sensitivity (77.3%). In receiver operating
characteristic curve analysis, a further cutoff GSI T-score >=48 exhibited good
discrimination levels (sensitivity, 82.3%; specificity, 72.4%). There were some
differences in GSI cutoff T-scores according to the International Classification
of Diseases-10th Revision diagnosis and sex. CONCLUSIONS: The BSI appeared to
have acceptable diagnostic accuracy compared with a standardized psychiatric
interview. For the BSI-18, it is mandatory to use alternative case-rule systems,
to identify patients with psychiatric morbidity. Cancer 2018;124:2415-26. (c)
2018 American Cancer Society.
PMID- 29660110
TI - Preparation of high-purity lactulose through efficient recycling of catalyst
sodium aluminate and nanofiltration: a pilot-scale purification.
AB - BACKGROUND: Lactulose, a valuable lactose-originated 'bifidus factor' product, is
exclusively produced by chemical-based isomerization commercially. A complexing
agent of sodium aluminate exhibiting high conversion efficiency and strong
recyclable stability is more practical for industrial applications. In this
study, efficient purification of high-purity lactulose through recycling of
sodium aluminate and further desalination by nanofiltration (NF) was implemented
on a pilot scale. RESULTS: Over 99.5% of the catalyst was prior recycled in the
form of Al(OH)3 precipitate by pH-induced precipitation and centrifugation;
residual aluminum was further absorbed by ion exchange resin to an acceptable
level (<=10 mg kg-1 ). Subsequently, impurities (monosaccharides and NaCl) were
ideally separated from lactulose syrup by NF based on their significant retention
differences (lactulose 94.8-97.2% > lactose 86.2-93.5% > monosaccharides 36.3
48.7% > NaCl 9.5-31.1%). High-purity (>95%) lactulose was obtained with >90%
yield in both constant and variable volume diafiltration (CVD and VVD) modes when
the volume dilution ratio (Vc /Vf ) was 4.0 and 2.5 respectively. Both
experimental and predicted results showed that the VVD mode was more water-saving
in practice. CONCLUSION: This is the first trial purification of lactulose syrup
from chemical isomerization of lactose catalyzed by sodium aluminate, and the
applied methodology is a promising industrial-scale purification strategy. (c)
2018 Society of Chemical Industry.
PMID- 29660111
TI - Confidence in Attachment Relationships and Marital Status as Protective Factors
for Self-Perceived Parental Role and In-Person Visitation with Children Among
Incarcerated Fathers.
AB - The study aimed at investigating the role of confidence in attachment
relationships and marital status as protective factors for incarcerated fathers'
self-perceived parental role and in-person contacts with their children.
Participants included 150 inmate fathers and 145 nonincarcerated control fathers
who provided background sociodemographic information and completed two self
reports, the Attachment Style Questionnaire and the Self-Perception of Parental
Role. A two-phased cluster analytic plan allowed us to highlight two profiles of
self-perceived parental roles, with incarceration and low confidence in
attachment relationships increasing the risk of the less optimal of the two
profiles. Higher confidence in attachment relationships and having a stable
romantic relationship increased the likelihood of incarcerated fathers engaging
in frequent contacts with their children, while the profile of self-perceived
parental role had no effect. Implications for practice are discussed, and
suggestions for further research are provided.
PMID- 29660113
TI - Systematic review of the influence of socioeconomic deprivation on mortality
after colorectal surgery.
AB - BACKGROUND: Socioeconomic deprivation is a potentially important factor
influencing surgical outcomes. This systematic review aimed to summarize the
evidence for any association between socioeconomic group and mortality after
colorectal surgery, and to report the definitions of deprivation used and the
approaches taken to adjust for co-morbidity in this patient population. METHODS:
MEDLINE, Embase, the Cochrane Library and Web of Science were searched for
studies up to November 2016 on adult patients undergoing major colorectal
surgery, which reported on mortality according to socioeconomic group. Risk of
bias and study quality were assessed by extracting data relating to study size,
and variations in inclusion and exclusion criteria. Quality was assessed using a
modification of a previously described assessment tool. RESULTS: The literature
search identified 59 studies published between 1993 and 2016, reporting on 2 698
403 patients from eight countries. Overall findings showed evidence for higher
mortality in more deprived socioeconomic groups, both in the perioperative period
and in the longer term. Studies differed in how they defined socioeconomic
groups, but the most common approach was to use one of a selection of
multifactorial indices based on small geographical areas. There was no consistent
approach to adjusting for co-morbidity but, where this was considered, the
Charlson Co-morbidity Index was most frequently used. CONCLUSION: This systematic
review suggests that socioeconomic deprivation influences mortality after
colorectal surgery.
PMID- 29660112
TI - Evaluation of membrane-bound and soluble forms of human leucocyte antigen-G in
systemic sclerosis.
AB - Systemic sclerosis (SSc) is a complex disease characterized by immune
dysregulation, extensive vascular damage and widespread fibrosis. Human leucocyte
antigen-G (HLA-G) is a non-classic class I major histocompatibility complex (MHC)
molecule characterized by complex immunomodulating properties. HLA-G is expressed
on the membrane of different cell lineages in both physiological and pathological
conditions. HLA-G is also detectable in soluble form (sHLA-G) deriving from the
shedding of surface isoforms (sHLA-G1) or the secretion of soluble isoforms (HLA
G5). Several immunosuppressive functions have been attributed to both membrane
bound and soluble HLA-G molecules. The plasma levels of sHLA-G were higher in SSc
patients (444.27 +/- 304.84 U/ml) compared to controls (16.74 +/- 20.58 U/ml) (P
< 0.0001). The plasma levels of transforming growth factor (TGF)-beta were higher
in SSc patients (18 937 +/- 15 217 pg/ml) compared to controls (11 099 +/- 6081
pg/ml; P = 0.003), and a significant correlation was found between TGF-beta and
the plasma levels of total sHLA-G (r = 0.65; P < 0.01), sHLA-G1 (r = 0.60; P =
0.003) and HLA-G5 (r = 0.47; P = 0.02). The percentage of HLA-G-positive
monocytes (0.98 +/- 1.72), CD4+ (0.37 +/- 0.68), CD8+ (2.05 +/- 3.74) and CD4+
CD8+ double-positive cells (14.53 +/- 16.88) was higher in SSc patients than in
controls (0.11 +/- 0.08, 0.01 +/- 0.01, 0.01 +/- 0.01 and 0.39 +/- 0.40,
respectively) (P < 0.0001). These data indicate that in SSc the secretion and/or
shedding of soluble HLA-G molecules and the membrane expression of HLA-G by
peripheral blood mononuclear cells (PBMC) is clearly elevated, suggesting an
involvement of HLA-G molecules in the immune dysregulation of SSc.
PMID- 29660114
TI - Molecular evolution of key metabolic genes during transitions to C4 and CAM
photosynthesis.
AB - PREMISE OF THE STUDY: Next-generation sequencing facilitates rapid production of
well-sampled phylogenies built from very large genetic data sets, which can then
be subsequently exploited to examine the molecular evolution of the genes
themselves. We present an evolutionary analysis of 83 gene families (19
containing carbon-concentrating mechanism (CCM) genes, 64 containing non-CCM
genes) in the portullugo clade (Caryophyllales), a diverse lineage of mostly arid
adapted plants that contains multiple evolutionary origins of all known
photosynthesis types in land plants (C3 , C4 , CAM, C4 -CAM, and various
intermediates). METHODS: We inferred a phylogeny of 197 individuals from 167 taxa
using coalescent-based approaches and individual gene family trees using maximum
likelihood. Positive selection analyses were conducted on individual gene family
trees with a mixed effects model of evolution (MEME). We devised new indices to
compare levels of convergence and prevalence of particular residues between CCM
and non-CCM genes and between species with different photosynthetic pathways. KEY
RESULTS: Contrary to expectations, there were no significant differences in the
levels of positive selection detected in CCM versus non-CCM genes. However, we
documented a significantly higher level of convergent amino acid substitutions in
CCM genes, especially in C4 taxa. CONCLUSIONS: Our analyses reveal a new suite of
amino acid residues putatively important for C4 and CAM function. We discuss both
the advantages and challenges of using targeted enrichment sequence data for
exploratory studies of molecular evolution.
PMID- 29660115
TI - A working model for hypothermic neuroprotection.
AB - Therapeutic hypothermia significantly improves survival without disability in
near-term and full-term newborns with moderate to severe hypoxic-ischaemic
encephalopathy. However, hypothermic neuroprotection is incomplete. The challenge
now is to find ways to further improve outcomes. One major limitation to progress
is that the specific mechanisms of hypothermia are only partly understood.
Evidence supports the concept that therapeutic cooling suppresses multiple
extracellular death signals, including intracellular pathways of apoptotic and
necrotic cell death and inappropriate microglial activation. Thus, the optimal
depth of induced hypothermia is that which effectively suppresses the cell death
pathways after hypoxia-ischaemia, but without inhibiting recovery of the cellular
environment. Thus mild hypothermia needs to be continued until the cell
environment has recovered until it can actively support cell survival. This
review highlights that key survival cues likely include the inter-related
restoration of neuronal activity and growth factor release. This working model
suggests that interventions that target overlapping mechanisms, such as
anticonvulsants, are unlikely to materially augment hypothermic neuroprotection.
We suggest that further improvements are most likely to be achieved with late
interventions that maximise restoration of the normal cell environment after
therapeutic hypothermia, such as recombinant human erythropoietin or stem cell
therapy.
PMID- 29660117
TI - Polymorphisms of genes involved in inflammation and blood vessel development
influence the risk of varicose veins.
AB - Heredity plays an important role in the etiology of varicose veins (VVs).
However, the genetic basis underlying this condition remains poorly understood.
Our aim was to replicate top association signals from genome-wide association
studies (GWASs) for VVs of lower extremities using 2 independent datasets-our
sample of ethnic Russian individuals (709 cases and 278 controls) and a large
cohort of British residents from UK Biobank (10 861 cases and 397 594 controls).
Associations of polymorphisms rs11121615, rs6712038, rs507666, rs966562,
rs7111987, rs6062618, and rs6905288 were validated in the UK Biobank individuals
at a Bonferroni-corrected significance level. In Russian cohort, only rs11121615
reached a nominal significance level of P < .05. Results of original GWAS and
replication studies were combined by a meta-analysis, and polymorphisms listed
above as well as rs111434909 and rs4463578 passed a genome-wide significant
threshold. Notably, the majority of these polymorphisms were located within or
near genes involved in vascular development and remodeling, and regulation of
inflammatory response. Our results confirm the role of these polymorphisms in
genetic susceptibility to VVs and indicate the revealed genomic regions as good
candidates for further fine-mapping studies and functional analysis. Moreover,
our findings implicate inflammation and abnormal vascular architecture in VVs
pathogenesis.
PMID- 29660116
TI - A novel histochemistry assay to assess and quantify focal cytochrome c oxidase
deficiency.
AB - Defects in the respiratory chain, interfering with energy production in the cell,
are major underlying causes of mitochondrial diseases. In spite of this, the
surprising variety of clinical symptoms, disparity between ages of onset, as well
as the involvement of mitochondrial impairment in ageing and age-related diseases
continue to challenge our understanding of the pathogenic processes. This
complexity can be in part attributed to the unique metabolic needs of organs or
of various cell types. In this view, it remains essential to investigate
mitochondrial dysfunction at the cellular level. For this purpose, we developed a
novel enzyme histochemical method that enables precise quantification in fresh
frozen tissues using competing redox reactions which ultimately lead to the
reduction of tetrazolium salts and formazan deposition in cytochrome c oxidase
deficient mitochondria. We demonstrate that the loss of oxidative activity is
detected at very low levels - this achievement is unequalled by previous
techniques and opens up new opportunities for the study of early disease
processes or comparative investigations. Moreover, human biopsy samples of
mitochondrial disease patients of diverse genotypic origins were used and the
successful detection of COX-deficient cells suggests a broad application for this
new method. Lastly, the assay can be adapted to a wide range of tissues in the
mouse and extends to other animal models, which we show here with the fruit fly,
Drosophila melanogaster. Overall, the new assay provides the means to quantify
and map, on a cell-by-cell basis, the full extent of COX deficiency in tissues,
thereby expending new possibilities for future investigation. (c) 2018 The
Authors. The Journal of Pathology published by John Wiley & Sons Ltd on behalf of
Pathological Society of Great Britain and Ireland.
PMID- 29660118
TI - Effects of high-power ultrasound on microflora, enzymes and some quality
attributes of a strawberry drink.
AB - BACKGROUND: The objective of the present work was to study the effect of high
power ultrasound (HPU) on the microflora, enzymes and some quality attributes of
a strawberry drink and to provide a theoretical basis for strawberry drink
processing conducive to the development of more nutritious and healthier
strawberry drinks. RESULTS: Fresh strawberry drink was subjected to HPU treatment
at 20 kHz (242, 605 and 968 W cm-2 ) for 2, 4, 6, 8 and 10 min in an ice bath.
Results showed that polyphenol oxidase (PPO), pectin methyl esterase (PME) and
beta-glucosidase activities were decreased by 44.90, 89.11 and 84.71%
respectively at 968 W cm-2 for 10 min. Lower L*, a* and b* values and higher
browning degree (BD) were observed in HPU-treated samples, resulting in a
significant increase in DeltaE value (P < 0.05). HPU treatment caused loss of
viscosity and turbidity, while total soluble solids (TSS) and pH of the
strawberry drink were stable. Total phenol content and antioxidant capacity
increased while anthocyanin content decreased compared with untreated samples.
Total aerobic bacteria (TAB) and molds and yeasts (M&Y) were reduced by 2.07 and
1.13 log10 cycles respectively at 968 W cm-2 for 10 min. CONCLUSIONS: HPU can
effectively achieve the effect of pasteurization and maintain the nutrients of
strawberry drink. (c) 2018 Society of Chemical Industry.
PMID- 29660122
TI - Significance of Pharmacogenomics in Precision Medicine.
AB - Precision medicine-a term widely used in modern medicine-emphasizes the goal to
overcome still existing limitations of therapeutic interventions by tailoring
medical treatments to individual patient characteristics. Pharmacogenetics was
one of the first scientific approaches taking molecular biomarkers into
consideration of diagnostics and therapeutic decisions. This issue of Clinical
Pharmacology & Therapeutics on the significance of pharmacogenomics inprecision
medicine discusses the ongoing challenges on different levels. Access and usage
of clinical biobanks, gain of specific information of medication from electronic
health records, and tools to combine clinical and molecular information in order
to develop advanced clinical decision support are subjects of numerous research
initiatives. Implementation in clinical routine, however, remains the subject of
evaluation of clinical- and cost-effectiveness, so far.
PMID- 29660123
TI - A new species of Hemibrycon (Characiformes, Characidae, Stevardiinae) from the
upper Magdalena River basin in Colombia.
AB - Hemibrycon iqueima sp. nov., is described from small streams in the Magdalena
drainage at the foothills of the western slope of the Eastern Cordillera of the
Colombian Andes, Suarez municipality, Tolima Department, Colombia. The new
species is distinguished from its congeners in the Magdalena-Cauca River basin by
a combination of characters related to snout-anal-fin origin length, head length,
dorsal-pectoral fin distance, dorsal-fin-hypural distance, postorbital distance,
orbital diameter, snout length, number of total vertebrae, pre-dorsal scales,
scale rows between anal-fin origin and lateral line, number of branched rays of
the anal fin, maxillary teeth number and number and arrangement of hooks on the
branched rays of the pectoral and dorsal fins. In addition, the validity of this
species is supported by previous molecular analyses that included specimens of
the new species that had been erroneously identified. Phylogenetic relationships
between the new species and congeners from Pacific coast basins are discussed.
PMID- 29660124
TI - Age and growth of the endemic Xingu River stingray Potamotrygon leopoldi
validated using fluorescent dyes.
AB - Between 2003 and 2005, vertebrae of 151 Xingu River Potamotrygon leopoldi
(Potamotrygonidae) (75 males and 76 females) were analysed to derive a growth
curve for this species. The disc width (WD ) was significantly different between
sexes, with females measuring 149-700 mm WD and males 109-500 mm WD . The average
percentage error for vertebrae readings of the whole sample was 2.7%. The
marginal increment ratio (RMI ) showed an increasing trend with the highest value
in November, decreasing from December on. The majority of vertebrae displaying
RMI zero, occurred in September, but the annual periodicity of ring deposition
throughout the year was not conclusive. Tetracycline (TCN) injected specimens
were held in captivity for 13 months and displayed a fluorescent mark in
vertebrae confirming a yearly periodicity of band pair formation with the
translucent ring deposited in September-October. The Akaike information criterion
(AIC) showed that, among the seven models considered, the best fit was obtained
for the von Bertalanffy modified with W0 (where W0 = WD at birth) for both sexes.
Growth parameters for females were: W0 = 149 mm; Winfinity = 763.06 mm; k = 0.12
year- 1 , whereas for males: W0 = 109 mm; Winfinity = 536.4 and k = 0.22 year-1 .
Maximal ages were 7.2 years in males and 14.3 years in females. The species shows
sexual dimorphism expressed in the growth pattern, size at maturity, longevity
and asymptotic sizes. Concern for sustainability is raised due to the
construction of the Belo Monte Hydroelectric Power Plant (2015 and 2016) in the
State of Para causing changes to the habitat of this species, which is endemic to
the Xingu River and two of its tributaries.
PMID- 29660125
TI - Study of virgin olive oil clarification by settling under dynamic conditions.
AB - BACKGROUND: Vertical centrifugation is the main method for virgin olive oil (VOO)
clarification. However, in recent years, settling tanks are also being used to
clarify the oils from decanters. They can operate under static or dynamic
conditions. In this work, vertical centrifugation and settling under dynamic
conditions for VOO clarification and their effects on VOO characteristics were
compared. RESULTS: VOO quality parameters were not affected by the clarification
systems studied. The vertical centrifugal separator (VCS) showed higher
clarification efficiency, giving clarified oils with higher phenol content and
better sensory characteristics. VOOs clarified by dynamic settling showed notable
losses of phenols and worse sensory characteristics, since the tank purge system
was not efficient, with most of the impurities remaining in the tanks.
CONCLUSION: The VCS with minimal water addition is a quick operation with low
water consumption and is a better option to produce VOOs of improved quality,
especially in terms of longer shelf life and preservation of positive sensory
notes. (c) 2018 Society of Chemical Industry.
PMID- 29660126
TI - GrigoraSNPs: Optimized Analysis of SNPs for DNA Forensics.
AB - High-throughput sequencing (HTS) of single nucleotide polymorphisms (SNPs)
enables additional DNA forensic capabilities not attainable using traditional STR
panels. However, the inclusion of sets of loci selected for mixture analysis,
extended kinship, phenotype, biogeographic ancestry prediction, etc., can result
in large panel sizes that are difficult to analyze in a rapid fashion. GrigoraSNP
was developed to address the allele-calling bottleneck that was encountered when
analyzing SNP panels with more than 5000 loci using HTS. GrigoraSNPs uses a
MapReduce parallel data processing on multiple computational threads plus a novel
locus-identification hashing strategy leveraging target sequence tags. This tool
optimizes the SNP calling module of the DNA analysis pipeline with runtimes that
scale linearly with the number of HTS reads. Results are compared with SNP
analysis pipelines implemented with SAMtools and GATK. GrigoraSNPs removes a
computational bottleneck for processing forensic samples with large HTS SNP
panels.
PMID- 29660127
TI - On the thermal behavior of protein isolated from different legumes investigated
by DSC and TGA.
AB - BACKGROUND: Pea, lentil, faba bean, chickpea and bean proteins are potentially
renewable raw materials for bioplastic production that can be obtained from
agricultural waste. Plastics are usually processed under heating, and thus
thermal stability is a mandatory requirement for the application. In this study,
the thermal behavior of several legume protein isolates at different purity
degrees was investigated. RESULTS: The thermal stability of proteins extracted
from legumes was maximum for chickpeas and minimum for beans and decreased with
decreasing protein purity in the range 30-88%. A similar dependence on purity was
observed for the glass transition temperature. On the contrary, the denaturation
temperature was found not to depend on sample purity and origin and was lower
than the degradation temperature only in the case of protein samples with purity
higher than 60%. CONCLUSION: Proteins from legumes are suitable to produce
thermoplastic biopolymeric materials if isolated at purity higher than 60%. In
fact, under this circumstance, they can be denaturized without degrading and thus
are suitable for extrusion processing. (c) 2018 Society of Chemical Industry.
PMID- 29660128
TI - Multi-factor accelerated aging of neutral pudding packed in retort pouches
determined by instrumental and fluorescence techniques.
PMID- 29660129
TI - Midwives' and women's views on accessing dental care during pregnancy: an
Australian qualitative study.
AB - BACKGROUND: Maternal behaviours during pregnancy are likely to play a significant
role in the development of dental caries in children. Although midwives are well
placed to discuss oral health and provide information to women, dental attendance
by women during pregnancy is minimal. This study aimed to explore midwives'
experience of facilitating pregnant women's access to dental care and to document
women's experience of receiving dental information and care during pregnancy.
METHODS: Focus groups with midwives and telephone interviews with women who were
referred to Monash Health Dental Services were conducted to explore their
perspectives and experiences. The qualitative data was thematically analysed.
RESULTS: Three focus groups with 13 midwives and telephone interviews with eight
women who recently gave birth were conducted. Three key themes were identified:
maternal oral health knowledge; barriers to accessing dental information and care
during pregnancy; and suggested recommendations. CONCLUSIONS: This study
highlighted the barriers that exist for midwives to discuss oral health with
women and refer women to dental care, and women's experiences of accessing dental
care during pregnancy. Ongoing collaboration between the maternity and dental
services is required to strengthen midwives' knowledge, confidence and practise
in supporting women to access dental care during pregnancy.
PMID- 29660131
TI - Hats Off to the Editorial Board.
PMID- 29660130
TI - Impact of Listeria Inoculation and Aerated Steam Sanitization on Volatile
Emissions of Whole Fresh Cantaloupes.
AB - : Rapid methods to detect bacterial pathogens on food and strategies to control
them are needed to mitigate consumer risk. This study assessed volatile emissions
from whole cantaloupe melons (Cucumis melo) as an indicator of Listeria
contamination and in response to steam vapor decontamination. Cantaloupe were
inoculated with Listeria innocua, a nonpathogenic surrogate for L. monocytogenes,
then exposed to 85 degrees C steam for 240 s (4 min) followed by rapid chilling
and storage for 0, 7, 10, or 14 days at 4, 7, or 10 degrees C. Volatile
emissions from whole melons were collected on Carbopack B/Carboxen 1000 headspace
collection tubes and analyzed by gas chromatography-mass spectroscopy following
thermal desorption. Introduction of L. innocua to cantaloupe rind resulted in a
reduction of aromatic compound emission. However, this response was not unique to
Listeria contamination in that steam vapor treatment also reduced emission of
these compounds. As well, steam vapor treatment diminished the number of viable
Listeria and indigenous microflora while causing physiological injury to melon
rind. Heat treatment had no significant effects on flesh firmness, color,
titratable acidity, or soluble solids, but the production of typical aroma
volatiles during postharvest ripening was inhibited. No unique volatile compounds
were detected in Listeria contaminated melons. While changes in volatile
emissions were associated with Listeria inoculation, they could not be
differentiated from heat treatment effects. Results indicate that volatile
emissions cannot be used as a diagnostic tool to identify Listeria contamination
in whole cantaloupe melons. PRACTICAL APPLICATION: The detection of pathogen
contamination on fresh produce is a continuing challenge. Using a nondestructive
screening method, the presence of surrogate Listeria innocua on fresh whole
cantaloupes was shown to alter the emissions of aromatic volatiles from whole
cantaloupes. However, these altered emissions were not found to be unique to
Listeria spp. and therefore cannot be used as a definitive indicator of Listeria
contamination.
PMID- 29660132
TI - A Comparison of Temporal Dominance of Sensation (TDS) and Quantitative
Descriptive Analysis (QDATM) to Identify Flavors in Strawberries.
AB - : Temporal dominance of sensations (TDS) is a rapid descriptive method that
offers a different magnitude of information to traditional descriptive analysis
methodologies. This methodology considers the dynamic nature of eating, assessing
sensory perception of foods as they change throughout the eating event. Limited
research has applied the TDS methodology to strawberries and subsequently
validated the results against Quantitative Descriptive Analysis (QDATM). The aim
of this research is to compare the TDS methodology using an untrained consumer
panel to the results obtained via QDATM with a trained sensory panel. The trained
panelists (n = 12, minimum 60 hr each panelist) were provided with six strawberry
samples (three cultivars at two maturation levels) and applied QDATM techniques
to profile each strawberry sample. Untrained consumers (n = 103) were provided
with six strawberry samples (three cultivars at two maturation levels) and
required to use TDS methodology to assess the dominant sensations for each sample
as they change over time. Results revealed moderately comparable product
configurations produced via TDS in comparison to QDATM (RV coefficient = 0.559),
as well as similar application of the sweet attribute (correlation coefficient of
0.895 at first bite). The TDS methodology however was not in agreement with the
QDATM methodology regarding more complex flavor terms. These findings support the
notion that the lack of training on the definition of terms, together with the
limitations of the methodology to ignore all attributes other than those
dominant, provide a different magnitude of information than the QDATM
methodology. PRACTICAL APPLICATION: A comparison of TDS to traditional
descriptive analysis indicate that TDS provides additional information to QDATM
regarding the lingering component of eating. The QDATM results however provide
more precise detail regarding singular attributes. Therefore, the TDS methodology
has an application in industry when it is important to understand the lingering
profile of products. However, this methodology should not be employed as a
replacement to traditional descriptive analysis methods.
PMID- 29660133
TI - Response to "On the Reverse Stability Kinetics of Meat Pigment Oxidation in
Aqueous Extract from Fresh Beef".
PMID- 29660134
TI - On the Reverse Stability Kinetics of Meat Pigment Oxidation in Aqueous Extract
from Fresh Beef.
PMID- 29660136
TI - Posttraumatic Stress Disorder and Diminished Criminal Responsibility as "New
Evidence" in Criminal Revision Procedures.
AB - Posttraumatic stress disorder (PTSD) may affect victims of crime, but may also be
reported by offenders. In the postappeal phase, offenders may claim to suffer
from chronic PTSD and argue that this indicates diminished criminal
responsibility at the time the index crime was committed. As members of a Dutch
criminal cases review commission, we recently encountered two cases in which PTSD
was presented as new evidence that would justify a reopening of the case. In this
article, we argue that such claims are problematic in that clinical decision
making resulting in a PTSD diagnosis adheres to quite different standards than
those dictating forensic fact-finding. The two cases illustrate the difference
between criminal and clinical fact-finding.
PMID- 29660137
TI - Olfactory gene expression in migrating adult sockeye salmon Oncorhynchus nerka.
AB - Expression of 12 olfactory genes was analysed in adult sockeye salmon
Oncorhynchus nerka nearing spawning grounds and O. nerka that had strayed from
their natal migration route. Variation was found in six of these genes, all of
which were olfc olfactory receptors and had lower expression levels in salmon
nearing spawning grounds. The results may reflect decreased sensitivity to natal
water olfactory cues as these fish are no longer seeking the correct migratory
route. The expression of olfactory genes during the olfactory-mediated spawning
migration of Pacific salmon Oncorhynchus spp. is largely unexplored and these
findings demonstrate a link between migratory behaviours and olfactory plasticity
that provides a basis for future molecular research on salmon homing.
PMID- 29660138
TI - Orthodontic thermoformed retainers: a two-arm laboratory study into post
fabrication outcomes.
AB - BACKGROUND: Retainers are commonly used to maintain post-orthodontic occlusion
stability. We aimed to determine post-fabrication thickness and thinning rate of
thermoformed retainers. METHODS: Forty-eight retainers were fabricated from
polyethylenterepthalat-glycol copolyester or polypropylene blanks, using vacuum-
or pressure-thermoforming. Retainer thickness was measured at multiple locations.
RESULTS: Thinning rate had a broad range: the mid-labial incisor region of 1 mm
polyethylenterepthalat-glycol copolyester pressure-thermoformed mandibular
retainers had the greatest thinning rate (68.25 +/- 8.26%) and smallest mean post
fabrication thickness (0.32 +/- 0.08 mm). Polyethylenterepthalat-glycol
copolyester retainers were 0.11 mm thinner than polypropylene (P=0.0222), and
polypropylene retainers were 0.21 mm thicker, when pressure-thermoformed
(P<0.0001). The interaction of manufacturing method and material used, and tooth
type, explained over a third of the variability in the post-fabrication thickness
of these retainers. Maxillary retainers made from 1 mm blanks were 0.04 mm
thicker in the incisor region compared with the molar region (P=0.0492).
CONCLUSIONS: Thermoformed retainers do no thin uniformly against individual teeth
and have variable intra- and inter-arch post-fabrication thicknesses. There is no
clear benefit in using a specific type of thermoforming machine or material for
increasing post-fabrication thickness or reducing thinning rate. Blank thickness
and tooth morphology influence these outcomes.
PMID- 29660139
TI - Swimming performance of 12 Schizothoracinae species from five rivers.
AB - A series of stepped velocity tests were carried out in a Brett-type swimming
respirometer and the overall range in swimming performance for 12
Schizothoracinae species was measured. The relative critical swimming speed Ucrit
and burst speed Uburst decreased with body length, while absolute Ucrit and
Uburst increased with body length. Ucrit increased with temperature up to
approximately 15 degrees C and then decreased. Species with a high Ucrit also
displayed a higher Uburst .
PMID- 29660140
TI - Time course of the photochemical reflectance index during photosynthetic
induction: its relationship with the photochemical yield of photosystem II.
AB - Time courses of photochemical reflectance index (PRI) of an attached cucumber
leaf during a dark-light transition were compared with those of photochemical
yields of photosystem II (YII ) to discuss the feasibility of PRI imaging for
estimating the efficiency of photosynthetic light use. YII and PRI were
simultaneously evaluated with a pulse-amplitude modulation chlorophyll
fluorometer and a low-cost imaging system consisting of digital cameras and band
pass filters, respectively. YII decreased immediately after the transition and
then increased under various photon flux densities. Although PRI exhibited
delayed time courses with respect to YII under low light conditions, PRI
decreased monotonically under high light conditions. There was no correlation
between YII and the changes in PRI (DeltaPRI) immediately after the transition
but YII was correlated with DeltaPRI under the steady-state photosynthesis. These
results indicate that the use of PRI to estimate YII under fluctuating light
based on the regression obtained at steady state can overestimate YII . The
imaging system was also applied to evaluate the spatial PRI distribution within a
leaf. While PRI of leaf areas that remained untreated, or had been treated with
H2 O again, first dropped and then rose under low light and monotonically
decreased under high light conditions, leaf areas treated with inhibitor
(dichlorophenyl dimethylurea) did not exhibit any changes. It is likely that the
inhibitor suppressed lumen acidification, which triggers a decrease in PRI. It
was suggested that YII of leaves with malfunctions in the photosynthetic electron
transport can be overestimated by the PRI-based estimation.
PMID- 29660142
TI - Use of digoxin in atrial fibrillation: One step further in the mortality
controversy from the AFFIRM study.
AB - BACKGROUND: Whether there is a causal association between digoxin and mortality
among patients with atrial fibrillation (AF), with or without congestive heart
failure (HF), has been controversial; in particular, two prior analyses of data
from the Atrial Fibrillation Follow-up Investigation of Rhythm Management
(AFFIRM) trial have yielded conflicting results. We sought to investigate how
digoxin impacts mortality, in the full AFFIRM cohort and for various subgroups,
by applying marginal structural modeling (MSM) to AFFIRM data. METHODS: MSM is a
newer statistical approach, which estimates causal association in the absence of
randomization. MSM more effectively accounts for time-varying treatment and
mitigates potential biases, in contrast to the two statistical approaches used in
prior analyses of the AFFIRM data. RESULTS: Among 4,060 patients in AFFIRM, 660
(16.3%) died during follow-up. Digoxin was associated with significantly higher
mortality in the full cohort (estimated hazard ratio [HR] 1.33, 95% confidence
interval [CI] 1.11-1.60, P = 0.002) and in 3,121 patients without HF (HR 1.36,
95% CI 1.07-1.72, P = 0.011). There was a trend toward higher mortality with
digoxin in 939 patients with HF (HR 1.29, 95% CI 0.96-1.72, P = 0.090).
Associations were nonsignificant in 463 patients with HF and left ventricular
ejection fraction (EF) >=40% and in 155 patients with EF <=30%. CONCLUSIONS:
Digoxin is associated with significantly increased mortality among AFFIRM
patients collectively, as determined by MSM statistical methodology. However, the
impact of digoxin among AFFIRM patients with coexisting HF is inconclusive.
PMID- 29660141
TI - Carbonic anhydrase is not a relevant nitrite reductase or nitrous anhydrase in
the lung.
AB - KEY POINTS: Carbonic anhydrase (CA) inhibitors such as acetazolamide inhibit
hypoxic pulmonary vasoconstriction (HPV) in humans and other mammals, but the
mechanism of this action remains unknown. It has been postulated that carbonic
anhydrase may act as a nitrous anhydrase in vivo to generate nitric oxide (NO)
from nitrite and that this formation is increased in the presence of
acetazolamide. Acetazolamide reduces HPV in pigs without evidence of any NO
generation, whereas nebulized sodium nitrite reduces HPV by NO formation;
however; combined infusion of acetazolamide with sodium nitrite inhalation did
not further increase exhaled NO concentration over inhaled nitrite alone in pigs
exposed to alveolar hypoxia. We conclude that acetazolamide does not function as
either a nitrous anhydrase or a nitrite reductase in the lungs of pigs, and
probably other mammals, to explain its vasodilating actions in the pulmonary or
systemic circulations. ABSTRACT: The carbonic anhydrase (CA) inhibitors
acetazolamide and its structurally similar analogue methazolamide prevent or
reduce hypoxic pulmonary vasoconstriction (HPV) in dogs and humans in vivo, by a
mechanism unrelated to CA inhibition. In rodent blood and isolated blood vessels,
it has been reported that inhibition of CA leads to increased generation of
nitric oxide (NO) from nitrite and vascular relaxation in vitro. We tested the
physiological relevance of augmented NO generation by CA from nitrite with
acetazolamide in anaesthetized pigs during alveolar hypoxia in vivo. We found
that acetazolamide prevents HPV in anaesthetized pigs, as in other mammalian
species. A single nebulization of sodium nitrite reduces HPV, but this action
wanes in the succeeding 3 h of hypoxia as nitrite is metabolized and excreted.
Pulmonary artery pressure reduction and NO formation as measured by exhaled gas
concentration from inhaled sodium nitrite were not increased by acetazolamide
during alveolar hypoxia. Thus, our data argue against a physiological role of
carbonic anhydrase as a nitrous anhydrase or nitrite reductase as a mechanism for
its inhibition of HPV in the lung and blood in vivo.
PMID- 29660143
TI - Disseminated Kaposi sarcoma with epithelioid morphology in an HIV/AIDS patient: A
previously unreported variant.
AB - Kaposi sarcoma is an oligoclonal HHV-8-driven vascular proliferation that was
first described by a Viennese dermatologist Dr Moritz Kaposi. The disease has
been seen in different clinical-epidemiological settings with a wide morphologic
spectrum. We report a 52-year-old Caucasian man with HIV/AIDS and Kaposi sarcoma
who presented with dyspnea and pleural effusion. He reported numerous tender
subcutaneous nodules developing over the past few months on his chest, back and
abdomen. An excisional biopsy of one of the nodules was performed. Touch preps
revealed malignant cells in clusters. Microscopically, the neoplasm appeared
undifferentiated with an epithelioid morphology, and involved the dermis and
subcutaneous fat. Despite the medical history, Kaposi sarcoma was not considered
foremost in the differential diagnosis. The malignant cells were positive for
vimentin and negative for S100 protein, keratin AE1/3, CK7, CK20, napsin A, TTF-1
and synaptophysin. Additional stains revealed positivity for HHV-8, CD31 and D2
40, supporting the diagnosis of Kaposi sarcoma. Kaposi sarcoma has been well
described with many variants that may cause diagnostic difficulty. An epithelioid
variant has not been reported and consequently, may cause misinterpretation of an
otherwise well-known entity that may become life threatening if appropriate
treatment is not initiated in a timely manner.
PMID- 29660144
TI - In situ growth of Zr-based metal-organic framework UiO-66-NH2 for open-tubular
capillary electrochromatography.
AB - The high stability and other properties of Zr(IV)-based metal organic
frameworks(MOFs) make it a promising choice for chromatographic separation, while
the application in open-tubular capillary electrochromatography (OT-CEC)
separation has not been explored yet. Herein, we report the first example of the
in-situ growth of UiO-66-NH2 onto the capillary for open-tubular capillary
electrochromatography. UiO-66-NH2 consists of ZrCl4 and 2-amino-1,4
benzenedicarboxylic acid, which is highly porous and stable in a variety of
solvents. The prepared UiO-66-NH2 modified capillary was characterized by
scanning electron microscopy (SEM) and Fourier transform infrared spectra (FT
IR), and the results confirmed the successful growth of the UiO-66-NH2 . The
baseline separation of chlorobenzenes, phenoxyacids and two groups of phenols was
achieved owing to the combined interaction of pi-pi interaction, hydrophobic
interaction, molecular sieve effect, electrophoretic migration and hydrogen
bonding interaction etc. Besides, the prepared capillaries showed good
reproducibility, with relative standard deviations (RSDs) for intra-day, inter
day and column-to-column runs in the range of 1.38-2.60%, 3.39-4.05%, and 3.47
5.03%, respectively. Our work indicates Zr(IV)-based MOFs are promising materials
as stationary phase in CEC separation.
PMID- 29660145
TI - Hyperpolarized magnetic resonance in chemistry.
PMID- 29660146
TI - Stability of two layers dielectric-electrolyte microflow subjected to an
alternating external electric field.
AB - The stability of the electroosmotic flow of the two-phase system electrolyte
dielectric with a free interface in the microchannel under an external electric
field is examined theoretically. The mathematical model includes the Nernst-Plank
equations for the ion concentrations. The linear stability of the 1D
nonstationary solution with respect to the small, periodic perturbations along
the channel, is studied. Two types of instability have been highlighted. The
first is known as the long-wave instability and is connected with the distortion
of the free charge on the interface. In the long-wave area, the results are in
good agreement with the ones obtained theoretically and experimentally in the
literature. The second type of instability is a short-wave and mostly connected
with the disturbance of the electrolyte conductivity. The short-wave type of
instability has not been found previously in the literature and constitutes the
basis and the strength of the present work. It is revealed that with the increase
of the external electric field frequency, the 1D flow is stabilized. The
dependence of the flow on the other parameters of the system is qualitatively the
same as for the constant electric field.
PMID- 29660147
TI - Free radical-initiated peptide sequencing (FRIPS)-based cross-linkers for
improved peptide and protein structure analysis.
AB - Free radical-initiated peptide sequencing (FRIPS) has recently been introduced as
an analytical strategy to create peptide radical ions in a predictable and
effective way by collisional activation of specifically modified peptides ions.
FRIPS is based on the unimolecular dissociation of open-shell ions and yields
fragments that resemble those obtained by electron capture dissociation (ECD) or
electron transfer dissociation (ETD). In this review article, we describe the
fundamentals of FRIPS and highlight its fruitful combination with chemical cross
linking/mass spectrometry (MS) as a highly promising option to derive
complementary structural information of peptides and proteins. FRIPS does not
only yield exhaustive sequence information of cross-linked peptides, but also
defines the exact cross-linking sites of the connected peptides. The development
of more advanced FRIPS cross-linkers that extend the FRIPS-based cross-linking/MS
approach to the study of large protein assemblies and protein interaction
networks can be eagerly anticipated.
PMID- 29660148
TI - In vivo effect of a TLR5 SNP (C1205T) on Salmonella enterica serovar Typhimurium
infection in weaned, specific pathogen-free Landrace piglets.
AB - Toll-like receptor 5 is a pattern-recognition receptor for bacterial flagellin.
We previously reported that a single nucleotide polymorphism (SNP) of swine TLR5,
C1205T, impairs recognition of Salmonella typhimurium (ST) flagellin and ethanol
killed Salmonella Choleraesuis (SC). In the present study, weaned, specific
pathogen-free (SPF) Landrace piglets with CC, CT or TT genotypes were orally
infected with ST (L-3569 strain) to determine the effect of this specific SNP on
ST infection in vivo. Eighteen ST-infected piglets (six each with CC, CT, or TT)
exhibited fever and diarrhea for 1 week after infection. TT piglets had the
longest duration of fever. TT piglets had the greatest mean diarrhea score during
the experimental period, followed by CT and CC piglets. Fecal ST shedding was
greater in CT and TT pigs than CC pigs from 2 days after infection. Serum
haptoglobin concentration increased in ST-infected piglets and to greater extents
in CT and TT pigs than CC pigs. Daily weight gain was lower in infected pigs,
particularly TT piglets, than control pigs. To the best of our knowledge, this
study is the first to demonstrate that impairment of TLR recognition affects pig
susceptibility to disease in vivo. Thus, piglets with the T allele of swine TLR5
(C1205T) exhibit impaired resistance to ST infection. Furthermore, elimination of
the T allele of this SNP from Landrace pigs would lead to enhancement of their
resistance to ST infection.
PMID- 29660149
TI - Study of supercritical CO2 extraction of tamarillo (Cyphomandra Betacea) seed oil
containing high added value compounds.
AB - In the present investigation, the extraction of tamarillo seed oil was conducted
using supercritical carbon dioxide (SC-CO2), under different conditions of
pressure (20-38.1 MPa) and temperature (40-64 degrees C). In order to determine
the effect that these extraction parameters have over the yield and composition
of the oil, a central composite design was used. The optimum yield was 21.07%
obtained at 38.1 MPa and 64 degrees C. The fatty acids of the tamarillo seed oil
obtained with SC-CO2 were identified: linoleic (70.12%), oleic (16.18%), palmitic
(9.68%), stearic (2.12%), linolenic (1.70%), and palmitoleic (0.23%). Other
components, such as squalene (2.96-19.75 mg/mL), beta-sitosterol (2.05-3.68
mg/mL), cycloartenol (1,23-2.81 mg/mL) dihydrolanosterol (0.28-0.70 mg/mL)
sterols and gamma-tocopherol (0.89-2.10 mg/mL) were also noted. The extraction
kinetic was studied at 27.5 MPa -50 degrees C and 38.1 MPa -64 degrees C. The
semi-empirical model of Sovova et al. [24] described 99.21% of the experimental
behavior of extraction kinetics. High yields of tamarillo seed oil, as well as
its unique composition of unsaturated fatty acids and minor components, show the
potential for its application in the food, cosmetic, and pharmaceutical
industries.
PMID- 29660150
TI - Sample preparation in foodomic analyses.
AB - Representative sampling and adequate sample preparation are key factors for
successful performance of further steps in foodomic analyses, as well as for
correct data interpretation. Incorrect sampling and improper sample preparation
can be sources of severe bias in foodomic analyses. It is well known that both
wrong sampling and sample treatment cannot be corrected anymore. These, in the
past frequently neglected facts, are now taken into consideration, and the
progress in sampling and sample preparation in foodomics is reviewed here. We
report the use of highly sophisticated instruments for both high-performance and
high-throughput analyses, as well as miniaturization and the use of laboratory
robotics in metabolomics, proteomics, peptidomics, and genomics.
PMID- 29660152
TI - Incidence of pediatric atopic dermatitis following thymectomy: A Danish register
study.
PMID- 29660151
TI - Hangover in Post-College-Aged Drinkers: Psychometric Properties of the Hangover
Symptom Scale (HSS) and the Hangover Symptom Scale-Short Form (HSS-5).
AB - BACKGROUND: Alcohol hangovers have been found to be a common and costly
consequence of alcohol misuse. However, there is only limited psychometric
support for instruments to accurately measure hangovers beyond the college-age
years. This study investigated the psychometric properties of the Hangover
Symptom Scale (HSS) and the Hangover Symptom Scale-Short Form (HSS-5) including
the internal consistency, convergent and discriminant validity, construct
validity, and the measurement invariance of these scales between light and heavy
drinkers, individuals with a positive and negative family history of alcohol use
disorder (AUD), and men and women in a post-college-aged sample. The association
of the HSS and HSS-5 with alcohol use problems was also tested. METHODS:
Participants were 294 nonalcoholic light and heavy social drinkers (age range 21
to 35 years; 57.8% male) enrolled in the Chicago Social Drinking Project. They
completed the HSS as part of a take-home packet completed outside of the
laboratory. The psychometric properties of the HSS and HSS-5 were tested.
RESULTS: Stronger psychometric support was found for the HSS-5 relative to the
full HSS. While both measures demonstrated strong internal consistency
reliability, convergent and discriminant validity, and an association with
alcohol use problems, only the HSS-5 showed construct validity as determined by
confirmatory factor analysis. Further, only the HSS-5 showed measurement
invariance between men and women, light and heavy drinkers, and individuals with
a positive and negative family history of AUD. CONCLUSIONS: This was the first
study to examine the psychometric properties of the HSS and HSS-5 in a post
college-aged sample and the first to investigate the measurement invariance of
these measures as a function of sex, drinking history, and family history of AUD.
This study supports the use of the HSS-5 as a reliable and valid brief measure of
frequency of hangover symptoms.
PMID- 29660153
TI - Subchondral bone microdamage accumulation in distal metacarpus of Thoroughbred
racehorses.
AB - BACKGROUND: Microdamage accumulation leads to subchondral bone injury and/or
fracture in racehorses. An understanding of this process is essential for
developing strategies for injury prevention. OBJECTIVES: To quantify subchondral
bone microdamage in the third metacarpal bone of Thoroughbred racehorses at
different stages of the training cycle. STUDY DESIGN: Cross-sectional. METHODS:
Bone blocks from the palmar aspect of the medial condyles of third metacarpal
bones from 46 racing Thoroughbred horses undergoing post-mortem were examined
with micro computed tomography (microCT) to detect calcified microcracks, and
light microscopy to quantify bulk stained microcracks. Racing and training
histories were obtained for comparison with microdamage data using regression
modelling. RESULTS: Subchondral bone microcracks were observed in all bones with
at least one method. Microdamage grade was greater in older horses, levelling-off
for horses 5 years and older (quadratic term P = 0.01), and with lower bone
material density in the parasagittal groove (P = 0.02). Microcrack density was
higher in older horses (P = 0.004), and with higher bone volume fraction (BV/TV)
in the parasagittal groove in horses in training (interaction effect, P = 0.01)
and lower in horses resting from training (P = 0.02). MAIN LIMITATIONS: Cross
sectional data only. Incomplete detection of microdamage due to the limits of
resolution of microCT and lack of three-dimensional imaging with microscopy.
Multicollinearity between variables that indicated career progression (e.g. age,
number of career starts, duration of training period) was detected. CONCLUSIONS:
Fatigue damage in the distal metacarpal subchondral bone is common in
Thoroughbred racehorses undergoing post-mortem and appears to accumulate
throughout a racing career. Reduced intensity or duration of training and racing
and/or increased duration of rest periods may limit microdamage accumulation.
Focal subchondral bone sclerosis indicates the presence of microdamage.
PMID- 29660154
TI - Local mepivacaine before castration of horses under medetomidine isoflurane
balanced anaesthesia is effective to reduce perioperative nociception and
cytokine release.
AB - BACKGROUND: In horses castration with primary intention healing is usually
performed under balanced inhalation anaesthesia. To optimise analgesia, the use
of local anaesthesia was tested. OBJECTIVES: To investigate the effect of local
mepivacaine before castration with first intention healing under balanced
medetomidine-isoflurane anaesthesia and flunixin meglumine, morphine analgesia on
perioperative cytokine levels and pain in horses. STUDY DESIGN: Prospective
blinded clinical study. METHODS: Twenty stallions were randomly assigned to
control or mepivacaine groups. Flunixin meglumine was administered before
sedation with medetomidine and followed by ketamine/diazepam intravenously
(i.v.). Anaesthesia was maintained with isoflurane and 3.5 MUg/kg per hour
medetomidine. Mepivacaine horses were given mepivacaine 2% (3.5 mL SC, 1 mL/100
kg intrafunicularly, 2 mL/100 kg intratesticularly) on each side. For recovery,
horses were given 2 MUg/kg medetomidine i.v. and 0.1 mg/kg morphine i.m. and oral
phenylbutazone (0.02 mg/kg q12h) for post-operative analgesia. One hour before
premedication and 4, 8 and 24 h post-incision, pain was scored with three
different pain scales (Equine Utrecht University Scale for Facial Assessment of
Pain, Horse Grimace Scale, Equine Utrecht University Scale for Composite Pain
Assessment) and plasma cytokines (interleukin-6 and tumour necrosis factor alpha)
were measured. Data were analysed using repeated measures ANOVA, linear
regression and unpaired t-test, significance level P<=0.05. RESULTS: Horses in
both groups showed a significant increase in pain scores and cytokines compared
to baseline. Post-operatively the mepivacaine group exhibited significantly lower
pain scores and cytokine levels. Mean heart rate during anaesthesia was
significantly lower in the mepivacaine group compared to control group (28.8 +/-
1 and 33.2 +/- 1.7 respectively). Otherwise there were no differences between the
groups. MAIN LIMITATIONS: The decision to provide additional analgesia was based
on the attending surgeon's assessment rather than a standardised rescue analgesia
plan based on pain scores. The study was only conducted for 24 h post-castration
and complications were not recorded. CONCLUSION: Local mepivacaine before
castration with primary wound closure improved anaesthesia quality, attenuated
post-operative increases in cytokines and reduced post-operative pain despite
balanced anaesthesia with multimodal analgesia in control horses.
PMID- 29660155
TI - Thread/paper- and paper-based microfluidic devices for glucose assays employing
artificial neural networks.
AB - This paper describes the fabrication of and data collection from two microfluidic
devices: a microfluidic thread/paper based analytical device (MUTPAD) and 3D
microfluidic paper-based analytical device (MUPAD). Flowing solutions of glucose
oxidase (GOx), horseradish peroxidase (HRP), and potassium iodide (KI), through
each device, on contact with glucose, generated a calibration curve for each
platform. The resultant yellow-brown color from the reaction indicates oxidation
of iodide to iodine. The devices were dried, scanned, and analyzed yielding a
correlation between yellow intensity and glucose concentration. A similar
procedure, using an unknown concentration of glucose in artificial urine, is
conducted and compared to the calibration curve to obtain the unknown value.
Studies to quantify glucose in artificial urine showed good correlation between
the theoretical and actual concentrations, as percent differences were <=13.0%.
An ANN was trained on the four-channel CMYK color data from 54 MUTPAD and 160
MUPAD analysis sites and Pearson correlation coefficients of R = 0.96491 and
0.9739, respectively, were obtained. The ANN was able to correctly classify 94.4%
(51 of 54 samples) and 91.2% (146 of 160 samples) of the MUTPAD and MUPAD
analysis sites, respectively. The development of this technology combined with
ANN should further facilitate the use of these platforms for colorimetric
analysis of other analytes.
PMID- 29660156
TI - Evaluation of symptomatic esophagogastric junction outflow obstruction.
AB - BACKGROUND AND AIM: Esophagogastric junction outflow obstruction (EGJOO) may be
due to anatomical abnormalities, but it is unclear how to evaluate them after
high-resolution manometry. We aimed to determine (i) clinical and high-resolution
manometry parameters differentiating anatomical EGJOO from functional EGJOO, (ii)
investigations chosen and yield for anatomical EGJOO, and (iii) clinical outcomes
of functional EGJOO. METHODS: Medical records of consecutive patients with
symptomatic EGJOO from February 2012 to December 2015 were reviewed. EGJOO was
defined as anatomical if investigations identified a macroscopic or microscopic
pathology accounting for EGJOO. RESULTS: Forty of 292 (13.7%) had EGJOO, of which
6/40 (15%) had anatomical EGJOO (two PPI-responsive esophageal eosinophilia, two
infiltrating cancers, and two external compressions). Anatomical EGJOO was more
likely to present with dysphagia (100% vs 29.4%, P = 0.001) and less likely with
regurgitation (0% vs 41.2%, P = 0.05). Anatomical EGJOO had higher frequencies of
premature contraction (50% vs 5.9%, P = 0.003) and lower mean values of distal
latency (5.6 +/- 1.3 vs 6.7 +/- 1.2, P = 0.004). Computed tomography scans
revealed 50% (3/6) of etiologies of anatomical EGJOO. Approximately, 73.5%
(25/34) of patients with functional EGJOO had spontaneous resolution of their
symptoms. One underwent pneumatic dilatation with symptom resolution while
remaining eight with persistent symptoms were attributed to gastroesophageal
reflux disease. CONCLUSION: Anatomical causes are present in 15% of EGJOO.
Evaluation is warranted especially in patients presenting with dysphagia.
Esophageal biopsies, barium swallows, computed tomography scans, and endoscopic
ultrasound are complementary in EGJOO evaluation. In patients with non
obstructive symptoms and no anatomical etiologies, monitoring for spontaneous
resolution is an option.
PMID- 29660157
TI - Two Types of Vigilance Are Essential to Effective Hazard Management: Maintaining
Both Together Is Difficult.
AB - Flexibility and adaptability are key capabilities for coping with persistent and
pervasive uncertainties. The systematic organization of these capabilities is
often called "adaptive management"; it is key to effective hazard management and
important in risk governance. Vigilance is a requirement for effective
adaptation. However, two distinct types of vigilance are necessary. Type 1
vigilance directly supports adaptive management. It is vigilance when you know
what you are looking for: warning signals, filling gaps in knowledge, making sure
that systems are working, etc. Vigilance of another sort, type 2 vigilance, is
needed to address the surprises and institutional failures that are not part of
orderly adaptive planning. Type 2 vigilance is vigilance when you don't know what
to look for: observing and reflecting on confusing signals, anomalies,
unacknowledged responsibilities, and surprises. The two types of vigilance
require different institutional capabilities, and hence may work against each
other in practice. Type 1 vigilance requires focus and a strong, knowledge-based
organizing framework. Type 2 vigilance, in contrast, requires defocusing and a
questioning approach to both observations and concepts. This dilemma, coupled
with demands for flexibility, is a severe challenge for organizations. There are
a variety of actions and arrangements at various levels of societal organization
that could maintain and enhance vigilance in managing hazards. Necessary,
however, is the societal and institutional recognition that vigilance of more
than one type is an essential part of hazard management and the commitment to
follow through. Maintaining vigilance requires effort and must be an ongoing
process.
PMID- 29660159
TI - Cannabis and anticancer drugs: societal usage and expected pharmacological
interactions - a review.
AB - Cannabis is a plant that has been used for centuries to relieve a wide range of
symptoms. Since the 1960s, interest in medical research into this plant has grown
steadily. Already very popular for recreational use, a growing number of
consumers not accustomed to using cannabis for psychoactive purposes have begun
to use it as an alternative or complement to mainstream pharmaceutical medicines.
The principal unsubstantiated or 'social' uses of cannabis are based mainly on
data that is at best controversial, but usually not scientifically proven. The
aim of this review was to identify the scientific basis and reasons that lead
patients with cancer to consume cannabis, and also to identify whether there is a
risk of interaction between cannabis and anticancer medicines through drug
transporters (P-glycoprotein and other ATP-binding cassette superfamily members)
Cytochromes P450 (3A, 1A, 2B, 2C, 2D families...) and glucuronyl transferases.
PMID- 29660158
TI - Cutaneous presentation preceding acute myeloid leukemia with CD4+/CD56+
expression misdiagnosed as a blastic plasmocytoid dendritic cell neoplasm: A case
report.
AB - Acute myeloid leukemia (AML) may initially present as cutaneous lesions
corresponding to blasts involving the skin as the first clinical manifestation
prior to blood and bone marrow (BM) infiltration. Such presentation is known as
myeloid leukemia cutis (LC). Blastic plasmocytoid dendritic cell neoplasm (BPDCN)
is an aggressive tumor derived from the precursors of plasmocytoid dendritic
cells with cutaneous and BM involvement and leukemic dissemination. Myeloid LC
and BPDCN may be difficult to distinguish as they share similar clinical and
histopathological features, in particular AML with monocytic differentiation.
Nevertheless, the correct diagnosis has to be made to determine adequate and
effective therapy. Here, we report the case of a 61-year-old woman who presented
with an AML with MLL rearrangement and CD4+/CD56+ expression presenting as LC and
that was misdiagnosed as BPDCN. We emphasize that careful and exhaustive analyses
should be performed to make the correct diagnosis.
PMID- 29660160
TI - Digital image analysis improves precision of PD-L1 scoring in cutaneous melanoma.
AB - AIMS: Immune checkpoint inhibitors have become a successful treatment in
metastatic melanoma. The high response rates in a subset of patients suggest that
a sensitive companion diagnostic test is required. The predictive value of
programmed death ligand 1 (PD-L1) staining in melanoma has been questioned due to
inconsistent correlation with clinical outcome. Whether this is due to predictive
irrelevance of PD-L1 expression or inaccurate assessment techniques remains
unclear. The aim of this study was to develop a standardised digital protocol for
the assessment of PD-L1 staining in melanoma and to compare the output data and
reproducibility to conventional assessment by expert pathologists. METHODS AND
RESULTS: In two cohorts with a total of 69 cutaneous melanomas, a highly
significant correlation was found between pathologist-based consensus reading and
automated PD-L1 analysis (r = 0.97, P < 0.0001). Digital scoring captured the
full diagnostic spectrum of PD-L1 expression at single cell resolution. An
average of 150 472 melanoma cells (median 38 668 cells; range = 733-1 078 965)
were scored per lesion. Machine learning was used to control for heterogeneity
introduced by PD-L1-positive inflammatory cells in the tumour microenvironment.
The PD-L1 image analysis protocol showed excellent reproducibility (r = 1.0, P <
0.0001) when carried out on independent workstations and reduced variability in
PD-L1 scoring of human observers. When melanomas were grouped by PD-L1 expression
status, we found a clear correlation of PD-L1 positivity with CD8-positive T cell
infiltration, but not with tumour stage, metastasis or driver mutation status.
CONCLUSION: Digital evaluation of PD-L1 reduces scoring variability and may
facilitate patient stratification in clinical practice.
PMID- 29660161
TI - The FGF-23/klotho axis and its relationship with phosphorus, calcium, vitamin D,
PTH, aldosterone, severity of disease, and outcome in hospitalised foals.
AB - BACKGROUND: Fibroblast growth factor-23 (FGF-23) and klotho are key regulators of
vitamin D and parathyroid hormone (PTH) synthesis as well as phosphorus and
calcium homeostasis; however, information on the FGF-23/klotho axis in healthy
and hospitalised foals is lacking. OBJECTIVES: The aims of this study were to
measure serum FGF-23 and klotho concentrations and determine their association
with serum phosphorus, total calcium (TCa), vitamin D metabolite [25(OH)D,
1,25(OH)2 D], PTH, and aldosterone concentrations, disease severity, and
mortality in hospitalised foals. STUDY DESIGN: Prospective, multicentre, cross
sectional study. METHODS: A total of 91 foals <=72 h old were classified as
hospitalised (n = 81; 58 septic; 23 sick non-septic [SNS]) and healthy (n = 10).
Blood samples were collected on admission. Hormone concentrations were determined
by immunoassays. RESULTS: Serum FGF-23, PTH, phosphorus, and aldosterone
concentrations were higher while klotho, 25(OH)D, 1,25(OH)2 D, and TCa
concentrations were lower in septic and SNS compared to healthy foals (P<0.05).
In hospitalised and septic foals, increased FGF-23 and aldosterone concentrations
were associated with high phosphorus and PTH but not with TCa and vitamin D
metabolite concentrations. Hospitalised foals with the highest FGF-23 and lowest
klotho concentrations were more likely to die (odds ratio (OR): 3.3; 95%
confidence interval (CI): 1.1-10.3 and OR: 3.1; CI: 1.1-8.0, respectively). MAIN
LIMITATIONS: Blood gas, ionised calcium, blood culture information not being
available for many foals, and use of the sepsis score to classify hospitalised
foals. CONCLUSIONS: Imbalances in the FGF-23/klotho axis may contribute to
mineral dyshomeostasis and disease progression in critically ill foals. Elevated
FGF-23 and reduced klotho, together with high phosphorus and PTH concentrations
suggests FGF-23 resistance. FGF-23 and klotho are good markers of disease
severity and likelihood of mortality in hospitalised foals. Aldosterone may
influence phosphorus and PTH dynamics in hospitalised foals. Routine measurement
of phosphorus concentrations in sick foals is recommended.
PMID- 29660162
TI - Determinants of amikacin first peak concentration in critically ill patients.
AB - Amikacin antimicrobial effect has been correlated with the ratio of the peak
concentration (Cmax ) to the minimum inhibitory concentration. A target Cmax >=
60-80 mg/L has been suggested. It has been shown that such target is not achieved
in a large proportion of critically ill patients in intensive care units. A
retrospective analysis was performed to examine the determinants of Cmax >= 80
mg/L on the first peak in 339 critically ill patients treated by amikacin. The
influence of available variables on Cmax target attainment was analyzed using a
classification and regression tree (CART) and logistic regression. Mean Cmax in
the 339 patients was 73.0 +/- 23.9 mg/L, with a target attainment rate (TAR, Cmax
>= 80 mg/L) of 37.5%. In CART analysis, the strongest predictor of amikacin
target peak attainment was dose per kilogram of lean body weight (dose/LBW). TAR
was 60.1% in patients with dose/LBW >= 37.8 vs. 19.9% in patients with lower
dose/LBW (OR = 6.0 (95% CI: 3.6-10.2)). Renal function was a secondary predictor
of Cmax . Logistic regression analysis identified dose per kilogram of ideal body
weight (OR = 1.13 (95% CI: 1.09-1.17)) and creatinine clearance (OR = 0.993 (95%
CI: 0.988-0.998)) as predictors of target peak achievement. Based on our results,
an amikacin dose >= 37.8 mg/kg of LBW should be used to optimize the attainment
of Cmax >= 80 mg/L after the first dose in critically ill patients. An even
higher dose may be necessary in patients with normal renal function.
PMID- 29660163
TI - Evolution from S3 to S4 States of the Oxygen-Evolving Complex in Photosystem II
Monitored by Quantum Mechanics/Molecular Mechanics (QM/MM) Dynamics.
AB - Water oxidation in the early steps of natural photosynthesis is fulfilled by
photosystem II, which is a protein complex embedded in the thylakoid membrane
inside chloroplasts. The water oxidation reaction occurs in the catalytic core of
photosystem II, which consists of a Mn4Ca metal cluster, at which, after the
accumulation of four oxidising equivalents through five steps (S0-S4) of the Kok
Joliot cycle, two water molecules are split into electrons, protons, and
molecular oxygen. In recent years, by combining experimental and theoretical
approaches, new insights have been achieved into the structural and electronic
properties of different steps of the catalytic cycle. Nevertheless, the exact
catalytic mechanism, especially concerning the final stages of the cycle, remains
elusive and greatly debated. Herein, by means of quantum mechanics/molecular
mechanics (QM/MM) molecular dynamics simulations, from the structural,
electronic, and magnetic points of view, the S3 state before and upon oxidation
has been characterised. In contrast with the S2 state, the oxidation of the S3
state is not followed by a spontaneous proton-coupled electron-transfer event.
Nevertheless, upon modelling the reduction of the tyrosine residue in photosystem
II (TyrZ ) and the protonation of Asp61, spontaneous proton transfer occurs,
leading to the deprotonation of an oxygen atom bound to Mn1; thus making it
available for O-O bond formation.
PMID- 29660165
TI - Usefulness of gastroduodenal biopsy in the differential diagnosis of systemic AH
amyloidosis from systemic AL amyloidosis.
AB - AIMS: The aim of this study is to examine the usefulness of gastroduodenal biopsy
for the detection of immunoglobulin (Ig) heavy-chain amyloid deposition. Ig heavy
chain amyloidosis (AH amyloidosis) is Ig-related amyloidosis classified together
with Ig light-chain amyloidosis (AL amyloidosis). Compared with AL amyloidosis,
patients with AH amyloidosis exhibit a better prognosis and they may not need an
aggressive treatment. Thus, the accurate diagnosis is essential for management of
Ig-related amyloidosis patients. For the definite diagnosis of AH amyloidosis,
biochemical analyses are usually needed. However, these analyses are not widely
available. Therefore, the characteristic deposition pattern of AH amyloidosis in
routine histopathological examination of biopsy specimens, such as
gastrointestinal biopsy, if present, may help in the selection of cases for
further biochemical analyses. METHODS AND RESULTS: Gastroduodenal biopsy
specimens obtained from three cases of biochemically confirmed AH amyloidosis and
21 cases of immunohistochemically confirmed AL amyloidosis were examined, and the
following distinctive histopathological features of AH amyloidosis were pointed
out: (i) AH amyloid deposition was detectable with Congo red staining in the
gastroduodenal biopsy specimens; and (ii) AH amyloid deposition was observed
characteristically on the capillary wall of duodenal villi (dotted line-like
deposition in the villi), and this pattern was not observed in AL amyloidosis.
CONCLUSION: These findings help to select cases for biochemical analyses for
definite diagnosis of AH amyloidosis, and may lead to the accumulation of cases
and improve our understanding of systemic AH amyloidosis.
PMID- 29660164
TI - High-grade PanIN presenting with localised stricture of the main pancreatic duct:
A clinicopathological and molecular study of 10 cases suggests a clue for the
early detection of pancreatic cancer.
AB - AIMS: This study aimed to identify the pathological features of high-grade PanIN
that presents with imaging-detectable abnormalities. METHODS AND RESULTS: Ten
cases of isolated, main-duct, high-grade PanIN as the primary clinical
presentation were identified. All patients presented with stenosis of the main
pancreatic duct, with two being associated with extensive upstream duct
dilatation (>5 mm in diameter). Pancreatic juice cytology suggested
adenocarcinoma in all seven cases examined. In resected specimens, high-grade
PanIN was present chiefly in the main pancreatic duct, with longitudinal
extension ranging between 3 and 40 mm in length (median = 18 mm). In four cases,
in which hypoechoic or hypovascular masses were observed on imaging,
radiopathology correlations suggested that they represented parenchymal atrophy
and subsequent fibrosis around affected ducts, but not invasive malignancy. On
immunohistochemistry, the loss of p16 expression was found in five (50%), p53
overexpression in two (20%) and loss of SMAD4 expression in none (0%). KRAS
mutations were detected in nine cases, with two dominant clones being found in
three by ultrasensitive droplet digital polymerase chain reaction, suggesting the
genetic heterogeneity of dysplastic cells composing individual lesions. Mutant
GNAS was also observed in one case. CONCLUSIONS: Isolated high-grade PanIN may
present with pancreatic duct stenosis. Therefore, intensive investigations
including pancreatic juice cytology will be required for patients with
unexplained pancreatic duct stenosis. The abnormal expression of p53 and SMAD4 is
infrequent, while GNAS may be mutated in premalignant lesions mainly affecting
the main pancreatic duct, similar to KRAS.
PMID- 29660166
TI - Lymphomatoid papulosis with folliculotropism, eccrinotropism and neurotropism.
AB - The histopathological characteristics of lymphomatoid papulosis (LyP) vary.
Currently, 6 subtypes have been reported, including a new subtype with
perifollicular infiltration and different degrees of folliculotropism of CD30+
atypical lymphocytes, known as follicular LyP. However, LyP pathologically
manifesting with folliculotropism, eccrinotropism and neurotropism has been
rarely reported. We present a case of LyP showing CD30+ atypical lymphocytes
around the hair follicle, eccrine gland and nerve fiber, with varying degrees of
infiltrates. The pathological characteristics of folliculotropism and
eccrinotropism are often associated with mycosis fungoides (MF). This case
suggests that differential diagnosis is necessary when atypical lymphocytes
infiltrate the follicle and eccrine gland. As folliculotropism and eccrinotropism
can occur in both MF and LyP, it may represent a conceptual intersection between
the 2 disease processes.
PMID- 29660167
TI - Microscopy with ultraviolet surface excitation (MUSE): A novel approach to real
time inexpensive slide-free dermatopathology.
AB - Traditional histology relies on processing and physically sectioning either
frozen or formalin-fixed paraffin-embedded (FFPE) tissue into thin slices
(typically 4-6 MUm) prior to staining and viewing on a standard wide-field
microscope. Microscopy using ultraviolet (UV) surface excitation (MUSE)
represents a novel alternative microscopy method that works with UV excitation
using oblique cis-illumination, which can generate high-quality images from the
cut surface of fresh or fixed tissue after brief staining, with no requirement
for fixation, embedding and histological sectioning of tissue specimens. We
examined its potential utility in dermatopathology. Concordance between MUSE
images and hematoxylin and eosin (H&E) slides was assessed by the scoring of MUSE
images on their suitability for identifying 10 selected epidermal and dermal
structures obtained from minimally fixed tissue, including stratum corneum,
stratum granulosum, stratum spinosum, stratum basale, nerve, vasculature,
collagen and elastin, sweat glands, adipose tissue and inflammatory cells, as
well as 4 cases of basal cell carcinoma and 1 case of pseudoxanthoma elasticum
deparaffinized out of histology blocks. Our results indicate that MUSE can
identify nearly all normal skin structures seen on routine H&E as well as some
histopathologic features, and appears promising as a fast, reliable and cost
effective diagnostic approach in dermatopathology.
PMID- 29660168
TI - Risk factors for equine glandular and squamous gastric disease in show jumping
Warmbloods.
AB - BACKGROUND: Prevalence of, and risk factors for, equine squamous gastric disease
(ESGD) are well established. Limited data exists on risk factors for equine
glandular gastric disease (EGGD). OBJECTIVES: To identify management factors
associated with EGGD in show jumping Warmbloods in training. A secondary
objective was to identify management factors associated with ESGD. STUDY DESIGN:
Cross-sectional. METHODS: Gastroscopies were performed in horses following a 12
16 h fast. Management questionnaires were collected for each horse. Risk factors
were determined using multivariable logistic regression modelling. RESULTS:
Eighty-three horses were included in the final analysis. Exercising >=6 days per
week increased the odds of EGGD grade >=1/4 (odds ratio [OR] = 3.5; 95%
confidence interval [CI] 1.2-10.7) compared to less frequent exercise. Currently
showing increased the risk of EGGD grade >=2/4 (OR = 10.2; 95% CI, 1.04-100),
while competing at the international level decreased the odds of EGGD grade >=2/4
(OR = 0.11; 95% CI, 0.01-0.97). Exercise intensity increased the odds of grade
>=1/4 ESGD (OR = 2.8; 95% CI, 1.03-7.8) and feeding beet pulp decreased odds (OR
= 0.22; 95% CI, 0.07-0.7). Exercise intensity (OR = 3.8; 95% CI, 1.1-12.8)
increased the likelihood of grade >=2/4 ESGD and feeding beet pulp decreased the
odds of grade >=2/4 ESGD (OR = 0.1; 0.02-0.64) respectively. MAIN LIMITATIONS:
This study used a convenience sample of horses within a relatively small
(approximately 200 km) geographic radius. The sample size was relatively small,
particularly within the international competition level group. CONCLUSIONS:
Training and feeding strategies and competition level appear to influence the
occurrence of EGGD and ESGD. Prospective studies evaluating the impact of
training frequency, duration, and intensity on gastric physiology may clarify the
role of exercise in gastric disease.
PMID- 29660169
TI - Longevity of anterior resin-bonded bridges: survival rates of two tooth
preparation designs.
AB - BACKGROUND: Significant developments have occurred in the design of resin-bonded
bridges (RBB) over the past two decades. They are commonly used as an alternative
treatment option for a single missing tooth. The longevity of these bridges needs
to be further investigated to evaluate long-term outcomes for this option to
remain relevant. METHODS: A cohort of patients who received anterior resin-bonded
bridges (ARBB) over two decades was studied retrospectively. Longevity of 206
ARBB was assessed using Kaplan-Meier probability estimates. The two modified
tooth preparation designs investigated were: (A) mesial and distal vertical
grooves only; and (B) one proximal groove adjacent to the pontic and two palatal
grooves. Age and gender of the patient cohort were also recorded. RESULTS:
Overall survival rate of ARBB was 98% at 5 years, 97.2% at 10 years, and 95.1%
from 12 years till 21 years. Survival curves showed minor differences when
compared for the two designs, age groups and gender of ARBB recipients.
Differences in the proportion of surviving bridges for design A (95.96%) and
design B (98.13%) were not statistically significant (Fisher's exact test).
CONCLUSIONS: Anterior RBB with described tooth preparation designs demonstrate a
high survival rate.
PMID- 29660170
TI - Wrestling with the complexity of evaluation for organizations at the boundary of
science, policy, and practice.
AB - Boundary organizations are situated between science, policy, and practice and
have a goal of supporting communication and collaboration among these sectors.
They have been promoted as a way to improve the effectiveness of conservation
efforts by building stronger relationships between scientists, policy makers,
industry, and practitioners (Cook et al. 2013). Although their promise has been
discussed in theory, the work of and expectations for boundary organizations are
less defined in practice. Biodiversity conservation is characterized by
complexity, uncertainty, dissent, and tight budgets, so boundary organizations
face the challenging task of demonstrating their value to diverse stakeholders.
We examined the challenges boundary organizations face when seeking to evaluate
their work and thus aimed to encourage more productive conversations about
evaluation of boundary organizations and their projects. Although no off-the
shelf solution is available for a given boundary organization, we identified 4
principles that will support effective evaluation for boundary organizations:
engage diverse stakeholders, support learning and reflection, assess contribution
to change, and align evaluation with assumption and values.
PMID- 29660172
TI - Predictive accuracy of the Southwest Thames Obstetric Research Collaborative
(STORK) chorionicity-specific twin growth charts for stillbirth: a validation
study.
AB - OBJECTIVE: Twin pregnancy is associated with 2-3 fold increased risk of
stillbirth compared to singletons. Despite the fact that the growth pattern has
been shown to differ in twins compared to singletons, it is controversial whether
twin-specific growth charts should be routinely used. A major goal of prenatal
ultrasound is to identify fetuses suffering from growth restriction at risk of
stillbirth. The main aim of this study was to compare the performance of
chorionicity-specific twin charts with singleton charts, both customized and non
customized, in the antenatal prediction of small-for-gestational age (SGA)
stillborn and liveborn fetuses. METHODS: This was a multicenter cohort study
analyzing data from the Southwest Thames Obstetric Research Collaborative (STORK)
multiple pregnancy cohort (2000-2009) and a second cohort of twin pregnancies at
St. George's University Hospital (SGH) (2011-2016). The former cohort was used to
compare the performance of the twin and non-customized (Poon) singleton charts.
The latter cohort was used to compare the performance of the twin, customized
(Gestation Related Optimal Weight [GROW]) and non-customized (Poon) singleton
charts. The primary outcome was the prediction of SGA cases that were stillborn
and liveborn in twin pregnancies. The estimated fetal weight (EFW) available from
the last scan (24 weeks' gestation and onwards) before delivery or demise was
used to classify the fetuses as SGA (<10th centile, <3rd centile) or appropriate
for gestational age. The proportions of SGA stillbirths and SGA livebirths
predicted were calculated using the three different charts. RESULTS: The STORK
cohort consisted of 1850 dichorionic (DC) and 300 monochorionic (MC) twin
pregnancies. The SGH cohort consisted of 579 DC and 180 MC twin pregnancies. The
stillbirth rate in the STORK and SGH cohorts were 1.1% and 1.3%, respectively. In
those liveborn in the STORK cohort, using a 10th centile cut-off to define SGA,
the non-customized singleton chart identified a significantly greater proportion
as SGA compared to the twin chart, regardless of chorionicity (p<0.001). However,
there was no significant difference between the twin and the non-customized
singleton charts in regards to in the proportion of stillbirth cases that were
SGA (p=0.479). In the SGH cohort, the non-customized singleton chart identified
8.5% of all liveborn fetuses as SGA (<10th centile) compared to 12.8% using the
customized singleton chart and 7.1% using the twin chart (p=0.005 and p<0.001,
respectively). However, there was no significant difference among the three
charts in the proportion of stillbirths identified as SGA, regardless of
chorionicity (p=0.999). Similar results were obtained when the third centile cut
off was used to define SGA. CONCLUSIONS: Compared to the STORK chorionicity
specific twin charts, the customized or non-customized singleton charts
identified more liveborn fetuses as SGA. However, the three charts identified a
similar proportion of stillbirth SGA cases. Our preliminary results suggest that
these twin charts could safely reduce unnecessary medical intervention in twin
pregnancies. Further research on the topic is needed before clinical
recommendations can be made.
PMID- 29660174
TI - Update of Diabetes UK Evidence-Based Nutritional Guidelines for 2018: a
celebration of two leading journals working together to improve nutritional
science and dietetic care for people living with diabetes.
PMID- 29660173
TI - Majority-Rules Effect and Allostery in Molecular Recognition of Calix[4]arene
Based Triple-Stranded Metallohelicates.
AB - The triple-stranded metallohelicates 1 a,/1 b-3 a/3 b possessing internal guest
binding cavities surrounded by calix[4]arene units were synthesized through
coordination-driven self-assembly. UV/Vis titration experiments verified that the
metallohelicates encapsulated N-methyl pyridinium cations bearing amino acid
groups to form host-guest complexes. The guest chirality was transferred to the
helicity of the helicates through the steric contact between the stereogenic
center of the amino acid group and the metal cores. The (M) helicity was induced
when guests the (R)-4--(R)-6 were accommodated within the cavities. The multiple
guest complexation within the self-assembled helicates 2 a and 3 a displayed
large positive cooperative effects, indicating that the first guest complexation
preorganizes the rest of the cavities to facilitate a subsequent guest binding.
This cooperativity results in the majority-rules effect in the chiral guest
binding for 2 a and 3 a.
PMID- 29660175
TI - Redox-Switchable Calix[6]arene-Based Isomeric Rotaxanes.
AB - Operating molecular machines are based on switchable systems whose components can
be set in motion in a controllable fashion. The presence of nonsymmetrical
elements is a mandatory requirement to obtain and demonstrate the
unidirectionality of motion. Calixarene-based macrocycles have proved to be very
efficient hosts in the design of oriented rotaxanes and of pseudorotaxanes with
strict control over the direction of complexation. A series of two-station
rotaxanes based on bipyridinium-ammonium axles was synthesized and characterized.
A recently reported supramolecularly assisted strategy for the synthesis of
different orientational isomers was exploited, and the ammonium unit was
identified as a proper secondary station for the calixarene. Displacement of the
macrocycle was triggered by electrochemical reduction of the bipyridinium primary
station, and it was shown that the shuttling is influenced both by the length of
the chain of the axle component and by the position of the secondary station with
respect to the calixarene rims.
PMID- 29660176
TI - The role of radiotherapy as salvage and/or consolidation treatment in
relapsed/refractory and high-risk diffuse large B-cell lymphoma.
AB - OBJECTIVE: Many salvage therapies have been proposed for relapsed/refractory
(R/R) diffuse large B-cell lymphomas or for consolidation in the case of
suboptimal response. Radiotherapy (RT) is one modality of salvage therapy, but
its place is currently not well defined. METHOD: This study reports a
retrospective review of patients receiving unplanned radiotherapy for R/R diffuse
large B-cell lymphoma (DLBCL) or primary mediastinal B-cell lymphoma (PMBCL), or
as consolidation therapy after second-line chemotherapy, treated in our hospital.
RESULTS: Fifty-one patients with a median age of 53.5 years [19-89] were
selected. The histologic type was DLBCL in 35 cases (68%), PMBCL in 8 cases
(16%), and secondary transformed NHL in 8 cases (16%). Median aaIPI was 1 [0-4],
and 17 patients (33%) had a high tumor burden (bulky disease). Sixteen patients
(31%) were irradiated for a response considered to be insufficient, 18 patients
(36%) were refractory, and 17 patients (33%) had relapsed. Patients were
irradiated with a median dose of 40 Gy [15-44], 29 (57%) by a conformal 3D
technique and 22 (43%) by tomotherapy. With a median follow-up of 36 months [1.0
127.8] after irradiation, 5-year progression-free survival (PFS) and overall
survival (OS) were 62% and 72%, respectively. In multivariate analysis, adverse
factors associated with PFS and OS in our cohort were age >70 years (HR = 5.06, P
= .02) and post-RT relapse (HR = 12.24, P = .002), whereas favorable factors were
number of lines of chemotherapy <3 (HR = 0.02, P = .03) and bulky disease (HR =
0.02, P = .009). CONCLUSION: Due to its low toxicity and ease of use,
radiotherapy should therefore remain an available option in patients with R/R
DLBCL or as consolidation therapy in patients with high-risk disease, mostly in
patients with chemo-sensitive disease or bulky disease.
PMID- 29660178
TI - Need for empirical evidence to support use of social license in conservation:
reply to Garnett et al.
PMID- 29660179
TI - Social license as an emergent property of political interactions: response to
Kendal and Ford 2017.
PMID- 29660177
TI - Severe adverse events by tyrosine kinase inhibitors decrease survival rates in
patients with newly diagnosed chronic-phase chronic myeloid leukemia.
AB - OBJECTIVE: This multicenter cooperative study aimed to analyze the adverse events
(AEs) associated with tyrosine kinase inhibitors (TKIs) used as initial treatment
for chronic-phase chronic myeloid leukemia (CML-CP) and their impact on outcome.
METHODS: We retrospectively evaluated 450 patients with CML-CP who received TKIs
between 2004 and 2014. RESULTS: The 5-year overall survival (OS) and event-free
survival (EFS) rates were 95.1% and 89.0%, respectively. Patients with
comorbidities (46.4%) and aged >=60 years (50.4%) at diagnosis had significantly
inferior OS to those without comorbidities and aged <60. Patients achieved higher
rates of major molecular response (MMR) at 6 and 12 months after initial
treatment with dasatinib or nilotinib compared to imatinib, but final MMR rates
were almost the same. Sixty-six percent of patients required treatment
modifications from first-line TKI therapy; the main reasons were AEs (48.4%) and
failure (18%). Grade III-IV AEs in first-line TKI therapy were significantly
correlated to inferior OS/EFS compared to grade 0-II AEs. CONCLUSION: Although
long-term outcomes were similar in CML-CP patients treated with each TKI
regardless of first-line TKI selection, severe AEs in first-line TKI therapy
decreased their survival rates. Early change in TKIs is recommended, when faced
with severe AEs of specific TKIs.
PMID- 29660180
TI - A chromatin loop represses WUSCHEL expression in Arabidopsis.
AB - WUSCHEL (WUS) is critical for plant meristem maintenance and determinacy in
Arabidopsis, and the regulation of its spatiotemporal expression patterns is
complex. We previously found that AGAMOUS (AG), a key MADS-domain transcription
factor in floral organ identity and floral meristem determinacy, can directly
suppress WUS expression through the recruitment of the Polycomb group (PcG)
protein TERMINAL FLOWER 2 (TFL2, also known as LIKE HETEROCHROMATIN PROTEIN 1,
LHP1) at the WUS locus; however, the mechanism by which WUS is repressed remains
unclear. Here, using chromosome conformation capture (3C) and chromatin
immunoprecipitation 3C, we found that two specific regions flanking the WUS gene
body bound by AG and TFL2 form a chromatin loop that is directly promoted by AG
during flower development in a manner independent of the physical distance and
sequence content of the intervening region. Moreover, AG physically interacts
with TFL2, and TFL2 binding to the chromatin loop is dependent on AG. Transgenic
and CRISPR/Cas9-edited lines showed that the WUS chromatin loop represses gene
expression by blocking the recruitment of RNA polymerase II at the locus. The
findings uncover the WUS chromatin loop as another regulatory mechanism
controlling WUS expression, and also shed light on the factors required for
chromatin conformation change and their recruitment.
PMID- 29660181
TI - Descriptive vs mechanistic scientific approach to study wound healing and its
inhibition: Is there a value of translational research involving human subjects?
AB - The clinical field of wound healing is challenged by numerous hurdles. Not only
are wound-healing disorders complex and multifactorial, but the corresponding
patient population is diverse, often elderly and burdened by multiple
comorbidities such as diabetes and cardiovascular disease. The care of such
patients requires a dedicated, multidisciplinary team of physicians, surgeons,
nurses and scientists. In spite of the critical clinical need, it has been over
15 years since a treatment received approval for efficacy by the FDA in the
United States. Among the reasons contributing to this lack of effective new
treatment modalities is poor understanding of mechanisms that inhibit healing in
patients. Additionally, preclinical models do not fully reflect the disease
complexity of the human condition, which brings us to a paradox: if we are to use
a "mechanistic" approach that favours animal models, we can dissect specific
mechanisms using advanced genetic, molecular and cellular technologies, with the
caveat that it may not be directly applicable to patients. Traditionally,
scientific review panels, for either grant funding or manuscript publication
purposes, favour such "mechanistic" approaches whereby human tissue analyses,
deemed "descriptive" science, are characterized as a "fishing expedition" and are
considered "fatally flawed." However, more emerging evidence supports the notion
that the use of human samples provides significant new knowledge regarding the
molecular and cellular mechanisms that control wound healing and contribute to
inhibition of the process in patients. Here, we discuss the advances, benefits
and challenges of translational research in wound healing focusing on human
subject research.
PMID- 29660182
TI - Toward a general mixed quantum/classical method for the calculation of the
vibronic ECD of a flexible dye molecule with different stable conformers:
Revisiting the case of 2,2,2-trifluoro-anthrylethanol.
AB - We extend a recently proposed mixed quantum/classical method for computing the
vibronic electronic circular dichroism (ECD) spectrum of molecules with different
conformers, to cases where more than one hindered rotation is present. The method
generalizes the standard procedure, based on the simple Boltzmann average of the
vibronic spectra of the stable conformers, and includes the contribution of
structures that sample all the accessible conformational space. It is applied to
the simulation of the ECD spectrum of (S)-2,2,2-trifluoroanthrylethanol, a
molecule with easily interconvertible conformers, whose spectrum exhibits a
pattern of alternating positive and negative vibronic peaks. Results are in very
good agreement with experiment and show that spectra averaged over all the
sampled conformational space can deviate significantly from the simple average of
the contributions of the stable conformers. The present mixed quantum/classical
method is able to capture the effect of the nonlinear dependence of the rotatory
strength on the molecular structure and of the anharmonic couplings among the
modes responsible for molecular flexibility. Despite its computational cost, the
procedure is still affordable and promises to be useful in all cases where the
ECD shape arises from a subtle balance between vibronic effects and
conformational variety.
PMID- 29660183
TI - Interaction of maternal environment and allelic differences in seed vigour genes
determines seed performance in Brassica oleracea.
AB - Seed vigour is a key trait essential for the production of sustainable and
profitable crops. The genetic basis of variation in seed vigour has recently been
determined in Brassica oleracea, but the relative importance of the interaction
with parental environment is unknown. We produced seeds under a range of maternal
environments, including global warming scenarios. Lines were compared that had
the same genetic background, but different alleles (for high and low vigour) at
the quantitative trait loci responsible for determining seed vigour by altering
abscisic acid (ABA) content and sensitivity. We found a consistent effect of
beneficial alleles across production environments; however, environmental stress
during production also had a large impact that enhanced the genetic difference in
seed performance, measured as germination speed, resistance to controlled
deterioration and induction of secondary dormancy. Environmental interaction with
allelic differences in key genes that determine ABA content and sensitivity
develops a continuity in performance from rapid germination through to failure to
complete germination, and increasing depths of seed dormancy. The genetic
environmental interaction revealed provides a robust mechanism of bet-hedging to
minimize environmental risk during subsequent germination, and this could have
facilitated the rapid change in seed behaviour (reduced dormancy and rapid
germination) observed during crop domestication.
PMID- 29660184
TI - Perception of physical attractiveness when consuming and not consuming alcohol: a
meta-analysis.
AB - BACKGROUND AND AIMS: Elucidating why people drink and why drinking can lead to
negative psychosocial consequences remains a crucial task for alcohol
researchers. Because drinking occurs typically in social settings, broader
investigation of the associations between alcohol and social experience is needed
to advance understanding of both the rewarding and hazardous effects of alcohol
use. This review aimed to (a) estimate alcohol's relation to the perception of
others' physical attractiveness and (b) suggest theoretical and methodological
considerations that may advance the study of this topic. METHODS: Systematic
review of Scopus and PsycInfo databases was conducted to identify experimental
and quasi-experimental studies, with either between- or within-subjects designs,
that assessed attractiveness ratings provided by individuals who had and had not
consumed alcohol (k = 16 studies, n = 1811). A meta-analysis was conducted to
evaluate alcohol's aggregate association with physical attractiveness
perceptions. Separate a priori secondary analyses examined alcohol's associations
with perception of opposite-sex (k = 12 studies) and same-sex (k = 7 studies)
attractiveness. RESULTS: The primary analysis indicated that alcohol was related
significantly to enhanced attractiveness perceptions [d = 0.19, 95% confidence
interval (CI) = 0.05-0.32, P = 0.01; I2 = 5.28, 95% CI = 0.00-39.32]. Analysis
of alcohol's association with perception of opposite-sex attractiveness similarly
yielded a small, significant positive association (d = 0.30, 95% CI = 0.16-0.44,
P < 0.01; I2 = 17.49, 95% CI = 0.00-57.75). Alcohol's relation to perception of
same-sex attractiveness was not significant (d = 0.04, 95% CI = -0.18 to 0.26, P
= 0.71; I2 = 54.08, 95% CI = 0.00-81.66). CONCLUSIONS: Experimental and quasi
experimental studies suggest that consuming alcohol may have a small effect of
increasing perceived attractiveness of people of the opposite sex.
PMID- 29660185
TI - A proposed mechanism for central centrifugal cicatricial alopecia.
AB - Central centrifugal cicatricial alopecia (CCCA) has an unknown mechanism.
Analyzing other scarring diseases (lichen planopilaris, fibrotic kidney disease
and scleroderma) may help to clarify the mechanism of scarring in CCCA. These
diseases were chosen for comparison due to either their location of disease (skin
or scalp specifically), or prominence in patients of African descent. Genetics,
possible triggers, an autoimmune lymphocytic response, and epithelial to
mesenchymal transition are potentially involved. Possible common pathways in
scarring diseases and a better understanding of the CCCA mechanism will lead to
further research into the pathogenesis and potential treatments of CCCA.
PMID- 29660186
TI - Influence of prenatal diagnosis of abnormally invasive placenta on maternal
outcome: systematic review and meta-analysis.
AB - OBJECTIVE: To ascertain the impact of prenatal diagnosis on surgical outcome of
women affected by abnormally invasive placenta (AIP). METHODS: MEDLINE, EMBASE,
CINAHL and Cochrane databases were searched. Observed outcomes included:
gestational age at birth (weeks), amount of blood loss (L), units of red blood
cells (RBC), platelets (PLT) and fresh frozen plasma (FFP) transfused, length of
stay in hospital and the intensive care unit (ICU) (days), urinary tract injury
and infection. Only studies reporting the occurrence of any of the explored
outcomes in women with a prenatal compared with an intrapartum diagnosis of AIP
were considered eligible for inclusion. Random-effect head-to-head meta-analyses
were used to analyze the data. RESULTS: Thirteen studies were included. Women
with a prenatal diagnosis of AIP had less blood loss during surgery (mean
difference (MD), -0.87; 95% CI, -1.5 to -0.23), had fewer units of RBC (MD,
1.45; 95% CI, -2.9 to -0.04) and FFP (MD, -1.73; 95% CI, -3.3 to -0.2)
transfused, and delivered earlier (MD, 1.33 weeks; 95% CI, -2.23 to -0.43)
compared with those with an intrapartum diagnosis. The risk of admission to an
ICU and length of in-hospital and in-ICU stay were not different between the
groups. Prenatal diagnosis of AIP was associated with a higher risk of urinary
tract injury (odds ratio, 2.5; 95% CI, 1.3-4.6), mainly due to the higher
prevalence of placenta percreta in the group with AIP diagnosed prenatally.
CONCLUSION: Prenatal diagnosis of AIP is associated with reduced hemorrhagic
morbidity compared with cases in which such anomalies are detected at delivery.
Copyright (c) 2018 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 29660187
TI - Increased flexibility in the use of exogenous lipoic acid by Staphylococcus
aureus.
AB - Lipoic acid is a cofactor required for intermediary metabolism that is either
synthesized de novo or acquired from environmental sources. The bacterial
pathogen Staphylococcus aureus encodes enzymes required for de novo biosynthesis,
but also encodes two ligases, LplA1 and LplA2, that are sufficient for lipoic
acid salvage during infection. S. aureus also encodes two H proteins, GcvH of the
glycine cleavage system and the homologous GcvH-L encoded in an operon with
LplA2. GcvH is a recognized conduit for lipoyl transfer to alpha-ketoacid
dehydrogenase E2 subunits, while the function of GcvH-L remains unclear. The
potential to produce two ligases and two H proteins is an unusual characteristic
of S. aureus that is unlike most other Gram positive Firmicutes and might allude
to an expanded pathway of lipoic acid acquisition in this microorganism. Here, we
demonstrate that LplA1 and LplA2 facilitate lipoic acid salvage by differentially
targeting lipoyl domain-containing proteins; LplA1 targets H proteins and LplA2
targets alpha-ketoacid dehydrogenase E2 subunits. Furthermore, GcvH and GcvH-L
both facilitate lipoyl relay to E2 subunits. Altogether, these studies identify
an expanded mode of lipoic acid salvage used by S. aureus and more broadly
underscore the importance of bacterial adaptations when faced with nutritional
limitation.
PMID- 29660188
TI - A critical role for Arabidopsis MILDEW RESISTANCE LOCUS O2 in systemic acquired
resistance.
AB - Members of the MILDEW RESISTANCE LOCUS O (MLO) gene family confer susceptibility
to powdery mildews in different plant species, and their existence therefore
seems to be disadvantageous for the plant. We recognized that expression of the
Arabidopsis MLO2 gene is induced after inoculation with the bacterial pathogen
Pseudomonas syringae, promoted by salicylic acid (SA) signaling, and systemically
enhanced in the foliage of plants exhibiting systemic acquired resistance (SAR).
Importantly, distinct mlo2 mutant lines were unable to systemically increase
resistance to bacterial infection after inoculation with P. syringae, indicating
that the function of MLO2 is necessary for biologically induced SAR in
Arabidopsis. Our data also suggest that the close homolog MLO6 has a supportive
but less critical role in SAR. In contrast to SAR, basal resistance to bacterial
infection was not affected in mlo2. Remarkably, SAR-defective mlo2 mutants were
still competent in systemically increasing the levels of the SAR-activating
metabolites pipecolic acid (Pip) and SA after inoculation, and to enhance SAR
related gene expression in distal plant parts. Furthermore, although MLO2 was not
required for SA- or Pip-inducible defense gene expression, it was essential for
the proper induction of disease resistance by both SAR signals. We conclude that
MLO2 acts as a critical downstream component in the execution of SAR to bacterial
infection, being required for the translation of elevated defense responses into
disease resistance. Moreover, our data suggest a function for MLO2 in the
activation of plant defense priming during challenge by P. syringae.
PMID- 29660189
TI - Identification and characterization of doublesex in Bemisia tabaci.
AB - Bemisia tabaci (Gennadius) is an important agricultural pest with a worldwide
distribution. Although B. tabaci is known to have a unique haplodiploid
reproductive strategy, its sex determination mechanism is largely unknown. In
this study, we cloned the full-length sequence of B. tabaci doublesex (Btdsx) and
found that Btdsx has 28 splicing isoforms. We found two new splicing isoforms of
transformer 2 (Bttra2), which encode two proteins. We also confirmed that both
genes lack sex-specific splicing isoforms. Real-time quantitative PCR analysis
showed that the expression of Btdsx and Bttra2 is higher in males than in
females. RNA interference of Bttra2 affected the expression of Btdsx and vice
versa. Furthermore, silencing of Bttra2 or Btdsx caused malformation of the male
genitalia (anal style). It did not affect the female phenotype, but reduced the
expression of vitellogenin gene in females. These results indicate that Btdsx is
associated with sex determination in B. tabaci and that Btdsx and Bttra2 affect
each other and are important for male genitalia formation. In addition to
increasing our understanding of the roles of dsx and tra2 in the sex
determination of B. tabaci, the results will be useful for studies of sex
determination in other haplodiploid species.
PMID- 29660190
TI - Safety and immunogenicity of HBAI20 Hepatitis B vaccine in healthy naive and
nonresponding adults.
AB - Approximately 5% of the healthy adult population respond inadequately to the
commercial recombinant hepatitis B vaccines. As the recombinant vaccines all have
an aluminium-based adjuvant, we tried to enhance the immune response by adding a
cytokine-based adjuvant. This new adjuvant AI20, containing 20 MUg recombinant
human IL-2 attached to 20 MUg aluminium hydroxide, was added to HBVaxPro(c)-10
MUg (HBAI20). In a double-blind randomized controlled trial (RCT), 24 naive
subjects were randomized to receive either HBAI20 or commercial HBVaxPro(c)-10
MUg vaccine. In an open-label study, 10 nonresponders received HBAI20 vaccine.
All participants received 3 vaccinations (0, 1 and 6 months). In the RCT, the
occurrence of any adverse events or severe events was similar between the trial
arms. At month 7, all naive participants were seroprotected; moreover, 92% in the
HBAI20 group had protective antibodies 10 days after the second vaccination vs
58% in the HBVaxPro(c)-10 MUg group, P = .16. In the open-label study, no serious
adverse events were noted. The HBAI20 vaccine was able to elicit protective anti
HBs titres in 90% of nonresponders, 1 month after the third vaccination.
According to these results, the new HBAI20 vaccine seems safe, well-tolerated and
may promote more rapid protection against hepatitis B infection.
PMID- 29660191
TI - In vivo human sock-mapping validation of a simple model that explains unipolar
electrogram morphology in relation to conduction-repolarization dynamics.
AB - INTRODUCTION: The unipolar electrogram (UEG) provides local measures of cardiac
activation and repolarization and is an important translational link between
patient and laboratory. A simple theoretical model of the UEG was previously
proposed and tested in silico. METHOD AND RESULTS: The aim of this study was to
use epicardial sock-mapping data to validate the simple model's predictions of
unipolar electrogram morphology in the in vivo human heart. The simple model
conceptualizes the UEG as the difference between a local cardiac action potential
and a position-independent component representing remote activity, which is
defined as the average of all action potentials. UEGs were recorded in 18
patients using a multielectrode sock containing 240 electrodes and activation
(AT) and repolarization time (RT) were measured using standard definitions. For
each cardiac site, a simulated local action potential was generated by adjusting
a stylized action potential to fit AT and RT measured in vivo. The correlation
coefficient (cc) measuring the morphological similarity between 13,637 recorded
and simulated UEGs was cc = 0.89 (0.72-0.95), median (Q1 -Q3 ), for the entire
UEG, cc = 0.90 (0.76-0.95) for QRS complexes, and cc = 0.83 (0.58-0.92) for T
waves. QRS and T-wave areas from recorded and simulated UEGs showed cc> 0.89 and
cc> 0.84, respectively, indicating good agreement between voltage isochrones
maps. Simulated UEGs accurately reproduced the interaction between AT and QRS
morphology and between RT and T-wave morphology observed in vivo. CONCLUSIONS:
Human in vivo whole heart data support the validity of the simple model, which
provides a framework for improving the understanding of the UEG and its clinical
utility.
PMID- 29660192
TI - Characterization of degradation products of macitentan under various stress
conditions using liquid chromatography/mass spectrometry.
AB - RATIONALE: Stress testing of a drug candidate is an important step in the drug
discovery and development process. The presence of degradation products in a drug
affects the quality as well as the safety and efficacy of drug formulation.
Hence, it is essential to develop an efficient analytical method which could be
useful for the separation, identification and characterization of all possible
degradation products (DPs) of a drug. Macitentan (MT) is an endothelin receptor
antagonist (ERA) drug used to treat high blood pressure in the lungs.
Comprehensive stress testing of MT was carried out as per ICH guidelines to
understand the degradation profile of the drug. METHODS: MT was subjected to
various stress conditions such as acidic, basic, neutral hydrolysis, oxidation,
photolysis and thermal conditions; and the resulting degradation products were
investigated using liquid chromatography/diode-array detection/electrospray
ionization high-resolution mass spectrometry (LC/DAD/ESI-HRMS) and tandem mass
spectrometry (MS/MS) techniques. An efficient and simple ultra-high-performance
liquid chromatography (UHPLC) method has been developed using an Accucore C18
column (4.6 * 150 mm, 2.6 MUm) using a gradient elution of 5 mM ammonium formate
and acetonitrile as mobile phases. RESULTS: MT was found to degrade under acid
and base hydrolysis stress conditions; whereas it was stable under oxidation,
neutral hydrolysis, thermal and photolytic conditions. MT formed nine DPs (DP1 to
DP9) and one DP (DP10) under acidic and basic hydrolytic conditions,
respectively. All the degradation products (DP1 to DP10) were identified and
characterized by LC/MS/MS in positive ion mode with accurate mass measurements.
CONCLUSIONS: MT was found to be labile under hydrolytic conditions. The
structures of the DPs were characterized by appropriate mechanisms. The proposed
method can be effectively used for the characterization of MT and its DPs.
PMID- 29660193
TI - Screening of semifluorinated n-alkanes by gas chromatography coupled to
dielectric barrier discharge ionization mass spectrometry.
AB - RATIONALE: The potential of an atmospheric pressure ionization source based on a
dielectric barrier discharge in helium for the hyphenation of gas chromatography
and mass spectrometry (GC/DBDI-MS) has been demonstrated only recently and for a
limited range of compounds. Due to its 'soft' ionization properties and the
possibility to choose from a variety of atmospheric pressure ionization MS
instruments, GC/DBDI-MS has the potential to be an interesting alternative to
'classic' GC/MS techniques. METHODS: The hyphenation of GC with DBDI-MS at
atmospheric pressure is used for the determination of semifluorinated n-alkanes
in ski wax samples. RESULTS: Different to perfluorinated n-alkanes, which are
typically detected as [M - F + O]- and [M - F]- , semifluorinated n-alkanes can
be detected both in positive mode as [M - 3H + nO]+ and [M - H + nO]+ (n = 0, 1,
2, and 3) ions, as well as in negative mode as a fragment ion representing the
fluorinated part of the respective semifluorinated n-alkane. The method allowed
the sensitive detection of semifluorinated n-alkanes with achievable limits of
detection (LODs) in the single digit pg range injected on column. To examine the
applicability of the GC/DBDI-MS method, semifluorinated n-alkanes were determined
in fluorinated ski waxes. Results were confirmed by complimentary GC/electron
ionization MS measurements. CONCLUSIONS: The unique SFA ionization patterns serve
for complementary unambiguous identification of semifluorinated n-alkane species
in positive mode and screening of contained n-alkanes fluorinated chain lengths
in negative mode.
PMID- 29660194
TI - BIII 5-Arylsubporphyrins and BIII Subporphine.
AB - Boron(III) 5-arylsubporphyrins and BIII subporphine are promising precursors for
functional BIII subporphyrins bearing asymmetric meso-substituents. Herein, we
report the first synthesis of these molecules. Among many aryl acid chlorides
examined, 4-nitrobenzoyl chloride gave BIII 5-(4-nitrophenyl)subporphyrin in 10 %
yield in condensation with triethylamine-tri-N-tripyrromethene-borane. The nitro
group of this BIII subporphyrin was reduced with NaBH4 to prepare BIII 5
(aminophenyl)subporphyrin, which was converted into BIII 5-phenylsubporphyrin via
the corresponding diazonium salt. BIII subporphine was synthesized by
condensation of triethyl orthoformate with triethylamine-tri-N-tripyrromethene
borane. Progressive removal of meso-phenyl substituents leads to continuous
changes in the optical properties, whereas the BIII subporphine deviates from
this trend in some properties.
PMID- 29660195
TI - Enantiopure Phospha[1]ferrocenophanes: Textbook Examples of Through-Space Nuclear
Spin-Spin Coupling.
AB - Three enantiopure phospha[1]ferrocenophanes (2R ) equipped with either a phenyl,
an isopropyl, or a tert-butyl group at the bridging phosphorus atom were
synthesized by a salt-metathesis approach in isolated yields between 52 and 63 %.
The chirality in these strained sandwich compounds stems from the planar-chiral
ferrocene moiety, which is symmetrically equipped with two iPr groups adjacent to
phosphorus. Surprisingly, all three phospha[1]ferrocenophanes show an uncommon
through-space nuclear 1 H-31 P coupling. As a result of the embedded symmetry,
these new compounds are ideal examples to differentiate between through-space and
through-bond coupling mechanisms in NMR spectroscopy.
PMID- 29660196
TI - Genome-wide Hi-C analysis reveals extensive hierarchical chromatin interactions
in rice.
AB - The non-random spatial packing of chromosomes in the nucleus plays a critical
role in orchestrating gene expression and genome function. Here, we present a Hi
C analysis of the chromatin interaction patterns in rice (Oryza sativa L.) at
hierarchical architectural levels. We confirm that rice chromosomes occupy their
own territories with certain preferential inter-chromosomal associations.
Moderate compartment delimitation and extensive TADs (Topologically Associated
Domains) were determined to be associated with heterogeneous genomic compositions
and epigenetic marks in the rice genome. We found subtle features including
chromatin loops, gene loops, and off-/near-diagonal intensive interaction
regions. Gene chromatin loops associated with H3K27me3 could be positively
involved in gene expression. In addition to insulated enhancing effects for
neighbor gene expression, the identified rice gene loops could bi-directionally
(+/-) affect the expression of looped genes themselves. Finally, web-interleaved
off-diagonal IHIs/KEEs (Interactive Heterochromatic Islands or KNOT ENGAGED
ELEMENTs) could trap transposable elements (TEs) via the enrichment of silencing
epigenetic marks. In parallel, the near-diagonal FIREs (Frequently Interacting
Regions) could positively affect the expression of involved genes. Our results
suggest that the chromatin packing pattern in rice is generally similar to that
in Arabidopsis thaliana but with clear differences at specific structural levels.
We conclude that genomic composition, epigenetic modification, and
transcriptional activity could act in combination to shape global and local
chromatin packing in rice. Our results confirm recent observations in rice and A.
thaliana but also provide additional insights into the patterns and features of
chromatin organization in higher plants.
PMID- 29660197
TI - The novel truncated isoform of human manganese superoxide dismutase has a
differential role in promoting metastasis of lung cancer cells.
AB - Growing evidences have demonstrated alternative splicing makes great contribution
to tumor metastasis since multiple protein isoforms from a single gene that often
display different functions in the cell. Human manganese superoxide dismutase
(hMnSOD) was revealed dysregulation in progress of tumor metastasis, while the
effect of hMnSOD isoforms on metastasis remained unclear. In this study, we
showed a novel truncated hMnSOD isoform hMnSOD183, which lacked 39 amino acids
compared with hMnSOD222. We expressed two hMnSOD protein isoforms in Escherichia
coli, respectively, and found that the MnSOD activity of truncated hMnSOD isoform
was especially weaker. In 95-D cells, mRNA levels of hMnSOD variants and MnSOD
activity were significantly increased than that in A549 cells. Furthermore, the
hMnSODc exhibited lower mRNA level than hMnSODa/b in A549 and 95-D cells.
Additionally, the effects of two isoforms were assessed about cell invasion,
overexpression of hMnSOD222 but not hMnSOD183 promoted 95-D cells metastasis, and
hMnSOD knockdown significantly reduced cells invasive behavior. Overexpression of
hMnSOD isoforms also caused changes of metastasis associated proteins, such as up
regulation of MMPs, VEGF and Vimentin and down-regulation of E-cadherin.
Moreover, overexpression of hMnSOD183 had weaker effect on metastasis related
signaling proteins, such as Akt, JNK and IKKbeta, compared to hMnSOD222. In
conclusion, our study identified that hMnSOD isoforms induced lung cancer cells
invasion through Akt-JNK-IKKbeta signaling pathways and the hMnSOD183 isoform
played a weaker role than hMnSOD222. Characterization of hMnSOD isoforms is
useful for future investigation on metastasis of lung cancer cells.
PMID- 29660198
TI - Food advertising on Australian television: Frequency, duration and monthly
pattern of advertising from a commercial network (four channels) for the entire
2016.
AB - AIM: To estimate the frequency, duration and monthly pattern of discretionary
food advertising on Australian free-to-air television. METHODS: We logged 30 000
h of television collected in Adelaide during 2016 from one network that has four
channels. The Australian Guide to Healthy Eating was used to identify
discretionary foods. Data were examined according to all times, to children's
peak viewing times (PVTs) and to when C-(children's) rated programmes may be
broadcast. RESULTS: Of the >800 000 advertisements logged during 2016, 11% were
for foods (n = 97 837). The most frequently advertised products were: snack foods
(e.g. crisps), crumbed/battered meats, fast foods/take away meals and sweetened
beverages. The frequency and duration of discretionary food advertising was 1.7
times/h and 0.5 min/h respectively at all times. During children's PVTs, the
frequency and duration of discretionary food advertising was 2.3 times/h and 0.7
min/h, respectively. When C-rated programmes can be broadcast, the frequency and
duration of discretionary food advertising was 1.8 times/h and 0.6 min/h,
respectively. Across the year, discretionary foods ranged between 41% (August)
and 71% (January) of all food advertising. CONCLUSIONS: Discretionary foods
dominate food advertising. On average, discretionary food advertising was higher
during PVTs for children and during the summer school holidays (January).
PMID- 29660199
TI - Early development of de novo hepatocellular carcinoma after direct-acting agent
therapy: Comparison with pegylated interferon-based therapy in chronic hepatitis
C patients.
AB - Patients with chronic hepatitis C who achieve a sustained viral response after
pegylated interferon therapy have a reduced risk of hepatocellular carcinoma, but
the risk after treatment with direct-acting antivirals is unclear. We compared
the rates of early development of hepatocellular carcinoma after direct-acting
antivirals and after pegylated interferon therapy. We retrospectively analysed
785 patients with chronic hepatitis C who had no history of hepatocellular
carcinoma (211 treated with pegylated interferon, 574 with direct-acting
antivirals) and were followed up for at least 24 weeks after antiviral treatment.
De novo hepatocellular carcinoma developed in 6 of 574 patients receiving direct
acting antivirals and in 1 of 211 patients receiving pegylated interferon. The
cumulative incidence of early hepatocellular carcinoma development did not differ
between the treatment groups either for the whole cohort (1.05% vs 0.47%, P =
.298) or for those patients with Child-Pugh Class A cirrhosis (3.73% vs 2.94%, P
= .827). Multivariate analysis indicated that alpha-fetoprotein level >9.5 ng/mL
at the time of end-of-treatment response was the only independent risk factor for
early development of hepatocellular carcinoma in all patients (P < .0001, hazard
ratio 176.174, 95% confidence interval 10.768-2882.473) and in patients treated
with direct-acting agents (P < .0001, hazard ratio 128.402, 95% confidence
interval 8.417-1958.680). In conclusion, the rate of early development of
hepatocellular carcinoma did not differ between patients treated with pegylated
interferon and those treated with direct-acting antivirals and was associated
with the serum alpha-fetoprotein level at the time of end-of-treatment response.
PMID- 29660200
TI - Odd-skipped related 1 inhibits lung cancer proliferation and invasion by reducing
Wnt signaling through the suppression of SOX9 and beta-catenin.
AB - The odd-skipped related 1 (OSR1) gene encodes a zinc-finger transcription factor.
The expression and significance of OSR1 in human tumors remains unclear. We found
that OSR1 was downregulated in lung cancers, and its expression was correlated
with poor differentiation. Overexpression of OSR1 by OSR1 gene transfection into
H1299 cells (H1299-OSR1) inhibited the proliferation and invasion of lung cancer
cells. Knockdown of OSR1 with small interfering (si)RNA against OSR1 in A549
cells (A549-siOSR1) enhanced the proliferation and invasion of lung cancer cells.
Western blot analysis showed that the expression level of GSK3beta increased,
while that of p-GSK3beta, nuclear beta-catenin, cyclin D1, c-Myc and matrix
metallopeptidase 7 significantly decreased in the H1299-OSR1 cells, and this
pattern was reversed in the A549-siOSR1 cells compared to that in the control
cells. Furthermore, upregulation of sex-determining region Y-box 9 (SOX9) by SOX9
gene transfection increased the expression of beta-catenin, which was inhibited
by OSR1. The mRNA and protein expression levels of SOX9 and beta-catenin were
reduced in H1299-OSR1 cells and increased in A549-siOSR1 cells. In conclusion,
the expression of OSR1 was more reduced in lung cancer tissues than in normal
lung tissues, and was correlated with poor differentiation. OSR1 downregulated
the activity of the Wnt signaling pathway by suppressing the expression of SOX9
and beta-catenin.
PMID- 29660201
TI - Universal screening for hepatitis C: A needed approach in patients with
haematologic malignancies.
PMID- 29660203
TI - Mycobacterium tuberculosis: Active disease and latent infection in a renal
transplant cohort.
AB - BACKGROUND: Tuberculosis (TB) is a serious opportunistic infection in renal
transplant recipients associated with high mortality. Screening and treatment of
latent Mycobacterium tuberculosis infection (LTBI) offers an opportunity to
prevent subsequent active disease. METHODS: We retrospectively reviewed the
records of all adult patients who underwent renal transplantation at our centre
from 2005 to 2014 to assess current screening practices, the risks for and burden
of active TB. RESULTS: A total of 660 individuals underwent renal transplantation
during this period, totalling 3647 person years of follow up. Three patients were
diagnosed with active TB after renal transplant, resulting in an incidence of 82
per 100,000 person-years. Of 656 transplant recipients, 102 (15.5%) were born in
high TB incidence countries and 89 (13.5%) had an interferon gamma release assay
(IGRA) at any point. Individuals born in high TB risk countries had a much higher
incidence of active TB (530 per 100,000 person-years). Ten individuals had
positive IGRA tests, of whom two were treated for active TB, two received
chemoprophylaxis and six were not treated. CONCLUSIONS: In the absence of formal
guidelines, IGRA-based screening for LTBI was infrequently performed. Our data
suggests that screening and treatment of renal transplant recipients born in high
incidence countries is an important preventive measure.
PMID- 29660204
TI - Hypoxia induces apoptosis of mouse spermatocyte GC-2 cells through activation of
autophagy.
AB - The aim of this study was to investigate the underlying mechanisms of hypoxia
induced apoptosis of GC-2spd (GC-2) cells. The GC-2 cells were treated with or
without hypoxia for 12, 24, 48, and 72 h. Apoptosis of GC-2 cells was detected
using TUNEL and flow cytometry. Fluorescence microscopic was used to observe the
autophagy of GC-2 cells. Hypoxia-inducible factor-1alpha (HIF-1alpha), apoptosis
related protein and marker protein of autophagy levels were measured by Western
blotting. Hypoxia induced apoptosis and autophagy of GC-2 cells, and increased
expression of HIF-1alpha, LC3-II, Beclin-1, and pro-apoptotic protein, but
reduced p62 and anti-apoptotic protein level. Meanwhile, hypoxia-induced HIF
1alpha mediated expression of apoptosis and autophagy-related protein in GC-2
cell. Furthermore, autophagy regulated hypoxia-induced apoptosis of GC-2 cell.
Our data suggest that hypoxia induces apoptosis of GC-2 cell by activation of
autophagy involving activation of the apoptosis signaling pathway under the
hypoxic condition.
PMID- 29660205
TI - Thyroid hormones and diabetic nephropathy: an essential relationship to
recognize.
AB - AIMS: Although abnormal thyroid hormone metabolism is common in patients with 2
diabetes mellitus (T2DM) and diabetic nephropathy (DN), the relationship between
thyroid hormones and DN is unclear and being ignored during the clinical
practice. This study was aimed to investigate the relationship between thyroid
hormones and clinicopathologic changes in biopsy-proven DN patients. METHODS: We
collected clinical and pathological data for 146 biopsy-proven DN patients. The
patients were divided into four groups: euthyroid group, high-TSH group (SCH),
low-FT3 group (with normal levels of TSH and FT4), and high-TSH+low-FT3 group
(with normal levels of FT4). We investigated the clinicopathologic features among
the four groups. We evaluated the risks of abnormal thyroid hormone levels on DN
by logistic regression with multivariable adjustments for other risk factors. We
also performed quarterback and eight-point analyses of TSH and FT3 levels to
determine their influences on DN. RESULTS: The overt proteinuria (>5 g/24 h)
(p=0.008) and severity of glomerular lesions (p=0.011) differed between euthyroid
group and high-TSH group significantly. Moreover, the levels of estimated
glomerular filtration rate (p=0.019), serum creatinine (p=0.014), and severity of
glomerular lesions (p=0.003) differed between euthyroid group and low-FT3 group
significantly. There were also significant differences between high-TSH, low-FT3
and high-TSH+low-FT3 patients respectively. And respective correlations between
high-TSH, low-FT3 and renal clinicopathologic changes were found significant
according to logistic regression analyses. Quarterback and eight-point analyses
indicated that patients with TSH levels of 4.54-5.67 mU/L had the most severe
renal clinicopathologic changes, and the severity of renal changes decreased with
increased FT3 levels. CONCLUSIONS: DN patients with high-TSH and/or low-FT3 had
more severe proteinuria, renal insufficiency, and glomerular lesions, suggesting
that regulating thyroid hormones might have a renoprotective effect.
PMID- 29660202
TI - Molecular biomarkers for uterine leiomyosarcoma and endometrial stromal sarcoma.
AB - Uterine leiomyosarcoma (u-LMS) and endometrial stromal sarcoma (ESS) are among
the most frequent soft tissue sarcomas, which, in adults, lead to fatal lung
metastases and patients have an extremely poor prognosis. Due to their rarity and
heterogeneity, there are no suitable biomarkers for diagnosis and prognosis,
although some biomarker candidates have appeared. In 2017, The Cancer Genome
Atlas (TCGA) Research Network's work on u-LMS has confirmed mutations and
deletions in RB1, TP53 and PTEN. In addition, whole-exome sequencing of u-LMS has
confirmed and demonstrated frequent alterations in TP53, RB1, alpha
thalassemia/mental retardation syndrome X-linked (ATRX) and mediator complex
subunit 12 (MED12). MED12 is a useful biomarker to diagnose uterine-derived LMS
and tumors arising from (LM) with a relatively favorable prognosis. TP53 and ATRX
mutations can be important mechanisms in the pathogenesis of u-LMS and are
correlated with a poor prognosis. In an update based on the 2014 WHO
classification, low-grade ESS is often associated with gene rearrangement
bringing about the JAZF 1-SUZ12 (formerly JAZF1-JJAZ1) fusion gene, whereas high
grade ESS is associated with the YWHAE-NUTM fusion gene. Low-grade ESS with JAZF1
rearrangement may correlate with metastasis. However, high-grade ESS with
metastasis with YWHAE rearrangement shows a relatively favorable prognosis. The
genetic/molecular genetic aberrations in u-LMS and ESS are reviewed, focusing on
molecular biomarkers for these primary and metastatic tumors.
PMID- 29660206
TI - Detection of in vivo hepatitis B virus surface antigen mutations-A comparison of
four routine screening assays.
AB - An important requirement for a state-of-the-art hepatitis B surface antigen
(HBsAg) screening assay is reliable detection of mutated HBsAg. Currently, there
is a striking shortage of data regarding the detection rates of in vivo HBsAg
mutations for these clinically important assays. Therefore, we compared the
detection rates of four commercial HBsAg screening assays using a global cohort
of 1553 patients from four continents with known HBV genotypes. These samples,
which represent the broadest spectrum of known and novel HBsAg major hydrophilic
region (MHR) mutations to date, were analyzed for the presence of HBsAg using the
Roche Elecsys(r) HBsAg II Qualitative, Siemens ADVIA Centaur XP HBsAg II, Abbott
Architect HBsAg Qualitative II and DiaSorin Liaison(r) HBsAg Qualitative assays,
respectively. Of the 1553 samples, 1391 samples could be sequenced; of these,
1013 (72.8%) carried at least one of the 345 currently known amino acid
substitutions (distinct HBsAg mutation) in the HBsAg MHR. All 1553 patient
samples were positive for HBsAg using the Elecsys(r) HBsAg II Qual assay, with a
sensitivity (95% confidence interval) of 99.94% (99.64%-100%), followed by the
Abbott Architect 99.81% (99.44%-99.96%), Siemens ADVIA 99.81% (99.44%-99.96%) and
DiaSorin Liaison(r) 99.36% (98.82%-99.69%) assays, respectively. Our results
indicate that the Elecsys(r) HBsAg II Qual assay exhibits the highest sensitivity
among the commercial HBsAg screening assays, and demonstrate that its capacity to
detect HBV infection is not compromised by HBsAg MHR mutants.
PMID- 29660207
TI - Randomized, double-blind comparison of aripiprazole/sertraline combination and
placebo/sertraline combination in patients with major depressive disorder.
AB - AIM: This study compared the efficacy and safety of aripiprazole/sertraline
combination (ASC) and placebo/sertraline combination (PSC) in patients with major
depressive disorder (MDD) who showed an inadequate response to sertraline 100
mg/day. METHODS: The study comprised a screening period, an 8-week prospective
treatment (single-blind sertraline 25-100 mg/day) period, and a 6-week double
blind treatment period. Patients with DSM-5-defined MDD were enrolled. Following
the prospective treatment, non-responders were randomly assigned to the ASC group
(aripiprazole 3-12 mg/day/sertraline 100 mg/day) or the PSC group (sertraline 100
mg/day). The primary efficacy end-point was the mean change in the Montgomery
Asberg Depression Rating Scale (MADRS) total score from baseline to 6 weeks.
RESULTS: A total of 412 patients were randomly assigned to either the ASC group
(n = 209) or the PSC group (n = 203). Mean change in MADRS total score was
significantly greater in patients with ASC than PSC (-9.2 vs -7.2; P = 0.0070).
Treatment-emergent adverse events (TEAE) that occurred in >=10% of patients with
ASC versus PSC were nasopharyngitis (13.4% vs 11.3%) and akathisia (12.9% vs
3.4%). All TEAE reported in the ASC group were mild or moderate in severity.
Rates of discontinuations due to TEAE were low in both the ASC (1.9%) and PSC
(1.5%) groups. There were no notable issues in safety assessments in the ASC
group compared with the PSC group. CONCLUSION: In patients with MDD who showed an
inadequate response to treatment with sertraline 100 mg/day, ASC was efficacious
and well tolerated.
PMID- 29660208
TI - MiR-340 suppresses the metastasis by targeting EphA3 in cervical cancer.
AB - MicroRNAs (miRNAs) play key roles in cervical cancer metastasis progression.
Accumulated evidences have revealed that miRNAs are related to the
pathophysiological process. However, the role of miR-340 in cervical cancer and
how it works is still not fully interpreted. Using qRT-PCR to examine the
expression of miR-340 in cervical cancer tissues. Transwell migration and
invasion experiments were used to detect the role of miR-340 in migration and
invasion. Luciferase reporter assay, qRT-PCR, and Western blot were used to
detect the relationship between miR-340 and EphA3. Using Transwell migration and
invasion experiments to investigate the role of EphA3 on migration and invasion.
Restoration expriments were also performed. Western blot was used to assay the
influence of miR-340 and EphA3 on EMT. We found that miR-340 was downregulated in
cervical cancer tissues compared with the normal tissues. Transwell migration and
invasion experiments indicated that overexpression of miR-340 frequently
inhibited the migration and invasion of cervical cancer cells. EphA3 is a target
of miR-340, and ectopic expression of EphA3 can promote the migration and
invasion of cervical cancer cells, whereas restoration of EphA3 in miR-340
overexpressing cervical cancer cells reversed the suppressive effects of miR-340.
What's more, the process of migration and invasion which regulated by miR
340/EphA3 was depended on adjusting the EMT way. These findings indicate that miR
340 may act as an anti-tumor factor during the process of tumor metastasis
through targeting EphA3, suggesting that miR-340 might be a potential new
diagnostic and therapeutic molecule for the treatment of cervical cancer.
PMID- 29660209
TI - Serum NGAL can act as an early renal safety biomarker during long-term
nucleos(t)ide analogue antiviral therapy in chronic hepatitis B.
AB - Tubular renal toxicity is a side-effect of long-term therapy with nucleos(t)ide
analogue(s) (NA) in chronic hepatitis B (CHB). There are no established surrogate
markers in plasma of early NA-related toxicity. Neutrophil gelatinase-associated
lipocalin (NGAL) is a protein produced by tubular cells following renal damage.
We aimed therefore to retrospectively compare conventional renal markers
(estimated glomerular filtration rates (eGFR) and urinary protein/creatinine
ratio uPCR) with a sensitive biomarker (NGAL) in CHB patients on long-term NA
therapy and assess the ability of new markers to predict NA-related renal
toxicity (new onset of nonalbumin proteinuria). A total of 192 naive CHB patients
(median age 41 years, 78% males, 25% HBeAg+, 35% cirrhosis) were NA treated for
at least 5 years (median 8.34 years, range 5.54-11.1 years). The eGFR and uPCR
were compared at baseline and last clinical visit with serum NGAL concentrations
measured by ELISA at same time-points and assessed according to the
presence/absence of nonalbumin proteinuria at last visit. While baseline and last
visit eGFR were similar (median:78 vs 84 mL/min), serum NGAL concentrations
increased during therapy (median:9.4 vs 16.4 ng/mL, P < .05). The proportion of
patients with proteinuria (uPCR > 15) increased between baseline and last visit
(4.6% vs 21.4%, P < .05), with 30 (16%) patients having de novo nonalbumin
proteinuria at last visit. High baseline NGAL concentrations were exclusive to
patients with de novo nonalbumin proteinuria (median:31.7 vs 7.8 ng/mL, P < .01)
and baseline NGAL levels >25 mg/mL were predictive of nonalbumin proteinuria at
last visit (AUROC = 0.813). In conclusion, serum NGAL can act as a surrogate
marker of early renal injury (de novo nonalbumin proteinuria) in CHB on long-term
NA therapy.
PMID- 29660210
TI - Reversible Response of Luminescent Terbium(III)-Nanocellulose Hydrogels to Anions
for Latent Fingerprint Detection and Encryption.
AB - Fingerprint fluorescence imaging has become one of the most prominent
technologies in the field of forensic medicine, but it seldom considers the
security protection of detection information, which is of great importance in
modern society. Herein we demonstrate that luminescent TbIII -carboxymethyl
cellulose (CMC) complex binding aptamer hydrogels that are reversibly responsive
to ClO- /SCN- can be used for the selective detection, protection, and storage of
fingerprint information. The imaging information of the fingerprint can be
quenched and recovered by ClO- /SCN- regulation, respectively, resulting in
reversible on/off conversion of the luminescence signals for the encryption and
decryption of multiple levels of information. The present study opens new avenues
for multilevel imaging, data recording, and security protection of fingerprint
information with tunable fluorescent hydrogels.
PMID- 29660211
TI - Effectiveness and cost-effectiveness of interventions targeting harm reduction
and chronic hepatitis C cascade of care in people who inject drugs: The case of
France.
AB - Direct-acting antivirals (DAAs) represent an opportunity to improve hepatitis C
virus (HCV) care cascade. This combined with improved harm reduction
interventions may lead to HCV elimination especially in people who inject drugs
(PWID). We assessed the effectiveness/cost-effectiveness of improvements in harm
reduction and chronic hepatitis C (CHC) care cascade in PWID in France. We used a
dynamic model of HCV transmission and CHC natural history and evaluated the
following: improved needle/syringe programmes-opioid substitution therapies,
faster diagnosis/linkage to care, earlier treatment initiation, alone and in
combination among active PWID (mean age = 36). Outcomes were as follows: life
expectancy in discounted quality-adjusted life years (QALYs); direct lifetime
discounted costs; incremental cost-effectiveness ratio (ICER); number of
infections/reinfections. Under the current practice, life expectancy was 15.846
QALYs, for a mean lifetime cost of ?20 762. Treatment initiation at F0 fibrosis
stage alone was less effective and more costly than faster diagnosis/linkage to
care combined with treatment initiation at F0, which increased life expectancy to
16.694 QALYs, decreased new infections by 37%, with a ICER = ?5300/QALY.
Combining these interventions with harm reduction improvements was the most
effective scenario (life expectancy = 16.701 QALYs, 41% decrease in new
infections) but was not cost-effective (ICER = ?105 600/QALY); it became cost
effective with higher initial HCV incidence rates and lower harm reduction
coverage than in our base-case scenario. This study illustrated the high
effectiveness, and cost-effectiveness, of a faster diagnosis/linkage to care
together with treatment from F0 with DAAs. This "Test and treat" strategy should
play a central role both in improving the life expectancies of HCV-infected
patients, and in reducing HCV transmission.
PMID- 29660212
TI - Aiming for elimination: Outcomes of a consultation pathway supporting regional
general practitioners to prescribe direct-acting antiviral therapy for hepatitis
C.
AB - To increase access to treatment, the Australian government enabled general
practitioners (GPs) to prescribe direct-acting antivirals (DAAs) to treat
hepatitis C virus (HCV)-in consultation with a specialist if inexperienced in HCV
management. This study describes the establishment and outcomes of a remote
consultation pathway supporting GPs to treat HCV. Key stakeholders from primary
and tertiary healthcare services in the Barwon South Western region developed and
implemented an HCV remote consultation pathway. Pharmaceutical Benefits Schedule
prescription data were used to evaluate GP DAA prescription 12 months pre-and
post- pathway implementation. A retrospective review of patients referred for
remote consultation for 12 months post- pathway inception was undertaken to
determine the care cascade. HCV treatment initiation by GPs increased after
implementation of the remote consultation pathway. In the 12-month study period,
74 GPs referred 169 people for remote consultation; 114 (67%) were approved for
GP DAA treatment; 48 (28%) were referred for specialist assessment. In total, 119
(71%) patients commenced DAA; 69 were eligible for SVR12 assessment. Post
treatment HCV RNA data were available for 52 (75%) people; 37 achieved SVR12; 15
achieved SVR ranging from week 5 to 11 post-treatment. No treatment failure was
detected. Collaborative development and implementation of a remote consultation
pathway has engaged regional GPs in managing HCV. Follow-up post-treatment could
be improved; however, no treatment failure has been documented. To eliminate HCV
as a public health threat, it is vital that specialists support GPs to prescribe
DAA.
PMID- 29660213
TI - Role of NCoR1 in mitochondrial function and energy metabolism.
AB - Mitochondrial number and shape are constantly changing in response to increased
energy demands. The ability to synchronize mitochondrial pathways to respond to
energy fluctuations within the cell is a central aspect of mammalian homeostasis.
This dynamic process depends on the coordinated activation of transcriptional
complexes to promote the expression of genes encoding for mitochondrial proteins.
Recent evidence has shown that the nuclear corepressor NCoR1 is an essential
metabolic switch which acts on oxidative metabolism signaling. Here, we provide
an overview of the emerging role of NCoR1 in the transcriptional control of
energy metabolism. The identification and characterization of NCoR1 as a central,
evolutionary conserved player in mitochondrial function have revealed a novel
layer of metabolic control. Defining the precise mechanisms by which NCoR1 acts
on energy homeostasis will ultimately contribute towards the development of novel
therapies for the treatment of metabolic diseases such as obesity and type 2
diabetes.
PMID- 29660214
TI - Precore G1896A mutation is associated with reduced rates of HBsAg seroclearance
in treated HIV hepatitis B virus co-infected patients from Western Africa.
AB - The nucleotide substitution G1896A on the precore (pc) region has been implicated
in virological and serological responses during treatment in hepatitis B virus
(HBV)-infected patients. Whether this mutation affects the therapeutic course of
HIV-HBV co-infected patients, especially from Western Africa, is unknown. In this
prospective cohort study, 86 antiretroviral (ARV)-naive HIV-HBV co-infected
patients from Cote d'Ivoire, initiating ARV-treatment containing lamivudine (n =
53) or tenofovir (n = 33), had available baseline pc sequences. Association of
the pcG1896A mutation with time to undetectable HBV-DNA, hepatitis B "e" antigen
(HBeAg) seroclearance (in HBeAg-positive patients), and hepatitis B surface
antigen (HBsAg) seroclearance was evaluated using Cox proportional hazards
regression. At ARV-initiation, median HBV-DNA was 6.04 log10 copies/mL (IQR =
3.70-7.93) with 97.7% harbouring HBV genotype E. Baseline pcG1896A mutation was
identified in 51 (59.3%) patients, who were more commonly HBeAg-negative (P <
.001) and had basal core promotor A1762T/G1764A mutations (P < .001). Patients
were followed for a median 36 months (IQR = 24-36). Cumulative proportion of
undetectable HBV-DNA was significantly higher in patients with baseline mutation
(pcG1896A = 86.6% vs no pcG1896A = 66.9%, P = .04), but not after adjusting for
baseline HBV-DNA levels and anti-HBV agent (P = .2). No difference in cumulative
proportion of HBeAg seroclearance was observed between mutation groups (pcG1896A
= 57.1% vs no pcG1896A = 54.3%, P = .7). Significantly higher cumulative
proportion of HBsAg seroclearance was observed in patients without this mutation
(pcG1896A = 0% vs no pcG1896A = 36.9%, P < .001), even after adjusting for
baseline HBsAg quantification and anti-HBV agent (P < .001). In conclusion,
lacking the pcG1896A mutation before ARV initiation appeared to increase HBsAg
seroclearance rates during treatment. The therapeutic implications of this
mutation need further exploration in this setting.
PMID- 29660216
TI - The prognostic significance of serum HBeAg on the recurrence and long-term
survival after hepatectomy for hepatocellular carcinoma: A propensity score
matching analysis.
AB - The effects of serum hepatitis B e antigen (HBeAg) on the prognosis of
hepatocellular carcinoma (HCC) patients after hepatectomy remain controversial.
Our aim was to explore the prognostic significance of serum HBeAg on the
prognosis of patients with HCC using a propensity matching model. Between January
2009 and March 2015, 953 patients with HCC who underwent hepatectomy in West
China Hospital were analysed. Propensity matching analysis was applied, and
survival analysis was performed using the Kaplan-Meier method. Risk factors were
identified by the Cox proportional hazards model. All patients with HCC were
classified into an HBeAg(-) group (n = 775, 81.3%) or an HBeAg(+) group (n = 178,
18.7%). Patients with positive serum HBeAg had poorer recurrence-free survival
and overall survival before and after propensity matching. Similar results were
found in patients within the Milan criteria. For patients beyond the Milan
criteria, the HBeAg(+) group had poor overall survival before and after
propensity matching. In term of recurrence-free survival, there was no
statistically significant impact after propensity matching (P = .055), although
there was a trend for HBeAg(+) patient to have reduced recurrence-free survival.
Positive serum HBeAg, positive HBV-DNA load, largest tumour size, multiple
tumours, microvascular invasion and a high serum level of preoperative alpha
fetoprotein were risk factors for recurrence. Our propensity model confirmed that
positive serum HBeAg had a negative impact on the recurrence and long-term
survival irrespective of tumour stages. HBeAg seroconversion might be beneficial
for reducing the rate of recurrence.
PMID- 29660215
TI - Polygenic risk for psychiatric disorders correlates with executive function in
typical development.
AB - Executive functions are a diverse and critical suite of cognitive abilities that
are often disrupted in individuals with psychiatric disorders. Despite their
moderate to high heritability, little is known about the molecular genetic
factors that contribute to variability in executive functions and how these
factors may be related to those that predispose to psychiatric disorders. We
examined the relationship between polygenic risk scores built from large genome
wide association studies of psychiatric disorders and executive functioning in
typically developing children. In our discovery sample (N = 417), consistent with
previous reports on general cognitive abilities, polygenic risk for autism
spectrum disorder was associated with better performance on the Dimensional
Change Card Sort test from the NIH Cognition Toolbox, with the largest effect in
the youngest children. Polygenic risk for major depressive disorder was
associated with poorer performance on the Flanker test in the same sample. This
second association replicated for performance on the Penn Conditional Exclusion
Test in an independent cohort (N = 3681). Our results suggest that the molecular
genetic factors contributing to variability in executive function during typical
development are at least partially overlapping with those associated with
psychiatric disorders, although larger studies and further replication are
needed.
PMID- 29660217
TI - Photomechanically Induced Magnetic Field Response by Controlling Molecular
Orientation in 9-Methylanthracene Microcrystals.
AB - A surfactant-assisted seeded-growth method is used to form single-crystal
platelets composed of 9-methylanthracene with two different internal molecular
orientations. The more stable form exhibits a photoinduced twisting, as observed
previously for 9-methylanthracene microribbons grown by the floating drop method.
However, the newly discovered elongated hexagonal platelets undergo a
photoinduced rolling-up and unrolling. The ability of the rolled-up cylindrical
shape to trap superparamagnetic nanoparticles enables it to be carried along in a
magnetic field gradient. The new photoinduced shape change, made possible by a
novel surfactant-assisted crystal growth method, opens up the possibility of
using light to modulate the crystal translational motion.
PMID- 29660219
TI - Hepatitis B virus infection in Taiwan: The role of NTCP rs2296651 variant in
relation to sex.
AB - Sodium taurocholate cotransporting polypeptide (NTCP) is a functional receptor
for hepatitis B virus (HBV) infection. NTCP rs2296651 is believed to be an Asian
specific variant responsible for HBV susceptibility. We investigated the
relationship between rs2296651 and HBV infection in Taiwan based on
stratification by gender and menopausal status. We recruited 10 017 Taiwan
Biobank participants aged 30-70 years with complete genetic data and
sociodemographic information. Gender-stratified multivariate logistic regression
models were used to determine the relationship between NTCP variant and HBV
infection. Among individuals with HBV infection, the genotype frequencies of GG,
AG and AA in women were 0.85, 0.15 and 0 while those in men were 0.82, 0.18 and
0, respectively. The multivariate-adjusted odds ratios (OR) of HBV infection were
0.77 (95% CI 0.59-0.10) in women and 0.98 (95% CI 0.79-1.20) in men. The adjusted
OR was 0.87 (CI 0.63-1.19) in premenopausal and 0.59 (0.36-0.97) in
postmenopausal women. We found that genetic variation in the HBV receptor gene
(NTCP) was significantly associated with a decreased risk of HBV infection in
Taiwanese women.
PMID- 29660220
TI - A General, Activator-Free Palladium-Catalyzed Synthesis of Arylacetic and Benzoic
Acids from Formic Acid.
AB - A new catalyst for the carboxylative synthesis of arylacetic and benzoic acids
using formic acid (HCOOH) as the CO surrogate was developed. In an improvement
over previous work, CO is generated in situ without the need for any additional
activators. Key to success was the use of a specific system consisting of
palladium acetate and 1,2-bis((tert-butyl(2-pyridinyl)phosphinyl)methyl)benzene.
The generality of this method is demonstrated by the synthesis of more than 30
carboxylic acids, including non-steroidal anti-inflammatory drugs (NSAIDs), under
mild conditions in good yields.
PMID- 29660218
TI - Molecular pathogenesis of pancreatic ductal adenocarcinoma: Impact of passenger
strand of pre-miR-148a on gene regulation.
AB - We previously used RNA sequencing to establish the microRNA (miRNA) expression
signature of pancreatic ductal adenocarcinoma (PDAC). We found that both strands
of pre-miR-148a (miR-148a-5p: the passenger strand and miR-148a-3p: the guide
strand) were downregulated in cancer tissues. Ectopic expression of miR-148a-5p
and miR-148a-3p significantly inhibited cancer cell migration and invasion,
indicating that both strands of pre-miR-148a had tumor-suppressive roles in PDAC
cells. In silico database and genome-wide gene expression analyses identified a
total of 15 genes that were putative targets regulated by these miRNAs. High
expression of miR-148a-5p targets (PHLDA2, LPCAT2 and AP1S3) and miR-148a-3p
targets (SMA, ENDOD1 and UHMK1) was associated with poor prognosis of patients
with PDAC. Moreover, knockdown of PHLDA2 expression inhibited cancer cell
aggressiveness, suggesting PHLDA2 acted as an oncogene in PDAC cells. Involvement
of the passenger strand of pre-miR-148a (miR-148-5p) is a new concept in cancer
research. Novel approaches that identify tumor-suppressive miRNA regulatory
networks in lethal PDAC might provide new prognostic markers and therapeutic
targets for this disease.
PMID- 29660221
TI - Brainstem leukoaraiosis independently predicts poor outcome after ischemic
stroke.
AB - BACKGROUND AND PURPOSE: Increased supratentorial white matter hyperintensities
volume (S-WMHV) has been reported to be a predictor of worse outcome in patients
with acute ischemic stroke (AIS). However, few studies have focused on less
common locations, such as brainstem white matter hyperintensities (B-WMH), and
their relationship to S-WMHV. This study aimed to examine whether B-WMH affect
clinical outcome after AIS or transient ischemic attack (TIA). METHODS: Based on
magnetic resonance imaging evidence, B-WMH were evaluated in 313 prospectively
identified patients with AIS/TIA and registered as absent or present.
Standardized S-WMHV was quantified using a validated volumetric image analysis
and natural log-transformed (Log_S-WMHV). Poor outcome was defined as a modified
Rankin Scale score of 3-6 at 3 months after the index event. RESULTS: Brainstem
white matter hyperintensities were detected in 57 (18.2%) patients. In unadjusted
analyses for outcome, the presence of B-WMH was associated with worse outcome,
compared with patients without B-WMH (P = 0.034). In multivariate analysis
controlling for age, atrial fibrillation, stroke severity, reperfusion therapies
and Log_S-WMHV, only B-WMH [odds ratio (OR), 2.46; P = 0.021] and stroke severity
(OR, 1.23; P < 0.001) remained independently associated with unfavourable 90-day
modified Rankin Scale score. Patients with B-WMH were older (OR, 1.06; P < 0.001)
and tended to have more hyperlipidaemia (OR, 2.21; P = 0.023) and peripheral
arterial disease (OR, 2.57; P = 0.031). CONCLUSIONS: Brainstem white matter
hyperintensities are an independent predictor of poor outcome after AIS/TIA and
this relationship persists after adjustment for important prognostic factors. Our
results also show that leukoaraiosis in this location identifies patients with a
specific risk factor profile, suggesting differences in the underlying
pathogenesis.
PMID- 29660223
TI - Direct Aryloxylation/Alkyloxylation of Dialkyl Phosphonates for the Synthesis of
Mixed Phosphonates.
AB - A strategy for the direct functionalization strategy of inertial dialkyl
phosphonates with hydroxy compounds to afford diverse mixed phosphonates with
good yields and functional-group tolerance has been developed. Mechanistic
investigations involving both NMR studies and DFT studies suggest that an
unprecedented highly reactive PV species (phosphoryl pyridin-1-ium salt), a key
intermediate for this new synthetic transformation, is generated in situ from
dialkyl phosphonate in the presence of Tf2 O/pyridine.
PMID- 29660222
TI - Aberrant methylation of EYA4 promotes epithelial-mesenchymal transition in
esophageal squamous cell carcinoma.
AB - EYA4, one of the four members of the EYA gene family, is associated with several
human cancers. However, its biological functions and molecular mechanisms in the
progression of cancer, particularly in esophageal squamous cell carcinoma (ESCC),
remain unknown. In the present study, we found that EYA4 was underexpressed and
hypermethylated in most of the ESCC cell lines tested (85.7%, 6/7). Treatment
with 5-aza-dC and/or trichostatin A (TSA) restored EYA4 expression in ESCC cell
lines, which indicates that EYA4 expression was epigenetically regulated.
Similarly, EYA4 was aberrantly hypermethylated in ESCC tissues (78%, 39/50) and
downregulation of EYA4 occurred in approximately 65% of primary ESCC at protein
level where it was associated significantly with TNM stage and lymph node
metastases. Knockdown of EYA4 in KYSE30 and KYSE70 ESCC cells using small hairpin
RNA increased migration and invasive motility in vitro. Conversely, the
overexpression of EYA4 in KYSE180 and KYSE450 promoted an epithelial phenotype,
which consisted of decreased migration and invasion abilities and a decrease in
TGF-beta1-induced epithelial-mesenchymal transition. Mechanistically, EYA4
overexpression reduced the phosphorylation of Akt and glycogen synthase kinase
(GSK) 3beta, which led to the inactivation of slug. In addition, we found that
TGF-beta1 decreased EYA4 expression in both a dose-dependent and a time-dependent
manner in KYSE30 cells, accompanied by an increase in the expression of DNA
methyltransferases, especially DNMT3A. In summary, EYA4 is frequently
hypermethylated in ESCC and may function as a tumor suppressor gene in the
development of ESCC.
PMID- 29660225
TI - Spotlights on our sister journals: Angew. Chem. Int. Ed. 17/2018.
PMID- 29660224
TI - Shortened therapy of eight weeks with paritaprevir/ritonavir/ombitasvir and
dasabuvir is highly effective in people with recent HCV genotype 1 infection.
AB - Paritaprevir/ritonavir/ombitasvir and dasabuvir with or without ribavirin for 12
weeks are approved for treatment of chronic HCV genotype 1 infection. This study
assessed the efficacy of shortened duration paritaprevir/ritonavir/ombitasvir and
dasabuvir with or without ribavirin for 8 weeks among people with recent HCV
infection. In this open-label single-arm trial conducted in Australia, England
and New Zealand, adults with recent HCV (duration of infection <12 months)
received paritaprevir/ritonavir/ombitasvir and dasabuvir (with weight-based
ribavirin for genotypes 1a and 1, no subtype) for 8 weeks. The primary endpoint
was sustained virological response at 12 weeks post-treatment (SVR12) in the
intention-to-treat (ITT) population. Thirty people (median age 38 years, male
93%) commenced treatment (with ribavirin, 97%), of whom 77% (n = 23) were HIV
positive, 93% (n = 28) had genotype 1a infection and 53% (n = 16) had ever
injected drugs. Median maximum ALT in the preceding 12 months was 433 IU/L (IQR
321, 1012). Acute clinical hepatitis with ALT > 10 x ULN was documented in 83% (n
= 25); one participant (3%) had jaundice. At baseline, median estimated duration
of infection was 30 weeks (range 11, 51), and median HCV RNA was 5.7 log10 IU/mL
(range 2.7, 7.3). SVR12 was achieved in 97% (29/30; early discontinuation at week
2, n = 1; per protocol 100%, 29/29). No relapse or reinfection was observed. In
conclusion, paritaprevir/ritonavir/ombitasvir and dasabuvir (with ribavirin) for
eight weeks were highly effective among HIV-positive and HIV-negative individuals
with recent HCV infection. These data support the use of this shortened duration
direct-acting antiviral regimen in this population.
PMID- 29660226
TI - Delays in lung cancer management pathways between rural and urban patients in
North Queensland: a mixed methods study.
AB - BACKGROUND: Despite advances in medical therapies, disparity in outcome between
rural and urban patients remain in Australia and many Western countries. AIMS: To
examine time delays in lung cancer referral pathways in North Queensland (NQ),
Australia, and explore patients' perspective of factors causing these delays.
METHODS: Prospective study of patients attending three cancer centres in
Townsville, Cairns and Mackay in NQ from 2009 to 2012. Times along referral
pathway were divided as follows: Onset of symptoms to treatment (T1), symptoms to
general practitioner (GP) (T2), GP to specialist (T3) and Specialist to treatment
(T4). Quantitative and qualitative methods were used for analysis. RESULTS: In
total, 252 patients were participated. T1 was influenced by remoteness (125 days
in Townsville vs 170 days for remote, P = 0.01), T2 by level of education (91
days for primary education vs 61 days for secondary vs 23 days for
tertiary/Technical and Further Education (TAFE), P = 0.006), and age group (14
days for 31-50 years, 61 days for 51-70 years, 45 days for >71 years, P = 0.026),
T3 by remoteness (15 days for Townville and 29.5 days for remote, P = 0.02) and
T4 by stage of disease (21 days for Stage I, 11 days for Stage II, 34 days for
Stage III 18 days for Stage IV, P = 0.041). Competing priorities of family and
work and cost and inconvenience of travel were perceived as rural barriers.
CONCLUSION: Remoteness, age and level of education were related to delays in
various time lines in lung cancer referral pathways in NQ. Provision of
specialist services closer to home may decrease delays by alleviating burden of
cost and inconvenience of travel.
PMID- 29660227
TI - The limited contribution of large trees to annual biomass production in an old
growth tropical forest.
AB - Although the importance of large trees regarding biodiversity and carbon stock in
old-growth forests is undeniable, their annual contribution to biomass production
and carbon uptake remains poorly studied at the stand level. To clarify the role
of large trees in biomass production, we used data of tree growth, mortality, and
recruitment monitored during 20 yr in 10 4-ha plots in a species-rich tropical
forest (Central African Republic). Using a random block design, three different
silvicultural treatments, control, logged, and logged + thinned, were applied in
the 10 plots. Annual biomass gains and losses were analyzed in relation to the
relative biomass abundance of large trees and by tree size classes using a
spatial bootstrap procedure. Although large trees had high individual growth
rates and constituted a substantial amount of biomass, stand-level biomass
production decreased with the abundance of large trees in all treatments and
plots. The contribution of large trees to annual stand-level biomass production
appeared limited in comparison to that of small trees. This pattern did not only
originate from differences in abundance of small vs. large trees or differences
in initial biomass stocks among tree size classes, but also from a reduced
relative growth rate of large trees and a relatively constant mortality rate
among tree size classes. In a context in which large trees are increasingly
gaining attention as being a valuable and a key structural characteristic of
natural forests, the present study brought key insights to better gauge the
relatively limited role of large trees in annual stand-level biomass production.
In terms of carbon uptake, these results suggest, as already demonstrated, a low
net carbon uptake of old-growth forests in comparison to that of logged forests.
Tropical forests that reach a successional stage with relatively high density of
large trees progressively cease to be carbon sinks as large trees contribute
sparsely or even negatively to the carbon uptake at the stand level.
PMID- 29660228
TI - Selective Hydrogenation of CO2 to Ethanol over Cobalt Catalysts.
AB - Methods for the hydrogenation of CO2 into valuable chemicals are in great demand
but their development is still challenging. Herein, we report the selective
hydrogenation of CO2 into ethanol over non-noble cobalt catalysts (CoAlOx ),
presenting a significant advance for the conversion of CO2 into ethanol as the
major product. By adjusting the composition of the catalysts through the use of
different prereduction temperatures, the efficiency of CO2 to ethanol
hydrogenation was optimized; the catalyst reduced at 600 degrees gave an
ethanol selectivity of 92.1 % at 140 degrees C with an ethanol time yield of
0.444 mmol g-1 h-1 . Operando FT-IR spectroscopy revealed that the high ethanol
selectivity over the CoAlOx catalyst might be due to the formation of acetate
from formate by insertion of *CHx , a key intermediate in the production of
ethanol by CO2 hydrogenation.
PMID- 29660229
TI - Extracellular matrix composition modulates PDAC parenchymal and stem cell
plasticity and behavior through the secretome.
AB - Pancreatic ductal adenocarcinoma (PDAC) is one of the most lethal cancers. Its
aggressiveness is driven by an intense fibrotic desmoplastic reaction in which
the increasingly collagen I-rich extracellular matrix (ECM) and several cell
types, including cancer stem cells (CSCs), create a tumor-supportive environment.
However, how ECM composition regulates CSC dynamics and their relationship with
the principle parenchymal tumor population to promote early invasive growth is
not yet characterized. For this, we utilized a platform of 3D organotypic
cultures composed of laminin-rich Matrigel, representative of an early tumor,
plus increasing concentrations of collagen I to simulate malignant stroma
progression. As ECM collagen I increases, CSCs progress from a rapidly growing,
vascular phenotype to a slower growing, avascular phase, while maintaining their
endothelial-like gene signatures. This transition is supported autocrinically by
the CSCs and paracrinically by the parenchymal cells via their ECM-dependent
secretomes. Indeed, when growing on an early tumor ECM, the CSCs are dedicated
toward the preparation of a vascular niche by (a) activating their growth
program, (b) secreting high levels of proangiogenic factors which stimulate both
angiogenesis and vasculogenic mimicry, and (c) overexpressing VEGFR-2, which is
activated by VEGF secreted by both the CSC and parenchymal cells. On Matrigel,
the more differentiated parenchymal tumor cell population had reduced growth but
a high invasive capacity. This concerted high local invasion of parenchymal cells
into the CSC-derived vascular network suggests that a symbiotic relationship
between the parenchymal cells and the CSCs underlies the initiation and
maintenance of early PDAC infiltration and metastasis.
PMID- 29660230
TI - The use of antimicrobials as adjuvant therapy for the treatment of obesity and
insulin resistance: Effects and associated mechanisms.
AB - The intestinal microbiota has come to be considered an additional risk factor for
the development of metabolic diseases. Considering the potential role of
antimicrobials as modulators of the intestinal microbiota, they have been
investigated for use in the adjuvant treatment of obesity and insulin resistance
(IR). In this regard, the present manuscript aimed to review the effect of
regular use of antimicrobials on the treatment of obesity and/or IR, as well as
its associated mechanisms. The regular use of antimicrobials does not seem to
influence the body weight and adiposity of its consumer. Regarding IR, clinical
trials did not observe positive effects, on the other hand, most of the
experimental studies observed an increase in insulin sensitivity. The mechanisms
used by antimicrobials that could lead to the improvement of insulin sensitivity
are dependent on the modulation of the intestinal microbiota. This modulation
would lead to a reduction in the stimulation of the immune system, as a
consequence of improved intestinal barrier and/or the reduction of gram-negative
bacteria in the microbiota. In addition, the secretion of glucagon-like peptide-1
would be modulated by metabolites produced by the intestinal microbiota, such as
secondary bile acids and short-chain fatty acids. Based on the results obtained
to date, more studies should be performed to elucidate the effect of these drugs
on obesity and IR, as well as the mechanisms involved. In addition, the cost
benefit of the regular use of antimicrobials should be investigated, as this
practice may lead to the development of antimicrobial-resistant microorganisms.
PMID- 29660232
TI - Highly efficient high-performance liquid chromatographic separation of xylene
isomers and phthalate acid esters on a homemade DUT-67(Zr) packed column.
AB - In this study, the baseline separations of xylene isomers and phthalate acid
esters on a homemade DUT-67(Zr) packed column were achieved, respectively. The
high selectivity for xylene isomers and phthalate acid esters was obtained with
the increase in temperature and decrease in the retention time. The
hydrophobicity of xylene isomers and phthalate acid esters resulted in the
different separation time on the DUT-67(Zr) packed column. The relative standard
deviation values of retention time, peak area, peak height, and half peak width
for five repeat separation of the xylene isomers were 0.26-0.35, 2.11-2.26, 1.51
2.03, and 0.29-0.77%, and the values of the phthalate acid esters on DUT-67(Zr)
column were 0.1-0.4, 4.4-5.2, 3.9-6.3, and 0.6-2.1%, respectively. The
thermodynamic properties indicated that the separation of xylene isomers was
controlled by DeltaH and DeltaS, but the separation of phthalate acid esters was
mainly controlled by DeltaS.
PMID- 29660233
TI - Nonalcoholic fatty liver disease is associated with decreased lung function.
AB - BACKGROUND & AIMS: The association between nonalcoholic fatty liver disease and
lung function has not been fully examined. The aim of this study was to clarify
the association between nonalcoholic fatty liver disease and lung function in
general population by performing cross-sectional and longitudinal analysis.
METHODS: Participants without hepatic and respiratory disease who underwent
regular health exams including hepatic sonography and spirometry with at least 3
years' follow-up were included. In cross-sectional analysis, the association
between nonalcoholic fatty liver disease and lung function at baseline was
examined with multiple regression models. The longitudinal analysis was performed
by mixed linear regression models with propensity score matching. RESULTS: Of 11
892 eligible participants (mean age, 47.7 years; male, 47.2%), 3815(32.1%) had
nonalcoholic fatty liver disease based on sonography. In cross-sectional
analysis, the nonalcoholic fatty liver disease group had lower adjusted forced
expiratory volume in 1-second (men, 3.52 vs 3.44 L, P < .001; women, 2.62 vs 2.45
L, P < .001) and forced vital capacity (men, 4.33 vs 4.24 L, P < .001; women,
3.11 vs 2.97 L, P < .001) than the control group. In longitudinal analysis,
during the mean follow-up period of 6.6 years, there were no significant
differences in forced expiratory volume in 1-second or forced vital capacity
decline rates between two groups in the propensity score-matched cohorts (n =
4558). However, those with high nonalcoholic fatty liver disease fibrosis score
and fibrosis-4 (men, -21.7 vs -27.4 mL/y, P = .001; women, -22.4 vs -27.9 mL/y, P
= .016) showed significantly faster decline in forced vital capacity compared to
those with low scores. CONCLUSIONS: Nonalcoholic fatty liver disease was
associated with decreased lung function at baseline but was not associated with
accelerated lung function decline in the propensity score-matched cohort.
However, hepatic fibrosis was significantly associated with rapid forced vital
capacity decline.
PMID- 29660231
TI - Plakoglobin restores tumor suppressor activity of p53R175H mutant by sequestering
the oncogenic potential of beta-catenin.
AB - Tumor suppressor/transcription factor p53 is mutated in over 50% of all cancers.
Some mutant p53 proteins have not only lost tumor suppressor activities but they
also gain oncogenic functions (GOF). One of the most frequently expressed GOF p53
mutants is Arg175His (p53R175H ) with well-documented roles in cancer development
and progression. Plakoglobin is a cell adhesion and signaling protein and a
paralog of beta-catenin. Unlike beta-catenin that has oncogenic function through
its role in the Wnt pathway, plakoglobin generally acts as a tumor/metastasis
suppressor. We have shown that plakoglobin interacted with wild type and a number
of p53 mutants in various carcinoma cell lines. Plakoglobin and mutant p53
interacted with the promoter and regulated the expression of several p53 target
genes. Furthermore, plakoglobin interactions with p53 mutants restored their
tumor suppressor/metastasis activities in vitro. GOF p53 mutants induce
accumulation and oncogenic activation of beta-catenin. Previously, we showed that
one mechanism by which plakoglobin may suppress tumorigenesis is by sequestering
beta-catenin's oncogenic activity. Here, we examined the effects of p53R175H
expression on beta-catenin accumulation and transcriptional activation and their
modifications by plakoglobin coexpression. We showed that p53R175H expression in
plakoglobin null cells increased total and nuclear levels of beta-catenin and its
transcriptional activity. Coexpression of plakoglobin in these cells promoted
beta-catenin's proteasomal degradation, and decreased its nuclear levels and
transactivation. Wnt/beta-catenin targets, c-MYC and S100A4 were upregulated in
p53R175H cells and were downregulated when plakoglobin was coexpressed.
Plakoglobin-p53R175H cells also showed significant reduction in their migration
and invasion in vitro.
PMID- 29660234
TI - Evaluation of chronic kidney disease in cancer patients: is there a preferred
estimation formula?
AB - BACKGROUND: The evaluation of chronic kidney disease (CKD) in cancer patients
seems to rely mostly on the Cockcroft-Gault (CG) formula or the creatinine levels
to adjust treatment dosages which is a practice refuted by internists. AIMS: We
evaluate the overall agreement of the CG, modification of diet in renal disease
(MDRD) and CKD-epidemiology collaboration equations (CKD-EPI) equation with the
newly devised Janowitz and Williams' (JW) equation. METHODS: The renal function
was estimated in 235 cancer patients according to the CG, MDRD, body surface area
(BSA)-adjusted MDRD, CKD-EPI, BSA-adjusted CKD-EPI and JW formulae. RESULTS: JW
equation was more in agreement with CG and CKD-EPI estimations than the other
equations. Taking JW equation as reference, receiver operating characteristic
curve analysis showed that CG eGFR had the higher area under the curve when
compared with other equations. Hierarchical cluster analysis showed more
proximity between CG and JW equations than the other equations. CONCLUSION: The
newly proposed JW eGFR estimation was more in agreement with CG equation than the
other equations.
PMID- 29660235
TI - Inhibition of OsSWEET11 function in mesophyll cells improves resistance of rice
to sheath blight disease.
AB - Pathogen-host interaction is a complicated process; pathogens mainly infect host
plants to acquire nutrients, especially sugars. Rhizoctonia solani, the causative
agent of sheath blight disease, is a major pathogen of rice. However, it is not
known how this pathogen obtains sugar from rice plants. In this study, we found
that the rice sugar transporter OsSWEET11 is involved in the pathogenesis of
sheath blight disease. Quantitative real-time polymerase chain reaction (qRT-PCR)
and beta-d-glucuronidase expression analyses showed that R. solani infection
significantly enhanced OsSWEET11 expression in leaves amongst the clade III SWEET
members. The analyses of transgenic plants revealed that Ossweet11 mutants were
less susceptible, whereas plants overexpressing OsSWEET11 were more susceptible,
to sheath blight compared with wild-type controls, but the yield of OsSWEET11
mutants and overexpressors was reduced. SWEETs become active on oligomerization.
Split-ubiquitin yeast two-hybrid, bimolecular fluorescence complementation and co
immunoprecipitation assays showed that mutated OsSWEET11 interacted with normal
OsSWEET11. In addition, expression of conserved residue mutated AtSWEET1
inhibited normal AtSWEET1 activity. To analyse whether inhibition of OsSWEET11
function in mesophyll cells is related to defence against this disease, mutated
OsSWEET11 was expressed under the control of the Rubisco promoter, which is
specific for green tissues. The resistance of transgenic plants to sheath blight
disease, but not other disease, was improved, whereas yield production was not
obviously affected. Overall, these results suggest that R. solani might acquire
sugar from rice leaves by the activation of OsSWEET11 expression. The plants can
be protected from infection by manipulation of the expression of OsSWEET11
without affecting the crop yield.
PMID- 29660236
TI - Oxylipins from both pathogen and host antagonize jasmonic acid-mediated defence
via the 9-lipoxygenase pathway in Fusarium verticillioides infection of maize.
AB - Oxylipins are a newly emerging group of signals that serve defence roles or
promote virulence. To identify specific host and fungal genes and oxylipins
governing the interactions between maize and Fusarium verticillioides, maize wild
type and lipoxygenase3 (lox3) mutant were inoculated with either F.
verticillioides wild-type or linoleate-diol-synthase 1-deleted mutant
(DeltaFvlds1D). The results showed that lox3 mutants were more resistant to F.
verticillioides. The reduced colonization on lox3 was associated with reduced
fumonisin production and with a stronger and earlier induction of ZmLOX4, ZmLOX5
and ZmLOX12. In addition to the reported defence function of ZmLOX12, we showed
that lox4 and lox5 mutants were more susceptible to F. verticillioides and
possessed decreased jasmonate levels during infection, suggesting that these
genes are essential for jasmonic acid (JA)-mediated defence. Oxylipin profiling
revealed a dramatic reduction in fungal linoleate diol synthase 1 (LDS1)-derived
oxylipins, especially 8-HpODE (8-hydroperoxyoctadecenoic acid), in infected lox3
kernels, indicating the importance of this molecule in virulence. Collectively,
we make the following conclusions: (1) LOX3 is a major susceptibility factor
induced by fungal LDS1-derived oxylipins to suppress JA-stimulating 9-LOXs; (2)
LOX3-mediated signalling promotes the biosynthesis of virulence-promoting
oxylipins in the fungus; and (3) both fungal LDS1- and host LOX3-produced
oxylipins are essential for the normal infection and colonization processes of
maize seed by F. verticillioides.
PMID- 29660237
TI - Multiplexed Isobaric Tag-Based Profiling of Seven Murine Tissues Following In
Vivo Nicotine Treatment Using a Minimalistic Proteomics Strategy.
AB - Nicotine is a major addictive compound in tobacco and a component of smoking
related products, such as e-cigarettes. Once internalized, nicotine can perturb
many cellular pathways and can induce alterations in proteins across different
cell types; however, the mechanisms thereof remain undetermined. The authors
hypothesize that both tissue-specific and global protein abundance alterations
result from nicotine exposure. Presented here is the first proteomic profiling of
multiple tissues from mice treated orally with nicotine. Proteins extracted from
seven tissues (brain, heart, kidney, liver, lung, pancreas, and spleen) from
treated (n = 5) and untreated control (n = 5) mice are assembled into a TMT10
plex experiment. A minimalistic proteomics strategy is employed using TMT
reagents efficiently and centrifugation-based reversed-phase columns to
streamline sample preparation. Combined, over 11 000 non-redundant proteins from
over 138 000 different peptides are quantified in seven TMT10-plex experiments.
Between 7 and 126 proteins are significantly altered in tissues from nicotine
exposed mice, 11 which are altered in two or more tissues. Our data showcase the
vast extent of nicotine exposure across murine tissue.
PMID- 29660238
TI - Heat shock transcription factor 3 regulates plant immune response through
modulation of salicylic acid accumulation and signalling in cassava.
AB - As the terminal components of signal transduction, heat stress transcription
factors (Hsfs) mediate the activation of multiple genes responsive to various
stresses. However, the information and functional analysis are very limited in
non-model plants, especially in cassava (Manihot esculenta), one of the most
important crops in tropical areas. In this study, 32 MeHsfs were identified from
the cassava genome; the evolutionary tree, gene structures and motifs were also
analysed. Gene expression analysis found that MeHsfs were commonly regulated by
Xanthomonas axonopodis pv. manihotis (Xam). Amongst these MeHsfs, MeHsf3 was
specifically located in the cell nucleus and showed transcriptionally activated
activity on heat stress elements (HSEs). Through transient expression in
Nicotiana benthamiana leaves and virus-induced gene silencing (VIGS) in cassava,
we identified the essential role of MeHsf3 in plant disease resistance, by
regulating the transcripts of Enhanced Disease Susceptibility 1 (EDS1) and
pathogen-related gene 4 (PR4). Notably, as regulators of defence susceptibility,
MeEDS1 and MePR4 were identified as direct targets of MeHsf3. Moreover, the
disease sensitivity of MeHsf3- and MeEDS1-silenced plants could be restored by
exogenous salicylic acid (SA) treatment. Taken together, this study highlights
the involvement of MeHsf3 in defence resistance through the transcriptional
activation of MeEDS1 and MePR4.
PMID- 29660239
TI - The population genetic test Tajima's D identifies genes encoding pathogen
associated molecular patterns and other virulence-related genes in Ralstonia
solanacearum.
AB - The detection of pathogen-associated molecular patterns (PAMPs) by plant pattern
recognition receptors (PRRs) is an essential part of plant immunity. Until
recently, elf18, an epitope of elongation factor-Tu (EF-Tu), was the sole
confirmed PAMP of Ralstonia solanacearum, the causal agent of bacterial wilt
disease, limiting our understanding of R. solanacearum-plant interactions.
Therefore, we set out to identify additional R. solanacearum PAMPs based on the
hypothesis that genes encoding PAMPs are under selection to avoid recognition by
plant PRRs. We calculated Tajima's D, a population genetic test statistic which
identifies genes that do not evolve neutrally, for 3003 genes conserved in 37 R.
solanacearum genomes. The screen flagged 49 non-neutrally evolving genes,
including not only EF-Tu but also the gene for Cold Shock Protein C, which
encodes the PAMP csp22. Importantly, an R. solanacearum allele of this PAMP was
recently identified in a parallel independent study. Genes coding for efflux
pumps, some with known roles in virulence, were also flagged by Tajima's D. We
conclude that Tajima's D is a straightforward test to identify genes encoding
PAMPs and other virulence-related genes in plant pathogen genomes.
PMID- 29660241
TI - COPD is independently associated with 6-month survival in patients who have life
support withheld in intensive care.
AB - BACKGROUND: In-hospital outcomes following decisions of withholding or
withdrawing in Intensive Care Unit (ICU) patients have been previously assessed,
little is known about outcomes after ICU and hospital discharge. Our objective
was to report the 6-month outcomes of discharged patients who had treatment
limitations in a general ICU and to identify prognostic factors of survival.
METHODS: We retrospectively collected the data of patients discharged from the
ICU for whom life support was withheld from 2009 to 2011. We assessed the
survival status of all patients at 6 months post-discharge and their duration of
survival. Survivors and non-survivors were compared using univariate and
multivariate analyses by Cox's proportional hazard model. RESULTS: One hundred
fourteen patients were included. The survival rate at 6 months was 58.8%.
Survival was associated with acute respiratory failure (48% vs 19%, P = .006), a
history of COPD (40% vs 21%, P = .03) and a lower SAPS II score (44 vs 49, P =
.006). We identified a history of COPD as a prognostic factor for survival in the
multivariate analysis (HR = 2.1; IC 95% 1.02-4.36, P = .04). CONCLUSION: A total
of 58.8% of patients for whom life-sustaining therapies were withheld in the ICU
survived for at least 6 months after discharge. Patients with COPD appeared to
have a significantly higher survival rate. The decision to withhold life support
in patients should not lead to a cessation of post-ICU care and to non
readmission of COPD patients.
PMID- 29660240
TI - Reactive oxygen species signaling and stomatal movement in plant responses to
drought stress and pathogen attack.
AB - Stomata, the pores formed by a pair of guard cells, are the main gateways for
water transpiration and photosynthetic CO2 exchange, as well as pathogen invasion
in land plants. Guard cell movement is regulated by a combination of
environmental factors, including water status, light, CO2 levels and pathogen
attack, as well as endogenous signals, such as abscisic acid and apoplastic
reactive oxygen species (ROS). Under abiotic and biotic stress conditions,
extracellular ROS are mainly produced by plasma membrane-localized NADPH
oxidases, whereas intracellular ROS are produced in multiple organelles. These
ROS form a sophisticated cellular signaling network, with the accumulation of
apoplastic ROS an early hallmark of stomatal movement. Here, we review recent
progress in understanding the molecular mechanisms of the ROS signaling network,
primarily during drought stress and pathogen attack. We summarize the roles of
apoplastic ROS in regulating stomatal movement, ABA and CO2 signaling, and
immunity responses. Finally, we discuss ROS accumulation and communication
between organelles and cells. This information provides a conceptual framework
for understanding how ROS signaling is integrated with various signaling pathways
during plant responses to abiotic and biotic stress stimuli.
PMID- 29660242
TI - Iridoids from Pedicularis verticillata and Their Anti-Complementary Activity.
AB - Three new iridoids named as pediverticilatasin A - C (1 - 3, resp.), together
with five known iridoids (4 - 8, resp.) were isolated from the whole plants of
Pedicularis verticillata. The structures of three new compounds were identified
as (1S,7R)-1-ethoxy-1,5,6,7-tetrahydro-7-hydroxy-7-methylcyclopenta[c]pyran-4(3H)
one (1), (1S,4aS,7R,7aS)-1-ethoxy-1,4a,5,6,7,7a-hexahydro-7-hydroxy-7
methylcyclopenta[c]pyran-4-carboxylic acid (2), (1S,4aS,7R,7aS)-1-ethoxy
1,4a,5,6,7,7a-hexahydro-7-hydroxy-7-methylcyclopenta[c]pyran-4-carbaldehyde (3).
Their structures were elucidated on the basis of spectroscopic methods and
compared with the NMR spectra data in the literature. All compounds were
evaluated for their anti-complementary activity on the classical pathway of the
complement system in vitro. Among which, compounds 1, 3, and 6 exhibited anti
complementary effects with CH50 values ranging from 0.43 to 1.72 mm, which are
plausible candidates for developing potent anti-complementary agents.
PMID- 29660243
TI - Autophagy-A key pathway for cardiac health and longevity.
AB - As average life expectancy continues to rise in the developed world, age
associated pathologies are increasing in prevalence. The hallmarks of cardiac
ageing include cardiomyocyte loss, fibrosis and hypertrophy, all of which
contribute to an increased incidence of cardiac disease. At the molecular level,
cellular ageing is characterized by increased ROS production, mitochondrial
dysfunction and the accumulation of damaged proteins and organelles.
Cardiomyocytes and other senescent cell types rely upon autophagy, a lysosome
mediated degradation pathway, to remove potentially toxic protein aggregates and
damaged organelles from the cellular milieu. However, increasing lines of
evidence point to an age-associated decrease in cardiomyocyte autophagy, with
predictably negative consequences for cardiac function and health. Conversely,
stimulation of autophagy has been shown to improve cellular health and cardiac
function and to increase lifespan in numerous model organisms. Clearly, autophagy
represents a critical pathway for cellular vitality, as well as a promising
therapeutic target for the treatment of age-related cardiac pathologies. In this
review, we will discuss the mechanism of autophagy and its regulation in the
cell, the role of autophagy in the ageing heart, and how the autophagy pathway
might be targeted to improve cardiac health.
PMID- 29660244
TI - Unaccounted for regression to the mean renders conclusion of article titled "Uric
acid lowering in relation to HbA1c reductions with the SGLT2 inhibitor
tofogliflozin" unsubstantiated.
PMID- 29660245
TI - 1,2,3-Triazole Tagged 3H-Pyrano[2,3-d]pyrimidine-6-carboxylate Derivatives:
Synthesis, in Vitro Cytotoxicity, Molecular Docking and DNA Interaction Studies.
AB - A series of novel ethyl 2,7-dimethyl-4-oxo-3-[(1-phenyl-1H-1,2,3-triazol-4
yl)methyl]-4,5-dihydro-3H-pyrano[2,3-d]pyrimidine-6-carboxylate derivatives 7a -
7m were efficiently synthesized employing click chemistry approach and evaluated
for in vitro cytotoxic activity against four tumor cell lines: A549 (human lung
adenocarcinoma cell line), HepG2 (human hematoma), MCF-7 (human breast
adenocarcinoma), and SKOV3 (human ovarian carcinoma cell line). Among the
compounds tested, the compounds 7a, 7b, 7f, 7l, and 7m have shown potential and
selective activity against human lung adenocarcinoma cell line (A549) with IC50
ranging from 0.69 to 6.74 MUm. Molecular docking studies revealed that the
compounds 7a, 7b, 7f, 7l, and 7m are potent inhibitors of human DNA topoisomerase
II and also showed compliance with stranded parameters of drug likeness. The
calculated binding constants, kb , from UV/VIS absorptional binding studies of 7a
and 7l with CT-DNA were 10.77 * 104 , 6.48 * 104 , respectively. Viscosity
measurements revealed that the binding could be surface binding mainly due to
groove binding. DNA cleavage study showed that 7a and 7l have the potential to
cleave pBR322 plasmid DNA without any external agents.
PMID- 29660246
TI - Cyclic band compression in toroidal capillary electrophoresis delivers an
unlimited number of theoretical plates with a quadratic growth in time and a
constant peak capacity.
AB - Analytical instruments able to provide extremely high sensitivities, separation
efficiencies, and peak capacities are important for both applied sciences and
basic research. It is even more interesting if this can be achieved within
organic, aqueous, and physiological solutions without restricting the operation
parameters, such as buffer pH, temperature, ionic strength, and background
electrolyte composition. Toroidal capillary electrophoresis offers this
potential, as was recently proposed and demonstrated. In this platform, the
analytes perform continuous round trips inside a fused-silica capillary having a
torus-like shape. In the present work, the equations of the number of plates and
peak capacity are deduced when on-column cyclic thermal band compression is
applied. They are expressed as a function of the number of turns performed by the
analyte, axial length of the toroid, number of microholes (reservoirs),
compression factor, number of compression events performed per turn, and applied
voltage. It was found that the variances of the bands reach a steady state,
regardless of the number of dispersion mechanisms present. Consequently, the
number of theoretical plates grows indefinitely as the square of time. The
expression of peak capacity shows a well-defined limiting value that remains
constant over time.
PMID- 29660247
TI - Pulmonary functions in pseudoexfoliation syndrome.
AB - OBJECTIVES: It is well-known that pseudoexfoliative material deteriorates iris
dilatation function. This material was also found in lung tissue. The aim of the
study was to evaluate pulmonary function in patients with pseudoexfoliation.
METHODS: This prospective study included 60 pseudoexfoliation syndrome patients
without any pulmonary diseases and 52 age- and gender-matched controls without
any pulmonary diseases. Patients were asked about their cigarette use and the
presence of any systemic diseases such as diabetes mellitus, arterial
hypertension and asthma. All cases underwent full ophthalmologic and general
medical examinations including pulmonary auscultation and pulmonary posterior
anterior X-ray. Pulmonary function tests were used for evaluation of pulmonary
function. FVC, FEV1 , FEV1 %, FEV1 /FVC and saturation values were recorded.
RESULTS: Mean age was 67.1 +/- 8.8 (44-82) years in the pseudoexfoliation group
and 63.8 +/- 9.4 (43-82) years in the control group (P > .05). Mean FEV1 was 1.60
+/- 0.62 in the pseudoexfoliation group and 1.84 +/- 0.61 in the control group (P
= .036). Mean FEV1 % was 70.65 +/- 23.89 in the pseudoexfoliation group and 83.46
+/- 17.83 in the control group (P = .002). Mean FEV1 /FVC ratios were 0.72 +/-
0.15 in the pseudoexfoliation group and 0.77 +/- 0.11 in the control group (P =
.043). No statistically significant differences were found between the groups for
FVC, saturation or cigarette use. CONCLUSION: These results suggest that there is
decline in some pulmonary functions in pseudoexfoliation syndrome.
PMID- 29660248
TI - Exploring the Performance Improvement of the Oxygen Evolution Reaction in a
Stable Bimetal-Organic Framework System.
AB - Despite wide applications of bimetallic electrocatalysis in oxygen evolution
reaction (OER) owing to their superior performance, the origin of the improved
performance remains elusive. The underlying mechanism was explored by designing
and synthesizing a series of stable metal-organic frameworks (MOFs: NNU-21-24)
based on trinuclear metal carboxylate clusters and tridentate carboxylate
ligands. Among the examined stable MOFs, NNU-23 exhibits the best OER
performance; particularly, compared with monometallic MOFs, all the bimetallic
MOFs display improved OER activity. DFT calculations and experimental results
demonstrate that introduction of the second metal atom can improve the activity
of the original atom. The proposed model of bimetallic electrocatalysts affecting
their OER performance can facilitate design of efficient bimetallic catalysts for
energy storage and conversion, and investigation of the related catalytic
mechanisms.
PMID- 29660249
TI - Shorter hepatitis B immunoglobulin administration is not associated to hepatitis
B virus recurrence when receiving combined prophylaxis after liver
transplantation.
AB - BACKGROUND & AIMS: The combination of hepatitis B immunoglobulin and a
nucleos(t)ide analogues has markedly reduced the rate of hepatitis B virus
recurrence after liver transplantation; however, the optimal duration of
hepatitis B immunoglobulin has not been clarified. This lack of consensus
perpetuates the use of different strategies. The aim of this study was to
evaluate the risk factors associated to hepatitis B virus recurrence after liver
transplantation in a large cohort of patients under different hepatitis B
immunoglobulin regimens. METHODS: Retrospective multicentre analysis of hepatitis
B virus-related liver transplantation recipients receiving combined prophylaxis
(hepatitis B immunoglobulin + nucleos(t)ide analogues). The strategy of short
term hepatitis B immunoglobulin was compared to lifelong administration.
Hepatitis B virus recurrence was defined as positive HBsAg after liver
transplantation. RESULTS: Three hundred and thirty-eight patients were analysed.
After a median follow-up period of 72 months, 37 patients (11%) developed
hepatitis B virus recurrence. Hepatocellular carcinoma recurrence and lamivudine
resistance after liver transplantation were the only factors independently
associated to hepatitis B virus recurrence (HR 5.4 [2.3-12] and 9.3 [4.2-20]
respectively P < .001). HBsAg reappearance after hepatitis B virus recurrence was
transient (16 patients), persistent (15) or alternant (6). The hepatitis B
immunoglobulin regimen did not have an impact on the rate or evolution of
hepatitis B virus recurrence. Overall, patient survival was good and not
influenced by hepatitis B virus recurrence (82% at 5 years). Fulminant liver
failure, hepatitis C coinfection or hepatocellular carcinoma at liver
transplantation were independent risk factors for lower survival. CONCLUSIONS:
Liver transplantation is an effective treatment for hepatitis B virus-related
liver disease. Since the introduction of combined prophylaxis the rate of
hepatitis B virus recurrence is very low. However, lifelong hepatitis B
immunoglobulin administration does not seem necessary to reduce hepatitis B virus
recurrence.
PMID- 29660250
TI - A sensitive, high-throughput, and ecofriendly method for the determination of
lumefantrine, artemether, and its active metabolite dihydroartemisinin by
supercritical fluid chromatography and tandem mass spectrometry.
AB - A quick and sensitive supercritical fluid chromatography with tandem mass
spectrometry method for the simultaneous determination of lumefantrine,
artemether, and its active metabolite dihydroartemisinin in rat plasma was
developed and validated. The chromatographic separation was performed on an
ACQUITY UPC2 TM BEH 2-EP column within 2.5 min by gradient elution using
compressed CO2 and methanol containing 2 mM ammonium acetate as the mobile
phases. Detection was achieved by multiple reaction monitoring using electrospray
ionization in the positive ionization mode. For sample preparation, 50 MUL of the
sample was processed by modified high-throughput, one-step protein precipitation
using hydrogen peroxide as a stabilizer to protect the endoperoxide-containing
artemisinin derivatives from degradation. The calibration curves were linear over
the concentration range of 2.0-1000 ng/mL for both artemether and
dihydroartemisinin, and 1.0-5000 ng/mL for lumefantrine. The values of
selectivity, lower limit of quantification, linearity, accuracy, precision,
matrix effects, stability, and recovery met the acceptable range according to the
Food and Drug Administration guidelines. The developed method enables high
resolution and speed as well as low cost, low solvent consumption, and short time
and was successfully applied to pharmacokinetic studies through the intravenous
administration of an artemether-lumefantrine lipid emulsion in rats.
PMID- 29660251
TI - The association of nasal patency with small airway resistance in children with
allergic and nonallergic rhinitis.
AB - INTRODUCTION: Allergic rhinitis (AR) is immunologically and morphologically
related to disorders in the lower airway. We investigated the relationship
between the anatomy of the intranasal cavity and small airway resistance in
subjects with nonallergic rhinitis (NAR). METHODS: We enrolled 226 children who
were 7 years old and participated in the Seongnam Atopy Project 2016. We
evaluated nasal patency using acoustic rhinometry to measure the volume of the
nasal cavity at 0-5 cm, and measured lung function of the lower airway using an
impulse oscillometry system (IOS) and spirometry. We also performed skin prick
tests for 18 aero-allergens, and measured blood total eosinophil counts (TEC) and
rhinitis symptom scores for the previous month using a visual analog scale (VAS,
range: 0-10). RESULTS: We examined 226 children, 71 (31.7%) with AR, and 62
(27.7%) with NAR. Nasal patency in children with AR (median: 8.28 mm3 , IQR: 7.07
9.83) was lower than that of healthy children (median: 9.3 mm3 , IQR: 7.69-10.64,
P = 0.011). Multivariate regression analysis showed that nasal patency was
inversely associated with IOS resistance at 5 Hz after adjustment for compounding
factors (B = -0.005, SE = 0.0032, P = 0.041). Analysis of NAR subjects, according
to quartiles of nasal patency and quartiles of small airway resistance (Rrs5),
indicated that nasal patency decreased as Rrs5 increased (linear trend: P =
0.020). CONCLUSION: Nasal symptoms and TEC negatively correlated with nasal
patency. Children with AR and NAR who had poor nasal patency had increased small
airway resistance.
PMID- 29660252
TI - Encapsulated oligodendrocyte precursor cell fate is dependent on PDGF-AA release
kinetics in a 3D microparticle-hydrogel drug delivery system.
AB - Biomaterial drug delivery systems (DDS) can be used to regulate growth factor
release and combat the limited intrinsic regeneration capabilities of central
nervous system (CNS) tissue following injury and disease. Of particular interest
are systems that aid in oligodendrocyte regeneration, as oligodendrocytes
generate myelin which surrounds neuronal axons and helps transmit signals
throughout the CNS. Oligodendrocyte precursor cells (OPCs) are found in small
numbers in the adult CNS, but are unable to effectively differentiate following
CNS injury. Delivery of signaling molecules can initiate a favorable OPC
response, such as proliferation or differentiation. Here, we investigate the
delivery of one such molecule, platelet derived growth factor-AA (PDGF-AA), from
poly(lactic-co-glycolic) acid microparticles to OPCs in a 3D polyethylene glycol
based hydrogel. The goal of this DDS was to better understand the relationship
between PDGF-AA release kinetics and OPC fate. The system approximates native
brain tissue stiffness, while incorporating PDGF-AA under seven different
delivery scenarios. Within this DDS, supply of PDGF-AA followed by PDGF-AA
withdrawal caused OPCs to upregulate gene expression of myelin basic protein
(MBP) by factors of 1.6-9.2, whereas continuous supply of PDGF-AA caused OPCs to
remain proliferative. At the protein expression level, we observed an
upregulation in O1, a marker for mature oligodendrocytes. Together, these results
show that burst release followed by withdrawal of PDGF-AA from a hydrogel DDS
stimulates survival, proliferation, and differentiation of OPCs in vitro. Our
results could inform the development of improved neural regeneration strategies
that incorporate delivery of PDGF-AA to the injured CNS. (c) 2018 Wiley
Periodicals, Inc. J Biomed Mater Res Part A: 106A:2402-2411, 2018.
PMID- 29660253
TI - Airway stenting for patients with airway stenosis because of small cell lung
cancer.
AB - BACKGROUND: Airway stenting has been reported to be useful for patients with
malignant airway stenosis as a bridge to tumour-specific therapy, such as
chemotherapy and radiation therapy, as well as palliative therapy. However, its
role in patients with small-cell lung cancer (SCLC), the most aggressive lung
cancer subtype, is unclear. We investigated the efficacy of airway stenting for
patients with airway stenosis resulting from SCLC. METHODS: All stenting
procedures were performed using both rigid and flexible bronchoscopes under
general anaesthesia. Among 512 patients who underwent rigid bronchoscopy during a
9-year period at a single centre, those who underwent airway stenting for airway
stenosis because of SCLC were retrospectively reviewed. RESULTS: Twenty-one SCLC
patients with airway stenosis who underwent stenting were eligible for analysis.
Twelve patients (57%) were chemoradiotherapy-naive. Supplemental oxygen was
reduced after the procedure in 11 out of 12 patients (92%) who had previously
required it. Fourteen patients (67%) received tumour-specific therapy after the
procedure. The median post-procedural survival was 47 days (range, 5-617 days).
Longer survival was associated with the performance of post-procedural tumour
specific therapy, low serum lactate dehydrogenase levels and either tracheal or
bronchial stenosis. CONCLUSIONS: SCLC patients with airway stenting experienced
longer survival when post-procedural tumour-specific therapy was performed, when
they showed low serum lactate dehydrogenase levels, and when they had either
tracheal or bronchial stenosis.
PMID- 29660254
TI - Phosphatidic acid plays key roles regulating plant development and stress
responses.
AB - Phospholipids, including phosphatidic acid (PA), phosphatidylcholine (PC),
phosphatidylethanolamine (PE), phosphatidylglycerol (PG), phosphatidylserine (PS)
and phosphoinositides, have emerged as an important class of cellular messenger
molecules in various cellular and physiological processes, of which PA attracts
much attention of researchers. In addition to its effect on stimulating vesicle
trafficking, many studies have demonstrated that PA plays a crucial role in
various signaling pathways by binding target proteins and regulating their
activity and subcellular localization. Here, we summarize the functional
mechanisms and target proteins underlying PA-mediated regulation of cellular
signaling, development, hormonal responses, and stress responses in plants.
PMID- 29660256
TI - Quantitative evaluation of optical coherence tomography angiography images of
diabetic retinopathy eyes before and after removal of projection artifacts.
AB - Projection artifacts (PAs) affect the quantification of vascular parameters in
the deep layer optical coherence tomography (OCT) angiography image. This study
eliminated PA and quantified its effect on imaging. 53 eyes (30 subjects) of
normal Indian subjects and 113 eyes (92 patients) of type 2 diabetes mellitus
with retinopathy (DR) underwent imaging with a scan area of 3 mm * 3 mm. In this
study, a normalized cross-correlation between superficial and deep layer was used
to remove PA in deep layer. Local fractal analysis was done to compute vascular
parameters such as foveal avascular zone area (mm2 ), vessel density (%), spacing
between large vessels (%) and spacing between small vessels (%). Before PA
removal, vessel density for mild nonproliferative (NPDR), moderate NPDR, severe
NPDR and proliferative DR were 42.56 +/-1.69%, 40.69 +/-0.72%, 37.34 +/-0.85% and
35.61 +/-1.26%, respectively. After artifact removal, vessel density was 28.9 +/
1.22%, 29.9 +/-0.56%, 26.19 +/-0.59% and 24.02 +/-0.94%, respectively. All the
vascular parameters were statistically significant (P <.001) between normal and
disease eyes, irrespective of superficial and deep retinal layers. Parafoveal
sectoral analyses showed that temporal zone had the lowest vessel density and may
undergo DR-related changes first. The current approach enabled rapid and accurate
quantitative interpretation of DR eyes, without PA.
PMID- 29660255
TI - Trans-life cycle acclimation to experimental ocean acidification affects gastric
pH homeostasis and larval recruitment in the sea star Asterias rubens.
AB - AIM: Experimental simulation of near-future ocean acidification (OA) has been
demonstrated to affect growth and development of echinoderm larval stages through
energy allocation towards ion and pH compensatory processes. To date, it remains
largely unknown how major pH regulatory systems and their energetics are affected
by trans-generational exposure to near-future acidification levels. METHODS:
Here, we used the common sea star Asterias rubens in a reciprocal transplant
experiment comprising different combinations of OA scenarios, to study trans
generational plasticity using morphological and physiological endpoints. RESULTS:
Acclimation of adults to pHT 7.2 (pCO2 3500 MUatm) led to reductions in feeding
rates, gonad weight and fecundity. No effects were evident at moderate
acidification levels (pHT 7.4; pCO2 2000 MUatm). Parental pre-acclimation to pHT
7.2 for 85 days reduced developmental rates even when larvae were raised under
moderate and high pH conditions, whereas pre-acclimation to pHT 7.4 did not alter
offspring performance. Microelectrode measurements and pharmacological inhibitor
studies carried out on larval stages demonstrated that maintenance of alkaline
gastric pH represents a substantial energy sink under acidified conditions that
may contribute up to 30% to the total energy budget. CONCLUSION: Parental pre
acclimation to acidification levels that are beyond the pH that is encountered by
this population in its natural habitat (eg, pHT 7.2) negatively affected larval
size and development, potentially through reduced energy transfer. Maintenance of
alkaline gastric pH and reductions in maternal energy reserves probably
constitute the main factors for a reduced juvenile recruitment of this marine
keystone species under simulated OA.
PMID- 29660257
TI - Catholyte-Free Electrocatalytic CO2 Reduction to Formate.
AB - Electrochemical reduction of carbon dioxide (CO2 ) into value-added chemicals is
a promising strategy to reduce CO2 emission and mitigate climate change. One of
the most serious problems in electrocatalytic CO2 reduction (CO2 R) is the low
solubility of CO2 in an aqueous electrolyte, which significantly limits the
cathodic reaction rate. This paper proposes a facile method of catholyte-free
electrocatalytic CO2 reduction to avoid the solubility limitation using
commercial tin nanoparticles as a cathode catalyst. Interestingly, as the
reaction temperature rises from 303 K to 363 K, the partial current density (PCD)
of formate improves more than two times with 52.9 mA cm-2 , despite the decrease
in CO2 solubility. Furthermore, a significantly high formate concentration of
41.5 g L-1 is obtained as a one-path product at 343 K with high PCD (51.7 mA cm-2
) and high Faradaic efficiency (93.3 %) via continuous operation in a full flow
cell at a low cell voltage of 2.2 V.
PMID- 29660258
TI - Pleural tuberculosis: A concise clinical review.
AB - Tuberculosis (TB) is the leading infectious cause of death worldwide, and the
commonest cause of death in people living with HIV. Globally, pleural TB remains
one of the most frequent causes of pleural exudates, particularly in TB-endemic
areas and in the HIV positive population. Most TB pleural effusions are exudates
with high adenosine deaminase (ADA), lymphocyte-rich, straw-coloured and free
flowing, with a low yield on mycobacterial culture. TB pleurisy can also present
as loculated neutrophil-predominant effusions which mimic parapneumonic
effusions. Rarely, they can present as frank TB empyema, containing an abundance
of mycobacteria. Up to 80% of patients have parenchymal involvement on chest
imaging. The diagnosis is simple if M. tuberculosis is detected in sputum,
pleural fluid or biopsy specimens, and the recent advent of liquid medium culture
techniques has increased the microbiological yield dramatically. Where the
prevalence of TB is high the presence of a lymphocyte-predominant exudate with a
high ADA has a positive predictive value of 98%. In low prevalence areas, the
absence of an elevated ADA and lymphocyte predominance makes TB very unlikely,
and pleural biopsy should be performed to confirm the diagnosis. Pleural biopsy
for liquid culture and susceptibility testing must also be considered where the
prevalence of drug resistant TB is high. Treatment regimens are identical to
those administered for pulmonary TB. Initial pleural drainage may have a role in
symptom relief and in hastening the resolution of the effusion. Surgical
intervention may be required in loculated effusions and empyemas.
PMID- 29660259
TI - Hepatitis E seroprevalence in the Americas: A systematic review and meta
analysis.
AB - BACKGROUND & AIMS: While hepatitis E virus infections are a relevant topic in
Europe, knowledge about epidemiology of hepatitis E virus infections in the USA
and Latin America is still limited. Aim of this study was to estimate anti
hepatitis E virus IgG seroprevalence in the Americas and to assess whether low
socioeconomic status is associated with hepatitis E virus exposure. METHODS: We
performed a systematic review and meta-analysis. Literature search was performed
in PubMed for articles published 01/1994-12/2016. Prevalence was estimated using
a mixed-effects model and reported in line with PRISMA reporting guidelines.
RESULTS: Seroprevalence was significantly higher in the USA than in Latin
America, independently of assay, patient cohort, methodological quality or study
year (OR: 1.82 (1.06-3.08), P = .03). Patients in the USA had a more than doubled
estimated seroprevalence (up to 9%, confidence interval 5%-15.6%) than those in
Brazil (up to 4.2%, confidence interval 2.4%-7.1%; OR: 2.27 (1.25-4.13); P =
.007) and Mixed Caribbean (up to 1%, OR: 8.33 (1.15-81.61); P = .04). A
comparison with published data from Europe demonstrated that anti-hepatitis E
virus seroprevalence in the USA and Europe did not differ significantly (OR: 1.33
(0.81-2.19), P = .25), while rate in South America was significantly lower than
that in Europe (OR: 0.67 (0.45-0.98), P = .04). CONCLUSIONS: Hepatitis E virus is
common in the USA. Surprisingly, the risk of hepatitis E virus exposure was low
in many South American countries. Seroprevalence did not differ significantly
between Europe and the USA. Hence, hepatitis E virus is not limited to countries
with low sanitary standards, and a higher socioeconomic status does not protect
populations from hepatitis E virus exposure.
PMID- 29660260
TI - 2-(4'-Pyridyl-N-oxide)-Substituted Hemithioindigos as Photoresponsive Guests for
a Super Aryl-Extended Calix[4]pyrrole Receptor.
AB - We report the synthesis of two 2-(4'-pyridyl-N-oxide)-substituted hemithioindigos
(HTIs). We probed their photoisomerization by using UV/Vis and 1 H NMR
spectroscopy techniques. Light irradiation at lambda=450 nm provoked the
isomerization of the HTI Z isomer to the E counterpart to a large extent (~80 %
at the photostationary state). 1 H NMR titration experiments revealed the
formation of thermodynamically and kinetically stable 1:1 inclusion complexes of
the (Z)-HTI isomers with a super aryl-extended host (association constant>104 m
1 ). Photoirradiation at lambda=450 nm of the inclusion complexes induced the
isomerization of the bound HTI N-oxide to afford the (E)-HTI?calix[4]pyrrole
complex. We determined accurate association constant values for the 1:1 inclusion
complexes of the (Z)- and (E)-HTI isomers by using isothermal titration
calorimetry experiments. The results showed that the stability constants of the
(E)-HTI complexes were 2.2-2.8-fold lower than those of the (Z)-HTI counterparts,
which explains the lack of light-induced release of the former to the bulk
solution.
PMID- 29660261
TI - The novel KIR2DL1 allele, KIR2DL1*037, defined in the cell line SPO010 (IHW9036).
AB - The novel KIR2DL1*037 allele discovered and characterised by single molecule real
time (SMRT) DNA sequencing.
PMID- 29660262
TI - Reactive Periostitis Due To Inhalant Abuse.
PMID- 29660263
TI - Characteristics and long-term prognosis of patients with heart failure and mid
range ejection fraction compared with reduced and preserved ejection fraction: a
systematic review and meta-analysis.
AB - AIMS: This study aimed to assess by a meta-analysis the clinical characteristics,
all-cause and cardiovascular mortality, and hospitalization of patients with
heart failure (HF) with mid-range ejection fraction (HFmrEF) compared with HF
with reduced ejection fraction (HFrEF) and HF with preserved ejection fraction
(HFpEF). METHODS AND RESULTS: Data from 12 eligible observational studies
including 109 257 patients were pooled. HFmrEF patients were significantly
different and occupied a mid-position between HFrEF and HFpEF: mean age 73.6 +/-
9.8 vs. 72.6 +/- 9.8 and 77.6 +/- 7.2 years, male gender 59% vs. 68.5% and 40%,
ischaemic heart disease 49% vs. 52.6% and 39.4%, hypertension 67.3% vs. 61.5% and
76.5%, atrial fibrillation 45.2% vs. 39.6% and 46%, chronic obstructive pulmonary
disease 26.4% vs. 24.9% and 30.5%, estimated glomerular filtration rate 62 +/- 30
vs. 63.3 +/- 23 and 59 +/- 22.5, use of renin-angiotensin system inhibitors 79.6%
vs. 90.1% and 68.7%, beta-blockers 82% vs. 89% and 73.5%, and aldosterone
antagonists 20.3 vs. 31.5% and 26%, P-values < 0.05. After a mean follow-up of 31
+/- 5 months, all-cause mortality was significantly lower in HFmrEF than in HFrEF
and HFpEF (26.8% vs. 29.5% and 31%): risk ratio (RR) 0.95 [0.93-0.98; 95%
confidence interval (CI)], P < 0.001, and 0.97 (0.94-0.99; 95% CI), P = 0.014,
respectively. Cardiovascular mortality was lowest in HFmrEF (9.7% vs. 13% and
12.8%): RR = 0.81 (0.73-0.91), P < 0.001, and 1.10 (0.97-1.24; 95% CI), P = 0.13,
respectively. HF hospitalization in HFmrEF compared to that in HFrEF and HFpEF
was 23.9% vs. 27.6% and 23.3% with RR = 0.89 (0.85-0.93), P < 0.001, and RR =
1.12 (1.07-1.17), P < 0.001, respectively. CONCLUSIONS: The results of this study
support that HFmrEF is a distinct category characterized by a mid-position
between HFrEF and HFpEF and with the lowest all-cause and cardiovascular
mortality.
PMID- 29660264
TI - Selective Fragmentation of Biorefinery Corncob Lignin into p-Hydroxycinnamic
Esters with a Supported Zinc Molybdate Catalyst.
AB - Lignin is the largest renewable resource of bioaromatics, and the catalytic
fragmentation of lignin into phenolic monomers is increasingly recognized as an
important starting point for lignin valorization. Herein, we report that ZnMoO4
supported on MCM-41 can catalyze the fragmentation of biorefinery technical
lignin, enzymatic mild acidolysis lignin, and native lignin derived from corncob
to yield lignin oily products that contain 15-37.8 wt % phenolic monomers, in
which the high selectivities towards methyl coumarate (1) and methyl ferulate (2)
were obtained (up to 78 %). The effects of some key parameters such as the
influence of the solvent, reaction temperature, time, H2 pressure, and catalyst
dosage were examined in view of activity and selectivity. The loss of Zn from the
catalyst is discussed as the primary cause of deactivation, and the catalytic
activity and selectivity can be well preserved in at least six runs by thermal
calcination. The high selectivity to 1 and 2 leads to their easy separation and
purification from lignin oily product to provide sustainable monomers for the
preparation of functional polyether esters and polyesters.
PMID- 29660265
TI - Hypochlorite-Mediated Modulation of Photoinduced Electron Transfer in a
Phenothiazine-Boron dipyrromethene Electron Donor-Acceptor Dyad: A Highly Water
Soluble "Turn-On" Fluorescent Probe for Hypochlorite.
AB - A highly water-soluble phenothiazine (PTZ)-boron dipyrromethene (BODIPY)-based
electron donor-acceptor dyad (WS-Probe), which contains BODIPY as the signaling
antennae and PTZ as the OCl- reactive group, was designed and used as a
fluorescent chemosensor for the detection of OCl- . Upon addition of incremental
amounts of NaOCl, the quenched fluorescence of WS-Probe was enhanced drastically,
which indicated the inhibition of reductive photoinduced electron transfer (PET)
from PTZ to 1 BODIPY*; the detection limit was calculated to be 26.7 nm.
Selectivity studies with various reactive oxygen species, cations, and anions
revealed that WS-Probe was able to detect OCl- selectively. Steady-state
fluorescence studies performed at varied pH suggested that WS-Probe can detect
NaOCl and exhibits maximum fluorescence in the pH range of 7 to 8, similar to
physiological conditions. ESI-MS analysis and 1 H NMR spectroscopy titrations
showed the formation of sulfoxide as the major oxidized product upon addition of
hypochlorite. More interestingly, when WS-Probe was treated with real water
samples, the fluorescence response was clearly visible with tap water and
disinfectant, which indicated the presence of OCl- in these samples. The in vitro
cell viability assay performed with human embryonic kidney 293 (HEK 293) cells
suggested that WS-probe is non-toxic up to 10 MUm and implicates the use of the
probe for biological applications.
PMID- 29660266
TI - Role of Stem Cell-Like Memory T Cells in Systemic Lupus Erythematosus.
AB - OBJECTIVE: Stem cell-like memory T (Tscm) cells are long-lived memory T cells
that have multipotent capacity to differentiate into different subsets. However,
the role of Tscm cells in autoimmune diseases remains unclear. Here, we performed
phenotypic studies to identify Tscm cells in patients experiencing systemic lupus
erythematosus (SLE). METHODS: CD4+ and CD8+ Tscm cells were identified in SLE
patients and healthy controls (HCs). In in vitro culture systems, CD4+ Tscm cells
were induced to differentiate into subsets of T cells, including follicular
helper T (Tfh) cells, and cytokine production patterns were assessed after
stimulation. After confirming induction of transcription factors for Tfh cells,
the capacity of CD4+ Tscm-derived Tfh cells to help B cells was analyzed by
measuring antibody secretion. RESULTS: The percentages of CD4+ and CD8+ Tscm
cells among the naive CD4+/CD8+ or total CD4+ T cell populations were
significantly higher in SLE patients than in HCs. Stimulated Tscm cells from SLE
patients could replenish themselves and differentiate into other T lymphocyte
subsets, including Tfh cells upon stimulation with T cell receptor. Production of
T cell factor 1, which is an inducer of Tfh, was also increased. The
differentiated Tfh cells increased antibody production by autologous B cells.
CONCLUSION: Taken together, these findings suggest that Tscm cells play a role in
the pathogenesis of SLE by maintaining Tfh cells.
PMID- 29660267
TI - Recovery process and determinants of adverse event occurrence in bronchoscopic
procedures performed under general anaesthesia.
AB - OBJECTIVE: Regarding the fact that rigid bronchoscopy is generally performed
under general anaesthesia and this patient subgroup is remarkably morbid,
encountering procedure and/or anaesthesia related complications are highly
likely. Here, we aimed to assess factors influencing recovery and detect possible
determinants of adverse event occurrence during these operations performed in a
tertiary referral centre. METHODS: Eighty-one consecutive ASA I-IV patients were
recruited for this investigation. In the operating theatre after induction of
anaesthesia and advancement of the device, maintenance was provided with total
intravenous anaesthesia. Neuromuscular blockage was invariably administered, and
patients were ventilated manually. In addition to preoperative demographic and
procedural characteristics, perioperative hemodynamic variables, recovery times
and observed adverse events were noted. RESULTS: Basic demographic properties,
ASA and Mallampati scores, and procedure specific variables as lesion
localization, lesion and procedure type were comparable among groups assembled
with reference to event occurrence. Patients who had experienced adverse event
had higher heart rates. Recovery times were comparable between Event (-) and
Event (+) groups. Relationship of recovery process were individually tested with
all variables and only lesion type was detected to have an effect on respiration
and extubation times. Among all parameters only procedural time seemed to be
associated with adverse event occurrence (mins, 22.9 +/- 11.9 vs 41.6 +/- 28.8, P
< .001). CONCLUSION: Recovery times related with return of spontaneous
respiration were significantly lower in procedures performed for treatment of
tumoral diseases in this study and procedure length was determined to be the
ultimate factor which had an impact on adverse event occurrence.
PMID- 29660268
TI - Ultradeep Palmitoylomics Enabled by Dithiodipyridine-Functionalized Magnetic
Nanoparticles.
AB - Palmitoylation, a type of fatty acylation, has vital roles in many biological
processes. For ultradeep identification of protein palmitoylation, an enrichment
approach based on a novel magnetic microsphere modified with 2,2'
dithiodipyridine (Fe3O4/SiO2-SSPy microsphere) is presented in this study. The
Fe3O4/SiO2-SSPy microspheres were synthesized by directly coating thiol
containing silane coupling agent onto the magnetic supraparticles in aqueous
solution at room temperature. Due to the intrinsic magnetic properties, high
surface-to-volume ratios, and abundant reactive functional groups on the surface,
these microspheres enabled direct capture of palmitoylated targets and convenient
isolation, contributing to remarkable enrichment selectivity (purifying
palmitoylated peptides from mixtures with nonpalmitoylated peptides even at a
1:500 molar ratio) and sensitivity (the detection limit was at femtomole level),
thus enabling a global annotation of protein palmitoylation for complex
biological samples. We successfully identified 1304 putative palmitoylated
proteins from mouse brain tissues by using this method, which is the largest
mouse palmitoylome data set to date. Except for those known members, many new
proteins and pathways were also found to be regulated by palmitoylation.
PMID- 29660269
TI - Deterministic Encapsulation of Human Cardiac Stem Cells in Variable Composition
Nanoporous Gel Cocoons To Enhance Therapeutic Repair of Injured Myocardium.
AB - Although cocooning explant-derived cardiac stem cells (EDCs) in protective
nanoporous gels (NPGs) prior to intramyocardial injection boosts long-term cell
retention, the number of EDCs that finally engraft is trivial and unlikely to
account for salutary effects on myocardial function and scar size. As such, we
investigated the effect of varying the NPG content within capsules to alter the
physical properties of cocoons without influencing cocoon dimensions. Increasing
NPG concentration enhanced cell migration and viability while improving cell
mediated repair of injured myocardium. Given that the latter occurred with NPG
content having no detectable effect on the long-term engraftment of transplanted
cells, we found that changing the physical properties of cocoons prompted explant
derived cardiac stem cells to produce greater amounts of cytokines, nanovesicles,
and microRNAs that boosted the generation of new blood vessels and new
cardiomyocytes. Thus, by altering the physical properties of cocoons by varying
NPG content, the paracrine signature of encapsulated cells can be enhanced to
promote greater endogenous repair of injured myocardium.
PMID- 29660270
TI - Protective Effect of a Mitochondria-Targeted Peptide against the Development of
Chemotherapy-Induced Peripheral Neuropathy in Mice.
AB - Several chemotherapeutic agents used for cancer treatment induce dose-limiting
peripheral neuropathy that compromises patients' quality of life and limits
cancer treatment. Recently, mitochondrial dysfunction has been shown to be
involved in the mechanism of chemotherapy-induced peripheral neuropathy. SS-20 is
a mitochondria-targeted peptide that promotes mitochondrial respiration and
restores mitochondrial bioenergetics. In the present study, we examined the
protective effect of SS-20 against the development of chemotherapy-induced
peripheral neuropathy utilizing a murine model of peripheral neuropathy induced
by oxaliplatin, a first-line chemotherapy agent for colon cancer. Weekly
administrations of oxaliplatin induced peripheral neuropathy as demonstrated by
the development of neuropathic pain and loss of intraepidermal nerve fibers in
the hind paw. Continuous administration of SS-20 protected against the
development of oxaliplatin-induced neuropathic pain and mitigated the loss of
intraepidermal nerve fibers to normal levels. Our findings suggest that SS-20 may
be a drug candidate for the prevention of chemotherapy-induced peripheral
neuropathy.
PMID- 29660271
TI - Denaturation via Surfactants Changes Composition of Protein Corona.
AB - The use of nanocarriers as drug delivery vehicles brings them into contact with
blood plasma proteins. Polymeric nanocarriers require some sort of surfactant to
ensure colloidal stability. Formation of the protein corona is therefore
determined not only by the intrinsic properties of the nanocarrier itself but
also by the accompanying surfactant. Although it is well-known that surfactants
have an impact on protein structure, only few studies were conducted on the
specific effect of surfactants on the composition of protein corona of
nanocarriers. Therefore, we analyzed the composition of the protein corona on
"stealth" nanoparticles with additional surfactant (cetyltrimethylammonium
chloride, CTMA-Cl) after plasma incubation. Additional CTMA-Cl led to an
enrichment of apolipoprotein-A1 and vitronectin in the corona, while less
clusterin could be found. Further, the structural stability of apolipoprotein-A1
and clusterin was monitored for a wide range of CTMA-Cl concentrations. Clusterin
turned out to be more sensitive to CTMA-Cl, with denaturation occurring at lower
concentrations.
PMID- 29660272
TI - Force Field for Water over Pt(111): Development, Assessment, and Comparison.
AB - Metal/water interfaces are key in many natural and industrial processes, such as
corrosion, atmospheric, or environmental chemistry. Even today, the only
practical approach to simulate large interfaces between a metal and water is to
perform force-field simulations. In this work, we propose a novel force field,
GAL17, to describe the interaction of water and a Pt(111) surface. GAL17 builds
on three terms: (i) a standard Lennard-Jones potential for the bonding
interaction between the surface and water, (ii) a Gaussian term to improve the
surface corrugation, and (iii) two terms describing the angular dependence of the
interaction energy. The 12 parameters of this force field are fitted against a
set of 210 adsorption geometries of water on Pt(111). The performance of GAL17 is
compared to several other approaches that have not been validated against
extensive first-principles computations yet. Their respective accuracy is
evaluated on an extended set of 802 adsorption geometries of H2O on Pt(111), 52
geometries derived from icelike layers, and an MD simulation of an interface
between a c(4 * 6) Pt(111) surface and a water layer of 14 A thickness. The newly
developed GAL17 force field provides a significant improvement over previously
existing force fields for Pt(111)/H2O interactions. Its well-balanced performance
suggests that it is an ideal candidate to generate relevant geometries for the
metal/water interface, paving the way to a representative sampling of the
equilibrium distribution at the interface and to predict solvation free energies
at the solid/liquid interface.
PMID- 29660273
TI - Rapid Calculation of Molecular Kinetics Using Compressed Sensing.
AB - Recent methods for the analysis of molecular kinetics from massive molecular
dynamics (MD) data rely on the solution of very large eigenvalue problems. Here
we build upon recent results from the field of compressed sensing and develop the
spectral oASIS method, a highly efficient approach to approximate the leading
eigenvalues and eigenvectors of large generalized eigenvalue problems without
ever having to evaluate the full matrices. The approach is demonstrated to reduce
the dimensionality of the problem by 1 or 2 orders of magnitude, directly leading
to corresponding savings in the computation and storage of the necessary matrices
and a speedup of 2 to 4 orders of magnitude in solving the eigenvalue problem. We
demonstrate the method on extensive data sets of protein conformational changes
and protein-ligand binding using the variational approach to conformation
dynamics (VAC) and time-lagged independent component analysis (TICA). Our
approach can also be applied to kernel formulations of VAC, TICA, and extended
dynamic mode decomposition (EDMD).
PMID- 29660274
TI - Plane-Wave Implementation and Performance of a-la-Carte Coulomb-Attenuated
Exchange-Correlation Functionals for Predicting Optical Excitation Energies in
Some Notorious Cases.
AB - Linear-response time-dependent density functional theory (LR-TD-DFT) has become a
valuable tool in the calculation of excited states of molecules of various sizes.
However, standard generalized-gradient approximation and hybrid exchange
correlation (xc) functionals often fail to correctly predict charge-transfer (CT)
excitations with low orbital overlap, thus limiting the scope of the method. The
Coulomb-attenuation method (CAM) in the form of the CAM-B3LYP functional has been
shown to reliably remedy this problem in many CT systems, making accurate
predictions possible. However, in spite of a rather consistent performance across
different orbital overlap regimes, some pitfalls remain. Here, we present a fully
flexible and adaptable implementation of the CAM for Gamma-point calculations
within the plane-wave pseudopotential molecular dynamics package CPMD and explore
how customized xc functionals can improve the optical spectra of some notorious
cases. We find that results obtained using plane waves agree well with those from
all-electron calculations employing atom-centered bases, and that it is possible
to construct a new Coulomb-attenuated xc functional based on simple
considerations. We show that such a functional is able to outperform CAM-B3LYP in
some cases, while retaining similar accuracy in systems where CAM-B3LYP performs
well.
PMID- 29660275
TI - Entrapped Single Tungstate Site in Zeolite for Cooperative Catalysis of Olefin
Metathesis with Bronsted Acid Site.
AB - Industrial olefin metathesis catalysts generally suffer from low reaction rates
and require harsh reaction conditions for moderate activities. This is due to
their inability to prevent metathesis active sites (MASs) from aggregation and
their intrinsic poor adsorption and activation of olefin molecules. Here,
isolated tungstate species as single molecular MASs are immobilized inside
zeolite pores by Bronsted acid sites (BASs) on the inner surface. It is
demonstrated that unoccupied BASs in atomic proximity to MASs enhance olefin
adsorption and facilitate the formation of metallocycle intermediates in a
stereospecific manner. Thus, effective cooperative catalysis takes place over the
BAS-MAS pair inside the zeolite cavity. In consequence, for the cross-metathesis
of ethene and trans-2-butene to propene, under mild reaction conditions, the
propene production rate over WO x/USY is ca. 7300 times that over the industrial
WO3/SiO2-based catalyst. A propene yield up to 79% (80% selectivity) without
observable deactivation was obtained over WO x/USY for a wide range of reaction
conditions.
PMID- 29660276
TI - Combined Approach of Backbone Amide Linking and On-Resin N-Methylation for the
Synthesis of Bioactive and Metabolically Stable Peptides.
AB - Rhabdopeptides are a large class of nonribosomal peptides from the bacteria
Xenorhabdus and Photorhabdus with low micromolar activity against different
protozoa, which are the causative agents of several tropical diseases. The
development of a facile and flexible synthesis combining backbone amide linking
with on-resin peralkylation for the synthesis of permethylated rhabdopeptides is
described. This strategy allows the fast generation of permethylated naturally
occurring and artificial rhabdopeptides for a structure-activity study.
Furthermore, in vitro experiments revealed their superior properties regarding
their stability and passive membrane diffusion.
PMID- 29660278
TI - Transient Charge and Energy Flow in the Wide-Band Limit.
AB - The wide-band limit is a commonly used approximation to analyze transport through
nanoscale devices. In this work we investigate its applicability to the study of
charge and heat transport through molecular break junctions exposed to voltage
biases and temperature gradients. We find by comparative simulations that while
the wide-band-limit approximation faithfully describes the long-time charge and
heat transport, it fails to characterize the short-time behavior of the junction.
In particular, we show that the charge current flowing through the device shows a
discontinuity when a temperature gradient is applied, while the energy flow is
discontinuous when a voltage bias is switched on and even diverges when the
junction is exposed to both a temperature gradient and a voltage bias. We provide
an explanation for this pathological behavior and propose two possible solutions
to this problem.
PMID- 29660277
TI - Dendrimersomes Exhibit Lamellar-to-Sponge Phase Transitions.
AB - Lamellar to nonlamellar membrane shape transitions play essential roles in key
cellular processes, such as membrane fusion and fission, and occur in response to
external stimuli, including drug treatment and heat. A subset of these
transitions can be modeled by means of thermally inducible amphiphile assemblies.
We previously reported on mixtures of hydrogenated, fluorinated, and hybrid Janus
dendrimers (JDs) that self-assemble into complex dendrimersomes (DMSs), including
dumbbells, and serve as promising models for understanding the complexity of
biological membranes. Here we show, by means of a variety of complementary
techniques, that DMSs formed by single JDs or by mixtures of JDs undergo a
thermally induced lamellar-to-sponge transition. Consistent with the formation of
a three-dimensional bilayer network, we show that DMSs become more permeable to
water-soluble fluorophores after transitioning to the sponge phase. These DMSs
may be useful not only in modeling isotropic membrane rearrangements of
biological systems but also in drug delivery since nonlamellar delivery vehicles
can promote endosomal disruption and cargo release.
PMID- 29660279
TI - HOMO Level Pinning in Molecular Junctions: Joint Theoretical and Experimental
Evidence.
AB - A central issue in molecular electronics in order to build functional devices is
to assess whether changes in the electronic structure of isolated compounds by
chemical derivatization are retained once the molecules are inserted into
molecular junctions. Recent theoretical studies have suggested that this is not
always the case due to the occurrence of pinning effects making the alignment of
the transporting levels insensitive to the changes in the electronic structure of
the isolated systems. We explore here this phenomenon by investigating at both
the experimental and theoretical levels the I/ V characteristics of molecular
junctions incorporating three different three-ring phenylene ethynylene
derivatives designed to exhibit a significant variation of the HOMO level in the
isolated state. At the theoretical level, our NEGF/DFT calculations performed on
junctions including the three compounds show that, whereas the HOMO of the
molecules varies by 0.61 eV in the isolated state, their alignment with respect
to the Fermi level of the gold electrodes in the junction is very similar (within
0.1 eV). At the experimental level, the SAMs made of the three compounds have
been contacted by a conducting AFM probe to measure their I/ V characteristics.
The alignment of the HOMO with respect to the Fermi level of the gold electrodes
has been deduced by fitting the I/ V curves, using a model based on a single
level description (Newns-Anderson model). The extracted values are found to be
very similar for the three derivatives, in full consistency with the theoretical
predictions, thus providing clear evidence for a HOMO level pinning effect.
PMID- 29660280
TI - Comparison of Linear and Hyperbranched Polyether Lipids for Liposome Shielding by
18F-Radiolabeling and Positron Emission Tomography.
AB - Multifunctional and highly biocompatible polyether structures play a key role in
shielding liposomes from degradation in the bloodstream, providing also multiple
functional groups for further attachment of targeting moieties. In this work
hyperbranched polyglycerol ( hbPG) bearing lipids with long alkyl chain anchor
are evaluated with respect to steric stabilization of liposomes. The branched
polyether lipids possess a hydrophobic bis(hexadecyl)glycerol membrane anchor for
the liposomal membrane. hbPG was chosen as a multifunctional alternative to PEG,
enabling the eventual linkage of multiple targeting vectors. Different hbPG
lipids ( Mn = 2900 and 5200 g mol-1) were examined. A linear
bis(hexadecyl)glycerol-PEG lipid ( Mn = 3000 g mol-1) was investigated as well,
comparing hbPG and PEG with respect to shielding properties. Radiolabeling of the
polymers was carried out using 1-azido-2-(2-(2-[18F]fluoroethoxy)ethoxy)ethane
([18F]F-TEG-N)3 via copper-catalyzed alkyne-azide cycloaddition with excellent
radiochemical yields exceeding 95%. Liposomes were prepared by the thin-film
hydration method followed by repeated extrusion. Use of a custom automatic
extrusion device gave access to reproducible sizes of the liposomes (hydrodynamic
radius of 60-94 nm). The in vivo fate of the bis(hexadecyl)glycerol polyethers
and their corresponding assembled liposome structures were evaluated via
noninvasive small animal positron emission tomography (PET) imaging and
biodistribution studies (1 h after injection and 4 h after injection) in mice.
Whereas the main uptake of the nonliposomal polyether lipids was observed in the
kidneys and in the bladder after 1 h due to rapid renal clearance, in contrast,
the corresponding liposomes showed uptake in the blood pool as well as in organs
with good blood supply, that is, heart and lung over the whole observation period
of 4 h. The in vivo behavior of all three liposomal formulations was comparable,
albeit with remarkable differences in splenic uptake. Overall, liposomes shielded
by the branched polyglycerol lipids show a favorable biodistribution with greatly
prolonged blood circulation times, rendering them promising novel nanovesicles
for drug transport and targeting.
PMID- 29660281
TI - Grand Canonical Investigation of the Quasi Liquid Layer of Ice: Is It Liquid?
AB - In this study, the solid-vapor equilibrium and the quasi liquid layer (QLL) of
ice Ih exposing the basal and primary prismatic faces were explored by means of
grand canonical molecular dynamics simulations with the monatomic mW potential.
For this model, the solid-vapor equilibrium was found to follow the Clausius
Clapeyron relation in the range examined, from 250 to 270 K, with a Delta Hsub of
50 kJ/mol in excellent agreement with the experimental value. The phase diagram
of the mW model was constructed for the low pressure region around the triple
point. The analysis of the crystallization dynamics during condensation and
evaporation revealed that, for the basal face, both processes are highly
activated, and in particular cubic ice is formed during condensation, producing
stacking-disordered ice. The basal and primary prismatic surfaces of ice Ih were
investigated at different temperatures and at their corresponding equilibrium
vapor pressures. Our results show that the region known as QLL can be interpreted
as the outermost layers of the solid where a partial melting takes place. Solid
islands in the nanometer length scale are surrounded by interconnected liquid
areas, generating a bidimensional nanophase segregation that spans throughout the
entire width of the outermost layer even at 250 K. Two approaches were adopted to
quantify the QLL and discussed in light of their ability to reflect this
nanophase segregation phenomena. Our results in the MUVT ensemble were compared
with NPT and NVT simulations for two system sizes. No significant differences
were found between the results as a consequence of model system size or of the
working ensemble. Nevertheless, certain advantages of performing MUVT simulations
in order to reproduce the experimental situation are highlighted. On the one
hand, the QLL thickness measured out of equilibrium might be affected because of
crystallization being slower than condensation. On the other, preliminary
simulations of AFM indentation experiments show that the tip can induce capillary
condensation over the ice surface, enlarging the apparent QLL.
PMID- 29660282
TI - Design, (Radio)Synthesis, and in Vitro and in Vivo Evaluation of Highly Selective
and Potent Matrix Metalloproteinase 12 (MMP-12) Inhibitors as Radiotracers for
Positron Emission Tomography.
AB - Dysregulated levels of activated matrix metalloproteinases (MMPs) are linked to
different pathologies, such as cancer, atherosclerosis, neuroinflammation, and
arthritis. Therefore, imaging of MMPs with positron-emission tomography (PET)
represents a powerful tool for the diagnosis of MMP-associated diseases.
Moreover, to distinguish between the distinct functions and roles of individual
MMPs in particular pathophysiological processes, their specific imaging must be
realized with radiolabeled tracers, such as fluorine-18-labeled MMP inhibitors
(MMPIs). Therefore, fluorinated dibenzofuransulfonamide-based MMPIs showing
excellent inhibition of MMP-12 and selectivity for MMP-12 over other MMPs were
prepared. MMP-12 is a key enzyme in diseases such as chronic obstructive
pulmonary disease (COPD) and atherosclerosis. Because of their promising in vitro
properties, three candidates (4, 9, and 19) were selected from this library, and
radiofluorinated analogues ([18F]4, [18F]9, and [18F]19) were successfully
synthesized. Initial in vitro serum stability and in vivo biodistribution studies
of the radiolabeled MMPIs with PET demonstrated their potential benefit for
preferable MMP-12 imaging.
PMID- 29660283
TI - Genotoxicity Assessment of Drinking Water Disinfection Byproducts by DNA Damage
and Repair Pathway Profiling Analysis.
AB - Genotoxicity is considered a major concern for drinking water disinfection
byproducts (DBPs). Of over 700 DBPs identified to date, only a small number has
been assessed with limited information for DBP genotoxicity mechanism(s). In this
study, we evaluated genotoxicity of 20 regulated and unregulated DBPs applying a
quantitative toxicogenomics approach. We used GFP-fused yeast strains that
examine protein expression profiling of 38 proteins indicative of all known DNA
damage and repair pathways. The toxicogenomics assay detected genotoxicity
potential of these DBPs that is consistent with conventional genotoxicity assays
end points. Furthermore, the high-resolution, real-time pathway activation and
protein expression profiling, in combination with clustering analysis, revealed
molecular level details in the genotoxicity mechanisms among different DBPs and
enabled classification of DBPs based on their distinct DNA damage effects and
repair mechanisms. Oxidative DNA damage and base alkylation were confirmed to be
the main molecular mechanisms of DBP genotoxicity. Initial exploration of QSAR
modeling using moleular genotoxicity end points (PELI) suggested that
genotoxicity of DBPs in this study was correlated with topological and quantum
chemical descriptors. This study presents a toxicogenomics-based assay for fast
and efficient mechanistic genotoxicity screening and assessment of a large number
of DBPs. The results help to fill in the knowledge gap in the understanding of
the molecular mechanisms of DBP genotoxicity.
PMID- 29660284
TI - Nonmicrobicidal Small Molecule Inhibition of Polysaccharide Metabolism in Human
Gut Microbes: A Potential Therapeutic Avenue.
AB - A new approach for the nonmicrobicidal phenotypic manipulation of prominent
gastrointestinal microbes is presented. Low micromolar concentrations of a
chemical probe, acarbose, can selectively inhibit the Starch Utilization System
and ablate the ability of Bacteroides thetaiotaomicron and B. fragilis strains to
metabolize potato starch and pullulan. This strategy has potential therapeutic
relevance for the selective modulation of the GI microbiota in a nonmicrobicidal
manner.
PMID- 29660285
TI - Pseudotargeted MS Method for the Sensitive Analysis of Protein Phosphorylation in
Protein Complexes.
AB - In this study, we presented an enrichment-free approach for the sensitive
analysis of protein phosphorylation in minute amounts of samples, such as
purified protein complexes. This method takes advantage of the high sensitivity
of parallel reaction monitoring (PRM). Specifically, low confident
phosphopeptides identified from the data-dependent acquisition (DDA) data set
were used to build a pseudotargeted list for PRM analysis to allow the
identification of additional phosphopeptides with high confidence. The
development of this targeted approach is very easy as the same sample and the
same LC-system were used for the discovery and the targeted analysis phases. No
sample fractionation or enrichment was required for the discovery phase which
allowed this method to analyze minute amount of sample. We applied this
pseudotargeted MS method to quantitatively examine phosphopeptides in affinity
purified endogenous Shc1 protein complexes at four temporal stages of EGF
signaling and identified 82 phospho-sites. To our knowledge, this is the highest
number of phospho-sites identified from the protein complexes. This
pseudotargeted MS method is highly sensitive in the identification of low
abundance phosphopeptides and could be a powerful tool to study phosphorylation
regulated assembly of protein complex.
PMID- 29660286
TI - Visualization of Nanoplasmonic Coupling to Molecular Orbital in Light Emission
Induced by Tunneling Electrons.
AB - The coupling between localized plasmon and molecular orbital in the light
emission from a metallic nanocavity has been directly detected and imaged with
sub-0.1 nm resolution. The light emission intensity was enhanced when the energy
difference between the tunneling electrons and the lowest unoccupied molecular
orbital (LUMO) of an azulene molecule matches the energy of a plasmon mode of the
nanocavity defined by the Ag-tip and Ag (110) substrate of a scanning tunneling
microscope (STM). The spatially resolved image of the light emission intensity
matches the spatial distribution of the LUMO obtained by scanning tunneling
spectroscopy (STS) and density functional theory (DFT) calculations. Our results
highlight the near-field coupling of a molecular orbital to the radiative decay
of a plasmonic excitation in a confined nanoscale junction.
PMID- 29660288
TI - Atomic Orbital Implementation of Extended Symmetry-Adapted Perturbation Theory
(XSAPT) and Benchmark Calculations for Large Supramolecular Complexes.
AB - We report an implementation of extended symmetry-adapted perturbation theory
(XSAPT) in the atomic orbital basis, extending this method to systems where the
monomers are large. In our "XSAPT(KS)" approach, monomers are described using
range-separated Kohn-Sham (KS) density functional theory (DFT), with correct
asymptotic behavior set by tuning the range-separation parameter omega in a
monomer-specific way. This is accomplished either by conventional ionization
potential (IP)-based tuning, in which omega is adjusted to satisfy the condition
epsilonHOMO(omega) = -IP(omega), or else using a "global density-dependent" (GDD)
condition, in which omega is fixed based on the size of the exchange hole. The
latter procedure affords better results for both total interaction energies and
energy components, when used in conjunction with our third-generation pairwise
atom-atom dispersion potential (+ aiD3). Three-body (triatomic) dispersion terms
are found to be important when the monomers are large, and we incorporate these
by means of an Axilrod-Teller-Muto term, Edisp,3BATM, which reduces errors in
supramolecular interaction energies by about a factor of 2. The XSAPT(KS) + aiD3
+ Edisp,3BATM(omegaGDD) approach affords mean absolute errors as low as 1.2 and
4.2 kcal/mol, respectively, for the L7 and S12L benchmark test sets of large
dimers. Such errors are comparable to those afforded by far more expensive
methods, such as DFT-SAPT, and the closely related second-order perturbation
theory with coupled dispersion (MP2C). We also survey the performance of various
other quantum-chemical methods for these data sets and identify several
semiempirical and DFT-based approaches whose accuracy approaches that of MP2C, at
dramatically reduced cost.
PMID- 29660287
TI - A Trimethoprim Conjugate of Thiomaltose Has Enhanced Antibacterial Efficacy In
Vivo.
AB - Trimethoprim is one of the most widely used antibiotics in the world. However,
its efficacy is frequently limited by its poor water solubility and dose limiting
toxicity. Prodrug strategies based on conjugation of oligosaccharides to
trimethoprim have great potential for increasing the solubility of trimethoprim
and lowering its toxicity, but they have been challenging to develop due to the
sensitivity of trimethoprim to chemical modifications, and the rapid degradation
of oligosaccharides in serum. In this report, we present a trimethoprim conjugate
of maltodextrin termed TM-TMP, which increased the water solubility of
trimethoprim by over 100 times, was stable to serum enzymes, and was active
against urinary tract infections in mice. TM-TMP is composed of thiomaltose
conjugated to trimethoprim, via a self-immolative disulfide linkage, and releases
4'-OH-trimethoprim (TMP-OH) after disulfide cleavage, which is a known metabolic
product of trimethoprim and is as potent as trimethoprim. TM-TMP also contains a
new maltodextrin targeting ligand composed of thiomaltose, which is stable to
hydrolysis by serum amylases and therefore has the metabolic stability needed for
in vivo use. TM-TMP has the potential to significantly improve the treatment of a
wide number of infections given its high water solubility and the widespread use
of trimethoprim.
PMID- 29660289
TI - Can Glycation Reduce Food Allergenicity?
AB - As a naturally occurring reaction during food processing, glycation, also known
as non-enzymatic browning or Maillard reaction, can improve food protein
physiochemical properties and functionality. In this perspective, three aspects
of glycation (terminology confusion between glycation and glycosylation, its
current application, and its impact on immunoreactivity) are elaborated. Overall,
the immunoreactivity of glycated proteins may decrease, remain unchanged, or even
increase after food glycation. Also, it should be noted that the effect of
glycation on the immunoglobulin (Ig)E- or IgG-binding capacity of allergens does
not necessarily and correctly predict the allergenicity of the glycated protein
in the allergic patient population.
PMID- 29660291
TI - The Molecular Mechanism Underlying Ligand Binding to the Membrane-Embedded Site
of a G-Protein-Coupled Receptor.
AB - The crystal structure of P2Y1 receptor (P2Y1R), a class A GPCR, revealed a
special extra-helical site for its antagonist, BPTU, which locates in-between the
membrane and the protein. However, due to the limitation of crystallization
experiments, the membrane was mimicked by use of detergents, and the information
related to the binding of BPTU to the receptor in the membrane environment is
rather limited. In the present work, we conducted a total of ~7.5 MUs all-atom
simulations in explicit solvent using conventional molecular dynamics and
multiple enhanced sampling methods, with models of BPTU and a POPC bilayer, both
in the absence and presence of P2Y1R. Our simulations revealed that BPTU prefers
partitioning into the interface of polar/lipophilic region of the lipid bilayer
before associating with the receptor. Then, it interacts with the second
extracellular loop of the receptor and reaches the binding site through the lipid
receptor interface. In addition, by use of funnel-metadynamics simulations which
efficiently enhance the sampling of bound and unbound states, we provide a
statistically accurate description of the underlying binding free energy
landscape. The calculated absolute ligand-receptor binding affinity is in
excellent agreement with the experimental data (Delta Gb0_theo = -11.5 kcal mol
1, Delta Gb0_exp= -11.7 kcal mol-1). Our study broadens the view of the current
experimental/theoretical models and our understanding of the protein-ligand
recognition mechanism in the lipid environment. The strategy used in this work is
potentially applicable to investigate ligands association/dissociation with other
membrane-embedded sites, allowing identification of compounds targeting membrane
receptors of pharmacological interest.
PMID- 29660290
TI - Effects of High-Pressure Treatment on the Muscle Proteome of Hake by Bottom-Up
Proteomics.
AB - A bottom-up proteomics approach was applied for the study of the effects of high
pressure (HP) treatment on the muscle proteome of fish. The performance of the
approach was established for a previous HP treatment (150-450 MPa for 2 min) on
frozen (up to 5 months at -10 degrees C) European hake ( Merluccius merluccius).
Concerning possible protein biomarkers of quality changes, a significant
degradation after applying a pressure >=430 MPa could be observed for
phosphoglycerate mutase-1, enolase, creatine kinase, fructose bisphosphate
aldolase, triosephosphate isomerase, and nucleoside diphosphate kinase; contrary,
electrophoretic bands assigned to tropomyosin, glyceraldehyde-3-phosphate
dehydrogenase, and beta parvalbumin increased their intensity after applying a
pressure >=430 MPa. This repository of potential protein biomarkers may be very
useful for further HP investigations related to fish quality.
PMID- 29660292
TI - Two-Level Chebyshev Filter Based Complementary Subspace Method: Pushing the
Envelope of Large-Scale Electronic Structure Calculations.
AB - We describe a novel iterative strategy for Kohn-Sham density functional theory
calculations aimed at large systems (>1,000 electrons), applicable to metals and
insulators alike. In lieu of explicit diagonalization of the Kohn-Sham
Hamiltonian on every self-consistent field (SCF) iteration, we employ a two-level
Chebyshev polynomial filter based complementary subspace strategy to (1) compute
a set of vectors that span the occupied subspace of the Hamiltonian; (2) reduce
subspace diagonalization to just partially occupied states; and (3) obtain those
states in an efficient, scalable manner via an inner Chebyshev filter iteration.
By reducing the necessary computation to just partially occupied states and
obtaining these through an inner Chebyshev iteration, our approach reduces the
cost of large metallic calculations significantly, while eliminating subspace
diagonalization for insulating systems altogether. We describe the implementation
of the method within the framework of the discontinuous Galerkin (DG) electronic
structure method and show that this results in a computational scheme that can
effectively tackle bulk and nano systems containing tens of thousands of
electrons, with chemical accuracy, within a few minutes or less of wall clock
time per SCF iteration on large-scale computing platforms. We anticipate that our
method will be instrumental in pushing the envelope of large-scale ab initio
molecular dynamics. As a demonstration of this, we simulate a bulk silicon system
containing 8,000 atoms at finite temperature, and obtain an average SCF step wall
time of 51 s on 34,560 processors; thus allowing us to carry out 1.0 ps of ab
initio molecular dynamics in approximately 28 h (of wall time).
PMID- 29660293
TI - Direct Observation of Cr3+ 3d States in Ruby: Toward Experimental Mechanistic
Evidence of Metal Chemistry.
AB - The role of transition metals in chemical reactions is often derived from probing
the metal 3d states. However, the relation between metal site geometry and 3d
electronic states, arising from multielectronic effects, makes the spectral data
interpretation and modeling of these optical excited states a challenge. Here we
show, using the well-known case of red ruby, that unique insights into the
density of transition metal 3d excited states can be gained with 2p3d resonant
inelastic X-ray scattering (RIXS). We compare the experimental determination of
the 3d excited states of Cr3+ impurities in Al2O3 with 190 meV resolution 2p3d
RIXS to optical absorption spectroscopy and to simulations. Using the crystal
field multiplet theory, we calculate jointly for the first time the Cr3+
multielectronic states, RIXS, and optical spectra based on a unique set of
parameters. We demonstrate that (i) anisotropic 3d multielectronic interactions
causes different scaling of Slater integrals, and (ii) a previously not observed
doublet excited state exists around 3.35 eV. These results allow to discuss the
influence of interferences in the RIXS intermediate state, of core-hole lifetime
broadenings, and of selection rules on the RIXS intensities. Finally, our results
demonstrate that using an intermediate excitation energy between L3 and L2 edges
allows measurement of the density of 3d excited states as a fingerprint of the
metal local structure. This opens up a new direction to pump-before-destroy
investigations of transition metal complex structures and reaction mechanisms.
PMID- 29660294
TI - High-Performance All-Polymer Solar Cells Achieved by Fused Perylenediimide-Based
Conjugated Polymer Acceptors.
AB - We report three n-type polymeric electron acceptors (PFPDI-TT, PFPDI-T, and PFPDI
Se) based on the fused perylene diimide (FPDI) and thieno[3,2- b]thiophene,
thiophene, or selenophene units for all-polymer solar cells (all-PSCs). These
FPDI-based polymer acceptors exhibit strong absorption between 350 and 650 nm
with wide optical bandgap of 1.86-1.91 eV, showing good absorption compensation
with the narrow bandgap polymer donor. The lowest unoccupied molecular orbital
(LUMO) energy levels were located at around -4.11 eV, which are comparable with
those of the fullerene derivatives and other small molecular electron acceptors.
The conventional all-PSCs based on the three polymer acceptors and PTB7-Th as
polymer donor gave remarkable power conversion efficiencies (PCEs) of >6%, and
the PFPDI-Se-based all-PSC achieved the highest PCE of 6.58% with a short-circuit
current density ( Jsc) of 13.96 mA/cm2, an open-circuit voltage ( Voc) of 0.76 V,
and a fill factor (FF) of 62.0%. More interestingly, our results indicate that
the photovoltaic performances of the FPDI-based polymer acceptors are mainly
determined by the FPDI unit with a small effect from the comonomers, which is
quite different from the others reported rylenediimide-based polymer acceptors.
This intriguing phenomenon is speculated as the huge geometry configuration of
the FPDI unit, which minimizes the effect of the comonomer. These results
highlight a promising future for the application of the FPDI-based polymer
acceptors in the highly efficient all-PSCs.
PMID- 29660295
TI - Breastfeeding Twins: Factors Related to Weaning.
AB - BACKGROUND: Many factors may influence a woman's decision to start and maintain
breastfeeding. Research aim: This study aimed to investigate the factors
associated with breastfeeding cessation in twin infants during the first 6 months
after birth and to describe the main reasons for weaning cited by mothers of
twins. METHODS: This is a secondary data analysis of a prospective randomized
trial conducted in Brazil. Data were obtained through longitudinal quantitative
and qualitative self-reported interviews. One hundred twenty-eight women pregnant
with twins and their 256 infants were followed for up to approximately 6 months,
during which time breastfeeding data were obtained through face-to-face
interviews at three different points after birth: 30 to 40 days (Time 1), 90 days
(Time 2), and 180 days (Time 3). The association between weaning and the
investigated factors was examined using survival analysis methodologies. RESULTS:
Nonexclusive breastfeeding ( p = .004, Cox proportional hazards regression
model), a lack of support during the lactation period ( p = .001), difficulty
breastfeeding ( p = .003), a breastfeeding duration shorter than 12 months in a
previous pregnancy ( p = .001), and infants' birth weight less than 2,300 g ( p <
.001) were the factors associated with breastfeeding cessation. The main reasons
for weaning cited by mothers of twins were insufficient human milk supply,
infants' behavior, and returning to work. CONCLUSION: We have identified the
factors associated with weaning in twin infants during the first 180 days of
life. This knowledge can help improve strategies to increase breastfeeding rates
in twins.
PMID- 29660296
TI - Influence of AIDS antiretroviral therapy on the growth pattern.
AB - OBJECTIVES: Human immunodeficiency virus infection can result in the early
impairment of anthropometric indicators in children and adolescents. However,
combined antiretroviral therapy has improved, in addition to the immune response
and viral infection, the weight and height development in infected individuals.
Therefore, the objective was to evaluate the effect of combined antiretroviral on
the growth development of human immunodeficiency virus infected children and
adolescents. SOURCE OF DATA: A systematic review was performed. In the study, the
PRISMA (Preferred Reporting Items for Systematic Reviews and Meta-Analyses)
strategy was used as the eligibility criterion. The MEDLINE-PubMed and LILACS
databases were searched using these descriptors: HIV, children, growth,
antiretroviral therapy. The objective was defined by the population,
intervention, comparison/control, and outcome (PICO) technique. Inclusion and
exclusion criteria were applied for study selection. SYNTHESIS OF DATA: Of the
549 studies indexed in MEDLINE-PubMed and LILACS, 73 were read in full, and 44
were included in the review (33 showed a positive impact of combined
antiretroviral therapy on weight/height development, ten on weight gain, and one
on height gain in children and adolescents infected with human immunodeficiency
virus). However, the increase in growth was not enough to normalize the height of
infected children when compared to children of the same age and gender without
human immunodeficiency virus infection. CONCLUSIONS: Combined antiretroviral
therapy, which is known to play a role in the improvement of viral and
immunological markers, may influence in the weight and height development in
children infected with human immunodeficiency virus. The earlier the infection
diagnosis and, concomitantly, of malnutrition and the start of combined
antiretroviral therapy, the lower the growth impairment when compared to healthy
children.
PMID- 29660297
TI - Structured integration of family planning curriculum: comparative assessment of
knowledge and skills among new medical graduates in Ethiopia.
AB - OBJECTIVE: The objective was to assess if structured integration of a
comprehensive family planning (FP) training into a medical school curriculum
improves FP knowledge and skill scores of medical interns. STUDY DESIGN: We
compared mean contraception knowledge scores of interns in a medical school with
the integrated FP curriculum [intervention school] (n=56) to interns at four
conventional medical curriculum schools without structured reinforcement of FP
content [control schools] (n=161) in Ethiopia. A survey with 19 multiple choice
contraception questions was administered. We also compared the mean contraception
skills scores of the two groups at four Objective Structured Clinical Examination
stations. The survey included self-reported number of contraception procedures
and self-assessed competencies on a Likert scale. RESULTS: A total of 217 interns
who have completed an Obstetrics and Gynecology rotation participated in the
study. Interns from the intervention school reported performing substantially
higher numbers of contraception procedures and rated themselves as being
competent/highly competent across all procedures compared to the control schools
(p<.001 for both). The mean knowledge score was significantly higher in the
intervention school [13.1 vs. 8.7, difference 4.5, 95% CI: (3.7-5.2), p<.001].
The mean contraceptive implant insertion skill score was twofold higher for
interns in the intervention school [22 points vs. 11, difference 10.7, 95% CI:
(8.6-12.8), p<.001 out of a maximum possible point of 30]. Statistically
significant differences in skill scores were also observed for intrauterine
device IUD insertion [15 vs. 12, p<.01] and implant removal [11 vs. 9, p=.01].
CONCLUSION: A structured integration of family planning curriculum was associated
with higher scores in knowledge, clinical skills and self-assessed competencies.
IMPLICATIONS: Integrating comprehensive family planning training in medical
curriculum can lead to graduating physicians who are more competent to offer the
full range of FP options.
PMID- 29660298
TI - Postpartum hemorrhage: new insights for definition and diagnosis.
AB - The current definition of is inadequate for early recognition of this important
cause of maternal death that is responsible for >80,000 deaths worldwide in 2015.
A stronger definition of postpartum hemorrhage should include both blood loss and
clinical signs of cardiovascular changes after delivery, which would help
providers to identify postpartum hemorrhage more promptly and accurately. Along
with the amount of blood loss, clinical signs, and specifically the shock index
(heart rate divided by systolic blood pressure) appear to aid in more accurate
diagnosis of postpartum hemorrhage.
PMID- 29660301
TI - Prevalence Estimates for Hidradenitis Suppurativa among Children and Adolescents
in the United States: A Gender- and Age-Adjusted Population Analysis.
AB - The prevalence of hidradenitis suppurativa (HS) in the pediatric population is
unknown. We sought to establish standardized overall as well as gender-, age-,
and race-specific prevalence estimates of HS among children and adolescents in
the United States. We performed a cross-sectional analysis in a heterogeneous
sample of 55 million patients across all census regions. We identified 1,240
patients with HS in whom the ratio of girls to boys was 3.8:1. Almost all (96.8%)
patients with HS were >=10 years of age. Overall, HS prevalence was 0.028%, or
28.1 (95% confidence interval [CI] 26.5-29.7) per 100,000 children and
adolescents. Standardized prevalence was higher in girls (44.6 [95% CI 41.8-47.5]
per 100,000), in patients aged 15-17 years (113.7 [95% CI 106.4-121.4] per
100,000), and among African Americans (78.7 [95% CI 71.0-86.9] per 100,000).
Highest prevalence of HS was observed among female adolescents aged 15-17 years
who were African Americans (525.1 [95% CI 459.4-597.5] per 100,000) and biracial
(253.2 [95% CI 121.4-465.6] per 100,000). Patients with HS who went undiagnosed
were not captured, and as such prevalence estimates may be underestimated. HS
appears to be a postpubertal disease that disproportionately afflicts girls and
African Americans in the pediatric population.
PMID- 29660300
TI - The Major Orphan Forms of Ichthyosis Are Characterized by Systemic T-Cell
Activation and Th-17/Tc-17/Th-22/Tc-22 Polarization in Blood.
AB - The ichthyoses are rare skin disorders with immune and barrier aberrations.
Identifying blood phenotypes may advance targeted therapeutics. We aimed to
compare frequencies of skin homing/cutaneous lymphocyte antigen (+) versus
systemic/cutaneous lymphocyte antigen (-) "polar" CD4+/CD8+ and activated T-cell
subsets in ichthyosis versus atopic dermatitis, psoriasis, and control blood,
with appropriate clinical correlations. Flow cytometry was used to measure IFN
gamma, IL-13, IL-9, IL-17, and IL-22 cytokines in CD4+/CD8+ T cells, with
inducible co-stimulator molecule and HLA-DR defining mid- and long-term T-cell
activation, respectively. We compared peripheral blood from 47 patients with
ichthyosis (congenital ichthyosiform erythroderma, lamellar ichthyosis,
epidermolytic ichthyosis, and Netherton syndrome) with 43 patients with atopic
dermatitis and 24 patients with psoriasis and 59 age-matched controls. Clinical
measures included the ichthyosis severity score, with subsets for erythema and
scaling, transepidermal water loss, and pruritus. All ichthyoses had excessive
inducible co-stimulator molecule activation (P < 0.001), particularly
epidermolytic ichthyosis. Significantly elevated IL-17- (P < 0.05) and IL-22
producing (P < 0.01) T cells characterized ichthyoses, mainly Netherton syndrome
and congenital ichthyosiform erythroderma (P < 0.05). Increased T helper
2/cytotoxic T cell 2/T helper 9 (P < 0.05) and similar IFN-gamma frequencies (P >
0.1) versus controls were also noted. IL-17/IL-22-producing cells clustered with
clinical measures, whereas IFN-gamma clustered with age. Our data show peripheral
blood IL-17/IL-22 activation across the ichthyoses, correlating with clinical
measures. Targeted therapies should dissect the relative contribution of polar
cytokines to disease pathogenesis.
PMID- 29660299
TI - Infection-induced thrombin production: a potential novel mechanism for preterm
premature rupture of membranes (PPROM).
AB - BACKGROUND: Preterm premature rupture of membranes is a leading contributor to
maternal and neonatal morbidity and death. Epidemiologic and experimental studies
have demonstrated that thrombin causes fetal membrane weakening and subsequently
preterm premature rupture of membranes. Although blood is suspected to be the
likely source of thrombin in fetal membranes and amniotic fluid of patients with
preterm premature rupture of membranes, this has not been proved. Ureaplasma
parvum is emerging as a pathogen involved in prematurity, which includes preterm
premature rupture of membranes; however, until now, prothrombin production that
has been induced directly by bacteria in fetal membranes has not been described.
OBJECTIVE: This study was designed to investigate whether Ureaplasma parvum
exposure can induce prothrombin production in fetal membranes cells. STUDY
DESIGN: Primary fetal membrane cells (amnion epithelial, chorion trophoblast, and
decidua stromal) or full-thickness fetal membrane tissue explants from elective,
term, uncomplicated cesarean deliveries were harvested. Cells or tissue explants
were infected with live Ureaplasma parvum (1*105, 1*106 or 1*107 colony-forming
units per milliliter) or lipopolysaccharide (Escherichia coli J5, L-5014; Sigma
Chemical Company, St. Louis, MO; 100 ng/mL or 1000 ng/mL) for 24 hours. Tissue
explants were fixed for immunohistochemistry staining of thrombin/prothrombin.
Fetal membrane cells were fixed for confocal immunofluorescent staining of the
biomarkers of fetal membrane cell types and thrombin/prothrombin. Protein and
messenger RNA were harvested from the cells and tissue explants for Western blot
or quantitative reverse transcription polymerase chain reaction to quantify
thrombin/prothrombin protein or messenger RNA production, respectively. Data are
presented as mean values +/- standard errors of mean. Data were analyzed using 1
way analysis of variance with post hoc Dunnett's test. RESULTS: Prothrombin
production and localization were confirmed by Western blot and immunostainings in
all primary fetal membrane cells and tissue explants. Immunofluorescence
observations revealed a perinuclear localization of prothrombin in amnion
epithelial cells. Localization of prothrombin in chorion and decidua cells was
perinuclear and cytoplasmic. Prothrombin messenger RNA and protein expression in
fetal membranes were increased significantly by Ureaplasma parvum, but not
lipopolysaccharide, treatments in a dose-dependent manner. Specifically,
Ureaplasma parvum at a dose of 1*107 colony-forming units/mL significantly
increased both prothrombin messenger RNA (fold changes in amnion: 4.1+/-1.9;
chorion: 5.7+/-4.2; decidua: 10.0+/-5.4; fetal membrane: 9.2+/-3.0) and protein
expression (fold changes in amnion: 138.0+/-44.0; chorion: 139.6+/-15.1; decidua:
56.9+/-29.1; fetal membrane: 133.1+/-40.0) compared with untreated control
subjects. Ureaplasma parvum at a dose of 1*106 colony-forming units/mL
significantly up-regulated prothrombin protein expression in chorion cells (fold
change: 54.9+/-5.3) and prothrombin messenger RNA expression in decidua cells
(fold change: 4.4+/-1.9). CONCLUSION: Our results demonstrate that prothrombin
can be produced directly by fetal membrane amnion, chorion, and decidua cells.
Further, prothrombin production can be stimulated by Ureaplasma parvum exposure
in fetal membranes. These findings represent a potential novel underlying
mechanism of Ureaplasma parvum-induced rupture of fetal membranes.
PMID- 29660302
TI - miR-223-RhoB signaling pathway regulates the proliferation and apoptosis of colon
adenocarcinoma.
AB - MicroRNAs (miRNAs) can function as tumor suppressor or oncogenic genes. The
putative targets of miR-223 include tumor suppressor gene, RhoB. Here we sought
to investigate the role of miR-223-RhoB signaling pathway in proliferation of
colon cancer. We used Western blot, immunofluorescence staining, or RT-PCR to
detect expression levels of miR-223 and RhoB in colon adenocarcinoma and adjacent
non-cancerous tissue samples, or in human colon adenocarcinoma cell lines. MTT
assay was used to determine proliferation and apoptosis in cell lines. We further
used Western blot to determine levels of cell cycle regulators CDK1 and Cyclin B1
with anti-miR-223 or apoptosis with overexpression of RhoB. The expression level
of miR-223 was significantly upregulated in clinical samples and cell lines of
colon adenocarcinoma, in contrast to down-regulation of RhoB. In addition, we
showed that inhibition of miR-223 led to upregulation of RhoB and in turn
suppression of proliferation of colon adenocarcinoma. Moreover, inhibition of miR
223 or overexpression of RhoB induced cell arrest or apoptosis in colon
adenocarcinoma. These results suggest that miR-223-RhoB signaling pathway plays
an important role in modulation of proliferation, cell arrest, and apoptosis in
colon cancer.
PMID- 29660303
TI - Potential role of MicroRNA 200c gene expression in assessment of colorectal
cancer.
AB - BACKGROUND AND AIM: Colorectal cancer (CRC) is a common cancer worldwide that
affects men and women of all racial and ethnic groups. Recent evidence supports
the role of microRNAs in CRC. We planned to investigate microRNA200c expression
and its relation with diagnosis, prognosis, metastasis and overall survival in
CRC patients. This study enrolled 90 subjects (3'0 CRC patients, 30 patients with
benign colorectal polyps and 30 healthy control subjects). METHODS: Laboratory
investigations included measurement of serum CA19-9 and CEA by enzyme linked
immunosorbent assay (ELISA) method and relative quantitation (RQ) of microRNA200c
gene expression by real time PCR technique. RESULTS: Significant higher
MicroRNA200c expression levels in CRC patients versus both benign (P < 0.011) and
control groups (P < 0.001), additionally, benign group had elevated levels versus
control (P < 0.001). MicroRNA 200c at cutoff >4.56 had sensitivity 86.67% and
specificity 73.33% (P < 0.001) for CRC discrimination. Kaplan-Meier survival
analysis revealed significant association (P = 0.028) of high expression of
microRNA200c with decreased overall survival. CONCLUSION: Noticeable up
regulation of microRNA200c in CRC and its remarkable relation with unfavorable
survival suggesting its potential dual use as a diagnostic and prognostic
biomarker for CRC.
PMID- 29660304
TI - Circadian rhythm resynchronization improved isoflurane-induced cognitive
dysfunction in aged mice.
AB - Postoperative cognitive dysfunction (POCD) is a common clinical phenomenon
characterized by cognitive deficits in patients after anesthesia and surgery.
Advanced age is a significant independent risk factor for POCD. We previously
reported that in young mice, sleep-wake rhythm is involved in the isoflurane
induced memory impairment. In present study, we sought to determine whether
advanced age increased the risk of POCD through aggravated and prolonged post
anesthetic circadian disruption in the elderly. We constructed POCD model by
submitting the mice to 5-h 1.3% isoflurane anesthesia from Zeitgeber Time (ZT) 14
to ZT19. Under novel object recognition assay (NOR) and Morris water maze (MWM)
test, We found 5-h isoflurane anesthesia impaired the cognition of young mice for
early 3 days after anesthesia but damaged the aged for at least 1 week. With Mini
Mitter continuously monitoring, a 3.22 +/- 0.75 h gross motor activity acrophase
delay was manifested in young mice on D1, while in the aged mice, the gross motor
activity phase shift lasted for 3 days, consistent with the body temperature
rhythm trends of change. Melatonin has been considered as an effective remedy for
circadian rhythm shift. In aged mice, melatonin was pretreated intragastrically
at the dose of 10 mg/kg daily for 7 consecutive days before anesthesia. We found
that melatonin prevented isoflurane-induced cognitive impairments by restoring
the locomotor activity and temperature circadian rhythm via clock gene
resynchronization. Overall, these results indicated that Long-term isoflurane
anesthesia induced more aggravated and prolonged memory deficits and circadian
rhythms disruption in aged mice. Melatonin could prevent isoflurane-induced
cognitive impairments by circadian rhythm resynchronization.
PMID- 29660305
TI - Temporomandibular Joint Reconstruction in Patients With Autoimmune/Connective
Tissue Disease.
AB - PURPOSE: To evaluate clinical outcomes in patients with autoimmune or connective
tissue (CT) disease undergoing temporomandibular joint (TMJ) reconstruction.
MATERIALS AND METHODS: Patients were divided into 2 groups based on type of TMJ
reconstruction. Patients in group 1 (n = 9) underwent autogenous reconstruction
and had a diagnosis of rheumatoid arthritis (5), lupus arthritis (1), and
psoriatic arthritis (3). Patients in group 2 (n = 2l) had alloplastic
reconstruction with a patient-fitted total joint prosthesis (TMJ Concepts,
Ventura, CA) and had a diagnosis of rheumatoid arthritis (15), lupus (3), and
psoriatic arthritis (3). Standardized clinical and radiographic examinations were
performed before surgery, immediately after surgery, and at longest follow-up
after surgery (T3). RESULTS: Group l showed no meaningful improvement in maximal
opening without pain, a decrease in lateral excursions, minimal decrease in TMJ
pain, and a 32% relapse of chin projection at point B at T3. Average postsurgery
follow-up time was 58 months. Postsurgical ankylosis was seen in 22% of patients.
In contrast, group 2 showed a statistically meaningful decrease in subjective TMJ
pain and lateral excursive movements and improvement in jaw function, diet,
maximal incisal opening without pain, and only 5% showed a relapse at point B at
T3. Average postsurgical follow-up in this group was 78 months. CONCLUSIONS:
Improved treatment outcomes were obtained with alloplastic TMJ total joint
reconstruction compared with autogenous TMJ reconstruction in patients with
autoimmune or CT disease.
PMID- 29660306
TI - Ablation of toll-like receptor 4 attenuates aging-induced myocardial remodeling
and contractile dysfunction through NCoRI-HDAC1-mediated regulation of autophagy.
AB - Aging is usually accompanied with overt structural and functional changes as well
as suppressed autophagy in the heart although the precise regulatory mechanisms
are somewhat unknown. Here we evaluated the role of the innate proinflammatory
mediator toll-like receptor 4 (TLR4) in cardiac aging and the underlying
mechanism with a focus on autophagy. Cardiac geometry and function were monitored
in young or old wild-type (WT) and TLR4 knockout (TLR4-/-) mice using
echocardiography, IonOptix(r) edge-detection and fura-2 techniques. Levels of
autophagy and mitophagy, nuclear receptor corepressor 1 (NCoR1) and histone
deacetylase I (HDAC1) were examined using western blot. Transmission electronic
microscopy (TEM) was employed to monitor myocardial ultrastructure. Our results
revealed that TLR4 ablation alleviated advanced aging (24 months)-induced changes
in myocardial remodeling (increased heart weight, chamber size, cardiomyocyte
cross-sectional area), contractile function and intracellular Ca2+ handling as
well as autophagy and mitophagy [Beclin-1, Atg5, LC3B, PTEN-induced putative
kinase 1 (PINK1), Parkin and p62]. Aging downregulated levels of NCoR1 and HDAC1
as well as their interaction, the effects were significantly attenuated or
negated by TLR4 ablation. Advanced aging disturbed myocardial ultrastructure as
evidenced by loss of myofilament alignment and swollen mitochondria, which was
obliterated by TLR4 ablation. Moreover, aging suppressed autophagy (GFP-LC3B
puncta) in neonatal mouse cardiomyocytes, the effect of which was negated by the
TLR4 inhibitor CLI-095. Inhibition of HDCA1 using apicidin cancelled off CLI095
induced beneficial response of GFP-LC3B puncta against aging. Our data
collectively indicate a role for TLR4-mediated autophagy in cardiac remodeling
and contractile dysfunction in aging through a HDAC1-NCoR1-dependent mechanism.
PMID- 29660307
TI - Gonadotropin receptors of Labeo rohita: Cloning and characterization of full
length cDNAs and their expression analysis during annual reproductive cycle.
AB - Follicle-stimulating hormone (Fsh) and luteinizing hormone (Lh), secreted from
pituitary, stimulate gonadal function by binding to their cognate receptors FSH
receptor (FSHR), and LH/choriogonadotropin receptor (LHCGR). Rohu (Labeo rohita)
is a commercially important seasonal breeder freshwater fish species, but till
date, the regulation of expression of gonadotropins and their receptors gene
during different phases of annual reproductive cycle has not been investigated.
We envisaged the critical role of these molecules during seasonal gonadal
development in this carp species. We cloned full- length cDNAs of fshra and
lhcgrba from rohu testis using RACE (Rapid amplification of cDNA ends) and
analyzed their expression along with fsh and lh by quantitative real time PCR
(qRT-PCR) assay at various gonadal developmental stages of the annual
reproductive cycle. Full-length rohu fshra and lhcgrba cDNA encodes 670 and 716
amino acids respectively, and in adult fish, they were widely expressed in brain,
pituitary, gonad, liver, kidney, head kidney, heart, muscle, gill, fin, eye and
intestine. In male, both fsh and fshra transcripts showed high level of
expression during spermatogenesis, however, in female, expression level was found
to be higher in the fully grown oocyte stages. The expression of rohu lh and
lhcgrba mRNA increased with increment of gonadosomatic index and showed highest
level during spermiation stage in male and fully matured oocyte stage in female.
These results together may suggest the involvement of fshra and lhcgrba in
regulating function of seasonal gonadal development in rohu.
PMID- 29660308
TI - The effects of replacing eggs with chicks on mesotocin, dopamine, and prolactin
in the native Thai hen.
AB - The mesotocinergic (MTergic) and dopaminergic (DAergic) systems have been
documented to play pivotal roles in maternal behaviors in native Thai chickens.
In native Thai chickens, plasma prolactin (PRL) concentrations are associated
with maternal behaviors, which are also controlled by the DAergic system.
However, the role of MT in conjunction with the roles of DA and PRL on the
neuroendocrine regulation of the transition from incubating to rearing behavior
has never been studied. Therefore, the aim of this study was to investigate the
association of MT, DA, and PRL during the transition from incubating to rearing
behavior in native Thai hens. Using an immunohistochemistry technique, the
numbers of MT-immunoreactive (-ir) and tyrosine hydroxylase-ir (TH-ir, a DA
marker) neurons were compared between incubating hens (INC; n = 6) and hens for
which the incubated eggs were replaced with 3 newly hatched chicks for 3 days
after 6, 10, and 14 days of incubation (REC; n = 6). Plasma PRL concentrations
were determined by enzyme-linked immunosorbent assay. The results revealed that
the numbers of MT-ir neurons within the nucleus supraopticus, pars ventralis
(SOv), nucleus preopticus medialis (POM), and nucleus paraventricularis
magnocellularis (PVN) increased in the REC hens when compared with those of the
INC hens at 3 different time points (at days 9, 13, and 17). On the other hand,
the number of TH-ir neurons in the nucleus intramedialis (nI) decreased in the
REC13 and REC17 hens when compared with those of the INC hens. However, the
number of TH-ir neurons in the nucleus mamillaris lateralis (ML) only decreased
in the REC13 hens when compared with the INC13 hens. The decrease in the numbers
of TH-ir neurons within the nI and ML is associated with the decrease in the
levels of plasma PRL. This study suggests that the presence of either eggs or
chicks is the key factor regulating the MTergic system within the SOv, POM, and
PVN and the DAergic system within the nI and ML during the transition from
incubating to rearing behavior in native Thai chickens. The results further
indicate that these two systems play pivotal roles in the transition from
incubating to rearing behavior in this equatorial species.
PMID- 29660309
TI - Alterations of pigment composition and their interactions in response to
different light conditions in the diatom Chaetoceros gracilis probed by time
resolved fluorescence spectroscopy.
AB - Maintenance of energy balance under changeable light conditions is an essential
function of photosynthetic organisms to achieve efficient photochemical
reactions. Among the photosynthetic organisms, diatoms possess light-harvesting
fucoxanthin chlorophyll (Chl) a/c-binding protein (FCP) as peripheral antennas.
However, how diatoms regulate excitation-energy distribution between FCP and the
two photosystem cores during light adaptation is poorly understood. In this
study, we examined spectroscopic properties of a marine diatom Chaetoceros
gracilis adapted in the dark and at photosynthetic photon flux density at 30 and
300 MUmol photons m-2 s-1. Absorption spectra at 77 K showed significant changes
in the Soret region, and 77-K steady-state fluorescence spectra showed
significant differences in the spectral shape and relative fluorescence intensity
originating from both PSII and PSI, among the cells grown under different light
conditions. These results suggest alterations of pigment composition and their
interactions under the different light conditions. These alterations affected the
excitation-energy dynamics monitored by picosecond time-resolved fluorescence
analyses at 77 K significantly. The contributions of Chls having lower energy
levels than the reaction center Chls in the two photosystems to the energy
dynamics were clearly identified in the three cells but with presumably different
roles. These findings provide insights into the regulatory mechanism of
excitation-energy balance in diatoms under various light conditions.
PMID- 29660310
TI - A modeling and simulation perspective on the mechanism and function of
respiratory complex I.
AB - Respiratory complex I is a giant redox-driven proton pump, and central to energy
production in mitochondria and bacteria. It catalyses the reduction of quinone to
quinol, and converts the free energy released into the endergonic proton
translocation across the membrane. The proton pumping sets up the proton
electrochemical gradient, which propels the synthesis of ATP. Despite the
availability of extensive biochemical, biophysical and structural data on complex
I, the mechanism of coupling between the electron and proton transfer reactions
remain uncertain. In this work, we discuss current state-of-the-art in the field
with particular emphasis on the molecular mechanism of respiratory complex I, as
deduced from computational modeling and simulation approaches, but in strong
alliance with the experimental data. This leads to novel synthesis of mechanistic
ideas on a highly complex enzyme of the electron transport chain that has been
associated with a number of mitochondrial and neurodegenerative disorders.
PMID- 29660311
TI - Prevalence and genotypes of Enterocytozoon bieneusi in China.
AB - Enterocytozoon bieneusi has been considered as the most frequently diagnosed
microsporidian species in humans and various animal species, accounting for more
than 90% of the cases of human microsporidiosis. Spores of this pathogen excreted
from both symptomatic and asymptomatic hosts into environment also would be an
important source of waterborne outbreak of microsporidiosis. Due to limited
effective drugs available but with too much side effects to mammals (eg. toxic),
accurate characterization of E. bieneusi in both humans and animals is essential
to implement effective control strategies to this pathogen. In China, E. bieneusi
infection was presented in humans and some animals with high prevalence. Analysis
of genetic variations of the internal transcribed spacer (ITS) sequences found
361 genotypes in China, and some novel genotypes were identified in some specific
hosts. Additionally, associations between infections and some risk factors were
also observed. In the present article, we reviewed the current status of
prevalence, genotypes, multilocus genotypes (MLGs) in humans, various animals and
waters in China. These findings will provide basic information for developing
effective control strategies against E. bieneusi infection in China as well as
other countries.
PMID- 29660312
TI - The EGF/Ras pathway controls growth in Drosophila via ribosomal RNA synthesis.
AB - The Ras small G-protein is a conserved regulator of cell and tissue growth during
animal development. Studies in Drosophila have shown how Ras can stimulate a RAF
MEK-ERK signalling pathway to control cell growth and proliferation in response
to Epidermal Growth Factor (EGF) stimulation. This work has also defined several
transcription factors that can function as downstream growth effectors of the
EGF/Ras/ERK pathway by stimulating mRNA transcription. Here we report on
stimulation of RNA polymerase I (Pol I)-mediated ribosomal RNA (rRNA) synthesis
as a growth effector of Ras/ERK signalling in Drosophila. We show that Ras/ERK
signalling promotes an increase in nucleolar size in larval wing discs, which is
indicative of increased ribosome synthesis. We also find that activation of
Ras/ERK signalling promotes rRNA synthesis both in vivo and in cultured
Drosophila S2 cells. We show that Ras signalling can regulate the expression of
the Pol I transcription factor TIF-IA, and that this regulation requires dMyc.
Finally, we find that TIF-IA-mediated rRNA synthesis is required for Ras/ERK
signalling to drive proliferation in both larval and adult Drosophila tissues.
These findings indicate that Ras signalling can promote ribosome synthesis in
Drosophila, and that this is one mechanism that contributes to the growth effects
of the Ras signalling pathway.
PMID- 29660314
TI - Nrf2 as a therapeutic target for rheumatic diseases.
AB - Nuclear factor (erythroid-derived 2)-like 2 (Nrf2) is a master regulator of
cellular protective processes. Rheumatic diseases are chronic conditions
characterized by inflammation, pain, tissue damage and limitations in function.
Main examples are rheumatoid arthritis, systemic lupus erythematosus,
osteoarthritis and osteoporosis. Their high prevalence constitutes a major health
problem with an important social and economic impact. A wide range of evidence
indicates that Nrf2 may control different mechanisms involved in the
physiopathology of rheumatic conditions. Therefore, the appropriate expression
and balance of Nrf2 is necessary for regulation of oxidative stress,
inflammation, immune responses, and cartilage and bone metabolism. Numerous
studies have demonstrated that Nrf2 deficiency aggravates the disease in
experimental models while Nrf2 activation results in immunoregulatory and anti
inflammatory effects. These reports reinforce the increasing interest in the
pharmacologic regulation of Nrf2 and its potential applications. Nevertheless, a
majority of Nrf2 inducers are electrophilic molecules which may present off
target effects. In recent years, novel strategies have been sought to modulate
the Nrf2 pathway which has emerged as a therapeutic target in rheumatic
conditions.
PMID- 29660313
TI - The convergent roles of CD271/p75 in neural crest-derived melanoma plasticity.
AB - The embryonic microenvironment is an important source of signals that promote
multipotent cells to adopt a specific fate and direct cells along distinct
migratory pathways. Yet, the ability of the embryonic microenvironment to retain
multipotent progenitors or reprogram de-differentiated cells is less clear.
Mistakes in cell differentiation or migration often result in developmental
defects and tumorigenesis, including aggressive cancers that share many
characteristics with embryonic progenitor cells. This is a striking feature of
the vertebrate neural crest, a multipotent and highly migratory cell population
first identified by His (1868) with the potential to metamorphose into aggressive
melanoma cancer. In this perspective, we address the roles of CD271/p75 in tumor
initiation, phenotype switching and reprogramming of metastatic melanoma and
discuss the convergence of these roles in melanoma plasticity.
PMID- 29660315
TI - Metronomic vinorelbine is directly active on Non Small Cell Lung Cancer cells and
sensitizes the EGFRL858R/T790M cells to reversible EGFR tyrosine kinase
inhibitors.
AB - Metronomic vinorelbine (mVNR) has been described primarily as an antiangiogenic
therapy, and no direct effects of mVNR on Non Small Cell Lung Cancer (NSCLC)
cells has yet been demonstrated. The aims of this study were i) to establish the
direct activity of mVNR on NSCLC cells either EGFR wt or EGFRL858R/T790M, and ii)
to quantify the synergism of the combination with reversible EGFR tyrosine kinase
inhibitors (TKIs), investigating the underlying mechanism of action.
Proliferation assays were performed on A-549 (wt EGFRhigh), H-292 (EGFR-wt), H
358 (EGFR-wt), H-1975 (EGFRL858R/T790M) NSCLC cell lines exposed to mVNR, its
active metabolite deacetyl-VNR (D-VNR), gefitinib and erlotinib for 144 h
treatments. The synergism between mVNR and EGFR TKIs was determined by the
combination index (CI) in EGFR-wt and H-1975 NSCLC cells. Cyclin-D1 and ABCG2
genes expression and protein levels were measured by RT-PCR and ELISA assays, as
well as the phosphorylation of ERK1/2 and Akt. Intracellular concentrations of
EGFR TKIs and VNR were investigated with a mass spectrometry system. mVNR, and
its active metabolite D-VNR, were extremely active on NSCLC cells, in particular
on H-1975 (IC50 = 13.56 +/- 2.77 pM), resistant to TKIs. mVNR inhibited the
phosphorylation of ERK1/2 and Akt and significantly decreased the expression of
both cyclin-D1 and ABCG2 m-RNA and protein. The simultaneous combination of VNR
and reversible EGFR TKIs showed a strong synergism on EGFR-wt NSCLC cells and on
H-1975 cells (e.g. CI = 0.501 for 50% of affected cells), increasing the
intracellular concentrations of EGFR TKIs (e.g. +50.5% vs. gefitinib alone). In
conclusions, mVNR has direct effects on NSCLC cells and sensitizes resistant
cells to EGFR TKIs, increasing their intracellular concentrations.
PMID- 29660316
TI - Vitrification tendency and stability of DP6-based vitrification solutions for
complex tissue cryopreservation.
AB - Vitrification tendency and stability of the amorphous state were analyzed by
means of differential scanning calorimetry (DSC) for the vitrification solution
DP6, with and without additional solutes to enhance ice suppression. This study
is a part of an ongoing research effort to characterize the thermophysical and
mechanical properties of DP6 and its derivatives, and their qualities as
cryoprotective solutions. DP6 was determined to have a critical cooling rate
necessary to ensure vitrification of 2.7 degrees C/min. The following additional
solutions were tested: DP6 + 6% (2R, 3R) 2,3-butanediol, DP6 + 6% 1,3
cyclohexanediol, DP6 + 6% (0.175M) sucrose, DP6 + 12% PEG 400, and DP6 + 17.1%
(0.5 M) sucrose. The additives decreased the critical cooling rate of the DP6
solution to rates below 1 degrees C/min that were not quantifiable by the DSC
techniques used. The following critical warming rates necessary to avoid
devitrification were identified for DP6 and the modified solutions, respectively:
189 degrees C/min, 5 degrees C/min, ~ 1 degrees C/min, 15 degrees C/min, <1
degrees C/min, and <1 degrees C/min. Glass transition temperatures and melting
temperatures were also measured. Sucrose was the least effective additive on a
per mass basis, with 1,3-cyclohexanediol appearing to be the most effective
additive for suppressing ice formation in DP6.
PMID- 29660317
TI - History of cryobiology, with special emphasis in evolution of mouse sperm
cryopreservation.
AB - Confucius said study the past if you would define the future and a popular
statement says that history depends on who writes it. To talk about history it is
necessary to find and define a milestone where to start the narration. The
intention of this quick review is to take the reader through moments and selected
publications; part and pieces of memories showing how the concept of
cryopreservation, specifically for mouse sperm, was conceived and sustained as we
know it today. Beginning with the development of the microscope (1677) and
continuing through the 17th century with the first documented observation by L.
Spallanzani describing that sperm could maintain the motility under cold
conditions. As J. Sherman suggested, we divide the cryopreservation evolution
into two sequences, previous to and after 1949 when Polge, Smith and Parkes
discovered the property of glycerol as cryoprotectant. Later, in 1972, D.
Whittingham, S. Leibo, and P. Mazur applying a slow freezing process achieved the
first embryo freezing (mouse). During that time many theories were scientifically
confirmed. Among those, Peter Mazur demonstrated the relation between the speed
of freezing and intracellular ice formation, and Stanley Leibo that each cell
type has their unique freezing curve. In 1950, after the discovery of the
protective aspect of glycerol, sperm from many mammals were frozen, except from
the mouse. It was in the early 90's when the mouse sperm freezing becomes
important and it was a real challenge for many groups, nevertheless, the
technique using skim milk and raffinose modified by Dr Nakagata was the beginning
of a different story ....
PMID- 29660318
TI - Reply by Authors.
PMID- 29660319
TI - Editorial Comment.
PMID- 29660320
TI - Water-pocket endoscopic submucosal dissection for superficial gastric neoplasms
(with video).
AB - BACKGROUND AND AIMS: During endoscopic submucosal dissection (ESD), a clear view
is essential for precise dissection of the appropriate submucosal layer. Some
advantages have been reported for underwater techniques of endoscopic resection
in comparison with the gas insufflation method. We have developed a new ESD
method with the creation of a local water pocket (WP) that provides a clear view
in the dissection field. Therefore, we aimed to investigate the feasibility and
safety of WP-ESD for superficial gastric neoplasms. METHODS: We prospectively
recruited 50 patients with gastric neoplasms (early gastric cancer or gastric
adenomas) between April 2017 and December 2017. Among them, 48 patients were
treated with the WP-ESD technique. The patients undergoing WP-ESD were compared
with 48 patients treated with standard ESD (S-ESD) who were selected by
propensity score matching. The primary outcome was the ESD procedure time.
RESULTS: Total procedure time was significantly shorter in the WP-ESD group than
in the S-ESD group (median [interquartile range], 27.5 [19-45] minutes vs 41
[29.8-69] minutes; P < .001). Similarly, the dissection speed was significantly
greater in the WP-ESD group than in the S-ESD group (median [interquartile
range], 22.5 [16.8-35.3] mm2/min vs 17.3 [12.7-22.1] mm2/min; P < .001). The
rates of complete en bloc resection in the WP-ESD group and the S-ESD group were
97.9% and 95.8%, respectively (P > .99). There were no perforations in either
group. CONCLUSION: WP-ESD was associated with a shorter procedure time than S
ESD. WP-ESD may provide an alternative method for resection of superficial
gastric neoplasms. (Clinical trial registration number: UMIN 000030266.).
PMID- 29660321
TI - Reliability among central readers in the evaluation of endoscopic disease
activity in pouchitis.
AB - BACKGROUND AND AIMS: Pouchitis is a common adverse event after proctocolectomy
with ileal pouch anal anastomosis for ulcerative colitis. Evaluation of pouchitis
disease activity and response to treatment requires use of validated indices. We
assessed the reliability of items evaluating endoscopic pouchitis disease
activity. METHODS: Twelve panelists used a modified RAND appropriateness
methodology to rate the appropriateness of items evaluating endoscopic pouchitis
disease activity derived from a systematic review and also identified additional
potential endoscopic items based on expert opinion. Four central readers then
evaluated 50 pouchoscopy videos in triplicate, in random order. Intra- and inter
rater reliability for each item was assessed by calculating and comparing
intraclass correlation coefficients (ICCs). A Delphi process identified common
sources of disagreement among the readers. RESULTS: Ten existing endoscopic items
were identified from the systematic review and an additional 7 exploratory items
from the panelists. ICCs for inter-rater reliability were highest for the
existing item of pouch ulceration (.72; 95% confidence interval [CI], .60-.82)
and for the exploratory item of ulcerated surface in the pouch body (.67; 95% CI,
.53-.75). Inter-rater reliability for all other existing and exploratory items
was "moderate" (ICC < .60). The item "ulcerated surface in the pouch body"
demonstrated the best correlation with a global evaluation of lesion severity (r
= .80; 95% CI, .73-.85). CONCLUSION: Substantial reliability was observed only
for the endoscopic items of ulceration and ulcerated surface in the pouch body.
Future studies should assess responsiveness to treatment in the next stage toward
development of an endoscopic pouchitis disease activity index.
PMID- 29660322
TI - Efficacy and safety of endobiliary radiofrequency ablation for the eradication of
residual neoplasia after endoscopic papillectomy: a multicenter prospective
study.
AB - BACKGROUND AND AIMS: Endobiliary dysplasia may persist after endoscopic
papillectomy. Intraductal radiofrequency ablation (ID-RFA) is a potential
alternative to complementary surgery. The aim of this study was to evaluate the
efficacy and safety of ID-RFA for the treatment of adenomatous intraductal
residue after endoscopic papillectomy. METHODS: A prospective open-label
multicenter study included patients with histologically proven endobiliary
adenoma remnant (ductal extent <20 mm) after endoscopic papillectomy for
ampullary tumor. RFA (effect 8, power 10 W, 30 seconds) was performed during
ERCP. Biliary +/- pancreatic stent was placed at the end of the procedure.
Endpoints were (1) the rate of residual neoplasia (ie, low-grade dysplasia [LGD],
high-grade dysplasia [HGD], or invasive carcinoma) at 6 and 12 months, (2) rate
of surgery, and (3) adverse events. RESULTS: Twenty patients (67 +/- 11 years of
age, 12 men) were included. The endobiliary adenoma was in LGD in 15 patients and
HGD in 5 patients. All underwent 1 successful ID-RFA session with biliary stent
placement and recovered uneventfully. Five (25%) received a pancreatic stent. The
rates of residual neoplasia were 15% and 30% at 6 and 12 months, respectively.
Only 2 patients (10%) were referred for surgery. Eight patients (40%) experienced
at least 1 adverse event between ID-RFA and 12 months of follow-up. No major
adverse event occurred. HGD at inclusion was associated with higher dysplasia
recurrence at 12 months (P = .01). CONCLUSIONS: ID-RFA of residual endobiliary
dysplasia after endoscopic papillectomy can be offered as an alternative to
surgery, with a 70% chance of dysplasia eradication at 12 months after a single
session and a good safety profile. Patient follow-up remains warranted after ID
RFA. (Clinical trial registration number: NCT02825524.).
PMID- 29660323
TI - Nationwide Trends in Acute and Chronic Pancreatitis Among Privately Insured
Children and Non-Elderly Adults in the United States, 2007-2014.
AB - BACKGROUND & AIMS: Epidemiologic analyses of acute pancreatitis (AP) and chronic
pancreatitis (CP) provide insight into causes and strategies for prevention and
affect allocation of resources to its study and treatment. We sought to determine
current and accurate incidences of AP and CP, along with the prevalence of CP, in
children and adults in the United States. METHODS: We collected data from the
Truven MarketScan Research Databases of commercial inpatient and outpatient
insurance claims in the United States from 2007 through 2014 (patients 0-64 years
old). We calculated the incidences of AP and CP and prevalence of CP based on
International Classification of Diseases, 9th Revision diagnosis codes. Children
were defined as 18 years or younger and adults as 19 to 64 years old. RESULTS:
The incidence of pediatric AP was stable from 2007 through 2014, remaining at
12.3/100,000 persons in 2014. Meanwhile, the incidence for adult AP decreased
from 123.7/100,000 persons in 2007 to 111.2/100,000 persons in 2014. The
incidence of CP decreased over time in children (2.2/100,000 persons in 2007 to
1.9/100,000 persons in 2014) and adults (31.7/100,000 persons in 2007 to
24.7/100,000 persons in 2014). The prevalences of pediatric and adult CP were
5.8/100,000 persons and 91.9/100,000 persons, respectively, in 2014. Incidences
of AP and CP increased with age. We found little change in incidence during the
first decade of life but linear increases starting in the second decade.
CONCLUSIONS: We performed a comprehensive epidemiologic analysis of privately
insured, non-elderly adults and children with AP and CP in the United States.
Changes in gallstone formation, smoking, and alcohol consumption, along with
advances in pancreatitis management, may be responsible for the stabilization and
even decrease in the incidences of AP and CP.
PMID- 29660324
TI - Magnetic Resonance Imaging Proton Density Fat Fraction Associates With
Progression of Fibrosis in Patients With Nonalcoholic Fatty Liver Disease.
AB - Markers are needed to predict progression of nonalcoholic fatty liver disease
(NAFLD). The proton density fat fraction, measured by magnetic resonance imaging
(MRI-PDFF), provides an accurate, validated marker of hepatic steatosis; however,
it is not clear whether the PDFF identifies patients at risk for NAFLD
progression. We performed a follow-up study of 95 well-characterized patients
with biopsy-proven NAFLD and examined the association between liver fat content
and fibrosis progression. MRI-PDFF measurements were made at study entry
(baseline). Biopsies were collected from patients at baseline and after a mean
time period of 1.75 years. Among patients with no fibrosis at baseline, a higher
proportion of patients in the higher liver fat group (MRI-PDFF >=15.7%) had
fibrosis progression (38.1%) than in the lower liver fat group (11.8%) (P =
.067). In multivariable-adjusted logistic regression models (adjusted for age,
sex, ethnicity, and body mass index), patients in the higher liver fat group had
a significantly higher risk of fibrosis progression (multivariable-adjusted odds
ratio 6.7; 95% confidence interval 1.01-44.1; P = .049). Our findings associate
higher liver fat content, measured by MRI-PDFF, with fibrosis progression.
PMID- 29660325
TI - A1603P and K1617del, Mutations in beta-Cardiac Myosin Heavy Chain that Cause
Laing Early-Onset Distal Myopathy, Affect Secondary Structure and Filament
Formation In Vitro and In Vivo.
AB - Over 20 mutations in beta-cardiac myosin heavy chain (beta-MHC), expressed in
cardiac and slow muscle fibers, cause Laing early-onset distal myopathy (MPD-1),
a skeletal muscle myopathy. Most of these mutations are in the coiled-coil tail
and commonly involve a mutation to a proline or a single-residue deletion, both
of which are predicted to strongly affect the secondary structure of the coiled
coil. To test this, we characterized the effects of two MPD-1 causing mutations:
A1603P and K1617del in vitro and in cells. Both mutations affected secondary
structure, decreasing the helical content of 15 heptad and light meromyosin
constructs. Both mutations also severely disrupted the ability of glutathione S
transferase-light meromyosin fusion proteins to form minifilaments in vitro, as
demonstrated by negative stain electron microscopy. Mutant eGFP-tagged beta-MHC
accumulated abnormally into the M-line of sarcomeres in cultured skeletal muscle
myotubes. Incorporation of eGFP-tagged beta-MHC into sarcomeres in adult rat
cardiomyocytes was reduced. Molecular dynamics simulations using a composite
structure of part of the coiled coil demonstrated that both mutations affected
the structure, with the mutation to proline (A1603P) having a smaller effect
compared to K1617del. Taken together, it seems likely that the MPD-1 mutations
destabilize the coiled coil, resulting in aberrant myosin packing in thick
filaments in muscle sarcomeres, providing a potential mechanism for the disease.
PMID- 29660326
TI - Characterization of 16S rRNA Processing with Pre-30S Subunit Assembly
Intermediates from E. coli.
AB - Ribosomal RNA (rRNA) is a major component of ribosomes and is fundamental to the
process of translation. In bacteria, 16S rRNA is a component of the small
ribosomal subunit and plays a critical role in mRNA decoding. rRNA maturation
entails the removal of intervening spacer sequences contained within the pre-rRNA
transcript by nucleolytic enzymes. Enzymatic activities involved in maturation of
the 5'-end of 16S rRNA have been identified, but those involved in 3'-end
maturation of 16S rRNA are more enigmatic. Here, we investigate molecular details
of 16S rRNA maturation using purified in vivo-formed small subunit (SSU) assembly
intermediates (pre-SSUs) from wild-type Escherichia coli that contain precursor
16S rRNA (17S rRNA). Upon incubation of pre-SSUs with E. coli S100 cell extracts
or purified enzymes implicated in 16S rRNA processing, the 17S rRNA is processed
into additional intermediates and mature 16S rRNA. These results illustrate that
exonucleases RNase R, RNase II, PNPase, and RNase PH can process the 3'-end of
pre-SSUs in vitro. However, the endonuclease YbeY did not exhibit nucleolytic
activity with pre-SSUs under these conditions. Furthermore, these data
demonstrate that multiple pathways facilitate 16S rRNA maturation with pre-SSUs
in vitro, with the dominant pathways entailing complete processing of the 5'-end
of 17S rRNA prior to 3'-end maturation or partial processing of the 5'-end with
concomitant processing of the 3'-end. These results reveal the multifaceted
nature of SSU biogenesis and suggest that E. coli may be able to escape
inactivation of any one enzyme by using an existing complementary pathway.
PMID- 29660328
TI - Antitumor efficacy of VP22-CD/5-FC suicide gene system mediated by lentivirus in
a murine uveal melanoma model.
AB - Uveal melanoma (UM) is the most common primary intraocular tumor in adults, which
has high frequency of metastasis to the liver, typically causing a fatal outcome.
Chemo-resistance remains a major obstacle in the therapeutic approach to UM,
leaving limited choice for treating UM. Other possible treatments have been
explored but the results are yet to be evident. To improve therapy for UM,
transcriptional suicide genes were transfected into the OCM-1 cell line. In the
current study, OCM-1 cells transfected with lentiviral-meditated EGFP, cytosine
deaminase (CD)/EGFP, and VP22-CD/EGFP were established. Of the three groups, we
examined the cell growth in vitro and in vivo by using the MTT method with cell
culture media and MRI in murine UM models. According to our results, the cell
proliferation in the transfected CD/EGFP group was slower than the non-suicide
gene group. The VP22-CD/EGFP group manifested superior cell cytotoxicity than the
CD/EGFP group. Further analysis of MRI and fluorescent imaging of the murine UM
model identified significant differences in tumor volume among the three groups.
Collectively, our study demonstrated that CD/5-FC is a potent therapeutic
approach for UM. With the efficacy of VP22, suicide gene-induced cytotoxicity was
superior to applying CD alone. Taken together, we concluded that novel therapy
with the VP22-CD suicide gene may further contribute to treatment of UM.
PMID- 29660327
TI - Crimp around the globe; patterns of collagen crimp across the corneoscleral
shell.
AB - Our goal was to systematically quantify the collagen crimp morphology around the
corneoscleral shell, and test the hypothesis that collagen crimp is not uniform
over the globe. Axial longitudinal cryosections (30 MUm) of three sheep eyes,
fixed at 0 mmHg IOP, were imaged using polarized light microscopy to quantify the
local collagen in 8 regions: two corneal (central and peripheral) and six scleral
(limbus, anterior-equatorial, equatorial, posterior-equatorial, posterior and
peripapillary). Collagen crimp period (length of one wave), tortuosity (path
length divided by end-to-end length), waviness (SD of orientation), amplitude
(half the peak to trough distance), and conformity (width of contiguous similarly
oriented bundles) were measured in each region. Measurements were obtained on
8216 collagen fiber bundles. When pooling measurements across the whole eye
globe, the median crimp values were: 23.9 MUm period, 13.2 MUm conformity, 0.63
MUm amplitude, 1.006 tortuosity, and 12.7 degrees waviness. However, all
parameters varied significantly across the globe. Median bundle periods in the
central cornea, limbus, and peripapillary sclera (PPS) were 14.1 MUm, 29.5 MUm,
and 22.9 MUm, respectively. Median conformities were 20.8 MUm, 14.5 MUm, and 15.1
MUm, respectively. Median tortuosities were 1.005, 1.007, and 1.007,
respectively. Median waviness' were 11.4 degrees , 13.2 degrees , and 13.2
degrees , respectively. Median amplitudes were 0.35 MUm, 0.87 MUm, and 0.65 MUm,
respectively. All parameters varied significantly across the globe. All regions
differed significantly from one another on at least one parameter. Regions with
small periods had large conformities, and bundles with high tortuosity had high
waviness and amplitude. Waviness, tortuosity, and amplitude, associated with
nonlinear biomechanical behavior, exhibited "double hump" distributions, whereas
period and conformity, representing tissue organization, were substantially
different between sclera and cornea. Though the biomechanical implications and
origin of the patterns observed remain unclear, our findings of well-defined
patterns of collagen crimp across the corneoscleral shell, consistent between
eyes, support the existence of mechanisms that regulate collagen characteristics
at the regional or smaller levels. These results are experimental data necessary
for more realistic models of ocular biomechanics and remodeling.
PMID- 29660329
TI - Aquaporin 5 promotes corneal wound healing.
AB - Aquaporins (AQPs), ordinarily regarded as water channels, have recently been
shown to participate in other cellular functions such as cell-to-cell adhesion,
cell migration, cell proliferation etc. The current investigation was undertaken
to find out whether AQP5 water channel plays a role in corneal epithelial wound
healing. Expression of AQP5 in mouse cornea and transfected Madin-Darby canine
kidney (MDCK) cells was detected using immunofluorescence or EGFP tag. Cell
migration and proliferation, the two major events in wound healing, were studied
in vitro using cell culture scratch-wound healing model and cell proliferation
assay, in vivo by conducting wound healing experiments on corneas of wild-type
and AQP5 knockout mouse model and ex vivo on corneal epithelial cells isolated
from wild type and AQP5 knockout mice. MDCK cells stably expressing AQP5 showed
significantly higher levels of cell migration and proliferation compared to
control cells. Likewise, corneal epithelial cells of wild type mouse with innate
AQP5 exhibited faster wound healing than those of AQP5 knockout in vivo and under
ex vivo culture conditions. In vitro, in vivo and ex vivo studies showed that
presence of AQP5 improved cell migration, proliferation and wound healing. The
data collected suggest that AQP5 plays a significant role in corneal epithelial
wound healing.
PMID- 29660330
TI - MiRNA-92a protects pancreatic B-cell function by targeting KLF2 in diabetes
mellitus.
AB - AIMS: diabetes mellitus is one of the most common metabolic diseases worldwide
characterized by insulin resistance and pancreatic beta cell dysfunction. miRNA
plays an important role in DM. In previous studies, miRNA-92a could function as
targets for innovative precision medicines to reduce T1D islet autoimmunity.
However, the relationship between miRNA-92a and pancreatic beta cell dysfunction
remains unknown. The aim of the study was to investigate the role of miRNA-92a in
pancreatic beta cell dysfunction. METHODS: Apoptosis, proliferation, insulin
secretion and cell survival rate were detected to evaluate the function of miRNA
92a. RESULTS: we found that miRNA-92a could inhibit apoptosis induced by high
glucose environment and increase the insulin secretion and proliferation.
Moreover, we identify the KLF2 as direct target of miRNA-92a, suggesting that
miRNA-92a may function through regulating KLF2. CONCLUSION: Altogether, we
verified the function and mechanism of miRNA-92a and provide evidence that miRNA
92a may serve a potential candidate for the clinical treatment for DM.
PMID- 29660331
TI - Biophysical characterization of actin bundles generated by the Chlamydia
trachomatis Tarp effector.
AB - Chlamydia trachomatis entry into host cells is mediated by pathogen-directed
remodeling of the actin cytoskeleton. The chlamydial type III secreted effector,
translocated actin recruiting phosphoprotein (Tarp), has been implicated in the
recruitment of actin to the site of internalization. Tarp harbors G-actin binding
and proline rich domains required for Tarp-mediated actin nucleation as well as
unique F-actin binding domains implicated in the formation of actin bundles.
Little is known about the mechanical properties of actin bundles generated by
Tarp or the mechanism by which Tarp mediates actin bundle formation. In order to
characterize the actin bundles and elucidate the role of different Tarp domains
in the bundling process, purified Tarp effectors and Tarp truncation mutants were
analyzed using Total Internal Reflection Fluorescence (TIRF) microscopy. Our data
indicate that Tarp mediated actin bundling is independent of actin nucleation and
the F-actin binding domains are sufficient to bundle actin filaments.
Additionally, Tarp-mediated actin bundles demonstrate distinct bending stiffness
compared to those crosslinked by the well characterized actin bundling proteins
fascin and alpha-actinin, suggesting Tarp may employ a novel actin bundling
strategy. The capacity of the Tarp effector to generate novel actin bundles
likely contributes to chlamydia's efficient mechanism of entry into human cells.
PMID- 29660332
TI - DUSP14 knockout accelerates cardiac ischemia reperfusion (IR) injury through
activating NF-kappaB and MAPKs signaling pathways modulated by ROS generation.
AB - Inflammation and oxidative stress are significantly involved in the progression
of a variety of diseases, including myocardial ischemia/reperfusion (IR). In the
present study, we hypothesized a protective role of dual-specificity phosphatase
14 (DUSP14) in myocardial IR, as well as the underlying molecular mechanism. The
results indicated that DUSP14 was down-regulated following cardiac IR injury.
Subsequently, the wild type (WT) and DUSP14-knockout (KO) mice were included to
further reveal the potential role of DUSP14 in cardiac IR injury progression.
DUSP14-KO mice exhibited increased infarction area and elevated apoptosis, as
evidenced by the increased TUNEL-positive cells in ischemia heart following
reperfusion compared to WT mice. Further, DUSP14-KO significantly aggregated
cardiac dysfunction of mice after IR injury. Cardiac IR injury to DUSP14-KO mice
led to markedly increased expression of pro-inflammatory cytokines and activated
nuclear factor-kappaB (NF-kappaB) pathway in the heart in comparison to WT mice.
Meanwhile, mitogen-activated protein kinases (MAPKs), including p38, ERK1/2 and
JNK, were significantly activated by DUSO14-KO in mice after IR injury. Compared
to WT mice, DUSP14-KO mice showed markedly increased oxidative stress markers in
cardiac tissues, including malondialdehyde (MDA), NADPH oxidase-4 (NOX4) and p47,
while decreased activities or expressions of anti-oxidants, such as glutathione
(GSH), glutathione peroxidase (GPx), glutathion reductases (GR), superoxide
dismutase (SOD) and hemeoxygenase-1 (HO-1). DUSP14-knockdown (KD) in primary
cardiomyocytes using its specific siRNA sequence elevated hypoxia and
reoxygenation (HR)-induced activation of NF-kappaB and MAPKs signaling pathways,
and reactive oxygen species (ROS) generation. Intriguingly, pre-treatment of ROS
scavenger, N-acetylcysteine (NAC), markedly abolished DUSP14-KD-augmented NF
kappaB and MAPKs activation in HR-stimulated primary cardiomyocytes. Together,
the results above indicated that DUSP14 might be served as a positive regulator
to attenuate cardiac IR injury. Suppressing DUSP14 exacerbated cardiac injury
through activating NF-kappaB and MAPKs signaling pathways regulated by ROS
production. Thus, DUSP14 could be a valuable target for developing treatments for
myocardial IR injury.
PMID- 29660333
TI - Epitope-based ligation of ICAM-1: Therapeutic target for protection against the
development of rheumatoid arthritis.
AB - Identification of a particular epitope on the domain 2 of human ICAM-1 led us to
focus on its role in the treatment of rheumatoid arthritis (RA). Key observations
from our previous xenotransplantation research included the generation of
tolerogenic DCs, antigen-specific T-cell tolerance, and reduced production of
inflammatory cytokines. The critically important point is the fact that it works
initially on DC maturation. Ligation of this epitope with a recognizing antibody,
MD-3, was also able to create a tolerogenic environment in RA in a manner sililar
to that created by xenotransplantation. In this study, we noted that the disease
progression, in terms of arthritis score and histopathology of joints, was
significantly less severe in the MD-3-treated group than in the vehicle-treated
group. Defective production of IL-6 and reduced proliferation of collagen
specific T cells were most remarkable laboratory findings. This type of ligation
has a greater advantage over other types of therapeutics, in a sense that simple
injection of this antibody inhibits antigen-specific T cell response. Due to the
possibility of viral infection in this process, we regularly monitored
cytomegalovirus reactivation status without detection of any viral gene
replication. We are hoping that remarkable specializations that this interaction
has, would be a promising target for therapeutic antibody in RA.
PMID- 29660334
TI - Fatty acids modulate the efficacy of lutein in cataract prevention: Assessment of
oxidative and inflammatory parameters in rats.
AB - BACKGROUND: Effects of lutein (L) and fatty acids [linoleic acid (LA),
eicosapentaenoic acid (EPA)+docosahexaenoic acid (DHA) and oleic acid (OA)] on
oxidative stress and inflammation in cataract were assessed. METHODS: Cataract
was induced in male Wistar rat pups (11 days old) by giving a single dose of
sodium selenite (25 MUM/kg body weight) by IP. Lutein (1.3 MUmol/kg body weight)
was given one day before and five days after selenite injection as a micelle with
7.5 mM LA, or 7.5 mM EPA + DHA or 7.5 mM OA. Serum and lens oxidative stress and
inflammatory parameters having a bearing cataract were assessed. RESULTS: Serum
and lens nitric oxide, MDA and protein carbonyls were significantly (p < 0.05)
increased in cataract compared to control and experimental groups. Catalase, SOD,
glutathione peroxidase and glutathione transferase activity and glutathione level
in serum and lens of cataract group were significantly (p < 0.05) decreased.
Serum eicosanoids (PGE2, LTB4, and LTC4) and cytokines (CRP, TNF-alpha, IL1-beta,
and MCP-1) were significantly (p < 0.05) increased in cataract. The activity of
cPLA2 and Cox-2 in cataract lens was higher (p < 0.05) compared to other groups.
EP-1, NOS-2 and NF-kB expression were higher (p < 0.05) in cataract. The ratio of
water insoluble to water soluble protein was increased in cataract lens. Group
administered with L + EPA + DHA exhibited highest cataract prevention compared to
L + LA and L + OA. Pups given lutein with EPA + DHA had the highest amount of
lutein in the lens. CONCLUSIONS: The anti-cataract activity of lutein was
influenced by fatty acids and found to be highest with EPA + DHA compared to LA
or OA.
PMID- 29660335
TI - mTOR up-regulation of BEX4 promotes lung adenocarcinoma cell proliferation by
potentiating OCT4.
AB - Previously, BEX family members have been reported to participate in cancer
development. However, little is known about the role of BEX4 in lung
adenocarcinoma (LAC). Here, we found that BEX4 was over-expressed in LAC tissues
compared with adjacent tissues. LAC tissues from metastatic patients exhibited
higher expression of BEX4 comparing to those from non-metastatic ones. In vitro,
BEX4 ectopic expression accelerated the proliferation of both A549 and H1975
cells. By contrast, knockdown of BEX4 suppressed the proliferation of A549 and
H1975 cells. BEX4 positively regulated the expression of OCT4, silencing of which
reduced the proliferation of A549 and H1975 cells with over-expressed BEX4.
Additionally, mTOR activation, which is frequently observed in LAC, potentiated
BEX4 depending on mTORC1 but not mTORC2. BEX4 abundance dictated the sensitivity
of A549 and H1975 cells to rapamycin treatment. Our findings reveal that BEX4 is
an oncogene in LAC and may contribute to the hyper-active mTOR-induced LAC
development.
PMID- 29660336
TI - MicroRNA-155 inversely correlates with esophageal cancer progression through
regulating tumor-associated macrophage FGF2 expression.
AB - Esophageal cancer (EC) is one of the most common malignancies with high incidence
and mortality. Tumor-associated macrophages (TAMs) in the tumor microenvironment
have been linked to the accelerated tumor progression. MicroRNAs (miR) are 19-25
nucleotide-long, noncoding RNA molecules, functioning as modulators of gene
expression, and mediate a variety of biological functions, including tumor
growth. In the present study, the effects and molecular mechanism of miR-155 in
TAMs isolated from EC were explored. The expression of miR-155 and fibroblast
growth factor-2 (FGF2) in EC tissues and cell lines were analyzed using reverse
transcription-quantitative PCR (qRT-PCR) and western blot assays. TAMs were also
transfected with the described constructs. Following, the culture medium from
TAMs was collected for further analysis. The released FGF2, and inflammatory
cytokines were quantified using ELISA. The cell viability, migrated and invaded
levels were calculated through Cell Counting kit-8 (CCK8), and transwell
analysis. Moreover, human umbilical vein endothelial cells (HUVEC) vasculature
formation was determined using matrigel angiogenesis analysis. The results
indicated that miR-155 expression was decreased in EC tissues and cell lines,
while FGF2 expression was increased in comparison to those in the normal control
group. Moreover, miR-155 mimics transfection up-regulated tumor necrosis factor
alpha (TNF-alpha), interleukin (IL)-12 and inducible nitric oxide synthase
(iNOS), while down-regulated IL-10, Arginase-1 (Arg-1) and IL-22 levels in the
culture medium from TAMs. And enhancing miR-155 expression in TAMs suppressed the
cell viability, migration and invasion of ECA109 cells and reduced the
angiogenesis. Nevertheless, over-expressing FGF2 abolished the role of miR-155 in
cancer cell survival, migration, invasion as well as angiogenesis. Our findings
indicated that miR-155-regulated FGF2 expression from TAMs suppressed EC cell
proliferation, migration, invasion and inhibited vasculature formation. Thus, miR
155-modulated FGF2 might be a potential therapeutic target to prevent EC
progression.
PMID- 29660338
TI - Different molecular mechanisms of HTLV-1 and HIV LTR activation by TPA.
AB - HTLV-1 and HIV-1 are retroviruses involved in different human diseases. However,
following infection, these viruses inter into a latent state. Tax and Tat are
regarded as trans-activators of HTLV-1 and HIV-1 respectively. As it known,
during the latent state the infected cells contain low Tax and Tat protein
levels, so the activation of these viruses must be independent of these proteins.
Here we focus on exploring the mechanism of activation of these viruses by 12-O
tetradecanoylphorbol-13-acetate (TPA), which is a potent activator of protein
kinase C (PKC) and considered as a stress-inducing agent. Our results showed that
short exposure to TPA considerably stimulated only the HIV-1 LTR expression,
while long exposure stimulated only the HTLV-1 LTR and that their activation is
agonized or antagonized by PKC respectively. It was found that TPA induced
interaction between the transcriptional factors Sp1 and P53 producing Sp1-p53
complex which strongly interacted with c-Jun only after short exposure to TPA. In
addition, TPA treatment highly induced the expression of CREB which attached to
the Sp1-p53 complex mainly after a long exposure to TPA. A strong binding of sp1,
p53 and CREB proteins with HTLV-1 LTR and strong binding of NF-kappaB with HIV-1
LTR were observed after long (24 h) and short (6 h) exposures to TPA respectively
by Chip assay. These results support the possibility that sp1, p53 and CREB are
involved in the TPA induced HTLV-1 LTR expression while TPA activation of HIV-1
LTR seems to be dependent on PKC activity through the NF-kappaB pathway.
PMID- 29660337
TI - Effect of betulinic acid and its ionic derivatives on M-MuLV replication.
AB - Murine leukemia virus (MuLV) is a retrovirus known causing leukemia and
neurological disorders in mice, and its viral life cycle and pathogenesis have
been investigated extensively over the past decades. As a natural antiviral
agent, betulinic acid is a pentacyclic triterpenoid that can be found in the bark
of several species of plants (particularly the white birch). One of the hurdles
for betulinic acid to release its antiviral potency is its poor water solubility.
In this study, we synthesized more water-soluble ionic derivatives of betulinic
acid, and examined their activities against Moloney MuLV (M-MuLV). The mouse
fibroblast cells stably infected with M-MuLV, 43D cells, were treated with
various doses of betulinic acids and its derivatives, and the viral structural
protein Gag in cells and media were detected by western blots. Two ionic
derivatives containing the benzalkonium cation were found to inhibit the virus
production into media and decreased Gag in cells. However, a cell proliferation
assay showed that the benzalkonium cation inhibited the growth of 43D cells,
suggesting that our ionic derivatives limited virus production through the
inhibition of metabolism in 43D cells. Interestingly, all of these betulinic acid
compounds exhibited a minimum impact on the processing and release of Gag from
43D cells, which outlines the differences of viral maturation between MuLV and
human immunodeficiency virus.
PMID- 29660339
TI - GLUT5 increases fructose utilization and promotes tumor progression in glioma.
AB - Fructose is now such an important component of human diets, and several studies
have found that some cancer cells could utilize fructose to overcome low glucose
micro-environment, but the study on the role of fructose in glioma is rare. To
explore the role of fructose in glioma, we detected the proliferation and colony
formation ability of glioma cells in fructose medium, and found that the
abilities of proliferation and colony formation of glioma cells in fructose
medium were similar with abilities in glucose medium, however, fructose just
partly restored proliferation ability of normal glial cells. To explore the
mechanism, we compared the expression level of GLUT5 (Glucose transporter type 5)
in these cell lines, and the results showed that glioma cell lines had higher
GLUT5 expression than normal glial cell lines. And knockdown of GLUT5 could
significantly inhabit cell proliferation of glioma cells in fructose medium.
Furthermore, we found that GLUT5 was also higher expressed in glioma tissues, and
GLUT5 expression correlated significantly with glioma malignancy and poor
survival of glioma patients (p < 0.01). In addition, we also demonstrated that
knockdown of GLUT5 could significantly inhabit tumor proliferation in vivo, and
intake fructose could increase tumor volume prominently. Taken together, our data
show that fructose can be used by glioma cells, and restrict the fructose intake
or targeting GLUT5 could be efficacious strategies in glioma.
PMID- 29660340
TI - Alteration of global protein SUMOylation in neurons and astrocytes in response to
Alzheimer's disease-associated insults.
AB - SUMOylation, a post-translational modification of lysine residues by small
ubiquitin-like modifier (SUMO) proteins, has been implicated in the pathogenesis
of neurodegenerative disorders including Alzheimer's disease (AD), and in neuron-
and astrocyte-specific physiological functions. Global SUMOylation is increased
in the AD mouse brain in the pre-plaque-forming stage but returns to wild-type
levels in the plaque-bearing stage. To clarify the reason for the transient
change in SUMOylation, we analyzed the alteration of global SUMOylation induced
by AD-associated cytotoxic stimuli in neurons and astrocytes individually. In
neurons, amyloid beta42 oligomers induced some but not significant increase in
levels of SUMO1-modified proteins. Both hydrogen peroxide and glutamate
significantly reduced SUMO1-modified protein levels. These changes were more
prominent in neurons than in astrocytes. The opposite effect of Abeta and
oxidative/excitotoxic stimuli on SUMO1 modification may cause the pathological
stage-associated change in the level of SUMO-modified proteins in the AD mouse
brain.
PMID- 29660341
TI - Evaluation of synthetic promoters in Physcomitrella patens.
AB - Securing a molecular toolbox including diverse promoters is essential for genome
engineering. However, native promoters have limitations such as the available
number or the length of the promoter. In this work, three short synthetic
promoters were characterized by using the yellow fluorescent protein Venus. All
of the tested promoters were active and showed higher mRNA expression than
housekeeping gene PpAct7, and similar protein expression level to the AtUBQ10
promoter. This study shows that few cis-regulatory elements are enough to
establish a strong promoter for continuous expression of genes in plants. Along
with this, the study enhance the number of available promotors to be used in P.
patens. It also demonstrates the potential to construct multiple non-native
promoters on demand, which would aid to resolve one bottleneck in multiple
pathway expression in P. patens and other plants.
PMID- 29660342
TI - Investigation of TRPV1 loss-of-function phenotypes in TRPV1 Leu206Stop mice
generated by N-ethyl-N-nitrosourea mutagenesis.
AB - N-ethyl-N-nitrosourea (ENU) random mutagenesis was used to generate a mouse model
for the analysis of the transient receptor potential vanilloid 1 (TRPV1) cation
channel. A transversion from T->A in exon 4 led to a Leu206Stop mutation
generating a loss-of-function mutant. The TRPV1 agonist capsaicin was used to
analyze functional and nociceptive parameters in vitro and in vivo in TRPV1
Leu206Stop mice and congenic C3HeB/FeJ controls. Capsaicin-induced [Ca2+]i
changes in small diameter DRG neurons were significantly diminished in TRPV1
Leu206Stop mice and administration of capsaicin induced neither hypothermia nor
nocifensive behaviour in vivo. TRPV1 Leu206Stop mice were tested in the spinal
nerve ligation of mononeuropathic pain and developed mechanical hypersensitivity
two weeks after nerve injury. In the open field test, a significant increase in
spontaneous locomotion was detected in TRPV1 Leu206Stop mice as compared to
wildtype controls. TRPV1 knockout mice have been reported to carry a similar
phenotype regarding capsaicin-evoked responses in vitro and in vivo. However, in
contrast to TRPV1 Leu206Stop mice, TRPV1 knockout mice did not differ in
spontaneous locomotion as compared to congenic C57BL/6 mice, suggesting subtle
ENU-dependent or independent strain differences between TRPV1 Leu206Stop mice and
their wildtype controls. In summary, these data revealed a target-related (i.e.
capsaicin-evoked) phenotype of TRPV1 Leu206Stop mice closely resembling that of
published TRPV1 knockout mice. However, since ENU-mutant mice are congenic with
the mouse strain initially used in random mutagenesis, direct phenotypic
comparison with the respective wildtype controls is possible, and the time
consuming backcrossing in lines with targeted mutations is avoided.
PMID- 29660343
TI - Transcription of blunt snout bream (Megalobrama amblycephala) HIF3alpha and its
localization in the nucleus under both normoxic and hypoxic conditions.
AB - Although hypoxia-inducible factor (HIF) 1alpha and 2alpha function as master
regulators of the transcriptional response to hypoxia, the function of HIF3alpha
and its responses to hypoxic stress remain unclear in teleost fish. Here, we
characterized the HIF3alpha cDNA in hypoxia-sensitive blunt snout bream
(Megalobrama amblycephala), with 3059 bp length, consisting of an open reading
frame (ORF) encoding 643 amino acid residues. Blunt snout bream HIF3alpha mRNA
was stably expressed during stages of embryonic development and in adult tissues.
After a 4 h hypoxia stress, HIF3alpha mRNA of the juvenile fish was significantly
upregulated in the liver, brain, and kidney, and restored to the pretreatment
levels after a 24 h recovery. When tagged with enhanced green fluorescent protein
(EGFP) and transfected into cultured HeLa cells, blunt snout bream HIF3alpha was
mainly distributed in the nucleus under normoxia. Treatment of the cells with
CoCl2 to mimic hypoxic conditions showed that there was no effect about the
nuclear localization of HIF3alpha but a statistically significant increase in
HIF3alpha protein levels. A nuclear localization signal (NLS) sequence at the C
terminus of HIF3alpha may exert positive effects in the process of nuclear
localization. These results suggest that blunt snout bream HIF3alpha could be
involved in different physiological functions under normoxia and hypoxia
conditions.
PMID- 29660344
TI - Hyperhomocysteinemia and hyperandrogenemia share PCSK9-LDLR pathway to disrupt
lipid homeostasis in PCOS.
AB - Women with polycystic ovary syndrome (PCOS) are at increased risk of
cardiovascular diseases (CVD); however, the independent role of PCOS in the
incident CVD remains unknown. There are reports that hyperhomocysteinemia (HHcy),
a potential cause of CVD, is frequently associated with PCOS. The present study
investigates the independent attributes of hyperandrogenemia (HA), the integral
associate of PCOS, and HHcy in causing atherogenic dyslipidemia. Twenty-five-day
old rats were treated with homocysteine (Hcy) at 50 mg/kg/day dose level for 12
weeks. The HepG2 cell lines transfected with siRNA directed to PCSK9 were
challenged with Hcy, homocysteine thiolactone (HTL), testosterone, 5alpha
dihydroxytestosterone (5alpha-DHT), or estradiol for 24 h. Rats administered with
Hcy developed HHcy and displayed PCOS-like phenotypes with adversely altered
lipid homeostasis and attenuated PI3K-AKT and Wnt signalling cascade.
Overexpression of steroidogenic acute regulatory protein (StAR) and down
regulated expression of Aromatase together with elevated testosterone level
marked the state of HA. In culture, the HepG2 cells responded independently to
Hcy, HTL, testosterone, and 5alpha-DHT by an overt expression of PCSK9 and down
regulated expression of LDLR. The effect was magnified under the combined
influence of Hcy and androgen(s). Estradiol, by contrast, exhibited the reverse
effect. The findings suggest that HA may independently attribute to an increased
cardiovascular risk in PCOS; however, the coexistence of HHcy catalyzes the risk
further.
PMID- 29660345
TI - A novel heme oxygenase-1 splice variant, 14kDa HO-1, promotes cell proliferation
and increases relative telomere length.
AB - Alternative splicing is a routine phenomenon which greatly increases the
diversity of proteins in eukaryotic cells. In humans, most multi-exonic genes are
alternatively spliced and their splice variants confer distinct functions. Heme
oxygenase-1 (HO-1, 32 kDa) is an inducible stress responsive protein, which
possesses multiple functions in many cellular processes. In the current study, we
identified a novel alternative splice isoform of 14 kDa HO-1 generated through
exclusion of exon 3, and it is highly expressed in immortalized cells. In
contrast to nuclear accumulation of the full-length 32 kDa HO-1, the novel 14 kDa
HO-1 isoform is retained in the cytoplasm under ultraviolet (UV) irradiation.
Interestingly, the 14 kDa HO-1 is shown to promote cell proliferation and an
increase in relative telomere lengths in vivo and in vitro. Thus, we are pioneer
to report and confirm the presence of a novel splice form of HO-1 and its
distinct role in modulating telomere length and tumor growth.
PMID- 29660346
TI - Wash-free and selective imaging of epithelial cell adhesion molecule (EpCAM)
expressing cells with fluorogenic peptide ligands.
AB - Detection of the cells expressing an epithelial cell adhesion molecule (EpCAM) is
a crucial step to identify circulating tumor cells (CTCs) from blood. To detect
the EpCAM, we here designed and synthesized a series of fluorogenic peptides.
Specifically, we functionalized an EpCAM-binding peptide, Ep114, by replacing its
amino acids to an aminophenylalanine that was modified with environmentally
sensitive 7-nitro-2,1,3-benzoxadiazole (NBD-amPhe). Among six synthesized
peptides, we have found that two peptides, Q4X and V6X (X represents NBD-amPhe),
retain the Ep114's binding ability and specifically mark EpCAM-expressing cells
by just adding these peptides to the cultivation medium. Our wash-free,
fluorogenic peptide ligands would boost the development of next generation
devices for CTC diagnoses.
PMID- 29660347
TI - Detection and quantification of shrimp hemocyte iridescent virus by TaqMan probe
based real-time PCR.
AB - Shrimp hemocyte iridescent virus (SHIV) is a recently reported shrimp virus,
which threats the cultured white-leg shrimp Litopenaeus vannamei and can cause
huge economic loss in shrimp farming industry in China. A quantitative real time
polymerase chain reactio (qPCR) assay was developed using a TaqMan probe to
detect and quantify SHIV. A pair of qPCR primers, which amplify a 188 bp DNA
fragment, and a TaqMan probe were selected from ATPase gene (ORF114R) of the SHIV
genome. The primers and TaqMan probe used in this assay were shown to be specific
for SHIV and did not react with White spot syndrome virus (WSSV), Infectious
hypodermal and hematopoietic necrosis virus (IHHNV), Hepatopancreatic parvovirus
(HPV), Vibrio parahaemolyticus causing acute hepatopancreatic necrosis disease
(VPAHPND), and Enterocytozoon hepatopenaei (EHP), or healthy shrimp DNA. The
detection limit of the qPCR method was as low as 4 copies per reaction. The
diagnostic sensitivity and the diagnostic specificity of the qPCR compared with
nested PCR were 95.3% and 99.2%, respectively. The resulting standard curves
showed high correlation coefficient values (R2 = 0.998) in the range of 4 * 109
to 4 * 100 DNA copies/reaction. Test of farm samples showed that SHIV was
detected in L. vannamei, Fenneropenaeus chinensis and Macrobrachium rosenbergii
contained SHIV ranged from 1.21E+02 to 7.95E+07 copies (MUg DNA)-1. Quantitative
detection of different tissues in artificial infected shrimp showed that
haemolymph contained the highest SHIV load and muscle contained lowest SHIV load.
PMID- 29660348
TI - Chamber-Made: Mural Endocarditis.
PMID- 29660349
TI - Sickle Cough: A Case of Nonresolving Pneumonia.
PMID- 29660350
TI - Acute Onset Unilateral Proptosis.
PMID- 29660351
TI - Association of Anemia with Venous Thromboembolism in Acutely Ill Hospitalized
Patients: An APEX Trial Substudy.
AB - BACKGROUND: Anemia is a common finding and independent predictor for adverse
outcomes in hospitalized patients with medical illness. It remains unclear
whether anemia is a risk factor for venous thromboembolism and whether the
presence of anemia can refine risk assessment for prediction of venous
thromboembolism, thereby adding incremental utility to a validated model.
METHODS: In the Acute Medically Ill Venous Thromboembolism Prevention with
Extended Duration Betrixaban trial (APEX), 7513 hospitalized medical patients
were randomized to receive either betrixaban or standard-of-care enoxaparin for
thromboprophylaxis. Baseline hemoglobin concentrations were obtained in 6861
patients, with a follow-up of 77 days. Symptomatic venous thromboembolism events,
including symptomatic deep vein thrombosis, pulmonary embolism, and venous
thromboembolism-related mortality, were compared between low-hemoglobin and
normal-hemoglobin groups (normal range: 12.5-17.0 g/dL for males and 11.0-15.5
g/dL for females). The relationship between anemia and venous thromboembolism
events was assessed by fitting a univariable and multivariable logistic
regression model composed of thromboprophylaxis and risk factors. Venous
thromboembolism risk refinement by hemoglobin measurement was evaluated in the
International Medical Prevention Registry on Venous Thromboembolism (IMPROVE)
risk assessment model. RESULTS: Low hemoglobin at baseline was associated with a
greater risk of symptomatic venous thromboembolism (relative risk [RR] 1.94 [95%
confidence interval, 1.27-2.98]; P = .002), symptomatic deep vein thrombosis (RR
2.29 [1.12-4.68]; P = .019), and nonfatal pulmonary embolism (RR 2.63 [1.22
5.65]; P = .010) but not venous thromboembolism-related mortality (RR 1.47 [0.71
3.04]; P = .30). After adjusting for thromboprophylaxis, history of previous
venous thromboembolism, intensive or coronary unit admission, and D-dimer, low
hemoglobin (as a categorical or continuous variable) remained associated with an
increased likelihood of venous thromboembolism (adjusted odds ratio 1.71 [95%
confidence interval, 1.09-2.69]; P = .020). Low hemoglobin also improved risk
discrimination and reclassification after inclusion in the IMPROVE model.
CONCLUSIONS: Anemia was independently associated with a greater risk of
symptomatic venous thromboembolism among acutely ill medical patients despite the
provision of thromboprophylaxis. Hemoglobin measurement also improved risk
stratification by the IMPROVE venous thromboembolism risk score.
PMID- 29660352
TI - Outcomes in a Community-Based Intensive Cardiac Rehabilitation Program:
Comparison with Hospital-Based and Academic Programs.
AB - BACKGROUND: The purpose of this study was to test the hypothesis that a community
based intensive cardiac rehabilitation program could produce positive changes in
risk factor profile and outcomes in an at-risk population. METHODS: Participants
seeking either primary or secondary coronary artery disease prevention
voluntarily enrolled in the 12-week intensive cardiac rehabilitation program.
Data were obtained at baseline and 6-12 months after completion of the program.
RESULTS: A total of 142 individuals, mean age 69 years, completed the Heart
Series between 2012 and 2016. Follow-up data were available in 105 participants
(74%). Participants showed statistically significant improvements in mean weight
(165 to 162 lbs, P = .0005), body mass index (26 to 25 kg/m2, P = .001), systolic
blood pressure (126 to 122 mm Hg, P = .01), diastolic blood pressure (73 to 70 mm
Hg, P = .0005), total cholesterol (175 to 168 mg/dL, P = .03), low-density
lipoprotein cholesterol (LDL-C) (100 to 93 mg/dL, P = .005), LDL-C/high-density
lipoprotein cholesterol (HDL-C) ratio (1.8 to 1.6, P = .005), and cholesterol/HDL
C ratio (3.2 to 3.0, P = .003). Changes in HDL-C, triglycerides, and fasting
blood glucose did not reach statistical significance, but all trended in
favorable directions. Adverse cardiovascular disease outcomes were rare (one
stent placement, no deaths). CONCLUSIONS: A total of 105 participants completed
our 12-week community-based intensive cardiac rehabilitation program and showed
significant positive changes in several measures of cardiac risk, with only 1
adverse event. These results compare favorably with those of hospital-based and
academic institutional programs.
PMID- 29660353
TI - Serious Cover-Up: Hodgkin's Lymphoma Masked by Organizing Pneumonia.
PMID- 29660354
TI - Novel Treatment for Anastomotic Leak After Ivor-Lewis Esophagectomy.
AB - Intrathoracic anastomotic leaks after esophagogastrostomy occur frequently, often
resulting in prolonged hospitalization and delays in advancing oral nutrition and
hydration. Management of anastomotic esophageal leaks vary based on time of
occurrence to time of intervention, condition of the patient, and location.
Conservative approaches such as endovascular clips and endovascular stents have
gained momentum in addressing esophageal leaks and fistulas, replacing the
original paradigm of surgical approach as initial management. More recently, the
use of intraluminal and intracavitary endoscopic vacuum-assisted therapy has been
used to treat esophageal leaks successfully. We present a novel treatment method
for anastomotic leaks using a modified percutaneous endoscopic gastrostomy tube.
PMID- 29660355
TI - Intrapleural Therapy for Empyema in the Setting of a Bronchopleural Fistula: A
Novel Use of an Intrabronchial Valve.
AB - Postsurgical empyema with bronchopleural fistula can be difficult to manage. We
present a patient with postoperative empyema with bronchopleural fistula who was
successfully treated nonoperatively by placing an intrabronchial valve to address
the bronchopleural fistula, which allowed for safe administration of intrapleural
fibrinolytics and antibiotics for definitive treatment of the empyema. Although
the presence of a bronchopleural fistula is considered a contraindication to the
administration of intrapleural tissue plasminogen activator and
deoxyribonuclease, this case demonstrates a novel use of the intrabronchial valve
that allowed these medications to be used.
PMID- 29660356
TI - Reply.
PMID- 29660357
TI - Reply.
PMID- 29660358
TI - A Table Mounted Cardiopulmonary Bypass System for Pediatric Cardiac Surgery.
AB - Systemic inflammatory response and hemodilution are prominent factors associated
with cardiopulmonary bypass and result in increased morbidity and mortality in
children. Miniaturized systems have evolved to decrease such effects and restrict
use of blood products, especially in the neonatal population. We have developed a
table mounted cardiopulmonary bypass system that allows closer proximity of the
system to the patient with consequent decrease in priming volumes, hemodilution,
and its associated effects, and contributes to development into an ideally
bloodless surgical approach.
PMID- 29660359
TI - Transcatheter Mitral Valve-in-Valve Dislocation: A Rescue Strategy.
AB - In the transcatheter aortic valve replacement era, transapical valve-in-valve
mitral valve implantation is considered an alternative treatment for high-risk
patients with degenerated mitral bioprosthesis. We report an unusual strategy to
remedy the potentially fatal complication of the mitral prosthesis migration into
the aortic arch. The dislocated prosthesis was successfully stabilized in the
aortic arch with a bare aortic stent, ensuring adequate perfusion of epiaortic
vessels.
PMID- 29660360
TI - Spontaneous Coronary Artery Dissection in a Woman: Surgical Treatment and
Angiographic Follow-Up.
AB - Spontaneous coronary artery dissection constitutes a rare entity that affects
mostly women, especially those less than 40 years of age. Treatment of choice is
a matter of discussion. It is suggested by many that the therapeutic strategy
should be individualized based on each patient's clinical and angiographic
manifestations. We present the case of a young woman who underwent surgical
revascularization for dissection of the left main stem by using both internal
thoracic arteries. Angiographic follow-up revealed resolution of the dissection,
obstruction of the right internal thoracic artery graft, and reverse flow in the
left internal thoracic artery graft.
PMID- 29660361
TI - Maternal and Fetal Outcome After Cardiac Operations During Pregnancy: A Meta
Analysis.
AB - BACKGROUND: In the past, cardiac surgical procedures during pregnancy have been
associated with a high risk of adverse maternal and fetal outcomes. Therefore,
this meta-analysis of more recent studies was done to assess the fetomaternal
risk after cardiac operations during pregnancy using cardiopulmonary bypass.
METHODS: The MEDLINE, Embase, and Cochrane library were searched to find studies
from January 1, 1990, to July 31, 2016, without language restriction. We selected
studies that included at least 4 women to report fetomaternal outcomes after a
cardiac operation using cardiopulmonary bypass during pregnancy. Two authors
independently extracted data from the selected studies. The studies were assessed
for methodological qualities using the Newcastle-Ottawa Scale. The primary
outcomes included maternal death and any pregnancy loss. The secondary outcomes
were maternal complications and neonatal complications. Primary analysis
calculated absolute risks and 95% confidence intervals (CIs) for pregnancy
outcomes using the DerSimonian-Laird random effects model. Heterogeneity was
assessed by I2 statistic and visual plot. RESULTS: Ten studies, including 154
women, were eligible for inclusion in this study. The patients underwent cardiac
operations during pregnancy involving cardiopulmonary bypass. As calculated per
100 pregnancies, the pooled unadjusted estimate of maternal mortality was 11.2
(95% CI, 6.8 to 17.8), pregnancy loss was 33.1 (95% CI, 25.1 to 41.2), maternal
complications were 8.8 (95% CI, 2.8 to 24.2), and neonatal complications were
10.8 (95% CI, 4.2 to 25.2). The risks of preterm labor and cesarean delivery were
28 per 100 pregnancies (95% CI, 15.6 to 45) and 33.8 per 100 pregnancies (95% CI,
19.1 to 52.4), respectively. CONCLUSIONS: The fetomaternal mortality and
morbidity after a cardiac operation during pregnancy are higher than that
reported in the earlier literature (PROSPERO No. CRD42016047093).
PMID- 29660362
TI - Variability in cerebral blood flow velocity at rest and during mental stress in
healthy individuals: Associations with cardiovascular parameters and cognitive
performance.
AB - This study analyzed variability in cerebral blood flow velocity (CBFV) and
possible underlying physiological mechanisms during rest and a mental arithmetic
task. Blood flow velocities were bilaterally recorded by transcranial Doppler
sonography in the anterior and middle cerebral arteries of 43 participants.
Electrocardiography, continuous blood pressure (BP) and respiratory recordings
were additionally obtained. Fast Fourier transformation revealed a spectral
profile with two main components in CBFV, one in the very low frequency (VLF,
0.01-0.025 Hz), and the other in the low frequency band (LF, 0.075-0.11 Hz).
During the task, CBFV variability decreased. While heart rate variability and
respiration had only weak impacts, BP variability was closely associated with
CBFV variability. LF CBFV variability correlated negatively with task
performance. The findings indicate a connection between peripheral and cerebral
hemodynamics, presumably mediated by the passive pressure-flow relationship and
neural mechanisms. LF CBFV variability may constitute a suitable marker of mental
effort load.
PMID- 29660363
TI - Comparison analysis of microRNAs in response to dengue virus type 2 infection
between the Vero cell-adapted strain and its source, the clinical C6/36 isolated
strain.
AB - To elucidate the differences in microRNAs during dengue virus infection between
Vero cell-adapted strain (DENV-2-Vero) and its source, the clinical C6/36
isolated strain (DENV-2-C6/36), a comparison analysis was performed in Vero cells
by high throughput sequencing. The results showed that the expression of 16 known
and 3 novel miRNAs exhibited marked differences. 5 known miRNAs were up-regulated
in DENV-2-C6/36 group, while 11 known microRNAs were down-regulated in DENV-2
Vero group. The GO enrichment and KEGG pathway analysis showed that there was a
distinct difference in regulating viral replication between two strains. In DENV
2-Vero infection group, significantly enriched GO terms included virion
attachment to host cells, viral structural protein/genome processing and
packaging. Meanwhile, the regulation of cell death and apoptosis between two
groups were different in the early stage of infection. KEGG enrichment analysis
showed that DENV-2-C6/36 infection induced more intense regulation of immune
related pathways, including Fc gamma R-mediated phagocytosis, etc. DENV-2-Vero
infection could partially alleviate the immune defense of Vero cells compared
with DENV-2-C6/36. The results indicated that the distinct microRNA changes
induced by two DENV-2 strains may be partly related to their infective abilities.
Our data provide useful insights that help elucidate the host-pathogen
interactions following DENV infection.
PMID- 29660364
TI - Silibinin is a direct inhibitor of STAT3.
AB - We herein combined experimental and computational efforts to delineate the
mechanism of action through which the flavonolignan silibinin targets STAT3.
Silibinin reduced IL-6 inducible, constitutive, and acquired feedback activation
of STAT3 at tyrosine 705 (Y705). Silibinin attenuated the inducible phospho
activation of Y705 in GFP-STAT3 genetic fusions without drastically altering the
kinase activity of the STAT3 upstream kinases JAK1 and JAK2. A comparative
computational study based on docking and molecular dynamics simulation over 14
different STAT3 inhibitors (STAT3i) predicted that silibinin could directly bind
with high affinity to both the Src homology-2 (SH2) domain and the DNA-binding
domain (DBD) of STAT3. Silibinin partially overlapped with the cavity occupied by
other STAT3i in the SH2 domain to indirectly prevent Y705 phosphorylation, yet
showing a unique binding mode. Moreover, silibinin was the only STAT3i predicted
to establish direct interactions with DNA in its targeting to the STAT3 DBD. The
prevention of STAT3 nuclear translocation, the blockade of the binding of
activated STAT3 to its consensus DNA sequence, and the suppression of STAT3
directed transcriptional activity confirmed silibinin as a direct STAT3i. The
unique characteristics of silibinin as a bimodal SH2- and DBD-targeting STAT3i
make silibinin a promising lead for designing new, more effective STAT3i.
PMID- 29660366
TI - Tools and drugs for uracil nucleotide-activated P2Y receptors.
AB - P2Y receptors (P2YRs) are a family of G protein-coupled receptors activated by
extracellular nucleotides. Physiological P2YR agonists include purine and
pyrimidine nucleoside di- and triphosphates, such as ATP, ADP, UTP, UDP,
nucleotide sugars, and dinucleotides. Eight subtypes exist, P2Y1, P2Y2, P2Y4,
P2Y6, P2Y11, P2Y12, P2Y13, and P2Y14, which represent current or potential future
drug targets. Here we provide a comprehensive overview of ligands for the
subgroup of the P2YR family that is activated by uracil nucleotides: P2Y2 (UTP,
also ATP and dinucleotides), P2Y4 (UTP), P2Y6 (UDP), and P2Y14 (UDP, UDP-glucose,
UDP-galactose). The physiological agonists are metabolically unstable due to
their fast hydrolysis by ectonucleotidases. A number of agonists with increased
potency, subtype-selectivity and/or enzymatic stability have been developed in
recent years. Useful P2Y2R agonists include MRS2698 (6-01, highly selective) and
PSB-1114 (6-05, increased metabolic stability). A potent and selective P2Y2R
antagonist is AR-C118925 (10-01). For studies of the P2Y4R, MRS4062 (3-15) may be
used as a selective agonist, while PSB-16133 (10-06) is a selective antagonist.
Several potent P2Y6R agonists have been developed including 5-methoxyuridine 5'-O
((Rp)alpha-boranodiphosphate) (6-12), PSB-0474 (3-11), and MRS2693 (3-26). The
isocyanate MRS2578 (10-08) is used as a selective P2Y6R antagonist, although its
reactivity and low water-solubility are limiting. With MRS2905 (6-08), a potent
and metabolically stable P2Y14R agonist is available, while PPTN (10-14)
represents a potent and selective P2Y14R antagonist. The radioligand [3H]UDP can
be used to label P2Y14Rs. In addition, several fluorescent probes have been
developed. Uracil nucleotide-activated P2YRs show great potential as drug
targets, especially in inflammation, cancer, cardiovascular and neurodegenerative
diseases.
PMID- 29660365
TI - In silico genotoxicity and carcinogenicity prediction for food-relevant secondary
plant metabolites.
AB - Humans are exposed to thousands of different secondary plant metabolites which
may have beneficial health effects, but numerous compounds may also have toxic
potential. In the present study we have examined the genotoxic and carcinogenic
potential of 609 food-relevant phytochemicals by using computer models for
toxicity prediction. We developed a scoring method and combined the results of
different models to increase the predictive power. A combination of the VEGA
models SARpy, KNN, ISS, and CAESAR, and of the LAZAR model "Salmonella
typhimurium" for genotoxicity prediction performed better than the single models
regarding specificity and accuracy. Statistical evaluation of the combined model
for carcinogenicity prediction was not possible due to the low number of
substances suitable for model validation. The in silico results of the present
exercise will be useful for priority setting purposes regarding future risk
assessment of secondary plant metabolites. Based on our analysis, (-)
asimilobine, aloin, annoretine, chrysothrone, coptisine, elymoclavine, and
thalicminine were predicted to be genotoxic with high probability and may
therefore be selected for subsequent experimental genotoxicity testing. Moreover,
the class of pyrrolizidine alkaloids is suggested to be a high priority subject
for further studies as these substances have been predicted to be carcinogenic
with high probability.
PMID- 29660367
TI - Natural killer cells and their therapeutic role in pancreatic cancer: A
systematic review.
AB - Pancreatic cancer is among the three deadliest cancers worldwide with the lowest
5-year survival of all cancers. Despite all efforts, therapeutic improvements
have barely been made over the last decade. Even recent highly promising targeted
and immunotherapeutic approaches did not live up to their expectations.
Therefore, other horizons have to be explored. Natural Killer (NK) cells are
gaining more and more interest as a highly attractive target for cancer
immunotherapies, both as pharmaceutical target and for cell therapies. In this
systematic review we summarise the pathophysiological adaptions of NK cells in
pancreatic cancer and highlight possible (future) therapeutic NK cell-related
targets. Furthermore, an extensive overview of recent therapeutic approaches with
an effect on NK cells is given, including cytokine-based, viro- and
bacteriotherapy and cell therapy. We also discuss ongoing clinical trials that
might influence NK cells. In conclusion, although several issues regarding NK
cells in pancreatic cancer remain unsolved and need further investigation,
extensive evidence is already provided that support NK cell oriented approaches
in pancreatic cancer.
PMID- 29660368
TI - Flow cytometry for receptor analysis from ex-vivo brain tissue in adult rat.
AB - BACKGROUND: Flow cytometry allows single-cell analysis of peripheral biological
samples and is useful in many fields of research and clinical applications,
mainly in hematology, immunology, and oncology. In the neurosciences, the flow
cytometry separation method was first applied to stem cell extraction from
healthy or cerebral tumour tissue and was more recently tested in order to
phenotype brain cells, hippocampal neurogenesis, and to detect prion proteins.
However, it remains sparsely applied in quantifying membrane receptors in
relation to synaptic plasticity. NEW METHOD: We aimed to optimize a flow
cytometric procedure for receptor quantification in neurons and non-neurons. A
neural dissociation process, myelin separation, fixation, and membrane
permeability procedures were optimized to maximize cell survival and analysis in
hippocampal tissue obtained from adult rodents. We then aimed to quantify
membrane muscarinic acetylcholine receptors (mAChRs) in rats with and without
bilateral vestibular loss (BVL). RESULTS: mAChR's were quantified for neuronal
and non-neuronal cells in the hippocampus and striatum following BVL. At day 30
but not at day 7 following BVL, there was a significant increase (P <= 0.05) in
the percentage of neurons expressing M2/4 mAChRs in both the hippocampus and the
striatum. CONCLUSION: Here, we showed that flow cytometry appears to be a
reliable method of membrane receptor quantification in ex-vivo brain tissue.
PMID- 29660369
TI - Evaluation of an Epigenetic Assay for Predicting Repeat Prostate Biopsy Outcome
in African American Men.
AB - OBJECTIVES: To evaluate an epigenetic assay performed on tissue from negative
prostate biopsies in a group of African American (AA) men undergoing repeat
biopsy, and to compare accuracy for predicting repeat biopsy outcome to prior
studies conducted in predominantly Caucasian populations. METHODS: The study
population consisted of 211 AA men from seven urology centers across the U.S.,
all of whom were undergoing 12-core trans-rectal ultrasound guided repeat biopsy
within 30 months from a negative index biopsy. All biopsy cores from the negative
index biopsy were profiled for the epigenetic biomarkers GSTP1, APC and RASSF1
using ConfirmMDx for Prostate Cancer. RESULTS: Upon repeat biopsy, 130/211 (62%)
subjects had no prostate cancer (PCa) detected and 81/211 (38%) were diagnosed
with PCa. Of the subjects with PCa, 54/81 (67%) were diagnosed with Gleason Score
(GS) <= 6 PCa and 27/81 (33%) with GS >=7 disease. For detection of PCa at repeat
biopsy, ConfirmMDx sensitivity was 74.1% and specificity 60.0%, equivalent to
prior studies (P=0.235 and 0.697, respectively). For detection of GS >= 7 PCa,
sensitivity was 78% and specificity 53%. The negative predictive values for
detection of all PCa and GS >= 7 PCa were 78.8% and 94.2%, respectively.
CONCLUSIONS: In this group of AA men, we successfully validated an epigenetic
assay to assess the need for repeat biopsy. Results were consistent with previous
studies from predominantly Caucasian populations. Therefore, the ConfirmMDx assay
is a useful tool for risk stratification of AA men who had an initial negative
biopsy.
PMID- 29660370
TI - Reply to: "DCD consensus and futility in liver transplantation".
PMID- 29660371
TI - Capacity limitations to extract the mean emotion from multiple facial expressions
depend on emotion variance.
AB - We examined the processing capacity and the role of emotion variance in ensemble
representation for multiple facial expressions shown concurrently. A standard set
size manipulation was used, whereby the sets consisted of 4, 8, or 16 morphed
faces each uniquely varying along a happy-angry continuum (Experiment 1) or a
neutral-happy/angry continuum (Experiments 2 & 3). Across the three experiments,
we reduced the amount of emotion variance in the sets to explore the boundaries
of this process. Participants judged the perceived average emotion from each set
on a continuous scale. We computed and compared objective and subjective
difference scores, using the morph units and post-experiment ratings,
respectively. Results of the subjective scores were more consistent than the
objective ones across the first two experiments where the variance was relatively
large, and revealed each time that increasing set size led to a poorer averaging
ability, suggesting capacity limitations in establishing ensemble representations
for multiple facial expressions. However, when the emotion variance in the sets
was reduced in Experiment 3, both subjective and objective scores remained
unaffected by set size, suggesting that the emotion averaging process was
unlimited in these conditions. Collectively, these results suggest that
extracting mean emotion from a set composed of multiple faces depends on both
structural (attentional) and stimulus-related effects.
PMID- 29660373
TI - Selenium-regulated hierarchy of human selenoproteome in cancerous and
immortalized cells lines.
AB - BACKGROUND: Selenoproteins (25 genes in human) co-translationally incorporate
selenocysteine using a UGA codon, normally used as a stop signal. The human
selenoproteome is primarily regulated by selenium bioavailability with a tissue
specific hierarchy. METHODS: We investigated the hierarchy of selenoprotein
expression in response to selenium concentration variation in four cell lines
originating from kidney (HEK293, immortalized), prostate (LNCaP, cancer), skin
(HaCaT, immortalized) and liver (HepG2, cancer), using complementary analytical
methods. We performed (i) enzymatic activity, (ii) RT-qPCR, (iii) immuno
detection, (iv) selenium-specific mass spectrometric detection after non
radioactive 76Se labeling of selenoproteins, and (v) luciferase-based reporter
constructs in various cell extracts. RESULTS: We characterized cell-line specific
alterations of the selenoproteome in response to selenium variation that, in most
of the cases, resulted from a translational control of gene expression. We
established that UGA-selenocysteine recoding efficiency, which depends on the
nature of the SECIS element, dictates the response to selenium variation.
CONCLUSIONS: We characterized that selenoprotein hierarchy is cell-line specific
with conserved features. This analysis should be done prior to any experiments in
a novel cell line. GENERAL SIGNIFICANCE: We reported a strategy based on
complementary methods to evaluate selenoproteome regulation in human cells in
culture.
PMID- 29660372
TI - Heparan sulfate 3-O-sulfotransferase 2 (HS3ST2) displays an unexpected
subcellular localization in the plasma membrane.
AB - BACKGROUND: Heparan sulfate (HS) 3-O-sulfation can be catalysed by seven 3-O
sulfotransferases (HS3STs) in humans, still it is the rarest modification in HS
and its biological function is yet misunderstood. HS3ST2 and HS3ST3B exhibit the
same activity in vitro. They are however differently expressed in macrophages
depending on cell environment, which suggests that they may be involved in
distinct cellular processes. Here, we hypothesized that both isozymes might also
display distinct subcellular localizations. METHODS: The subcellular distribution
of HS3ST2 and HS3ST3B was analysed by using overexpression systems in HeLa cells.
The localization of endogenous HS3ST2 was confirmed by immunostaining in primary
macrophages. RESULTS: We found that HS3ST3B was only localized in the Golgi
apparatus and no difference between full-length enzyme and truncated construct
depleted of its catalytic domain was observed. In contrast, HS3ST2 was clearly
visualized at the plasma membrane. Its truncated form remained in the Golgi
apparatus, meaning that the catalytic domain might support correct addressing of
HS3ST2 to cell surface. Moreover, we found a partial co-localization of HS3ST2
with syndecan-2 in HeLa cells and primary macrophages. Silencing the expression
of this proteoglycan altered the localization of HS3ST2, which suggests that
syndecan-2 is required to address the isozyme outside of the Golgi apparatus.
CONCLUSIONS: We demonstrated that HS3ST3B is a Golgi-resident isozyme, while
HS3ST2 is addressed to the plasma membrane with syndecan-2. GENERAL SIGNIFICANCE:
The membrane localization of HS3ST2 suggests that this enzyme may participate in
discrete processes that occur at the cell surface.
PMID- 29660374
TI - A ternary-complex of a suicide gene, a RAGE-binding peptide, and polyethylenimine
as a gene delivery system with anti-tumor and anti-angiogenic dual effects in
glioblastoma.
AB - The receptor for advanced glycation end-products (RAGE) is involved in tumor
angiogenesis. Inhibition of RAGE might be an effective anti-angiogenic therapy
for cancer. In this study, a cationic RAGE-binding peptide (RBP) was produced as
an antagonist of RAGE, and a ternary-complex consisting of RBP, polyethylenimine
(2 kDa, PEI2k), and a suicide gene (pHSVtk) was developed as a gene delivery
system with dual functions: the anti-tumor effect of pHSVtk and anti-angiogenic
effect of RBP. As an antagonist of RAGE, RBP decreased the secretion of vascular
endothelial growth factor (VEGF) in activated macrophages and reduced the tube
formation of endothelial cells in vitro. In in vitro transfection assays, the
RBP/PEI2k/plasmid DNA (pDNA) ternary-complex had higher transfection efficiency
than the PEI2k/pDNA binary-complex. In an intracranial glioblastoma animal model,
the RBP/PEI2k/pHSVtk ternary-complex reduced alpha-smooth muscle actin
expression, suggesting that the complex has an anti-angiogenic effect. In
addition, the ternary-complex had higher pHSVtk delivery efficiency than the
PEI2k/pHSVtk and PEI25k/pHSVtk binary-complexes in an animal model. As a result,
the ternary-complex induced apoptosis and reduced tumor volume more effectively
than the PEI2k/pHSVtk and PEI25k/pHSVtk binary-complexes. In conclusion, due to
its dual anti-tumor and anti-angiogenesis effects, the RBP/PEI2k/pHSVtk ternary
complex might be an efficient gene delivery system for the treatment of
glioblastoma.
PMID- 29660375
TI - The secretome of adipose-derived mesenchymal stem cells protects SH-SY5Y cells
from arsenic-induced toxicity, independent of a neuron-like differentiation
mechanism.
AB - Arsenic exposure through contaminated food, water, and air causes irreversible
neural damage and affects millions of people worldwide. Several studies have
demonstrated that the secreted factors (secretome) from mesenchymal stromal/stem
cells (MSCs) can promote neural recovery after several forms of injury including
stroke and neurodegenerative diseases. The present study was conducted to
determine if the secretome from adipose-derived MSCs (ADSCs) prevents arsenic
damage to SH-SY5Y cells. To this end, human neuroblastoma cells (SH-SY5Y) were
pre-treated with the secretome from ADSCs and then challenged with different
concentrations of arsenic. After various doses and exposure times, the extent of
neuronal injury was assessed using MTT reduction and LDH release assays as well
as LIVE/DEAD staining. These data demonstrate that the ADSC secretome protects SH
SY5Y cells from arsenic-induced toxicity. Previous reports have shown that the
secretome of MSCs can induce neuroblast differentiation and mature neurons are
less susceptible to chemical-induced toxicity. In the current study,
proliferation assays, neurite length assessment, and quantitative RT-PCR of
differentiation markers indicated that the ADSC secretome does not induce SH-SY5Y
differentiation into a mature neuron-like phenotype. In contrast, our results
demonstrated that soluble factor(s) in the ADSC secretome enhance SH-SY5Y cell
substrate-dependent adhesion. The present study is the first to illustrate that
the secretome from ADSCs protects SH-SY5Y cells from arsenic-induced toxicity.
Additionally, we showed that protection against arsenic toxicity is not dependent
on SH-SY5Y cell differentiation into a mature neuron-like phenotype, but involves
soluble factor(s) in the secretome that appear to enhance cell survival by an
adhesion-dependent mechanism.
PMID- 29660376
TI - New insight into isobolographic analysis for combinations of a full and partial
agonist: Curved isoboles.
AB - Receptor ligands in mixtures may produce effects that are greater than the effect
predicted from their individual dose-response curves. The historical basis for
predicting the mixture effect is based on Loewe's concept and its mathematical
formulation. This concept considers compounds with constant relative potencies
(parallel dose-response curves) and leads to linear additive isoboles. These
lines serve as references for distinguishing additive from nonadditive
interactions according to the positions of the experimental data on or outside of
the lines. In this paper, we applied a highly relevant two-state model for a
description of the receptor-ligand interaction in the construction of the
isobologram. In our model we consider partial agonists that have dose-response
curve slopes differing from one. With this theoretical basis, we demonstrated
that a combination of compounds with different efficacies leads to curved
isoboles. This model should overwrite Tallarida's flawed assumption about
isobolographic analysis of partial agonists and enhance our understanding of how
the partial agonists contribute to the overall mixture effect.
PMID- 29660377
TI - Apathy following traumatic brain injury: A review.
AB - Apathy is a common problem after traumatic brain injury (TBI) and can have a
major impact on cognitive function, psychosocial outcome and engagement in
rehabilitation. For scientists and clinicians it remains one of the least
understood aspects of brain-behaviour relationships encompassing disturbances of
cognition, motivation, emotion and action, and is variously an indication of
organic brain disease or psychiatric disorder. Apathy can be both sign and
symptom and has been proposed as a diagnosis in its own right as well as a
secondary feature of other conditions. This review considers previous approaches
to apathy in terms of relevant psychological constructs and those neural
counterparts most likely to be implicated after TBI. Neurobehavioural disorders
of apathy are characterised chiefly by dysfunction of executive control of goal
oriented behaviour or the neural substrates of reward-based and emotional
learning. We argue that it is possible to distinguish a primary disorder of
apathy as an organic neurobehavioural state from secondary presentations due to
an impoverished environment or psychological disturbance which has implications
for treatment.
PMID- 29660378
TI - An epi(c)genetic war: Pathogens, cancer and human genome.
AB - Cancer is characterized by inter- and intra-tumor heterogeneity and this is also
observed in the context of cancers caused by pathogens. Nearly 20% of all cancers
are attributable to pathogenic organisms. Pathogenic infections result in
deregulation of gene expression both by genetic and epigenetic mechanisms,
thereby causing malignant transformation. Another characteristic of pathogen
induced cancers is the occurrence of chronic inflammation due to activation of
the innate and adaptive arms of the immune system. This review focuses on the
epigenetic changes induced by oncoviruses, parasites, cancer-causing bacteria and
'endogenous pathogens' to trigger host cell proliferation indefinitely as well as
the inflammation associated with pathogen-induced cancers. The opportunity of
targeting components of both pathogen and host epigenetic machinery to limit
tumor progression is also discussed.
PMID- 29660379
TI - Nervous system and primary liver cancer.
AB - Recent advances have found irregular activities of the nervous system-associated
factors in the development and progression of primary liver cancer. These factors
contributed in the regulation of migration, proliferation, and apoptosis of
cancer cells, and took a role in modulating invasion, metastasis, and recurrence
after curative treatment. In clinical researches, neural-related factors were
found to be significant prognostic factors, suggesting that the interactions
between nervous system and primary liver cancer are indispensable in
understanding underlying biological mechanisms. Herein, we reviewed up-to-date
achievements in this area and the future perspectives of the interactions between
the nervous system and primary liver cancer.
PMID- 29660380
TI - Jade family PHD finger 3 (JADE3) increases cancer stem cell-like properties and
tumorigenicity in colon cancer.
AB - Jade family PHD finger 3 (JADE3) plays a role in inducing histone acetylation
during transcription, and is involved in the progression of several human
cancers; however, its role in colon cancer remains unclear. Herein, we found that
JADE3 was markedly upregulated in colon cancer tissues and significantly
correlated with cancer progression, and predicted shorter patient survival.
Further, JADE3 was expressed much higher in colon cancer cell lines that are
enriched with a stem-like signature. Overexpression of JADE3 increased, while
silencing JADE3 reduced cancer stem cell-like traits in colon cancer cells in
vitro and in vivo. Importantly, silencing of JADE3 strongly impaired the tumor
initiating capacity of colon cancer cells in vivo. Furthermore, JADE3 interacted
with the promoters of colon stem cell marker LGR5 and activated its
transcription, by increasing the occupancy of p300 acetyltransferase and histone
acetylation on the promoters. Finally, we found that JADE3 expression was
substantially induced by Wnt/beta-catenin signaling. These findings suggest an
oncogenic role of JADE3 by regulating cancer stem cell-like traits in the colon
cancer, and therefore JADE3 might be a potential therapeutic target for the
treatment of colon cancer.
PMID- 29660381
TI - ARID1A ablation leads to multiple drug resistance in ovarian cancer via
transcriptional activation of MRP2.
AB - Multiple Drug Resistance (MDR) of ovarian cancer is a severe trouble for clinical
treatment and always contributes to a bad prognosis. AT-rich interaction domain 1
A (ARID1A) has been recognized as a bona fide tumor suppressor gene in recent
years, with the highest mutation rate in ovarian cancer. Previous study
illustrated that ARID1A expression is negatively correlated with chemoresistance
of ovarian cancer cases. However, the specific role of ARID1A in chemoresistance
of ovarian cancer remains elusive. In this study, we showed that ARID1A knockdown
in ovarian cancer cells significantly reduced their apoptosis rate and led to
MDR, while ectopic expression of ARID1A showed opposite effects. ARID1A depletion
transcriptionally activates the expression of multidrug resistance-associated
protein 2 (MRP2) following chromatin remodeling. Furthermore, IHC analysis of
ovarian cancer samples confirmed that ARID1A expression was strong negatively
correlated with MRP2 expression. Both ARID1A and MRP2 expression levels are
correlated with sensitivity to platinum. Collectively, our results illustrated
that ARID1A loss in ovarian cancer leads to MDR through upregulation of MRP2,
providing an opportunity to overcome the ARID1A loss induced chemoresistance of
ovarian cancer by targeting MRP2.
PMID- 29660382
TI - Elevated plasma soluble (pro)renin receptor levels are associated with left
ventricular remodeling and renal function in chronic heart failure patients with
reduced ejection fraction.
AB - Soluble (pro)renin receptor [s(P)RR], which is generated from cleavage of (P)RR,
can be detected in plasma and urine. s(P)RR levels can reflect the severity of
some diseases, such as renal lesions, gestational diabetes mellitus or
hypertension, and obstructive sleep apnea syndrome. However, the relationship
between s(P)RR levels and the severity of chronic heart failure remains
undetermined. We studied s(P)RR levels in 118 patients with chronic heart failure
with reduced ejection fraction (HFrEF), including 86 without renal dysfunction
(HF) and 32 with renal dysfunction (HF + RF), and 28 healthy subjects (HS) to
reveal the relationship between s(P)RR levels and other HFrEF parameters. Plasma
s(P)RR levels were 22.2 +/- 4.1 ng/mL (HS), 26.4 +/- 5.3 ng/ mL (HF) and 30.0 +/-
5.3 ng/mL (HF + RF). Plasma s(P)RR levels were significantly higher in the HF
group than in the HS group (P < 0.001) and even more increased in the HF + RF
group (P < 0.001 vs. the HS group and P < 0.05 vs. the HF group). Multivariate
regression analysis revealed that the left ventricular mass index (LVMI) and
estimated glomerular filtration rate (eGFR) were independently related to s(P)RR
levels in HFrEF patients. In conclusion, high plasma s(P)RR levels are associated
with left ventricular remodeling and, especially, with renal dysfunction.
Therefore, s(P)RR is a promising evaluative indicator for the severity of HFrEF
patients.
PMID- 29660383
TI - Dosage form modification and oral drug delivery in older people.
AB - Many people cannot swallow whole tablets and capsules. The cause ranges from
difficulties overriding the natural instinct to chew solids/foodstuff before
swallowing, to a complex disorder of swallowing function affecting the ability to
manage all food and fluid intake. Older people can experience swallowing
difficulties because of co-morbidities, age-related physiological changes, and
polypharmacy. To make medicines easier to swallow, many people will modify the
medication dosage form e.g. split or crush tablets, and open capsules. Some of
the challenges associated with administering medicines to older people, and
issues with dosage form modification will be reviewed. Novel dosage forms in
development are promising and may help overcome some of the issues. However,
until these are more readily available, effective interdisciplinary teams, and
improving patient health literacy will help reduce the risk of medication
misadventures in older people.
PMID- 29660384
TI - Development of a recombinant sigmaB protein based dot-ELISA for the diagnosis of
avian reovirus (ARV).
AB - Avian reovirus (ARV) causes significant economic losses to the poultry industry
worldwide. The ARV proteins fall into three different classes based on their
sizes:lambda (large); MU (medium) and sigma (small). sigmaB, an outer capsid
protein of the ARV contains group specific neutralizing epitopes and induces
strong immune response in naturally infected chickens. This study describes the
development of a rapid dot-enzyme linked immunosorbent assay (dot-ELISA) using
recombinant sigmaB protein antigen of 54 kDa (approx). The assay is rapid (4-5 h)
and results can be read by the naked eye. Sixteen ARV positive serum samples
(group A) produced strong reaction in the dot-ELISA while twenty of the ARV
negative serum samples (group B) collected from SPF chickens showed no reaction.
Seventy six randomly collected serum samples were tested with a commercial
indirect ELISA kit and the in-house developed dot-ELISA. A total of sixty eight
serum samples were found to be positive by indirect ELISA and sixty five serum
samples were found to be positive by dot-ELISA. Therefore, using the commercial
ELISA as the reference test, the dot-ELISA had a diagnostic sensitivity of 83.8%
and specificity of 88.6%. This dot-ELISA can be used as a simple, reliable and
inexpensive alternative to commercial ELISA kits for serodiagnosis of ARV where
the facilities for standard ELISA are not available.
PMID- 29660385
TI - Pre-operative asymptomatic bacteriuria: a risk factor for prosthetic joint
infection?
AB - BACKGROUND: Infection is a rare complication following implantation of prosthetic
material into a joint. The impact of asymptomatic bacteriuria (ASB) before
elective operations and the subsequent risk of prosthetic joint infection (PJI)
are not well understood. AIMS: To assess the prevalence of ASB amongst patients
undergoing total arthroplasty of the hip and knee; and to determine the rates of
PJI diagnosed within two years of the arthroplasty and if ASB is an independent
risk factor for developing PJI. METHODS: Patients who had total/unicondylar knee
or total hip arthroplasty were reviewed retrospectively over a five-year period.
Pre-operative urine samples within one year of surgery were analysed, and those
with ASB were identified. The primary outcome was PJI within the first
postoperative year. FINDINGS: In total, 5542 patients were included. Of these,
4368 had a pre-operative urine culture recorded. The prevalence of ASB was 140 of
4368 (3.2%). The overall PJI rate was 56 of 5542 (1.01%). Of those with PJI, 33
had a pre-operative urine sample recorded. The infection rates were 5% (seven of
140) in the ASB group, 0.61% (26 of 4228) in the no-ASB group and 1.96% (23 of
1174) in the group without a urine sample (P < 0.001). The ASB isolate was the
same micro-organism as the PJI isolate in one of the seven cases. CONCLUSION: The
association between ASB and PJI is statistically significant, but the urine
isolates did not relate to the isolates in the prosthetic joint, suggesting that
the relationship is unlikely to be causal.
PMID- 29660386
TI - Long-term cryopreservation of non-spore-forming fungi in MicrobankTM beads for
plant pathological investigations.
AB - Long-term preservation of experimental fungi without genetic, morphological, and
pathogenic changes is of paramount importance in mycological and plant
pathological investigations. Several cryogenic and non-cryogenic methods are
available for the preservation of fungi, but the methods can be cumbersome,
hazardous, expensive, and often not suitable for long-term storage of non-spore
forming (sterile) fungi. A method of preservation of spore-forming fungi in
commercially available porous beads (MicrbankTM) under cryogenic condition was
successfully tested for three non-spore-forming basidiomycetes genera:
Rhizoctonia solani (teleomorph: Thanatephorus cucumeris) (n = 19), Ceratobasidium
species (n = 1), and Waitea circinata (n = 3), and a non-spore forming
ascomycetes, Sclerotinia sclerotiorum (n = 1). For comparison, spore-forming
ascomycetous fungi, Alternaria alternata (n = 1), Bauveria basiana (n = 2),
Botrytis cinerea (n = 1), Fusarium oxysporum f.sp. gladiolii (n = 1), Trichoderma
spp. (n = 3), and Thielaviopsis basicola (n = 2) were also cryopreserved in
Microbank beads. Viable fungal isolates of all test species were retrieved after
five years of storage at -80 degrees C, which was longer than the viabilities of
the corresponding isolates cryopreserved in agar plugs or colonized wheat seeds.
Fungi revived from the Microbank beads maintained identical morphology and
cultural characteristics of the parent isolates. Randomly selected Rhizoctonia
isolates revived from the Microbank beads maintained respective pathological
properties of the parent isolates; also, no mutation was detected in the internal
transcribed spacer (ITS) ribosomal DNA when compared with respective cultures
maintained at ambient temperature. This finding demonstrated the utility of
cryopreservation in Microbank beads as a convenient alternative to conventional
long-term preservation of a wide group of fungal cultures for plant pathological
investigations and serves as the first report of using porous beads under
cryogenic conditions for long-term storage of sterile fungi.
PMID- 29660387
TI - Long-term inhibition of PDE5 ameliorates aging-induced changes in rat testis.
AB - NO-cGMP signaling pathway has been implicated in reduction of testicular
steroidogenesis during aging. Here we analyzed the effect of PDE5 inhibition on
old testicular phenotype formation. The old phenotype exhibited low testosterone
and increased nitrite levels in circulation, increased cGMP accumulation in
testicular interstitial fluid (TIF), progressive atrophy of testicular
seminiferous tubules and enlargement of interstitial area followed by rise in
blood vessel density and slight increase in the number of Leydig cells and
macrophages. Leydig cells have reduced steroidogenic capacity, increased MAP
kinases expression (MEK, ERK1/2, JNK) and antiapoptotic PRKG1 and AKT, suggesting
increased proliferation/survival and accumulation of senescent Leydig cells in
testis. In 12 month-old rats, a long-term treatment with sildenafil (PDE5
inhibitor) normalized testosterone/nitrite levels in circulation and cGMP
accumulation in TIF; improved Leydig cell steroidogenic capacity; decreased MEK,
ERK1/2 and PRKG1 expression; prevented an increase in the Leydig cells number and
atrophy of seminiferous tubules leading to histological appearance of young rat
testes. In 18 month-old rats, long-term PDE5 inhibition partially recovered
testosterone and nitrite levels in serum; normalized PRKG1 expression without
effect on MEK and ERK1/2; and slowed down Leydig cell and macrophage accumulation
and regressive tubular changes. Culturing of primary Leydig cells from aged rats
in presence of PDE5-inhibitor stimulated steroidogenic and MAPK gene expression.
Taking together, results indicate that cGMP targeting alter both steroidogenesis
and signaling pathways associated with cell proliferation/survival. The long-term
PDE5 inhibition improves testicular steroidogenesis and slows-down regressive
changes in testes during aging.
PMID- 29660389
TI - Shooting Free Throws Blindfolded (Invited Commentary).
PMID- 29660388
TI - Outcomes of Gracilis Muscle Flaps in the Management of Groin Complications after
Arterial Bypass with Prosthetic Graft.
AB - BACKGROUND: This study details 2-year outcomes of a modified gracilis muscle flap
(GMF) technique in providing tissue coverage for groin complications after
arterial bypass surgery with synthetic graft. METHODS: All patients who developed
groin infections after lower extremity arterial bypass with synthetic graft who
underwent a GMF technique were included from June 2014 to March 2017 from a
prospectively collected, purpose-built institutional database. Modifications to
the standard technique included identification of the muscle using ultrasound to
ensure precise skip incisions, preservation of the segmental blood supply,
widening of the tunnel through which the muscle is retroflexed, placement of a
wound vacuum-assisted closure for healing, and lifelong antibiotics.
Demographics, laboratory values, bypass procedure, length of stay (LOS),
disposition, and 1-, 3-, 6-, 12-, and 24-month follow-up data were collected.
Analysis was performed via descriptive statistics. RESULTS: Over the 3-year study
period, 22 patients underwent GMF after complications resulted from arterial
bypass surgery. Types of bypass included aortobifemoral (32%), axillobifemoral
(14%), femoral-femoral (23%), femoral-popliteal or mixed distal (27%), and thigh
graft for dialysis (4%). Forty-five percentage of patients presented with graft
infection, 50% with wound dehiscence, and 5% with graft disruption and bleeding.
Only 23% of patients were candidates for sartorius muscle flap at the time of
their initial procedure. The average case length was 64 + 19 min. Sixty-four
percentage of patients were discharged home with home health care and the
remainder to a skilled nursing facility. The average LOS was 6.1 + 3.4 days.
Fifty-four percentages of wounds were healed at 1 month and 100% at 3 months with
adjunctive vacuum-assisted closure therapy and lifelong antibiotics. Sixty
percentage of patients were still alive at 24 months, with 33% of grafts still
patent at that time. Median survival was 18.1 months, and median graft patency
was 17.9 months. CONCLUSIONS: GMF is a safe and effective treatment for groin
complications after arterial bypass surgery with synthetic graft. Owing to its
versatility, area of coverage, ease of use, and durability, it potentially should
be considered as a primary form of muscle coverage for groin complications.
PMID- 29660391
TI - Surgical outcomes following rectus muscle plication versus resection combined
with antagonist muscle recession for basic horizontal strabismus.
PMID- 29660390
TI - Review of embryo-fetal developmental toxicity studies performed for
pharmaceuticals approved by FDA in 2016 and 2017.
AB - Details of embryo-fetal development (EFD) studies were compiled for all FDA drug
approvals in 2016-17. Rats and rabbits were used for 63% of small molecule (SM)
drugs. The cynomolgus monkey was used for 47% of biopharmaceuticals. Rodent
studies using the clinical mAb or animal homologue replaced monkey studies under
some circumstances. EFD studies were not required for anti-cancer drugs when the
mode of action was associated with known developmental risk. One quarter of SM
non-oncology drugs and all tested SM anti-cancer drugs were teratogenic in at
least one species. The rat and rabbit were essentially equally sensitive to
developmental toxicity. Eighty-nine percent of SM non-cancer drugs induced
maternal or fetal toxicity in at least one species at below 25-times human
exposure (proposed maximum exposure in the draft revised ICH S5(R3) guideline).
The pregnancy and lactation labeling rule (PLLR) has brought consistency to the
presentation of EFD data in drug labels.
PMID- 29660392
TI - Prevalence of uncorrected refractive errors among school-age children in the
School District of Philadelphia.
AB - PURPOSE: To determine the prevalence and severity of uncorrected refractive
errors in school-age children attending Philadelphia public schools. METHODS: The
Wills Eye Vision Screening Program for Children is a community-based pediatric
vision screening program designed to detect and correct refractive errors and
refer those with nonrefractive eye diseases for examination by a pediatric
ophthalmologist. Between January 2014 and June 2016 the program screened 18,974
children in grades K-5 in Philadelphia public schools. Children who failed the
vision screening were further examined by an on-site ophthalmologist or
optometrist; children whose decreased visual acuity was not amenable to spectacle
correction were referred to a pediatric ophthalmologist. RESULTS: Of the 18,974
children screened, 2,492 (13.1%) exhibited uncorrected refractive errors: 1,776
(9.4%) children had myopia, 459 (2.4%) had hyperopia, 1,484 (7.8%) had
astigmatism, and 846 (4.5%) had anisometropia. Of the 2,492 with uncorrected
refractive error, 368 children (14.8%) had more than one refractive error
diagnosis. In stratifying refractive error diagnoses by severity, mild myopia
(spherical equivalent of -0.50 D to < -3.00 D) was the most common diagnosis,
present in 1,573 (8.3%) children. CONCLUSIONS: In this urban population 13.1% of
school-age children exhibited uncorrected refractive errors. Blurred vision may
create challenges for students in the classroom; school-based vision screening
programs can provide an avenue to identify and correct refractive errors.
PMID- 29660393
TI - Survivin is high in retinoblastoma, but what lies beneath?
PMID- 29660394
TI - Reply.
PMID- 29660395
TI - Endogenous PGI2 signaling through IP inhibits neutrophilic lung inflammation in
LPS-induced acute lung injury mice model.
AB - Endogenous prostaglandin I2 (PGI2) has inhibitory effects on immune responses
against pathogens or allergens; however, the immunomodulatory activity of
endogenous PGI2 signaling in endotoxin-induced inflammation is unknown. To test
the hypothesis that endogenous PGI2 down-regulates endotoxin-induced lung
inflammation, C57BL/6 wild type (WT) and PGI2 receptor (IP) KO mice were
challenged intranasally with LPS. Urine 6-keto-PGF1alpha, a stable metabolite of
PGI2, was significantly increased following the LPS-challenge, suggesting that
endogenous PGI2 signaling modulates the host response to LPS-challenge. IPKO mice
had a significant increase in neutrophils in the BAL fluid as well as increased
proteins of KC, LIX, and TNF-alpha in lung homogenates compared with WT mice. In
contrast, IL-10 was decreased in LPS-challenged IPKO mice compared with WT mice.
The PGI2 analog cicaprost significantly decreased LPS-induced KC, and TNF-alpha,
but increased IL-10 and AREG in bone marrow-derived dendritic cells (BMDCs) and
bone marrow-derived macrophages (BMMs) compared with vehicle-treatment. These
results indicated that endogenous PGI2 signaling attenuated neutrophilic lung
inflammation through the reduced inflammatory cytokine and chemokine and enhanced
IL-10.
PMID- 29660396
TI - Analysis of clinical management of infected breast implants and of factors
associated to successful breast pocket salvage in infections occurring after
breast reconstruction.
AB - OBJECTIVES: Considerable efforts have been devoted so far to improve salvage
procedures of infected breast implants in absence of defined guidelines or
validated clinical protocols. Within a cohort of prospectively recruited patients
who underwent breast reconstruction, we performed a retrospective review of
proven implant infections in order to describe factors contributing to management
success. METHODS: We collected data in 1293 consecutive patients who underwent
two stage (expander+prosthesis) breast reconstruction with at least 12 months of
follow-up. Demographic data, timing of infection, type of microorganism, intent
of salvage, fate of the implant, type of antibiotic treatment and follow-up were
recorded in a prospective data collection on clinical records. RESULTS: Implant
infections occurred in 103 of 1293 patients (8%). Among these, 73 (71%) were
proven infections with confirmed microbiology. Implant pocket salvage was
attempted in 43/73 (59%). patients A higher proportion of expander implant
pockets were successfully saved compared to prosthetic pocket (p=0,04). Gram
positive microrganisms represented the majority of etiologic agents, with
coagulase negative staphylococci prevailing over Staphylococcus aureus. No
association was observed between success rate and type of infecting
microorganism. A higher proportion of patients with previous or intraoperative
radiotherapy or with perioperative chemotherapy underwent an attempt of implant
salvage (p=0,081 and 0,0571 trend, respectively). No single antibiotic regimen
was superior to the others in terms of success rate. Implant pocket salvage was
higher in expanders compared to prostheses (74% vs 33% p=0,04). Higher success
rates in implant pocket salvage were evident when implant replacement was
preceded and followed by antibiotic treatment compared to inpatient antibiotic
treatment alone (100% versus 57%, p=0,035). CONCLUSION: Patient selection in
clinical practice leads to differences in patients with breast implant infection
who are considered for attempts at implant salvage vs. those who are treated with
implant removal. Salvage of breast implant pockets can be obtained in the
majority of patients with combined one-step implant replacement surgery and
antibiotic treatment. Increased efforts and protocols to recruit patients into
pocket salvage management are needed.
PMID- 29660397
TI - A pharmacology guided approach for setting limits on product-related impurities
for bispecific antibody manufacturing.
AB - INTRODUCTION: bFKB1 is a humanized bispecific IgG1 antibody, created by
conjoining an anti-Fibroblast Growth Factor Receptor 1 (FGFR1) half-antibody to
an anti-Klothobeta (KLB) half-antibody, using the knobs-into-holes strategy.
bFKB1 acts as a highly selective agonist for the FGFR1/KLB receptor complex and
is intended to ameliorate obesity-associated metabolic defects by mimicking the
activity of the hormone FGF21. An important aspect of the biologics product
manufacturing process is to establish meaningful product specifications regarding
the tolerable levels of impurities that copurify with the drug product. The aim
of the current study was to determine acceptable levels of product-related
impurities for bFKB1. METHODS: To determine the tolerable levels of these
impurities, we dosed obese mice with bFKB1 enriched with various levels of either
HMW impurities or anti-FGFR1-related impurities, and measured biomarkers for KLB
independent FGFR1 signaling. RESULTS: Here, we show that product-related
impurities of bFKB1, in particular, high molecular weight (HMW) impurities and
anti-FGFR1-related impurities, when purposefully enriched, stimulate FGFR1 in a
KLB-independent manner. By taking this approach, the tolerable levels of product
related impurities were successfully determined. DISCUSSION: Our study
demonstrates a general pharmacology-guided approach to setting a product
specification for a bispecific antibody whose homomultimer-related impurities
could lead to undesired biological effects.
PMID- 29660398
TI - Molecular phenotypes of the human kidney: Myoid stromal cells/telocytes and
myoepithelial cells.
AB - The connective stromal and epithelial compartments of the kidney have
regenerative potential and phenotypic flexibility. A few studies have shown that
cells appertaining to both compartments can exhibit myoid phenotypes. The purpose
of our study was to investigate the myoid pattern of kidney and its association
with the kidney niches containing stromal cells/telocytes (SC/TCs). We performed
an immunohistochemical study using a panel of endothelial, myoid, mesenchymal and
stem/progenitor markers, namely CD31, CD34, CD105 (endoglin), CD117/c-kit,
nestin, desmin, alpha-smooth muscle actin (alpha-SMA) and the heavy chain of
smooth muscle myosin (SMM). We used histologically normal kidney samples,
obtained after nephrectomy, from nine adult patients. The capsular SC/TCs had a
strong CD34 and partial nestin and CD105 immunopositivity. Subcapsular and
interstitial SC/TCs expressed c-kit, nestin, CD105, but also alpha-SMA and SMM,
therefore having a myoid phenotype. The endothelial SC/TCs phenotype was
CD31+/CD34+/CD105+/nestin+/-/SMM+/-/alpha-SMA+/-. All three myoid markers were
expressed in periendothelial SC/TCs. We also found a scarce expression of nestin
in parietal epithelial cells of Bowman's capsule, and in podocytes. In epithelial
cells, we found a positive expression for CD31, CD117/c-kit, desmin, CD34, SMM,
and CD105. In epithelial tubular cells, we found a predominant basal expression
of the myoid markers (SMM and desmin). In conclusion, myoepithelial tubular
cells, myoid endothelial cells and myoid SC/TCs are normal constituents of the
kidney.
PMID- 29660399
TI - CacyBP/SIP, a Hsp90 binding chaperone, in cellular stress response.
AB - CacyBP/SIP interacts with Hsp90 and is able to protect proteins from denaturation
and/or aggregation induced by elevated temperature. In this work we studied the
influence of different stress factors on CacyBP/SIP level in HEp-2 cells. We have
found that H2O2 and radicicol treatment resulted in a significant increase (up to
40%) in the CacyBP/SIP level. We have also found that HEp-2 cells overexpressing
CacyBP/SIP were more resistant to stress-induced death. Further studies have
revealed that the Hsf1 transcription factor binds to the CacyBP/SIP gene promoter
and up-regulates CacyBP/SIP expression under stress conditions. To check whether
the CacyBP/SIP protein might play a role in stress responses in vivo, we analyzed
its level in selected brain structures of control and stressed mice. We have
found that the level of the CacyBP/SIP protein was higher in the
thalamus/hypothalamus, hippocampus and brainstem of stressed mice. Thus, the
presented results clearly indicate that CacyBP/SIP is involved in cellular stress
response.
PMID- 29660400
TI - Hippocampal coupling with cortical and subcortical structures in the context of
memory consolidation.
AB - Memory consolidation is a gradual process through which episodic memories become
incorporated into long-term 'semantic' representations. It likely involves
reactivation of neural activity encoding the recent experience during non-REM
sleep. A critical prerequisite for memory consolidation is precise coordination
of reactivation events between the hippocampus and cortical/subcortical
structures, facilitated by the coupling of local field potential (LFP)
oscillations (slow oscillations, sleep spindles and sharp wave/ripples) between
these structures. We review the rapidly expanding literature on the qualitative
and quantitative aspects of hippocampal oscillatory and neuronal coupling with
cortical/subcortical structures in the context of memory reactivation.
Reactivation in the hippocampus and cortical/subcortical structures is tightly
coupled with sharp wave/ripples. Hippocampal-cortical/subcortical coupling is
rich in dimensionality and this dimensionality is likely underestimated due to
the limitations of the current methodology.
PMID- 29660401
TI - Intravenous fosfomycin for pulmonary exacerbation of cystic fibrosis: Real life
experience of a large adult CF centre.
AB - BACKGROUND: The increased prevalence of multi-drug resistant strains of
P.aeruginosa and allergic reactions among adult patients with cystic fibrosis
(CF) limits the number of antibiotics available to treat pulmonary exacerbations.
Fosfomycin, a unique broad spectrum bactericidal antibiotic, might offer an
alternative therapeutic option in such cases. AIM: To describe the clinical
efficacy, safety and tolerability of intravenous fosfomycin in combination with a
second anti-pseudomonal antibiotic to treat pulmonary exacerbations in adult
patients with CF. METHOD: A retrospective analysis of data captured
prospectively, over a 2-years period, on the Unit electronic medical records for
patients who received IV fosfomycin was performed. Baseline characteristics in
the 12 months prior treatment, lung function, CRP, renal and liver function and
electrolytes at start and end of treatment were retrieved. RESULTS: 54 patients
received 128 courses of IV fosfomycin in combination with a second antibiotic,
resulting in improved FEV1 (0.94 L vs 1.24 L, p < 0.01) and reduced CRP (65 mg/L
vs 19.3 mg/L, p < 0.01). Renal function pre- and post-treatment remained stable.
4% (n = 5) of courses were complicated with AKI at mid treatment, which resolved
at the end of the course. Electrolyte supplementation was required in 18% of
cases for potassium and magnesium and 7% for phosphate. Nausea was the most
common side effect (48%), but was well controlled with anti-emetics. CONCLUSION:
Antibiotic regimens including fosfomycin appear to be clinically effective and
safe. Fosfomycin should, therefore, be considered as an add-on therapy in
patients who failed to respond to initial treatment and with multiple drug
allergies.
PMID- 29660402
TI - Lead (Pb) induced ATM-dependent mitophagy via PINK1/Parkin pathway.
AB - Lead (Pb), a widely distributed environmental pollutant, is known to induce
mitochondrial damage as well as autophagy in vitro and in vivo. In this study, we
found that Pb could trigger mitophagy in both HEK293 cells and the kidney cortex
of male Kunming mice. However, whether ataxia telangiectasis mutated (ATM) which
is reported to be linked with PTEN-induced putative kinase 1 (PINK1)/Parkin
pathway (a well-characterized mitophagic pathway) participates in the regulation
of Pb-induced mitophagy and its exact role remains enigmatic. Our results
indicated that Pb activated ATM in vitro and in vivo, and further in vitro
studies showed that ATM could co-localize with PINK1 and Parkin in cytosol and
interact with PINK1. Knockdown of ATM by siRNA blocked Pb-induced mitophagy even
under the circumstance of enhanced accumulation of PINK1 and mitochondrial
Parkin. Intriguingly, elevation instead of reduction in phosphorylation level of
PINK1 and Parkin was observed in response to ATM knockdown and Pb did not
contribute to the further increase of their phosphorylation level, implying that
ATM indirectly regulated PINK1/Parkin pathway. These findings reveal a novel
mechanism for Pb toxicity and suggest the regulatory importance of ATM in
PINK1/Parkin-mediated mitophagy.
PMID- 29660403
TI - ROS modifiers and NOX4 affect the expression of the survivin-associated radio
adaptive response.
AB - The survivin-associated radio-adaptive response can be induced following exposure
to ionizing radiation in the dose range from 5 to 100 mGy, and its magnitude of
expression is dependent upon the TP53 mutational status of cells and ROS
signaling. The purpose of the study was to investigate the potential role of ROS
in the development of the survivin-associated adaptive response. Utilizing human
colon carcinoma HCT116 TP53 wild type (WT) and HCT116 isogenic TP53 null mutant
(Mut) cell cultures, the roles of inter- and intracellular ROS signaling on
expression of the adaptive response as evidenced by changes in intracellular
translocation of survivin measured by ELISA, and cell survival determined by a
standard colony forming assay were investigated using ROS modifying agents that
include emodin, N-acetyl-L-cysteine (NAC), fulvene-5, honokiol, metformin and
rotenone. The role of NADPH oxidase 4 (NOX4) in the survivin-associated adaptive
response was investigated by transfecting HCT116 cells, both WT and Mut, with two
different NOX4 siRNA oligomers and Western blotting. A dose of 5 mGy or a 15 min
exposure to 50 uM of the ROS producing drug emodin were equally effective in
inducing a pro-survival adaptive response in TP53 WT and a radio-sensitization
adaptive response in TP53 Mut HCT116 cells. Each response was associated with a
corresponding translocation of survivin into the cytoplasm or nucleus,
respectively. Exposure to 10 mM NAC completely inhibited both responses. Exposure
to 10 uM honokiol induced responses similar to those observed following NAC
exposure in TP53 WT and Mut cells. The mitochondrial complex 1 inhibitor rotenone
was effective in reducing both cytoplasmic and nuclear survivin levels, but was
ineffective in altering the expression of the adaptive response in either TP53 WT
or Mut cells. In contrast, both metformin and fulvene-5, inhibitors of NOX4,
facilitated the reversal of TP53 WT and Mut adaptive responses from pro-survival
to radio-sensitization and vice versa, respectively. These changes were
accompanied by corresponding reversals in the translocation of survivin to the
nuclei of TP53 WT and to the cytoplasm of TP53 Mut cells. The potential role of
NOX4 in the expression of the survivin-associated adaptive response was
investigated by transfecting HCT116 cells with NOX4 siRNA oligomers to inhibit
NOX4 expression. Under these conditions NOX4 expression was inhibited by about
50%, resulting in a reversal in the expression of the TP53 WT and Mut survivin
associated adaptive responses as was observed following metformin and fulvene-5
treatment. Exposure to 5 mGy resulted in enhanced NOX4 expression by about 40% in
both TP53 WT and Mut cells, in contrast to only a 1-2% increase following a 2 Gy
only exposure. Utilizing mixed cultures of HCT116 TP53 WT and isogenic null Mut
cells, as few as 10% TP53 Mut cells were sufficient to control the expression of
the remaining 90% WT cells and resulted in an overall radio-sensitization
response accompanied by the nuclear translocation of survivin characteristic of
homogeneous TP53 Mut populations.
PMID- 29660404
TI - Effect of humidity on photoinduced radicals in human hair.
AB - EPR spectroscopy was used to monitor formation of free radicals in human hair
upon UV irradiation. While the EPR spectra of brown hair were dominated by
melanin signal, those of white hair were keratin-derived. The decay of UV induced
keratin radicals was enhanced at increased ambient humidity. We argue that at
higher humidity the swollen hair provides a more liquid-like environment, and
higher molecular mobility in this environment leads to faster radical reactions.
This interpretation is consistent with the increased UV-triggered protein damage
in hair at high humidity as demonstrated by the protein loss, MALDI-TOF and FT-IR
data.
PMID- 29660405
TI - Microbiome-mediated bile acid modification: Role in intestinal drug absorption
and metabolism.
AB - Once regarded obscure and underappreciated, the gut microbiota (the microbial
communities colonizing the gastrointestinal tract) is gaining recognition as an
influencer of many aspects of human health. Also increasingly apparent is the
breadth of interindividual variation in these co-evolved microbial-gut
associations, presenting novel quests to explore implications for disease and
therapeutic response. In this respect, the unearthing of the drug-metabolizing
capacity of the microbiota has provided impetus for the integration of
microbiological and pharmacological research. This review considers a potential
mechanism, 'microbial bile acid metabolism', by which the intricate interplay
between the host and gut bacteria may influence drug pharmacokinetics. Bile salts
traditionally regarded as biological surfactants, synthesized by the host and
biotransformed by gut bacteria, are now also recognized as signalling molecules
that affect diverse physiological processes. Accumulating data indicate that bile
salts are not equivalent with respect to their physicochemical properties,
micellar solubilization capacities for poorly water-soluble drugs,
crystallization inhibition tendencies nor potencies for bile acid receptor
activation. Herein, the origin, physicochemical properties, physiological
functions, plasticity and pharmaceutical significance of the human bile acid pool
are discussed. Microbial dependant differences in the composition of the human
bile acid pool, simulated intestinal media and commonly used preclinical species
is highlighted to better understand in vivo performance predictiveness. While the
precise impact of an altered gut microbiome, and consequently bile acid pool, in
the biopharmaceutical setting remains largely elusive, the objective of this
article is to aid knowledge acquisition through a detailed review of the
literature.
PMID- 29660406
TI - Is antimicrobial administration to food animals a direct threat to human health?
A rapid systematic review.
AB - BACKGROUND: Large quantities of antimicrobials are given to food animals,
particularly in feed, potentially increasing antimicrobial resistance in humans.
However, the magnitude of this effect is unclear. METHODS: We searched PubMed,
Embase and Web of Science for studies on interventions that limited antimicrobial
use in food animals, in any setting and context, to reduce antimicrobial
resistance 1) in those food animals; and 2) in humans. We validated our strategy
by testing whether it identified known relevant studies. Data from included
studies were extracted into pre-designed and pilot-tested forms. RESULTS: We
included 104 articles containing 93 studies. Heterogeneity (different animal
species, environs, antimicrobial classes, interventions, administration routes,
sampling, and methods), was considerable, precluding meta-analysis. The evidence
was therefore synthesised narratively. A total of 89 studies (3 directly, 86
indirectly) addressed whether limiting antimicrobial exposure in food animals led
to decreased antimicrobial resistance in those animals. The evidence was adequate
to conclude this, although the magnitude of the effect could not be quantified.
Four studies (1 directly, 3 indirectly) examined whether withdrawal of
antibiotics changed resistance of potential pathogens in retail food for human
consumption, and in bacteria of humans themselves. The direct (observational)
study of broiler hatchery in ovo antimicrobial injection found a credible effect
in terms of size reduction and time sequences. INTERPRETATION: Limiting
antimicrobial use in food animals reduces antimicrobial resistance in food
animals, and probably reduces antimicrobial resistance in humans. The magnitude
of the effect cannot be quantified.
PMID- 29660408
TI - Expansion of the clinical spectrum of frontometaphyseal dysplasia 2 caused by the
recurrent mutation p.Pro485Leu in MAP3K7.
AB - Frontometaphyseal dysplasia 2 (FMD2) is a skeletal dysplasia with supraorbital
hyperostosis combined with undermodeling of the bones, joint contractures and
some extraskeletal features. It is caused by heterozygous mutations in MAP3K7,
encoding the Mitogen-Activated Protein 3-Kinase 7. MAP3K7 is activated by TGF
beta and plays an important role in osteogenesis. Less than 20 patients with FMD2
and MAP3K7 mutations have been described thus far. The majority of the patients
harbor a recurrent missense mutation, NM_003188.3: c.1454C > T [NP_003179.1:
p.(Pro485Leu)], which leads to a more severe phenotype than mutations in other
domains. Here we describe an additional patient with FMD2 caused by the recurrent
c.1454C > T MAP3K7 mutation, identified as a de novo variant by whole-genome
sequencing. The 17-year-old boy has the characteristic skeletal and facial
features of FMD2. However, some novel features were also observed, including
growth retardation and spina bifida occulta. In line with other patients
harboring the same mutation he also showed keloid scars and had no intellectual
disability. This report expands the clinical spectrum of FMD2 caused by the
recurrent c.1454C > T [p.(Pro485Leu)] mutation in MAP3K7.
PMID- 29660407
TI - Application of water-soluble polyvinyl alcohol-based film patches on laser
microporated skin facilitates intradermal macromolecule and nanoparticle
delivery.
AB - The intradermal delivery of biologics has long been recognized as attractive
approach for cutaneous immunotherapy, particularly vaccination. Although
intradermal (i.d.) or subcutaneous (s.c.) injection provide reproducible dosing
and good cost- and delivery efficiency, the major objective to avoid sharps and
the need for enhanced storage stability have renewed the interest in alternative
needle-free delivery strategies. This study presents a new concept for the
delivery of macromolecules and nanoparticles to viable skin layers with a high
density of professional antigen-presenting cells (APCs). Stable polyvinyl alcohol
(PVA) polymer films as well as PVA blends with carboxymethyl cellulose (CMC) or
cross-linked carbomer were prepared using an easily-scalable film casting
technique. Fluorescein isothiocyanate (FITC) and rhodamine B-labeled dextrane 70
kDa (RD70), used as small and macromolecular model substances, or polystyrene
(PS)-nano- and microparticles with diameters of 0.5 um and 5 um were directly
incorporated into the polymer formulations at varying concentrations. The
assembly of the polymer films with an occlusive backing tape created a film patch
that provided a fast drug release upon dissolution of the water-soluble film and
facilitated an intradermal drug delivery on laser microporated skin. The
minimally-invasive P.L.E.A.S.E.(r) laser poration system (Pantec Biosolutions,
Ruggell, Liechtenstein) provided access to viable skin layers by thermally
ablating the superficial tissue with a pulsed Er:YAG laser (lambda = 2.94 um). In
our in vitro study using excised pig skin, laser microporation induced a 4- to 5
fold increase of water transport (TEWL) through excised skin in a Franz diffusion
cell compared to intact skin. The TEWL values detected were comparable to in vivo
human skin. The increased water transport facilitated the dissolution of all
topically applied dry PVA-based film formulations within 6 h. No dissolution of
the films was seen on intact skin. The incubation of the film patches on laser
microporated skin for 24 h led to a considerable intradermal delivery of RD70 or
PS-nanoparticles, which was superior for pure PVA films compared to PVA-CMC or
PVA-carbomer blend formulations. No intradermal delivery was observed on intact
skin or when larger PS-microparticles with a diameter of 5 um were investigated.
The presented concept provides a unique opportunity to exploit the improved
storage stability of sensitive drug molecules in dry film formulations while
providing protection and functionality.
PMID- 29660409
TI - Chronic intestinal pseudo-obstruction syndrome and gastrointestinal malrotation
in an infantwith schaaf-yang syndrome - Expanding the phenotypic spectrum.
AB - We report a novel patient with the phenotypic characteristics of Schaaf-Yang
syndrome. In addition, the patient has a severe chronic digestive malfunction,
rendering him dependent on intermittent enteral supplementation. To our
knowledge, this is the first report of Schaaf-Yang syndrome associated with
severe chronic digestive malfunction manifesting with both a malrotation and
signs of a chronic intestinal pseudo-obstruction.
PMID- 29660410
TI - The spatial and developmental expression of mouse Vwa8 (von Willebrand domain
containing protein 8).
AB - The Drosophila gene c12.2 was isolated in a screen examining mRNA binding
proteins. Drosophila c12.2 is the mouse Vwa8 homolog. Various genome-wide
associated studies have linked human Vwa8 to both neurological and oncological
pathologies, which include autism, bipolar disorder, comorbid migraine, and acute
myeloid leukemia, however, the function and role of the VWA8 protein remain
poorly understood. To further analyze the Vwa8 gene in mouse, gene structure,
protein homology modeling, and gene expression patterns were examined throughout
mouse development. Our analyses indicate that the mouse Vwa8 gene produces two
transcripts; the full-length Vwa8a is highly expressed relative to the truncated
Vwa8b transcript across all developmental time points and tissues analyzed.
Protein homology modeling indicates that VWA8a belongs to a novel protein
superfamily containing both the midasin and cytoplasmic dynein 1 heavy chain 1
proteins. These data establish the development timeline and expression profile
for both Vwa8a and Vwa8b, paving the way for future studies to determine the
cellular role(s) of this highly conserved protein family.
PMID- 29660411
TI - Decreased glycopeptide susceptibility in Staphylococcus pasteuri associated with
a mutation in uncharacterised conserved membrane protein STP1_1701.
PMID- 29660412
TI - Mycoplasma hominis shows strain-dependent increase in resistance to selected
antibiotics after symbiosis with Trichomonas vaginalis.
AB - OBJECTIVES: Mycoplasma hominis, a genetically heterogeneous, cell-wall-less
bacterium, is able to live in symbiosis with the protozoan parasite Trichomonas
vaginalis. Whilst the impact of this symbiosis on T. vaginalis has been
investigated to a certain extent, less light has been shed on the influence on M.
hominis. METHODS: An in vitro minimum inhibitory concentration (MIC) study of the
antimicrobial susceptibility of three clinical M. hominis isolates (V475, AKH136
and MhSS10) to clindamycin, moxifloxacin, ciprofloxacin and gentamicin was
performed in dependence on symbiosis with T. vaginalis strain IR78. RESULTS:
Passaging of M. hominis through T. vaginalis led to an increase in MICs to all
drugs investigated in M. hominis V475 and M. hominis MhSS10 (apart from
gentamicin). Shifts from intermediate to resistant (MhSS10 for ciprofloxacin) and
from susceptible to intermediate-resistant (V475 for gentamicin; P=0.015) were
observed. Moreover, initial susceptibility of V475 to moxifloxacin
(MIC=1.35MUg/mL) was statistically significantly reduced (MIC=2.5MUg/mL)
following T. vaginalis passage concomitantly with mutations in the quinolone
resistance-determining regions (QRDRs) of gyrA (S153L) and parC (E195G and
K144R). In contrast, the susceptibility of M. hominis isolate AKH136 to all drugs
investigated increased after passaging. CONCLUSIONS: These findings suggest that
symbiosis with T. vaginalis has an enhancing effect on selected antimicrobial
resistances of distinct M. hominis isolates.
PMID- 29660413
TI - Validation of French upper limb Erasmus modified Nottingham Sensory Assessment in
stroke.
AB - BACKGROUND: Somatosensory impairment of the upper limb (UL) occurs in
approximately 50% of adults post-stroke, associated with loss of hand motor
function, activity and participation. Measurement of UL sensory impairment is a
component of rehabilitation contributing to the selection of sensorimotor
techniques optimizing recovery and providing a prognostic estimate of UL
function. To date, no standardized official French version of a measure of
somatosensory impairment has been established. OBJECTIVE: To develop and validate
a French version of the Erasmus modified Nottingham Sensory Assessment
somatosensory (EmNSA-SS) and stereognosis (EmNSA-ST) component for evaluating the
UL among adults with stroke. METHODS: This study is a single-center observational
cross-sectional study. A French version of the EmNSA for UL was developed by
forward-backward translation and cross-cultural adaptation. Fifty stroke patients
were recruited to establish concurrent-criterion-related validity, internal
consistency, intra- and inter-rater reproducibility with intracorrelation
coefficients (ICCs) for reliability and the minimal detectable change with 95%
confidence interval (MDC95) for agreement, as well as ceiling and floor effects.
Criterion validity was assessed against the Fugl-Meyer Assessment-Sensory (FMA-S)
for the UL. RESULTS: The median (range) EmNSA-SS score was 41.5 (1-44). The
Spearman rank correlation coefficient between EmNSA-SS and FMA-S total scores was
moderate (rho=0.74, P<0.001). The EmNSA-SS/ST internal consistency was adequate
across subscales; with Cronbach alpha ranging from 0.82-0.96. For the EmNSA-SS
total score, intra- and inter-rater reliability was excellent (ICC=0.92 in both
cases), with MDC95 of 12.3 and 14.6, respectively. EmNSA-SS/ST total scores
demonstrated no ceiling or floor effects. CONCLUSIONS: The French EmNSA is a
valid and reproducible scale that can be used for comprehensive and accurate
assessment of somatosensory modalities in adults post-stroke. Taking less than
30min to administer, the instrument has clinical utility for use in patients with
cognitive comorbidities and at various stages of recovery in multidisciplinary
clinical practice and research settings.
PMID- 29660415
TI - Towards a model-based cognitive neuroscience of stopping - a neuroimaging
perspective.
AB - Our understanding of the neural correlates of response inhibition has greatly
advanced over the last decade. Nevertheless the specific function of regions
within this stopping network remains controversial. The traditional neuroimaging
approach cannot capture many processes affecting stopping performance. Despite
the shortcomings of the traditional neuroimaging approach and a great progress in
mathematical and computational models of stopping, model-based cognitive
neuroscience approaches in human neuroimaging studies are largely lacking. To
foster model-based approaches to ultimately gain a deeper understanding of the
neural signature of stopping, we outline the most prominent models of response
inhibition and recent advances in the field. We highlight how a model-based
approach in clinical samples has improved our understanding of altered cognitive
functions in these disorders. Moreover, we show how linking evidence-accumulation
models and neuroimaging data improves the identification of neural pathways
involved in the stopping process and helps to delineate these from neural
networks of related but distinct functions. In conclusion, adopting a model-based
approach is indispensable to identifying the actual neural processes underlying
stopping.
PMID- 29660414
TI - Nonlinear Inverted-U Shaped Relationship Between Aging and Epidermal Innervation
in the Rat Plantar Hind Paw: A Laser Scanning Confocal Microscopy Study.
AB - : The under-reporting of pain and atypical manifestations of painful syndromes
within the elderly population have been well documented, however, the specific
relationship between pain and aging remains ambiguous. Previous studies have
reported degenerative changes in primary afferents with aging. In this study, we
questioned whether there is any change in the density of primary afferent endings
within the epidermis of aged animals. Rats were categorically assessed in 4 age
groups, each representing a key developmental stage across their life span:
juvenile (2 months), adult (7 months); aged (18 months), and senescent (24-26
months). The plantar hind paw skin was removed, post-fixed, cut, and
immunostained for protein gene product 9.5 and type IV collagen. Rats in the
adult aged groups had significantly increased epidermal nerve densities and total
lengths of immunoreactive nerve fibers, compared with juvenile as well as
senescent rats. However, the paw withdrawal thresholds to punctate mechanical
stimulation progressively increased with age, and did not exhibit a clear
relationship with epidermal innervation. We conclude a nonlinear, inverted-U
shaped relationship between rat plantar epidermal nerve density with aging, which
does not correlate with mechanically-induced paw withdrawal behaviors.
PERSPECTIVE: This article presents age-related decreased epidermal innervation in
rat hind paw skin, which partly explains mechanisms underlying decreased pain
sensitivity in aged subjects. The report may help clinicians to understand that
any compromise of pain-sensing pathway can lead to under-reporting of pain,
inadequate analgesia, and slower recovery from a painful condition.
PMID- 29660416
TI - Cognitive effects of transcranial direct current stimulation treatment in
patients with major depressive disorder: An individual patient data meta-analysis
of randomised, sham-controlled trials.
AB - Transcranial direct current stimulation (tDCS) has emerged as a promising new
treatment for major depression. While recent randomised, sham-controlled studies
found tDCS to have antidepressant effects, it remains to be determined whether a
tDCS treatment course may also enhance cognitive function independent of mood
effects in depressed patients. This systematic review and individual patient data
(IPD) meta-analysis examined cognitive outcomes from randomised, sham-controlled
trials of tDCS treatment for major depression. Seven randomised, sham-controlled
trials (n = 478 participants, 260 in active and 218 in sham) of tDCS for major
depression were included. Results showed no cognitive enhancement after active
tDCS compared to sham for the 12 cognitive outcomes investigated. Active relative
to sham tDCS treatment was associated with reduced performance gains on a measure
of processing speed (beta = -0.33, 95% CI -0.58; -0.08, p = 0.011). Active tDCS
treatment for depression did not show cognitive benefits independent of mood
effects. Rather, tDCS treatment relative to sham stimulation for major depression
may instead be associated with a reduced practice effect for processing speed.
PMID- 29660418
TI - Evolution of cooperation in a hierarchical society with corruption control.
AB - Punishment is widely recognized as a potential promoter in sustaining or even
enhancing public cooperation, but it meanwhile induces the problem of second
order free-riders. Particularly, recent evidence shows that costly punishment can
be maintained if punishers may engage in corruption. However, how to reduce or
eliminate incidents of corruption has been the enduring conundrum in human
society. As power asymmetries are associated with hierarchies, we investigate how
costly punishment affects the evolution of cooperation in the cases without and
with corruption control. In the absence of corruption control, altruistic
punishers are incapable of punishing corrupt punishers. Corrupt punishment
maintains civilian cooperation but undermines the evolution of altruistic
punishment. Otherwise, altruistic punishers can enforce symmetrical or
asymmetrical punishment on both corrupt punishers and civilian defectors. In this
case, both civilian cooperation and altruistic punishment can be promoted. And as
an instrument of corruption control, the policy of asymmetrical punishment is
more effective in fostering public cooperation and improving social welfare than
symmetrical punishment. Moreover, no matter whether corruption control is
considered or not, spiteful corruption that non-cooperative punishers penalize
defectors is a more effective form for enhancing cooperation compared with
bribery. Our work may thus offer an insight into the effects of corruption on
public cooperation and the policy of anti-corruption.
PMID- 29660419
TI - Effective leg stiffness of animal running and the co-optimization of energetic
cost and stability.
AB - The relative leg stiffness of most running animals falls in a small range between
7 and 27. Here we present a theoretical study of an established running model, an
actuated Spring Loaded Inverted Pendulum model, to determine if the energetic
cost and stability of running might be co-optimized over this range of leg
stiffness values. The energetic cost of the model is quantified as the energy
spent to move a unit mass a unit distance. The stability of the model is based on
the system response to perturbations with respect to periodic locomotion
solutions, and uses the linearized dynamics of Poincare return maps and the
resulting maximum eigenvalue and singular value decomposition in order to analyze
asymptotic stability and the overall system response to perturbations,
respectively. We find that there exists a tradeoff between stability and
energetic cost in the model with respect to variation in forcing (actuation)
level: For a given leg stiffness, the energetic cost tends to be more optimal
with smaller forcing, and the opposite for stability. We find that intermediate
levels of forcing can achieve near asymptotic stability or complete asymptotic
stability while remaining small enough to yield a relatively low energetic cost
consistent with human-like values. We demonstrate that this outcome can be
achieved in the model with a simple optimization function that balances stability
and energetic cost. We then investigate the stability and energetic cost when
both leg stiffness and forcing are varied. Overall, the analysis shows that leg
stiffness values in or near the biological range offers a good chance of
simultaneously achieving both reasonable energetic cost and stability in the
model. The results of this study suggest that stability and energetic cost may be
interacting factors that have a combined influence on the effective leg stiffness
and actuation (forcing) used by running animals.
PMID- 29660420
TI - Tracking tumor kinetics in patients with germline CYLD mutations.
PMID- 29660421
TI - Certolizumab pegol for the treatment of chronic plaque psoriasis: Results through
48 weeks from 2 phase 3, multicenter, randomized, double-blinded, placebo
controlled studies (CIMPASI-1 and CIMPASI-2).
AB - BACKGROUND: Certolizumab pegol, the only Fc-free, PEGylated anti-tumor necrosis
factor biologic, demonstrated clinically meaningful improvements suggestive of a
positive risk-benefit balance in phase 2 studies in adults with moderate-to
severe chronic plaque psoriasis. OBJECTIVE: Assess certolizumab efficacy and
safety versus placebo in phase 3 studies. METHODS: Patients with moderate-to
severe chronic plaque psoriasis were randomized 2:2:1 to certolizumab 400 mg,
certolizumab 200 mg, or placebo every 2 weeks. At week 16, certolizumab-treated
patients achieving a 50% reduction in Psoriasis Area and Severity Index continued
treatment through week 48. Coprimary endpoints were week 16 responder rates,
defined as a 75% reduction in Psoriasis Area and Severity Index and Physician's
Global Assessment 0/1 (clear/almost clear) and >=2-point improvement. Safety was
assessed by treatment-emergent adverse events. RESULTS: Week-16 endpoints were
significantly greater for both doses of certolizumab versus placebo, and the
responses were maintained through week 48. For most measures, improvement was
numerically greater for certolizumab 400 mg. No unexpected safety signals were
identified. LIMITATION: There was no active comparator. CONCLUSION: Treatment
with either certolizumab 400 mg or 200 mg every 2 weeks was associated with
significant and clinically meaningful improvements in moderate-to-severe
psoriasis. The 400-mg dose could provide additional clinical benefit. The safety
profile was consistent with the therapeutic class.
PMID- 29660417
TI - RDoC-based categorization of amygdala functions and its implications in autism.
AB - Confusion endures as to the exact role of the amygdala in relation to autism. To
help resolve this we turned to the NIMH's Research Domain Criteria (RDoC) which
provides a classification schema that identifies different categories of
behaviors that can turn pathologic in mental health disorders, e.g. autism. While
RDoC incorporates all the known neurobiological substrates for each domain, this
review will focus primarily on the amygdala. We first consider the amygdala from
an anatomical, historical, and developmental perspective. Next, we examine the
different domains and constructs of RDoC that the amygdala is involved in:
Negative Valence Systems, Positive Valence Systems, Cognitive Systems, Social
Processes, and Arousal and Regulatory Systems. Then the evidence for a
dysfunctional amygdala in autism is presented with a focus on alterations in
development, prenatal valproic acid exposure as a model for ASD, and changes in
the oxytocin system therein. Finally, a synthesis of RDoC, the amygdala, and
autism is offered, emphasizing the task of disambiguation and suggestions for
future research.
PMID- 29660424
TI - Dermoscopic features of onychotillomania: A study of 36 cases.
AB - BACKGROUND: Onychotillomania is a nail-picking disorder characterized by nail
dystrophy and abnormal morphology of the nail plate, nail bed, and periungual
skin. OBJECTIVE: The purpose of this study was to describe the dermoscopic
features of onychotillomania. METHODS: A retrospective study of the dermoscopy
images of 36 patients affected by onychotillomania. The images were reviewed
independently by both authors and a list of dermatoscopic findings was
established. RESULTS: Scales were observed in 34 cases (94.4%). Absence of the
nail plate was seen in 30 cases (83.3%). Wavy lines were observed in 25 cases
(69.4%). Hemorrhages were observed in 23 cases (63.9%). Crusts were seen in 22
cases (61.1%). Nail bed pigmentation was observed in 17 cases (47.2%). Speckled
dots were observed in 14 cases (38.9%). Nail plate melanonychia was observed in 4
cases (11.1%). LIMITATIONS: Limitations included small sample size and
retrospective study. CONCLUSION: Absence of the nail plate with multiple
obliquely oriented nail bed hemorrhages, nail bed gray pigmentation, and presence
of wavy lines are characteristic findings of onychotillomania and not seen in
other nail diseases.
PMID- 29660423
TI - CME Part 2: Hair disorders in cancer survivors Persistent chemotherapy-induced
alopecia, persistent radiotherapy-induced alopecia, and hair growth disorders
related to endocrine therapy or cancer surgery.
AB - With increasing survival rates across all cancers, survivors represent a growing
population that is frequently affected by persistent or permanent hair growth
disorders as a result of systemic therapies, radiotherapy, surgical procedures,
and therapeutic transplants. These hair disorders include persistent chemotherapy
induced alopecia, persistent radiotherapy-induced alopecia, endocrine therapy
induced alopecia and hirsutism, post-surgery alopecia and localized
hypertrichosis, alopecia attributed to therapeutic transplants, and to novel
anticancer therapies. The information contained in this continuing medical
education article should facilitate a better understanding on hair disorders in
cancer survivors, so that adequate support and therapies may be provided to
cancer survivors.
PMID- 29660422
TI - CME Part 1: Hair disorders in cancer patients.
AB - Cytotoxic chemotherapies, molecularly targeted therapies, immunotherapies,
radiotherapy, stem cell transplants, and endocrine therapies may lead to hair
disorders (including alopecia, hirsutism, hypertrichosis, pigmentary and textural
hair changes). The mechanisms underlying these changes are varied and remain
incompletely understood, hampering the development of preventive or therapeutic
guidelines. The psychosocial impact of chemotherapy -induced alopecia has been
well-documented mainly in the oncology literature, however the effect of other
alterations such as radiation-induced alopecia, hirsutism, changes in hair color
or texture on quality of life have not been described. This article reviews
clinically significant therapy-related hair disorders in cancer patients,
underlying pathophysiological mechanisms, severity grading scales, patient
reported quality of life instruments, management strategies, and future
translational research opportunities.
PMID- 29660425
TI - Certolizumab pegol for the treatment of chronic plaque psoriasis: Results through
48 weeks of a phase 3, multicenter, randomized, double-blind, etanercept- and
placebo-controlled study (CIMPACT).
AB - BACKGROUND: Phase 2 psoriasis studies with the Fc-free, PEGylated, anti-tumor
necrosis factor biologic certolizumab pegol demonstrated meaningful clinical
activity. OBJECTIVE: Assess safety and efficacy of certolizumab in adults with
moderate-to-severe chronic plaque psoriasis. METHODS: Patients were randomized
3:3:1:3 to certolizumab 400 mg, certolizumab 200 mg, or placebo every 2 weeks for
16 weeks or etanercept 50 mg twice weekly for 12 weeks. Certolizumab-treated
patients achieving a >=75% reduction in Psoriasis Area and Severity Index (PASI)
at week 16 from baseline PASI were rerandomized to certolizumab or placebo for 32
weeks. The primary endpoint was responder rate (>=75% reduction in PASI from
baseline PASI) versus placebo (primary analysis) and etanercept (secondary
analysis) at week 12; secondary endpoints included responder rates on various
measures versus placebo at weeks 12, 16, and 48. Safety was assessed by treatment
emergent adverse events. RESULTS: All endpoints were significantly greater for
certolizumab versus placebo with the greatest response seen with 400 mg.
Certolizumab 400 mg was superior to and 200 mg was noninferior to etanercept.
Adverse events were consistent with the anti-tumor necrosis factor class of
drugs. LIMITATIONS: Etanercept was administered by unblinded study staff or self
administered, but efficacy assessments were performed by a blinded assessor.
CONCLUSION: Both certolizumab regimens improved psoriasis symptoms, with a
greater response seen with the higher dose. No new safety signals were observed.
PMID- 29660426
TI - Coffee and tea drinking in relation to risk of hip fracture in the Singapore
Chinese Health Study.
AB - Meta-analyses of studies conducted among Western populations suggest that coffee
consumption does not affect osteoporotic fracture risk. However, experimental
studies have shown that the effect of caffeine on bone health may depend on
dosage. We examined the associations between consumption of coffee, tea and
caffeine and risk of hip fracture in an Asian cohort. In a population-based
prospective cohort of 63,257 Chinese men and women aged 45-74 years in Singapore,
a validated semi-quantitative food frequency questionnaire was used to assess
habitual consumption of coffee and tea at baseline. Cox proportional hazards
regression models were used to estimate hazard ratio (HR) and 95% confidence
interval (CI) for risk of hip fracture with adjustment for potential confounders.
During a mean follow-up of 16.7 years, 2502 incident hip fracture cases were
identified. Compared to coffee drinkers <1 cup/week, those who drank >=4 cups/day
had a statistically significant higher risk to develop hip fractures, the HR (95%
CI) was 1.32 (1.07, 1.63) in the whole cohort analysis, 1.46 (1.01, 2.10) for men
and 1.33 (1.02, 1.72) for women. Among postmenopausal women, compared to those
who drank coffee <1 cup/week, drinking 2-3 cups/day was associated with the
lowest risk [HR: 0.88 (0.76, 1.01)] and drinking >=4 cups/day was associated with
the highest risk [HR: 1.31 (1.00, 1.71)]. Similar associations with caffeine
intake were found among postmenopausal women. Restricted spline analyses
suggested a non-linear association between coffee/caffeine consumption and hip
fracture risk in postmenopausal women (p for non-linearity <= 0.05). No
association was found with tea consumption in either sex. These data suggest that
drinking coffee >=4 cups/day is associated with a higher hip fracture risk, while
a moderate intake may alleviate risk in postmenopausal women. Future studies
should corroborate these results to determine levels of optimal coffee
consumption in relation to bone health.
PMID- 29660427
TI - Epiphyseal growth plate architecture is unaffected by early postnatal activation
of the expression of R992C collagen II mutant.
AB - Spondyloepiphyseal dysplasia (SED) exemplifies a group of heritable diseases
caused by mutations in collagenous proteins of the skeletal system. Its main
feature is altered skeletal growth. Pathomechanisms of SED include: changes in
the stability of collagen II molecules, inability to form proper collagen
fibrils, excessive intracellular retention of mutant molecules, and endoplasmic
reticulum stress. The complexity of this pathomechanism presents a challenge for
designing therapies for SED. Our earlier research tested whether such therapies
only succeed when applied during a limited window of development. Here, employing
an inducible mouse model of SED caused by the R992C mutation in collagen II, we
corroborate our earlier observations that a therapy must be applied at the
prenatal or early postnatal stages of skeletal growth in order to be successful.
Moreover, we demonstrate that blocking the expression of the R992C collagen II
mutant at the early prenatal stages leads to long-term positive effects.
Although, we could not precisely mark the start of the expression of the mutant,
these effects are not significantly changed by switching on the mutant production
at the early postnatal stages. By demonstrating the need for early therapeutic
interventions, our study provides, for the first time, empirically-based
directions for designing effective therapies for SED and, quite likely, for other
skeletal dysplasias caused by mutations in key macromolecules of the skeletal
system.
PMID- 29660428
TI - The Kinetics of Antidrug Antibodies, Drug Levels, and Clinical Outcomes in
Infliximab-Exposed Patients with Immune-Mediated Disorders.
AB - BACKGROUND: Hypersensitivity reactions (HRs) and loss of response (LOR) to
infliximab (IFX) are related to drug immunogenicity characterized by antidrug
antibodies (ADAs). OBJECTIVE: To analyze the timing of ADA appearance and its
relationship with drug levels and clinical outcomes in IFX-treated patients with
different diseases. METHODS: Samples were longitudinally collected before each
infusion from 91 IFX-treated patients and were assayed for ADA and drug levels by
enzyme-linked immunosorbent assay and for IgE by ImmunoCAP system. Clinical data
regarding efficacy and safety of therapy were also monitored. RESULTS: The ADA
onset occured quite early, irrespective of the type of disease, during the first
year and more frequently and earlier during the second cycle of therapy. Patients
with HR were more frequently ADA-positive and with higher ADA titers compared
with other patient groups. ADA onset tends to precede HRs and LOR; all HRs that
occur after a period of drug interruption are preceded by ADA development. Before
ADA detection, a progressive decline in IFX levels until a complete disappearance
was observed. The ADA titer was maintained for years both in patients with
ongoing therapy and in those who interrupted it. IgE ADAs are more frequently
developed in patients with higher ADA levels and earlier ADA onset, but their
rate of negativization is faster. CONCLUSION: The present data suggest that most
IFX-exposed patients develop ADAs within the first year of treatment irrespective
of disease type. The clinical outcome to the treatment is preceded by ADA
development, which in turn is associated with the reduction in drug serum levels.
Both ADA evaluation and therapeutic drug monitoring may have a relevant impact on
clinical practice, giving new insights to predict LOR and HRs.
PMID- 29660429
TI - Summary and simulation of reported adverse events from epinephrine autoinjectors
and a review of the literature.
PMID- 29660430
TI - The protective effect of Hif3a RNA interference and HIF-prolyl hydroxylase
inhibition on cardiomyocytes under anoxia-reoxygenation.
AB - The aim of this study was to investigate the molecular mechanisms underlying the
protective effects of hypoxia-inducible factor (HIF) signaling pathway activation
in cardiomyocytes under anoxia-reoxygenation (A/R) injury. In this study, rat
neonatal cardiomyocytes were pretreated with anti-Hif3A/Hif-3alpha siRNA or HIF
prolyl hydroxylase inhibitor prior to A/R injury. Our results showed that both
HIF3A silencing and HIF-prolyl hydroxylase inhibition effectively increased the
cell viability during A/R, led to changes in mRNA expression of HIF1-target
genes, and reduced the loss of mitochondrial membrane potential (Deltapsim).
Furthermore, application of anti-Hif3a siRNA led to an increase in mRNA
expression of Epo, Igf1, Slc2a1/Glut-1, and Slc2a4/Glut-4. Similar results were
observed with HIF-prolyl hydroxylase inhibition, which additionally upregulated
the mRNA expression of Epor, Tert, and Pdk1. Hif3a RNA-interference and
application of HIF-prolyl hydroxylase inhibitor during A/R modelling led to an
increase of Deltapsim on 11.5 and 11.9 mV respectively, compared to the control
groups. Thus, Hif3a RNA interference and HIF-prolyl hydroxylase inhibition
protect cardiomyocytes against A/R injury via the HIF signaling pathway.
PMID- 29660431
TI - Electroacupuncture ameliorates poloxamer 407-induced hyperlipidemia through
suppressing hepatic SREBP-2 expression in rats.
AB - AIMS: Acupuncture, particularly electroacupuncture (EA) has been shown to have
the lipid-lowering effects, but not completely investigated. The present study
was aimed to examine whether EA could attenuate poloxamer-407 (P-407)-induced
hyperlipidemia in the rats and to investigate its potential mechanisms. MAIN
METHODS: Rats received P-407 (0.4 g/kg, i.p.) to induce hyperlipidemia. EA was
performed at ST36 and ST40 acupoints a total of three times with 12 h-interval
starting 1 h before the P-407 injection at 0.6 mA intensity and 2 Hz frequency
for 10 min. KEY FINDINGS: In P-407-induced hyperlipidemic rats, EA stimulation at
ST36 and ST40 acupoints significantly lowered the serum levels of triglycerides,
total cholesterol, LDL-cholesterol and atherogenic index, while markedly
increasing the serum HDL-cholesterol levels. Meanwhile, hyperlipidemic rats had
significantly higher expression of sterol regulatory element-binding protein
(SREBP)-2, without any difference in SREBP-1 expression in the liver, as compared
with normal ones. EA significantly attenuated the expression of SREBP-2 with a
subsequent decrease in 3-hydroxy-3-methylglutaryl coenzyme A reductase and an
increase in low-density lipoprotein receptor at both mRNA and protein levels in
the liver of hyperlipidemic rats. These changes did not occur after electrical
stimulation at a non-acupoint. SIGNIFICANCE: Taken together, our findings
indicate that EA stimulation to P-407-induced hyperlipidemic rats improves the
lipid abnormalities, which may be associated with regulation of the expression of
key enzymes of cholesterol synthesis in the liver through modulation of SREBP-2.
PMID- 29660432
TI - Evaluation of hematological and biochemical parameters of pesticide retailers
following occupational exposure to a mixture of pesticides.
AB - AIMS: This study was undertaken to ascertain whether light occupational exposure
to pesticides by retailers might be associated with any liver, kidney, nervous
system dysfunction or hematological abnormalities. MAIN METHODS: In this cross
sectional study, 70 male pesticide retailers (cases) and 64 male subjects,
randomly selected from the constructions workers of city council contractors, as
the referent group, were investigated. Urine and blood samples were taken from
all subjects for urine analysis, hematological and biochemical parameters. Data
analysis was conducted through SPSS v.19 using t-test and chi-square test. KEY
FINDINGS: The results of urine analysis showed that the frequency of abnormal
urine tests was significantly higher in cases than in referent individuals.
Similarly, the results of CBC showed that the mean values of monocyte,
hemoglobin, hematocrit, mean corpuscular volume, mean corpuscular hemoglobin and
platelet distribution width were significantly lower, and mean corpuscular
hemoglobin concentration and red blood cell distribution width were significantly
higher in retailers. No significant differences were found for other parameters.
SIGNIFICANCE: These findings indicate that an association exists between exposure
to pesticides by retailers and early subtle and sub-clinical changes in the urine
tests and hematological parameters. Engineering measures are recommended to
eliminate exposure to pesticides and to prevent its associated outcomes.
PMID- 29660433
TI - Slit2-Robo2 signaling modulates the fibrogenic activity and migration of hepatic
stellate cells.
AB - BACKGROUND & AIM: Slit/Robo signaling was originally identified as a repulsive
guidance cue in regulating axon branching and neuronal migration. Hepatic
stellate cells (HSCs) are the key fibrogenic cells in the liver, which are
migratory when activated, and express neural crest markers. The aim of the
present study was to investigate the functional significance of Slit/Robo
signaling in liver fibrogenesis and in HSCs. KEY FINDINGS: By transcriptomic
analysis it was found that axon guidance signaling pathways were significantly
upregulated in both diethylnitrosamine (DEN) and thioacetamide (TAA)-induced
experimental liver fibrosis. The up-regulation of the ligand Slit2 and membrane
receptor Robo2 genes within this pathway was further validated in TAA-induced
fibrotic livers. By immunofluorescence staining, Robo2 was localized in fibrotic
septa of fibrotic liver and on the surface of HSCs. By Western blot analysis,
recombinant Slit2 (rSlit2) was found to promote fibrogenic protein expression in
JS1 cells, an immortalized mouse HSC line, while activating PI3K/Akt signaling
pathway. This effect was abrogated by LY294002, a PI3K/Akt pathway inhibitor. In
addition, rSlit2 stimulation markedly inhibited JS1 cells migration in transwell
migration assays, which was abrogated by small interfering RNA (siRNA) knockdown
of Robo2 in the cells. SIGNIFICANCE: The present study provides evidence that
Slit2/Robo2 signaling mediates the pathogenesis of hepatic fibrogenesis and
regulates HSCs biology, thus providing potential markers for HSCs, and
therapeutic and diagnostic target toward liver fibrosis.
PMID- 29660434
TI - The beclomethasone anti-inflammatory effect occurs in cell/mediator-dependent
manner and is additively enhanced by formoterol: NFkB, p38, PKA analysis.
AB - AIMS: Beclomethasone/formoterol (BDP/FOR) has been reported to be more effective
than its separate components in airway disease control and in airway inflammation
improvement. However, BDP/FOR effects on cytokine-induced inflammation in
structural cells have not been described and whether these effects occur in a
cell- and mediator-dependent manner has not been fully elucidated. We sought to
evaluate BDP and/or FOR effects on endothelial ICAM-1, E-selectin, IL-8 and on
bronchial epithelial ICAM-1 and IL-8. Specific intracellular signaling pathways
were also investigated. MATERIALS AND METHODS: Surface adhesion molecule
expression and IL-8 release induced by TNF-alpha were measured by ELISA.
Intracellular signaling pathways were investigated by a) EMSA and Western blot
analysis to evaluate NF-kappaB DNA-binding and MAPK-p38 phosphorylation; b)
PDTC/SB203580 as NF-kappaB/p38 inhibitors; c) forskolin/H-89 as PKA
activator/inhibitor. KEY FINDINGS: BDP/FOR additively reduced endothelial E
selectin and IL-8 as well as bronchial epithelial ICAM-1 and IL-8. BDP/FOR and
SB203580 showed the highest inhibitory effect on epithelial IL-8, whereas
endothelial ICAM-1 was never affected by BDP/FOR and PDTC. TNF-alpha-induced NF
kappaB DNA-binding and MAPK-p38 phosphorylation were not influenced by BDP/FOR.
Forskolin mimicked FOR effects; H-89 partially reversed the BDP/FOR inhibition in
a mediator-dependent manner. SIGNIFICANCE: The BDP/FOR inhibition degree was
related to the inflammatory mediator- and cell-type considered. FOR additively
enhanced BDP effects by partially involving both dependent- and independent-PKA
mechanisms. Our results might contribute to highlight the strong relationship
between specific molecular pathways and different sensitivity to the
corticosteroid/beta2-agonist effects and to clarify the molecular mechanisms
underlying the BDP/FOR anti-inflammatory activity in vivo.
PMID- 29660435
TI - Yangonin protects against cholestasis and hepatotoxity via activation of
farnesoid X receptor in vivo and in vitro.
AB - Cholestasis is a clinical syndrome with systemic and intrahepatic accumulation of
excessive toxic bile acids that ultimately cause hepatobiliary injury. Recently
obeticholic acid (OCA) which is a farnesoid X receptor (FXR) agonist was approved
by FDA to treat cholestatic liver diseases, which provided us a newly therapeutic
strategy against cholestasis. The purpose of the current study is to screen novel
FXR agonists and verify the anti-cholestasis effect of yangonin in vivo and in
vitro. The computational strategy of two-dimensional virtual screening was used
to search for new FXR agonists, and dual-luciferase reporter gene assay was used
to further demonstrate FXR activation by yangonin. Then, the hepatoprotective
effect of yangonin via FXR activation against cholestasis and hepatotoxity was
evaluated in mice and was investigated using FXR silence in cells. Yangonin was
found to activate FXR to exert hepatoprotective effect against cholestatic liver
injury. Dynamic change analysis of bile acids and gene analysis revealed that
yangonin promoted bile acid efflux into bile and reduced hepatic uptake via the
regulation of FXR-target genes Bsep, Mrp2 and Ntcp expression. Furthermore,
yangonin modulated enzymes involved in bile acid synthesis and metabolism
including Cyp7a1 Cyp8b1 and Sult2a1. In addition, yangonin promoted liver repair
and suppressed liver inflammation. However, the changes in these genes and
protein, as well as ameliorative liver histology induced by yangonin were
abrogated by FXR antagonist guggulsterone in vivo and FXR siRNA in vitro.
Yangonin produces protective effect against cholestasis via FXR activation.
Yangonin may be an effective approach for the prevention and treatment for
cholestatic liver diseases.
PMID- 29660436
TI - In vitro study of doxorubicin-induced oxidative stress in spermatogonia and
immature Sertoli cells.
AB - Pediatric chemotherapy treatments can impair long-term male fertility.
Unfortunately, no fertility preservation solution is available for pre-pubertal
boys. Studies suggest that doxorubicin, used against pediatric cancers, induces
oxidative stress in the testis. However, the targeted testicular cell types
remain unknown. The goal of this study was to determine whether doxorubicin can
induce oxidative stress in rat spermatogonia (GC-6Spg) and immature Sertoli (Ser
W3) cell lines, and to assess their protection by antioxidants. Using the MTT
assay, we have shown that doxorubicin induces a time- and dose-dependent
cytotoxicity in these two cell lines, Ser-W3 being more sensitive than GC-6Spg.
After 3 h of treatment, reactive oxygen species and nuclear 8-oxo-deoxyguanosine
increase in Ser-W3, but not in GC-6Spg. Moreover, after 6 h of treatment,
intracellular reduced glutathione levels decrease significantly in Ser-W3 cells.
These results show that doxorubicin induces oxidative stress in the Ser-W3 cell
line. However, a depletion in glutathione does not affect their survival, and
supplementation only offers a weak protection after exposure to doxorubicin,
suggesting that the glutathione system is not essential for Ser-W3 cell line's
defense against doxorubicin. On the other hand, among four antioxidants selected
from the literature, none reduces the cytotoxicity of doxorubicin in Ser-W3
cells. Together, our data suggest that oxidative stress may not be a major
pathway for doxorubicin's cytotoxicity in GC-6Spg and Ser-W3 lines. This study
provides new insights in the mechanisms by which chemotherapies affect the pre
pubertal testis, with the long-term goal to help improve the quality of life of
pediatric cancer survivors.
PMID- 29660437
TI - Deoxycholylglycine, a conjugated secondary bile acid, reduces vascular tone by
attenuating Ca2+ sensitivity via rho kinase pathway.
AB - Patients with cirrhosis have reduced systemic vascular resistance and elevated
circulating bile acids (BAs). Previously, we showed that secondary conjugated BAs
impair vascular tone by reducing vascular smooth muscle cell (VSMC) Ca2+ influx.
In this study, we investigated the effect of deoxycholylglycine (DCG), on Ca2+
sensitivity in reducing vascular tone. First, we evaluated the effects of DCG on
U46619- and phorbol-myristate-acetate (PMA)-induced vasoconstriction. DCG reduced
U46619-induced vascular tone but failed to reduce PMA-induced vasoconstriction.
Then, by utilizing varied combinations of diltiazem (voltage-dependent Ca2+
channel [VDCC] inhibitor), Y27632 (RhoA kinase [ROCK] inhibitor) and
chelerythrine (PKC inhibitor) for the effect of DCG on U46619-induced
vasoconstriction, we ascertained that DCG inhibits VDCC and ROCK pathway with no
effect on PKC. We further assessed the effect of DCG on ROCK pathway. In beta
escin-permeabilized arteries, DCG reduced high-dose Ca2+- and GTPgammaS (a ROCK
activator)-induced vasoconstriction. In rat vascular smooth muscle cells (VSMCs),
DCG reduced U46619-induced phosphorylation of myosin light chain subunit (MLC20)
and myosin phosphatase target subunit-1 (MYPT1). In permeabilized VSMCs, DCG
reduced Ca2+- and GTPgammaS-mediated MLC20 and MYPT1 phosphorylation, and
further, reduced GTPgammaS-mediated membrane translocation of RhoA. In VSMCs,
long-term treatment with DCG had no effect on ROCK2 and RhoA expression. In
conclusion, DCG attenuates vascular Ca2+ sensitivity and tone via inhibiting ROCK
pathway. These results enhance our understanding of BAs-mediated regulation of
vascular tone and provide a platform to develop new treatment strategies to
reduce arterial dysfunction in cirrhosis.
PMID- 29660438
TI - Prenatal nicotine exposure intergenerationally programs imperfect articular
cartilage via histone deacetylation through maternal lineage.
AB - Accumulating evidence has shown that the impact of prenatal environmental factors
on the organs of the offspring could last until the adulthood. Here, we aimed to
investigate these effects and the potential mechanism of prenatal nicotine
exposure (PNE) on the female adult cartilage of the first generation (PNE-F1) and
the second generation (PNE-F2). Pregnant Wistar rats were injected with 2.0
mg/kg.d nicotine from gestational day (GD) 9 to 20. Then their F1 generation at
GD20 and postnatal week (PW) 12, and F2 generation at PW12 were harvested. The
expression of extracellular matrix (ECM) and transforming growth factor beta
(TGFbeta) signaling genes were analyzed by real-time quantitative PCR, and the
histone acetylation was examined by chromatin immunoprecipitation assay. The
results showed that PNE reduced the ECM and TGFbeta signaling gene expressions in
both PNE-F1 and PNE-F2 female adult articular cartilage. In the F1 generation,
PNE inhibited the acetylation at H3K9 of TGFbeta, TGFbeta receptor 1 (TGFbetaR1),
SRY-type high mobility group box 9 (SOX9), a1 chain of type II collagen (COL2A1)
and aggrecan (ACAN) gene promoters at both GD20 and PW12. In PNE-F2 at PW12, the
obvious deacetylation at H3K9 of the TGFbetaR1 and COL2A1 promoters still
existed. Moreover, in rat fetal chondrocytes, corticosterone rather than nicotine
directly induced the hypoacetylation of H3K9 of TGFbetaR1 and COL2A1 genes, which
might be the main cause of imperfect cartilage for PNE-F2. This study may be
helpful to elucidate the developmental variability of articular cartilage quality
and useful for the early prevention of articular damage.
PMID- 29660439
TI - Activation of 5-HT1B receptors in the Lateral Habenula attenuates the anxiogenic
effects of cocaine.
AB - Recent work has implicated the Lateral Habenula (LHb) in the production of
anxiogenic and aversive states. It is innervated by all the major monoamine
neurotransmitter systems and has projections that have been shown to modulate the
activity of both dopaminergic and serotonergic brain regions. Cocaine is a
stimulant drug of abuse that potentiates neurotransmission in these monoamine
systems and recent research suggests that the drug's behavioral effects may be
related in part to its actions within the LHb. The present research was therefore
devised to test the hypothesis that alterations in serotonin (5-HT) function
within the LHb can affect the behavioral response to cocaine. Male rats were
fitted with intracranial guide cannula and trained to traverse a straight
alleyway once a day for a 1 mg/kg i.v. injection of cocaine. Intra-LHb
pretreatment with the 5-HT1B agonist CP 94,253 (0, 0.1, or 0.25 MUg/side)
attenuated the development of approach/avoidance "retreat" behaviors known to be
a consequence of cocaine's dual rewarding (approach) and anxiogenic (avoidance)
properties. This effect was reversed by co-administration of a selective 5-HT1B
antagonist, NAS-181 (0.1 MUg/side), demonstrating drug specificity at the 5-HT1B
receptor. These data suggest that 5-HT1B signaling within the LHb contributes to
the anxiogenic effects of cocaine.
PMID- 29660440
TI - The long-term effect of maternal dietary protein restriction on 5-HT1A receptor
function and behavioral responses to stress in adulthood.
AB - Maternal nutrition impacts fetal development, and may play a role in determining
resilience to stress and vulnerability to stress-precipitated psychiatric
disorders, such as anxiety and depression. In this study, we examined the effect
of a reduction in maternal dietary protein during pregnancy on the brain
neurochemistry and behavior of offspring. We focused specifically on the
serotonin system, the 5-HT1A receptor and the responsivity of offspring as adults
to stress. Dams were fed either a low protein diet (10% protein by weight) or
isocaloric control diet (20% protein by weight). The low protein diet did not
alter maternal food intake and body weight, or litter size and the average birth
weight of male or female littermates. 5-HT1A receptor function, as measured by
quantitative autoradiography of 8-OH-DPAT (1 MUM)-stimulated [35S]GTPgammaS
binding, was markedly reduced in hippocampus of weanling female, but not male
offspring (postnatal day, PND 21) of dams fed the low protein diet. The number of
serotonergic cell bodies in the rostral raphe, and 5-HT metabolism in the limbic
system of weanling offspring was not altered by maternal low protein diet. The
deficit in hippocampal 5-HT1A receptor function observed in weanling female
offspring persisted into adulthood (PND 112), and was accompanied by an increased
sensitivity to stress, specifically increased immobility during a 15-minute
forced swim challenge and increased anorexia following 30-minute restraint (PND
97-100). The present work begins to uncover important future directions for
understanding the early developmental origins of resilience to stress, and
factors that may put individuals at greater risk for stress-related psychiatric
disorders.
PMID- 29660441
TI - Silencing the insular-striatal circuit decreases alcohol self-administration and
increases sensitivity to alcohol.
AB - Internal drug states/cues can impact drug taking, as pretreatment with a moderate
to high alcohol dose (i.e., loading dose) can decrease subsequent alcohol self
administration, alcohol-seeking, and relapse-like drinking. The insular cortex
(IC) is implicated in processing information about internal states and findings
show that silencing the IC and its projections to the nucleus accumbens core
(AcbC) enhance sensitivity to the interoceptive effects of alcohol. Therefore,
the goal of the present work was to determine the functional role of IC-AcbC
projections in modulating the effects of alcohol pretreatment on operant alcohol
self-administration. Long-Evans rats were trained to self-administer a sweetened
alcohol solution (15% alcohol (v/v) + 2% sucrose (w/v)) and on test sessions
received pretreatment with an alcohol loading dose. A chemogenetic strategy
(i.e., hM4D Designer Receptors Exclusively Activated by Designer Drugs [DREADDs])
was implemented to silence the IC-AcbC projections and test the functional role
of the insular-striatal circuitry in regulating self-administration following the
alcohol loading doses. Alcohol self-administration decreased following pre
session treatment with alcohol, confirming titration of alcohol drinking
following a loading dose of alcohol. Chemogenetic silencing of IC-AcbC
projections decreased alcohol self-administration under baseline conditions
(i.e., water loading dose) and the reduction in self-administration of an alcohol
loading dose, implicating a role for this circuit in the maintenance of alcohol
self-administration and suggesting increased sensitivity to the alcohol loading
dose. These findings provide evidence for the critical nature of insular-striatal
circuitry in ongoing alcohol self-administration, and specifically in relation to
interoceptive/internal cues that can impact alcohol drinking.
PMID- 29660442
TI - Biochemical and cognitive effects of docosahexaenoic acid differ in a
developmental and SorLA dependent manner.
AB - Beneficial effects of omega-3 fatty acid intake on cognition are under debate as
some studies show beneficial effects while others show no effects of omega-3
supplementation. These inconsistencies may be a result of inter-individual
response variations, potentially caused by gene and diet interactions. SorLA is a
multifunctional receptor involved in ligand trafficking including lipoprotein
lipase and amyloid precursor protein. Decreased SorLA levels have been correlated
to Alzheimer's disease, and omega-3 fatty acid supplementation is known to
increase SorLA expression in neuronal cell lines and mouse models. We therefore
addressed potential correlations between Sorl1 and dietary omega-3 in SorLA
deficient mice (Sorl1-/-) and controls exposed to diets supplemented with or
deprived of omega-3 during their entire development and lifespan (lifelong) or
solely from the time of weaning (post weaning). Observed diet-induced effects
were only evident when exposed to lifelong omega-3 supplementation or deprivation
as opposed to post weaning exposure only. Lifelong exposure to omega-3
supplementation resulted in impaired spatial learning in Sorl1-/- mice. The
vitamin C antioxidant capacity in the brains of Sorl1-/- mice was reduced, but
reduced glutathione and vitamin E levels were increased, leaving the overall
antioxidant capacity of the brain inconclusive. No gross morphological
differences of hippocampal neurons were found to account for the altered
behavior. We found a significant adverse effect in cognitive performance by
combining SorLA deficiency with lifelong exposure to omega-3. Our results stress
the need for investigations of the underlying molecular mechanisms to clarify the
precise circumstances under which omega-3 supplementation may be beneficial.
PMID- 29660443
TI - Cell-to-cell Communication by Extracellular Vesicles: Focus on Microglia.
AB - Extracellular vesicles, including exosomes and microvesicles, are small, nano-to
micrometer vesicles that are released from cells. While initially observed in
immune cells and reticulocytes as vesicles meant to remove archaic proteins, now
they have been observed in almost all cell types of multicellular organisms.
Growing evidence indicates that extracellular vesicles, containing lipids,
proteins and RNAs, represent an efficient way to transfer functional cargoes from
one cell to another. In the central nervous system, the extensive cross-talk
ongoing between neurons and glia, including microglia, the immune cells of the
brain, takes advantage of secreted vesicles, which mediate intercellular
communication over long range distance. Recent literature supports a critical
role for extracellular vesicles in mediating complex and coordinated
communication among neurons, astrocytes and microglia, both in the healthy and in
the diseased brain. In this review, we focus on the biogenesis and function of
microglia-related extracellular vesicles and focus on their putative role in
Alzheimer's disease pathology.
PMID- 29660445
TI - Development of a new tool for the long term in vitro ecotoxicity testing of
nanomaterials using a rainbow-trout cell line (RTL-W1).
AB - The current wide use of manufactured nanomaterials (MNs) is leading to the
release of nanoparticles (NPs) to water bodies. Aquatic organisms, including
fish, are exposed to low concentrations of NPs for long periods of time being
necessary to develop laboratory toxicity tests reflecting realistic conditions.
Additionally, today there is a demand of in vitro assays respecting the 3Rs
principle. Thus, the main aim of this work was to stablish an in vitro tool for
the assessment of long-term NPs ecotoxicity. Considering the key role of liver in
detoxification, a rainbow trout liver cell line, RTL-W1, was used. CuO NPs were
chosen to validate this tool taking into account their important production
level. Cells were exposed for 21 days to 25 or 100 MUg CuO NPs/ml. Every seven
days cells were split and one fourth of them transferred to a new plate with
appropriate concentrations of NPs in culture medium. Lower concentrations of CuO
NPs did not cause any deleterious effect, whereas higher concentrations led to
significant mortality after 14 days and to the intracellular accumulation of Cu
particles. Identical results were observed in cells exposed to CuSO4 at the same
Cu concentrations. Therefore, the observed toxic effects might be mainly due to
Cu2+ ions.
PMID- 29660444
TI - Ca2+-Binding Protein 1 Regulates Hippocampal-dependent Memory and Synaptic
Plasticity.
AB - Ca2+-binding protein 1 (CaBP1) is a Ca2+-sensing protein similar to calmodulin
that potently regulates voltage-gated Ca2+ channels. Unlike calmodulin, however,
CaBP1 is mainly expressed in neuronal cell-types and enriched in the hippocampus,
where its function is unknown. Here, we investigated the role of CaBP1 in
hippocampal-dependent behaviors using mice lacking expression of CaBP1 (C-KO). By
western blot, the largest CaBP1 splice variant, caldendrin, was detected in
hippocampal lysates from wild-type (WT) but not C-KO mice. Compared to WT mice, C
KO mice exhibited mild deficits in spatial learning and memory in both the Barnes
maze and in Morris water maze reversal learning. In contextual but not cued fear
conditioning assays, C-KO mice showed greater freezing responses than WT mice. In
addition, the number of adult-born neurons in the hippocampus of C-KO mice was
~40% of that in WT mice, as measured by bromodeoxyuridine labeling. Moreover,
hippocampal long-term potentiation was significantly reduced in C-KO mice. We
conclude that CaBP1 is required for cellular mechanisms underlying optimal
encoding of hippocampal-dependent spatial and fear-related memories.
PMID- 29660446
TI - A novel technique for ligation of the cephalic vein reduces hemorrhaging during a
two-in-one insertion of dual cardiac device leads.
AB - The cutdown technique for the cephalic vein is a common access route for
transvenous cardiac device leads (TVLs), and sometimes one cephalic vein can
accomodate two TVLs. We examined a novel ligation technique to balance the
hemostasis and lead maneuverability for this two-in-one insertion. A total of 22
patients scheduled for cardiac device implantations with two or more leads were
enrolled. The ipsilateral cephalic vein was identified for inserting the TVLs
with a cutdown. If two TVLs could be introduced into one cephalic vein,
hemostasis was established by ligating the venous wall between the TVLs. We
measured the amount of hemorrhaging per minute and the operators assessed the
lead maneuverability before and after the ligation. We successfully implanted
cardiac devices in 15 patients (68%) with this novel method, whereas only one TVL
could be introduced via the cephalic vein in 7 patients. As for the successful
patients, hemorrhaging from the gap was significantly reduced (5.6 +/- 7.3 to
0.41 +/- 0.36g/min, p = 0.016) after the novel ligation. The lead maneuverability
was well maintained so there was no difficulty placing the leads into the cardiac
chambers in all cases. No major complications were observed. In the present
study, the novel ligation method provided significant hemostasis as well as a
preserved maneuverability. It could be an optional choice for insertion of
multiple TVLs.
PMID- 29660447
TI - The usefulness of ventricular pacing during atrial fibrillation ablation in a
persistent left superior vena cava: A case report.
AB - A 69-year-old woman with palpitations was referred to our hospital for a second
session of atrial fibrillation (AF) catheter ablation. She had a history of AF
ablation including pulmonary vein (PV) isolation and persistent left superior
vena cava (PLSVC) isolation. Electrophysiologic studies showed the veno-atrial
connections that had recovered. After PV isolation was performed, AF was induced
by atrial premature contraction (APC) from the PLSVC, and AF storm occurred.
During PLSVC isolation, AF was not induced by APC from the PLSVC. PLSVC isolation
continued during sinus rhythm. The elimination of the PLSVC potential was
difficult to confirm because of the far-field potential of the left ventricle.
Then, we performed right ventricular pacing. The remaining PLSVC potential was
identified. After that, the PLSVC isolation was successful during right
ventricular pacing. Complications were not observed. The patient had no
recurrence of AF thereafter.
PMID- 29660448
TI - Nomenclature for factors of the HLA system, update February 2018.
PMID- 29660449
TI - Nomenclature for factors of the HLA system, update January 2018.
PMID- 29660450
TI - Nomenclature for factors of the HLA system, update March 2018.
PMID- 29660451
TI - Does matching for SNPs in the MHC gamma block in 10/10 HLA-matched unrelated
donor-recipient pairs undergoing allogeneic stem cell transplant improve
outcomes?
AB - BACKGROUND: Matching at the HLA-A, HLA-B, HLA-C, HLA-DRB1, and HLA-DQB1 loci is
important in donor selection for patients undergoing unrelated allogeneic
hematopoietic stem cell transplantation (ASCT). Additional matching across the
MHC gamma region may further improve outcomes. METHODS: The MHC gamma region was
retrospectively genotyped in 66 adult recipients of ASCT and their 10/10 matched
unrelated donors. A chart review was performed to determine whether MHC gamma
matching impacted survival, relapse, or graft-versus-host disease. RESULTS: Of 66
donor-recipient pairs, 26(39.4%) were gamma-type matches, 34(51.5%) were
mismatches, and 6(9.1%) were "indeterminate." Matching status was not associated
with overall survival (p = 0.43), relapse (p = 0.21), acute GVHD (p = 0.43),
severe aGVHD (p = 0.31), or chronic GVHD (p = 0.23) in univariate analyses, nor
in multivariate analyses (p = 0.28, 0.13, 0.29, 0.16, and 0.67, respectively),
with or without adjusting for HLA-DPB1 matching status. CONCLUSIONS: In our
single institution study, gamma-type matching status was not associated with
outcomes of adult ASCT recipients.
PMID- 29660452
TI - Simulation of non-inherited maternal antigens acceptable HLA mismatches to
increase the chance of matched cord blood units: Hong Kong's experience.
AB - In Cord blood transplantation (CBT), the non-inherited maternal antigen (NIMA)
virtual six HLA matched CB is found to have similar outcomes to six HLA inherited
matched CB. Such virtual HLA matched CB units can be generated by substituting
the inherited alleles with one to three NIMAs. In Hong Kong Cord Blood Bank, CB
units have no NIMA defined. 100 CB samples were collected with NIMA defined.
Retrospective searches of Hong Kong patients (n = 520) were matched against the
inherited and virtual HLA phenotypes of NIMA CB file. One to three NIMA matches
was analyzed, virtual six HLA matches were identified for 31.7% patients, 29.4%
from CB units with 5/6 HLA match with 1 NIMA match and 1.7% CB units with a 4/6
HLA match and 2 NIMA matches. However, searches in the 167,201 Bone Marrow Donors
Worldwide CB units with defined NIMA did not yield similar increases, possibly
due to the ethnicity differences between populations. The match performance rises
from 26% to 60% after including the NIMA match. Comparing the match performance
of 32% in a previous Dutch study, we calculated with 60% matching in this smaller
size study. This provides a solid ground to considering NIMA in stem cell donor
selection which was adopted in some centers, to be extended to Asian and local CB
registries to increase the chance for matches and also to improve patient
outcomes, increase the utilization of CB units, enhance clinical flexibility and
signify economic intelligence.
PMID- 29660453
TI - TGF-beta1 down-regulation in the mediobasal hypothalamus attenuates hypothalamic
inflammation and protects against diet-induced obesity.
AB - BACKGROUND: The consumption of large amounts of dietary fats induces hypothalamic
inflammation and impairs the function of the melanocortin system, leading to a
defective regulation of caloric intake and whole-body energy expenditure. In mice
fed a high-fat diet (HFD), TGF-beta1 expression was increased and NF-kappaB
signaling was activated in proopiomelanocortin neurons, which plays an important
role in the obesity-associated hypothalamic inflammation scenario. However,
whether excessive hypothalamic TGF-beta1 impairs energy homeostasis remains
unclear. OBJECTIVES: We aimed to investigate the role of diet-induced
hypothalamic TGF-beta1 on inflammation and whole-body energy homeostasis.
METHODS: A TGF-beta1 inhibitory lentiviral shRNA particle was stereotaxically
injected bilaterally in the arcuate nucleus (ARC) of C57BL/6 mice fed a HFD. We
assessed changes in body mass and adiposity, food intake, inflammatory markers,
and the function of energy and glucose metabolism. RESULTS: TGF-beta1 down
regulation in the ARC-attenuated body-mass gain, reduced fat-mass accumulation,
decreased hypothalamic inflammatory markers, and protected against HFD-induced
lipohypertrophy of brown adipose tissue. In addition, the inhibition of
hypothalamic TGF-beta1 increased the locomotor activity and improved whole-body
lipid metabolism, which attenuated hepatic fat accumulation and serum
triglyceride levels. No changes were observed in food intake and glucose
homeostasis. CONCLUSION: Hypothalamic TGF-beta1 down-regulation attenuates
hypothalamic inflammation and improves energy metabolism, resulting in lower body
mass gain and lower fat-mass accumulation, which protects mice from the
development of obesity. Our data suggest that modulation of hypothalamic TGF
beta1 expression might be an effective strategy to treat obesity.
PMID- 29660455
TI - Purification, characterization and antioxidant activity of the exopolysaccharide
from Weissella cibaria SJ14 isolated from Sichuan paocai.
AB - In the present study, an exopolysaccharide (EPS)-producing strain SJ14 isolated
from Sichuan paocai was identified as Weissella cibaria, with a typical ropy
phenotype. W. cibaria SJ14 possessed good capabilities of acid production, salt
tolerance, and nitrite depletion. The crude polysaccharides were obtained from
the culture supernatant of strain SJ14 and further fractionated by DEAE-Sepharose
Fast Flow ion-exchange and Sephadex G-100 size-exclusion chromatography.
Consequently, two acidic EPS fractions (EPS-1 and EPS-3) were obtained with the
average molecular weights of 7.12 * 104 and 3.01 * 104 Da, respectively. They
were heteropolysaccharides, among which EPS-1 were rich in mannose, and composed
of mannose, glucose, galactose, arabinose, xylose, and rhamnose in a molar ratio
of 23.79: 4.80: 1.66: 1.00: 0.21: 0.09, whereas EPS-3 consisted of galactose,
mannose, glucose, and arabinose in a molar ratio of 7.47: 3.69: 1.00: 0.85 were
rich in galactose. Two EPS fractions also exhibited potential antioxidant
properties in vitro, showing strong scavenging activities on three kinds of free
radicals and reducing power, and the antioxidant activities of EPS-1 were
significantly stronger than that of EPS-3.
PMID- 29660454
TI - Purification and structural elucidation of a water-soluble polysaccharide from
the fruiting bodies of the Grifola frondosa.
AB - Grifola frondosa is a polypore mushroom, which has been used for many centuries
by traditional Chinese and Japanese herbalists as a medicinal mushroom. A water
soluble polysaccharide (code as GFP30-2-a, molecular weight: 2.04*106Da) was
isolated from the fruiting bodies of G. frondosa by hot water extraction, ethanol
precipitation and chromatography. Its structure was elucidated from its
monosaccharide composition, methylation analysis, together with 1D NMR (1H NMR
and 13C NMR) and 2D NMR (COSY, TOCSY, HSQC, HMBC and NOESY spectra). GFP30-2-a
consists of D-Glc and D-Gal in the molar ratio of 1:0.098 and the structure of
the repeating units was identified to be beta-d-Glcp-(1->[4)-alpha-d-Glcp-(1->4)
alpha-d-Glcp-(1]m->4)-alpha-d-Glcp.
PMID- 29660456
TI - Chitosan-based hydrogels: Preparation, properties and applications.
AB - Chitosan (CS), the second most plentiful natural polysaccharide next to
cellulose, has valuable characteristics including biocompatibility, nontoxicity
and biodegradability. CS is broken down in the human body to innocuous products
(amino sugars). Hydrogels are polymeric materials with three dimensional networks
retaining a huge quantity of water within their structures which are of great
interest in biomedical/environmental applications. Usually, injectable hydrogels
have functional groups which are sensitive to pH, temperature or irradiation
stimuli. Injectable scaffolds can be formed in situ through stimuli-responsive
effect and they can overcome the drawback of traditional scaffolds which require
surgery in order to be placed on the desired tissue. The antibacterial/antifungal
activities of chitosan-based hydrogels and their applications in controlled drug
delivery/release systems, tissue engineering, preparation of injectable hydrogels
and water treatment (removal of heavy/toxic metals and dyes) will be described.
Moreover, the molecular dynamics (MD) simulation were performed on the delivery
of the anticancer chlorambucil (CB) drug using three silica filled polymeric
nanocomposites based on chitosan (CS), polylactic acid (PLA) and polyethylene
glycol (PEG) and it was illustrated that among three drug delivery systems
(DDSs), the CS nanocomposite was the most efficient DDS due to the lowest drug
diffusion was measured for the CS system that could lead to the most
sustained/controlled drug delivery.
PMID- 29660457
TI - A lectin fraction from green seaweed Caulerpa cupressoides inhibits inflammatory
nociception in the temporomandibular joint of rats dependent from peripheral
mechanisms.
AB - Temporomandibular disorders are the second most common cause of orofacial pain
mediated by inflammatory compounds, which in many cases leads to chronic
orofacial pain. This study assessed the antinociceptive and anti-inflammatory
effects of a lectin from the green seaweed Caulerpa cupressoides (CcL) on
hypernociception inflammatory in TMJ of rats and investigated the involvement of
different mechanisms. Rats received i.v. CcL 30 min prior to injection of
flogistic agentes or 0.9% saline into the left TMJ. Pretreatment with CcL (0. 1;
1 or 10 mg/kg) promoted a reduction (p < 0.05) of inflammatory hypernociception
induced by 1.5% Formalin along with inhibition of inflammatory plasma
extravasation, cytokines levels, ciclooxigenase-2, and intercellular adhesion
molecule (ICAM-1). CcL was able to inhibit the nociceptive response induced by
1.5% Capsaicin, suggesting that CcL has an antinociceptive effect, acting
directly on the primary nociceptive neurons. CcL also inhibited the nociceptive
response induced by Carrageenan (100 MUg/TMJ) or Serotonin (5-HT) (225 MUg/TMJ).
In conclusion, the results demonstrate that administration of CcL has a potential
antinociceptive and anti-inflammatory effect, with a mechanism that is partially
dependent on TNF-alpha, IL-1beta, COX-2 and ICAM-1 inhibition and independently
from the cannabinoide and opioid system and NO/cGMP/PKG/K+ATP channel pathway.
PMID- 29660458
TI - An easily recoverable and highly reproducible agar-supported palladium catalyst
for Suzuki-Miyaura coupling reactions and reduction of o-nitroaniline.
AB - Polysaccharides are excellent support materials for catalytic systems due to
their superior metal binding capacity, high mechanical strength, and green
nature. Among the polysaccharides, agar can be considered a good support material
for catalytic reactions from the point of its low cost, easy availability, high
thermal durability, and biodegradability. In this study, agar-supported palladium
catalyst (AG-Pd) was designed for the first time, and then its catalytic
performance was tested towards (i) Suzuki-Miyaura coupling reactions and (ii)
catalytic reduction of o-nitroaniline to o-phenylenediamine under mild reaction
conditions. Additionally, the reproducibility of the designed AG-Pd catalyst was
investigated in both catalytic reactions, and the tests showed that the catalyst
could be reused many times. AG-Pd catalyst displayed excellent selectivity and
efficiency in Suzuki-Miyaura coupling reactions in only 6 min under solvent-free
media. In addition, AG-Pd catalyst provided good catalytic reduction by
completely reducing o-nitroaniline in 90 s at room temperature. These findings
reveal that agar is a good support material, and it can be used for different
catalytic systems as a support.
PMID- 29660459
TI - Investigation on the behavior of collagen self-assembly in vitro via adding
sodium silicate.
AB - Silicon, a trace element found in human body, plays a critical role in the
process of collagen self-assembly. In this study, the intermolecular interaction
and fibrillogenesis process were investigated to understand the effects of
various concentrations of sodium silicate (SS) on collagen self-assembly in
vitro. Fourier transform infrared spectroscopy analysis indicated that the triple
helical structure of collagen was not significantly affected by SS. Hydrophobic
interactions and particle sizes of collagen aggregates, which were measured using
pyrene fluorescence and dynamic light scanning, enhanced via adding 2 mM SS
whereas decreased with further increasing concentrations (4-8 mM). Kinetic
analysis revealed that an increase in hydrophobic interactions boosted collagen
self-assembly in the presence of 2 mM SS. The inhibition of self-assembly with
the addition of 4-8 mM SS, as illustrated by a reduction in the fibrillogenesis
rate and turbidity, was potentially attributed to weak hydrophobic interactions
and strong electrostatic repulsion. The observation of microscopy demonstrated
that the fibrils exhibited the characteristic D-periodicity at 2 mM SS. The
inhibitory effect of 4 mM SS was slight and the fibrils still formed, while the
microstructure was consisted of clustered collagen aggregates as SS >= 6 mM owing
to serious inhibition on collagen self-assembly.
PMID- 29660460
TI - Influences of modified bacterial cellulose nanofibers (BCNs) on structural,
thermophysical, optical, and barrier properties of poly ethylene-co-vinyl acetate
(EVA) nanocomposite.
AB - The BCNs were chemically modified using acetic anhydride with the aim of
improving its dispersion and interfacial adhesion. Acetylation of BCNs was
confirmed by FT-IR spectroscopy. Morphology studies using TEM and SEM revealed
that a reasonable dispersion of the modified BCNs in the EVA matrix was
accomplished. The DSC data displayed a little shift in the Tg to higher
temperatures with the incorporation of both modified and unmodified BCNs.
Increased thermal stability of the nanocomposites consisting acetylated BCNs was
confirmed by TGA technique. DMA measurements highlighted that the storage modulus
increased and the damping properties decreased for the nanocomposites with regard
to the neat EVA.
PMID- 29660461
TI - Biodegradable kappa-carrageenan/nanoclay nanocomposite films containing
Rosmarinus officinalis L. extract for improved strength and antibacterial
performance.
AB - In this study, biodegradable kappa-carrageenan films containing 3% nanoclay and
different amounts of rosemary extract (1, 2 and 3% v/v) were fabricated and their
physical, thermal, and mechanical properties, as well as antimicrobial activity
were examined. The film thickness did not change significantly by the addition of
rosemary extract. UV light transmission value in the control film was 20.46%,
while the value for the film with 3% v/v rosmary extract reduced to 0.13%.
Elongation at break (EB%) and tensile strength (TS) of the carrageenan film
without the extract were 19.07% and 15.96MPa, respectively, while after adding 3%
v/v of rosemary extract, the EB and TS were increased to 27.17% and 30.77MPa,
respectively. Incorporation of rosemary extract greatly reduced water vapor
permeability (WVP) (5.27*10-10g/m.s.Pa in control film vs 2.14*10-10g/m.s.Pa in
the film containing 3% v/v rosemary extract). More importantly, the carrageenan
film with 3% extract displayed >99% inhibition against B. cereus, E. coli, P.
aeruginosa, and S. aureus compared with the control film. The present study
showed that rosemary extract is an excellent additive to enhance the mechanical
strength and antibacterial activity of carrageenan films and can be used for
active packing in food packing industry.
PMID- 29660462
TI - Anti-Helicobacterpylori effectiveness and targeted delivery performance of
amoxicillin-UCCs-2/TPP nanoparticles based on ureido-modified chitosan
derivative.
AB - The amoxicillin-UCCs-2/TPP nanoparticles constructed with ureido-modified
chitosan derivative UCCs-2 and sodium tripolyphosphate (TPP) played an important
role to deliver drug to achieve more efficacious and specific eradication of
Helicobacterpylori (H. pylori) in vitro. In this study, the anti-H. pylori
effectiveness in vivo and uptake mechanism was investigated in details, including
the effect of temperature, pH values and the addition of competitive substrate
urea on uptake. Compared with unmodified nanoparticles, a more efficacious and
specific anti-H. pylori activities were obtained in vivo by using this biological
chitosan derivative UCCs-2. Histological staining and immunological analysis
verified that the amoxicillin-UCCs-2/TPP nanoparticles could diminish the
proinflammatory cytokines levels and alleviate the inflammatory damages caused by
H. pylori infection. The uredio-modified nanoparticles also have favorable
gastric retention property, which is beneficial for the oral drug delivery to
targeted eradicate H. pylori infection in stomach. These findings suggest that
this targeted drug delivery system may serve for specific treatment of H. pylori
infection both in vitro and in vivo, which can also be used as promising
nanocarriers for other therapeutic reagents to target H. pylori.
PMID- 29660463
TI - Liposomal CpG-ODN: An in vitro and in vivo study on macrophage subtypes
responses, biodistribution and subsequent therapeutic efficacy in mice models of
cancers.
AB - CpG oligodeoxynucleotides (CpG-ODN), a common immune stimulator and vaccine
adjuvant, was reported to switch Tumor Associated Macrophages (TAMs) from M2 to
M1 phenotype inducing anti-tumor responses. Liposomes are of the successfully
applied carriers for CpG-ODN. The aim of present study was design and preparation
of a liposomal formulation containing phosphodiester CpG-ODN, evaluation of its
effect on macrophages responses, and subsequent antitumor responses in mice.
Liposomal formulations containing phosphodiester CpG-ODN or non-CpG-ODN were
prepared and characterized. MTT reduction assay in four different cell lines,
uptake, arginase and iNOS activity evaluation in macrophage cell lines,
biodistribution study and therapeutic anti-tumor effects of formulations in mice
bearing C26 colon carcinoma or B16F0 melanoma were carried out. The size of
liposomes containing CpG-ODN was ~200 nm with the encapsulation efficiency of
33%. The iNOS activity assay showed high nitric oxide (NO) level in M2 phenotype
of macrophage cell lines treated by liposomes containing CpG-ODN. In mice which
received liposomes containing CpG-ODN as a monotherapy, maximum tumor growth
delay with remarkable survival improvement was observed compared to control
groups. Biodistribution study showed the accumulation of liposomal formulation in
tumor micro-environment. In conclusion, considerable anti-tumor responses
observed by liposomes containing CpG-ODN was due to enhanced delivery of CpG-ODN
to immune cells and subsequent initiation of anti-tumoral immune responses.
PMID- 29660464
TI - Drug permeability profiling using cell-free permeation tools: Overview and
applications.
AB - Cell-free permeation systems are gaining interest in drug discovery and
development as tools to obtain a reliable prediction of passive intestinal
absorption without the disadvantages associated with cell- or tissue-based
permeability profiling. Depending on the composition of the barrier, cell-free
permeation systems are classified into two classes including (i) biomimetic
barriers which are constructed from (phospho)lipids and (ii) non-biomimetic
barriers containing dialysis membranes. This review provides an overview of the
currently available cell-free permeation systems including Parallel Artificial
Membrane Permeability Assay (PAMPA), Phospholipid Vesicle-based Permeation Assay
(PVPA), Permeapad(r), and artificial membrane based systems (e.g. the artificial
membrane insert system (AMI-system)) in terms of their barrier composition as
well as their predictive capacity in relation to well-characterized intestinal
permeation systems. Given the potential loss of integrity of cell-based
permeation barriers in the presence of food components or pharmaceutical
excipients, the superior robustness of cell-free barriers makes them suitable for
the combined dissolution/permeation evaluation of formulations. While cell-free
permeation systems are mostly applied for exploring intestinal absorption, they
can also be used to evaluate non-oral drug delivery by adjusting the composition
of the membrane.
PMID- 29660465
TI - Jageum-Jung improves 2,4-dinitrochlorobenzene-induced atopic dermatitis-like skin
lesions in mice and suppresses pro-inflammatory chemokine production by
inhibiting TNF-alpha/IFN-gamma-induced STAT-1 and NFkappaB signaling in HaCaT
cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Jageum-Jung (JGJ) is an oriental herbal formula
comprising five herbs (Melaphis chinensis Bell, Cremastra variabilis Nakai,
Knoxia valerianoides Thorel, Euphorbia lathyris L., and Moschus moschiferus L.).
It has been used for detoxification and treating cancer and inflammatory diseases
in China, Japan, and Korea. However, the mechanism of action of JGJ on
keratinocyte inflammatory response is poorly understood. AIM OF THE STUDY: In the
present study, we investigated the anti-inflammatory mechanism of JGJ and studied
the effects of JGJ on atopic dermatitis-like skin lesions in mice. MATERIALS AND
METHODS: We elucidated the anti-inflammatory and anti-inflammatory effects of JGJ
on tumor necrosis factor-alpha/interferon-gamma (TNF-alpha/IFN-gamma)-treated
human keratinocyte cells, IgE-sensitized RBL-2H3 cells, and 2,4
dinitrochlorobenzene (DNCB)-induced atopic dermatitis (AD)-like mice,
respectively. RESULTS: The results showed that JGJ suppressed the production and
mRNA revels of IL-8, IL-6 and, conspicuously, both TARC and RANTES. JGJ inhibited
nuclear translocation of the inflammatory transcription factors NFkappaB and STAT
1. Moreover, JGJ improved AD-like skin lesions in DNCB-treated mice and inhibited
degranulation of mast cell. CONCLUSIONS: The results of this study suggest that
JGJ can be considered as a candidate agent for AD treatment.
PMID- 29660466
TI - Formononetin, an isoflavone from Astragalus membranaceus inhibits proliferation
and metastasis of ovarian cancer cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Astragalus membranaceus which was originally
described in the Shennong's Classic of Materia Medica, the earliest complete
Pharmacopoeia of China written from the Warring States Period to Han Dynasty, has
been widely used in Chinese medicine for > 2000 years, especially in the
prescription of curing cancer. A. membranaceus has various bioactivities, such as
anti-tumor, anti-viral, anti-oxidant, anti-diabetes, anti-inflammation, anti
atherosclerosis, immunomodulation, hepatoprotection, hematopoiesis,
neuroprotection and so on. As an important component of A. membranaceus, whether
formononetin has a close relationship with its tumor-inhibiting effect on ovarian
cancer cell has been investigated. AIM OF STUDY: The present study aimed to
demonstrate the anti-proliferation, anti- migration and invasion effects of
formononetin on ovarian cancer cells and further explore the underlying molecular
mechanisms associated with apoptosis, migration and invasion. MATERIALS AND
METHODS: MTT assay was performed to detect the viability of ovarian cancer cells.
DAPI staining, Annexin-V assay and assay for mitochondrial membrane potential
detected the apoptosis of ovarian cancer cells treated by formononetin. The
migration and invasion of ovarian cancer cells which exposed to formononetin were
detected by scratch assay and transwell assay. Meanwhile, the protein-level
changes of in ovarian cancer cells treated by formononetin were assessed by
western blot analysis. RESULTS: MTT assays indicated that cell viability
significantly decreased in ovarian cancer cells treated with formononetin. DAPI
staining, Annexin-V assay and assay for mitochondrial membrane potential
suggested that formononetin suppressed cells proliferation by inducing apoptosis.
We detected the expression of apoptosis-related proteins in ovarian cancer cells
after treatment with formononetin and found the expression of caspase 3/9
proteins and the ratio of Bax/Bcl-2 were increased in a dose-dependent manner. In
addition, wound healing and transwell chamber assays showed that formononetin
suppressed the migration and invasion of ovarian cancer cells. And formononetin
decreased expression of MMP-2/9 proteins and phosphorylation level of ERK.
CONCLUSIONS: The present results demonstrated that formononetin have potential
effects on induction of apoptosis and suppression of migration and invasion.
PMID- 29660467
TI - Investigation of constituents from Cinnamomum camphora (L.) J. Presl and
evaluation of their anti-inflammatory properties in lipopolysaccharide-stimulated
RAW 264.7 macrophages.
AB - ETHNOPHARMACOLOGY RELEVANCE: Cinnamomum camphora (L.) J. Presl has been used for
the traditional medicine as a therapeutic agent of inflammation-related diseases,
including sprains, rheumatic arthritis, abdominal pain, cough and bronchitis, for
a long history. The aim of the present study was to illustrate anti-inflammatory
substances of C. camphora and their mechanism of action, and to establish the
correlations between chemical constituents and traditional uses of this plant.
MATERIALS AND METHODS: Chemical constituents were purified by chromatographic
methods, and their structures were established based on spectroscopic analysis.
Lipopolysaccharide (LPS)-stimulated RAW 264.7 macrophages was adopted for
evaluating the anti-inflammatory activity in vitro. The nitric oxide (NO)
production assay and nuclear factor kappa B (NF-kappaB) dual luciferase reporter
assay were used to screen anti-inflammatory constituents. The mRNA and protein
levels of inflammation-related cytokines and enzymes were determined by real-time
reverse transcription-polymerase chain reaction (RT-PCR), immunoblot analysis,
and enzyme linked immunosorbent assay (ELISA), respectively. RESULTS: Twenty-five
constituents were isolated from the EtOH extract of C. camphora. Eight
constituents, covering phenylpropanoid (7), lignans (10 and 22), flavonoids (16
18), coumarin (21), and terpenoid (24) significantly inhibited LPS-stimulated NO
production with maximum inhibition rates (MIRs) of >= 80%, and thus were verified
to be the anti-inflammatory substances of this ethnomedical plant. (+)
Episesaminone (SMO, 22) and 3S-(+)-9-oxonerolidol (NLD, 24) blocked NF-kappaB
activation via inducing IkappaBalpha expression. Moreover, SMO and NLD inhibited
productions of tumor necrosis factor-alpha (TNF-alpha), interleukin-6 (IL-6), and
prostaglandin E2 (PGE2), and alleviated increased mRNA and protein levels of
inducible nitric oxide synthase (iNOS), cyclooxygenase (COX-2), and matrix
metallopeptidase-9 (MMP-9) in LPS-stimulated RAW 264.7 macrophages. CONCLUSIONS:
The ethnomedical use of C. camphora for the treatment of inflammation-related
diseases was attributed to the combined in vitro anti-inflammatory activities of
phenylpropanoid, lignan, flavonoid, coumarin, and terpenoid. SMO and NLD were
found to be new molecules with in vitro anti-inflammatory activities, which are
achieved by inhibiting NF-kappaB regulated inflammatory response.
PMID- 29660469
TI - Dothiorelone derivatives from an endophyte Diaporthe pseudomangiferaea inhibit
the activation of human lung fibroblasts MRC-5 cells.
AB - Nine new compounds (1-6 and 16-18) and nine known compounds (7-15) were isolated
from Diaporthe pseudomangiferaea, an endophytic fungus obtained from the leaves
of the toxic Chinese folk medicine Tylophora ouata. Their structures were
elucidated by NMR spectroscopy and MS spectrometry analyses. The absolute
configurations were established according to the specific rotation or electron
circular dichroism method. Compounds 1, 4, 9, 11, 14 and 15 inhibited the TFG
beta induced activation of human lung fibroblasts MRC-5 cells by 17.4%, 59.2%,
62.9%, 41.1%, 32.9% and 52.1% at 10 MUM, respectively, while positive control
pirfenidone showed 53.2% inhibition rate at 1 mM. The MTT assay showed that
compounds 13 and 14 displayed cytotoxicity against BGC-823 cells, with IC50
values of 8.1 and 4.4 MUM, respectively.
PMID- 29660468
TI - Ethyl-acetate fraction of Trichilia catigua protects against oxidative stress and
neuroinflammation after cerebral ischemia/reperfusion.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Trichilia catigua A. Juss (Meliaceae)
preparations have been used in folk medicine to alleviate fatigue, stress, and
improve memory. Antinociceptive, antiinflammatory, and in vitro neuroprotective
effects have been observed in animals. Cerebral ischemia/reperfusion (I/R) leads
to severe neuropsychological deficits that are largely associated with oxidative
stress, inflammation and neurodegeneration. We reported previously that an ethyl
acetate fraction (EAF) of T. catigua reduced brain ischemia-induced learning and
memory impairments in the absence of histological protection. AIM OF THE STUDY:
Continuing those studies, here we aimed to investigate the antioxidant and
antiinflammatory properties of T. catigua in an in vivo model of I/R. MATERIAL
AND METHODS: Rats were subjected to 15 min of brain ischemia (4-VO model)
followed by up to 15 days of reperfusion. Vehicle was given by gavage 30 min
before ischemia and at 1 h of reperfusion. In a first experiment, brain ischemia
induced changes in oxidative stress markers, i.e., reduced glutathione (GSH),
oxidized glutathione (GSSG), superoxide dismutase (SOD), catalase (CAT),
malondialdehyde (MDA), and protein carbonyl groups (PCGs) were measured on days
1, 3, and 5 post-ischemia. Similar time course analysis was done for
neuroinflammation markers, i.e., microglia (OX42 immunorreactivity) and
astrocytes (GFAP immunorreactivity), in the hippocampus. In a second experiment,
the time points at which these markers of oxidative stress and neuroinflammation
peaked were used to test the effects of T. catigua (400 mg/kg, p.o.). RESULTS:
Oxidative stress markers peaked on day 1 post-ischemia. GSH decreased (-23.2%)
while GSSG increased (+ 71.1%), which yielded a significant reduction in the
GSH/GSSG ratio (-39.1%). The activity of CAT was largely reduced by ischemia (
54.6% to -65.1%), while the concentration of PCG almost doubled in the brain of
ischemic rats (+99.10%) in comparison to sham. Treatment with the EAF of T.
catigua normalized these changes in oxidative markers to the control levels (GSH:
+27.5%; GSSG: -23.8%; GSH/GSSG: +44.6%; PCG: -80.3%). In the hippocampus,
neuroinflammation markers peaked on day 5 post-ischemia, with microglial and
astrocytic responses increasing to 54.8% and 37.1%, respectively. The elevation
in glial cells response was completely prevented by EAF. CONCLUSION: These
results demonstrate that T. catigua has both antioxidant and antiinflammatory
activities after transient global cerebral ischemia in rats, which may contribute
to the previously reported memory protective effect of T. catigua.
PMID- 29660470
TI - The effects of starvation and acidification on lag phase duration of surviving
yeast cells.
AB - Starvation is one of the most common forms of stress experienced in the wild
life. Such conditions associate the other forms of stress such as acid, heat,
oxidation, and so on. Organisms acclimate to such stresses and acquire the stress
tolerances, which often trade-off their growth rates. To investigate whether
starvation and the associated stresses may cause the changes in the growth and
the central carbon metabolism, we stock-cultured the yeast S. cerevisiae on YNB
agar plates up to a month and subsequently cultured in YNB broth. The pH of the
agar medium just under the yeast's colonies sharply dropped from 5.0 to 3.9 in
the first day, eventually reached approximately 3.0, and the viability
logarithmically decreased. The surviving cells accumulated cell damages that were
measured as the prolonged LPDs (lag phase durations). We did not, however,
observe the effects of long-term stock-cultivations on the measured phenotypes:
growth rates, the carrying capacities, and the glycolytic oscillations that are
the temporal dynamics of the central carbon metabolism. Our study revealed that
the contribution of cell damages to the total delay in growth was 78%, and that
LPDs are closely related to damage-recovery mechanisms.
PMID- 29660471
TI - Efficient production of human goose-type lysozyme 2 in the methylotrophic yeast
Pichia pastoris.
AB - Infectious diseases caused by antibiotic multidrug-resistant microorganisms are
major causes of morbidity and mortality in humans. Hence, there is an urgent need
to search for new antimicrobial agents. Initially known as a defensive effector
in the innate immunity of certain organs of the human body, human goose-type
lysozyme 2 (hLysG2) has been shown to possess therapeutically useful potential
against multidrug-resistant microorganisms. Developing a novel strategy for large
scale production that provides high yields of this protein with high purity,
quality, and potency is critical for pharmaceutical applications. To overcome the
issues related to prokaryotic expression, here we report the production of
recombinant hLysG2 (rhLysG2) using the methylotrophic yeast Pichia pastoris as
expression host. The strong inducible alcoholoxidase 1 (AOX1) promoter was used
to drive expression of the optimized hLysG2 gene. Under the optimal expression
conditions, the lytic activity of rhLysG2 reached 113 U/mL of culture supernatant
in shake flask cultivation and this was increased to 2084 U/mL in fed-batch
fermentation. Using chitin affinity chromatography and size-exclusion
chromatography, rhLysG2 was produced with a yield of 137 mg/L, purity of > 99%,
molecular weight of 21,504.6 Da, and specific activity of 13,500 U/mg. In vitro
assays indicated that rhLysG2 possessed muramidase activity, isopeptidase
activity, and free radical scavenging activity. This report describes an
efficient strategy for the production of biologically active rhLysG2 in P.
pastoris on a large scale with a high yield, which provides a solid foundation
for possible future pharmaceutical applications.
PMID- 29660472
TI - Enhanced cellobiose fermentation by engineered Saccharomyces cerevisiae
expressing a mutant cellodextrin facilitator and cellobiose phosphorylase.
AB - To efficiently ferment intermediate cellodextrins released during cellulose
hydrolysis, Saccharomyces cerevisiae has been engineered by introduction of a
heterologous cellodextrin utilizing pathway consisting of a cellodextrin
transporter and either an intracellular beta-glucosidase or a cellobiose
phosphorylase. Among two types of cellodextrin transporters, the passive
facilitator CDT-2 has not enabled better cellobiose fermentation than the active
transporter CDT-1, which suggests that the CDT-2 might be engineered to provide
energetic benefits over the active transporter in cellobiose fermentation. We
attempted to improve cellobiose transporting activity of CDT-2 through laboratory
evolution. Nine rounds of a serial subculture of S. cerevisiae expressing CDT-2
and cellobiose phosphorylase on cellobiose led to the isolation of an evolved
strain capable of fermenting cellobiose to ethanol 10-fold faster than the
original strain. After sequence analysis of the isolated CDT-2, a single point
mutation on CDT-2 (N306I) was revealed to be responsible for enhanced cellobiose
fermentation. Also, the engineered strain expressing the mutant CDT-2 with
cellobiose phosphorylase showed a higher ethanol yield than the engineered strain
expressing CDT-1 and intracellular beta-glucosidase under anaerobic conditions,
suggesting that CDT-2 coupled with cellobiose phosphorylase may be better choices
for efficient production of cellulosic ethanol with the engineered yeast.
PMID- 29660473
TI - Increasing thermal stability of glutamate decarboxylase from Escherichia. coli by
site-directed saturation mutagenesis and its application in GABA production.
AB - Gamma-amino butyric acid (GABA) is an important bio-product used in
pharmaceuticals, functional foods, and a precursor of the biodegradable plastic
polyamide 4 (Nylon 4). Glutamate decarboxylase B (GadB) from Escherichia. coli is
a highly active biocatalyst that can convert l-glutamate to GABA. However, its
practical application is limited by the poor thermostability and only active
under acidic conditions of GadB. In this study, we performed site-directed
saturation mutagenesis of the N-terminal residues of GadB from Escherichia coli
to improve its thermostability. A triple mutant (M6, Gln5Ile/Val6Asp/Thr7Gln)
showed higher thermostability, with a 5.6 times (560%) increase in half-life
value at 45 degrees C, 8.7 degrees C rise in melting temperature (Tm) and a
14.3 degrees C rise in the temperature at which 50% of the initial activity
remained after 15 min incubation (T1550), compared to wild-type enzyme. Protein
3D structure analysis showed that the induced new hydrogen bonds in the same
polypeptide chain or between polypeptide chains in E. coli GadB homo-hexamer may
be responsible for the improved thermostability. Increased thermostability
contributed to increased GABA conversion ability. After 12 h conversion of 3
mol/L l-glutamate, GABA produced and mole conversion rate catalyzed by M6 whole
cells was 297 g/L and 95%, respectively, while those by wild-type GAD was 273.5
g/L and 86.2%, respectively.
PMID- 29660474
TI - Decarboxylation of indomethacin induced by heat treatment.
AB - As crystalline indomethacin is heated and subsequently cooled, it transforms into
glassy indomethacin. While the original crystals are off-white in color, the
glass becomes blackish-brown via a yellow intermediate stage. TLC of the
components of the glass revealed three bands. The yellow component, which is
generated either under hypoxic conditions or in the dark, was elucidated by NMR
spectroscopy to be a decarboxylated fragment produced by thermal degradation. The
colorless component is proposed to be formed by the opening of the indole ring of
indomethacin; the structure of this degradation product was identified by EI-MS
to be the same as the oxidative-cleavage product formed upon UV-irradiation, as
previously reported. Another band was a blackish-brown pigment whose mobility
placed it close to the TLC baseline. This oxidative-cleavage product and the
blackish-brown pigment are not generated under hypoxic conditions. However, the
extent of indomethacin decarboxylation under hypoxic conditions was found to be
dependent on the heating temperature and time. Consequently, we prepared
amorphous indomethacin through control of the heating temperature and time;
heating at 160 degrees C for 30 min or less under hypoxic conditions is optimum
for obtaining pure amorphous indomethacin.
PMID- 29660475
TI - Transcriptome sequencing of active buds from Populus deltoides CL. and Populus *
zhaiguanheibaiyang reveals phytohormones involved in branching.
AB - Branching in woody plants affects their ecological benefits and impacts wood
formation. To obtain genome-wide insights into the transcriptome changes and
regulatory mechanisms associated with branching, we performed high-throughput RNA
sequencing to characterize cDNA libraries generated from active buds of Populus
deltoides CL. 'zhonglin2025' (BC) and Populus * zhaiguanheibaiyang (NC). NC has
more branches than BC and rapid growth. We obtained a total of 198.2 million high
quality clean reads from the NC and BC libraries. We detected 3543 differentially
expressed genes (DEGs) between the NC and BC libraries; 1418 were down-regulated
and 2125 were up-regulated. Gene ontology functional classification of the DEGs
indicated that they included 89 genes that encoded proteins related to hormone
biosynthesis, 364 genes related to hormone signaling transduction, and 104
related to the auxin efflux transmembrane transporter. We validated the
expression profiles of 16 degrees by real-time quantitative PCR and found that
their expression patterns were similar to those obtained from the high-throughput
RNA sequencing data. We also measured the hormone content in young buds of BC and
NC by high-pressure liquid chromatography. In this study, we identified global
hormone regulatory patterns and differences in gene expression between NC and BC,
and constructed a hormone regulatory network to explain branching in Populus
buds. In addition, candidate genes that may be useful for molecular breeding of
particular plant types were identified. Our results will provide a starting point
for future investigations into the molecular mechanisms of branching in Populus.
PMID- 29660476
TI - The first mitochondrial genome of the model echinoid Lytechinus variegatus and
insights into Odontophoran phylogenetics.
AB - Assembly of publically available next-generation sequence data facilitated the
generation of three camarodont echinoid mitogenomes: two for the Green Urchin
(Lytechinus variegatus) and one for the Red Urchin (Mesocentrotus franciscanus).
The data generated are exploited in a phylogenomic analysis of the superfamily
Odontophora, originally proposed for echinoids with tooth supports on the
epiphyses of the jaw. The analysis highly supports this taxon and its current
subdivision into three families: the Echinometridae, Toxopneustidae, and
Strongylocentrotidae. The analysis furthermore implies that historical taxonomic
issues between two members of the genus Strongylocentrotus (S. pallidus and S.
droebachiensis) may have a genetic basis. The novel mitogenomes for the model
species L. variegatus complements the draft genome available for this taxon, one
of only three genome-enabled echinoid species. The assembly method applied
herein, follows a divide-and-conquer approach that provides for reduced
computational requirements and facilitates resolving assembly problems when
processing ultra-high coverage next-generation sequence data.
PMID- 29660477
TI - Gene selection using hybrid binary black hole algorithm and modified binary
particle swarm optimization.
AB - In cancer classification, gene selection is an important data preprocessing
technique, but it is a difficult task due to the large search space. Accordingly,
the objective of this study is to develop a hybrid meta-heuristic Binary Black
Hole Algorithm (BBHA) and Binary Particle Swarm Optimization (BPSO) (4-2) model
that emphasizes gene selection. In this model, the BBHA is embedded in the BPSO
(4-2) algorithm to make the BPSO (4-2) more effective and to facilitate the
exploration and exploitation of the BPSO (4-2) algorithm to further improve the
performance. This model has been associated with Random Forest Recursive Feature
Elimination (RF-RFE) pre-filtering technique. The classifiers which are evaluated
in the proposed framework are Sparse Partial Least Squares Discriminant Analysis
(SPLSDA); k-nearest neighbor and Naive Bayes. The performance of the proposed
method was evaluated on two benchmark and three clinical microarrays. The
experimental results and statistical analysis confirm the better performance of
the BPSO (4-2)-BBHA compared with the BBHA, the BPSO (4-2) and several state-of
the-art methods in terms of avoiding local minima, convergence rate, accuracy and
number of selected genes. The results also show that the BPSO (4-2)-BBHA model
can successfully identify known biologically and statistically significant genes
from the clinical datasets.
PMID- 29660478
TI - Cancer theranostic applications of lipid-based nanoparticles.
AB - A variety of nanoplatforms have been developed and applied for cancer therapy,
imaging, or the combination thereof. These nanoplatforms, combined with
therapeutic and imaging functionalities, display great potential to enhance
medical care. In particular, lipid-based nanoparticles (LNPs) are among the most
studied platforms that have resulted in many encouraging advances in
theranostics. LNPs are biodegradable and biocompatible, and their formulation can
be tailored for various applications. Here, we provide an overview of recent
developments of four representative LNP platforms for theranostics: stealth
liposomes, triggered-release liposomes, porphysomes, and lipid-coated calcium
phosphate NPs (LCPs). We discuss their potential, limitations, and potential
applications for cancer care and highlight perspectives and future directions for
the nanotheranostics field.
PMID- 29660479
TI - Nanodiamond applications in skin preparations.
AB - The biocompatibility and nontoxicity of nanodiamonds (NDs) in combination with
their excellent physical performance have rendered them attractive candidates for
biomedical applications. NDs have great potential in drug nanoformulations
because of their small size compared with other carbon nanomaterials. They are
nontoxic with excellent adsorption properties and can be formulated into skin
care products. Even though NDs have shown encouraging potential in skin
preparations, only a few studies have reviewed their application in topical drug
delivery systems. Therefore, here we focus on the application of NDs in skin care
preparations, skin cancer medication, and wound healing. We also highlight the
development of topical drug delivery by NDs and their cytotoxicity.
PMID- 29660480
TI - Reply to letter to the editor by C. Faggion: reproducibility and reporting
guidelines.
PMID- 29660481
TI - Scoping review identifies significant number of knowledge translation theories,
models, and frameworks with limited use.
AB - OBJECTIVES: To conduct a scoping review of knowledge translation (KT) theories,
models, and frameworks that have been used to guide dissemination or
implementation of evidence-based interventions targeted to prevention and/or
management of cancer or other chronic diseases. STUDY DESIGN AND SETTING: We used
a comprehensive multistage search process from 2000 to 2016, which included
traditional bibliographic database searching, searching using names of theories,
models and frameworks, and cited reference searching. Two reviewers independently
screened the literature and abstracted the data. RESULTS: We found 596 studies
reporting on the use of 159 KT theories, models, or frameworks. A majority (87%)
of the identified theories, models, or frameworks were used in five or fewer
studies, with 60% used once. The theories, models, and frameworks were most
commonly used to inform planning/design, implementation and evaluation
activities, and least commonly used to inform dissemination and
sustainability/scalability activities. Twenty-six were used across the full
implementation spectrum (from planning/design to sustainability/scalability)
either within or across studies. All were used for at least individual-level
behavior change, whereas 48% were used for organization-level, 33% for community
level, and 17% for system-level change. CONCLUSION: We found a significant number
of KT theories, models, and frameworks with a limited evidence base describing
their use.
PMID- 29660482
TI - Testing for reproducibility: a missing point in reporting.
PMID- 29660483
TI - Cough and airway defence - Special issue of respiratory physiology and
neurobiology.
PMID- 29660484
TI - Evaluation of respiratory parameters in finswimmers regarding gender, swimming
style and distance.
AB - AIM: The purpose of our study was to investigate the differences in the
finswimmers' physiological characteristics, as far as gender, the swimming style
and the different swimming distance are concerned. METHODS: 52 finswimmers
participated in our study (Age: 17.4 +/- 2.1yrs, BMI: 21.8 +/- 2.3, body fat:
12.2 +/- 4.7%) and were allocated into groups [Gender: Female vs. Male, swimming
style: Bifin vs. Surface, and swimming distance: <200 m vs. >=200 m].
Anthropometric characteristics, handgrip, estimated strength of inspiratory
muscles (PImax) and pulmonary function parameters (FEV1, FVC and PEF) were
measured. The Independent T-test was used for statistical comparisons between
groups. Multivariate analyses were performed via binary logistic regression.
RESULTS: The results showed differences between groups in gender in PEF (p <
0.05), PImax (p < 0.05) and handgrip (p < 0.001) in swimming style in handgrip (p
< 0.05), FEV1 (p < 0.05) and FVC (p < 0.05) and in swimming distance (p < 0.05)
in hours/day spent at the gym (p < 0.05) and FVC (p < 0.05). In multivariate
analyses handgrip remained an independent predictor of style (OR: 1.154; 95%CI:
1.022-1.303, p = .021), and hours/day spent at the gym was retained as an
independent predictor of distance (OR: 131.607; 95%CI: 3.655-4739.441, p = .008).
CONCLUSION: The data from the present study reveal that handgrip was associated
with style, and hours per day spent at the gym were associated with distance.
PMID- 29660485
TI - In silico design of ligand triggered RNA switches.
AB - This contribution sketches a work flow to design an RNA switch that is able to
adapt two structural conformations in a ligand-dependent way. A well
characterized RNA aptamer, i.e., knowing its Kd and adaptive structural features,
is an essential ingredient of the described design process. We exemplify the
principles using the well-known theophylline aptamer throughout this work. The
aptamer in its ligand-binding competent structure represents one structural
conformation of the switch while an alternative fold that disrupts the binding
competent structure forms the other conformation. To keep it simple we do not
incorporate any regulatory mechanism to control transcription or translation. We
elucidate a commonly used design process by explicitly dissecting and explaining
the necessary steps in detail. We developed a novel objective function which
specifies the mechanistics of this simple, ligand-triggered riboswitch and
describe an extensive in silico analysis pipeline to evaluate important kinetic
properties of the designed sequences. This protocol and the developed software
can be easily extended or adapted to fit novel design scenarios and thus can
serve as a template for future needs.
PMID- 29660486
TI - Real-time imaging of specific genomic loci in eukaryotic cells using the ANCHOR
DNA labelling system.
AB - Spatio-temporal organization of the cell nucleus adapts to and regulates genomic
processes. Microscopy approaches that enable direct monitoring of specific
chromatin sites in single cells and in real time are needed to better understand
the dynamics involved. In this chapter, we describe the principle and development
of ANCHOR, a novel tool for DNA labelling in eukaryotic cells. Protocols for use
of ANCHOR to visualize a single genomic locus in eukaryotic cells are presented.
We describe an approach for live cell imaging of a DNA locus during the entire
cell cycle in human breast cancer cells.
PMID- 29660487
TI - Monitoring the progression of erosive tooth wear (ETW) using BEWE index in casts
and their 3D images: A retrospective longitudinal study.
AB - OBJECTIVE: To determine if the Basic erosive tooth wear index (BEWE index) is
able to assess and monitor ETW changes in two consecutive cast models, and detect
methodological differences when using the corresponding 3D image replicas.
METHODS: A total of 480 pre-treatment and 2-year post-treatment orthodontic
models (n = 240 cast models and n = 240 3D image replicas) from 120 adolescents
treated between 2002 and 2013 at the Gent Dental Clinic, Belgium, were scored
using the BEWE index. For data analysis only posterior sextants were considered,
and inter-method differences were evaluated using Wilcoxon Signed Rank test,
Kappa values and Mc Nemar tests (p < 0.05). Correlations between methods were
determined using Kendall tau correlation test. RESULTS: Significant changes of
ETW were detected between two consecutive models when BEWE index was used to
score cast models or their 3D image replicas (p < 0.001). A strong significant
correlation (taub: 0.74; p < 0.001) was shown between both methods However, 3D
image-BEWE index combination showed a higher probability for detecting initial
surface changes, and scored significantly higher than casts (p < 0.001).
Incidence and progression of ETW using 3D images was 13.3% (n = 16) and 60.9% (n
= 56) respectively, with two subjects developing BEWE = 3 in at least one tooth
surface. CONCLUSIONS: BEWE index is a suitable tool for the scoring of ETW
lesions in 3D images and cast. The combination of both digital 3D records and
index, can be used for the monitoring of ETW in a longitudinal approach. The
higher sensibility of BEWE index when scoring 3D images might improve the early
diagnosis of ETW lesions. CLINICAL SIGNIFICANCE: The BEWE index combined with
digital 3D records of oral conditions might improve the practitioner performance
with respect to early diagnosis, monitoring and managing ETW.
PMID- 29660488
TI - Changes in the radicular pulp-dentine complex in healthy intact teeth and in
response to deep caries or restorations: A histological and histobacteriological
study.
AB - INTRODUCTION: The present study reported the histological events that occurred in
the radicular pulp of human mature teeth in the presence of medium/deep untreated
caries lesions, and those teeth with restorations or direct pulp capping, with
particular emphasis on the morphology of the canal wall dentine and the
odontoblast layer. METHODS: Sixty-two teeth with medium/deep caries lesions,
extensive restorations or after application of a direct pulp capping procedure
were obtained from 57 subjects. Fourteen intact mature teeth served as controls.
Stained serial sections were examined for the pulp conditions of the coronal
pulp. The teeth were classified as those with pulpal inflammation, or those with
healed pulps. Histological changes that occurred in the roots at the pulp-dentine
junction were investigated in detail. RESULTS: All teeth (100%) in the
experimental group showed pathologic changes in the radicular pulp, with varying
amounts of tertiary dentine on the canal walls and absence of odontoblasts. These
changes were identified from different portions of the canal wall surface. Non
adherent calcifications in the pulp tissue were observed in more than half of the
specimens. Changes that deviate from classically-perceived histological
relationships of the pulp-dentine complex were also observed in the radicular
pulps of 33.7% of the control teeth. CONCLUSION: When challenged by bacteria and
bacterial by-products invading dentinal tubules, odontoblasts in the radicular
pulp may undergo cell death, possibly by apoptosis. This phenomenon may be caused
by progressive root-ward diffusion of bacterial by-products, cytokines or
reactive oxygen species through the pulp connective tissue. CLINICAL
SIGNIFICANCE: Although the vitality of the dental pulp in teeth with deep
dentinal caries may be maintained with direct pulp capping or pulpotomy, the
repair tissue that is formed resembles mineralised fibrous connective tissues
more than true tubular dentine.
PMID- 29660490
TI - Subjectivity about Turnover Intention among Male Nurses in South Korea: A Q
Methodological Study.
AB - PURPOSE: Around the world, male nurses face a variety of difficulties within
clinical settings; accordingly, a large number of male nurses consider changing
their occupation. In particular, male nurses in a number of Asian countries with
a Confucian heritage experience difficulties. Thus, the purpose of this study was
to explore individual differences in turnover intention among male nurses in one
such country, South Korea, and on that basis to suggest concrete strategies for
reducing turnover among male nurses in Confucian cultures. METHODS: Q
methodology, which is used to analyze human subjectivity, was applied. Q
statements were derived from 207 candidate statements gathered from various
documents and interviews; 40 statements were finalized. A purposive sample of 41
male nurses were selected as likely to have diverse opinions on turnover
intention of male nurses. The collected data were analyzed using PQMethod
software. RESULTS: Four distinct types of subjectivity about turnover intention
among male nurses were identified: (1) "Pursuing occupational values," (2)
"Dissatisfaction with treatment," (3) "Seeking a relaxed and stable life," and
(4) "Conflict related to organizational culture." CONCLUSIONS: This study
suggests various ways of reducing turnover and increasing retention among male
nurses based on the four identified perspectives, especially in Confucian
heritage regions.
PMID- 29660489
TI - Prevalence of mucosal and cutaneous human papillomavirus in Moroccan breast
cancer.
AB - BACKGROUND: Due to recent technical improvements and some encouraging new
results, there has been a resurgence of interest in the possibility that a
substantial proportion of breast cancers (BCs) may be caused by viral infections,
including Human papillomavirus (HPV). The aim of this study was to determine the
prevalence of mucosal and cutaneous HPV in tumours from Moroccan BC patients.
MATERIALS AND METHODS: Frozen tumours from 76 BC cases and 12 controls were
evaluated for the presence of 62 HPV-types using highly sensitive assays that
combine multiplex polymerase chain reaction and bead-based Luminex technology.
RESULTS: HPV DNA was found in 25.0% of BC tumours and only 8.3% of controls. Beta
and gamma HPV types were found in 10.5% and 6.6% of BC tumours, respectively.
High-risk mucosal types HPV16 and 18 were not detected in the subjects, but other
probable/possible high-risk or high-risk -HPV types (HPV51, 52, 58, 59, and 66)
were found in 5.3% of BC tumours. Statistical analysis showed no significant
difference between, controls, BC cases and the inflammatory status (p > 0.05).
CONCLUSION: HPV DNA was found 3 times as frequently in the BC tumours as in the
controls. However, this difference requires confirmation in a larger sample.
PMID- 29660491
TI - C-terminal oligomerization of podocin mediates interallelic interactions.
AB - Interallelic interactions of membrane proteins are not taken into account while
evaluating the pathogenicity of sequence variants in autosomal recessive
disorders. Podocin, a membrane-anchored component of the slit diaphragm, is
encoded by NPHS2, the major gene mutated in hereditary podocytopathies. We
formerly showed that its R229Q variant is only pathogenic when trans-associated
to specific 3' mutations and suggested the causal role of an abnormal C-terminal
dimerization. Here we show by FRET analysis and size exclusion chromatography
that podocin oligomerization occurs exclusively through the C-terminal tail
(residues 283-382): principally through the first C-terminal helical region (H1,
283-313), which forms a coiled coil as shown by circular dichroism spectroscopy,
and through the 332-348 region. We show the principal role of the oligomerization
sites in mediating interallelic interactions: while the monomer-forming
R286Tfs*17 podocin remains membranous irrespective of the coexpressed podocin
variant identity, podocin variants with an intact H1 significantly influence each
other's localization (r2 = 0.68, P = 9.2 * 10-32). The dominant negative effect
resulting in intracellular retention of the pathogenic F344Lfs*4-R229Q
heterooligomer occurs in parallel with a reduction in the FRET efficiency,
suggesting the causal role of a conformational rearrangement. On the other hand,
oligomerization can also promote the membrane localization: it can prevent the
endocytosis of F344Lfs*4 or F344* podocin mutants induced by C-terminal
truncation. In conclusion, C-terminal oligomerization of podocin can mediate both
a dominant negative effect and interallelic complementation. Interallelic
interactions of NPHS2 are not restricted to the R229Q variant and have to be
considered in compound heterozygous individuals.
PMID- 29660492
TI - Characterization of multiple enolase genes from Trichomonas vaginalis. Potential
novel targets for drug and vaccine design.
AB - Trichomonas vaginalis is the protist parasite that causes the most common, non
viral sexually transmitted infection called trichomonosis. Enolase is a
moonlighting protein that apart from its canonical function as a glycolytic
enzyme, serves as a plasminogen receptor on the cell surface of T. vaginalis and,
in consequence, it has been stablished as a virulence factor in this parasite. In
the Trichomonas vaginalis sequence database there are nine genes annotated as
enolase. In this work, we analyzed these genes as well as their products. We
found that seven out of nine genes might indeed perform enolase activity, whereas
two genes might have been equivocally identified, or they might be pseudogenes.
Furthermore, a combination of qRT-PCR and proteomic approaches was used to
assess, for the first time, the expression of these genes in the highly virulent
mexican isolate of T. vaginalis CNCD-147 at different iron concentrations. We
could find peptides corresponding to enolases encoded by genes TVAG_464170,
TVAG_043500 and TVAG_329460. Moreover, we identified two distinctive
characteristics within enolases from Trichomonas vaginalis. One of them
corresponds to three key substitutions within one of the loops of the active
site, compared to host enolase. The other, is a unique N-terminal motif, composed
of 15 to 18 residues, on all the potentially active enolases, whose function
still has to be stablished. Both differential features merit further studies as
potential drug and vaccine targets as well as diagnosis markers. These findings
offer new possibilities to fight trichomonosis.
PMID- 29660493
TI - Effect of absolute monocyte count post-transplant on the outcome of patients with
acute myeloid leukemia undergoing myeloablative allogeneic hematopoietic stem
cell transplant with busulfan and cyclophosphamide conditioning.
AB - Peripheral monocytes have recently been evaluated as a prognostic factor in
different types of hematological malignancies. This study assessed the prognostic
value of absolute monocyte count (AMC) post-transplant on the clinical outcomes
of 59 patients with acute myeloid leukemia (AML) who had undergone myeloablative
conditioning (MAC) allogeneic hematopoietic stem cell transplant (allo-HSCT) with
busulfan and cyclophosphamide (Bu/Cy). Kaplan-Meier analysis showed that patients
with a high AMC (>= 0.57 * 109/L) on post-transplant day (PTD) 15 had a
significantly worse overall survival (OS) compared to patients with a low AMC (<
0.57 * 109/L) on PTD 15 (P = .0049). Univariate Cox proportional hazard analyses
revealed that only high AMC on PTD 15 was a poor prognostic factor for OS (P =
.008) and post-relapse survival (P = .030). We conclude that AMC >= 0.57 * 109/L
on PTD 15 is associated with more deaths in patients with AML who have undergone
MAC allo-HSCT with Bu/Cy.
PMID- 29660494
TI - Accurate filtering of privacy-sensitive information in raw genomic data.
AB - Sequencing thousands of human genomes has enabled breakthroughs in many areas,
among them precision medicine, the study of rare diseases, and forensics.
However, mass collection of such sensitive data entails enormous risks if not
protected to the highest standards. In this article, we follow the position and
argue that post-alignment privacy is not enough and that data should be
automatically protected as early as possible in the genomics workflow, ideally
immediately after the data is produced. We show that a previous approach for
filtering short reads cannot extend to long reads and present a novel filtering
approach that classifies raw genomic data (i.e., whose location and content is
not yet determined) into privacy-sensitive (i.e., more affected by a successful
privacy attack) and non-privacy-sensitive information. Such a classification
allows the fine-grained and automated adjustment of protective measures to
mitigate the possible consequences of exposure, in particular when relying on
public clouds. We present the first filter that can be indistinctly applied to
reads of any length, i.e., making it usable with any recent or future sequencing
technologies. The filter is accurate, in the sense that it detects all known
sensitive nucleotides except those located in highly variable regions (less than
10 nucleotides remain undetected per genome instead of 100,000 in previous
works). It has far less false positives than previously known methods (10%
instead of 60%) and can detect sensitive nucleotides despite sequencing errors
(86% detected instead of 56% with 2% of mutations). Finally, practical
experiments demonstrate high performance, both in terms of throughput and memory
consumption.
PMID- 29660495
TI - How program evaluators use and learn to use rubrics to make evaluative reasoning
explicit.
AB - A rubric is a tool that can support evaluators in a core function of their
practice-the process of combining evidence with values to determine merit, worth,
or significance-however, little guidance specific to evaluation exists. This
study examined, through semi-structured interviews, how a rare group of nine
rubric-using seasoned evaluators from across the globe use and learned to use
rubrics in their program evaluation practice. Key findings revealed rubrics were
a critical component to the practice of these evaluators to make determinations,
but also as frameworks to sharpen an evaluation's focus. Additionally, findings
support the notion that there is a paucity of formal channels for learning about
rubrics and indicate these early adopters are instead, honing their skills
through informal channels such as trial and error and by tapping into a community
of practice. Future directions for training and research should include expanding
understanding, application, and acceptance of use.
PMID- 29660497
TI - Proteomics in infectious diseases.
PMID- 29660496
TI - Quantitative targeted proteomic analysis of potential markers of tyrosine kinase
inhibitor (TKI) sensitivity in EGFR mutated lung adenocarcinoma.
AB - Lung cancer causes the highest mortality among all cancers. Patients harboring
kinase domain mutations in the epidermal growth factor receptor (EGFR) respond to
EGFR tyrosine kinase inhibitors (TKIs), however, acquired resistance always
develops. Moreover, 30-40% of patients with EGFR mutations exhibit primary
resistance. Hence, there is an unmet need for additional biomarkers of TKI
sensitivity that complement EGFR mutation testing and predict treatment response.
We previously identified phosphopeptides whose phosphorylation is inhibited upon
treatment with EGFR TKIs, erlotinib and afatinib in TKI sensitive cells, but not
in resistant cells. These phosphosites are potential biomarkers of TKI
sensitivity. Here, we sought to develop modified immuno-multiple reaction
monitoring (immuno-MRM)-based quantitation assays for select phosphosites
including EGFR-pY1197, pY1172, pY998, AHNAK-pY160, pY715, DAPP1-pY139, CAV1-pY14,
INPPL1-pY1135, NEDD9-pY164, NF1-pY2579, and STAT5A-pY694. These sites were
significantly hypophosphorylated by erlotinib and a 3rd generation EGFR TKI,
osimertinib, in TKI-sensitive H3255 cells, which harbor the TKI-sensitizing
EGFRL858R mutation. However, in H1975 cells, which harbor the TKI-resistant
EGFRL858R/T790M mutant, osimertinib, but not erlotinib, could significantly
inhibit phosphorylation of EGFR-pY-1197, STAT5A-pY694 and CAV1-pY14, suggesting
these sites also predict response in TKI-resistant cells. We could further
validate EGFR-pY-1197 as a biomarker of TKI sensitivity by developing a
calibration curve-based modified immuno-MRM assay. SIGNIFICANCE: In this report,
we have shown the development and optimization of MRM assays coupled with global
phosphotyrosine enrichment (modified immuno-MRM) for a list of 11 phosphotyrosine
peptides. Our optimized assays identified the targets reproducibly in biological
samples with good selectivity. We also developed and characterized quantitation
methods to determine endogenous abundance of these targets and correlated the
results of the relative quantification with amounts estimated from the
calibration curves. This approach represents a way to validate and verify
biomarker candidates discovered from large-scale global phospho-proteomics
analysis. The application of these modified immuno-MRM assays in lung
adenocarcinoma cells provides proof-of concept for the feasibility of clinical
applications. These assays may be used in prospective clinical studies of EGFR
TKI treatment of EGFR mutant lung cancer to correlate treatment response and
other clinical endpoints.
PMID- 29660498
TI - Morphinome Database - The database of proteins altered by morphine administration
- An update.
AB - Morphine is considered a gold standard in pain treatment. Nevertheless, its use
could be associated with severe side effects, including drug addiction. Thus, it
is very important to understand the molecular mechanism of morphine action in
order to develop new methods of pain therapy, or at least to attenuate the side
effects of opioids usage. Proteomics allows for the indication of proteins
involved in certain biological processes, but the number of items identified in a
single study is usually overwhelming. Thus, researchers face the difficult
problem of choosing the proteins which are really important for the investigated
processes and worth further studies. Therefore, based on the 29 published
articles, we created a database of proteins regulated by morphine administration
The Morphinome Database (addiction-proteomics.org). This web tool allows for
indicating proteins that were identified during different proteomics studies.
Moreover, the collection and organization of such a vast amount of data allows us
to find the same proteins that were identified in various studies and to create
their ranking, based on the frequency of their identification. STRING and KEGG
databases indicated metabolic pathways which those molecules are involved in.
This means that those molecular pathways seem to be strongly affected by morphine
administration and could be important targets for further investigations.
SIGNIFICANCE: The data about proteins identified by different proteomics studies
of molecular changes caused by morphine administration (29 published articles)
were gathered in the Morphinome Database. Unification of those data allowed for
the identification of proteins that were indicated several times by distinct
proteomics studies, which means that they seem to be very well verified and
important for the entire process. Those proteins might be now considered
promising aims for more detailed studies of their role in the molecular mechanism
of morphine action.
PMID- 29660499
TI - Modulating membrane fluidity corrects Batten disease phenotypes in vitro and in
vivo.
AB - The neuronal ceroid lipofuscinoses are a class of inherited neurodegenerative
diseases characterized by the accumulation of autofluorescent storage material.
The most common neuronal ceroid lipofuscinosis has juvenile onset with rapid
onset blindness and progressive degeneration of cognitive processes. The juvenile
form is caused by mutations in the CLN3 gene, which encodes the protein CLN3.
While mouse models of Cln3 deficiency show mild disease phenotypes, it is
apparent from patient tissue- and cell-based studies that its loss impacts many
cellular processes. Using Cln3 deficient mice, we previously described defects in
mouse brain endothelial cells and blood-brain barrier (BBB) permeability. Here we
expand on this to other components of the BBB and show that Cln3 deficient mice
have increased astrocyte endfeet area. Interestingly, this phenotype is corrected
by treatment with a commonly used GAP junction inhibitor, carbenoxolone (CBX). In
addition to its action on GAP junctions, CBX has also been proposed to alter
lipid microdomains. In this work, we show that CBX modifies lipid microdomains
and corrects membrane fluidity alterations in Cln3 deficient endothelial cells,
which in turn improves defects in endocytosis, caveolin-1 distribution at the
plasma membrane, and Cdc42 activity. In further work using the NIH Library of
Integrated Network-based Cellular Signatures (LINCS), we discovered other small
molecules whose impact was similar to CBX in that they improved Cln3-deficient
cell phenotypes. Moreover, Cln3 deficient mice treated orally with CBX exhibited
recovery of impaired BBB responses and reduced autofluorescence. CBX and the
compounds identified by LINCS, many of which have been used in humans or approved
for other indications, may find therapeutic benefit in children suffering from
CLN3 deficiency through mechanisms independent of their original intended use.
PMID- 29660500
TI - Occurrence and seasonal variation of equine estrogens, equilin and equilenin, in
the river water of Japan: Implication with endocrine-disrupting potentials to
Japanese medaka (Oryzias latipes).
AB - In this study, we determined the concentration of equine estrogens, such as
equilin (Eq) and equilenin (Eqn), in the river water collected from nine research
stations in Hokkaido, Japan. The LC-MS/MS analysis revealed that Eq
concentrations were 2.7 +/- 6.7, 0.22 +/- 0.12, and 1.2 +/- 0.64 ng/L in Sep
2015, Feb 2016, and Jul 2016, respectively. Eqn had concentration levels similar
to those of Eq. Comparison of the concentrations at nine research stations showed
that seasonal variation was observed in the detected Eq and Eqn concentration
levels. This study was the first to show the occurrences and seasonal variation
of Eq and Eqn in the river water of Japan. We further investigated the
reproductive and transgenerational effects of Eq in Japanese medaka (Oryzias
latipes) exposed to 10, 100, and 1000 ng/L for 21 days and assessed the
transcriptional profiles of the estrogen-responsive genes in the livers of both
sexes. The reproduction assay demonstrated that 1000 ng/L of Eq adversely
affected the reproduction (i.e. fecundity) in the F0 generation and that the
hatching of F1 generation fertilized eggs was reduced in the 100 and 1000 ng/L
treatment groups. Our qRT-PCR assay revealed that the mRNA expression levels of
hepatic vitellogenin 1 and 2, choriogenin L and H, and estrogen receptor alpha
were significantly up-regulated in males exposed to 100 and/or 1000 ng/L of Eq.
In contrast, the transcriptional levels of several genes, such as pregnane X
receptor and cytochrome P450 3A, were down-regulated in the livers of males after
the 21-d exposure. These results suggest that Eq has endocrine-disrupting
potential such as reproductive and transgenerational effects by the modulation of
hepatic estrogen-responsive genes expression on medaka.
PMID- 29660501
TI - Characteristics of CH4 and CO2 emissions and influence of water and salinity in
the Yellow River delta wetland, China.
AB - Due to the severe degradation and environmental pollution of coastal wetlands by
human activities, they have gradually become an important source of greenhouse
gases (GHGs) emissions, so exploring the characteristics of their emission is
important to reduce greenhouse gas emissions from coastal wetlands. In this
study, the dynamics of methane (CH4) and carbon dioxide (CO2) emissions were
investigated in five kinds of typical tidal flats from the Yellow River delta
wetland during the years 2011-2013, and the influences of water level and
salinity on their emissions were explored in laboratory experiments. The mean
fluxes of CO2 and CH4 were -20.98 to 68.12 mg m-2 h-1 and -0.12 to 0.44 mg m-2 h
1 across all seasons in the five kinds of representative tidal flats. The highest
and lowest mean fluxes of CO2 were mainly observed during summer and winter,
respectively, whereas the seasons with the highest and lowest mean fluxes of CH4
varied according to the type of tidal flat. The results showed that the summer
season and the mud flat environment had the largest contributions to greenhouse
gas emissions. In laboratory experiments, the largest sequestration fluxes of CO2
and CH4 were observed with +4/+2 cm and -4 cm water levels, respectively,
indicating that a moderately high water level was beneficial for CO2
sequestration but led to the increase of CH4 emission. In the study of salinity,
we found that the largest sequestration fluxes of CO2 and CH4 were both detected
at 24 g L-1 salinity, indicating that high salinity level was advantageous for
CO2 and CH4 sequestration in the five simulation devices. Furthermore, a carbon
cycle pathway of coastal wetlands was proposed, which could have a vital
significance for research into the global carbon cycle. We can reduce GHG
emissions by protecting the coastal wetlands and lessening human activities.
PMID- 29660502
TI - The place of fibrinogen concentrates in the management of perioperative bleeding:
A position paper from the Francophone Working Group on Perioperative Haemostasis
(GIHP).
AB - The consumption of fibrinogen concentrates has been increasing steadily for
several years in surgery, trauma and obstetrics. However, data from the
literature are conflicting. The French Working Group on Perioperative Haemostasis
(GIHP) proposes a position paper based on a narrative review of the literature,
and addresses the following questions: What is the exact role of fibrinogen in
haemostasis? Which rational support for the use of perioperative fibrinogen?
Which thrombotic risk? What are the most recent professional recommendations on
the use of fibrinogen concentrates? Then, evidence-based recommendations are
proposed: 1) it is suggested not to administer prophylactic FC to prevent
haemorrhage; 2) it is suggested not to use FC alone. Haemostatic treatment must
be comprehensive, include other haemostatic treatments and must be limited in
cases of severe active haemorrhage; 3) the GIHP suggests urgent measurement of
fibrinogen plasma concentration in a biology laboratory or functional fibrinogen
by viscoelastic methods. The choice between the two methods must be guided by the
time to receive the results from a certified organisation with, in particular,
authorisation to perform delocalised biologic examinations; 4) it is suggested
not to administer FC when the fibrinogen concentration is superior to 1.5g/L or
when there is a functional fibrinogen deficit (with the possible exception in
obstetrics where the threshold could be 2.0g/L); 5) if FC are administered, an
initial dose of 25-50mg/kg is proposed.
PMID- 29660503
TI - High circulatory leptin mediated NOX-2-peroxynitrite-miR21 axis activate
mesangial cells and promotes renal inflammatory pathology in nonalcoholic fatty
liver disease.
AB - High circulatory insulin and leptin followed by underlying inflammation are often
ascribed to the ectopic manifestations in non-alcoholic fatty liver disease
(NAFLD) but the exact molecular pathways remain unclear. We have shown previously
that CYP2E1-mediated oxidative stress and circulating leptin in NAFLD is
associated with renal disease severity. Extending the studies, we hypothesized
that high circulatory leptin in NAFLD causes renal mesangial cell activation and
tubular inflammation via a NOX2 dependent pathway that upregulates
proinflammatory miR21. High-fat diet (60% kcal) was used to induce fatty liver
phenotype with parallel insulin and leptin resistance. The kidneys were probed
for mesangial cell activation and tubular inflammation that showed accelerated
NASH phenotype and oxidative stress in the liver. Results showed that NAFLD
kidneys had significant increases in alpha-SMA, a marker of mesangial cell
activation, miR21 levels, tyrosine nitration and renal inflammation while they
were significantly decreased in leptin and p47 phox knockout mice. Micro RNA21
knockout mice showed decreased tubular immunotoxicity and proinflammatory
mediator release. Mechanistically, use of NOX2 siRNA or apocynin,phenyl boronic
acid (FBA), DMPO or miR21 antagomir inhibited leptin primed-miR21-mediated
mesangial cell activation in vitro suggesting a direct role of leptin-mediated
NOX-2 in miR21-mediated mesangial cell activation. Finally, JAK-STAT inhibitor
completely abrogated the mesangial cell activation in leptin-primed cells
suggesting that leptin signaling in the mesangial cells depended on the JAK-STAT
pathway. Taken together the study reports a novel mechanistic pathway of leptin
mediated renal inflammation that is dependent on NOX-2-miR21 axis in ectopic
manifestations underlying NAFLD-induced co-morbidities.
PMID- 29660504
TI - IDH2 deficiency accelerates skin pigmentation in mice via enhancing
melanogenesis.
AB - Melanogenesis is a complex biosynthetic pathway regulated by multiple agents,
which are involved in the production, transport, and release of melanin. Melanin
has diverse roles, including determination of visible skin color and
photoprotection. Studies indicate that melanin synthesis is tightly linked to the
interaction between melanocytes and keratinocytes. alpha-melanocyte-stimulating
hormone (alpha-MSH) is known as a trigger that enhances melanin biosynthesis in
melanocytes through paracrine effects. Accumulated reactive oxygen species (ROS)
in skin affects both keratinocytes and melanocytes by causing DNA damage, which
eventually leads to the stimulation of alpha-MSH production. Mitochondria are one
of the main sources of ROS in the skin and play a central role in modulating
redox-dependent cellular processes such as metabolism and apoptosis. Therefore,
mitochondrial dysfunction may serve as a key for the pathogenesis of skin
melanogenesis. Mitochondrial NADP+-dependent isocitrate dehydrogenase (IDH2) is a
key enzyme that regulates mitochondrial redox balance and reduces oxidative
stress-induced cell injury through the generation of NADPH. Downregulation of
IDH2 expression resulted in an increase in oxidative DNA damage in mice skin
through ROS-dependent ATM-mediated p53 signaling. IDH2 deficiency also promoted
pigmentation on the dorsal skin of mice, as evident from the elevated levels of
melanin synthesis markers. Furthermore, pretreatment with mitochondria-targeted
antioxidant mito-TEMPO alleviated oxidative DNA damage and melanogenesis induced
by IDH2 deficiency both in vitro and in vivo. Together, our findings highlight
the role of IDH2 in skin melanogenesis in association with mitochondrial ROS and
suggest unique therapeutic strategies for the prevention of skin pigmentation.
PMID- 29660506
TI - Correlates of stigma in adults with epilepsy: A systematic review of quantitative
studies.
AB - OBJECTIVES: The aim of this review was to identify quantitative correlates,
predictors, and outcomes of stigma in adults with epilepsy living in Western
countries. METHODS: To identify relevant literature, four academic databases
(PsycINFO, CINAHL, PubMed, and Scopus) were systematically searched using key
terms related to stigma and epilepsy. RESULTS: Thirty-three research papers
reporting findings from 25 quantitative studies of correlates of stigma in
epilepsy were identified. The findings suggest that stigma can be predicted by
demographic, illness-related, and psychosocial factors, although associations
were found to be highly culturally specific. Outcomes of stigma in people with
epilepsy were replicated more consistently across cultures, and its impact was
significant. Detrimental effects included both worse physical health, including
less effective management of the condition, and reduced psychological well-being,
including difficulties such as depression and anxiety. IMPLICATIONS: Educational
initiatives and therapeutic interventions that aim to address stigma in people
with epilepsy are recommended; however, these need to be culturally informed to
ensure that they are valid and effective.
PMID- 29660505
TI - GCN2 deficiency ameliorates doxorubicin-induced cardiotoxicity by decreasing
cardiomyocyte apoptosis and myocardial oxidative stress.
AB - The clinical use of doxorubicin for cancer therapy is limited by its
cardiotoxicity, which involves cardiomyocyte apoptosis and oxidative stress.
Previously, we showed that general control nonderepressible 2 (GCN2), an
eukaryotic initiation factor 2alpha (eIF2alpha) kinase, impairs the ventricular
adaptation to chronic pressure overload by affecting cardiomyocyte apoptosis.
However, the impact of GCN2 on Dox-induced cardiotoxicity has not been
investigated. In the present study, we treated wild type (WT) and Gcn2-/- mice
with four intraperitoneal injections (5 mg/kg/week) to induce cardiomyopathy.
After Dox treatment, Gcn2-/- mice developed less contractile dysfunction,
myocardial fibrosis, apoptosis, and oxidative stress compared with WT mice. In
the hearts of the Dox-treated mice, GCN2 deficiency attenuated eIF2alpha
phosphorylation and induction of its downstream targets, activating transcription
factor 4 (ATF4) and C/EBP homologous protein (CHOP), and preserved the expression
of anti-apoptotic factor Bcl-2 and mitochondrial uncoupling protein-2(UCP2).
Furthermore, we found that GCN2 knockdown attenuated, whereas GCN2 overexpression
exacerbated, Dox-induced cell death, oxidative stress and reduction of Bcl-2 and
UCP2 expression through the eIF2alpha-CHOP-dependent pathway in H9C2 cells.
Collectively, our data provide solid evidence that GCN2 has a marked effect on
Dox induced myocardial apoptosis and oxidative stress. Our findings suggest that
strategies to inhibit GCN2 activity in cardiomyocyte may provide a novel approach
to attenuate Dox-related cardiotoxicity.
PMID- 29660507
TI - Does semiology of status epilepticus have an impact on treatment response and
outcome?
AB - OBJECTIVE: This study investigated whether there is an association between
semiology of status epilepticus (SE) and response to treatment and outcome.
METHOD: Two hundred ninety-eight consecutive adult patients (160 females, 138
males) with SE at the University of Munich Hospital were prospectively enrolled.
Mean age was 63.2+/-17.5 (18-97) years. Patient demographics, SE semiology and
electroencephalography (EEG) findings, etiology, duration of SE, treatment, and
outcome measures were investigated. Status epilepticus semiology was classified
according to a semiological status classification. Patient's short-term outcome
was determined by Glasgow Outcome Scale (GOS). RESULTS: The most frequent SE type
was nonconvulsive SE (NCSE) (39.2%), mostly associated with cerebrovascular
etiology (46.6%). A potentially fatal etiology was found in 34.8% of the
patients. More than half (60.7%) of the patients had poor short-term outcome
(GOS<=3) with an overall mortality of 12.4%. SE was refractory to treatment in
21.5% of the patients. Older age, potentially fatal etiology, systemic
infections, NCSE in coma, refractory SE, treatment with anesthetics, long SE
duration (>24h), low Glasgow Coma Scale (GCS) (<=8) at onset, and high Status
Epilepticus Severity Score (STESS-3) (>=3) were associated with poor short-term
outcome and death (p<0.05). Potentially fatal etiology and low GCS were the
strongest predictors of poor outcome (Exp [b]: 4.74 and 4.10 respectively,
p<0.05). CONCLUSION: Status epilepticus semiology has no independent association
with outcome, but potentially fatal etiology and low GCS were strong predictive
factors for poor short-term outcome of SE.
PMID- 29660508
TI - Estrone-modified pH-sensitive glycol chitosan nanoparticles for drug delivery in
breast cancer.
AB - : Estrone-modified glycol chitosan nanoparticles (GCNP-ES) based on the
mechanisms of ES-mediated endocytosis and intracellular pH-responsive drug
release were developed for the treatment of breast cancer. GCNP-ES were prepared
by grafting copolymerization of glycol chitosan with 2-(diisopropylamino)ethyl
methacrylate to generate GCNP prior to ES conjugation. The particle size, zeta
potential, and paclitaxel (PTX) encapsulation efficiency of GCNP-ES were
characterized. In particular, GCNP-ES exhibited pH-responsive dissociation
properties while maintaining stability under long-term storage and
lyophilization. The drug release of PTX-loaded GCNP-ES (PTX/GNCP-ES) was modestly
prolonged with considerable pH sensitivity. GCNP-ES promoted internalization in
breast cancer MCF-7 cells by approximately 5-fold as compared to GCNP, and the
internalized GCNP-ES was mainly localized in the endosomes of MCF-7 cells.
PTX/GNCP-ES exhibited higher cytotoxicity and cell apoptosis ratio than GCNP. In
mice with MCF-7 breast cancer xenograft, PTX/GCNP-ES showed higher accumulation
at the tumor site, which resulted in a higher tumor inhibition ratio (81.4%) than
that achieved by PTX/GCNP (69.4%) and PTX solution (48.8%). Furthermore, no
histological and hematological toxicity was detected in in vivo studies of
PTX/GCNP-ES. Overall, these results suggested the potential applicability of GCNP
ES as a drug delivery system for breast cancer therapy. STATEMENT OF
SIGNIFICANCE: Most breast cancers are hormone dependent. Herein, we developed a
estrone-modified glycol chitosan nanoparticles (GCNP-ES) as a drug delivery
system to overcome the drawbacks of chemotherpeutic drugs, including poor water
solubility and lack of specifity. GCNP-ES could provide efficient drug delivery
in breast cancer cells. The study demonstrated that GCNP-ES could dissociate
under mildly acidic conditions, leading to the timely payload release of the drug
in target tumor cells following internalization. The conjugated estrone of the
nanoparticles could significantly increase drug accumulation in the tumor site
and result in enhanced therapeutic effect. Thus, the potential applicability of
GCNP-ES was suggested.
PMID- 29660509
TI - Glycosylation-enhanced biocompatibility of the supramolecular hydrogel of an anti
inflammatory drug for topical suppression of inflammation.
AB - : Intravitreal/periocular injection of triamcinolone acetonide (TA) suspension is
a common uveitis treatment, but it displays a high risk for serious side effects
(e.g., high intraocular pressure, retinal toxicity). We report here an
intravitreally injectable thermosensitive glycosylated TA (TA-SA-Glu) hydrogel,
formed by covalently conjugating glucosamine (Glu) with succinate TA (TA-SA), for
treating uveitis. The TA-SA-Glu hydrogelator forms a supramolecular hydrogel
spontaneously in aqueous solution with a minimal gelation concentration of 0.25
wt%. Structural analysis revealed that hydrogen bonds assisted by hydrophobic
interaction resulted in self-assembled nanofibers. Rheology analysis demonstrated
that this TA-SA-Glu hydrogel exhibited a typical thixotropic property. Sustained
release of both TA-SA-Glu and TA from the hydrogel occurred throughout the 3-day
in vitro release study. The obtained TA-SA-Glu hardly caused cytotoxicity against
ARPE-19 and RAW264.7 cells after 24 h of incubation at drug concentration up to
600 MUM. In particular, TA-SA-Glu exhibited a comparable anti-inflammatory
efficacy to TA in terms of inhibiting the production of nitric oxide, tumor
necrosis factor-alpha, and interleukin-6 in activated RAW264.7 macrophages.
Following a single intravitreal injection, 69 nmol TA-SA-Glu hydrogel caused
minimal apparent retinal toxicity, whereas the TA suspension displayed
significant effects in terms of localized retinal toxicity. A single intravitreal
injection of TA-SA-Glu hydrogel was more effective in controlling inflammatory
response than that of the TA suspension treatment, particularly in down
regulating the pro-inflammatory Th1 and Th17 effector responses for treating
experimental autoimmune uveitis. This study strongly indicates that
supramolecular TA-SA-Glu hydrogels may represent a new option for posterior
uveitis management. STATEMENT OF SIGNIFICANCE: Intravitreal/periocular injection
of triamcinolone acetonide (TA) suspension is a common uveitis treatment, but
suffers a high risk for serious side effects (e.g., high intraocular pressure,
retinal toxicity). We generated an injectable glycosylated triamcinolone
acetonide hydrogelator (TA-SA-Glu) hydrogel for treating uveitis. Following a
single intravitreal injection, the proposed TA-SA-Glu hydrogel hardly caused
apparent retinal toxicity at a dosage of 69 nmol per eye. Furthermore, TA-SA-Glu
hydrogel was more effective in controlling non-infectious uveitis over than a TA
suspension, particularly in terms of down-regulating the pro-inflammatory Th1 and
Th17 effector responses for treating experimental autoimmune uveitis (EAU). This
study strongly indicates that TA-SA-Glu supramolecular hydrogels may represent a
new option for the management of various intraocular inflammations.
PMID- 29660510
TI - The in vivo anti-fibrotic function of calcium sensitive receptor (CaSR)
modulating poly(p-dioxanone-co-l-phenylalanine) prodrug.
AB - : In present study, the apoptosis induction and proliferation suppression effects
of l-phenylalanine (l-Phe) on fibroblasts were confirmed. The action sites of l
Phe on fibroblasts suppression were deduced to be calcium sensitive receptor
(CaSR) which could cause the release of endoplasmic reticulum (ER) Ca2+ stores;
disruption of intracellular Ca2+ homeostasis triggers cell apoptosis via the ER
or mitochondrial pathways. The down-regulation of CaSR were observed after the
application of l-Phe, and the results those l-Phe triggered the increasing of
intracellular Ca2+ concentration and calcineurin expression, and then the
apoptosis and increasing G1 fraction of fibroblasts have verified our deduction.
Hence, l-Phe could be seen as a kind of anti-fibrotic drugs for the crucial
participation of fibroblast in the occurrence of fibrosis. And then, poly(p
dioxanone-co-l-phenylalanine) (PDPA) which could prolong the in-vivo anti
fibrotic effect of l-Phe for the sustained release of l-Phe during its
degradation could be treated as anti-fibrotic polymer prodrugs. Based on the
above, the in vivo anti-fibrotic function of PDPA was evaluated in rabbit ear
scarring, rat peritoneum lipopolysaccharide, and rat sidewall defect/cecum
abrasion models. PDPA reduced skin scarring and suppressed peritoneal fibrosis
and post operation adhesion as well as secretion of transforming growth factor
beta1 in injured tissue. These results indicate that PDPA is an effective agent
for preventing fibrosis following tissue injury. STATEMENT OF SIGNIFICANCE: We
have previously demonstrated that poly(p-dioxanone-co-l-phenylalanine) (PDPA)
could induce apoptosis to fibroblast and deduced that the inhibitory effect comes
from l-phenylalanine. In present study, the inhibition mechanism of l
phenylalanine on fibroblast proliferation was demonstrated. The calcium sensitive
receptor (CaSR) was found to be the action site. The CaSR was downregulated after
the application of l-phenylalanine, and then the ER Ca2+ stores were released.
The released Ca2+ can simultaneously activate Ca2+/calcineurin and then trigger
apoptosis and G1 arrest of fibroblast. Hence, l-phenylalanine could be seen as
anti-fibrosis drug and PDPA which conjugate l-phenylalanine by hydrolytic
covalent bonds could be seen as l-phenylalanine polymer prodrug. Based above, the
in vivo anti-fibrotic function of PDPA were verified in three different animal
models.
PMID- 29660511
TI - Comparative adsorption profiles of basal lamina proteome and gingival cells onto
dental and titanium surfaces.
AB - : Titanium (Ti) dental implants are susceptible to bacterial infections and
failure due to lack of proper epithelial seal. Epithelial cells establish a
strong epithelial seal around natural teeth by the deposition of basal lamina
(BL) proteins that adsorb on the tooth surface. This seal can even be re
established onto cementum or dentin following injury or periodontal therapy.
However, it is unclear how tooth surfaces promote this cell attachment and
protein adsorption. Understanding the interactions between BL proteins and
epithelial cells with dentin and Ti will facilitate the development of implant
surfaces that promote the formation of an epithelial seal and improve the success
of periodontal therapy and wound healing on natural teeth. To study these
interactions, we used a surface proteomic approach to decipher the adsorption
profile of BL proteins onto Ti and dentin, and correlated these adsorption
profiles with in vitro interactions of human gingival fibroblasts and epithelial
cells. Results showed that dentin adsorbed higher amounts of key BL proteins,
particularly laminin and nidogen-1, and promoted more favorable interactions with
epithelial cells than Ti. Next, dentin specimens were deproteinized or partially
demineralized to determine if its mineral or protein component was responsible
for BL adsorption and cell attachment. Deproteinized (mineral-rich) and partially
demineralized (protein-rich) dentin specimens revealed BL proteins (i.e. laminin
and nidogen-1) and epithelial cells interact preferentially with dentinal
proteins rather than dentin mineral. These findings suggest that, unlike Ti,
dentin and, in particular, dentinal proteins have a selective affinity to BL
proteins that enhance epithelial cell attachment. STATEMENT OF SIGNIFICANCE: It
is remains unclear why natural teeth, unlike titanium dental implants, promote
the formation of an epithelial seal that protects them against the external
environment. This study used a surface screening approach to analyze the
adsorption of proteins produced by epithelial tissues onto tooth-dentin and
titanium surfaces, and correlate it with the behaviour of cells. This study shows
that tooth-dentin, in particular its proteins, has a higher selective affinity to
certain adhesion proteins, and subsequently allows more favourable interactions
with epithelial cells than titanium. This knowledge could help in developing new
approaches for re-establishing and maintaining the epithelial seal around teeth,
and could pave the way for developing implants with surfaces that allow the
formation of a true epithelial seal.
PMID- 29660513
TI - White-matter functional networks changes in patients with schizophrenia.
AB - Resting-state functional MRI (rsfMRI) is a useful technique for investigating the
functional organization of human gray-matter in neuroscience and neuropsychiatry.
Nevertheless, most studies have demonstrated the functional connectivity and/or
task-related functional activity in the gray-matter. White-matter functional
networks have been investigated in healthy subjects. Schizophrenia has been
hypothesized to be a brain disorder involving insufficient or ineffective
communication associated with white-matter abnormalities. However, previous
studies have mainly examined the structural architecture of white-matter using
MRI or diffusion tensor imaging and failed to uncover any dysfunctional
connectivity within the white-matter on rsfMRI. The current study used rsfMRI to
evaluate white-matter functional connectivity in a large cohort of ninety-seven
schizophrenia patients and 126 healthy controls. Ten large-scale white-matter
networks were identified by a cluster analysis of voxel-based white-matter
functional connectivity and classified into superficial, middle and deep layers
of networks. Evaluation of the spontaneous oscillation of white-matter networks
and the functional connectivity between them showed that patients with
schizophrenia had decreased amplitudes of low-frequency oscillation and increased
functional connectivity in the superficial perception-motor networks.
Additionally, we examined the interactions between white-matter and gray-matter
networks. The superficial perception-motor white-matter network had decreased
functional connectivity with the cortical perception-motor gray-matter networks.
In contrast, the middle and deep white-matter networks had increased functional
connectivity with the superficial perception-motor white-matter network and the
cortical perception-motor gray-matter network. Thus, we presumed that the
disrupted association between the gray-matter and white-matter networks in the
perception-motor system may be compensated for through the middle-deep white
matter networks, which may be the foundation of the extensively disrupted
connections in schizophrenia.
PMID- 29660512
TI - Modeling white matter microstructure with fiber ball imaging.
AB - Fiber ball imaging (FBI) provides a means of calculating the fiber orientation
density function (fODF) in white matter from diffusion MRI (dMRI) data obtained
over a spherical shell with a b-value of about 4000 s/mm2 or higher. By
supplementing this FBI-derived fODF with dMRI data acquired for two lower b-value
shells, it is shown that several microstructural parameters may be estimated,
including the axonal water fraction (AWF) and the intrinsic intra-axonal
diffusivity. This fiber ball white matter (FBWM) modeling method is demonstrated
for dMRI data acquired from healthy volunteers, and the results are compared with
those of the white matter tract integrity (WMTI) method. Both the AWF and the
intra-axonal diffusivity obtained with FBWM are found to be significantly larger
than for WMTI, with the FBWM values for the intra-axonal diffusivity being more
consistent with recent results obtained using isotropic diffusion weighting. An
important practical advantage of FBWM is that the only nonlinear fitting required
is the minimization of a cost function with just a single free parameter, which
facilitates the implementation of efficient and robust numerical routines.
PMID- 29660514
TI - Early life predictors of brain development at term-equivalent age in infants born
across the gestational age spectrum.
AB - BACKGROUND: It is well established that preterm infants have altered brain
development compared with full-term (FT; >=37 weeks' gestational age [GA])
infants, however the perinatal factors associated with brain development in
preterm infants have not been fully elucidated. In particular, perinatal
predictors of brain development may differ between very preterm infants (VP; <32
weeks' GA) and infants born moderate (MP; 32-33 weeks' GA) and late (LP; 34-36
weeks' GA) preterm, but this has not been studied. This study aimed to
investigate the effects of early life predictors on brain volume and
microstructure at term-equivalent age (TEA; 38-44 weeks), and whether these
effects differ for GA groups (VP, MP, LP or FT). METHODS: Structural images from
328 infants (91 VP, 63 MP, 104 LP and 70 FT) were segmented into white matter,
cortical grey matter, cerebrospinal fluid, subcortical grey matter, brainstem and
cerebellum. Cortical grey matter and white matter images were analysed using
voxel-based morphometry. Fractional anisotropy (FA), mean diffusivity (MD), axial
diffusivity (AD) and radial diffusivity (RD) images from 361 infants (92 VP, 69
MP, 120 LP and 80 FT) were analysed using Tract-Based Spatial Statistics.
Relationships between early life predictors (birthweight standard deviation score
[BWSDS], multiple birth, sex, postnatal growth and social risk) and global brain
volumes were analysed using linear regressions. Relationships between early life
predictors and regional brain volumes and diffusion measures were analysed using
voxelwise non-parametric permutation testing. RESULTS: Male sex was associated
with higher global volumes of all tissues and higher regional volumes throughout
much of the cortical grey matter and white matter, particularly in the FT group.
Male sex was also associated with lower FA and higher AD, RD and MD in the optic
radiation, external and internal capsules and corona radiata, and these
associations were generally similar between GA groups. Higher BWSDS was
associated with higher global volumes of all tissues and higher regional volumes
in much of the cortical grey matter and white matter in all GA groups, as well as
higher FA and lower RD and MD in many major tracts (corpus callosum, optic
radiation, internal and external capsules and corona radiata), particularly in
the MP and LP groups. Multiple birth and social risk also showed associations
with global and regional volumes and regional diffusion values which varied by GA
group, but these associations were not independent of the other early life
predictors. Postnatal growth was not associated with brain volumes or diffusion
values. CONCLUSION: Early life predictors of brain volumes and microstructure at
TEA include sex, BWSDS, multiple birth and social risk, which have different
effects based on GA group at birth. This study improves knowledge of the
perinatal factors associated with brain abnormalities in infants born across the
prematurity spectrum.
PMID- 29660515
TI - Aspergillus fumigatus viability drives allergic responses to inhaled conidia.
AB - BACKGROUND: Aspergillus fumigatus-induced allergic airway disease has been shown
to involve conidial germination in vivo, but the immunological mechanisms remain
uncharacterized. OBJECTIVE: A subchronic murine exposure model was used to
examine the immunological mediators that are regulated in response to either
culturable or nonculturable A fumigatus conidia. METHODS: Female B6C3F1/N mice
were repeatedly dosed via inhalation with 1 * 105 viable or heat-inactivated
conidia (HIC), twice per week for 13 weeks (26 exposures). Control mice inhaled
high-efficiency particulate arrestor-filtered air. The influence of A fumigatus
conidial germination on the pulmonary immunopathological outcomes was evaluated
by flow cytometry analysis of cellular infiltration in the airways, assessment of
lung messenger RNA expression, quantitative proteomics, and histopathology of
whole lung tissue. RESULTS: Repeated inhalation of viable conidia, but not HIC,
resulted in allergic inflammation marked by vascular remodeling, extensive
eosinophilia, and accumulation of alternatively activated macrophages (AAMs) in
the murine airways. More specifically, mice that inhaled viable conidia resulted
in a mixed TH1 and TH2 (IL-13) cytokine response. Recruitment of eosinophils
corresponded with increased Ccl11 transcripts. Furthermore, genes associated with
M2 or alternatively activated macrophage polarization (eg, Arg1, Chil3, and
Retnla) were significantly up-regulated in viable A fumigatus-exposed mice. In
mice inhaling HIC, CD4+ T cells expressing IFN-gamma (TH1) dominated the
lymphocytic infiltration. Quantitative proteomics of the lung revealed metabolic
reprogramming accompanied by mitochondrial dysfunction and endoplasmic reticulum
stress stimulated by oxidative stress from repetitive microbial insult.
CONCLUSION: Our studies demonstrate that A fumigatus conidial viability in vivo
is critical to the immunopathological presentation of chronic fungal allergic
disease.
PMID- 29660516
TI - Molecular cloning and characterization of C1 tetrahydrofolate (C1-THF) synthase
in Bombyx mori, silkworm.
AB - Folate metabolism pathway is mainly associated with syntheses of nucleic acids
and proteins as well as DNA methylation and repair. Cytoplasmic C1
tetrahydrofolate (C1-THF) synthase is a central enzyme in folate metabolism and
plays an important role in C1-THF conversion. The full-length sequence of
silkworm (Bombyx mori) cytoplasmic C1-THF synthase (BmC1-THF synthase) gene
including an open reading frame (ORF) of 932 amino acid residues was cloned.
Phylogenetic analysis indicated that BmC1-THF synthase shares 84% and 81%
identity with C1-THF synthase of Papilio Xuthus and Danaus plexippus,
respectively. Conserved sequence analysis showed that the 330 to 710 amino acid
residues of BmC1-THF synthase were highly conserved among various organisms.
Recombinant BmC1-THF synthase protein with a molecular weight of about 105.5 kDa
was expressed in E. coli cells and identified using SDS-PAGE and western blot
analysis. The expression of BmC1-THF synthase gene in eight silkworm tissues
revealed that BmC1-THF synthase gene is widely expressed in various tissues of
silkworm and highly expressed in the fat body. The expression characteristics of
BmC1-THF synthase gene in response to oxidative stress were conducted under
phoxim and high temperature (30 degrees C) exposure. The results showed that the
transcriptional level of BmC1-THF synthase gene was upregulated by 3.435-fold and
6.845-fold after phoxim and high temperature (30 degrees C) exposure,
respectively, indicating that BmC1-THF synthase gene may play a significant role
in response of silkworm to oxidative stresses.
PMID- 29660517
TI - CYP2D6 (C2850T, G1846A, C100T) polymorphisms, haplotypes and MDR analysis in
predicting coronary artery disease risk in north-west Indian population: A case
control study.
AB - AIMS: The present study was aimed to evaluate the association of C2850T, G1846A
and C100T polymorphisms of the CYP2D6 with coronary artery disease (CAD) in North
West Indian population. METHODS: In this case-control study, 200 patients with
CAD and 200 age-, gender- and ethnicity-matched healthy controls were genotyped
for C2850T, G1846A and C100T polymorphisms of CYP2D6 using polymerase chain
reaction-restriction fragment length polymorphism (PCR-RFLP) method. RESULTS:
Genotype and allele distributions of C2850T and G1846A polymorphisms of the
CYP2D6 were significantly different between cases and controls (p = 0.038, p =
0.021; p = 0.048, p = 0.012, respectively) whereas the distribution of genotype
and allele for C100T polymorphism did not differ significantly (p = 0.098, p =
0.117, respectively). The 2850T and1846A variants were significantly associated
with the increased risk of developing CAD, as observed from the odds ratios for
the 2850 T/T and 1846 G/A genotypes (OR: 2.44, 95% CI: 1.99-4.99, p = 0.015 and
OR: 1.62, 95% CI: 1.02-2.56, p = 0.041, respectively). Moreover, the recessive
model in C2850T and the dominant model in G1846A are the best fit inheritance
models to predict the susceptible gene effects (OR: 2. 07, 95% CI: 1.05-4.08, p =
0.031 and OR: 1.70, 95% CI: 1.10-2.62, p = 0.016, respectively). On gender
stratification, these associations were observed only in females in addition to
the C/T genotype of C2850T (OR: 2.52, 95% CI: 1.42-4.38, p = 0.001) and C100T
(OR: 3.18, 95% CI: 1.52-6.67, p = 0.002). Furthermore, it is also observed that
the CAT (OR: 2. 61, 95% CI: 1.07-6.34, p = 0.035) and TAC (OR: 15. 22, 95% CI:
1.97-117.58, p = 0.009) are high-risk haplotypes for CAD in the total group,
whereas, the TGC (OR: 1. 64, 95% CI: 1.02-2.62, p = 0.042) and CAT (OR: 4. 21,
95% CI: 1.12-15.59, p = 0.035) haplotypes provide gender-specific risk in
females. CONCLUSIONS: Our results indicate significant association of C2850T,
G1846A and C100T polymorphisms of CYP2D6 with CAD especially in females of North
West Indian population.
PMID- 29660518
TI - HOXB4 knockdown enhances the cytotoxic effect of paclitaxel and cisplatin by
downregulating ABC transporters in ovarian cancer cells.
AB - Therapeutic effects of anti-cancer drugs for ovarian cancer were limited due to
the rapid development of chemotherapy resistance. The aim of this study was to
test whether knockdown of Homeobox B4 (HOXB4) enhanced the cytotoxic effect of
paclitaxel and cisplatin in ovarian cancer cells. HOXB4 expressions at mRNA and
protein levels were upregulated in Taxol-resistant A2780 (A2780/Taxol) and DDP
resistant SKOV-3 (SKOV-3/DDP) cells. HOXB4 knockdown enhanced the cytotoxic
effects of Taxol and DDP in A2780/Taxol and SKOV-3/DDP cells, respectively. HOXB4
silencing suppressed the phosphatidylinositol 3-kinase (PI3K)/Akt signaling
pathway and reduced the expression of ABCB1, ABCC1 and ABCG2 in ovarian cancer
cells. PI3K inhibitor LY294002 or siRNA targeting Akt (si-Akt) treatment
inhibited cell viability, decreased protein levels of ABCB1, ABCC1 and ABCG2, and
increased LDH release in A2780/Taxol and SKOV-3/DDP cells. These findings
revealed that HOXB4 knockdown enhanced the cytotoxic effects of Taxol and DDP by
downregulating ABC transporters via inhibiting the PI3K/Akt pathway in ovarian
cancer cells.
PMID- 29660519
TI - Oocyte maturation and origin of the germline as revealed by the expression of
Nanos-like in the Pacific oyster Crassostrea gigas.
AB - Nanos gene plays an important role in germline development in animals. However,
the molecular mechanisms involved in germline development in Mollusca, the second
largest animal phylum, are still poorly understood. Here we identified the Nanos
orthologue from the Pacific oyster Crassostrea gigas (Cg-Nanos-like), and
investigated the expression patterns of Nanos during gametogenesis and
embryogenesis in C. gigas. Tissue expression analysis showed that Cg-Nanos-like
was specifically expressed in female gonads. During the reproductive cycle, the
expression of Cg-Nanos-like mRNA increased matching the seasonal development of
the ovarian tissues in diploids, while the expression levels were significantly
lower in the ovaries of sterile triploids compared to diploids. High expression
of Cg-Nanos-like transcripts were detected in early embryonic stages, while the
expression significantly dropped at gastrulation and was barely detectable in
veliger stages. In situ hybridization showed that Cg-Nanos-like was expressed at
different stages of developing oocytes, whereas positive signals were detected
only in spermatogonia during the spermatogenic cycle. These findings indicated
that Cg-Nanos-like was involved in the development of germ cells, and maintenance
of oocyte maturation. In early embryogenesis, the transcripts were broadly
expressed; following gastrulation, the expression was restricted to two cell
clumps, which might be the putative primordial germ cells (PGCs) or their
precursors. Based on the results, the formation of the PGCs in C. gigas was
consistent with the model of transition from epigenesis to preformation.
PMID- 29660520
TI - miR-758 mediates oxLDL-dependent vascular endothelial cell damage by suppressing
the succinate receptor SUCNR1.
AB - Atherosclerosis is a vascular disease associated with ageing, and its occurrence
and development are closely related to the vascular inflammatory response.
Oxidized low-density lipoprotein (oxLDL) has distinct effects in atherosclerosis.
We aimed to determine the mechanisms underlying these effects. microRNAs
including miR-758 were differentially expressed in oxLDL-treated HUVECs or HAECs.
Luciferase reporter assay results indicated that SUCNR1 is an important target of
miR-758. Expression of SUCNR1 and its downstream components was decreased
significantly in ApoE-/- mice. Overexpression of miR-758 could suppress HUVEC
proliferation by cell cycle arrest at the G0/G1 phase. miR-758 was overexpressed
on HUVECs with markedly reduced capillary tubule formation capacity.
Overexpression of miR-758 on HUVECs or HAECs could significantly reduce SUCNR1
(GPR91), SATA3, phosphorylated STAT3 (p-STAT3), and EVGF levels. Thus, oxLDL
likely damages vascular endothelial cells by modulating the DLK1-DIO3 genomic
imprinted microRNA cluster component miR-758, thereby suppressing expression of
SUCNR1/GPR91 and its downstream components.
PMID- 29660521
TI - An investigation of oyster TGF-beta receptor genes and their potential roles in
early molluscan development.
AB - Though the roles of BMP signaling in development is studied extensively in
insects and vertebrates, our knowledge of BMP signaling in molluscan development
is limited. In the present study, we performed a genome-based analysis of TGF
beta receptors in the Pacific oyster Crassostrea gigas and revealed that C. gigas
possessed all five canonical members of the gene family, including three type I
and two type II receptors. Whole mount in situ hybridization revealed that four
receptor genes exhibited universal expression at the gastrula stage but cgi
bmprII mRNA was only expressed at the anterior lip of blastopore, indicating the
regulation of BMP signaling through restricted expression of TGF-beta receptors.
Treatment of oyster embryos using a BMP signaling inhibitor (dorsomorphin)
resulted in obvious changes of the expression of developmental regulatory genes.
In particular, three dorsally expressed genes were inhibited and two genes
expressed at the opposite side showed increased expression, indicating BMP
signaling may function in dorsal-ventral (DV) patterning. Some of the influenced
genes were potential shell-formation (pSF) genes, including the well-accepted pSF
gene engrailed, and thus this indicates the development of shell field was
affected by dorsomorphin treatment. Subsequent scanning electronic observation
revealed morphological change of the posterior margin of the shell field. Taken
together, these results indicate the roles of BMP signaling in both DV patterning
and development of shell field in oyster embryo, which expands our knowledge of
early molluscan development.
PMID- 29660523
TI - Inhibition of quorum sensing-mediated virulence in Serratia marcescens by
Bacillus subtilis R-18.
AB - Serratia marcescens is an opportunistic human pathogen causing various nosocomial
infections, most importantly urinary tract infections (UTIs). It exhibits
increased resistance towards the conventional antibiotics. This study was aimed
to evaluate the anti-virulence effect of a rhizosphere soil bacterium Bacillus
subtilis strain R-18 against the uropathogen S. marcescens. First, the bacterial
cell-free culture supernatant (CFCS) of B. subtilis strain R-18 was evaluated for
its quorum sensing inhibitory (QSI) potential against biomarker strain
Chromobacterium violaceum and the test pathogen S. marcescens. The B. subtilis R
18 CFCS effectively inhibited the quorum sensing (QS)-mediated violacein pigment
production in C. violaceum and prodigiosin pigment production in S. marcescens.
Furthermore, B. subtilis R-18 CFCS was successively extracted with different
solvent systems. Of these solvents, B. subtilis R-18 petroleum ether (PE) extract
showed inhibition in biofilm formation, protease, lipase, and hemolysin
productions in S. marcescens. Fourier transform infrared spectroscopic (FT-IR)
analysis revealed the alterations in the cellular components of bacterial cell
pellets obtained from B. subtilis R-18 PE extract treated and untreated S.
marcescens. The differential gene expression study further validated the
downregulation of virulence-associated genes. Characterization of the active
principle in B. subtilis R-18 PE extract by gas chromatography-mass spectrometry
(GC-MS) analysis showed the presence of multiple compounds with therapeutic
values, which could possibly reduce the QS-dependent phenotypes in S. marcescens.
PMID- 29660522
TI - Helicobacter pylori-derived heat shock protein 60 increases the induction of
regulatory T-cells associated with persistent infection.
AB - Local Treg responses are involved in Helicobacter pylori-related inflammation and
clinical outcomes after infection, and H. pylori-derived HSP60 (HpHSP60) is an
important virulence factor associated with gastric carcinogenesis. This study to
investigate the role of HpHSP60 in immunosuppression, particularly with regard to
whether it could induce the production of Treg cells. For this purpose, human
peripheral blood mononuclear cells (PBMCs) were treated with or without HpHSP60
in the presence of an anti-CD3 mAb to determine the effect of HpHSP60 on cell
proliferation. In this report, HpHSP60 decreased the expression of CDK4 to
significantly arrest the proliferation of mitogen-stimulated T-cells, which
correlated with the induction of Treg cells. Moreover, monocytic cells were
essential for the induction of HpHSP60-induced Treg cells via the secretion of IL
10 and TGF-beta after treatment with HpHSP60. Blockage of HpHSP60 with specific
monoclonal antibodies significantly reduced the colonization of H. pylori and the
expression of Treg cells in vivo. Overall, our results suggest that HpHSP60 could
act on macrophages to trigger the expression of IL-10 and TGF-beta, thereby
leading to an increase in Treg cells and inhibition of T-cell proliferation.
PMID- 29660524
TI - Temperature-dependent development of Asian citrus psyllid on various hosts, and
mortality by two strains of Isaria.
AB - The Asian citrus psyllid (ACP), Diaphorina citri Kuwayama (Hemiptera: Psyllidae)
is a devastating pest of Citrus spp. The aim of present study was to investigate
the development and mortality of ACP on citrus (Citrus sinensis) (healthy and
Huanglongbing- (HLB) diseased) and jasmine (Murraya paniculata) plants at various
temperatures. Two new Isaria strains were collected from citrus orchards of
Fuzhou (China), and HLB-diseased plants were verified by running PCR for 16S gene
of Candidatus Liberibacter asiaticus (CLas). Development observations were
recorded for egg, nymph and adult stages on all plants and three different
temperatures (20, 25 and 30 degrees C) whereas mortality observations were
recorded for the nymph (fifth instar) and adults on all plants at 25 degrees C.
Field collected Isaria strains were belonged to previously reported Chinese
strains under Maximum Parsimony (MP) and Maximum Likelihood methods, as well as,
CLas isolates were belonged to previously reported Chinese isolates under MP and
Neighbor-Joining methods. The fastest development and mortality was observed on
HLB-diseased plants whereas longest time was taken by development and mortality
completion on jasmine plants at all temperatures. The fastest developmental times
of egg, nymph (first to fourth and fifth instar) and adult stages were ranged
from 3.02 to 3.72 d, to 7.63-9.3 d, 5.35-5.65 d and 24.46-28.47 d on HLB-diseased
plants at 30-20 degrees C, respectively. On the other hand, I. javanica caused
the fastest mortality of nymphs and adults (32.21 +/- 4.47% and 19.33 +/- 4.51%)
on HLB-diseased plants with the concentration of 1 * 108 conidia.mL-1 after 3 d
and 7 d, respectively. It is concluded that there is a need for extensive
molecular work to understand the extra-development and mortality of ACP on
diseased plants, because, CLas bacterium can be supportive to uptake more sap
from plant phloem.
PMID- 29660525
TI - Gastric Peroral Endoscopic Pyloromyotomy Reduces Symptoms, Increases Quality of
Life, and Reduces Health Care Use For Patients With Gastroparesis.
AB - BACKGROUND & AIMS: Gastric peroral endoscopic pyloromyotomy (GPOEM) is becoming a
promising treatment option for patients with refractory gastroparesis. We aimed
to systematically assess the efficacy of GPOEM and its effects on health care
use. METHODS: We performed a retrospective study on 30 patients with refractory
gastroparesis who underwent GPOEM from June 2015 through July 2017 at a tertiary
center. We compared outcomes with those of 7 patients with refractory
gastroparesis who did not undergo the procedure (controls). The primary outcomes
were patient-reported reductions in symptoms, based on the gastroparesis cardinal
symptom index (GCSI), and increases in 8 aspects of quality of life, based on
Short Form 36 (SF-36) scores. Data were collected on the day of the procedure
(baseline) and at 1 month, 6 months, 12 months, and 18 months afterward.
Secondary outcomes included visits to the emergency department or hospitalization
for gastroparesis-related symptoms. RESULTS: GPOEM was technically successful in
all patients and significantly reduced GCSI scores in repeated-measure analysis
of variance (F2.044, 38.838 = 22.319; P < .0005). The mean score at baseline was
3.5 +/- 0.6, at 1 month after GPOEM was 1.8 +/- 1.0 (P < .0005), at 6 months
after was 1.9 +/- 1.2 (P < .0005), at 12 months after was 2.6 +/- 1.5 (P < .026),
and at 18 months after was 2.1 +/- 1.3 (P < .016). GPOEM was associated with
improved quality of life: 77.8%, 76.5%, and 70% of patients had significant
increases in SF-36 scores, compared with baseline, at 1 month, 6 months, and 12
months after GPOEM, respectively (F1.71,18.83 = 14.16; P < .0005). Compared with
controls, patients who underwent GPOEM had significant reductions in GCSI, after
we controlled for baseline score and duration of the disease (F1,31 = 9.001; P =
.005). Patients who received GPOEM had significant reductions in number of
emergency department visits (from 2.2 +/- 3.1 times/mo at baseline to 0.3 +/- 0.8
times/mo; P = .003) and hospitalizations (from 1.7 +/- 2 times/mo at baseline to
0.2 +/- 0.4 times/mo; P = .0002). CONCLUSIONS: In a retrospective study of
patients who underwent GPOEM for refractory gastroparesis, we found the procedure
significantly improved symptoms, increased quality of life, and reduced health
care use related to gastroparesis.
PMID- 29660526
TI - Duodenal Scalloping Indicate Waldenstrom Macroglobulinemia.
PMID- 29660527
TI - The Association Between Mutations in BRAF and Colorectal Cancer-Specific Survival
Depends on Microsatellite Status and Tumor Stage.
AB - BACKGROUND & AIMS: Colorectal tumors with mutations in BRAF and microsatellite
stability (MSS) have been associated with adverse outcomes of patients. Combined
tests for microsatellite instability-high (MSI-H) and BRAF mutations might
therefore be used in risk assessment, particularly for patients with stage II
tumors. We investigate the stage-specific prognostic value of combined testing
for MSI-H and BRAF for patients with colorectal cancer. METHODS: We performed a
retrospective analysis of colorectal tumor samples collected from 1995 patients
at 22 hospitals in Germany, between 2003 and 2010. Samples were analyzed for MSI
H using an established mononucleotide marker panel; BRAF mutations (BRAFV600E)
were detected by Sanger sequencing or in tissue microarray blocks using
immunohistochemistry. Cancers were assigned to categories of having MSS without
mutations in BRAF, MSS with mutant BRAF, MSI-H without mutations in BRAF, and MSI
H with mutant BRAF. We investigated the association between tumor categories with
clinical and pathologic features and patient's overall, disease-specific, and
recurrence-free survival (median follow-up time, 5.1 y). RESULTS: Tumors were
stage I in 364 (18%), stage II in 678 (34%), stage III in 673 (34%), and stage IV
(14%) in 280 patients. Sixty-three percent of tumors were located in the colon
and 37% in the rectum. Most tumors (85%) had MSS without mutations in BRAF, 3%
had MSS with mutant BRAF, 7% had MSI-H without mutations in BRAF, and 5% had MSI
H with mutant BRAF. In patients whose tumors were MSI-H, mutation of BRAF did not
significantly affect survival time. Patients whose tumors had MSS with mutant
BRAF had significantly reduced overall survival (hazard ratio [HR], 2.16; 95% CI,
1.54-3.04; P < .001), disease-specific survival (HR, 2.59; 95% CI, 1.77-3.79; P <
.001), and recurrence-free survival (HR, 2.45; 95% CI, 1.70-3.52; P < .001) than
patients whose tumors had MSS without BRAF mutation. Although BRAF mutations in
tumors with MSS were associated with disease-specific survival of patients with
stage III or IV tumors (P < .001), these features did not affect survival of
patients with stage II tumors (P = .639). CONCLUSIONS: In an analysis of almost
2000 patients with colorectal cancer, we found BRAF mutations to reduce survival
of patients in stage III or IV (but not stage II) tumors with MSS. These findings
do not support testing stage I or II colorectal tumors for BRAF mutations,
although additional large studies are needed.
PMID- 29660528
TI - Yield of Colonoscopy After a Positive Result From a Fecal Immunochemical Test OC
Light.
AB - BACKGROUND & AIMS: The fecal immunochemical test (FIT) is widely used in
colorectal cancer (CRC) screening. The OC-Light FIT is 1 of 2 FITs recommended
for CRC screening by the Preventive Services Task Force guidelines. However,
little is known about its ability to detect CRC in large average-risk
populations. METHODS: We performed a retrospective cohort study of patients (50
75 years old) in the San Francisco Health Network who were screened for CRC by OC
Light FIT from August 2010 through June 2015. Patients with a positive result
were referred for colonoscopy. We used electronic health records to identify
participants with positive FIT results, and collected results from subsequent
colonoscopies and pathology analyses. The FIT positive rate was calculated by
dividing the number of positive FIT results by the total number of FIT tests
completed. The primary outcome was the positive rate from OC-Light FIT and yield
of neoplasms at colonoscopy. Secondary outcomes were findings from first vs
subsequent rounds of testing, and how these varied by sex and race. RESULTS: We
collected result from 35,318 FITs, performed on 20,886 patients; 2930 patients
(8.3%) had a positive result, and 1558 patients completed the follow-up
colonoscopy. A positive result from the FIT identified patients with CRC with a
positive predictive value of 3.0%, and patients with advanced adenoma with a
positive predictive value of 20.8%. The FIT positive rate was higher during the
first round of testing (9.4%) compared to subsequent rounds (7.4%) (P < .01). The
yield of CRC in patients with a positive result from the first round of the FIT
was 3.7%, and decreased to 1.8% for subsequent rounds (P = .02). CONCLUSIONS: In
a retrospective analysis of patients in a diverse safety-net population who
underwent OC-Light FIT for CRC screening, we found that approximately 3% of
patients with a positive result from a FIT to have CRC and approximately 21% to
have advanced adenoma.
PMID- 29660529
TI - BmSUC1 is essential for glycometabolism modulation in the silkworm, Bombyx mori.
AB - Sucrose is the most commonly transported sugar in plants and is easily
assimilated by insects to fulfill the requirement of physiological metabolism.
BmSuc1 is a novel animal beta-fructofuranosidase (beta-FFase, EC 3.2.1.26)
encoding gene that was firstly cloned and identified in silkworm, Bombyx mori.
BmSUC1 was presumed to play an important role in the silkworm-mulberry enzymatic
adaptation system by effectively hydrolyzing sucrose absorbed from mulberry
leaves. However, this has not been proved with direct evidence thus far. In this
study, we investigated sucrose hydrolysis activity in the larval midgut of B.
mori by inhibition tests and found that sucrase activity mainly stemmed from beta
FFase, not alpha-glucosidase. Next, we performed shRNA-mediated transgenic RNAi
to analyze the growth characteristics of silkworm larvae and variations in
glycometabolism in vivo in transgenic silkworms. The results showed that in the
RNAi-BmSuc1 transgenic line, larval development was delayed, and their body size
was markedly reduced. Finally, the activity of several disaccharidases alone in
the midgut and the sugar distribution, total sugar and glycogen in the midgut,
hemolymph and fat body were then determined and compared. Our results
demonstrated that silencing BmSuc1 significantly reduced glucose and apparently
activated maltase and trehalase in the midgut. Together with a clear decrease in
both glycogen and trehalose in the fat body, we conclude that BmSUC1 acts as an
essential sucrase by directly modulating the degree of sucrose hydrolysis in the
silkworm larval midgut, and insufficient sugar storage in the fat body may be
responsible for larval malnutrition and abnormal petite phenotypes.
PMID- 29660531
TI - Using auto population of X-ray procedure exam type in radiology reports to
decrease reporting errors.
PMID- 29660530
TI - Assessing patterns of T2/T1rho change in grade 1 cartilage lesions of the distal
femur using an angle/layer dependent approach.
AB - PURPOSE: To assess changes in the patterns of T2 and T1rho values within grade 1
cartilage lesions of osteoarthritis (OA) patients compared to healthy controls.
MATERIALS AND METHODS: Twenty healthy knees and 25 OA knees were examined on a 3
T scanner. Areas of signal heterogeneity within the cartilage of the distal femur
were identified using fat suppressed proton density-weighted imagines. T2 and
T1rho values in each OA patient with grade 1 lesions were compared to average T2
and T1rho values of the corresponding areas in healthy subjects. RESULTS: A total
of 28 areas including grade 1 lesion were identified. Compared to normal
cartilage, the majority of grade 1 cartilage lesions demonstrated either no
significant change or a statistically significant increase in both T2 values
(18/28, 64%) and T1rho values (23/28, 82%). Compared to T2, T1rho demonstrated a
greater proportion of statistically significantly higher values in OA patients
than those from the normal controls. However, T2 and T1rho values in grade 1
lesions can be decreased, or demonstrate mixed patterns compared to those in
healthy cartilage. CONCLUSION: Our results suggest that early degenerative
cartilage lesions can demonstrate various patterns of T2 and T1rho changes.
PMID- 29660532
TI - Imaging in testicular epidermoid cysts.
AB - OBJECTIVE: To evaluate the utility of findings on ultrasound and magnetic
resonance imaging (MRI) for the preoperative diagnosis of testicular epidermoid
cysts (TEC). METHODS: The medical records of five patients treated for TEC at our
institution between July 2010 and May 2017 were retrospectively reviewed.
RESULTS: Imaging revealed a target or onion skin appearance on ultrasonography
and MRI. Pathological examinations showed "bread slag-like" materials within the
TEC. Lesions failed to demonstrate enhancement after Gd-DTPA injection.
CONCLUSION: In the cases of TEC in the current study, accurate diagnosis ensured
enucleation of the testicular cyst was performed rather than testicular
resection.
PMID- 29660533
TI - Role of lysophosphatidic acid in the retinal pigment epithelium and
photoreceptors.
AB - The human retina is a complex structure of organised layers of specialised cells
that support the transmission of light signals to the visual cortex. The
outermost layer of the retina, the retinal pigment epithelium (RPE), forms part
of the blood retina barrier and is implicated in many retinal diseases.
Lysophosphatidic acid (LPA) is a bioactive lipid exerting pleiotropic effects in
various cell types, during development, normal physiology and disease. Its
producing enzyme, AUTOTAXIN (ATX), is highly expressed by the pigmented epithelia
of the human eye, including the RPE. Using human pluripotent stem cell (hPSC)
derived retinal cells, we interrogated the role of LPA in the human RPE and
photoreceptors. hPSC-derived RPE cells express and synthesize functional ATX,
which is predominantly secreted apically of the RPE, suggesting it acts in a
paracrine manner to regulate photoreceptor function. In RPE cells, LPA regulates
tight junctions, in a receptor-dependent mechanism, with an increase in OCCLUDIN
and ZONULA OCCLUDENS (ZO)-1 expression at the cell membrane, accompanied by an
increase in the transepithelial resistance of the epithelium. High concentration
of LPA decreases phagocytosis of photoreceptor outer segments by the RPE. In hPSC
derived photoreceptors, LPA induces morphological rearrangements by modulating
the actin myosin cytoskeleton, as evidenced by Myosin Light Chain l membrane
relocation. Collectively, our data suggests an important role of LPA in the
integrity and functionality of the healthy retina and blood retina barrier.
PMID- 29660534
TI - Influence of copper(II) ions on the noncovalent interactions between cytidine-5'
diphosphate or cytidine-5'-triphosphate and biogenic amines putrescine or
spermidine.
AB - Potentiometric and NMR spectroscopic studies of the nucleotide (NucP)/polyamine
(PA) system (where NucP = CDP, CTP, PA = putrescine or spermidine) revealed the
formation of molecular complexes (NucP)(Hx+y)(PA) (where Hx+y = number of
protons; x - from NucP and y - from PA). Their thermodynamic parameters were
determined and the modes of their interactions were proposed. The main reaction
centers were found to be the protonated amine groups of polyamine (positive
centers) and phosphate groups of nucleotide (negative centers). The pH ranges in
which the complex occurs correspond to those of amine protonation and -PO3x-
group deprotonation, which unambiguously confirms the dipole-dipole type of
interaction. In the pH range of total deprotonation of NHx+ groups from the
polyamine, the molecular complexes disappear. The equilibrium and spectroscopic
studies of the ternary systems Cu(II)/NucP/PA evidenced the formation of
Cu(NucP)Hx+y(PA) type coordination compounds and Cu(NucP)?(PA)(Hx) type molecular
complexes with polyamine in the outer coordination sphere. The main sites of
metal ion bonding in the latter species are the phosphate groups of the
nucleotide, while in the coordination compounds - besides the phosphate groups -
also the donor nitrogen atoms from the polyamines. In this paper we have also
quantitatively calculated the effect of metal ions on the formation of the
molecular complexes.
PMID- 29660535
TI - Turf soil enhances treatment efficiency and performance of phenolic wastewater in
an up-flow anaerobic sludge blanket reactor.
AB - Phenols are industrially generated intermediate chemicals found in wastewaters
that are considered a class of environmental priority pollutants. Up-flow
anaerobic sludge blanket (UASB) reactors are used for phenolic wastewater
treatment and exhibit high volume loading capability, favorable granule settling,
and tolerance to impact loads. Use of support materials can promote biological
productivity and accelerate start-up period of UASB. In the present study, turf
soil was used as a support material in a mesophilic UASB reactor for the removal
of phenols in wastewater. During sludge acclimatization (45-96 days), COD and
phenols in the treatments were both reduced by 97%, whereas these contents in the
controls were decreased by 81% and 75%, respectively. The phenol load threshold
for the turf soil UASB reactor was greater (1200 mg/L, the equivalent of COD 3000
mg/L) in comparison with the control UASB reactor (900 mg/L, the equivalent of
COD 2250 mg/L) and the turf soil UASB reactor was also more resistant to shock
loading. Improved sludge settling, shear resistance, and higher biological
activity occurred with the turf soil UASB reactor due to the formation of large
granular sludge (0.6 mm or larger) in higher relative percentages. Granular
sludge size was further enhanced by the colonization of filamentous bacteria on
the irregular surface of the turf soil.
PMID- 29660536
TI - Effect of asymmetric wettability in nanofiber membrane by electrospinning
technique on separation of oil/water emulsion.
AB - Oil/water separation is an important issue in the environmental field because of
increasing worldwide oil pollution. In particular, emulsion under 20 MUm in size
causes a serious problem in water treatment. Thus, development of an effective
oil/water emulsion separation membrane is required. Asymmetric wettability is one
effective technique for emulsion separation due to its directional liquid
transport property. In this research, wettability was controlled by adjusting the
diameter of an electrospun nanofiber for a difference in surface roughness.
Through analysis of the surface structure and contact angle of nanofibers with
various diameters, the contact angles of fibers with different diameters were
increased about 40 degrees to confirm that surface structure affects surface
wettability. Comparison of asymmetric wettability membranes (AwENMs) and a single
membrane (ENM) for oil/water emulsion separation shows that AwENMs perform about
two times faster and reject 10% of emulsion.
PMID- 29660537
TI - Where does the toxicity come from in saponin extract?
AB - Saponin-rich plant extracts contain bioactive natural compounds and have many
applications, e.g. as biopesticides and biosurfactants. The composition of
saponin-rich plant extracts is very diverse, making environmental monitoring
difficult. In this study various ecotoxicity data as well as exposure data have
been collected to explore which compounds in the plant extract are relevant as
plant protection agents and furthermore to clarify which compounds may cause
undesired side-effects due to their toxicity. Hence, we quantified the toxicity
of different fractions (saponins/non-saponins) in the plant extracts on the
aquatic crustacean Daphnia magna and zebrafish (Danio rerio) embryos. In
addition, we tested the toxicity changes during saponin degradation as well. The
results confirm that saponins are responsible for the majority of toxicity (85.1
93.6%) of Quillaja saponaria extract. We, therefore, suggest saponins to be the
main target of saponin-rich plant extracts, for instance in the saponin-based
biopesticide regulation. Furthermore, we suggest that an abundant saponin
fraction, QS-18 from Q. saponaria, can be a key monitoring target to represent
the environmental concentration of the saponins, as it contributes with 26% and
61% of the joint toxicity to D. magna and D. rerio, respectively out of the total
saponins. The degradation products of saponins are 3-7 times less toxic than the
parent compound; therefore the focus should be mainly on the parent compounds.
PMID- 29660538
TI - A modified two-point titration method for the determination of volatile fatty
acids in anaerobic systems.
AB - The volatile fatty acids (VFA) concentration plays important roles in the rapid
start-up and stable operation of anaerobic reactors. It's essential to develop a
simple and accurate method to monitor the VFA concentration in the anaerobic
systems. In present work, a modified two-point titration method was developed to
determine the VFA concentration. The results show that VFA concentration in
standard solutions estimated by the titration method coincided well with that
measured by gas chromatograph, where all relative errors were lower than 5.5%.
Compared with the phosphate, ammonium and sulfide subsystems, the effect of
bicarbonate on the accuracy of the developed method was relatively significant.
When the bicarbonate concentration varied from 0 to 8 mmol/L, the relative errors
increased from 1.2% to 30% for VFA concentration at 1 mmol/L, but were within
2.0% for that at 5 mmol/L. In addition, the VFA composition affected the accuracy
of the titration method to some extent. This developed titration method was
further proved to be effective with practical effluents from a lab-scale
anaerobic reactor under organic shock loadings and an unstable full-scale
anaerobic reactor.
PMID- 29660539
TI - Oxidation of acetone over Co-based catalysts derived from hierarchical layer
hydrotalcite: Influence of Co/Al molar ratios and calcination temperatures.
AB - In order to enhance catalytic performance for acetone, Co-based catalysts
prepared under different Co/Al molar ratios and calcination temperatures have
been studied in this work. The results indicated that the catalytic activities of
the catalysts firstly increased and then decreased with the increase of the Co/Al
molar ratio and decreased with the increase of the calcination temperature. Based
on the catalytic activities, TG/DTA, XRD, TPR and XPS characterization results,
it can be found that catalytic activities of the catalysts with various Co/Al
molar ratios were effected by the good crystallization structure of catalyst
precursor, surface Co3+/Co2+ molar ratio, low temperature reducibility, and
Oads/Olatt molar ratio of the catalysts. Meanwhile, the catalytic activities of
the catalysts with different calcination temperatures depended on the low
temperature reducibility, surface Co3+/Co2+ molar ratio, porous structure and
crystallization structure of the catalyst. Among different synthetic composition,
5:1 CoAlO-300 catalyst (T90% = 225 degrees C) and 5:1 CoAlO-200 catalyst (T90% =
222 degrees C) exhibited the efficient acetone oxidation.
PMID- 29660540
TI - The persistence of a broad range of antibiotics during calve, pig and broiler
manure storage.
AB - After administration to livestock, a large fraction of antibiotics are excreted
unchanged via excreta and can be transferred to agricultural land. For effective
risk assessment a critical factor is to determine which antibiotics can be
expected in the different environmental compartments. After excretion, the first
relevant compartment is manure storage. In the current study, the fate of a broad
scope of antibiotics (n = 46) during manure storage of different livestock
animals (calves, pigs, broilers) was investigated. Manure samples were fortified
with antibiotics and incubated during 24 days. Analysis was carried out by LC-MS.
The dissipation of the antibiotics was modelled based on the recommendations of
FOCUS working group. Sulphonamides relatively quickly dissipate in all manure
types, with a DT90 of in general between 0.2 and 30 days. Tetracyclines (DT90 up
to 422 days), quinolones (DT90 100-5800 days), macrolides (DT90 18-1000 days),
lincosamides (DT90 135-1400 days) and pleuromutilins (DT90 of 49-1100 days) are
in general much more persistent, but rates depend on the manure type.
Specifically lincomycin, pirlimycin, tiamulin and most quinolones are very
persistent in manure with more than 10% of the native compound remaining after a
year in most manure types. For all compounds tested in the sub-set, except the
macrolides, the dissipation was an abiotic process. Based on the persistence and
current frequency of use, oxytetracycline, doxycycline, flumequine and tilmicosin
can be expected to end up in environmental compartments. Ecotoxicological data
should be used to further prioritize these compounds.
PMID- 29660541
TI - How to reconcile wood production and biodiversity conservation? The Pan-European
boreal forest history gradient as an "experiment".
AB - There are currently competing demands on Europe's forests and the finite
resources and services that they can offer. Forestry intensification that aims at
mitigating climate change and biodiversity conservation is one example. Whether
or not these two objectives compete can be evaluated by comparative studies of
forest landscapes with different histories. We test the hypothesis that
indicators of wood production and biodiversity conservation are inversely related
in a gradient of long to short forestry intensification histories. Forest
management data containing stand age, volume and tree species were used to model
the opportunity for wood production and biodiversity conservation in five north
European forest regions representing a gradient in landscape history from very
long in the West and short in the East. Wood production indicators captured the
supply of coniferous wood and total biomass, as well as current accessibility by
transport infrastructure. Biodiversity conservation indicators were based on
modelling habitat network functionality for focal bird species dependent on
different combinations of stand age and tree species composition representing
naturally dynamic forests. In each region we randomly sampled 25 individual 100
km2 areas with contiguous forest cover. Regarding wood production, Sweden's
Bergslagen region had the largest areas of coniferous wood, followed by Vitebsk
in Belarus and Zemgale in Latvia. NW Russia's case study regions in Pskov and
Komi had the lowest values, except for the biomass indicator. The addition of
forest accessibility for transportation made the Belarusian and Swedish study
region most suitable for wood and biomass production, followed by Latvia and two
study regions in NW Russian. Regarding biodiversity conservation, the overall
rank among regions was opposite. Mixed and deciduous habitats were functional in
Russia, Belarus and Latvia. Old Scots pine and Norway spruce habitats were only
functional in Komi. Thus, different regional forest histories provide different
challenges in terms of satisfying both wood production and biodiversity
conservation objectives in a forest management unit. These regional differences
in northern Europe create opportunities for exchanging experiences among
different regional contexts about how to achieve both objectives. We discuss this
in the context of land-sharing versus land-sparing.
PMID- 29660542
TI - Time to stop mucking around? Impacts of underwater photography on cryptobenthic
fauna found in soft sediment habitats.
AB - Scuba diving tourism is a sustainable source of income for many coastal
communities, but can have negative environmental impacts if not managed
effectively. Diving on soft sediment habitats, typically referred to as 'muck
diving', is a growing multi-million dollar industry with a strong focus on
photographing cryptobenthic fauna. We assessed how the environmental impacts of
scuba divers are affected by the activity they are engaged in while diving and
the habitat they dive in. To do this, we observed 66 divers on coral reefs and
soft sediment habitats in Indonesia and the Philippines. We found diver activity,
specifically interacting with and photographing fauna, causes greater
environmental disturbances than effects caused by certification level, gender,
dive experience or age. Divers touched the substrate more often while diving on
soft sediment habitats than on coral reefs, but this did not result in greater
environmental damage on soft sediment sites. Divers had a higher impact on the
substrate and touch animals more frequently when observing or photographing
cryptobenthic fauna. When using dSLR-cameras, divers spent up to five times
longer interacting with fauna. With the unknown, long-term impacts on
cryptobenthic fauna or soft sediment habitats, and the increasing popularity of
underwater photography, we argue for the introduction of a muck diving code of
conduct.
PMID- 29660543
TI - Scalp cooling successfully prevents alopecia in breast cancer patients undergoing
anthracycline/taxane-based chemotherapy.
AB - INTRODUCTION: Chemotherapy for breast cancer induces alopecia, representing a
major source of patient distress. This study assesses whether a scalp-cooling
device is effective in reducing chemotherapy-induced alopecia, and assesses
adverse treatment effects. MATERIALS AND METHODS: A prospective observational
study including women with breast cancer undergoing chemotherapy and scalp
cooling using a Paxman device. The primary efficacy end points were: successful
hair preservation (no hair loss; <30% hair loss not requiring a wig; or <50% hair
loss not requiring a wig) at the completion of chemotherapy. Secondary end points
included adverse effects such as headache, pain, nausea or dizziness. RESULTS:
The study enrolled 131 participants. Mean patient age was 49.8 years; 74%
received anthracycline/taxane-based chemotherapy and 26% received taxane
monotherapy based chemotherapy. Hair preservation was successful in 102 women who
underwent scalp cooling (71.0%; 95% CI = 63-79%). Only adverse events related to
device use were collected, representing 7% (95% CI = 3-11%) of cases.
CONCLUSIONS: Scalp cooling is effective in preventing hair loss among breast
cancer patients undergoing standard chemotherapy treatment, and has minimal
adverse effects.
PMID- 29660544
TI - Visible and near infrared spectroscopy as an authentication tool: Preliminary
investigation of the prediction of the ageing time of beef steaks.
AB - Visible-near infrared spectroscopy (Vis-NIRS) has been suggested to have
potential for authentication of food products. The aim of the present preliminary
study was to assess if this technology can be used to authenticate the ageing
time (3, 7, 14 and 21 days post mortem) of beef steaks from three different
muscles (M. Longissimus thoracis, M. Gluteus medius and M. Semitendinosus).
Various mathematical pre-treatments were applied to the spectra to correct
scattering and overlapping effects, and then partial least squares-discrimination
analysis (PLS-DA) procedures applied. The best models were specific for each
muscle, and the ability of prediction of ageing time was validated using full
(leave-one-out) cross-validation, whereas authentication performance was
evaluated using the parameters of sensitivity, specificity and overall correct
classification. The results indicate that overall correct classification ranging
from 94.2 to 100% was achieved, depending on the muscle. In conclusion, Vis-NIRS
technology seems a valid tool for the authentication of ageing time of beef
steaks.
PMID- 29660545
TI - The effect of packaging on consumer eating quality of beef.
AB - This experiment examined 3 packaging systems: overwrap packaging using oxygen
permeable film (OWP); vacuum skin packaging (VSP) and modified atmosphere
packaging (MAP, 80%O2 and 20%CO2) on consumer sensory. Three primals from 48
carcasses were aged in vacuum packs for 5, 12 or 40 days. Steaks from longissimus
lumborum, gluteus medius and psoas major muscles were packed in OWP, VSP and MAP
for 9 days. Untrained consumers scored grilled steaks for tenderness, juiciness,
liking of flavour and overall acceptability. Steaks in MAP had 10-12 points lower
sensory scores (on a 100 point scale) compared to the OWP, or VSP systems (P <
0.001). The packaging effect was independent of days aging and muscle. It was
concluded that high oxygen MAP has the potential to be included as an input
variable in the Meat Standards Australia beef grading model. This would be
contingent upon research into when the MAP effect occurred and the effect of
using different gas mixtures on eating quality.
PMID- 29660546
TI - Structure and gene cluster of the O-antigen of Escherichia coli O54.
AB - Mild acid hydrolysis of the lipopolysaccharide of Escherichia coli O54 afforded
an O-polysaccharide, which was studied by sugar analysis, solvolysis with
anhydrous trifluoroacetic acid, and 1H and 13C NMR spectroscopy. Solvolysis
cleaved predominantly the linkage of beta-d-Ribf and, to a lesser extent, that of
beta-d-GlcpNAc, whereas the other linkages, including the linkage of alpha-l
Rhap, were stable under selected conditions (40 degrees C, 5 h). The following
structure of the O-polysaccharide was established: ->4)-alpha-d-GalpA-(1 -> 2)
alpha-l-Rhap-(1 -> 2)-beta-d-Ribf-(1 -> 4)-beta-d-Galp-(1 -> 3)-beta-d-GlcpNAc-(1
> The O-antigen gene cluster of E. coli O54 was analyzed and found to be
consistent in general with the O-polysaccharide structure established but there
were two exceptions: i) in the cluster, there were genes for phosphoserine
phosphatase and serine transferase, which have no apparent role in the O
polysaccharide synthesis, and ii) no ribofuranosyltransferase gene was present in
the cluster. Both uncommon features are shared by some other enteric bacteria.
PMID- 29660547
TI - Surgical Treatment of Large or Giant Fusiform Middle Cerebral Artery Aneurysms: A
Case Series.
AB - BACKGROUND: Management of large or giant fusiform middle cerebral artery (MCA)
aneurysms represents a significant challenge. OBJECTIVE: To describe the authors'
experience in the treatment of large or giant fusiform MCA aneurysm by using
various surgical techniques. METHODS: We retrospectively reviewed a database of
aneurysms treated at our division between 2015 and 2017. RESULTS: Overall, 20
patients (11 males, 9 females) were identified, with a mean age of 40.7 years
(range, 13-65 years; median, 43 years). Six patients (30%) had ruptured aneurysms
and 14 (70%) had unruptured aneurysms. The mean aneurysm size was 19 mm (range,
10-35 mm). The aneurysms involved the prebifurcation in 5 cases, bifurcation in 4
cases, and postbifurcation in 11 cases. The aneurysms were treated by clip
reconstruction (n = 5), clip wrapping (n = 1), proximal occlusion or trapping (n
= 4), and bypass revascularization (n = 10). Bypasses included 7 low-flow
superficial temporal artery-MCA bypasses, 2 high-flow extracranial-intracranial
bypasses, and 1 intracranial-intracranial bypass (reanastomosis). Bypass patency
was 90%. Nineteen aneurysms (95%) were completely obliterated, and no
rehemorrhage occurred during follow-up. There was no procedural-related
mortality. Clinical outcomes were good (modified Rankin Scale score <=2) in 18 of
20 patients (90%) at the last follow-up. CONCLUSIONS: Surgical treatment strategy
for large or giant fusiform MCA aneurysms should be determined on an individual
basis, based on aneurysm morphology, location, size, and clinical status.
Favorable outcomes can be achieved by various surgical techniques, including clip
reconstruction, wrap clipping, aneurysm trapping, aneurysm excision followed by
reanastomosis, and partial trapping with bypass revascularization.
PMID- 29660548
TI - How to Deal with the Empty Space After Organ Removal for Transplantation: A
Single Medical Center Experience.
AB - OBJECTIVE: Dealing with the empty space after organ removal for transplantation
has not been investigated. METHODS: From January 28, 2005, to November 21, 2017,
111 organ donors were enrolled in this study. They were divided into 3 groups: no
replacement, replaced with paper printed with organ graphics, or replaced with 3
dimensional (3D) printed simulated organs. The organs were removed at different
periods. The donor's age, gender, etiology of admission, characteristics,
clinical pictures, time interval between admission and date of donation, and time
interval between donor coordinator consultations were evaluated. RESULTS: A total
of 82 men and 29 women with mean age of 43 +/- 15.1 years were enrolled. Overall,
329 organs and 126 corneas were transplanted. The major causes of brain death
were traumatic brain injury (44.1%) and cerebrovascular disease (32.4%). Twelve
donors initially presented with out-of-hospital cardiac arrest. Ten patients with
solid cancers and 3 with septic shock donated both of their corneas. The mean
time interval between donor coordinator and social worker consultation to organ
donation was 3 (2-5 days) (median [interquartile range]). Periods I and II
averaged 7-8 donors per year. Fourteen donors and 41 organs were replaced with 3D
printed simulated organs at the families' request in 1 year. CONCLUSIONS: This is
the first study to provide a replacement method dealing with the empty space
after organ removal. We used 3D-printed simulated organs in addition to providing
grief assistance and spiritual support. It also has the potential effect of
increasing the organ donation rate.
PMID- 29660549
TI - Perineural Spread Along Spinal and Obturator Nerves in Primary Vaginal Carcinoma:
A Case Report.
AB - BACKGROUND: Perineural spread is not an uncommon feature in carcinoma of the head
and neck. On the contrary, perineural spread in pelvic malignancies has been
rarely reported. This is the first report on perineural spread of the obturator
nerve and the lumbosacral plexus from primary vaginal cancer. CASE DESCRIPTION: A
50-year-old woman diagnosed with infectious spondylitis at an outside hospital
was referred to our institution. She presented with persistent lower back pain
and right anterior thigh pain. Magnetic resonance imaging and subsequent 18F
fluorodeoxyglucose-positron emission tomography/computed tomography revealed
primary vaginal cancer with metastatic lymphadenopathy and perineural spread of
the lumbosacral plexus, including L3, L4 nerve roots and branches, and obturator
nerve along with soft tissue masses in the right psoas and proximal adductor
muscles. CONCLUSIONS: Clinical diagnosis of perineural spread in pelvic
malignancies is difficult to establish, as it has nonspecific symptoms as an
initial manifestation. Clinicians should recognize the possibility of perineural
spread of malignancies if radiologic findings, such as thickening of lumbosacral
nerves and perineural mass, are observed on magnetic resonance imaging. Further
evaluation is necessary to detect possible pelvic malignancy and differentiate
from other diseases.
PMID- 29660550
TI - Mechanism for the Rapid Spontaneous Resolution of an Acute Subdural Hematoma and
Transformation into a Subdural Hygroma.
AB - BACKGROUND: Spontaneous resolution of acute subdural hematomas (SDHs), occurring
as the result of a traumatic injury, is well reported in the literature and
rapidly resolving SDHs have been reported as well. Although the mechanism behind
the rapid resolution of a SDH has not been well understood, there are postulated
mechanisms for this phenomenon. The aim of this report is to present a patient
who experienced the rapid resolution of a traumatic SDH, which was replaced by a
subdural hygroma. CASE DESCRIPTION: This 25-year-old man presented to the
hospital with an acute left-sided SDH after a head-on motor vehicle collision. At
the time of presentation, the patient had an acute SDH with 11 mm of midline
shift. The hematoma resolved spontaneously within 48 hours and was replaced by a
thin subdural hygroma. CONCLUSIONS: Rapidly resolving SDHs represent a phenomenon
that has been well described, although is not entirely understood. The imaging
findings of this patient suggest that cerebrospinal fluid washout is a mechanism
that promotes acute SDH resolution.
PMID- 29660551
TI - Photogrammetry of the Human Brain: A Novel Method for Three-Dimensional
Quantitative Exploration of the Structural Connectivity in Neurosurgery and
Neurosciences.
AB - BACKGROUND: Anatomic awareness of the structural connectivity of the brain is
mandatory for neurosurgeons, to select the most effective approaches for brain
resections. Although standard microdissection is a validated technique to
investigate the different white matter (WM) pathways and to verify the results of
tractography, the possibility of interactive exploration of the specimens and
reliable acquisition of quantitative information has not been described.
Photogrammetry is a well-established technique allowing an accurate metrology on
highly defined three-dimensional (3D) models. The aim of this work is to propose
the application of the photogrammetric technique for supporting the 3D
exploration and the quantitative analysis on the cerebral WM connectivity.
METHODS: The main perisylvian pathways, including the superior longitudinal
fascicle and the arcuate fascicle were exposed using the Klingler technique. The
photogrammetric acquisition followed each dissection step. The point clouds were
registered to a reference magnetic resonance image of the specimen. All the
acquisitions were coregistered into an open-source model. RESULTS: We analyzed 5
steps, including the cortical surface, the short intergyral fibers, the indirect
posterior and anterior superior longitudinal fascicle, and the arcuate fascicle.
The coregistration between the magnetic resonance imaging mesh and the point
clouds models was highly accurate. Multiple measures of distances between
specific cortical landmarks and WM tracts were collected on the photogrammetric
model. CONCLUSIONS: Photogrammetry allows an accurate 3D reproduction of WM
anatomy and the acquisition of unlimited quantitative data directly on the real
specimen during the postdissection analysis. These results open many new
promising neuroscientific and educational perspectives and also optimize the
quality of neurosurgical treatments.
PMID- 29660552
TI - Cervical Spine and Cord Angle Mismatch in the Pathogenesis of Myelopathy.
AB - BACKGROUND: Cervical myelopathy is a complex pathology and dynamic compression of
the tethered cervical cord, which may be responsible for clinical symptoms.
METHODS: Patients with cervical canal stenosis who had magnetic resonance imaging
in flexion and extension positions were retrospectively reviewed. All cases were
evaluated in Nurick grade. The cervical parameters-cervical cord (CC) angle,
cervical lordosis, and spine/cord (S/C) angle ratio-were measured on the magnetic
resonance imaging. Mean values of these parameters were compared between
nonmyelopathic (Nurick grade 0) and myelopathic groups (Nurick grades 1-5). A
multinomial ordinal logistic regression was used to predict outcome for Nurick
grade using the CC angle, the cervical lordosis angle, and the S/C angle ratio as
independent variables. RESULTS: A total of 65 patients (35 men) with the mean age
of 58.6 +/- 11.4 years were analyzed. A comparison of means between Nurick grade
0 against each of myelopathic grades 1-5 revealed significant differences only
for the S/C angle ratio. A cumulative comparison between nonmyelopathic and
myelopathic grades for the S/C angle ratio showed significant difference of 0.29
(1.16 +/- 0.5 vs. 1.45 +/- 0.6, respectively; P < 0.05). Cumulative comparison
for the CC angle difference in flexion and extension lordosis did not show
substantial differences. The S/C angle ratio was the only significant parameter
in the prediction of the Nurick grade with an odds ratio of 2.63 (95% confidence
interval 2.11-2.79). CONCLUSIONS: A positive correlation between Nurick grade and
cervical spine and cord angle mismatch was found.
PMID- 29660553
TI - A Novel Targeted Foraminoplasty Device Improves the Efficacy and Safety of
Foraminoplasty in Percutaneous Endoscopic Lumbar Discectomy: Preliminary Clinical
Application of 70 Cases.
AB - OBJECTIVE: Percutaneous endoscopic lumbar discectomy (PELD) has become a mature
and mainstream surgical technique for treating lumbar disc herniation (LDH);
however, there is a steep learning curve with PELD, especially for puncture and
foraminoplasty. In this study, we assessed the outcome and safety of a novel
quantificational and targeted foraminoplasty device named ZESSYS for LDH.
METHODS: From September to December of 2016, 70 patients with symptomatic LDH
were enrolled in the study. The patients were assigned randomly to either the
ZESSYS group or the conventional TESSYS group. We recorded the cannula
introduction time, decompression time, radiation exposure time, intraoperative
pain feeling score, visual analog scale, Oswestry Disability Index, and Macnab
criteria score of the 2 groups. The mean follow-up period was 14 months. RESULTS:
The average cannula introduction time (16.50 +/- 3.29 minutes) and the radiation
exposure time (40.71 +/- 6.23 seconds) in the ZESSYS group were significantly
reduced compared with the TESSYS group (cannula introduction time, 20.06 +/- 3.37
minutes, P = 0.000; radiation exposure time, 49.20 +/- 7.84 seconds, P = 0.000).
Intraoperative pain feeling score in the ZESSYS group was significantly improved
compared with the TESSYS group (P = 0.021). There were no significant differences
between the 2 groups for decompression time (P = 0.617), Macnab criteria (P =
0.769), or visual analog scale and Oswestry Disability Index scores at the same
time point (P > 0.05). No serious complication was observed in any patients in
either group. CONCLUSIONS: The novel targeted foraminoplasty technique with the
specially designed double-cannulas is an effective and safe treatment for lumbar
intervertebral disc herniation. It reduces the difficulty of PELD learning,
minimizes radiation exposure, and decreases intraoperative pain associated with
foraminoplasty.
PMID- 29660554
TI - Effect of Early Brain Infarction After Subarachnoid Hemorrhage: A Systematic
Review and Meta-Analysis.
AB - OBJECTIVE: Aneurysmal subarachnoid hemorrhage (aSAH) is an acute cerebrovascular
event that leads to devastating consequences. Early brain infarction (EBI)
develops very early, within the first 72 hours after bleeding, and may have a
significant impact on long-term outcomes. The incidence and impact of EBI in the
prognosis of aSAH remain uncertain. We performed a systematic review and meta
analysis to evaluate the incidence of EBI in patients with aSAH and determine its
effect on mortality and functional outcomes. METHODS: We performed a systematic
review and meta-analysis. Inclusion criteria were 1) studies that evaluated aSAH
within 72 hours after bleeding; 2) performed a brain imaging study up to 72 hours
of hemorrhage; 3) used computed tomography or magnetic resonance imaging; and 4)
included a description of the findings of the brain imaging study (whether or not
an infarct was present). RESULTS: Ten studies that met the criteria were
included. The incidence of EBI was 17%. The risk ratio for 3-month mortality was
2.18 (95% confidence interval 1.48-3.30). The overall risk ratio for poor outcome
was 2.26 (95% confidence interval 1.75-2.93). CONCLUSIONS: EBI plays an important
role in the outcome of patients with aSAH. Its significant impact could represent
a new therapeutic frontier for improving outcomes of these patients.
PMID- 29660555
TI - Rare Hereditary Abnormal Bone Hyperplasia and Ossification of the Yellow Ligament
Complicated by Thoracic Spinal Stenosis.
AB - We report a rare case of familial inherited abnormal bone hyperplasia and
ossification of the yellow ligament complicated by spinal stenosis. Complete
reconstruction of stability and spinal cord decompression were achieved by
posterior total laminectomy, fusion, and internal fixation. We cannot clearly
describe the inheritance characteristics of the disease. Although the risk of
surgical treatment is high, it is still necessary to perform surgery, and the
effect of the operation is substantial.
PMID- 29660556
TI - Comparative analysis of Ancylostoma ceylanicum mitochondrial genome with other
Ancylostoma species.
AB - Ancylostoma ceylanicum may inhabit the small intestine of canids, felids and
humans, can pose a potential risk to public health. This study is the first time
to amplify complete mitochondrial genome sequence of A. ceylanicum from dog and
to compare it with Ancylostoma tubaeforme, Ancylostoma duodenale and Ancylostoma
caninum. The results showed that the complete mitochondrial genome of A.
ceylanicum was 13,660 bp in length, including 12 protein-coding genes, 2 rRNA
genes and 22 tRNA genes and 3 non-coding regions (AT-rich region, SNCR and LNCR).
Its mtDNA was the shortest, biased toward A and T at base composition, and higher
than other three Ancylostoma species at total AT content. Its nad5 and nad6 genes
used TTG and ATT as initiation codons, while other three Ancylostoma species used
ATT and GTG or ATG. The 22 tRNA genes were different in length among four
Ancylostoma species, but their anticodons were the same. Among 12 protein-coding
genes, the cox1 gene was the lowest at AT content and minimum at Ka/Ks while the
nad2 gene was the opposite. The phylogenetic tree showed that in the lineage of
Ancylostoma, A. ceylanicum occurred on a branch external to other three
Ancylostoma species, and A. caninum and A. tubaeforme had closer phylogenetic
relationship than A. duodenale. This study not only enhances the mitochondrial
genome database of Ancylostomatidae nematodes, but also provides new data for
further phylogenetic studies among Ancylostomatidae nematodes.
PMID- 29660557
TI - Theileria lestoquardi in Sudan is highly diverse and genetically distinct from
that in Oman.
AB - Malignant ovine theileriosis is a severe tick-borne protozoan disease of sheep
and other small ruminants which is widespread in sub-Saharan Africa and the
Middle East. The disease is of considerable economic importance in Sudan as the
export of livestock provides a major contribution to the gross domestic product
of this country. Molecular surveys have demonstrated a high prevalence of sub
clinical infections of Theileria lestoquardi, the causative agent, among small
ruminants. No information is currently available on the extent of genetic
diversity and genetic exchange among parasites in different areas of the country.
The present study used a panel of T. lestoquardi specific micro- and mini
satellite genetic markers to assess diversity of parasites in Sudan (Africa) and
compared it to that of the parasite population in Oman (Asia). A moderate level
of genetic diversity was observed among parasites in Sudan, similar to that
previously documented among parasites in Oman. However, a higher level of mixed
genotype infection was identified in Sudanese animals compared to Omani animals,
consistent with a higher rate of tick transmission. In addition, the T.
lestoquardi genotypes detected in these two countries form genetically distinct
groups. The results of this work highlight the need for analysis of T.
lestoquardi populations in other endemic areas in the region to inform on novel
approaches for controlling malignant theileriosis.
PMID- 29660558
TI - Multilocus sequence analysis of Borrelia burgdorferi sensu lato isolates from
Western Siberia, Russia and Northern Mongolia.
AB - Lyme borreliosis (LB) is the most frequently recorded tick-transmitted disease in
Eurasia. Tomsk Province, Western Siberia in Russia and Selenge Aimag in Northern
Mongolia are leading regions in the LB incidence rate in these countries.
Spirochaetes of the Borrelia burgdorferi sensu lato (s.l.) complex isolated from
Ixodes ticks from Tomsk Province (n = 56) and Ixodes persulcatus ticks from
Selenge Aimag (n = 5) were genetically characterized using Multi Locus Sequence
Typing (MLST), analysis of the 5S23S rRNA intergenic spacer (IGS) amplicons, and
p83/100 gene sequencing. According to MLST, B. afzelii (n = 26), B. bavariensis
(n = 23), B. garinii (n = 11), and B. valaisiana (n = 1) isolates were detected
in Tomsk Province, while B. afzelii and B. bavariensis isolates were identified
in Selenge Aimag. Of the 32 revealed sequence types (ST), 21 STs were new and 14
of the new STs belonged to B. afzelii. Several STs of B. afzelii, B. garinii and
B. valaisiana identified in this study clustered with European STs found in I.
ricinus ticks. Analysis of the 5S23S IGS demonstrated that the studied Borrelia
strains showed RFLP pattern characteristic for the following 5S23S IGS types:
VS461 (B. afselii), NT29 (B. bavariensis), 20047 (B. bavariensis and B. garinii),
VS116 (B. valaisiana), and three new groups (B. afzelii and B. bavariensis).
Notably, this is the first report of Asian B. bavariensis possessing a 5S23S IGS
RFLP pattern identical to 20047, and analysis of the 5S23S IGS did not provide
correct determination of Borrelia species occurring in Asia. Genotyping of
Borrelia strains using the clpA, pepX, and p83/100 genes demonstrated the same
result as genotyping based on MLST; and further investigations are required to
confirm that these three genetic loci could be used for determination of
bacterial species from the B. burgdorferi s.l. complex because data based on
single loci may be misleading.
PMID- 29660559
TI - Potassium dynamics and seizures: Why is potassium ictogenic?
AB - Potassium channels dysfunction and altered genes encoding for molecules involved
in potassium homeostasis have been associated with human epilepsy. These
observations are in agreement with a control role of extracellular potassium on
neuronal excitability and seizure generation. Epileptiform activity, in turn,
regulates potassium homeostasis through mechanisms that are still not well
established. We review here how potassium-associated processes are regulated in
the brain and examine the mechanisms that support the role of potassium in
triggering epileptiform activities.
PMID- 29660560
TI - Phloem physics: mechanisms, constraints, and perspectives.
AB - Plants have evolved specialized vascular tissues for the distribution of energy,
water, nutrients, and for communication. The phloem transports sugars from
photosynthetic source regions (e.g. mature leaves) to sugar sinks (e.g.
developing tissues such as buds, flowers, roots). Moreover, chemical signals such
as hormones, RNAs and proteins also move in the phloem. Basic physical processes
strongly limit phloem anatomy and function. This paper provides an overview of
recent research and perspectives on phloem biomechanics and the physical
constraints relevant to sugar transport in plants.
PMID- 29660561
TI - Cycling injury risk in London: A case-control study exploring the impact of cycle
volumes, motor vehicle volumes, and road characteristics including speed limits.
AB - Cycling injury risk is an important topic, but few studies explore cycling risk
in relation to exposure. This is largely because of a lack of exposure data, in
other words how much cycling is done at different locations. This paper helps to
fill this gap. It reports a case-control study of cycling injuries in London in
2013-2014, using modelled cyclist flow data alongside datasets covering some
characteristics of the London route network. A multilevel binary logistic
regression model is used to investigate factors associated with injury risk,
comparing injury sites with control sites selected using the modelled flow data.
Findings provide support for 'safety in numbers': for each increase of a natural
logarithmic unit (2.71828) in cycling flows, an 18% decrease in injury odds was
found. Conversely, increased motor traffic volume is associated with higher odds
of cycling injury, with one logarithmic unit increase associated with a 31%
increase in injury odds. Twenty-mile per hour compared with 30mph speed limits
were associated with 21% lower injury odds. Residential streets were associated
with reduced injury odds, and junctions with substantially higher injury odds.
Bus lanes do not affect injury odds once other factors are controlled for. These
data suggest that speed limits of 20 mph may reduce cycling injury risk, as may
motor traffic reduction. Further, building cycle routes that generate new cycle
trips should generate 'safety in numbers' benefits.
PMID- 29660562
TI - Hebecarposides A-K, antiproliferative lanostane-type triterpene glycosides from
the leaves of Lyonia ovalifolia var. hebecarpa.
AB - Eleven previously undescribed lanostane-type triterpene glycosides,
hebecarposides A-K, were isolated from the leaves of Lyonia ovalifolia var.
hebecarpa (Ericaceae), along with two known analogues, lyonifolosides L and O.
The structures of hebecarposides A-K were established by extensive spectroscopic
analysis and chemical methods, and the absolute configuration of C-24 in
hebecarposides A and E was determined to be S and R, respectively, by a Mo2(OAc)4
induced electronic circular dichroism method. This is the first report of the
presence of lanostane-type triterpene glycosides in L. ovalifolia var. hebecarpa.
All compounds were evaluated for their antiproliferative activities against five
cancer cell lines, SMMC-7721, HL-60, SW480, MCF-7, and A-549, and a normal
epithelial cell line BEAS-2B, and none of them showed general cytotoxity to the
normal cell line BEAS-2B. Interestingly, hebecarposides C, D, G, and K
selectively inhibited the proliferation of HL-60 and SMMC-7721 cell lines, and
hebecarposides C and D showed significant anti-proliferative activities against A
549 cell lines than the positive control, cis-platin. In addition, hebecarposides
C and H exhibited more potent anti-proliferative activities against MCF-7 than
cis-platin.
PMID- 29660564
TI - Closing the gap: A whole of school approach to Aboriginal and Torres Strait
Islander inclusivity in higher education.
AB - The recruitment and retention of Aboriginal and Torres Strait Islander nurses and
midwives is key to the delivery of culturally appropriate health care and
improving the health outcomes of Aboriginal and Torres Strait Islander people. A
working group to address inclusion and engagement of Aboriginal and Torres Strait
Islander students at a West Australian University has impacted on the curricula,
faculty staff and Aboriginal and Torres Strait Islander students within the
School of Nursing and Midwifery. The University's Reconciliation Action Plan was
the foundation and catalysed the group to promote cultural safety education for
staff and provide inclusive activities for Aboriginal and Torres Strait Islander
students. A conceptual framework was developed to demonstrate the action taken
within the School of Nursing and Midwifery to address the inequity for Aboriginal
and Torres Strait Islander students that could be seen to exist. Through
collaboration with staff and students, both Aboriginal and Torres Strait Islander
people and non-Aboriginal people, a shared understanding and goal setting has
developed to enable positive action to provide ongoing support for these students
at all parts of their journey in higher education. This paper will highlight the
process involved to promote the recruitment, retention and academic success of
these students.
PMID- 29660563
TI - Exploring the functional nature of synaesthetic colour: Dissociations from colour
perception and imagery.
AB - Individuals with grapheme-colour synaesthesia experience anomalous colours when
reading achromatic text. These unusual experiences have been said to resemble
'normal' colour perception or colour imagery, but studying the nature of
synaesthesia remains difficult. In the present study, we report novel evidence
that synaesthetic colour impacts conscious vision in a way that is different from
both colour perception and imagery. Presenting 'normal' colour prior to binocular
rivalry induces a location-dependent suppressive bias reflecting local
habituation. By contrast, a grapheme that evokes synaesthetic colour induces a
facilitatory bias reflecting priming that is not constrained to the inducing
grapheme's location. This priming does not occur in non-synaesthetes and does not
result from response bias. It is sensitive to diversion of visual attention away
from the grapheme, but resistant to sensory perturbation, reflecting a reliance
on cognitive rather than sensory mechanisms. Whereas colour imagery in non
synaesthetes causes local priming that relies on the locus of imagined colour,
imagery in synaesthetes caused global priming not dependent on the locus of
imagery. These data suggest a unique psychophysical profile of high-level colour
processing in synaesthetes. Our novel findings and method will be critical to
testing theories of synaesthesia and visual awareness.
PMID- 29660565
TI - Undergraduate student nurses' attitudes towards using social media websites: A
study from Jordan.
AB - BACKGROUND: The use of social media by university students is recognized
worldwide. Student nurses are no exception, yet no studies have been reported to
investigate the nurse students' use and views of social media in Jordan. The
current study aims to assess the validity and reliability of an Arabic version of
the modified Students Nurses' Use and Views of Social Media survey. METHODS: In a
cross-sectional study conducted on 395 student nurses aged 19-39 enrolled at two
universities (one public and one private) in north Jordan, information on their
use and attitude to social media was obtained using the Arabic version of the
Students Nurses' Use and Views of Social Media survey. Exploratory factor
analysis and correlation matrices were conducted to assess the validity and
reliability of the tool. RESULTS: The Arabic version of the tool had a 0.84 alpha
of internal consistency indicating a high level of reliability. The scale showed
multi-dimensionality with items loading on two factors. CONCLUSION: The Arabic
version of the Students Nurses' Use and Views of Social Media questionnaire
demonstrated initial reliability and validity. This study reports positive
attitudes of Jordanian student nurses towards professional and academic use of
social media.
PMID- 29660566
TI - Extrapleural solitary fibrous tumor: A distinct entity from pleural solitary
fibrous tumor. An update on clinical, molecular and diagnostic features.
AB - Solitary fibrous tumor (SFT) is a mesenchymal neoplasm that was originally
described to be localized in the pleura, but thereafter, this has been reported
in several anatomic sites. Although the etiology of the neoplasm remains largely
unknown, the pathogenesis seems to be related to an NAB2-STAT6 fusion gene due to
paracentric inversion on chromosome 12q13. The diagnosis of extrapleural SFT is
challenging, owing to its rarity, and requires an integrated approach that
includes specific clinical, histological, immunohistochemical, and even molecular
findings. Histologically, extrapleural SFT shares morphological features same as
those of the pleural SFT because it is characterized by a patternless
distribution of both oval- and spindle-shaped cells in a variable collagen
stroma. In addition, morphological variants of mixoid, fat-forming, and giant
cell-rich tumors are described. A correct diagnosis is mandatory for a proper
therapy and management of the patients with extrapleural SFT, as extrapleural SFT
is usually more aggressive than pleural form, particularly cases occurring in the
mediastinum, retroperitoneum, pelvis, and meninges. Although SFT is usually
considered as a clinically indolent neoplasm, the prognosis is substantially
unpredictable and only partially related to morphological features. In this
context, cellularity, neoplastic borders, cellular atypias, and mitotic activity
can show a wide range of variability. We review extrapleural SFT by discussing
diagnostic clues, differential diagnosis, recent molecular findings, and
prognostic factors.
PMID- 29660567
TI - Summary of expression of SPARC protein in cutaneous vascular neoplasms and
mimickers.
AB - BACKGROUND: Serum protein acidic and rich in cysteine (SPARC) is a matricellular
glycoprotein, which regulates cell proliferation and facilitates intracellular
transport of albumin bound particles including chemotherapeutic agents such as
Nab-paclitaxel/ABI-007. Therefore the presence of SPARC may achieve higher intra
tumoral drug concentration with lower dosage and thus reduce systemic side
effects. Several trials of ABI-007, in melanoma, show promising clinical
activity. DESIGN: Fifty-four cases of dermal based neoplasms were retrieved
including 24 angiosarcomas (AS), 10 hemangiomas, 9 nodular melanomas, 4 Kaposi
sarcomas (KS), 3 leiomyosarcomas (LMS), 3 atypical fibroxanthomas (AFX) and 1
spindle cell squamous cell carcinoma (SSCC). SPARC immunohistochemistry (IHC) was
performed with a mouse monoclonal antibody. RESULTS: SPARC expression was
detected in a majority of AS (17/24), melanomas (8/9), AFX (3/3), LMS (3/3) and
KS (4/4) with some expression in hemangiomas (3/10), while being negative in SSCC
(0/1); and was significantly associated with tumor group (p = 0.017). Although a
significant difference in overall survival was observed between SPARC expression
groups (positive vs. negative) for all patients, there was no significant
difference noted among angiosarcoma patients. CONCLUSION: We have confirmed the
presence of SPARC expression in melanoma, KS, LMS and AS and also detected it for
the first time in AFX. Since paclitaxel has shown some effectiveness in AS,
melanoma and KS, ABI-007 could also be beneficial in these patients.
PMID- 29660568
TI - Interdigitating dendritic cell sarcoma: Clinicopathologic study of 8 cases with
review of the literature.
AB - To investigate the clinicopathologic features and differential diagnoses of
interdigitating dendritic cell sarcoma (IDCS), the clinical, morphological and
immunohistochemical features of eight cases of IDCS were collected and analyzed.
Three patients were males and five were females, the mean age and the median age
were 56.5 years and 57 years respectively. Clinically, the majority of cases
involved lymph nodes. Microscopically, neoplastic cells were spindle or ovoid,
forming fascicles or whorls. Every case had active mitosis figures.
Immunohistochemically, these neoplastic cells were consistently positive for
S100, but negative for CD21 and specific B-cell and T-cell associated antigens.
Follow-up results were available in 7 cases, of which 5 cases of localized
lesions survived, 2 cases died of organ involvement. Interdigitating dendritic
cell sarcoma is an extremely rare neoplasm, with inferior prognosis and without
standard treatment regimen. IDCS has similar but unique clinicopathologic
features and the differential diagnoses include other histiocytic and dendritic
cell neoplasms and malignant melanoma.
PMID- 29660569
TI - Analytical insight into "breathing" crack-induced acoustic nonlinearity with an
application to quantitative evaluation of contact cracks.
AB - To characterize fatigue cracks, in the undersized stage in particular, preferably
in a quantitative and precise manner, a two-dimensional (2D) analytical model is
developed for interpreting the modulation mechanism of a "breathing" crack on
guided ultrasonic waves (GUWs). In conjunction with a modal decomposition method
and a variational principle-based algorithm, the model is capable of analytically
depicting the propagating and evanescent waves induced owing to the interaction
of probing GUWs with a "breathing" crack, and further extracting linear and
nonlinear wave features (e.g., reflection, transmission, mode conversion and
contact acoustic nonlinearity (CAN)). With the model, a quantitative correlation
between CAN embodied in acquired GUWs and crack parameters (e.g., location and
severity) is obtained, whereby a set of damage indices is proposed via which the
severity of the crack can be evaluated quantitatively. The evaluation, in
principle, does not entail a benchmarking process against baseline signals. As
validation, the results obtained from the analytical model are compared with
those from finite element simulation, showing good consistency. This has
demonstrated accuracy of the developed analytical model in interpreting contact
crack-induced CAN, and spotlighted its application to quantitative evaluation of
fatigue damage.
PMID- 29660571
TI - Emotional intelligence in patients with posttraumatic stress disorder, borderline
personality disorder and healthy controls.
AB - Emotional intelligence as a part of social cognition has, to our knowledge, never
been investigated in patients with Posttraumatic Stress Disorder (PTSD), though
the disorder is characterized by aspects of emotional dysfunctioning. PTSD often
occurs with Borderline Personality Disorder (BPD) as a common comorbidity.
Studies about social cognition and emotional intelligence in patients with BPD
propose aberrant social cognition, but produced inconsistent results regarding
emotional intelligence. The present study aims to assess emotional intelligence
in patients with PTSD without comorbid BPD, PTSD with comorbid BPD, and BPD
patients without comorbid PTSD, as well as in healthy controls. 71 patients with
PTSD (41 patients with PTSD without comorbid BPD, 30 patients with PTSD with
comorbid BPD), 56 patients with BPD without PTSD, and 63 healthy controls filled
in the Test of Emotional Intelligence (TEMINT). Patients with PTSD without
comorbid BPD showed impairments in emotional intelligence compared to patients
with BPD without PTSD, and compared to healthy controls. These impairments were
not restricted to specific emotions. Patients with BPD did not differ
significantly from healthy controls. This study provides evidence for an impaired
emotional intelligence in PTSD without comorbid BPD compared to BPD and healthy
controls, affecting a wide range of emotions.
PMID- 29660570
TI - The tangled roots of inner speech, voices and delusions.
AB - The role of inner speech in the experience of auditory verbal hallucinations
(AVH) and delusions remains unclear. This exploratory study tested for
differences in inner speech (assessed via self-report questionnaire) between 89
participants with psychosis and 37 non-clinical controls. We also tested for
associations of inner speech with, i) state/trait AVH, ii) AVH-severity; iii)
patients' relations with their voices, and; iv) delusion-severity. Persons with
psychosis had greater levels of dialogic inner speech, other people in inner
speech, and evaluative/motivational inner speech than non-clinical controls.
Those with state, but not trait AVH had greater levels of dialogic and
evaluative/motivational inner speech than non-clinical controls. After
controlling for delusions, there was a positive relation between AVH-severity and
both evaluative/motivational inner speech and other people in inner speech.
Participants with greater levels of dialogic inner speech reported better
relations both with and between their voices. There was no association between
delusion-severity and inner speech. These results highlight the importance of
better understanding relations between inner speech and AVH, provide avenues for
future research, and underscore the need for research into the interrelatedness
of inner speech, voices and delusions, and the complexities involved in
disentangling these experiences.
PMID- 29660572
TI - Differential relationship of jumping-to-conclusions and incorrigibility with
delusion severity.
AB - Reasoning biases such as jumping-to-conclusions (JTC) and incorrigibility have
been suggested to contribute to the generation and maintenance of delusions.
However, it is still debated whether these biases represent stable traits of
patients with delusions, or are related to state fluctuations of delusion
severity. The present study aimed to elucidate this question by combining a cross
sectional with a longitudinal approach. JTC, incorrigibility and delusion
severity were assessed in 79 patients with a history of delusions over a 6-month
period. To allow for a differentiated look into effects of time vs. symptom
changes, patients were divided into patients with (D+) and without (D-) current
delusions at baseline. Significant improvement of delusions was noted in D+ at
follow-up. JTC did not differ between the two patient groups either at baseline
or over time. In contrast, incorrigibility was significantly higher in D+ than D-
at baseline; this difference remained stable throughout the 6-month follow-up
period. The two biases did not significantly co-vary over time. Our results
suggest a dissociation between incorrigibility and JTC as regards their relation
to current presence of delusions, and tentatively support theoretical accounts
attributing different roles to the two biases in the generation (JTC) and
maintenance (incorrigibility) of delusions.
PMID- 29660573
TI - Midwifery in Iceland: From vocational training to university education.
AB - Midwifery education is a foundation for health professionals' competence in
providing quality healthcare for the benefit of women, their families and
society. This paper describes midwifery and the development of midwifery
education in Iceland. It examines policy and extensive reforms, from hospital
based vocational training in midwifery to an academic university education, and
the impact on the scope of midwifery practice in Iceland. The university-based
programme, with its emphasis on autonomy of the midwife, seems to have affected
the context of home birth and strengthened midwives' role in primary healthcare.
Education reform with a focus on evidence-based practice and midwife-led
continuity of care has had limited influence within the hospital system, where
the structure of care is fragmented and childbirth is under threat of increasing
interventions. Research is needed on the role of education in supporting evidence
based practice, normal childbirth and reproductive health in the Icelandic
context.
PMID- 29660574
TI - The effectiveness of learning portfolios in learning participation and learners'
perceptions of skills and confidence in the mother of preterm infant.
AB - OBJECTIVE: The purpose of this study was to investigate the effectiveness of
preterm infant learning portfolios in enabling mothers to develop infant care
knowledge and skills, as well as confidence in their abilities. DESIGN: This
study used a quasi-experimental design. SETTING AND PARTICIPANTS: The sample
consisted of 52 mothers with preterm infants recruited at a neonatal intermediate
unit of a medical centre in central Taiwan. Among those, 26 participants in the
control group received regular health education and 26 participants in the
experimental group received learning portfolios and regular care. INTERVENTION:
The Preterm Infant Care Learning Portfolio (PICLP) is a semi-structured learning
portfolio which was provided by nurses. Intervention started with 15 min of
instructions on how to use PICLP, including a list of learning task and methods
of self-assessment. Follow-up sessions of 5-10 min were conducted after each
learning task. The frequency of learning skills could be adjusted depending on
participants' learning needs. MEASUREMENTS: Self-administered questionnaires
regarding knowledge of and skills in preterm infant care and maternal confidence
were used to evaluate the effectiveness of the intervention; the questionnaires
were conducted before the intervention, 1 day before discharge and 1 month after
discharge. We also tracked the frequency with which participants attended
instructional sessions before discharged. FINDINGS: Mothers' preterm infant care
knowledge and skills and confidence improved in both groups after the
intervention. The experimental group showed greater improvement than the control
group by post-test 2; there was no statistical difference between groups at 1 day
before discharge and 1 month after discharge. However, participants in the
experimental group came for instructional sessions on baby care for more
frequently than the control group. The frequency of learning sessions attended
was a predictor of improved scores of the skill assessment before discharge.
CONCLUSIONS: Both programmes led to improvements in preterm infant care knowledge
and skills and maternal confidence. Giving mothers learning portfolios appears to
stimulate significantly greater participation in hospital-based instructional
programmes, which should in turn lead to greater long-term retention of learning.
The learning portfolios may have an additional benefit in promoting acquisition
of care abilities for mothers with preterm infant before hospital discharge and
application of these abilities at home. IMPLICATION FOR PRACTICE: At-home care
for preterm infants requires specialized care skills and confidence. Learning
portfolios can be used as an effective learner-centred strategy for teaching
these health care abilities.
PMID- 29660575
TI - Development and implementation of a competency-based clinical evaluation tool for
midwifery education.
AB - BACKGROUND: The learning goals and evaluation strategies of competency-based
midwifery programs must be explicit and well-defined. In the US, didactic
learning is evaluated through a standardized certification examination, but
standardized clinical competence evaluation is lacking. DESCRIPTION OF THE TOOL:
The Midwifery Competency Assessment Tool (MCAT) has been adapted from the
International Confederation of Midwives' (ICM) "Essential Competencies" and from
the American College of Nurse-Midwives' (ACNM) "Core Competencies", with student
self-evaluation based on Benner's Novice-to-Expert theory. The MCAT allows for
the measurement and monitoring of competence development in all domains of full
scope practice over the course of the midwifery program. APPLICATION AND
EVALUATION OF THE TOOL: Strengths of the MCAT are that it provides clear learning
goals and performance evaluations for students, ensures and communicates content
mapping across a curriculum, and highlights strengths and gaps in clinical
opportunities at individual clinical sites and for entire programs. Challenges of
the MCAT lie in balancing the number of competency items to be measured with the
tedium of form completion, in ensuring the accuracy of student self-evaluation,
and in determining "adequate" competence achievement when particular clinical
opportunities are limited. CONCLUSIONS: Use of the MCAT with competency-based
clinical education may facilitate a more standardized approach to clinical
evaluation, as well as a more strategic approach to clinical site development and
use.
PMID- 29660576
TI - Built spaces and features associated with user satisfaction in maternity waiting
homes in Malawi.
AB - OBJECTIVE: To assess satisfaction with maternity waiting home built spaces and
features in women who are at risk for underutilizing maternity waiting homes
(i.e. residential facilities that temporarily house near-term pregnant mothers
close to healthcare facilities that provide obstetrical care). Specifically we
wanted to answer the questions: (1) Are built spaces and features associated with
maternity waiting home user satisfaction? (2) Can built spaces and features
designed to improve hygiene, comfort, privacy and function improve maternity
waiting home user satisfaction? And (3) Which built spaces and features are most
important for maternity waiting home user satisfaction? DESIGN: A cross-sectional
study comparing satisfaction with standard and non-standard maternity waiting
home designs. Between December 2016 and February 2017 we surveyed expectant
mothers at two maternity waiting homes that differed in their design of built
spaces and features. We used bivariate analyses to assess if built spaces and
features were associated with satisfaction. We compared ratings of built spaces
and features between the two maternity waiting homes using chi-squares and t
tests to assess if design features to improve hygiene, comfort, privacy and
function were associated with higher satisfaction. We used exploratory robust
regression analysis to examine the relationship between built spaces and features
and maternity waiting home satisfaction. SETTING: Two maternity waiting homes in
Malawi, one that incorporated non-standardized design features to improve
hygiene, comfort, privacy, and function (Kasungu maternity waiting home) and the
other that had a standard maternity waiting home design (Dowa maternity waiting
home). PARTICIPANTS: 322 expectant mothers at risk for underutilizing maternity
waiting homes (i.e. first-time mothers and those with no pregnancy risk factors)
who had stayed at the Kasungu or Dowa maternity waiting homes. FINDINGS: There
were significant differences in ratings of built spaces and features between the
two differently designed maternity waiting homes, with the non-standard design
having higher ratings for: adequacy of toilets, and ratings of heating/cooling,
air and water quality, sanitation, toilets/showers and kitchen facilities,
building maintenance, sleep area, private storage space, comfort level, outdoor
spaces and overall satisfaction (p = <.0001 for all). The final regression model
showed that built spaces and features that are most important for maternity
waiting home user satisfaction are toilets/showers, guardian spaces, safety,
building maintenance, sleep area and private storage space (R2 = 0.28). KEY
CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: The design of maternity waiting home
built spaces and features is associated with user satisfaction in women at risk
for underutilizing maternity waiting homes, especially related to
toilets/showers, guardian spaces, safety, building maintenance, sleep area and
private storage space. Improving maternity waiting home built spaces and features
may offer a promising area for improving maternity waiting home satisfaction and
reducing barriers to maternity waiting home use.
PMID- 29660577
TI - Value and best way for detection of Sentinel lymph node in early stage
endometrial cancer: Selective lymphadenectomy algorithm.
AB - INTRODUCTION: The cornerstone of treatment for endometrial carcinoma is total
abdominal hysterectomy and bilateral salpingo-oophoprectomy. Pelvic
lymphadenectomy, with or without para-aortic lymphadenectomy, plays an important
role in the surgical staging of endometrial carcinoma, and provides more accurate
prognostic information. AIMS: We aimed to evaluate the value and techniques for
SLN mapping in early stage endometrial cancer with low risk for lymph node
metastasis and whether selective or systematic lymphadenectomy is needed
according to the results of proposed algorithm. METHOD: Between June 2016 and
June 2017, 120 patients with early stage endometrial cancer with low risk for
nodal metastasis underwent surgical staging. Patients were classified equally
according to SLN mapping technique used for injecting the methylene blue dye.
Group A included hysteroscopic guided methylene blue injection, Group B included
transcervical injection, Group C included subserosal uterine injection and Group
D included combined transcervical and subserosal injection. Blue lymph nodes and
enlarged suspicious whether stained or non stained ones were dissected for frozen
section evaluation done then bilateral pelvic node dissection was done and
tissues were sent for pathological examination. RESULTS: Clinical and
pathological SLN detection were more with hysteroscopic technique than others and
pathological detection was lower than clinical detection in all techniques.
Metastatic disease was more common in nodes with suspicious appearance. About 10%
of negative suspicious and mapped nodes were associated with positive other nodes
for metastasis. CONCLUSION: SLN in endometrial cancer has a role in staging of
endometrial cancer with best technique for detection, hysteroscopic guided blue
dye injection. SLN can be used in patients with low risk for lymph node
metastasis for selective lymphadenectomy. Blue dye labelling using methylene blue
dye is good in low resource countries as it is cheap. We recommended the
following algorithm for surgical staging in early endometrial cancer with better
results than using SLN alone. Stage I type 1, grade 1,2 endometrial cancer should
undergo surgical staging with initial evaluation of the peritoneum which if
affected, it is stage III with no need for lymphadenectomy. Then, dissection of
the blue and suspicious nodes which if any is positive on frozen section,
selective same side pelvic and paraaortic nodal dissection should be done. If
they are negative, no need for lymphadenectomy. This approach can help patients
to avoid the side effects associated with a complete lymphadenectomy. The higher
rate of detection using this algorithm is related to combining the suspicions
nodes with the stained ones.
PMID- 29660578
TI - Implementing the Risk of Endometrial Malignancy Algorithm (REM) adding obesity as
a predictive factor: Results of REM-B in a single-center survey.
AB - OBJECTIVE: In 2013, our group assessed a risk stratification tool of endometrial
cancer (EC), called REM (Risk of Endometrial Malignancy). A well known risk
factor for EC is body mass index (BMI). In fact, (BMI > 30 and <35 kg/m2) and
severe obesity (BMI > 35 kg/m2) were associated with a 2.6-fold and a 4.7-fold
increase in EC risk, respectively. Therefore, in the present study we aim to
improve the performance of REM, including BMI and developing a new scoring
system, called REM-B (Risk of Endometrial Malignancy score associated to BMI), to
classify patients into high risk or low risk groups for EC. STUDY DESIGN: Women,
between 45 and 80 years, diagnosed with ultrasound endometrial abnormalities and
scheduled to have surgery were enrolled on a prospective study at Department of
Gynaecologic Oncology of Campus Bio-Medico of Rome. Preoperative clinical,
ultrasound and laboratory features were taken into account. RESULTS: A total of
675 patients (88 with EC and 587 with benign endometrial disease) were divided in
training set (TS) and verification set (VS). Age, symptom, BMI, HE4 levels and
ultrasound endometrial thickness were found statistically significant and
included into multivariate logistic regression model in order to determine the
probability to have EC. REM-B showed an overall sensitivity of 94.7% (versus 92%
of REM) and a specificity of 97.4% (versus 96% of REM). CONCLUSIONS: Our data
support the use of REM-B to triage patients into low and high risk of EC, even if
an external validation of model is needed.
PMID- 29660579
TI - The effect of dermal benzophenone-2 administration on immune system activity,
hypothalamic-pituitary-thyroid axis activity and hematological parameters in male
Wistar rats.
AB - Benzophenones used as UV filters, in addition to the effects on the skin, can be
absorbed into the blood and affect the function of certain organs. So far, their
effects on the sex hormone receptors and gonadal function have been studied, but
not much is known about their potential action on other systems. The aim of the
present study was to determine the effect of benzophenone-2 (BP-2) on immune
system activity, hypothalamic-pituitary-thyroid (HPT) axis activity and
hematological parameters. BP-2 was administered dermally, twice daily at a dose
of 100 mg/kg for 4-weeks to male Wistar rats. Immunological and hematological
parameters and HPT axis activity were assayed 24 h after the last administration.
It was found that BP-2 did not change relative weights of the thymus and spleen
and did not exert toxic effect on tymocytes and splenocytes. However, this
compound increased proliferative activity of splenocytes, enhanced metabolic
activity of splenocytes and thymocytes and nitric oxide production of these
cells. In animals exposed to BP-2, the HPT axis activity was increased, as
evidenced by reduction in the thyroid stimulating hormone (TRH) level and
increase in free fraction of triiodothyronine (fT3) and thyroxin (fT4) in blood.
BP-2 had no effect on leukocyte, erythrocyte and platelet counts or on morphology
and hemoglobin content in erythrocytes. The conducted research showed that
dermal, sub-chronic BP-2 administration evoked hyperthyroidism, increased
activity or function of the immune cells but did not affect hematological
parameters. We suggest that topical administration of BP-2 leading to a prolonged
elevated BP-2 level in blood causes hyperthyroidism, which in turn may be
responsible for the increased immune cell activity or function. However, only
future research can explain the mechanism and functional importance of the
changes in thyroid hormones and immunological parameters observed after exposure
to BP-2.
PMID- 29660580
TI - An evaluation of kurtosis beamforming in magnetoencephalography to localize the
epileptogenic zone in drug resistant epilepsy patients.
AB - OBJECTIVE: Kurtosis beamforming is a useful technique for analysing
magnetoencephalograpy (MEG) data containing epileptic spikes. However, the
implementation varies and few studies measure concordance with subsequently
resected areas. We evaluated kurtosis beamforming as a means of localizing spikes
in drug-resistant epilepsy patients. METHODS: We retrospectively applied kurtosis
beamforming to MEG recordings of 22 epilepsy patients that had previously been
analysed using equivalent current dipole (ECD) fitting. Virtual electrodes were
placed in the kurtosis volumetric peaks and visually inspected to select a
candidate source. The candidate sources were compared to the ECD localizations
and resection areas. RESULTS: The kurtosis beamformer produced interpretable
localizations in 18/22 patients, of which the candidate source coincided with the
resection lobe in 9/13 seizure-free patients and in 3/5 patients with persistent
seizures. The sublobar accuracy of the kurtosis beamformer with respect to the
resection zone was higher than ECD (56% and 50%, respectively), however, ECD
resulted in a higher lobar accuracy (75%, 67%). CONCLUSIONS: Kurtosis beamforming
may provide additional value when spikes are not clearly discernible on the
sensors and support ECD localizations when dipoles are scattered. SIGNIFICANCE:
Kurtosis beamforming should be integrated with existing clinical protocols to
assist in localizing the epileptogenic zone.
PMID- 29660581
TI - Metal enhanced fluorescence (MEF) for biosensors: General approaches and a review
of recent developments.
AB - Fluorescence-based biosensor platforms have been intensively investigated not
only to increase the sensitivity but also to improve the performance of
biosensors. By exploiting metal from the macroscopic down to the nanoscopic
surface, various architectures have been devised to manipulate fluorescence
signals (enhancement, quenching) within near-optical fields. The interaction of a
metallic surface with proximal fluorophores (in the range of 5-90 nm) has
beneficial effects on optical properties such as an increased quantum yield,
improved photostability and a reduced lifetime of fluorophores. This phenomenon
called metal-enhanced fluorescence (MEF) has been extensively used in biosensory
applications. However, their applications for biological analysis practically
remain challenging in biological microenvironments. Therefore, this review
primarily provides a general overview of MEF biosensor systems from the basic
mechanism to state-of-the-art biological applications. The review also covers the
pros and cons of MEF biosensor as well as discussions about further directions in
biological perspectives.
PMID- 29660582
TI - Highly stable and regenerative graphene-diamond hybrid electrochemical biosensor
for fouling target dopamine detection.
AB - Graphene is widely recognized as a promising nanomaterial for the construction of
high-performance electrochemical biosensors. However, the lack of strong
interfacial forces between graphene and conductive substrates is a bottleneck in
the fabrication of highly stable graphene electrodes. In this work, few-layer
graphene was directly formed on a high pressure high temperature (HPHT) diamond
substrate via sp3-to-sp2 conversion by catalytic thermal treatment and using
diamond itself as the carbon source. The hybrid electrode prototype was also
highly conductive and had a linear electrochemical response to dopamine in the
concentration range of 5 MUM - 2 mM, with a low detection limit of 200 nM. After
prolonged and repeated exposure to dopamine, electrode fouling was observed which
led to sensitivity degradation. Based on the strong interfacial bonding between
graphene and HPHT diamond, regeneration of the fouled electrode and full
performance recovery would be easily achieved by ultrasonic cleaning. The hybrid
electrode is highly robust, and shows potential in its application to the
detection of biofouling molecules, food processing and wastewater treatment.
PMID- 29660583
TI - AIE-based superwettable microchips for evaporation and aggregation induced
fluorescence enhancement biosensing.
AB - Superwettable microchips with superhydrophilic microwells on superhydrophobic
substrate have attracted increasing attention in fluorescence-based biological
and medical diagnostics. However, traditional fluorophores often suffer from the
aggregation-caused quenching (ACQ) problem at high concentration or in aggregated
state. Here, we developed an AIE-based superwettable microchip by combining the
evaporation-induced enrichment of superwettable microchips and the aggregation
induced emission of AIEgens together into one chip. Benefitting from the
synergistic effect of the above two mechanisms, the AIE molecules (TPE-Z, a
tetraphenylethene salt) were enriched from the diluted solution via evaporation
and aggregated within the superhydrophilic microwell and then realized the
fluorescence enhancement. Based on the dual enhancement effect of the AIE-based
superwettable microchip, microRNA-141 (miR-141) can be detected with excellent
reproducibility, sensitivity and specificity. A low detection limit of 1 pM can
be achieved with higher signal-to-noise ratio than the traditional fluorescent
probes. The proposed AIE-based superwettable microchip will provide a simple
fluorescence enhancement biosensing platform for rapid, multiplexed and high
throughput analysis of specific targets in environmental monitoring, food safety,
medical diagnosis and related research areas.
PMID- 29660584
TI - Facile one-pot synthesis of visible light-responsive BiPO4/nitrogen doped
graphene hydrogel for fabricating label-free photoelectrochemical tetracycline
aptasensor.
AB - It is fundamental to develop highly efficient visible light-responsive
photoelectrochemical (PEC) performance material for fabricating PEC biosensor.
Herein, BiPO4/three-dimensional nitrogen doped graphene hydrogel (3DNGH)
nanocomposites were prepared for the first time via a facile one-pot hydrothermal
route. In this nanoarchitecture, the BiPO4 nanorods were anchored onto the porous
structure of 3DNGH. Compared with pristine BiPO4, the absorption of BiPO4/3DNGH
has been extend to visible-light region, and the energy band gap of BiPO4/3DNGH
was calculated to be 2.10 eV, which was greatly narrower than that of pristine
BiPO4 with a band gap of 3.85 eV. Under visible light irradiation, the
photocurrent signal of the as-prepared BiPO4/3DNGH was 847.2-fold, 4.1-fold and
2.3-fold enhanced comparing to pristine BiPO4, BiPO4 functionalized reduced
graphene oxide and BiPO4/nitrogen doped graphene. The enhancement of such
photocurrent signal was attributed to the introduction of 3DNGH, which was
capable to improve the charge transfer rate and also the efficiency of visible
light utilization of BiPO4. Based on the excellent PEC properties of BiPO4/3DNGH,
a label-free PEC aptasensor for selectivity and sensitivity detection of
tetracycline (Tc) was successfully established by using Tc aptamer as a
biorecognition element. Under optimized conditions, the proposed PEC aptasensor
exhibited a wide linear in the range from 0.1 nmol L-1 to 1 MUmol L-1 as well as
a low detection limit of 0.033 nmol L-1 (S/N = 3). The prepared BiPO4/3DNGH
nanocomposites would serve as a promising visible light-responsive photoactive
material for fabrication of PEC biosensors with high performance.
PMID- 29660585
TI - Real-time quartz crystal microbalance cytosensor based on a signal recovery
strategy for in-situ and continuous monitoring of multiple cell membrane
glycoproteins.
AB - A real-time quartz crystal microbalance (QCM) cytosensor based on a signal
recovery strategy was first developed for in-situ and continuous monitoring of
multiple cell membrane glycoproteins. In this work, gold nanoparticles (AuNPs)
were linked with ligands to fabricate ligand-functionalized mass nanoprobes with
signal amplification for increasing monitoring sensitivity. The mass nanoprobes
bound to cell surface could be eluted with glycine-hydrochloric acid buffer,
which led to a quick recovery of resonance frequency. Using the strategy, folate
receptors (FR), CD44 molecule and epidermal growth factor receptor (EGFR) on cell
membrane as the models were monitored continuously. The quantification result of
MDA-MB-231 cells showed a range of linearity of 3.0 * 104 to 1.0 * 106 cells and
a detection limit of 5.0 * 103 cells. Furthermore, the multianalyte cytosensor
exhibited three sensitive and recoverable frequency shifts during continuous
monitoring for in-situ and continuous evaluation of the expression levels of FR,
CD44 and EGFR on cell membrane, which exhibited that the average numbers of
molecules of FR, CD44 and EGFR per MDA-MB-231 cell were 0.5 * 106, 0.2 * 106 and
1.4 * 105 with the relative standard deviation of 4.8%, 4.5% and 5.1%,
respectively. Compared with monolithic multichannel QCM, the multianalyte
cytosensor based on a single microbalance could not only exclude acoustic
interference but also reduce instrumental cost. This work provided a simple and
efficient QCM cytosensor for in-situ and continuous monitoring of multiple cell
membrane glycoproteins that offered a new avenue for early diagnosis of cancer.
PMID- 29660586
TI - Open external circuit for microbial fuel cell sensor to monitor the nitrate in
aquatic environment.
AB - This study employed an open external circuit, rather than a closed circuit
applied in previous studies, to operate an microbial fuel cell (MFC) sensor for
real-time nitrate monitoring, and achieved surprisingly greater sensitivity (4.42
+/- 0.3-6.66 +/- 0.4 mV/(mg/L)) when the nitrate was at a concentration of 10-40
mg/L, compared to that of the MFC sensor with a closed circuit (0.8 +/- 0.05-1.6
+/- 0.1 mV/(mg/L)). The MFC sensor operated in open circuit (O-MFC sensor)
delivered much more stable performance than that operated in closed circuit (C
MFC sensor) when affected by organic matter (NaAc). The sensitivity of O-MFC
sensor was twice that of C-MFC sensor at a low background concentration of
organic matter. When organic matter reached a high concentration, the sensitivity
of O-MFC sensor remained at an acceptable level, while that of C-MFC sensor
dropped to almost zero. Challenged by a combined shock of organic matter and
nitrate, O-MFC sensor delivered evident electrical signals for nitrate warning,
while C-MFC failed. Another novel feature of this study lies in a new
mathematical model to examine the bioanode process of nitrate monitoring. It
revealed that lower capacitance of the bioanode in O-MFC was the major
contributor to the improved sensitivity of the device.
PMID- 29660587
TI - Photochemical interaction of ascorbic acid and nicotinamide in aqueous solution:
A kinetic study.
AB - The photodegradation of ascorbic acid (AH2) in the presence of nicotinamide (NA)
at pH 2.0-12.0 has been studied using a 30 W UV radiation source. The reaction
follows first-order kinetics and the values of apparent first-order rate
constants (kobs) at 1 * 10-3 M NA concentration range from 1.17 (pH 2.0) to 3.61
* 10-3 min-1 (pH 12.0). The values of these rate constants (k0) in the absence of
NA range from 0.50 (pH 2.0) to 1.75 * 10-3 min-1 (pH 12.0), indicating that the
values of kobs for the photodegradation of AH2 in the presence of NA are about 2
fold compared to those of the AH2 alone. The second-order rate constants (k') for
the photochemical interaction of AH2 and NA are in the range of 0.67 (pH 2.0) and
1.86 * 10-3 M-1 min-1 (pH 12.0). The k'-pH profile shows a gradual increase in
the rate as a function of pH. This is due to the ionization of AH2 to give
ascorbyl anions (AH-) which are more susceptible to photodegradation compared to
the neutral molecule (AH2). NA appears to undergo photochemical interaction with
AH2 during the reaction by acting as an electron acceptor to enhance its rate of
photodegradation. The concentrations of AH2 and NA in degraded solutions have
been determined by a two-component spectrometric method at 243 and 261 nm (pH
2.0) with a precision of +/-2%. The method has been validated and the results are
comparable to the HPLC method.
PMID- 29660588
TI - Chemically synthesized butein and butin: Optical, structure and electrochemical
redox functionality at electrode interface.
AB - Progress in the development of phytochemistry has delivered advancement in
materials functionality for range of inter/trans-disciplinary application. Here,
we investigated the structural functionality of chemically synthesized
phytoconstitutent, chalcone (butein) and flavanone (butin). Photoactive and
electroactive behavior of butein and butin were comprehensively studied using UV
vis absorbance, photoluminescence and cyclic voltammetric techniques. Surface
morphology of the butein and butin powders was characterized from scanning
electron microscope at an operating voltage of 10 kV. Significant ultraviolet
absorbance property are observed from butein and butin due to the distribution of
pi -> pi* and n -> pi* transitions. Photoluminescence emission spectra of the
prepared materials are well resolved at visible region via keto-enol
tautomerization and can be influenced by solvent pH. Cyclic voltammetric studies
on the prepared materials enabled a direct electron-transfer reaction at gold
screen printed electrode, indicating the feasibility for analytical validation in
herbal industries. Existence of multiple electroactive hydroxyl groups makes
butein and butin a redox-functional species at electrode interface. Dispersion
ability in aqueous and organic solvents makes butein and butin suitable for
variety of photochemical applications. This phytochemical material offers new
degrees of optical and redox functionality similar to inorganic nanostructures,
in addition to inherent bioactivity, that may be advantageous for further
biomedical function.
PMID- 29660590
TI - Detection of neurodevelopmental diversity in memory clinics-Validation of a self
report measure.
AB - BACKGROUND: Neurodevelopmental learning and attentional disorders (NLAD) such as
dyslexia, dyscalculia and attention deficit hyperactivity disorder (ADHD) affect
at least 6% of the adult population or more. They are associated with atypical
cognitive patterns in early and adult life. The cognitive patterns of affected
individuals in late life have never been described. One main challenge is
detecting individuals in clinical settings during which mild cognitive changes
could be confounding the clinical presentation. This is a critical research gap
because these conditions interact, across the life course, with an individual's
risk for dementia. Also, learning disabilities which present in childhood pose
persistent cognitive differences in areas involving executive function, reading
and math. Clinicians lack tools to detect undiagnosed neurodevelopmental in
adults with memory disorders. The majority of patients presenting at memory
clinics today come from a generation during which NLAD were not yet clinically
recognized. In this study, we hypothesized that a self-report scale can detect
NLAD in a memory clinic population. METHODS: We developed a self-report,
retrospective childhood cognitive questionnaire including key attributes adapted
from prior validated measures. 233 participants were included in the primary
analysis. RESULTS: Confirmatory Factor Analysis resulted in a best-fit model with
six labelled factors (Math, Language, Attention, Working Memory, Sequential
Processing, and Executive Function) and 15 total question items. The model
demonstrated unidimensionality, reliability, convergent validity, discriminant
validity, and predictive validity. Using 1.5 standard deviations as the cut-off,
subjects were categorized into: Normal (n = 169), Language (n = 10), Math (n =
12), Attention (n = 10) or Other/Mixed (n = 32). CONCLUSION: A self-report
measure can be a useful tool to elicit childhood cognitive susceptibilities in
various domains that could represent NLAD among patients in a memory clinic
setting, even in the presence of mild cognitive impairment.
PMID- 29660589
TI - Predicting reading ability in teenagers who are deaf or hard of hearing: A
longitudinal analysis of language and reading.
AB - BACKGROUND: Deaf and hard of hearing (D/HH) children and young people are known
to show group-level deficits in spoken language and reading abilities relative to
their hearing peers. However, there is little evidence on the longitudinal
predictive relationships between language and reading in this population. AIMS:
To determine the extent to which differences in spoken language ability in
childhood predict reading ability in D/HH adolescents. METHODS: and procedures:
Participants were drawn from a population-based cohort study and comprised 53
D/HH teenagers, who used spoken language, and a comparison group of 38 normally
hearing teenagers. All had completed standardised measures of spoken language
(expression and comprehension) and reading (accuracy and comprehension) at 6-10
and 13-19 years of age. OUTCOMES: and results: Forced entry stepwise regression
showed that, after taking reading ability at age 8 years into account, language
scores at age 8 years did not add significantly to the prediction of Reading
Accuracy z-scores at age 17 years (change in R2 = 0.01, p = .459) but did make a
significant contribution to the prediction of Reading Comprehension z-scores at
age 17 years (change in R2 = 0.17, p < .001). CONCLUSIONS: and implications: In
D/HH individuals who are spoken language users, expressive and receptive language
skills in middle childhood predict reading comprehension ability in adolescence.
Continued intervention to support language development beyond primary school has
the potential to benefit reading comprehension and hence educational access for
D/HH adolescents.
PMID- 29660591
TI - Inverse relationship between the evans index and cognitive performance in non
disabled, stroke-free, community-dwelling older adults. A population-based study.
AB - OBJECTIVE: The Evans Index (EI) is used for recognition of individuals with
normal pressure hydrocephalus. However, recent studies suggest that the EI is not
a reliable marker of this condition. Rather, the EI may be inversely correlated
with cognitive performance, but information on this correlation is lacking. We
aimed to assess the relationship between the EI and cognitive performance in
community-dwelling older adults. PATIENTS AND METHODS: The study included 314 non
disabled, stroke-free, individuals aged >=60 years enrolled in the Atahualpa
Project undergoing brain MRI and MoCA testing. Using generalized linear models,
adjusted for demographics, cardiovascular risk factors edentulism, depression,
global cortical atrophy and white matter hyperintensities of vascular origin, we
assessed the relationship between the EI and cognitive performance. Predictive
margins of the MoCA score according to percentiles of the EI were also evaluated,
after adjusting for variables reaching significance in univariate models.
RESULTS: The mean EI was 0.248 +/- 0.022 and the mean MoCA score was 19.7 +/- 4.8
points. A fully-adjusted generalized linear model showed a significant inverse
relationship between the EI and the MoCA score. Predictive models showed a
decrease in the MoCA score according to increased levels of the EI (beta: -3.28;
95% C.I.: -6.09 to -0.47; p = 0.022). CONCLUSION: The independent effect of the
EI on the MoCA score provides evidence of the utility of the EI to evaluate
cognitive performance.
PMID- 29660592
TI - Declining trends in drug dealing among adolescents in the United States.
AB - INTRODUCTION: The link between drug selling and other delinquent behaviors in
adolescence is well established. Less is known regarding the trends in drug
selling among youth in the US and whether they are consistent with the recently
observed decline in problem behaviors among this population. METHODS: Data were
collected between 2002 and 2015 as part of the National Survey on Drug Use and
Health (NSDUH). Participants included 233,435 US youth aged 12-17. The primary
variable of interest was self-reported past year drug-selling. Logistic
regression assessed trends in drug-selling among male and female subgroups.
RESULTS: Between 2002 and 2015, the prevalence of drug-selling decreased
significantly across all youth (AOR = 0.970, p < .001). Analysis of gender
differences revealed that the rate of drug-selling decreased significantly among
boys (AOR = 0.962, p < .001), however, the trend remained stable for girls (AOR =
0.987, p > .05). The decrease in drug-selling was observed for nearly all male
subgroups, African-American girls (0.946, p < .01) and girls reporting no illegal
substance use in the past year (0.960, p < .05). CONCLUSIONS: The prevalence of
past year drug-selling among youth in the US is declining significantly,
especially for boys.
PMID- 29660594
TI - Methodological factors as a potential source of discordance between self-report
and behavioral measures of impulsivity and related constructs.
AB - There is a consistent but poorly understood finding that self-report and
behavioral measures of impulsivity are weakly correlated or uncorrelated. There
are many possible explanations for this observation, including differences in how
these instruments are administered and scored. The present study examined the
utility of alternative scoring algorithms for self-report measures that aim to
identify participants' peak impulsivity (or self-control), informed by estimates
of item difficulty from Item Response Theory (IRT) analyses. College students
were administered self-report questionnaires (Zuckerman Sensation Seeking Scale
[ZSS], Barratt Impulsiveness Scale [BIS-11], behavioral measures related to risk
taking and impulsivity (Balloon Analog Risk Task [BART], Experiential Discounting
Task [EDT]), and the substance use module of a clinical interview (past-six-month
alcohol and marijuana use). IRT analyses were conducted on self-report measures
to estimate item difficulty. Scoring algorithms ranked items by difficulty and
scored items based on consecutive items endorsed or denied. A maximal scoring
algorithm increased the concordance between the BIS-11 and BART (r = 0.08 vs.
0.07), but there was no evidence of increased incremental validity for substance
use. Findings suggest that methodological factors may help explain the poor
concordance of self-report and behavioral measures of impulsivity, but the
magnitude of these correlations remained quite small. Further, alternative
scoring algorithms were correlated with substance use but did not explain any
variance that was distinct from typical algorithms. Future directions are
discussed for elucidating the discrepancy between self-report and behavioral
measures of impulsivity-related constructs, such as using large self-report item
pools to develop computer adaptive tests.
PMID- 29660593
TI - Factors associated with hazardous alcohol use and motivation to reduce drinking
among HIV primary care patients: Baseline findings from the Health & Motivation
study.
AB - BACKGROUND: Limited primary care-based research has examined hazardous drinking
risk factors and motivation to reduce use in persons with HIV (PWH). METHODS: We
computed prevalence ratios (PR) for factors associated with recent (<30 days)
hazardous alcohol use (i.e., 4+/5+ drinks in a single day for women/men),
elevated Alcohol Use Disorders Identification Test (AUDIT) scores, and importance
and confidence (1-10 Likert scales) to reduce drinking among PWH in primary care.
RESULTS: Of 614 participants, 48% reported recent hazardous drinking and 12%
reported high alcohol use severity (i.e., AUDIT zone 3 or higher). Factors
associated with greater alcohol severity included moderate/severe anxiety (PR:
2.07; 95% CI: 1.18, 3.63), tobacco use (PR: 1.79; 1.11, 2.88), and other
substance use (PR: 1.72; 1.04, 2.83). Factors associated with lower alcohol
severity included age 50-59 years (PR: 0.46; 0.22, 2.00) compared with age 20-39
years, and having some college/college degree (PR: 0.61; 0.38, 0.97) compared
with <=high school. Factors associated with greater importance to reduce drinking
(scores >5) included: moderate/severe depression (PR: 1.43; 1.03, 2.00) and other
substance use (PR: 1.49; 1.11, 2.01). Lower importance was associated with
incomes above $50,000 (PR: 0.65; 0.46, 0.91) and marijuana use (PR: 0.65; 0.49,
0.87). HIV-specific factors (e.g., CD4 and HIV RNA levels) were not associated
with alcohol outcomes. CONCLUSIONS: This study identified modifiable participant
characteristics associated with alcohol outcomes in PWH, including anxiety and
depression severity, tobacco use, and other substance use.
PMID- 29660595
TI - Computer-assisted subtyping and prognosis for non-small cell lung cancer patients
with unresectable tumor.
AB - BACKGROUND: The histological classification or subtyping of non-small cell lung
cancer is essential for systematic therapy decisions. Differentiating between the
two main subtypes of pulmonary adenocarcinoma and squamous cell carcinoma
highlights the considerable differences that exist in the prognosis of patient
outcomes. Physicians rely on a pathological analysis to reveal these phenotypic
variations that requires invasive methods, such as biopsy and resection sample,
but almost 70% of tumors are unresectable at the point of diagnosis. METHOD: A
computational method that fuses two frameworks of computerized subtyping and
prognosis was proposed, and it was validated against publicly available dataset
in The Cancer Imaging Archive that consisted of 82 curated patients with CT
scans. The accuracy of the proposed method was compared with the gold standard of
pathological analysis, as defined by theInternational Classification of Disease
for Oncology (ICD-O). A series of survival outcome test cases were evaluated
using the Kaplan-Meier estimator and log-rank test (p-value) between the
computational method and ICD-O. RESULTS: The computational method demonstrated
high accuracy in subtyping (96.2%) and good consistency in the statistical
significance of overall survival prediction for adenocarcinoma and squamous cell
carcinoma patients (p < 0.03) with respect to its counterpart pathological
subtyping (p < 0.02). The degree of reproducibility between prognosis taken on
computational and pathological subtyping was substantial with an averaged
concordance correlation coefficient (CCC) of 0.9910. CONCLUSION: The findings in
this study support the idea that quantitative analysis is capable of representing
tissue characteristics, as offered by a qualitative analysis.
PMID- 29660597
TI - Risk stratification of sentinel node-positive melanoma patients defines surgical
management and adjuvant therapy treatment considerations.
AB - BACKGROUND: In light of the evolving landscape of adjuvant therapy in melanoma
and the recently confirmed absent survival benefit of completion lymph node
dissection (CLND), it becomes important to explore possible consequences of
omitting CLND, and whether it is possible to adequately stratify positive
sentinel node (SN) patients solely based on information retrieved from the
melanoma up to the sentinel lymph node biopsy (SLNB). METHODS: A retrospective
cohort from nine European Organization for Research and Treatment of Cancer
Melanoma Group centres was used. Patients were staged based on SLNB and CLND
result according to the American Joint Committee on Cancer (AJCC) criteria and
stratified by ulceration and SN tumour burden. These were incorporated in Cox
regression models. Predictive ability was assessed using Harrell's concordance
index (c-index) and the Akaike information criterion (AIC). RESULTS: In total,
1015 patients were eligible. CLND led to upstaging in N-category in 19% and in
AJCC stage in 5-6%. The model incorporating only ulceration and SN tumour burden
performed equally well as the model incorporating substages after CLND. The model
incorporating substages based on SLNB had the lowest predictive ability.
Stratifying by ulceration and SN tumour burden resulted in four positive SN
groups from which low-, intermediate- and high-risk prognostic classes could be
derived. CONCLUSIONS: Adequate stratification of positive SN patients was
possible based on ulceration and SN tumour burden category. The identification of
low-, intermediate- and high-risk patients could guide adjuvant therapy in
clinical practice. Omitting CLND seems to have little consequences.
PMID- 29660596
TI - Time trends of overall survival among metastatic breast cancer patients in the
real-life ESME cohort.
AB - AIM: Real-life analysis of overall survival (OS) trends among metastatic breast
cancer (MBC) patients may help define medical needs and evaluate the impact of
public health investments. The present study aimed to evaluate the independent
impact of the year of MBC diagnosis on OS in the Epidemio-Strategy-Medical
Economical (ESME)-MBC cohort. METHODS: ESME-MBC (NCT03275311) is a French,
national, multicentre, observational cohort including 16,702 consecutive newly
diagnosed MBC patients (01 January 2008-31 December 2014). Of 16,680 eligible
patients, 15,085 had full immunohistochemistry data, allowing classification as
hormone receptor-positive and HER2-negative (HR+/HER2-, N = 9907), HER2-positive
(HER2+, N = 2861) or triple-negative (HR-/HER2-, N = 2317) subcohorts.
Multivariate analyses of OS were conducted among the full ESME cohort and
subcohorts. RESULTS: Median OS of the whole cohort was 37.22 months (95%
confidence interval [CI], 36.3-38.04). Year of diagnosis was an independent
predictor of OS (hazard ratio 0.98 [95% CI, 0.97-1.00], P = .01) together with
age, subtype, disease-free interval, visceral metastases and number of organs
involved. Median OS of HR+/HER2-, HER2+ and HR-/HER2- subcohorts was,
respectively, 42.12 (95% CI, 40.90-43.10), 44.91 (95% CI, 42.51-47.90) and 14.52
(95% CI, 13.70-15.24) months. Year of diagnosis was a strong independent
predictor of OS in HER2+ subcohort (hazard ratio 0.91 [95% CI, 0.88-0.94], P <
.001), but not in HR+/HER2- nor HR-/HER2- subcohorts (hazard ratio 1.00 [95% CI,
0.98-1.01], P = .80 and 1.00 [95% CI, 0.97-1.02], P = .90, respectively).
CONCLUSIONS: The OS of MBC patients has slightly improved over the past decade.
However, this effect is confined to HER2+ cases, highlighting the need of new
strategies in the other subtypes.
PMID- 29660598
TI - First-in human, phase 1, dose-escalation pharmacokinetic and pharmacodynamic
study of the oral dual PI3K and mTORC1/2 inhibitor PQR309 in patients with
advanced solid tumors (SAKK 67/13).
AB - BACKGROUND: PQR309 is an orally bioavailable, balanced pan-phosphatidylinositol-3
kinase (PI3K), mammalian target of rapamycin (mTOR) C1 and mTORC2 inhibitor.
PATIENTS AND METHODS: This is an accelerated titration, 3 + 3 dose-escalation,
open-label phase I trial of continuous once-daily (OD) PQR309 administration to
evaluate the safety, pharmacokinetics (PK) and pharmacodynamics in patients with
advanced solid tumours. Primary objectives were to determine the maximum
tolerated dose (MTD) and recommended phase 2 dose (RP2D). RESULTS: Twenty-eight
patients were included in six dosing cohorts and treated at a daily PQR309 dose
ranging from 10 to 150 mg. Common adverse events (AEs; >=30% patients) included
fatigue, hyperglycaemia, nausea, diarrhoea, constipation, rash, anorexia and
vomiting. Grade (G) 3 or 4 drug-related AEs were seen in 13 (46%) and three (11%)
patients, respectively. Dose-limiting toxicity (DLT) was observed in two patients
at 100 mg OD (>14-d interruption in PQR309 due to G3 rash, G2
hyperbilirubinaemia, G4 suicide attempt; dose reduction due to G3 fatigue, G2
diarrhoea, G4 transaminitis) and one patient at 80 mg (G3 hyperglycaemia >7 d).
PK shows fast absorption (Tmax 1-2 h) and dose proportionality for Cmax and area
under the curve. A partial response in a patient with metastatic thymus cancer,
24% disease volume reduction in a patient with sinonasal cancer and stable
disease for more than 16 weeks in a patient with clear cell Bartholin's gland
cancer were observed. CONCLUSION: The MTD and RP2D of PQR309 is 80 mg of orally
OD. PK is dose-proportional. PD shows PI3K pathway phosphoprotein downregulation
in paired tumour biopsies. Clinical activity was observed in patients with and
without PI3K pathway dysregulation. CLINICAL TRIAL REGISTRATION:
ClinicalTrials.gov # NCT01940133.
PMID- 29660599
TI - Dosage-dependent reduction of macular pigment optical density in female breast
cancer patients receiving tamoxifen adjuvant therapy.
AB - It is now increasingly common for breast cancer patients to receive adjuvant
tamoxifen therapy for a period of up to 10 years. As survival rate increases,
managing tamoxifen ocular toxicities is important for patients' quality of life.
Macular pigments in photoreceptor cells protect against free radical damage,
which can cause macular degeneration. By reducing macular pigment concentration,
tamoxifen may increase the risk of macular degeneration. Here, we compared
macular pigment optical density (MPOD) and central macular thickness between
breast cancer patients on tamoxifen adjuvant therapy (n = 70), and a control
group (n = 72). Multiple regression analysis indicated that MPOD decreases with
increasing tamoxifen dosage, up to a threshold of about 20 g, after which MPOD
plateaus out. Mean MPOD in the treatment group (mean = 0.40) was significantly
lower (p-value = 0.02) compared to the control group (mean = 0.47) for the left
eye, and for the right eye (treatment mean = 0.39; control mean = 0.48; p-value =
0.009). No significant difference in mean central macular thickness was found
between the treatment and the control group (p-values > 0.4). In the control
group, MPOD and central macular thickness showed significant correlation (r~0.30;
p-values < 0.01) for both eyes. However, in the treatment group, loss of
significant correlation was observed in the left eye (r = 0.21; p-value = 0.08).
The present results show that MPOD decreases non-linearly as a function of
tamoxifen dosage, and highlight the potential of tamoxifen to reduce macular
pigment concentration through an unknown mechanism that does not depend on
macular thinning solely.
PMID- 29660600
TI - Holographic imaging and photostimulation of neural activity.
AB - Optical imaging methods are powerful tools in neuroscience as they can
systematically monitor the activity of neuronal populations with high
spatiotemporal resolution using calcium or voltage indicators. Moreover, caged
compounds and optogenetic actuators enable to optically manipulate neural
activity. Among optical methods, computer-generated holography offers an enormous
flexibility to sculpt the excitation light in three-dimensions (3D), particularly
when combined with two-photon light sources. By projecting holographic light
patterns on the sample, the activity of multiple neurons across a 3D brain volume
can be simultaneously imaged or optically manipulated with single-cell precision.
This flexibility makes two-photon holographic microscopy an ideal all-optical
platform to simultaneously read and write activity in neuronal populations in
vivo in 3D, a critical ability to dissect the function of neural circuits.
PMID- 29660601
TI - A QCM-D study of the concentration- and time-dependent interactions of human LL37
with model mammalian lipid bilayers.
AB - The human antimicrobial peptide LL37 is promising as an alternative to
antibiotics due to its biophysical interactions with charged bacterial lipids.
However, its clinical potential is limited due to its interactions with
zwitterionic mammalian lipids leading to cytotoxicity. Mechanistic insight into
the LL37 interactions with mammalian lipids may enable rational design of less
toxic LL37-based therapeutics. To this end, we studied concentration- and time
dependent interactions of LL37 with zwitterionic model phosphatidylcholine (PC)
bilayers with quartz crystal microbalance with dissipation (QCM-D). LL37 mass
adsorption and PC bilayer viscoelasticity changes were monitored by measuring
changes in frequency (Deltaf) and dissipation (DeltaD), respectively. The Voigt
Kelvin viscoelastic model was applied to Deltaf and DeltaD to study changes in
bilayer thickness and density with LL37 concentration. At low concentrations
(0.10-1.00 MUM), LL37 adsorbed onto bilayers in a concentration-dependent manner.
Further analyses of Deltaf, DeltaD and thickness revealed that peptide saturation
on the bilayers was a threshold for interactions observed above 2.00 MUM,
interactions that were rapid, multi-step, and reached equilibrium in a
concentration- and time-dependent manner. Based on these data, we proposed a
model of stable transmembrane pore formation at 2.00-10.0 MUM, or transition from
a primarily lipid to a primarily protein film with a transmembrane pore formation
intermediate state at concentrations of LL37 > 10 MUM. The concentration
dependent interactions between LL37 and PC bilayers correlated with the observed
concentration-dependent biological activities of LL37 (antimicrobial,
immunomodulatory and non-cytotoxic at 0.1-1.0 MUM, hemolytic and some
cytotoxicity at 2.0-13 MUM and cytotoxic at >13 MUM).
PMID- 29660603
TI - Both alcoholic and non-alcoholic steatohepatitis association with cardiovascular
risk and liver fibrosis.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) is the most common cause of
liver disease worldwide. Mortality in NAFLD is mainly related to cardiovascular
disease (CVD) and cancer. NAFLD and its association with both CVD and liver
disease risk have been well evaluated, but the association of NAFLD with alcohol,
known as "both alcoholic and non-alcoholic steatohepatitis" (BASH), remains
uncertain. The objective of this study was to assess the influence of alcohol and
obesity in the development of liver and cardiovascular disease risk. METHODS:
This was a case-control study that included patients from a regular check-up.
Alcohol consumption was evaluated with MAST, AUDIT, and CAGE. Cardiovascular risk
was evaluated using the Framingham score, and liver fibrosis was evaluated with
APRI and NAFLD score. Patients were classified in five groups: healthy patients,
steatosis with obesity, steatosis with alcoholism, BASH, and idiopathic
steatosis. RESULTS: A total of 414 patients were included. The BASH group
represented 16% of patients, and showed a greater proportion of patients with
high cardiovascular risk with 17% (p = 0.001), and liver fibrosis with 9%,
according to the APRI score (p = 0.10). A multivariate logistic regression showed
that alcohol consumption >140 g/week (OR 2.546, 95% CI 1.11-5.81, p = 0.003) and
BMI >25 kg/m2 (OR 12.64, 95% CI 1.66 96.20, p = 0.001) were related to high
cardiovascular risk. Liver fibrosis according to APRI was only related to alcohol
consumption >140 g/week (OR 2.74, 95% CI 1-7.48, p = 0.03). CONCLUSIONS: BASH
remains an area not well explored, and of great implication given the increasing
number of patients affected. We observed an additive effect of both etiologies in
the development of high cardiovascular and liver disease risk.
PMID- 29660602
TI - Astrocyte pathology in the ventral prefrontal white matter in depression.
AB - Astrocyte functions in white matter are less well understood than in gray matter.
Our recent study of white matter in ventral prefrontal cortex (vPFC) revealed
alterations in expression of myelin-related genes in major depressive disorder
(MDD). Since white matter astrocytes maintain myelin, we hypothesized that
morphometry of these cells will be altered in MDD in the same prefrontal white
matter region in which myelin-related genes are altered. White matter adjacent to
vPFC was examined in 25 MDD and 21 control subjects. Density and size of GFAP
immunoreactive (-ir) astrocyte cell bodies was measured. The area fraction of
GFAP-ir astrocytes (cell bodies + processes) was also estimated. GFAP mRNA
expression was determined using qRT-PCR. The density of GFAP-ir astrocytes was
also measured in vPFC white matter of rats subjected to chronic unpredictable
stress (CUS) and control animals. Fibrous and smooth GFAP-ir astrocytes were
distinguished in human white matter. The density of both types of astrocytes was
significantly decreased in MDD. Area fraction of GFAP immunoreactivity was
significantly decreased in MDD, but mean soma size remained unchanged. Expression
of GFAP mRNA was significantly decreased in MDD. In CUS rats there was a
significant decrease in astrocyte density in prefrontal white matter. The
decrease in density and area fraction of white matter astrocytes and GFAP mRNA in
MDD may be linked to myelin pathology previously noted in these subjects.
Astrocyte pathology may contribute to axon disturbances in axon integrity
reported by neuroimaging studies in MDD and interfere with signal conduction in
the white matter.
PMID- 29660604
TI - Response time distribution parameters show posterror behavioral adjustment in
mental arithmetic.
AB - After making an error, we usually slow down before our next response. This
phenomenon is known as the posterror slowing (PES) effect. It has been
interpreted to be an indicator of posterror behavioral adjustments and,
therefore, has been linked to cognitive control. However, contradictory findings
regarding PES and posterror accuracy cast doubt on such a relation. To determine
whether behavior is adjusted after making an error, we investigated other
features of behavior, such as the distribution of response times (RT) in a mental
arithmetic task. Participants performed an arithmetic task with (Experiments 1
and 2) and without (Experiment 1) an accuracy-tracking procedure. On both tasks,
participants responded more slowly and less accurately after errors. However, the
RT distribution was more symmetrical on posterror trials compared to postcorrect
trials, suggesting that a change in processing mode occurred after making an
error, thus linking cognitive control to error monitoring, even in cases when
accuracy decreased after errors. These findings expand our understanding on how
posterror behavior is adjusted in mental arithmetic, and we propose that the
measures of the RT distribution can be further used in other domains of error
monitoring research.
PMID- 29660605
TI - Functional assessment of spermatogonial stem cell purity in experimental cell
populations.
AB - Historically, research in spermatogonial biology has been hindered by a lack of
validated approaches to identify and isolate pure populations of the various
spermatogonial subsets for in-depth analysis. In particular, although a number of
markers of the undifferentiated spermatogonial population have now been
characterized, standardized methodology for assessing their specificity to the
spermatogonial stem cell (SSC) and transit amplifying progenitor pools has been
lacking. To date, SSC content within an undefined population of spermatogonia has
been inferred using either lineage tracing or spermatogonial transplantation
analyses which generate qualitative and quantitative data, respectively.
Therefore, these techniques are not directly comparable, and are subject to
variable interpretations as to a readout that is representative of a 'pure' SSC
population. We propose standardization across the field for determining the SSC
purity of a population via use of a limiting dilution transplantation assay that
would eliminate subjectivity and help to minimize the generation of inconsistent
data on 'SSC' populations. In the limiting dilution transplantation assay, a
population of LacZ-expressing spermatogonia are selected based on a putative SSC
marker, and a small, defined number of cells (i.e. 10 cells) are microinjected
into the testis of a germ cell-deficient recipient mouse. Using colony counts and
an estimated colonization efficiency of 5%; a quantitative value can be
calculated that represents SSC purity in the starting population. The utilization
of this technique would not only be useful to link functional relevance to novel
markers that will be identified in the future, but also for providing validation
of purity for marker-selected populations of spermatogonia that are commonly
considered to be SSCs by many researchers in the field of spermatogenesis and
stem cell biology.
PMID- 29660606
TI - Generation of induced pluripotent stem cells from a patient with Best Dystrophy
carrying 11q12.3 (BEST1 (VMD2)) mutation.
AB - Best disease (BD), also termed Best vitelliform macular dystrophy (BVMD), is a
juvenile-onset form of macular degeneration and central visual loss. In this
report, we generated an induced pluripotent stem cell (iPSC) line, TVGH-iPSC-012
04, from the peripheral blood mononuclear cells of a female patient with BD by
using the Sendai virus delivery system. The resulting iPSCs retained the disease
causing DNA mutation, expressed pluripotent markers and could differentiate into
three germ layers. We believe that BD patient-specific iPSCs provide a powerful
in vitro model for evaluating the pathological phenotypes of the disease.
PMID- 29660607
TI - Generation of an iPS cell line via a non-integrative method using urine-derived
cells from a patient with USH2A-associated retinitis pigmentosa.
AB - We have established an induced pluripotent stem (iPS) cell line using urine
derived cells from a 27-year-old male patient with retinitis pigmentosa
associated with point mutations in the USH2A gene. Feeder-free culture conditions
and the integration-free CytoTuneTM-iPS 2.0 Sendai Reprogramming Kit were used.
PMID- 29660608
TI - Generation and characterization of two human iPSC lines from patients with
methylmalonic acidemia cblB type.
AB - Two human induced pluripotent stem cell (iPSC) lines were generated from
fibroblasts of two siblings with methylmalonic acidemia cblB type carrying
mutations in the MMAB gene: c.287T?C (p.Ile96Thr) and a splicing loss-of-function
variant c.584G?A affecting the last nucleotide of exon 7 in MMAB
(p.Ser174Cysfs*23). Reprogramming factors OCT3/4, SOX2, KLF4 and c-MYC were
delivered using a non-integrative method based on the Sendai virus. Once
established, iPSCs have shown full pluripotency, differentiation capacity and
genetic stability.
PMID- 29660609
TI - Aflatoxin B1-contaminated diet disrupts the blood-brain barrier and affects fish
behavior: Involvement of neurotransmitters in brain synaptosomes.
AB - It is known that the cytotoxic effects of aflatoxin B1 (AFB1) in endothelial
cells of the blood-brain barrier (BBB) are associated with behavioral
dysfunction. However, the effects of a diet contaminated with AFB1 on the
behavior of silver catfish remain unknown. Thus, the aim of this study was to
evaluate whether an AFB1-contaminated diet (1177 ppb kg feed-1) impaired silver
catfish behavior, as well as whether disruption of the BBB and alteration of
neurotransmitters in brain synaptosomes are involved. Fish fed a diet
contaminated with AFB1 presented a behavioral impairment linked with
hyperlocomotion on days 14 and 21 compared with the control group (basal diet).
Neurotransmitter levels were also affected on days 14 and 21. The permeability of
the BBB to Evans blue dye increased in the intoxicated animals compared with the
control group, which suggests that the BBB was disrupted. Moreover,
acetylcholinesterase (AChE) activity in brain synaptosomes was increased in fish
fed a diet contaminated with AFB1, while activity of the sodium-potassium pump
(Na+, K+-ATPase) was decreased. Based on this evidence, the present study shows
that silver catfish fed a diet containing AFB1 exhibit behavioral impairments
related to hyperlocomotion. This diet caused a disruption of the BBB and brain
lesions, which may contribute to the behavioral changes. Also, the alterations in
the activities of AChE and Na+, K+-ATPase in brain synaptosomes may directly
contribute to this behavior, since they may promote synapse dysfunction. In
addition, the hyperlocomotion may be considered an important macroscopic marker
indicating possible AFB1 intoxication.
PMID- 29660610
TI - DNA damaging and apoptotic potentials of Bisphenol A and Bisphenol S in human
bronchial epithelial cells.
AB - DNA damage caused by environmental agents often lead to many chronic diseases,
including cancer. The present study aimed to understand the relative toxicity
possessed by Bisphenol A (BPA) and Bisphenol S (BPS) on human bronchial
epithelial cells (BEAS-2B). The cells were exposed to either BPA or BPS and
evaluated for its cytotoxicity, reactive oxygen species (ROS), DNA fragmentation,
phosphorylated histone protein (gamma-H2AX) and DNA tail damage levels. Further,
we also studied DNA damage response (DDR) and caspase-3 mechanisms, to evaluate
its mechanism of cell death processes. Exposure with 200 MUM of BPA,
significantly (p < 0.05) induces caspase-3-mediated cell death by inducing
cytotoxicity, ROS, and DNA fragmentation. Higher levels of gamma-H2AX and DNA
tail damage indicated BPA's DNA damaging potential through an ATM/ATR/Chk1/p53
dependent pathway in BEAS-2B cells. Overall, in vitro data exhibited moderate
toxicity for BPS in comparison with BPA suggesting the need for a thorough
clinical investigation over its safety profile.
PMID- 29660611
TI - Effect of in vivo exposure to ambient fine particles (PM2.5) on the density of
dopamine D2-like receptors and dopamine-induced [35S]-GTPgammaS binding in rat
prefrontal cortex and striatum membranes.
AB - Male Sprague-Dawley rats (8-9 weeks-old) were exposed for three days (acute
exposure) or eight weeks (subchronic exposure) to purified air or concentrated
ambient fine particles, PM2.5 (<=2.5 MUm; 15 to 18-fold of ambient air; 370-445
MUg/m3). In membranes from rat prefrontal cortex (PFC) or striatum, the density
and function of dopamine D2-like receptors (D2Rs) were assessed by [3H]-spiperone
binding and dopamine-stimulated [35S]-GTPgammaS binding, respectively. Glial
activation was evaluated by immunoperoxidase labeling of the glial fibrillary
acidic protein (GFAP). In the PFC, no significant changes in D2R density or
signaling were observed after the acute and subchronic exposure to PM2.5. In the
striatum, acute exposure to PM2.5 decreased D2R density, with no effect on
signaling efficacy, whereas subchronic exposure did not affect D2R density but
reduced signaling efficacy. Both acute and subchronic exposure to PM2.5 induced
reactive gliosis in the striatum but not in the PFC. These results indicate that
exposure to PM2.5 induces astrocyte activation and alters striatal dopaminergic
transmission.
PMID- 29660613
TI - Effects of human activities and climate change on the reduction of visibility in
Beijing over the past 36 years.
AB - Both climate change and intensive human activities are thought to have
contributed to the impairment of atmospheric visibility in Beijing. But the
detailed processes involved and relative roles of human activities and climate
change have not been quantified. Optical extinction of aerosols, the inverse of
meteorological visibility is especially sensitive to fine particles <1.0 MUm.
These submicron particles are considered more hazardous than larger ones in terms
of cardiovascular and respiratory diseases. Here we used the aerosol optical
extinction (inverse of visibility) as the indicator of submicron particles
pollution to estimate its inter-annual variability from 1980 to 2015. Our results
indicated that optical extinction experienced two different periods: a weakly
increasing stage (1980-2005) and a rapidly increasing stage (2005-2015). We
attributed the variations of optical extinction to the joint effects of human
activities and climate change. Over the past 36 years, human activities played a
leading role in the increase of optical extinction, with a positive contribution
of 0.077 km-1/10 y. While under the effects of climate change, optical extinction
firstly decreased by 0.035 km-1/10 y until 2005 and then increased by 0.087 km
1/10 y. Detailed analysis revealed that the abrupt change (around 2005) of
optical extinction resulted from the trend reversals of climate change. We found
since 2005 the decreasing trend by 0.58 m.s-1/10 y in wind speed, the growing
trend at 8.69%/10 y in relative humidity and the declining trend by 2.72 hPa/10 y
in atmospheric pressure have caused the rapid increase of optical extinction. In
brief, the higher load of fine particles <1.0 MUm in Beijing in recent decades
could be associated with both human activities and climate change. Particularly
after 2005, the adverse climate change aggravated the situation of submicron
particles pollution.
PMID- 29660612
TI - Perfluoroalkyl substances and glycemic status in pregnant Danish women: The
Odense Child Cohort.
AB - BACKGROUND: Perfluoroalkyl substances (PFASs) are persistent chemicals with
suspected endocrine disrupting abilities applied in consumer products. PFASs have
potentially modulating effects on glucose homeostasis. Insulin resistance
prevails during third trimester of pregnancy, and this challenge of glucose
homeostasis may reveal putative effects of PFAS concentrations on glycemic
status. OBJECTIVE: To investigate associations between five serum PFASs and
glucose-related outcomes in pregnant Danish women based on their risk of
gestational diabetes mellitus (GDM). METHODS: In the prospective Odense Child
Cohort serum concentrations of five PFASs - perfluorohexane sulfonic acid
(PFHxS), perfluorooctane sulfonic acid (PFOS), perfluorooctanoic acid (PFOA),
perfluorononanoic acid (PFNA) and perfluorodecanoic acid (PFDA) - were measured
at median gestational week (GW) 11 in pregnant women. An oral glucose tolerance
test (OGTT) was performed at GW 28. The statistical analysis was conducted among
158 women with high GDM risk and 160 women with low GDM risk matched by
gestational age. Multiple linear regression models were performed to estimate
associations between PFAS concentrations and glucose, insulin, C-peptide,
homeostatic model of assessment of insulin resistance (HOMA-IR) and beta cell
function (HOMA-%beta), and insulin sensitivity (Matsuda index) during the 2-h
OGTT. RESULTS: In women with high risk for GDM, a two-fold increase in PFHxS
concentration was significantly associated with increased fasting glucose,
fasting insulin and HOMA-IR after adjusting for age, parity, educational level
and pre-pregnancy BMI. Adjusting for the same confounders, a doubling in PFNA
concentration was associated with higher fasting insulin and HOMA-%beta. In women
with low GDM risk, no associations were found between PFAS concentrations and
glucose-related outcomes. CONCLUSION: PFHxS and PFNA concentrations were
associated with impaired glycemic status in metabolically vulnerable pregnant
women and might further enhance the risk of developing GDM.
PMID- 29660614
TI - The association between Borna Disease Virus and schizophrenia: A systematic
review and meta-analysis.
AB - INTRODUCTION: Schizophrenia is a disabling psychiatric disorder. The role of
Borna Disease Virus (BDV) in the etiology of schizophrenia has been suggested by
several studies. However, the existence of such association remained
controversial. The present meta-analysis was conducted to evaluate this
association. METHOD: This systematic review and meta-analysis was conducted using
preferred reporting items for systematic reviews and meta-analysis (PRISMA).
Online databases including Scopus, PubMed, Science direct, Embase, PsycINFO, Web
of Science and Google scholar search engine were searched until January 15, 2017.
The heterogeneity of the studies was evaluated using Cochran's Q test and I2
statistic. Finally, random effects model was used for combining the results using
Stata software version 11.1. RESULT: Overall, 30 studies containing 2533 cases
and 4004 controls were included in the meta-analysis. The combined odds ratio
(OR) for the relationship between BDV and schizophrenia was estimated to be 2.72
(95%CI: 1.75-4.20). This association based on RT-PCR, WB, IFA, EIA, RLA, ECLIA
methods was estimated to be 3.83 (95%CI: 1.59-9.20), 4.99 (95%CI: 1.80-13.85),
1.27 (95%CI: 0.23-7.12), 2.26 (95%CI: 0.48-10.64), 1.67 (95%CI: 0.50-5.56) and
2.88 (95%CI: 1.38-6.01), respectively. Subgroup analysis according to WBC, serum
and plasma samples was estimated to be 3.31 (95%CI: 1.19-9.25), 2.21 (95% CI:
1.17-4.17), 2.21 (95%CI: 1.03-4.73) and 7.89 (95%CI: 1.75-35.53), respectively.
CONCLUSION: The results indicated the role of BDV in the etiology of
schizophrenia.
PMID- 29660615
TI - Biochemical and molecular alterations in freshwater mollusks as biomarkers for
petroleum product, domestic heating oil.
AB - To investigate the effect one of the oil products, domestic heating oil (DHO), on
freshwater mollusks, Unio tigridis and Viviparous bengalensis were exposed to
three DHO concentrations for each species (5.8, 8.7, and 17.4 ml L-1 for mussels;
6.5, 9.7, and 19.5 mlL-1 for snails, respectively). Antioxidant enzymes
(superoxide dismutase, catalase), malondialdehyde, acetylcholinesterase and DNA
damage in both species tissues were monitored over 21 days. The results showed
that both antioxidant enzymes concentration (SOD and CAT) increased in the lowest
DHO concentrations (5.8, and 8.7 ml L-1), and then decreased in the highest
concentration (17.4 ml L-1) as the same pattern for Unio tigridis, but this not
occurred for Viviparous bengalensis. MDA values recorded significantly increased
compared to control. No reduction was observed in AChE concentrations in soft
tissues of both mollusks may due to that DHO was a non-neurotoxicant to Unio
tigridis and Viviparous bengalensis. The results of DNA damage parameters were
showed significant differences (p<= 0.05) between control and DHO concentrations
except lowest concentration for each parameter measured in digestive gland of
Unio tigridis. As well as, these significant differences were recorded between
control and three concentrations of DHO exposure for comet length, and tail
length parameters, and between control and highest oil concentration for tail
moment in Viviparous bengalensis. DHO has the ability to prevent the reproduction
of Viviparous bengalensis snail relation to control, that is what we considered
strong evidence of the toxicity properties of DHO on the reproductive status of
this species of snails. SOD, CAT, and MDA were useful biomarkers for evaluating
the toxicity of DHO in mussel and snails, and comet assay was a good tool to
assess the potential genotoxicity of DHO.
PMID- 29660616
TI - Intestinal damage, neurotoxicity and biochemical responses caused by tris (2
chloroethyl) phosphate and tricresyl phosphate on earthworm.
AB - Organophosphate esters (OPEs) draw growing concern about characterizing the
potential risk on environmental health due to its wide usage and distribution.
Two typical types of organophosphate esters (OPEs): tris (2-chloroethyl)
phosphate (TCEP) and tricresyl phosphate (TCP) were selected to evaluate toxicity
of OPEs to the soil organism like earthworm (Eisenia fetida). Histopathological
examination (H&E), oxidative stress, DNA damage and RT-qPCR was used to identify
the effects and potential mechanism of their toxicity. Hameatoxylin and eosin
(H&E) demonstrated that intestinal cells suffered serious damage, and the
observed up-regulation of chitinase and cathepsin L in mRNA levels confirmed it.
Both TCEP and TCP significantly increased the DNA damage when the concentrations
exceeded 1 mg/kg (p < 0.01), and a dose-response relationship was observed. In
addition, TCEP and TCP also changed the acetylcholinesterase (AChE) activity and
expression of genes associated with neurotoxic effects in earthworms even under
exposure to low OPEs concentration (0.1 mg/kg). Moreover, genes associated with
nicotinic acetylcholine receptors (nAChR) and carrier protein further
demonstrated that highest concentration of TCEP (10 mg/kg) may have an
overloading impact on the cholinergic system of E. fetida. Integrated Biological
Response index (IBRv2) showed that TCEP exerted stronger toxicity than TCP under
the same concentrations. We deduced that the observed intestinal damage,
oxidative stress and neurotoxic effect might be the primary mechanisms of TCEP
and TCP toxicity. This study provides insight into the toxicological effects of
OPEs on earthworm model, and may be useful for risk assessment of OPEs on soil
ecosystems.
PMID- 29660617
TI - Changes in bacterial community structure and antibiotic resistance genes in soil
in the vicinity of a pharmaceutical factory.
AB - China is the largest global producer of antibiotics. With the demand for
antibiotics increasing every year, it is necessary to assess potential
environmental risks and the spread of antibiotic resistance genes (ARGs)
associated with antibiotic production. Here, we investigated the occurrence and
distribution of ARGs in soil in the vicinity of a pharmaceutical factory. The
results showed that antibiotic concentrations were under the detection limit;
however, ARGs were present in soil and tended to be enriched near the factory. A
significant correlation between the relative abundance of intI-1 and tetracycline
ARGs implied that horizontal gene transfer might play an important role in the
spread of ARGs. The occurrence of these ARGs could be the results of previous
antibiotic contamination. However, the soil bacterial community structure seemed
to be more affected by nutrients or other factors than by antibiotics. Overall,
this study supports the viewpoint that long-term pharmaceutical activity might
have a negative effect on environmental health, thus, underscoring the need to
regulate antibiotic production and management.
PMID- 29660618
TI - A detection method in living plant cells for rapidly monitoring the response of
plants to exogenous lanthanum.
AB - The pollution of rare earth elements (REEs) in ecosystem is becoming more and
more serious, so it is urgent to establish methods for monitoring the pollution
of REEs. Monitoring environmental pollution via the response of plants to
pollutants has become the most stable and accurate method compared with
traditional methods, but scientists still need to find the primary response of
plants to pollutants to improve the sensitivity and speed of this method. Based
on the facts that the initiation of endocytosis is the primary cellular response
of the plant leaf cells to REEs and the detection of endocytosis is complex and
expensive, we constructed a detection method in living plant cells for rapidly
monitoring the response of plants to exogenous lanthanum [La(III), a
representative of REEs] by designing a new immuno-electrochemical method for
detecting the content change in extracellular vitronectin-like protein (VN) that
are closely related to endocytosis. Results showed that when 30 MUM La(III)
initiated a small amount of endocytosis, the content of extracellular VN
increased by 5.46 times, but the structure and function of plasma membrane were
not interfered by La(III); when 80 MUM La(III) strongly initiated a large amount
of endocytosis, the content of extracellular VN increased by 119 times,
meanwhile, the structure and function of plasma membrane were damaged. In
summary, the detection method can reflect the response of plants to La(III) via
detecting the content change in extracellular VN, which provides an effective and
convenient way to monitor the response of plants to exogenous REEs.
PMID- 29660619
TI - Highly efficient removal of nitrogen and phosphorus in an electrolysis-integrated
horizontal subsurface-flow constructed wetland amended with biochar.
AB - Electrolysis combined with biochar (BC) was used in a constructed wetland to
intensify nitrogen (N) and phosphorus (P) removal from wastewater simultaneously.
A pilot study was conducted using an electrolysis-integrated, BC-amended,
horizontal, subsurface-flow, constructed wetland (E-BHFCW). The research results
showed that both electrolysis and BC substrate played important roles in the
intensified, constructed wetland. The electrolysis combined BC substrate greatly
enhanced the removal rates of nitrate (49.54%) and P (74.25%) when the E-BHFCW
operated under the lower current density of 0.02 mA/cm2 and an electrolysis time
of 24 h. Improved N removal was accomplished with the electrochemical
denitrification of iron cathodes; the autotrophic denitrification bacteria
appeared to remove nitrate which was adsorbed on the BC substrate because
hydrogen gas was produced by cathodes in the E-BHFCW. Less nitrate was taken
directly by wetland plants and microbes. The in-situ formation of ferric ions
from a sacrificial iron anode, causing P chemical sedimentation and physical
adsorption, improved P removal. BC, modified by iron ions from an iron anode to
adsorb the nitrate and P, was a good material to improve effluent water quality.
It can also serve as a favorable microbial carrier to bio-transform nitrate to N
gas. This is because there were abundant and diverse bacterial communities in the
biofilm on the BC substrate in the E-BHFCW. Thus, electrolysis integrated with BC
in a constructed wetland is a novel, feasible and effective technique for
enhancing wastewater N and P removal.
PMID- 29660620
TI - Using river sediments to analyze the driving force difference for non-point
source pollution dynamics between two scales of watersheds.
AB - The formation and transportation processes of non-point source (NPS) pollution
varied among the studied watersheds in the Northeastern China, so we hypothesized
that the driving force behind NPS pollution followed the spatial scale effect.
With a watershed outlet sedimentary flux analysis and a distributed NPS pollution
loading model, we investigated the temporal dynamics of NPS and the differences
in driving forces. Sediment core samples were collected from two adjacent
watersheds, the smaller Abujiao watershed and the larger Naoli watershed. The
natural climatic conditions, long-term variations in the distribution of land
use, soil properties and tillage practices were the same in the two watersheds.
The vertical distributions of total nitrogen, total phosphorus, Zn and As at 1-cm
intervals in the section showed clear differences between the watersheds. There
were higher concentrations of total nitrogen and total phosphorus in the larger
watershed, but the heavy metals were more concentrated in the smaller watershed.
Lead-210 (210Pb) analyses and the constant rate of supply model provided a dated
sedimentary flux, which was correlated with the corresponding yearly loading of
NPS total nitrogen and total phosphorus in the two watersheds. The total
phosphorus showed a stable relationship in both watersheds with an R2 value that
ranged from 0.503 to 0.682. A rose figure comparison also demonstrated that the
pollutant flux in the sediment was very different in the two watersheds, which
had similar territorial conditions and different hydrological patterns.
Redundancy analysis further indicated that expanding paddy areas had a large
impact on the sedimentary flux of nitrogen and phosphorus in the smaller
watershed, but precipitation had a direct impact on NPS loading in the larger
watershed. We concluded that the spatial scale effect affected the NPS pollution
via the transport processes in the waterway, which was mainly influenced by
branch length and drainage density.
PMID- 29660621
TI - Analysis and modelling of powdered activated carbon dosing for taste and odour
removal.
AB - A series of experiments were undertaken in order to understand and predict the
dosage of powdered activated carbon required to remove taste and odour compounds
in an Australian drinking water treatment plant. Competitive effects with organic
matter removal by aluminium sulphate during coagulation were also quantified.
Data on raw and finished water quality following jar tests, as well as chemical
dosages and treatment performance, were statistically analysed, and a data-driven
prediction model was developed. The developed powdered activated carbon dosage
prediction model can be used by the plant operators for rapid dosage assessment
and can increase the preparedness of the plant to sudden taste and odour events.
It was also found that total organic carbon levels and properties greatly affect
the ability of powdered activated carbon to remove taste and odour compounds; on
the other hand, total organic carbon removal is not affected by high taste and
odour levels, since these were still much lower than organic carbon
concentrations.
PMID- 29660622
TI - Wetting phenomena in membrane distillation: Mechanisms, reversal, and prevention.
AB - Membrane distillation (MD) is a rapidly emerging water treatment technology;
however, membrane pore wetting is a primary barrier to widespread industrial use
of MD. The primary causes of membrane wetting are exceedance of liquid entry
pressure and membrane fouling. Developments in membrane design and the use of
pretreatment have provided significant advancement toward wetting prevention in
membrane distillation, but further progress is needed. In this study, a broad
review is carried out on wetting incidence in membrane distillation processes.
Based on this perspective, the study describes the wetting mechanisms, wetting
causes, and wetting detection methods, as well as hydrophobicity measurements of
MD membranes. This review discusses current understanding and areas for future
investigation on the influence of operating conditions, MD configuration, and
membrane non-wettability characteristics on wetting phenomena. Additionally, the
review highlights mathematical wetting models and several approaches to wetting
control, such as membrane fabrication and modification, as well as techniques for
membrane restoration in MD. The literature shows that inorganic scaling and
organic fouling are the main causes of membrane wetting. The regeneration of
wetting MD membranes is found to be challenging and the obtained results are
usually not favorable. Several pretreatment processes are found to inhibit
membrane wetting by removing the wetting agents from the feed solution. Various
advanced membrane designs are considered to bring membrane surface non
wettability to the states of superhydrophobicity and superomniphobicity; however,
these methods commonly demand complex fabrication processes or high-specialized
equipment. Recharging air in the feed to maintain protective air layers on the
membrane surface has proven to be very effective to prevent wetting, but such
techniques are immature and in need of significant research on design,
optimization, and pilot-scale studies.
PMID- 29660623
TI - Hydrothermal preparation of 3D flower-like BiPO4/Bi2WO6 microsphere with enhanced
visible-light photocatalytic activity.
AB - A novel 3D flower-like BiPO4/Bi2WO6 photocatalyst was prepared using hydrothermal
method. The crystal structure, surface morphologies and optical properties of the
samples were characterized by X-ray diffraction (XRD), scanning electron
microscopy (SEM), energy dispersive spectroscopy (EDS), transmission electron
microscopy (TEM), X-ray photoelectron spectroscopy (XPS), Brunauer-Emmett-Teller
(BET) and Ultraviolet-visible diffuse reflectance spectrum (UV-vis DRS). The
photocatalytic activity of the BiPO4/Bi2WO6 composites was evaluated by using
rhodamine B (RhB) as a target organic pollutant under visible light irradiation.
The composite with the content of 15% BiPO4 exhibited the highest photocatalytic
property with the 92% removal rate of RhB within 100 min under visible light
irradiation, which ascribed to the fast separation efficiency of photo-induced
charge carriers, according with the results of photoluminescence (PL),
photocurrent (PC) and electrochemical impedance spectroscopy (EIS). Also, it
showed superior stability after three times of recycling. Eventually, the
possible photocatalytic mechanism of BiPO4/Bi2WO6 composite was proposed
according to the energy band structure and the radical-trapping experiment.
PMID- 29660624
TI - High-response and low-temperature nitrogen dioxide gas sensor based on gold
loaded mesoporous indium trioxide.
AB - Nitrogen dioxide (NO2), as a typical threatening atmospheric pollutant, is
hazardous to the environment and human health. Thus, the development of a gas
sensor with high response and low detection limit for NO2 detection is highly
important. The highly ordered mesoporous indium trioxide (In2O3) prepared by
simple nanocasting method using mesoporous silica as template and decorated with
Au nanoparticles was investigated for NO2 detection. The prepared materials were
characterized by X-ray diffraction, transmission electron microscopy, and X-ray
photoelectron spectroscopy. Characterization results showed that the samples
exhibited ordered mesostructure and were successfully decorated with Au. The gas
sensing performance of the sensors based on a series of Au-loaded mesoporous
In2O3 were systematically investigated. The Au loading level strongly affected
the sensing performance toward NO2. The optimal sensor, which was based on 0.5
wt% Au-loaded In2O3, displayed high sensor response and low detection limit of 10
ppb at low operating temperature of 65 degrees C. The excellent sensing
properties were mainly attributed to the ordered mesoporous structure and the
catalytic performance of Au. We believe that the Au-loaded mesoporous In2O3 can
provide a promising platform for NO2 gas sensors with excellent performance.
PMID- 29660625
TI - Construction of CdS@UIO-66-NH2 core-shell nanorods for enhanced photocatalytic
activity with excellent photostability.
AB - A novel class of CdS@UIO-66-NH2 core shell heterojunction was fabricated by the
facile in-situ solvothermal method. Characterizations show that porous UIO-66-NH2
shell not only allows the visible light to be absorbed on CdS nanorod core, but
also provides abundant catalytic active sites as well as an intimate
heterojunction interface between UIO-66-NH2 shell and CdS nanorod core. By taking
advantage of this property, the core-shell composite presents highly solar-driven
photocatalytic performance compared with pristine UIO-66-NH2 and CdS nanorod for
the degradation of organic dyes including malachite green (MG) and methyl orange
(MO), and displays superior photostability after four recycles. Furthermore, the
photoelectrochemical performance of CdS@UIO-66-NH2 can be measured by the UV-vis
spectra, Mott-Schottky plots and photocurrent. The remarkably enhanced
photocatalytic activity of CdS@UIO-66-NH2 can be ascribed to high surface areas,
intimate interaction on molecular scale and the formation of one-dimensional
heterojunction with n-n type. What's more, the core-shell heterostructural
CdS@UIO-66-NH2 can facilitate the effective separation and transfer of the
photoinduced interfacial electron-hole pairs and protect CdS nanorod core from
photocorrosion.
PMID- 29660626
TI - Tubulin posttranslational modifications in in vitro matured prepubertal and adult
ovine oocytes.
AB - Microtubules (MTs), polymers of alpha/beta-tubulin heterodimers, are involved in
crucial functions in eukaryotic cells. MTs physiology can be influenced by a
variety of post-translational modifications (PTMs), including tyrosination,
detyrosination, delta 2 modification, acetylation, polyglutamylation,
polyglycylation. In mammalian oocytes, MTs are essential for meiosis, regulating
the formation of meiotic spindle and chromosomes movements. Considering that the
patterns of tubulin PTMs (tyrosination, detyrosination, acetylation,
polyglutamylation and delta 2 modification) have not been investigated in ovine
oocytes, this study has been designed to investigate their presence and
quantification in in vitro matured (IVM) adult and prepubertal ovine oocytes.
Oocytes from adult and lamb Sarda ewes, regularly slaughtered at the local
abattoir, were in vitro matured, fixed, and processed by indirect
immunofluorescence and confocal microscopy analyses at metaphase II stage. Our
results revealed a well detectable signal for total, tyrosinated and acetylated
alpha-tubulin in meiotic spindle of both sheep and lamb oocytes. On the other
hand, no immunopositivity were appreciable for detyrosinated, polyglutamylated,
and delta 2 tubulin in meiotic spindle of both sheep and lamb oocytes. As regard
the tyrosinated and the acetylated alpha-tubulin PTMs, through the quantification
of the fluorescence intensity, we did not find significant differences in their
expression in meiotic spindle of sheep, while in lamb the acetylated tubulin
levels were predominant in comparison with tyrosinated. Our results in addition
to investigating for the first time the different tubulin PTMs in the spindle
organization of ovine oocytes, showed a different microtubule pattern between
adult and prepubertal oocytes. The microtubule cytoskeleton survey may thus
suggest further cues to better understand skill-related problems in in the
acquisition of oocyte competence.
PMID- 29660627
TI - Positive effect of resveratrol against preantral follicles degeneration after
ovarian tissue vitrification.
AB - This study aimed to evaluate whether the addition of resveratrol to
vitrification/thawing medium improves the cryotolerance of preantral follicles
enclosed in bovine ovarian fragments. Ovarian fragments were obtained from bovine
fetuses and distributed to the following groups: fresh ovarian fragments
(control), vitrified (VIT), and vitrified with resveratrol (VIT + RESV). Overall,
the mean percentage of normal follicles was greater (P < 0.05) in the VIT + RESV
compared to the VIT group. Moreover, the probability of finding normal follicles
was 2.5 greater (P < 0.05) in the VIT + RESV group. In class comparison, the
primordial and transitional follicles have ~3.0 times (P < 0.05) greater odds of
being normal after vitrification compared to the secondary follicles.
Additionally, a negative association (P < 0.05) was observed between the
proportion of viable follicles and the stage of follicular development. ROS
levels were similar (P > 0.05) between the VIT and VIT + RESV groups, and both
were lower (P < 0.05) than the control group. The tissue viability in the VIT +
RESV group was similar (P > 0.05) to the control group. In summary, the
resveratrol provided greater ovarian tissue viability and has a positive effect
against degeneration of preantral follicles enclosed in ovarian fragments.
PMID- 29660628
TI - Transcriptional abundance of type-1 endocannabinoid receptor (CB1) and fatty acid
amide hydrolase (FAAH) in bull spermatozoa: Relationship with field fertility.
AB - A highly sophisticated endogenous cannabinoid system (ECS) has been shown to play
a crucial role in controlling sperm functions and fertility in men. In the
present study, we report the differences in the expression level of components of
ECS [type-1 endocannabinoid receptor (CB1) and fatty acid amide hydrolase (FAAH)]
in spermatozoa from bulls with different field fertility ratings. Cryopreserved
spermatozoa from crossbred cattle bulls (n = 40) were utilized for the study. The
bulls were classified into high-, medium- and low-fertile bulls based on field
conception rates. Sperm viability, capacitation status and protamine deficiency
were assessed. Spermatozoa RNA was isolated from all the bulls, cDNA was
synthesized and quantitative real time PCR was carried out to study the
transcriptional abundance of CB1 and FAAH genes. Sperm viability was lower and
capacitation was higher (p < 0.05) in low fertile bulls compared to medium and
high fertile bulls. The expression level of CB1 gene was significantly (p < 0.05)
lower in spermatozoa from low and medium fertile bulls compared to high fertile
bulls. The expression of CB1 gene was 21.07 and 4.23 times greater in high and
medium fertile bulls, respectively compared to low fertile bulls. The correlation
between CB1 gene expression and field conception rate of bulls was positive and
significant (r = 0.57; p < 0.001). Unlike CB1 receptors, FAAH gene expression was
similar among high, medium and low fertile bulls. The correlation of FAAH
expression with bull conception rate was positive but not significant. It was
concluded that the transcriptional abundance of type-1 endocannabinoid receptor
(CB1) was positively and significantly related to bull fertility.
PMID- 29660629
TI - Fatty acid profile of blood plasma and oviduct and uterine fluid during early and
late luteal phase in the horse.
AB - During early pregnancy, the secretome of both oviduct and uterus serves as
exchange medium for signaling factors between embryo and mother and provides the
embryo with nutrients. The preimplantation embryo can utilize the fatty acids
(FA) therein via direct incorporation into cell membrane lipid bilayers and for
energy production via beta-oxidation. The FA concentration and composition of the
oviduct (OF) and uterine fluid (UF) might be regulated by ovarian hormones to
meet the changing needs of the growing embryo. In our study, we analyzed the FA
profile of blood plasma (BP) and reproductive fluid samples obtained post mortem
from slaughtered mares by gas chromatography mass spectrometry. Cycle stage was
determined by visual evaluation of the ovary and measurement of plasma
progesterone. No major effect of cycle stage on the FA profile was observed.
However, the composition of FA was different between BP and both OF and UF. While
linoleic, stearic, oleic and palmitic acid were the four most prevalent FA in
both BP and reproductive fluids, the latter contained higher concentrations of
arachidonic, eicosapentaenoic and dihomo-gamma-linolenic acid. The finding
suggests selective endometrial transport mechanisms from plasma into the
reproductive fluids or increased endometrial synthesis of selected FA.
PMID- 29660630
TI - Anti-apoptotic effects of minocycline on ram epididymal spermatozoa exposed to
oxidative stress.
AB - Cryopreservation causes major damage to sperm cells and thereby, increased
apoptosis has been documented as physiologically programmed cells death. The goal
of current study was to evaluate the anti-apoptotic effects of minocycline
compared to sericin added to diluents on frozen-thawed spermatozoa. Epididymal
spermatozoa isolated from 50 pairs testes with motility >80% and total
morphological abnormalities <10% were pooled, divided into 9 aliquots and used
for cryopreservation. The semen samples were re-suspended with basic Tris egg
yolk diluent containing minocycline (5 and 10 mg) and tert-butyl hydro peroxide
(10 and 20 MUm) and sericin (0.5 gr). Total sperm motility and progressive
motility were evaluated by Computed Assisted System Analysis (CASA). Acrosome and
plasma integrity, viability, hypo osmotic swelling test, malondialdehyde
concentration, DNA fragmentation test, mitochondrial activity, apoptotic
features, caspase activity and level of H2O2 and O2 were assessed as completed
characteristics of frozen-thawed spermatozoa. The results indicate that 10 mg
minocycline added to extender resulted in significant (P < 0.05) enhancement of
total motility, progressive motility and viability of post-thawing spermatozoa.
The results of plasma membrane demonstrate significantly (P < 0.05) higher value
of both minocycline concentrations with 10 MUm tert-butyl hydro peroxide. In
regard to caspase activity, diluents supplemented with 5 and 10 mg minocycline
improved significantly (P < 0.05) the rate of viable spermatozoa. The results of
malondialdehyde concentration show diluents supplemented with 10 mg minocycline
and 0.5 gr sericin were significantly (P < 0.05) lower than other extenders. The
ratio of sperm chromatin dispersion stained spermatozoa illustrated the higher
rate in extenders containing 10 mg minocycline plus 10 MUm tert-butyl hydro
peroxide, 5 mg minocycline plus 10 MUm tert-butyl hydro peroxide and 5 and 10 mg
minocycline were significantly (P < 0.05) than other treatments. Moreover, the
results of mitochondrial activity show significantly (P < 0.05) an improvement by
supplementation of 5 and 10 mg minocycline. Regarding the apoptosis occurrence
and intracellular ROS, the results demonstrate significantly (P < 0.05) higher
live post thawed spermatozoa and lowest value for diluents containing 10 and 5
mg, respectively. In conclusion, the results indicate that addition of 10 mg
minocycline seems to reduce the apoptotic marker during cryopreservation of
epididymal ram spermatozoa.
PMID- 29660631
TI - Using molecular dynamics for multislice TEM simulation of thermal diffuse
scattering in AlGaN.
AB - For simulation of transmission electron microscopic images and diffraction
patterns, the accurate inclusion of thermal diffuse scattering by phonons is
important. In the frozen phonon multislice algorithm, this is possible, if
thermal displacements according to the realistic, quantum mechanical distribution
can be generated. For pure crystals, quantum mechanical calculations based on DFT
yield those displacements. But for alloys one is usually restricted to the
Einstein approximation, where correlations between atoms are neglected. In this
article, molecular dynamics simulations are discussed and used as an alternative
method for displacement calculation. Employing an empirical Stillinger-Weber type
potential, classical motion is used as an approximation for the quantum
mechanical dynamics. Thereby, correlations and possible static atomic
displacements are inherently included. An appropriate potential is devised for
AlGaN by fitting to force constant matrices determined from DFT and elastic
constants of AlN and GaN. A comparison shows that the empiric potential
reproduces phonon dispersions and displacement expectations from DFT references.
The validity for alloys is successfully demonstrated by comparison to DFT
calculations in special quasirandom structures. Subsequently, molecular dynamics
were used in multislice simulations of both conventional and scanning TEM images.
The resulting images are in very good agreement with DFT based calculations,
while a slight yet significant deviation from Einstein approximation results can
be seen, which can be attributed to the neglect of correlations in the latter.
The presented potential hence proves to be a useful tool for accurate TEM
simulations of AlGaN alloys.
PMID- 29660632
TI - Female PFP patients present alterations in eccentric muscle activity but not the
temporal order of activation of the vastus lateralis muscle during the single leg
triple hop test.
AB - This study aimed to compare the concentric and eccentric activity and the
temporal order of peak activity of the hip and knee muscles between women with
patellofemoral pain (PFP) and healthy women during the single leg triple hop test
(SLTHT). Electromyographic (EMG) and Kinematic data were collected from 14
healthy women (CG) and 14 women diagnosed with PFP (PFG) during a single session
of the single leg triple hop test. Integral surface electromyography (iEMG) data
of the hip and knee muscles in eccentric and concentric phases and the length of
time that each muscle needed to reach the maximal peak of muscle activity were
calculated. The iEMG in the eccentric phase was significantly higher (p < 0.05)
than the concentric phase, for the gluteus maximus and gluteus medius muscles (CG
and PFG) and for the vastus lateralis muscle (PFG). The vastus lateralis muscle
was the first muscle to reach the highest peak of activity in the PFG, and the
third to reach this peak in the CG. In the present study, the activity of the
vastus lateralis muscle during the eccentric phase of the jump was greater than
concentric phase, as a temporal anticipation of its peak in activity among women
with PFP.
PMID- 29660633
TI - Objective assessment of gait and posture in premanifest and manifest Huntington
disease - A multi-center study.
AB - BACKGROUND: Deficits in posture and gait are known to contribute to the complex
motor phenotype of Huntington disease (HD). Objective and quantitative measures
of posture and gait provided by posturography and GAITRite(r) assessments may
supplement categorical rating scales such as the UHDRS-TMS and increase power and
sensitivity of clinical trials. OBJECTIVES: To investigate whether posturography
and GAITRite(r) measures reveal (1) changes in manifest or premanifest HD
mutation-carriers, (2) a correlation to the UHDRS-TMS and functional measures in
manifest HD, and (3) a correlation to the disease-burden-score (based on CAG
repeat-length and age). METHODS: Posturography and GAITRite(r) were applied in
premanifest (n = 26) and manifest HD gene-mutation-carriers (n = 40) in different
paradigms compared to age-matched controls (n = 30) in a cross-sectional multi
site study conducted in three centers. Subjects were assessed clinically with the
UHDRS Total-Motor-Score, Total-Functional-Capacity and Functional-Assessment
Scale. RESULTS: Several posturography measures were able to discriminate between
controls, premanifest, and manifest mutation-carriers in both conditions
assessed. Only one GAITRite(r) measure separated controls and premanifest
participants, while discrimination between controls and manifest same as between
premanifest and manifest participants was possible in several measures.
Correlation with all clinical measures was seen in only one measure per device
while correlations to the disease-burden-score seen in posturography only.
CONCLUSION: Overall the results suggests that posturography detects alterations
in premanifest and manifest mutation-carriers more reliably than GAITRite(r)
measures. Correlations with clinical assessment scores are limited; correlation
with disease-burden-score is seen in posturography only. Data acquisition and
analysis was easier with posturography than GAITRite(r) assessments in out
patient settings.
PMID- 29660634
TI - Comparison of osteointegration property between PEKK and PEEK: Effects of surface
structure and chemistry.
AB - Weak osteointegration affects the long-term stability of polyaryletherketone
(PAEK) implants. Surface modification provides a potential solution to improve
the osteointegration property of PAEKs. Polyetheretherketone (PEEK) and
polyetherketoneketone (PEKK) are two representative PAEK materials, but the
latter has more ketone groups and better potential for surface chemical
modification than the former. In this work, porous PEKK (PEKK-P) and PEEK (PEEK
P) were fabricated by a porogen leaching method. The samples were treated with
80% sulfuric acid (PEKK-SP and PEEK-SP) and then simulated body fluid (SBF)
incubation (PEKK-BSP and PEEK-BSP). More micropores and higher hydrophilic SO3H
groups were found on PEKK-SP than PEEK-SP. Likely, more bone-like apatite
crystals deposited on PEKK-BSP than PEEK-BSP. To evaluate their osteointegration
properties, the samples were implanted in femoral condyle defects (Phi3 * 4 mm3)
of rat models, and micro-computed tomography (MU-CT), histology and mechanical
analyzes were performed on the retrieved specimens. For control groups, i.e. the
dense samples (PEKK-D and PEEK-D), only a handful of bone creeping growth on the
implant surface was seen on them. However, with the interconnected macropores,
surface micro/nano topography and bone-like apatite, notable bone growth into the
inner pores was observed on PEKK-BSP and PEEK-BSP. Furthermore, quantitative
analyses confirmed that the newly formed bone in PEKK-BSP was nearly more than
doubled than that in PEEK-BSP. The push-out force testing results (PEKK-D ~ PEEK
D < PEKK-P ~ PEEK-P < PEEK-BSP < PEKK-BSP) suggested that the surface chemical
modification (sulfonation treatment followed by SBF incubation) along with build
in porous structure played more important role in enhancing the mechanical
stability of both PAEK materials than just the physical structure change. Our
results revealed that PEKK with more ketone groups allowed easier sulfonation and
better bone-like apatite deposition than PEEK, thus endowing PEKK-BSP with better
osteointegration and mechanical stability than PEEK-BSP. Therefore, surface
modified PEKK could be potential candidate for spinal and orthopedic applications
requiring good osteointegration property.
PMID- 29660635
TI - Caffeine-catalyzed gels.
AB - Covalently cross-linked gels are utilized in a broad range of biomedical
applications though their synthesis often compromises easy implementation. Cross
linking reactions commonly utilize catalysts or conditions that can damage
biologics and sensitive compounds, producing materials that require extensive
post processing to achieve acceptable biocompatibility. As an alternative, we
report a batch synthesis platform to produce covalently cross-linked materials
appropriate for direct biomedical application enabled by green chemistry and
commonly available food grade ingredients. Using caffeine, a mild base, to
catalyze anhydrous carboxylate ring-opening of diglycidyl-ether functionalized
monomers with citric acid as a tri-functional crosslinking agent we introduce a
novel poly(ester-ether) gel synthesis platform. We demonstrate that biocompatible
Caffeine Catalyzed Gels (CCGs) exhibit dynamic physical, chemical, and mechanical
properties, which can be tailored in shape, surface texture, solvent response,
cargo release, shear and tensile strength, among other potential attributes. The
demonstrated versatility, low cost and facile synthesis of these CCGs renders
them appropriate for a broad range of customized engineering applications
including drug delivery constructs, tissue engineering scaffolds, and medical
devices.
PMID- 29660636
TI - Regional homogeneity and functional connectivity patterns in major depressive
disorder, cognitive vulnerability to depression and healthy subjects.
AB - BACKGROUND: Cognitive vulnerability to depression (CVD) is a high risk for
depressive disorder. Recent studies focus on individuals with CVD to determine
the neural basis of major depressive disorder (MDD) neuropathology. However,
whether CVD showed specific or similar brain functional activity and connectivity
patterns, compared to MDD, remain largely unknown. METHODS: Here, using resting
state functional magnetic resonance imaging in subjects with CVD, healthy
controls (HC) and MDD, regional homogeneity (ReHo) and resting-state functional
connectivity (R-FC) analyses were conducted to assess local synchronization and
changes in functional connectivity patterns. RESULTS: Significant ReHo
differences were found in right posterior lobe of cerebellum (PLC), left lingual
gyrus (LG) and precuneus. Compared to HC, CVD subjects showed increased ReHo in
the PLC, which was similar to the difference found between MDD and HC. Compared
to MDD patients, CVD subjects showed decreased ReHo in PLC, LG, and precuneus. R
FC analyses found increased functional connections between LG and left inferior
parietal lobule, posterior cingulate cortex, and dorsolateral prefrontal cortex
in CVD compared to both HC and MDD. Moreover, Regional mean ReHo values were
positively correlated with Center for Epidemiologic Studies Depression Scale
scores. CONCLUSION: These analyses revealed that PLC and functional connections
between LG and left inferior parietal lobule, posterior cingulate cortex, and
dorsolateral prefrontal cortex may be a potential marker for CVD.
PMID- 29660637
TI - Does oral administration of ketamine accelerate response to treatment in major
depressive disorder? Results of a double-blind controlled trial.
AB - BACKGROUND: Major depressive disorder (MDD) exerts a high health and financial
burden on society. The conventional pharmacotherapies for MDD are partially
effective and the response to medication often starts with some delay. There are
recent reports of antidepressant effects for oral ketamine. METHODS: We employed
a double-blind controlled trial to examine the time course of the therapeutic
effect of ketamine when combined with the conventional administration of
sertraline. A total of 81 patients participated in the study and were scored with
the Hamilton Depression Rating Scale (HDRS) at baseline and at 2, 4 and 6 weeks
after the start of the trial RESULTS: General linear model repeated measures
demonstrated significant effect for time * treatment interaction on the HDRS
scores, with significant difference at all time points post treatment. Early
improvement was significantly greater in the ketamine group (85.4%) compared to
the placebo group (42.5%). We did not observe any side effects for ketamine
administration. LIMITATIONS: Our follow up was limited to 6 weeks post initiation
of treatment and cannot reveal the potential long-term adverse effects of oral
ketamine and the sustainability of its benefit. CONCLUSION: Altogether, our
results suggest that oral ketamine may be considered as suitable adjuvant to
sertraline in relieving depressive symptoms.
PMID- 29660638
TI - Heterogeneity of sleep quality in relation to circadian preferences and
depressive symptomatology among major depressive patients.
AB - BACKGROUND: The current study aimed at investigating the latent dimensional
structure of sleep quality as indexed by the seven components of the Pittsburgh
Sleep Quality Index (PSQI), as well as latent covariance structure between sleep
quality, circadian preferences and depressive symptoms. METHODS: Two hundred
twenty-five patients with major depressive disorder (MDD), with an average age of
29.92 +/- 10.49 years (aged between 17 and 63), participated in the study. The
PSQI, Morningness-Eveningness Questionnaire (MEQ) and Beck Depression Inventory
(BDI) were administered to participants. Four sets of latent class analyses were
subsequently run to obtain optimal number of latent classes best fit to the data.
RESULTS: Mixture models revealed that sleep quality is multifaceted in MDD. The
data best fit to four-latent-class model: Poor Habitual Sleep Quality (PHSQ),
Poor Subjective Sleep Quality (PSSQ), Intermediate Sleep Quality (ISQ), and Good
Sleep Quality (GSQ). MDD patients classified into GSQ latent class (23.6%)
reported the lowest depressive symptoms and were more prone to morningness
diurnal preferences compared to other three homogenous sub-groups. Finally, the
significant association between eveningness diurnal preferences and depressive
symptomatology was significantly mediated by poor sleep quality. LIMITATION: The
cross-sectional nature of the study and the lack of an objective measurement of
sleep such as polysomnography recordings was the most striking limitation of the
study. CONCLUSIONS: We concluded sleep quality in relation to circadian
preferences and depressive symptoms has a heterogeneous nature in MDD.
PMID- 29660639
TI - Elevated tumor necrosis factor-alpha receptor subtype 1 and the association with
abnormal brain function in treatment-resistant depression.
AB - BACKGROUND: Major depressive disorder (MDD) patients have shown elevated plasma
levels of pro-inflammatory biomarkers compared to healthy controls. We
hypothesized increased serum tumor necrosis factor-alpha receptor subtype 1 (TNF
alpha R1) is more associated with impaired brain function in patients with
treatment-resistant depression (TRD) than those without TRD. METHODS: 34 MDD
patients and 34 healthy subjects were recruited and we separated MDD patients to
TRD group (n = 20) and non-TRD (n = 14) group. Pro-inflammatory cytokines were
assessed by enzyme-linked immunosorbent assays. A standardized uptake values
(SUV) of glucose metabolism measured by 18F-FDG positron-emission-tomography
(PET) was applied to all subjects for subsequent region-of- interest analyses and
whole-brain voxel-wise analyses. 18F-FDG-PET measures glucose uptake into
astrocytes in response to glutamate release from neuronal cells, and was thus
used as a proxy measure to quantify glutamatergic neurotransmission in the human
brain. RESULTS: Post-hoc analysis revealed that TRD group had higher serum
concentrations of TNF-alpha R1 compared to healthy control or non-TRD group. In
the MDD group, higher serum concentrations of TNF-alpha R1 significantly
correlated with decreased SUV in anterior cingulate cortex (ACC) and bilateral
caudate nucleus. The ROI analysis further supported the negative correlations of
plasma TNF-alpha R1 and SUV in the ACC and caudate nucleus. Such correlation is
more consistent in TRD group than in non-TRD and HC groups. LIMITATION: Glutamate
neurotransmission and the effect of chronic stress on glutamate release in the
brain were not measured directly. CONCLUSIONS: Increased TNF-alpha R1 was
associated with impaired glutamatergic neurotransmission of caudate nucleus and
ACC in MDD patients, particularly in the TRD.
PMID- 29660640
TI - Parity and bipolar disorder: Additional considerations.
PMID- 29660641
TI - Improvement of postpartum depression and psychosis after electroconvulsive
therapy: A population-based study with a matched comparison group.
AB - INTRODUCTION: Electroconvulsive therapy (ECT) is used to treat postpartum
depression and psychosis based on clinical experience and small observational
studies. AIMS: The primary aim was to test the hypothesis that the response rate
to ECT for depression and psychosis is higher during the postpartum period than
outside this period. The secondary aim was to identify predictors of a response
to ECT during the postpartum period. MATERIALS AND METHODS: Cases with postpartum
depression and/or psychosis received ECT within 6 months of delivery. A matched
comparison group with depression and/or psychosis (not within the postpartum
period) was identified from the Swedish National Quality Register for ECT. The
improvement 1 week after ECT was classified according to the Clinical Global
Impressions Scale - Improvement scale (CGI-I) as responder (CGI-I score 1-2) or
non-responder (CGI-I score 3-7). RESULTS: 185 cases and 185 comparison group
subjects were included (46% with psychosis in each groups). More cases (87.0%)
than comparison group subjects (73.5%) responded to ECT (p = 0.001). Adjusted
binary regression analysis revealed that more severe symptoms prior to treatment
were the only statistically significant predictor of response. LIMITATIONS: There
was no control group without ECT treatment. CONCLUSION: The response rate of
those with postpartum depression and/or psychosis to ECT was high. The response
rate of patients with psychosis or depression was higher during the postpartum
period than outside it. This study supports the use of ECT for severe forms of
postpartum depression and/or psychosis.
PMID- 29660642
TI - Mindfulness-based interventions and their effects on emotional clarity: A
systematic review and meta-analysis.
AB - BACKGROUND: An inability to identify or describe internal emotional experience
has been linked to a range of affective disorders. Despite burgeoning research on
mindfulness-based interventions and their possible effects on emotion regulation,
the effects of such interventions on emotional clarity is unclear. This review
examines the evidence for the effect of mindfulness-based interventions on self
reported emotional clarity. METHOD: Published studies indexed by PsycINFO, PubMed
and Scopus, as available in April 2017, were systematically reviewed.
Interventions that included mindfulness practice or philosophy, and reported a
suitable measure of emotional clarity at pre- and post-intervention were
selected. A random-effects meta-analysis was performed. Subgroup comparisons were
also conducted to test for differences between clinical and non-clinical samples,
between specific and approximate measures of emotional clarity, and between
controlled and uncontrolled trials. RESULTS: Seventeen studies met the criteria
for inclusion. The overall estimated effect size for pre- to post-intervention
was small to moderate, Hedges' g = 0.42, 95% CI [0.25, 0.59] and for between
groups results was small to large, Hedges' g = 0.52, 95% CI [0.15, 0.90].
LIMITATIONS: Studies varied substantially in design quality and study
characteristics. Furthermore, while interventions in the reviewed studies all had
mindfulness components, many had other components in addition to mindfulness.
Consequently, this review is limited by the heterogeneity of studies and results
should be interpreted with caution. CONCLUSIONS: There is preliminary evidence to
suggest that mindfulness-based interventions may increase emotional clarity.
However, more homogenous and targeted experiments are required to confirm these
initial findings.
PMID- 29660643
TI - Gender-specific associations of depression and anxiety symptoms with mental
rotation.
AB - BACKGROUND: Men score higher on mental rotation tasks compared to women and
suffer from depression and anxiety at half the rate of women. The objective of
this study was to confirm the gender-specific effects of depression and anxiety
on mental rotation performance. METHODS: We collected data in non-experimental
conditions from 325 university students at three universities. Participants
completed rating scales of depressive and anxiety symptoms, and then
simultaneously performed a mental rotation task using tablet devices. RESULTS: We
observed no significant difference between men and women in the depressive and
anxiety symptoms and task response time. Men had a significantly higher correct
answer rate compared with women. The scores of depression and anxiety of all
participants were positively correlated. Task response time correlated positively
with intensity of depressive symptoms and anxiety in women, but not in men. Women
with high depressive symptoms had significantly longer response times than did
women with low depressive symptoms, while men had no differences due to
depressive symptoms. LIMITATIONS: We did not directly examine brain functions;
therefore, the underlying neurobiological results are only based on previous
knowledge and action data. CONCLUSIONS: The pathology of depression and anxiety
was reflected in the correct answer rate and response time in relation to the
gender difference of brain function used in mental rotation.
PMID- 29660644
TI - Scared and surrounded by clutter: The influence of emotional reactivity.
AB - BACKGROUND: Home visits can improve treatment outcomes for hoarding disorder, but
factors influencing the success of home visits remain unknown. As home visits
expose individuals to clutter and fear, the present study examined the effect
that fear and emotional reactivity have on the relationship between clutter and
discarding behaviour. METHODS: Participants with at least subclinical discarding
problems (n = 143) were asked to save or discard personal possessions of varying
value following an emotional induction that took place in either a tidy or
cluttered context. Participants also completed questionnaires assessing hoarding
severity, emotional state, and emotional reactivity, as defined by one's
sensitivity, persistence, and intensity of reactions to emotional stimuli.
RESULTS: As expected, participants discarded more items in the presence of
clutter and when feeling fearful. However, emotional reactivity moderated the
relations between environmental context, acute emotional state, and discarding.
Low sensitivity, low persistence, and high emotional intensity negatively
influenced discarding in the cluttered context. When feeling fearful, low
dispositional emotional intensity negatively influenced discarding in the tidy
context. LIMITATIONS: Individuals in the tidy environment reported higher levels
of fear and anxiety than individuals in the cluttered environment after the fear
induction. These differences could have contributed to the difference noted
between the two contexts when examining the effect of emotional intensity
tendencies. CONCLUSIONS: Providing treatment in an environment more
representative of the cluttered home can improve discarding or at the very least
give therapists a more accurate picture of what clients do in the context that
matters most.
PMID- 29660645
TI - The association between severity of depression and prescription opioid misuse
among chronic pain patients with and without anxiety: A cross-sectional study.
AB - BACKGROUND: In light of the increased rates of Prescription Opioid (PO) misuse
and associated mortality in several developed countries in recent years, efforts
have been made to identify populations who may be at increased risk for misuse of
POs. Though the association between depression and PO misuse among pain patients
is well documented, little is known regarding the effects of severity of
depression on rates of misuse. In this study we explored rates of PO misuse among
chronic pain patients screening positive for depression according to level of
severity. METHODS: Participants included chronic pain patients receiving POs (N =
554). All participants were screened for depression using the Patient Health
Questionnaire (PHQ-9; cut-off scores of 5, 10, 15, and 20 for mild, moderate,
moderate-severe and severe depression, respectively) and for opioid misuse using
the Current Opioid Misuse Measure (COMM). Logistic regression analyses
controlling for additional sociodemographic and clinical factors were conducted.
RESULTS: Participants who screened positive for depression were at significantly
increased odds to screen positive for opioid misuse (Adjusted Odds Ratio (AOR) =
3.63; 95% Confidence Interval (CI) = 1.71-7.7) compared to those without
depression. Severity of depression was significantly associated with increased
odds for opioid misuse for moderate (AOR = 3.71; 95% CI = 1.01-13.76), moderate
severe (AOR = 6.28; 95% CI = 1.6-24.57) and severe (AOR = 14.66; 95% CI = 3.28
65.52) depression but not among those who screened positive for mild depression
(AOR = 1.49; 95% CI = 0.39-5.68). LIMITATIONS: Cross-sectional study.
CONCLUSIONS: Our results highlight the need to properly asses and address level
of severity of co-morbid depression among chronic pain patients receiving POs.
PMID- 29660646
TI - Trajectories of posttraumatic stress disorders among adolescents in the area
worst-hit by the Wenchuan earthquake.
AB - BACKGROUND: This study examined the trajectories of posttraumatic stress disorder
(PTSD) among adolescents in the area worst-hit by the Wenchuan earthquake, and
assessed the role of trauma exposure in differentiating distinct PTSD
trajectories. METHODS: In total, 391 adolescents were selected from the Wenchuan
and Maoxian counties in Sichuan province (the area worst-hit by the earthquake).
Participants completed a trauma exposure questionnaire at 1 year, and the Child
PTSD Symptom Scale at 1 year, 1.5 years, 2 years, and 2.5 years after the
earthquake. RESULTS: Three latent PTSD trajectories were found in adolescents:
moderate-stable (81.6%), decreasing (8.7%), and increasing trajectories (9.7%).
T1 trauma exposure was more likely to be related to the decreasing trajectory
group. LIMITATIONS: All variables were measured by self-report scales. There was
high non-random dropout. CONCLUSION: The course of PTSD was heterogeneous among
adolescents following the same natural disaster.
PMID- 29660647
TI - Development of an adaptive electroporation system for intratumoral plasmid DNA
delivery.
AB - Intratumoral electroporation of plasmid DNA encoding the proinflammatory cytokine
interleukin 12 promotes innate and adaptive immune responses correlating with
anti-tumor effects. Clinical electroporation conditions are fixed parameters
optimized in preclinical tumors, which consist of cells implanted into skin.
These conditions have little translatability to clinically relevant tumors, as
implanted models cannot capture the heterogeneity encountered in genetically
engineered mouse models or clinical tumors. Variables affecting treatment outcome
include tumor size, degree of vascularization, fibrosis, and necrosis, which can
result in suboptimal gene transfer and variable therapeutic outcomes. To address
this, a feedback controlled electroporation generator was developed, which is
capable of assessing the electrochemical properties of tissue in real time.
Determination of these properties is accomplished by impedance spectroscopy and
equivalent circuit model parameter estimation. Model parameters that estimate
electrical properties of cell membranes are used to adjust electroporation
parameters for each applied pulse. Studies performed in syngeneic colon carcinoma
tumors (MC38) and spontaneous mammary tumors (MMTV-PyVT) demonstrated feedback
based electroporation is capable of achieving maximum expression of reporter
genes with significantly less variability and applied energy. These findings
represent an advancement to the practice of gene electro-transfer, as reducing
variability and retaining transfected cell viability is paramount to treatment
success.
PMID- 29660648
TI - Gold nanoparticle-decorated reduced-graphene oxide targeting anti hepatitis B
virus core antigen.
AB - Hepatitis B virus core antigen (HBcAg) is the major structural protein of
hepatitis B virus (HBV). The presence of anti-HBcAg antibody in a blood serum
indicates that a person has been exposed to HBV. This study demonstrated that the
immobilization of HBcAg onto the gold nanoparticles-decorated reduced graphene
oxide (rGO-en-AuNPs) nanocomposite could be used as an antigen-functionalized
surface to sense the presence of anti-HBcAg. The modified rGO-en-AuNPs/HBcAg was
then allowed to undergo impedimetric detection of anti-HBcAg with anti-estradiol
antibody and bovine serum albumin as the interferences. Upon successful detection
of anti-HBcAg in spiked buffer samples, impedimetric detection of the antibody
was then further carried out in spiked human serum samples. The electrochemical
response showed a linear relationship between electron transfer resistance and
the concentration of anti-HBcAg ranging from 3.91ngmL-1 to 125.00ngmL-1 with
lowest limit of detection (LOD) of 3.80ngmL-1 at 3sigmam-1. This established
method exhibits potential as a fast and convenient way to detect anti-HBcAg.
PMID- 29660649
TI - Tribological evaluation of biomedical polycarbonate urethanes against articular
cartilage.
AB - This research investigated the in-vitro wear and friction performance of
polycarbonate urethane (PCU) 80A as they interact with articular cartilage, using
a customised multidirectional pin-on-plate tester. Condyles were articulated
against PCU 80A discs (Bionate(r) I and Bionate(r) II) (configuration 1) and the
results arising from these tests were compared to those recorded during the
sliding of PCU pins against cartilage plates (configuration 2). Configuration 1
produced steadily increasing coefficient of friction (COF) (up to 0.64 +/- 0.05)
and had the same trend as the cartilage-on-stainless steel articulation (positive
control). When synovial fluid rather than bovine calf serum was used as
lubricant, average COF significantly decreased from 0.50 +/- 0.02-0.38 +/- 0.06
for condyle-on-Bionate(r) I (80AI) and from 0.41 +/- 0.02-0.24 +/- 0.04 for
condyle-on-Bionate(r) II (80AII) test configurations (p < 0.05). After 15 h
testing, the cartilage-on-cartilage articulation (negative control) tests showed
no cartilage degeneration. However, different levels of cartilage volume loss
were found on the condyles from the positive control (12.5 +/- 4.2 mm3) and the
PCUs (20.1 +/- 3.6 mm3 for 80 AI and 19.0 +/- 2.3 mm3 for 80AII) (p > 0.05). A
good correlation (R2 =0.84) was found between the levels of average COF and the
volume of cartilage lost during testing; increasing wear was found at higher
levels of COF. Configuration 2 showed low and constant COF values (0.04 +/-
0.01), which were closer to the negative control (0.03 +/- 0.01) and
significantly lower than configuration 1 (p < 0.05). The investigation showed
that PCU is a good candidate for use in hemiarthroplasty components, where only
one of the two articulating surfaces is replaced, as long as the synthetic
material is implanted in a region where migrating cartilage contact is achieved.
Bionate(r) II showed better tribological performance, which suggests it is more
favourable for use in hemiarthroplasty design.
PMID- 29660650
TI - Evidence for gastroprotective, anti-inflammatory and antioxidant potential of
methanolic extract of Cordia dichotoma leaves on indomethacin and stress induced
gastric lesions in Wistar rats.
AB - The Cordia dichotoma (CD) is having anticancer and other pharmacological effects
as it contains mainly flavonoids. The present study was aimed to demonstrate the
gastroprotective effect of methanolic extract of CD leaves (MECD) obtained using
Soxhlet extractor. In this study the qualitative phytochemical analysis of MECD
revealed the presence of bioflavonoids and determination of quercetin was
confirmed by HPLC analysis. The MECD was administered orally at doses 50 mg/kg,
100 mg/kg and 200 mg/kg against indomethacin induced gastric ulceration and
stress-induced gastric ulceration in Wistar rats. Omeprazole at 10 mg/kg orally
was used as the reference standard. The various parameters like gastric volume,
gastric pH, total acidity, ulcer index, percent protection were estimated for
assessment of anti-secretory and gastroprotective effects of MECD. At the same
time antioxidant parameters like superoxide dismutase (SOD), catalase (CAT) and
malondialdehyde (MDA) in addition to that inflammatory parameters such as tumor
necrosis factor-alpha (TNF-alpha), interleukin-6 and interleukin-10 were also
estimated according to their respective method of estimation using analyzing kit.
The MECD have reduced gastric volume, total acidity and gastric mucosal damage in
both the experimental models significantly and dose dependently as compared with
control group. Similarly the antioxidant enzymes like SOD and CAT were increased
while MDA levels were decreased significantly, at the same time TNF-alpha and IL
6 levels were decreased and anti-inflammatory IL-10 levels were increased
significantly in MECD treated groups. Thus the pretreatment with MECD has shown
significant gastroprotective potential probably due to its antioxidant and anti
inflammatory properties.
PMID- 29660651
TI - Ecological and social patterns of child dietary diversity in India: a population
based study.
AB - OBJECTIVE: Dietary diversity (DD) measures dietary variation in children. Factors
at the child, community, and state levels may be associated with poor child
nutritional outcomes. However, few studies have examined the role of macro-level
factors on child DD. This study seeks to 1) describe the distribution of child DD
in India, 2) examine the variation in DD attributable to the child, community and
state levels, and 3) explore the relationship between community socioeconomic
context and child DD. RESEARCH METHODS AND PROCEDURES: Using nationally
representative data from children aged 6-23 months in India, multilevel models
were used to determine the associations between child DD and individual- and
community-level factors. RESULTS: There was substantial variation in child DD
score across demographic and socioeconomic characteristics. In an age and sex
only adjusted regression model, the largest portion of variation in child DD was
attributable to the child level (75%) while the portions of variance attributable
to the community-level and state level were similar to each other (15% and 11%).
Including individual-level socioeconomic factors explained 35.6 percent of the
total variation attributed to child DD at the community level and 24.8 percent of
the total variation attributed to child DD at the state level. Finally, measures
of community disadvantage were associated with child DD in when added to the
fully adjusted model. CONCLUSIONS: This study suggests that both individual and
contextual factors are associated with child DD. These results suggest that a
population-based approach combined with a targeted intervention for at-risk
children may be needed to improve child DD in India.
PMID- 29660652
TI - Cross-talk connections underlying dorsal and ventral stream integration during
hand actions.
AB - According to the dual-stream theory, the processing of visual information is
divided into a ventral pathway mediating object recognition, and a dorsal pathway
supporting visuomotor control. Increasing evidence suggests that these streams
are not independent, but where this dorsal-ventral stream integration occurs
remains unknown. We explored the candidate white matter pathways linking dorsal
and ventral visual streams in 30 right-handed participants performing object
oriented hand movements of varying complexity (reaching, grasping and lifting),
using advanced diffusion imaging tractography based on the spherical
deconvolution and kinematical analysis. We provided for the first time a direct
evidence of cross-communication between dorsal and ventral visual streams in
humans, through vertical occipital fasciculus and temporo-parietal fibers of the
arcuate fasciculus during on-line control of grasping and lifting actions. We
showed that individual differences in the microstructure of these cross-talk
connections were associated with the variability of the arm deceleration, the
grip opening and the grasp accuracy. This study suggests that hand kinematics, in
skilled hand actions where high degree of online control is required, is related
to the anatomy of the cross-talk networks between dorsal and ventral streams,
bringing important insights to the dual-stream theory and the sensorimotor
organization of hand actions.
PMID- 29660653
TI - Antinociception induced by rosuvastatin in murine neuropathic pain.
AB - BACKGROUND: Neuropathic pain, and subsequent hypernociception, can be induced in
mice by paclitaxel (PTX) administration and partial sciatic nerve ligation
(PSNL). Its pharmacotherapy has been a clinical challenge, due to a lack of
effective treatment. In two models of mouse neuropathic pain (PTX and PSNL) the
antinociception induced by rosuvastatin and the participation of proinflammatory
biomarkers, interleukin (IL)- 1beta, TBARS and glutathione were evaluated.
METHODS: A dose-response curve for rosuvastatin ip was obtained on cold plate,
hot plate and Von Frey assays. Changes on spinal cord levels of IL-1beta,
glutathione and lipid peroxidation were measured at 7 and 14days in PTX and PSNL
murine models. RESULTS: PTX or PSNL were able to induce in mice peripheral
neuropathy with hypernociception, either to 7 and 14days. Rosuvastatin induced a
dose dependent antinociception in hot plate, cold plate and Von Frey assays. The
increased levels of IL-1beta or TBARS induced by pretreatment with PTX or PSNL
were reduced by rosuvastatin. The reduction of spinal cord glutathione, by PTX or
PSNL, expressed as the ratio GSH/GSSG, were increased significantly in animals
pretreated with rosuvastatin. The anti-inflammatory properties of statins could
underlie their beneficial effects on neuropathic pain by reduction of
proinflammatory biomarkers and activation of glia. CONCLUSION: The findings of
this study suggest a potential usefulness of rosuvastatin in the treatment of
neuropathic pain.
PMID- 29660654
TI - Assessment of the possible roles of SB-269970 versus ketanserin on carbon
tetrachloride-induced liver fibrosis in rats: Oxidative stress/TGF-beta1-induced
HSCs activation pathway.
AB - BACKGROUND: In liver fibrosis, a major morbid and mortal disease, oxidative
stress motivation of hepatic stellate cells (HSCs)-into myofibroblasts terminated
in collagen deposition remain the key pathophysiological deal. Serotonin (5-HT)
through its HSCs-expressed receptors, especially 5-HT2A and 7, shows crucial
events in fibrogenesis of chronic liver diseases. Molecular hepatic oxidative
stress-fibrotic roles of 5-HT2A and 7 receptors antagonists (ketanserin and SB
269970 respectively) are still a challenging issue. METHODS: Seven groups of
adult male Wistar rats (n=10) were used. A carbon tetrachloride (CCl4) solution
was injected intraperitoneally twice weekly for 6 weeks. On the 7th week, rats
developed liver fibrosis were treated either by ketanserin (1mg/kg/day, ip) or SB
269970 (2mg/kg/day, ip) for 14days. Survival rates, and serum alanine
aminotransferase (ALT) and aspartate aminotransferase (AST) levels in addition to
hepatic malondialdehyde (MDA) and reduced glutathione (GSH) levels, superoxide
dismutase (SOD) and catalase (CAT) activities, and transforming growth factor
beta1 (TGF-beta1) and procollagen type I N-terminal propeptide (PINP) levels,
beside the hepatic histopathological fibrotic changes, were evaluated. RESULTS:
In CCl4-challenged rats, each therapeutic approach showed significant reductions
in elevated serum ALT, and AST levels, hepatic MDA, TGF-beta1, and PINP levels,
and histopathological hepatic fibrotic scores as well as significant elevations
in survival rates, reduced hepatic GSH levels, and SOD, and CAT activities.
Remarkably, significant ameliorative measurements were observed in SB-269970
treated group. CONCLUSION: Blockade of 5-HT2A and 7 receptors each alone could be
a future reliable therapeutic approach in liver fibrosis through a reduction in
oxidative stress/TGF-beta1-induced HSCs activation pathway.
PMID- 29660655
TI - Urolithin A shows anti-atherosclerotic activity via activation of class B
scavenger receptor and activation of Nef2 signaling pathway.
AB - BACKGROUND: This study investigates the therapeutic potential of urothelin A in
attenuating atherosclerotic lesion in wistar rat models and explore the role of
Scavenger receptor-class B type I (SR-BI) and activation of Nrf-2 singling
pathway. METHODS: Wistar rats (n=48) were feed with high cholesterol diet
supplemented with Vitamin D3 and subjected to balloon injury of the aorta. Three
days prior to the aortal injury, rats (n=16) were administered urothelin A
(3mg/kg/d; po). Positive control were rats receiving high cholesterol diet and
balloon injury of the aorta (n=16). The sham group (n=16) consisted of rats fed
on basal diet. After twelve weeks blood was collected from all animals for
estimation of lipid and angiotensin II (Ang II) levels along, subsequently all
animals were sacrificed and morphologic analysis of the aorta was performed.
Expression of SR-BI and phosphorylated extracellular signal regulated kinase 1/2
(p-ERK1/2) protein were evaluated by Western blot. RESULTS: After twelve weeks of
treatment with urolithin A, there was a significant decrease in the plasma lipid
and Ang II levels and improvement of aortic lesion compared with the sham group.
There was an increased expression of SR-BI and inhibition of p-ERK1/2 (p<0.05).
The expression of SR-BI was inversely correlated with levels of Ang II.
CONCLUSION: From the results it can be safely concluded that administration of
urolithin A attenuates atherosclerosis via upregulation of SR-BI expression and
inhibition of p-ERK1/2 levels.
PMID- 29660656
TI - Comparison of liquid hot water, very dilute acid and alkali treatments for
enhancing enzymatic digestibility of hazelnut tree pruning residues.
AB - The effect of pretreatments on the composition of the hazelnut tree pruning
residue (HTPR) and on the digestibility of the cellulose was investigated. The
liquid hot water (LHW) and the very dilute acid (VDA) treatments were effective
in solubilizing hemicellulose. The cellulose conversion increased up to around
60% (corresponding to 32-36 g/L glucose) with decreasing hemicellulose
concentration in the pretreated HTPR. The alkali treatment provided partial
delignification, however, the glucose production was comparably lower. Combining
the hemicellulose removal and the delignification effect of different
pretreatments in two-stage processes (LHW-alkali and VDA-alkali treatments)
enhanced the cellulose concentration in the solids, but not the amount of glucose
released in the enzymatic digestion. These results suggested that the
hemicellulose was the main barrier against the conversion of cellulose in the LHW
and VDA treated HTPR and the glucose in the hydrolysis medium inhibited the
cellulase activity, which prevented the complete conversion of cellulose.
PMID- 29660657
TI - Hydrothermal processing as pretreatment for efficient production of ethanol and
biogas from municipal solid waste.
AB - Organic fraction of municipal solid waste (OFMSW) is dominated by carbohydrates,
including starch-based and lignocellulosic materials. The OFMSW was
hydrothermally pretreated at 100-160 degrees C for 0-60 min, and then assessed
for enzymatic ethanol production, followed by biogas production from the
stillage. The highest glucose yield of 520 g/Kg of dry OFMSW, corresponding to
131% increase compared to that of the untreated OFMSW, was obtained after the
pretreatment and enzymatic hydrolysis. Through ethanolic fermentation by an
inhibitory tolerant fungus, Mucor indicus, 191.10 g ethanol/Kg of dry OFMSW was
obtained, which was a 140.9% improvement in the ethanol yield compared to that
from the untreated one. Methane production from the stillage (waste residues)
resulted in 156 L/Kg OFMSW. In other words, a total of 10,774 KJ energy/Kg of dry
OFMSW was generated at the best conditions.
PMID- 29660658
TI - Nuclease expression in efficient polyhydroxyalkanoates-producing bacteria could
yield cost reduction during downstream processing.
AB - Industrial manufacturing of polyhydroxyalkanoates (PHAs) requires purification of
PHAs granules from high-cell-density cultures. Cells are broken by homogenization
and PHAs granules are cleansed and treated to obtain PHAs latexes. However, cell
lysis releases large amounts of DNA which results in an increasing viscosity of
the medium, hampering the following downstream steps. Drop in viscosity is
generally achieved by costly procedures such as heat treatment or the
supplementation of hypochlorite and commercially available nucleases. Searching
for a cost-effective solution to this issue, a nuclease gene from Staphylococcus
aureus has been integrated into two efficient PHAs-producing bacteria:
Cupriavidus necator DSM 545 and Delftia acidovorans DSM 39. Staphylococcal
nuclease has been proficiently expressed in both microbial hosts without
affecting PHAs production. Moreover, the viscosity of the lysates of recombinant
C. necator cells was greatly reduced, indicating that the engineered strain is
expected to yield large reduction cost in PHAs downstream processing.
PMID- 29660659
TI - Enrichment of bio-oil after hydrothermal liquefaction (HTL) of microalgae C.
vulgaris grown in wastewater: Bio-char and post HTL wastewater utilization
studies.
AB - In this study, bio-oil was produced through hydrothermal liquefaction (HTL) of C.
vulgaris biomass cultivated in wastewater and was enriched into transportation
fuels. Bio-oil yield was 29.37% wt at 300 degrees C, 60 min, at 15 g/200 mL
biomass loading rate with 3% wt nano ZnO catalyst loading. Applying catalyst
reduced oxygen and nitrogen content in bio-oil and increased its calorific value
(19.6 +/- 0.8 MJ/Kg). Bio-oil was enriched through liquid-liquid extraction (LLE)
and higher yield was obtained at 30 degrees C for dichloromethane solvent (18.2%
wt). Compounds of enriched oil were within the petro-diesel range (C8-C21). Bio
char after HTL process was activated and used as adsorbent in wastewater
treatment process to remove organic pollutants (COD, NO3, NH3 and PO4). Treated
wastewater can be supplied as growth medium for microalgae cultivation in further
experiments. Nearly 3-4 times the nanocatalyst can be reused in the HTL process.
PMID- 29660660
TI - Bioaugmentation strategy for enhancing anaerobic digestion of high C/N ratio
feedstock with methanogenic enrichment culture.
AB - To investigate whether bioaugmentation could improve the digestion performance of
high C/N ratio feedstock without co-digestion with nitrogen-rich substrate,
different forms of enriched methanogenic culture were introduced to the
continuous feed digesters. The performance efficiency of bioaugmentation on
digestion improvement was compared. The effect of bioaugmentation on microbial
community composition was revealed as well. Results demonstrated that routine
bioaugmentation with liquid culture (containing the microbes and the medium
remains) showed the best performance, with the organic loading rate (OLR),
methane percentage, volumetric methane production (VMP) and volatile solid
methane production (VSMP) higher at 1.0 g L-1 d-1, 24%, 0.22 L L-1 d-1 and 0.23 L
g-1 VS d-1 respectively, compared to the non-bioaugmentation control. Whole
genome pyrosequencing analysis suggested that consecutive microbial consortium
addition could reconstruct the methanogens community by increasing the
populations of acetoclastic methanogens Methanothrix, which could accelerate the
degradation of acetate and methane production.
PMID- 29660661
TI - Enhanced bioreduction synthesis of ethyl (R)-4-chloro-3-hydroybutanoate by
alkalic salt pretreatment.
AB - In this study, biomass-hydrolysate was used for enhancing the bioreduction of
ethyl 4-chloro-3-oxobutanoate (COBE). Firstly, dilute alkalic salt pretreatment
was attempted to pretreat bamboo shoot shell (BSS). It was found that enzymatic
in situ hydrolysis of 20-50 g/L BSS pretreated with dilute alkalic salts (0.4%
Na2CO3, 0.032% Na2S) at 7.5% sulfidity by autoclaving at 110 degrees C for 40
min gave sugar yields at 59.9%-73.5%. Moreover, linear relationships were
corrected on solid recovery-total delignification-sugar yield. In BSS
hydrolysates, xylose and glucose could promote the reductase activity of
recombinant E. coli CCZU-A13. Compared with glucose, hydrolysate could increase
the reductase activity by 1.35-folds. Furthermore, the cyclohexane-hydrolysate
(10:90, v/v) biphasic media containing ethylene diamine tetraacetic acid (EDTA,
40 mM) and l-glutamine (150 mM) was built for the effective biosynthesis of ethyl
(R)-4-chloro-3-hydroxybutanoate [(R)-CHBE] (94.6% yield) from 500 mM COBE. In
conclusion, this strategy has high potential for the effective biosynthesis of
(R)-CHBE (>99% e.e.).
PMID- 29660662
TI - Green alga cultivation with monoethanolamine: Evaluation of CO2 fixation and
macromolecule production.
AB - This study aimed to assess the growth of Chlorella strains isolated from adverse
environments at various concentrations of monoethanolamine (MEA), evaluating the
CO2 fixation and macromolecule production. For this purpose, the green algae
Chlorella sp. and Chlorella fusca LEB 111 were tested against five concentrations
of MEA: 50, 75, 100, 200 and 300 mg L-1. The strain C. fusca LEB 111 exhibited
higher tolerance to MEA as well as higher accumulation of dissolved inorganic
carbon and efficiency of CO2 utilization (approximately 37.0% w w-1) with the
addition of 100 and 150 mg L-1 of MEA. In addition, the highest carbohydrate
productivity and the highest lipid productivity were obtained with 50 and 100 mg
L-1 of MEA, respectively. Thus, the absorbent increased the carbon concentration
in the medium, and its use in culture can be exploited by C. fusca LEB 111 to
produce higher macromolecule concentrations.
PMID- 29660663
TI - Developing an UPLC-MS/MS method to quantify maoecrystal A in rat plasma:
Application to a pharmacokinetic study.
AB - Maoecrystal A (MC-A) is an ent-kaurane-type diterpene isolated from Rabdosia
eriocalyx (Dunn) Hara. MC-A has been reported to show different types of
pharmacological activities, including anticancer, anti-inflammatory and
bacteriostatic functions. However, bioanalysis of MC-A has not been reported. The
purpose of this study is to develop an UPLC-MS/MS method to quantify MC-A in
plasma and determine its pharmacokinetic properties using an animal model. The
separation was performed using a Waters HSS T3 column (50 mm * 2.1 mm, 1.8 MUm,
Waters Corp., Milford, MA, USA) with methanol and water containing 0.1% of formic
acid as the mobile phases. The mass analysis was performed in a Waters Xevo TQ
mass spectrometer using multiple reaction monitoring (MRM) in positive scan mode.
Protein precipitation was used to extract the drug from rat plasma samples. The
calibration curve is linear in the concentration range 0.49-2000.0 ng/mL. The
extraction recovery and the matrix effect were 78.11 to 91.72% and 90.38 to
98.02%, respectively. The RSD of inter/intra-day precisions were <13.72% and the
accuracy was >86.41%. Stability studies showed that MC-A was stable (RSD <
14.98%) at different conditions (i.e., short-term, long-term, bench, and three
freeze-thaw cycles) in rat plasma. The method was successfully applied to a
pharmacokinetic study using rats through oral and intravenous administration
routes. The oral bioavailability of MC-A was only 2.9%. Further studies are
needed to determine the absorption and metabolism in order to improve the oral
bioavailability of MC-A.
PMID- 29660664
TI - Nano-flow vs standard-flow: Which is the more suitable LC/MS method for
quantifying hepcidin-25 in human serum in routine clinical settings?
AB - Hepcidin-25 peptide is a biomarker which is known to have considerable clinical
potential for diagnosing iron-related diseases. Developing analytical methods for
the absolute quantification of hepcidin is still a real challenge, however, due
to the sensitivity, specificity and reproducibility issues involved. In this
study, we compare and discuss two MS-based assays for quantifying hepcidin, which
differ only in terms of the type of liquid chromatography (nano LC/MS versus
standard LC/MS) involved. The same sample preparation, the same internal
standards and the same MS analyzer were used with both approaches. In the field
of proteomics, nano LC chromatography is generally known to be more sensitive and
less robust than standard LC methods. In this study, we established that the
performances of the standard LC method are equivalent to those of our previously
developed nano LC method. Although the analytical performances were very similar
in both cases. The standard-flow platform therefore provides the more suitable
alternative for accurately determining hepcidin in clinical settings.
PMID- 29660665
TI - Investigation of anti-Hepatitis C virus, sofosbuvir and daclatasvir, in pure
form, human plasma and human urine using micellar monolithic HPLC-UV method and
application to pharmacokinetic study.
AB - Simultaneous determination of sofosbuvir (SOF), and daclatasvir (DAC) in their
dosage forms, human urine and human plasma using simple and rapid micellar high
performance liquid chromatographic method coupled with UV detection (HPLC-UV) had
been developed and validated. These drugs are described as co-administered for
treatment of Hepatitis C virus (HCV). HCV is the cause of Hepatitis C and some
cancers such as liver cancer (hepatocellular carcinoma) and lymphomas in humans.
Separation and quantitation were carried out on anonyxTM C8 monolithic (100 * 4.6
mm (i.d.) analytical column maintained at 25 degrees C. The mobile phase
consisted of 0.1 M sodium dodecyl sulfate (SDS) solution containing 20% (V/V) n
propanolol and 0.3% (V/V) triethylamine and pH was adjusted to 6.5 using 0.02 M
phosphoric acid, respectively. The retention times of SOF and DAC were 4.8 min,
and 6.5 min, respectively. Measurements were made at flow rate of 0.5 mL/min with
injection volume of 20 MUL and ultraviolet (UV) detection at 226 nm. Linearity of
SOF and DAC was obtained over concentration ranges of 50-400, and 40-400 ng/mL,
respectively in pure form, 60-300 and 50-300 ng/mL, respectively for human plasma
and over 50-400, and 40-400 ng/mL, respectively for human urine with correlation
coefficient >0.999. The proposed method demonstrated excellent intra- and inter
day precision and accuracy. The suggested method was applied for determination of
the drugs in pure, dosage form, and in real human plasma, real human urine and
drug-dissolution test of their tablets. The obtained results have been
statistically compared to reported method to give a conclusion that there is no
significant differences.
PMID- 29660666
TI - On-line supercritical fluid extraction-supercritical fluid chromatography-mass
spectrometry of polycyclic aromatic hydrocarbons in soil.
AB - On-line supercritical fluid extraction - supercritical fluid chromatography -
mass spectrometry (SFE-SFC-MS) has been applied for the determination of
polycyclic aromatic hydrocarbons (PAHs) in soil. The purpose of this study was to
develop and validate the first on-line SFE-SFC-MS method for the quantification
of PAHs in various types of soil. By coupling the sample extraction on-line with
chromatography and detection, sample preparation is minimized, diminishing sample
loss and contamination, and significantly decreasing the required extraction
time. Parameters for on-line extraction coupled to chromatographic analysis were
optimized. The method was validated for concentrations of 10-1500 ng of PAHs per
gram of soil in Certified Reference Material (CRM) sediment, clay, and sand with
R2 >= 0.99. Limits of detection (LOD) were found in the range of 0.001-5 ng/g,
and limits of quantification (LOQ) in the range of 5-15 ng/g. The method
developed in this study can be effectively applied to the study of PAHs in the
environment, and may lay the foundation for further applications of on-line SFE
SFC-MS.
PMID- 29660667
TI - High-performance thin-layer chromatographic methods for the determination of
febuxostat and febuxostat/diclofenac combination in human plasma.
AB - Two simple, sensitive and specific high-performance thin-layer chromatographic
(HPTLC) methods were developed for the determination of febuxostat (FEB)
individually, and simultaneously with diclofenac (DIC) in human plasma. Method A
presents the first HPTLC-ultraviolet attempt for FEB determination in human
plasma. FEB was separated from endogenous plasma components (at hRF = 70) with
ethyl acetate-methanol-water (9:2:1, v/v) mixture as mobile phase and quantified
by densitometry at its lambdamax (315 nm). Method B is considered the first
attempt for the simultaneous determination of FEB and DIC in human plasma. A
mixture of petroleum ether-chloroform-ethyl acetate-formic acid (7.5:1:2.5:0.25,
v/v) was used as the mobile phase. The two drugs were separated at hRF of 39 and
60 for FEB and DIC, respectively. FEB and DIC were quantified by densitometry at
their isoabsorptive point (289 nm). FEB calibration plots were linear between 0.1
and 7 MUg mL-1 in both methods A and B. In method B, DIC showed linear response
in the range of 0.08-8 MUg mL-1. Sample preparation was performed by liquid
liquid extraction using diethyl ether. Both methods did not record any
interference from plasma matrix, the studied drugs' metabolites or their
decomposition products. They were successfully applied for the determination of
the studied drugs in healthy male volunteers after oral administration of FEB or
FEB/DIC dosage forms. FEB plasma concentration increased significantly when given
with DIC. The proposed methods provided very simple, rapid and cheap approaches
that might be attractive for the future pharmacokinetic and bioavailability
studies of FEB and/or DIC.
PMID- 29660668
TI - Supercritical fluid chromatography applied to the highly selective isolation of
urinary steroid hormones prior to GC/MS analysis.
AB - To assess the presence of prohibited anabolic substances used to promote growth
in livestock, calf urine is the most relevant matrix. However, the sample
preparation methods (required to remove unwanted matrix components and
fractionate isobaric species that may be unresolved by gas chromatography- mass
spectrometry GC/MS) are long and complex. In this context, semi-preparative
supercritical fluid chromatography (SFC) was considered to possibly simplify the
sample preparation in reducing the number of procedures. Fifteen stationary
phases were screened with SFC combined with UV and evaporative light-scattering
detection (ELSD), among which two columns (Cosmosil pi-NAP and Princeton DIOL)
were retained for their ability to isolate steroid hormones from other matrix
components and, for the second column, for the additional possibility to
fractionate steroid hormones into different families (estrogens, mono
hydroxylated and di-hydroxylated androgens). The fractions were further analysed
with GC/MS showing the benefit of class fractionation. The final method allows
for significant time, solvent and money savings compared to the previously widely
used method (solid-phase extraction combined with semi-preparative high
performance liquid chromatography).
PMID- 29660669
TI - The systemic inflammatory response syndrome criteria and their differential
association with mortality.
AB - PURPOSE: Despite the recent Sepsis-3 consensus, the Systemic Inflammatory
Response Syndrome (SIRS) criteria continue to be assessed and recommended. Such
use implies equivalence and interchangeability of criteria. Thus, we aimed to
test whether such criteria are indeed equivalent and interchangeable. MATERIALS
AND METHODS: From 2000 to 2015, we identified patients with infection, organ
failure, and at least one SIRS criterion in 179 Intensive Care Units in Australia
and New. Zealand. We studied the association of different SIRS criteria with
hospital mortality. RESULTS: Among 131,016 patients with infection and organ
failure, mortality increased from 10.6% for the respiratory rate criterion to
15.8% for the heart rate criterion (P<0.01); from 10.1% for the high leukocyte
count criterion to 20.0% for a low count and from 10.1% for a high temperature to
14.4% for a low temperature criterion. With any two SIRS criteria, hospital
mortality varied from 11.5% to 30.8% depending on the combination of criteria.
This difference remained unchanged after adjustments and was consistent over
time. CONCLUSIONS: Different individual and combinations of SIRS criteria were
associated with marked differences in hospital mortality. These differences
remained unchanged after adjustment and over time and imply that individual SIRS
criteria are not equivalent or interchangeable.
PMID- 29660670
TI - Efficacy of early passive tilting in minimizing ICU-acquired weakness: A
randomized controlled trial.
PMID- 29660671
TI - Computational study of molecular electrostatic potential, docking and dynamics
simulations of gallic acid derivatives as ABL inhibitors.
AB - Chronic myeloid leukemia (CML), a hematological malignancy arises due to the
spontaneous fusion of the BCR and ABL gene, resulting in a constitutively active
tyrosine kinase (BCR-ABL). Pharmacological activity of Gallic acid and 1,3,4
Oxadiazole as potential inhibitors of ABL kinase has already been reported.
Objective of this study is to evaluate the ABL kinase inhibitory activity of
derivatives of Gallic acid fused with 1,3,4-Oxadiazole moieties. Attempts have
been made to identify the key structural features responsible for drug likeness
of the Gallic acid and the 1,3,4-Oxadiazole ring using molecular electrostatic
potential maps (MESP). To investigate the inhibitory activity of Gallic acid
derivatives towards the ABL receptor, we have applied molecular docking and
molecular dynamics (MD) simulation approaches. A comparative study was performed
using Bosutinib as the standard which is an approved CML drug acting on the same
receptor. Furthermore, the novel compounds designed and reported here in were
evaluated for ADME properties and the results indicate that they show acceptable
pharmacokinetic properties. Accordingly these compounds are predicted to be drug
like with low toxicity potential.
PMID- 29660672
TI - Biotechnological and protein-engineering implications of ancestral protein
resurrection.
AB - Approximations to the sequences of ancestral proteins can be derived from the
sequences of their modern descendants. Proteins encoded by such reconstructed
sequences can be prepared in the laboratory and subjected to experimental
scrutiny. These 'resurrected' ancestral proteins often display remarkable
properties, reflecting ancestral adaptations to intra-cellular and extra-cellular
environments that differed from the environments hosting modern/extant proteins.
Recent experimental and computational work has specifically discussed high
stability, substrate and catalytic promiscuity, conformational
flexibility/diversity and altered patterns of interaction with other sub-cellular
components. In this review, we discuss these remarkable properties as well as
recent attempts to explore their biotechnological and protein-engineering
potential.
PMID- 29660673
TI - Inspective and toxicological survey of the poisoned baits and bites.
AB - Cases of intentional animal poisonings are still widespread in Italy, even if the
improper or malicious use of poisoned baits is banned. This represents a serious
threat to pets as well as wildlife species, but also an environmental and human
health concern. A retrospective study was performed based on baits sent for
toxicological analysis to the laboratories of Istituto Zooprofilattico
Sperimentale del Mezzogiorno (IZSM) in Southern Italy over a five year period.
Analyses were carried out by using different analytical techniques in relation to
the toxicants. Results show a trend different from other countries, as well as
from that reported for Northern Italy. The molluscicide metaldehyde proved to be
the most common substance detected in our laboratory (63.9%) followed by
organochlorine insecticides (29.2%), organophosphine insecticides (11.1%) and
anticoagulant rodenticides (9.7%). Other rodenticides, such as strychnine and
zinc phosphide were detected only one time in baits. Among the organochlorine
insecticide, endosulfan (both alpha and beta isomers) occurred as the main
poisoning agent. The incidence of poisoning cases detected in the present survey
is extremely alarming and pointed out that actions have to be made to reduce this
illegal practice and its environmental impact.
PMID- 29660674
TI - The relation of borderline personality disorder to aggression, victimization, and
institutional misconduct among prisoners.
AB - OBJECTIVE: Borderline personality disorder (BPD) is highly prevalent among
incarcerated populations; however, research has yet to examine whether prisoners
diagnosed with BPD experience greater interpersonal dysfunction and institutional
misconduct while incarcerated. PROCEDURE: This study drew from a sample of 184
male and female prisoners diagnosed with major depressive disorder (MDD) in a
randomized trial of depression treatment. The presence of a BPD diagnosis (n =
69) was analyzed as a predictor of disciplinary incidents/infractions (i.e.,
fights, arguments with staff, disciplinary infractions, isolation), time spent in
isolation, and types of aggression and victimization experiences during
incarceration. RESULTS: There was a trend suggesting prisoners with BPD were
about twice as likely as those without BPD to report disciplinary
incidents/infractions (OR = 1.76 [0.93, 3.32], p = 0.075). Having a BPD diagnosis
was unrelated to time in isolation and overall aggression and victimization.
However, prisoners with BPD were more likely than those without BPD to perpetrate
and be victimized by psychological aggression. Due to high rates of antisocial
personality disorder (ASPD) in the sample as a whole (72%), additional analyses
compared outcomes across prisoners with no BPD or ASPD diagnosis, BPD diagnosis
only, ASPD diagnosis only, and comorbid BPD and ASPD. Prisoners with comorbid BPD
and ASPD were no more likely than prisoners with ASPD only to report disciplinary
incidents/infractions, but were significantly more likely than those with ASPD
only to report perpetrating and being victimized by psychological aggression.
CONCLUSIONS: Among prisoners with MDD, those with a BPD diagnosis have increased
risk of psychological aggression and disciplinary infractions during
incarceration.
PMID- 29660675
TI - Improvements in event-related desynchronization and classification performance of
motor imagery using instructive dynamic guidance and complex tasks.
AB - BACKGROUND AND OBJECTIVE: The motor-imagery based brain-computer interface
supplies a potential approach for motor-impaired patients, not only to control
rehabilitation facilities but also to promote recovery from motor dysfunctions.
To improve event-related desynchronization during motor imagery and obtain
improved brain-computer interface classification accuracy, we introduce dynamic
video guidance and complex motor tasks to the motor imagery paradigm. METHODS:
Eleven participants were included in the experiment; 64-channel
electroencephalographic data were collected and analyzed during four motor
imagery tasks with different guidance. Time-frequency analysis, spectral-time
variation analysis, topographical distribution maps, and statistical analysis
were utilized to analyze the event-related desynchronization patterns. Common
spatial patterns were used to extract spatial pattern features and support vector
machines were used to discriminate the offline classification accuracies in three
bands (the alpha band, beta band, alpha and beta band) for comparison. RESULTS:
The experimental outcomes showed that complex motor imagery tasks coupled with
dynamic video guidance induced significantly stronger event-related
desynchronization than other paradigms, which use simple motor imagery tasks or
static guidance. Similar results were obtained during analysis of the motor
imagery brain-computer interface classification performance; namely, the highest
average classification accuracy in complex and dynamic guidance was improved by
approximately 14%, compared with static guidance. For individually specified
paradigms, all participants obtained a classification accuracy that exceeded or
was equal to 87.5%. CONCLUSIONS: This study provides an optional route to enhance
the event-related desynchronization activities and classification accuracy of a
motor imagery brain-computer interface through optimization of motor imagery
tasks and instructive guidance.
PMID- 29660676
TI - Cerebrovascular myocardium-tissue embolism: a rare complication of heart surgery:
autopsy case report.
PMID- 29660677
TI - Multi-technique characterisation of commercial alizarin-based lakes.
AB - The characterization of ancient and modern alizarin-based lakes is a largely
studied topic in the literature. Analytical data on contemporary alizarin-based
lakes, however, are still poor, though of primary importance, since these lakes
might be indeed present in contemporary and fake paintings as well as in
retouchings. In this work we systematically investigate the chemical composition
and the optical features of fifteen alizarin-based lakes, by a multi-analytical
technique approach combining spectroscopic methods (i.e. Energy Dispersive X-ray
Fluorescence Spectroscopy, EDXRF; Attenuated Total Reflectance Fourier-Transform
Infrared Spectroscopy, ATR-FTIR; X-ray Powder Diffraction, XRD; UV induced
fluorescence and reflectance spectroscopies) and chromatography (i.e. High
performance Liquid Chromatography coupled with a Photodiode Array Detector, HPLC
PDA). Most of the samples contain typical compounds from the natural roots of
madder, as occurring in ancient and modern lakes, but in two samples (23600
Kremer-Pigmente and alizarin crimson-Zecchi) any anthraquinonic structures were
identified, thus leading to hypothesize the presence of synthetic dyes. The
detection of lucidin primeveroside and ruberythrique acid in some lakes suggest
the use of Rubia tinctorum. One sample (23610-Kremer-Pigmente) presents alizarin
as the sole compound, thereby revealing to be a synthetic dye. Moreover,
gibbsite, alunite and kaolinite were found to be used as substrates and/or
mordants. Visible absorption spectra of the anthraquinonic lakes show two main
absorption bands at about 494-511nm and 537-564nm, along with a shoulder at about
473-479nm in presence of high amounts of purpurin. Finally, from the results
obtained by UV induced fluorescence spectroscopy it is possible to figure out
that, although it is commonly assumed that the madder lake presents an orange
pink fluorescence, the inorganic compounds, added to the recipe, could induce a
quenching phenomenon or an inhibition of the fluorescence, as occurring in some
commercial alizarin-based lakes.
PMID- 29660678
TI - Fast detection of fenthion on fruit and vegetable peel using dynamic surface
enhanced Raman spectroscopy and random forests with variable selection.
AB - Dynamic surface-enhanced Raman spectroscopy (D-SERS) based on the state change of
the substrate not only significantly enhances but also provides a highly
reproducible Raman signal. Hence, we develop a fast and accurate method for the
detection of fenthion on fruit and vegetable peel using D-SERS and random forests
(RF) with variable selection. With uniform Ag nanoparticles, the dynamic spectra
of fenthion solution at different concentrations were obtained using D-SERS, and
fenthion solution greater than or equal to 0.05mg/L can be detected. Then, the
quantitative analysis models of fenthion were developed by RF with variable
selection for spectra of different range. The model of best performance is
developed by RF and spectra of characteristic range with higher RF importance
(top 40%), and the root mean square error of cross-validation is 0.0101mg/L.
Moreover, the fenthion residue of tomato, pear, and cabbage peel were extracted
by a swab dipped in ethanol and analyzed using the above method to further
validate the practical effect. Compared to gas chromatography, the maximal
relative deviation is below 12.5%, and the predicted recovery is between 87.5%
and 112.5%. Accordingly, D-SERS and RF with variable selection can realize the
fast, simple, ultrasensitive, and accurate analysis of fenthion residue on fruit
and vegetable peel.
PMID- 29660679
TI - Rapid quantitation of atorvastatin in process pharmaceutical powder sample using
Raman spectroscopy and evaluation of parameters related to accuracy of analysis.
AB - The purpose of this study was to determine the atorvastatin (ATV) content in
process pharmaceutical powder sample using Raman spectroscopy. To establish the
analysis method, the influence of the type of Raman measurements (back-scattering
or transmission mode), preparation of calibration sample (simple admixing or
granulation), sample pre-treatment (pelletization), and spectral pretreatment on
the Raman spectra was investigated. The characteristic peak of the active
compound was more distinctively detected in transmission Raman mode with a laser
spot size of 4mm than in the back-scattering method. Preparation of calibration
samples by wet granulation, identical to the actual manufacturing process,
provided unchanged spectral patterns for the in process sample, with no changes
and/or shifts in the spectrum. Pelletization before Raman analysis remarkably
improved spectral reproducibility by decreasing the difference in density between
the samples. Probabilistic quotient normalization led to accurate and consistent
quantification of the ATV content in the calibration samples (standard error of
cross validation: 1.21%). Moreover, the drug content in the granules obtained
from five commercial batches were reliably quantified, with no statistical
difference (p=0.09) with that obtained by HPLC assay. From these findings, we
suggest that transmission Raman analysis may be a fast and non-invasive method
for the quantification of ATV in actual manufacturing processes.
PMID- 29660680
TI - Phase composition and morphological characterization of human kidney stones using
IR spectroscopy, scanning electron microscopy and X-ray Rietveld analysis.
AB - Pathological calcification in human urinary tract (kidney stones) is a common
problem affecting an increasing number of people around the world. Analysis of
such minerals or compounds is of fundamental importance for understanding their
etiology and for the development of prophylactic measures. In the present study,
structural characterization, phase quantification and morphological behaviour of
thirty three (33) human kidney stones from eastern India have been carried out
using IR spectroscopy (FT-IR), powder X-ray diffraction (PXRD) and scanning
electron microscopy (SEM). Quantitative phase composition of kidney stones has
been analyzed following the Rietveld method. Based on the quantitative estimates
of constituent phases, the calculi samples have been classified into oxalate
(OX), uric acid (UA), phosphate (PH) and mixed (MX) groups. Rietveld analysis of
PXRD patterns showed that twelve (36%) of the renal calculi were composed
exclusively of whewellite (calcium oxalate monohydrate, COM). The remaining
twenty one (64%) stones were mixture of phases with oxalate as the major
constituent in fourteen (67%) of these stones. The average crystallite size of
whewellite in oxalate stones, as determined from the PXRD analysis, varies
between 93 (1) nm and 202 (3) nm, whereas the corresponding sizes for the uric
acid and struvite crystallites in UA and PH stones are 79 (1)-155 (4) nm and 69
(1)-123(1) nm, respectively. The size of hydroxyapatite crystallites, 10 (1)-21
(1) nm, is smaller by about one order of magnitude compared to other minerals in
the kidney stones. A statistical analysis using fifty (50) kidney stones (33
calculi from the present study and 17 calculi reported earlier from our
laboratory) revealed that the oxalate group (whewellite, weddellite or mixture of
whewellite and weddellite as the major constituent) is the most prevalent (82%)
kidney stone type in eastern India.
PMID- 29660681
TI - Etching-dependent fluorescence quenching of Ag-dielectric-Au three-layered
nanoshells: The effect of inner Ag nanosphere.
AB - In this report, Ag-dielectric-Au three-layered nanoshells with controlled inner
core size were synthesized. The fluorescence emission of the rhodamine 6G (R6G)
could be quenched by the three-layered nanoshells distinctly. What's more, the
fluorescence quenching efficiency could be further improved by tuning the etching
of inner Ag nanosphere. The maximum fluorescence quenching efficiency is obtained
when the separate layer just appears between the inner Ag core and the outer Au
shell. Whereas the fluorescence quenching efficiency is weakened when no gaps
take place around the inner Ag core or the separate layer is too thick and
greater than 13nm. The fluorescence quenching properties of the Ag-dielectric-Au
three-layered nanoshells with different initial sizes of the Ag nanoparticles are
also studied. The maximum fluorescence quenching efficiency is obtained when the
three-layered nanoshells are synthesized based on the Ag nanoparticles with 60nm,
which is better than others two sizes (42 and 79nm). Thus we believe that the
size of initial Ag nanospheres also greatly affects the optimized fluorescence
quenching efficiency. These results about fluorescence quenching properties of Ag
dielectric-Au three-layered nanoshells present a potential for design and
fabrication of fluorescence nanosensors based on tuning the geometry of the inner
core and the separate layer.
PMID- 29660682
TI - Insights into the binding behavior of bovine serum albumin to black carbon
nanoparticles and induced cytotoxicity.
AB - Black carbon (BC) is a main component of particulate matter (PM2.5). Due to their
small size (<100nm), inhaled ultrafine BC nanoparticles may penetrate the lung
alveoli, where they interact with surfactant proteins and lipids, causing more
serious damage to human health. Here, BC was analyzed to investigate the binding
mechanism of its interaction with protein and induction of cytotoxicity changes.
The binding process and protein conformation between BC and a serum protein
(bovine serum albumin, BSA) were monitored by using a fluorescence quenching
technique and UV-vis absorption, Fourier transform infrared (FTIR) and circular
dichroism (CD) spectroscopies. The experimental results revealed that the
fluorescence quenching of BSA induced by BC was a static quenching process and
the hydrophobic force played the critical role in the interaction. The native
conformation of BSA on the BC surface was slightly disturbed but obvious
structural unfolding of the secondary structure did not occur. In the
cytotoxicity study, BC nanoparticles with low concentrations exhibited strong
toxicity towards BEAS-2B cells. However, the toxicity of BC nanoparticles could
be mitigated by the presence of BSA. Therefore, proteins in biological fluids
likely reduce the toxic effect of BC on human health. These findings delineated
the binding mechanism and the toxicity between BC and the BSA-BC system,
contributing to the understanding of the biological effects of BC exposure on
human health in polluted atmospheres.
PMID- 29660683
TI - Crystal growth, polarized spectroscopy and Judd-Ofelt analysis of Tb:YAlO3.
AB - Tb3+-doped YAlO3 (YAP) single crystal was grown by Czochralski (Cz) method. Based
on the polarized absorption spectra, the spectroscopic parameters were calculated
to be Omega2=3.49*10-20cm2, Omega4=5.87*10-20cm2 and Omega6=2.55*10-20cm2, and
then the spontaneous transition rate, fluorescent branching ratio and radiative
lifetime of 5D4 multiplet were obtained. The yellow emission cross sections of
5D4->7F4 transition were calculated to be 1.72*10-22cm2, 2.73*10-22cm2 and
2.65*10-22cm2 for a, b and c polarization, respectively. The fluorescence
lifetime of the 5D4 multiplet was fitted to be 1.72ms. All the data indicate that
Tb:YAP crystal is a promising candidate for yellow laser operation.
PMID- 29660684
TI - Determination of diclofenac sodium by resonance light scattering method using
silver nanoparticles as probe.
AB - A sensitive, simple and novel method was developed to determine diclofenac sodium
(DS) using silver nanoparticles (AgNPs) as probe by resonance light scattering
(RLS) technique. It was found that DS could quench the RLS intensity of AgNPs.
Moreover, the decrease in RLS intensity was linearly correlated to the
concentration of DS over the range of 0.01-2.0MUgmL-1. DS can be measured in a
short time (5min) without any complicated or time-consuming sample pretreatment
process. Parameters that affect the RLS intensities such as pH, concentration of
AgNPs, reaction time, electrolyte concentration, and coexisting substances were
systematically investigated and optimized. The results showed that the method had
a very good selectivity and could be used conveniently for the determination of
DS. The limit of detection (LOD) was 2.85ngmL-1 (3sigma), and the relative
standard deviation (RSD) was less than 3.6% (n=6). Possible mechanism for the RLS
changes of AgNPs in the presence of DS was discussed and the method was
successfully applied for the analysis of tablets and urine samples.
PMID- 29660685
TI - Evidence of Wnt/beta-catenin alterations in brain and bone of a tauopathy mouse
model of Alzheimer's disease.
AB - Low bone mineral density (BMD) is a significant comorbidity in Alzheimer's
disease (AD) and may reflect systemic regulatory pathway dysfunction. Low BMD has
been identified in several AD mouse models selective for amyloid-beta or tau
pathology, but these deficits were attributed to diverse mechanisms. In this
study, we identified common pathophysiological mechanisms accounting for bone
loss and neurodegeneration in the htau mouse, a tauopathy model with an early low
BMD phenotype. We investigated the Wnt/beta-catenin pathway-a cellular signaling
cascade linked to both bone loss and neuropathology. We showed that low BMD
persisted in male htau mice aged from 6 to 14 months, remaining significantly
lower than tau-null and C57BL/6J controls. Osteogenic gene expression in female
and male htau mice was markedly reduced from controls, indicating impaired bone
remodeling. In both the bone and brain, htau mice showed alterations in Wnt/beta
catenin signaling genes suggestive of increased inhibition of this pathway. These
findings implicate dysfunctional Wnt signaling as a potential target for
addressing bone loss in AD.
PMID- 29660686
TI - Measurements of the energy distribution of a high brightness rubidium ion beam.
AB - The energy distribution of a high brightness rubidium ion beam, which is intended
to be used as the source for a focused ion beam instrument, is measured with a
retarding field analyzer. The ions are created from a laser-cooled and compressed
atomic beam by two-step photoionization in which the ionization laser power is
enhanced in a build-up cavity. Particle tracing simulations are performed to
ensure the analyzer is able to resolve the distribution. The lowest achieved full
width 50% energy spread is (0.205 +/- 0.006) eV, which is measured at a beam
current of 9 pA. The energy spread originates from the variation in the
ionization position of the ions which are created inside an extraction electric
field. This extraction field is essential to limit disorder-induced heating which
can decrease the ion beam brightness. The ionization position distribution is
limited by a tightly focused excitation laser beam. Energy distributions are
measured for various ionization and excitation laser intensities and compared
with calculations based on numerical solutions of the optical Bloch equations
including ionization. A good agreement is found between measurements and
calculations.
PMID- 29660687
TI - Novel in-situ lamella fabrication technique for in-situ TEM.
AB - In-situ transmission electron microscopy is rapidly emerging as the premier
technique for characterising materials in a dynamic state on the atomic scale.
The most important aspect of in-situ studies is specimen preparation. Specimens
must be electron transparent and representative of the material in its
operational state, amongst others. Here, a novel fabrication technique for the
facile preparation of lamellae for in-situ transmission electron microscopy
experimentation using focused ion beam milling is developed. This method involves
the use of rotating microgrippers during the lift-out procedure, as opposed to
the traditional micromanipulator needle and platinum weld. Using rotating
grippers, and a unique adhesive substance, lamellae are mounted onto a MEMS
device for in-situ TEM annealing experiments. We demonstrate how this technique
can be used to avoid platinum deposition as well as minimising damage to the MEMS
device during the thinning process. Our technique is both a cost effective and
readily implementable alternative to the current generation of preparation
methods for in-situ liquid, electrical, mechanical and thermal experimentation
within the TEM as well as traditional cross-sectional lamella preparation.
PMID- 29660688
TI - Implementation and validation of an improved allele specific stutter filtering
method for electropherogram interpretation.
AB - Modern probabilistic genotyping (PG) software is capable of modeling stutter as
part of the profile weighting statistic. This allows for peaks in stutter
positions to be considered as allelic or stutter or both. However, prior to
running any sample through a PG calculator, the examiner must first interpret the
sample, considering such things as artifacts and number of contributors (NOC or
N). Stutter can play a major role both during the assignment of the number of
contributors, and the assessment of inclusion and exclusion. If stutter peaks are
not filtered when they should be, it can lead to the assignment of an additional
contributor, causing N contributors to be assigned as N + 1. If peaks in the
stutter position of a major contributor are filtered using a threshold that is
too high, true alleles of minor contributors can be lost. Until now, the software
used to view the electropherogram stutter filters are based on a locus specific
model. Combined stutter peaks occur when a peak could be the result of both back
stutter (stutter one repeat shorter than the allele) and forward stutter (stutter
one repeat unit larger than the allele). This can challenge existing filters. We
present here a novel stutter filter model in the ArmedXpertTM software package
that uses a linear model based on allele for back stutter and applies an additive
filter for combined stutter. We term this the allele specific stutter model (AM).
We compared AM with a traditional model based on locus specific stutter filters
(termed LM). This improved stutter model has the benefit of: Instances of over
filtering were reduced 78% from 101 for a traditional model (LM) to 22 for the
allele specific model (AM) when scored against each other. Instances of under
filtering were reduced 80% from 85 (LM) to 17 (AM) when scored against ground
truth mixtures.
PMID- 29660689
TI - Quinonoid compounds via reactions of lawsone and 2-aminonaphthoquinone with alpha
bromonitroalkenes and nitroallylic acetates: Structural diversity by C-ring
modification and cytotoxic evaluation against cancer cells.
AB - Morita-Baylis-Hillman acetates and alpha-bromonitroalkenes have been employed in
cascade reactions with lawsone and 2-aminonaphthoquinone for the one-pot
synthesis of heterocycle fused quinonoid compounds. The reactions reported here
utilized the 1,3-binucleophilic potential of hydroxy- and aminonaphthoquinones
and the 1,2/1,3-bielectrophilic potential of bromonitroalkenes and Morita-Baylis
Hillman acetates for the synthesis of pyrrole and furan fused naphthoquinones.
The synthesized compounds were evaluated against HCT-116 (human colon carcinoma
cells), PC3 (human prostate cancer cells), HL-60 (human promyelocytic leukemia
cells), SF295 (human glioblastoma cells) and NCI-H460 (human lung cancer cells)
and exhibited antitumor activity with IC50 values as low as < 2 MUM. Selected
compounds were also evaluated against OVCAR-8 (ovary), MX-1 (breast) and JURKAT
(leukemia) cell lines. The cytotoxic potential of the quinones evaluated was also
assayed using non-tumor cells, exemplified by peripheral blood mononuclear (PBMC)
and L929 cells.
PMID- 29660690
TI - New 1,2,4-triazole-Chalcone hybrids induce Caspase-3 dependent apoptosis in A549
human lung adenocarcinoma cells.
AB - A series of novel 1, 2, 4-triazole/chalcone hybrids was prepared and identified
with different spectroscopic techniques. The prepared compounds showed remarkable
cytotoxic activity against different cancer cell lines. Compounds 24, 25, 27, 41
and 47 had shown the highest cytotoxicity among the tested compounds against
human lung adenocarcinoma A549 cells with IC50 ranging from 4.4 to 16.04 MUM
compared to cisplatin with IC50 of 15.3 MUM. Flow cytometric analysis of the
tested compounds showed an increase in the number of apoptotic cells in a dose
dependent manner. The further mechanistic study demonstrated that 1, 2, 4
triazole-chalcone hybrids induced apoptosis via increased level of proapoptotic
protein Bax, release of cytochrome c from mitochondria and activation of caspase
3/8/9 proteins. However, general caspase inhibition by the pan-caspase inhibitor,
z-VAD-fmk, significantly decreased the apoptosis induced by the tested hybrids,
suggesting dependency of apoptosis on activation of the caspase-3 pathway.
PMID- 29660691
TI - Proteomic Analysis of Liquid Biopsy from Tumor-Draining Vein Indicates that High
Expression of Exosomal ECM1 Is Associated with Relapse in Stage I-III Colon
Cancer.
AB - BACKGROUND: The analysis of exosomes in blood obtained from the tumor-draining
mesenteric vein (MV) can identify tumor biomarkers before they reach target
organs and form the premetastatic niche where circulating tumor cells can anchor.
Our group has recently shown that microRNAs in plasma from the MV-but not the
peripheral vein (PV)-have been related to liver metastases in colon cancer (CC)
patients. Here we examine the exosomal protein cargo in plasma from the MV and
paired PV in 31 CC patients. PATIENTS AND METHODS: The study included patients
who were initially diagnosed with stage I-III CC and 10 healthy controls.
Exosomes from the MV and PV of all patients and controls were isolated by
ultracentrifugation and confirmed by cryogenic transmission electron microscopy.
High-throughput proteomic analysis by mass spectrometry was used to identify
expression levels of exosomal proteins. Findings were confirmed by Western blot.
RESULTS: Exosomal ECM1 protein was more highly expressed in patients than in
controls and was 13.55 times higher in MV from relapsed than relapse-free
patients. High exosomal ECM1 expression was associated with liver metastases.
Patients with high exosomal ECM1 expression in MV-but not PV-plasma had shorter
time to relapse than those with low ECM1 expression (P = .04). CONCLUSION: High
levels of exosomal ECM1 protein can identify CC patients with a higher risk of
relapse. The analysis of exosomes isolated from the tumor-draining MV is a
promising method for the identification of biomarkers before they reach the
target organ.
PMID- 29660693
TI - The partial root-zone saline irrigation system and antioxidant responses in
tomato plants.
AB - Salinity is a limiting factor that can affect plant growth and cause significant
losses in agricultural productivity. This study provides an insight about the
viability of partial root-zone irrigation (PRI) system with saline water
supported by a biochemical approach involving antioxidant responses. Six
different irrigation methods using low and high salt concentrations (S1-0.5 and
S2-5.0 dS m-1) were applied, with or without PRSI, so that one side of the root
zone was submitted to saline water while the other side was low salinity water
irrigated. The results revealed different responses according to the treatments
and the PRSI system applied. For the treatments T1, T2 and T3, the PRSI was not
applied, while T4, T5 and T6 treatments were applied with PRSI system. Lipid
peroxidation, proline content, and activities of SOD, CAT, APX, GR and GSH in
tomato plants subjected to PRSI system were analyzed. Plant growth was not
affected by the salt concentrations; however, plants submitted to high salt
concentrations showed high MDA content and Na+ accumulation when compared to the
control plants. Plants submitted to treatments T4, T5 and T6 with PRSI system
exhibited lower MDA compared to the control plants (T1). Proline content and
activities of SOD, CAT, APX, GR and GSH content were maintained in all treatments
and tissues analyzed, with only exception for APX in fruits and GSH content, in
roots. The overall results showed that PRSI system could be an applicable
technique for saline water supply on irrigation since plants did not show to be
vulnerable to salt stress, supported by a biochemical approach involving
antioxidant responses.
PMID- 29660692
TI - Aggressive Phenotype of Cells Disseminated via Hematogenous and Lymphatic Route
in Breast Cancer Patients.
AB - Intratumoral heterogeneity of breast cancer remains a major challenge in
successful treatment. Failure of cancer therapies can also be accredited to
inability to systemically eradicate cancer stem cells (CSCs). Recent evidence
points to the role of epithelial-mesenchymal transition (EMT) in expanding the
pool of tumor cells with CSCs features. Thus, we assessed expression level as
well as heterogeneity of CSCs markers in primary tumors (PT), lymph node
metastasis (LNM), and circulating tumor cells (CTCs)-enriched blood fractions in
order to correlate them with signs of EMT activation as well as
clinicopathological data of breast cancer patients. Level of CSCs markers (ALDH1,
CD44, CD133, OCT-4, NANOG) and EMT markers was quantified in PT (N=107), LNM
(N=56), and CTCs-enriched blood fractions (N=85). Heterogeneity of CSCs markers
expression within each PT and LNM was assessed by calculating Gini Index.
Percentage of ALDH1-positive cells was elevated in PT in comparison to LNM (P =
.005). However, heterogeneity of the four CSCs markers: ALDH1 (P = .019), CD133
(P = .009), OCT-4 (P = .027), and CD44 (P < .001) was decreased in LNM. Samples
classified as mesenchymal (post-EMT) showed elevated expression of CSCs markers
(OCT-4 and CD44 in PT; OCT-4 in LNM; ALDH1, OCT-4, NANOG, CD44 in CTCs). Patients
with mesenchymal-like CTCs had worse prognosis than patients with epithelial-like
or no CTCs (P = .0025). CSCs markers are enriched in PT, LNM, and CTCs with
mesenchymal features, but their heterogeneity is decreased in metastatic lymph
nodes. Mesenchymal CTCs phenotype correlates with poor prognosis of the patients.
PMID- 29660694
TI - The physiological effects of oil, dispersant and dispersed oil on the bay mussel,
Mytilus trossulus, in Arctic/Subarctic conditions.
AB - Increasing oil development around Alaska and other Arctic regions elevates the
risk for another oil spill. Dispersants are used to mitigate the impact of an oil
spill by accelerating natural degradation processes, but the reduced
hydrophobicity of dispersed oil may increase its bioavailability to marine
organisms. There is limited research on the effect of dispersed oil on cold water
species and ecosystems. Therefore, spiked exposure tests were conducted with bay
mussels (Mytilus trossulus) in seawater with non-dispersed oil, Corexit 9500 and
oil dispersed with different concentrations of Corexit 9500. After three weeks of
exposure, acute and chronic physiological impacts were determined. The majority
of physiological responses occurred during the first seven days of exposure, with
mussels exhibiting significant cytochrome P450 activity, superoxide dismutase
activity and heat shock protein levels. Mussels exposed to non-dispersed oil also
experienced immune suppression, reduced transcription and higher levels of
mortality. After 21 days, mussels in all treatments exhibited evidence of genetic
damage, tissue loss and a continued stress response. Bay mussels are useful as
indicators of ecosystem health and recovery, and this study was an important step
in understanding how non-dispersed oil, dispersant and dispersed oil affect the
physiology of this sentinel species in Arctic/subarctic conditions.
PMID- 29660695
TI - Metabolite profiles of striped marsh frog (Limnodynastes peronii) larvae exposed
to the anti-androgenic fungicides vinclozolin and propiconazole are consistent
with altered steroidogenesis and oxidative stress.
AB - Amphibians use wetlands in urban and agricultural landscapes for breeding, growth
and development. Fungicides and other pesticides used in these areas have
therefore been identified as potential threats that could contribute towards
amphibian population declines. However, relatively little is known about how such
chemicals influence sensitive early life-stages or how short episodic exposures
influence sub-lethal physiological and metabolic pathways. The present study
applied untargeted metabolomics to evaluate effects in early post-hatch amphibian
larvae exposed to the anti-androgenic fungicides vinclozolin and propiconazole.
Recently hatched (Gosner developmental stage 25) striped marsh frog
(Limnodynastes peronii) larvae were exposed for 96 h to vinclozolin at 17.5,
174.8 and 1748.6 nM and propiconazole at 5.8, 58.4 and 584.4 nM. Nuclear Magnetic
Resonance (NMR) spectroscopy was performed on polar metabolites obtained from
whole-body extracts. Both fungicides altered metabolite profiles compared to
control animals at all concentrations tested, and there were notable differences
between the two chemicals. Overall responses were consistent with altered
steroidogenesis and/or cholesterol metabolism, with inconsistent responses
between the two fungicides likely reflecting minor differences in the mechanisms
of action of these chemicals. Broad down-regulation of the tricarboxylic acid
(TCA) cycle was also observed and is indicative of oxidative stress.
Interestingly, formic acid was significantly increased in larvae exposed to
vinclozolin but not propiconazole, suggesting this metabolite may serve as a
useful biomarker of exposure to androgen-receptor binding anti-androgenic
contaminants. This study demonstrates the power of untargeted metabolomics for
distinguishing between similarly acting, but distinct, pollutants and for
unraveling non-endocrine responses resulting from exposure to known endocrine
active contaminants.
PMID- 29660697
TI - Relapse to smoking following release from smoke-free correctional facilities in
Queensland, Australia.
AB - BACKGROUND: Smoke-free prison policies are increasingly common, but few studies
have investigated relapse to smoking after release from prison. This study
investigated return to tobacco smoking and correlates of smoking at reduced
levels after release among adults recently released from smoke-free prisons in
Queensland, Australia. METHODS: A cross-sectional survey of 114 people at parole
offices within two months of release from prison was used. The survey measured
health, social, and criminological factors related to tobacco smoking. We used
logistic regression to identify factors associated with reduced post-release
smoking levels compared to pre-incarceration levels. RESULTS: 94% of participants
relapsed to smoking within two months of release; 72% relapsed on the day of
release. 62% of participants smoked significantly less per day after compared
with before incarceration. Living with a partner (Odds Ratio (OR) 2.77, 95%CI
1.02-7.52), expressing support for smoke-free prison policies (OR 2.44, 95%CI
1.12-5.32), intending to remain abstinent post-release (OR 4.29, 95%CI 1.88
9.82), and intending to quit in the future (OR 3.88, 95%CI 1.66-9.07) were
associated with reduced smoking post-release. Use of illicit drugs post-release
was negatively associated with reduced smoking post-release (OR 0.27, 95%CI 0.09
0.79). In multivariate analyses, pre-release intention to remain smoke-free was
associated with reduced smoking post-release (AOR 2.69, 95%CI 1.01-7.14).
DISCUSSION: Relapse to smoking after release from smoke-free prisons is common,
but many who relapse smoke less than before incarceration, suggesting that smoke
free prison policies may reduce post-release tobacco smoking. There is a need for
tailored, evidence-based tobacco cessation interventions for people recently
released from prison.
PMID- 29660696
TI - Investigating the correlation between wastewater analysis and roadside drug
testing in South Australia.
AB - BACKGROUND: The societal impact of drug use is well known. An example is when
drug-intoxicated drivers increase the burden on policing and healthcare services.
METHODS: This work presents the correlation of wastewater analysis (using UHPLC
MS/MS) and positive roadside drug testing results for methamphetamine, 3,4
methylenedioxymethamphetamine (MDMA) and cannabis from December 2011-December
2016 in South Australia. RESULTS: Methamphetamine and MDMA showed similar trends
between the data sources with matching increases and decreases, respectively.
Cannabis was relatively steady based on wastewater analysis, but the roadside
drug testing data started to diverge in the final part of the measurement period.
CONCLUSIONS: The ability to triangulate data as shown here validates both
wastewater analysis and roadside drug testing. This suggests that changes in
overall population drug use revealed by WWA is consistent and proportional with
changes in drug-driving behaviours. The results show that, at higher levels of
drug use as measured by wastewater analysis, there is an increase in drug driving
in the community and therefore more strain on health services and police.
PMID- 29660698
TI - Bacterial community succession and degradation patterns of hydrocarbons in
seawater at low temperature.
AB - The risk of oil spills in cold marine environments is expected to increase in
response to trans-Arctic shipping and as Arctic oil reserves get exploited.
Marine hydrocarbon-degrading microbes can reduce the impact of spilled
hydrocarbons, but their degradation capabilities at low temperature are yet to be
uncovered. We combined DNA amplicon sequencing and chemometrics to investigate
the effect of decreasing temperature (0-15 degrees C) on the succession and
function of hydrocarbon-degrading bacteria in seawater. The bacterial community
and degradation patterns were investigated at time points when a similar amount
of hydrocarbons was mineralised at the different temperatures. This allowed
decomposing the effect of temperature into a main component related to the
reduced microbial activity at low temperature and a secondary effect. The reduced
microbial activity at low temperature delayed the microbial community succession
and degradation rates. The secondary effect of temperature was most pronounced at
0 degrees C, where (1) degradation of the least water-soluble n-alkanes (>C12)
was suppressed in contrast to a relative stronger degradation of the most water
soluble n-alkanes ( 50 MUm) were more susceptible
to the sonication treatment than the smaller particle size (d <= 50 MUm). The
SCOD increased and VS reduction accelerated under sonication treatment. The
maximum VFA production and the highest proportion of hydrogen in the biogas
increased 65.3% and 59.1%, respectively, under the optimal sonication conditions
compared to the unsonicated batch. Moreover, a reduction of over 50% in the time
required to reach its maximum production was also observed. Butyric acid
fermentation type was obtained whether following sonication treatment or not. The
composition of key microbial community differed under the various sonication
conditions. The genera Clostridium and Parabacteroides are predominantly
responsible for VFA generation and both were found to be abundant under the
optimal condition.
PMID- 29660706
TI - Evaluation of SnO2 for sunlight photocatalytic decontamination of water.
AB - The broad bandgap tin (IV) oxide (SnO2) is the least investigated semiconductor
material for photocatalytic water decontamination in sunlight exposure. A
detailed study covering the synthesis, characterization and the evaluation of
photocatalytic activity of SnO2, in the natural sunlight exposure, is presented.
The structural characterization by XRD revealed the formation of phase pure
tetragonal SnO2 with the average crystallite size of ~41.5 nm whereas minor Sn2+
states in the material were identified by XPS analysis. As explored by diffuse
reflectance (DR) and photoluminescence (PL) spectroscopy, the material exhibited
a distinct absorption edge at ~3.4 eV. The morphological and microstructure
analysis of the synthesized SnO2 was carried out by FESEM and HRTEM. The
electrochemical impedance spectroscopy (EIS) and chronopotentiometry (CP)
predicted the better charge transport and retention ability of the material under
illumination whereas the Mott-Schottky extrapolation prophesied the n-type
behavior with the flat-band potential of -0.60 V. The photocatalytic activity of
SnO2 was assessed in the exposure of complete spectrum natural sunlight for the
removal of 2,4,6-trichlorophenol. The HPLC and TOC analysis monitored the
progress of degradation and mineralization whereas the released chloride ions
were evaluated by ion chromatography. The effect of the transition metal ions
(Fe3+, Cu2+, Ni2+, and Zn2+) as electron capture agents and H2O2 as ROS generator
was explored during the degradation process. The utility of the material for the
simultaneous removal of chlorophenols in the mixture was also investigated. The
SnO2 exhibited sustained activity in the repeated use. Based on experimental
evidence congregated, the mechanism of the removal process and the efficacy of
SnO2 for sunlight photocatalytic decontamination of water was established.
PMID- 29660707
TI - Source apportionment studies on particulate matter (PM10 and PM2.5) in ambient
air of urban Mangalore, India.
AB - Particulate matter (PM10 and PM2.5) samples were collected from six sites in
urban Mangalore and the mass concentrations for PM10 and PM2.5 were measured
using gravimetric technique. The measurements were found to exceed the national
ambient air quality standards (NAAQS) limits, with the highest concentration of
231.5 MUg/m3 for PM10 particles at Town hall and 120.3 MUg/m3 for PM2.5 particles
at KMC Attavar. The elemental analysis using inductively coupled plasma optical
emission spectrophotometer (ICPOES) revealed twelve different elements (As, Ba,
Cd, Cr, Cu, Fe, Mg, Mn, Mo, Ni, Sr and Zn) for PM10 particles and nine different
elements (Ba, Cd, Cr, Cu, Fe, Mn, Ni, Pb, Sr and Zn) for PM2.5 particles.
Similarly, ionic composition of these samples measured by ion chromatography (IC)
divulged nine different ions (F-, Cl-, NO3-, PO43-, SO42-, Na+, K+, Mg2+ and
Ca2+) for PM10 particles and ten different ions (F-, Cl-, NO3-, PO43-, SO42-,
Na+, NH4+, K+, Mg2+ and Ca2+) for PM2.5 particles. The source apportionment study
of PM10 and PM2.5 for urban Mangalore in accordance with these six sample sites
using chemical mass balance model (CMBv8.2) revealed nine and twelve predominant
contributors for both PM10 and PM2.5, respectively. The highest contributor of
PM10 was found to be paved road dust followed by diesel and gasoline vehicle
emissions. Correspondingly, PM2.5 was found to be contributed mainly from two
wheeler vehicle emissions followed by four-wheeler and heavy vehicle emissions
(diesel vehicles). The current study depicts that the PM10 and PM2.5 in ambient
air of Mangalore region has 70% of its contribution from vehicular emissions
(both exhaust and non-exhaust).
PMID- 29660708
TI - The role of COD/N ratio on the start-up performance and microbial mechanism of an
upflow microaerobic reactor treating piggery wastewater.
AB - This study investigated the role of COD/N ratio on the start-up and performance
of an upflow microaerobic sludge reactor (UMSR) treating piggery wastewater at
0.5 mgO2/L. At high COD/N ratio (6.24 and 4.52), results showed that the
competition for oxygen between ammonia-oxidizing bacteria, nitrite-oxidizing
bacteria and heterotrophic bacteria limited the removal of nitrogen. Nitrogen
removal efficiency was below 40% in both scenarios. Decreasing the influent COD/N
ratio to 0.88 allowed achieving high removal efficiencies for COD (~75%) and
nitrogen (~85%) due to the lower oxygen consumption for COD mineralization.
Molecular biology techniques showed that nitrogen conversion at a COD/N ratio
0.88 was dominated by the anammox pathway and that Candidatus Brocadia sp. was
the most important anammox bacteria in the reactor with a relative abundance of
58.5% among the anammox bacteria. Molecular techniques also showed that
Nitrosomonas spp. was the major ammonia-oxidiser bacteria (relative abundance of
86.3%) and that denitrification via NO3- and NO2- also contributed to remove
nitrogen from the system.
PMID- 29660709
TI - A simplified approach to determine the carbon footprint of a region: Key learning
points from a Galician study.
AB - On a previous study, the carbon footprint (CF) of all production and consumption
activities of Galicia, an Autonomous Community located in the north-west of
Spain, was determined and the results were used to devise strategies aimed at the
reduction and mitigation of the greenhouse gas (GHG) emissions. The territorial
LCA methodology was used there to perform the calculations. However, that
methodology was initially designed to compute the emissions of all types of
polluting substances to the environment (several thousands of substances
considered in the life cycle inventories), aimed at performing complete LCA
studies. This requirement implies the use of specific modelling approaches and
databases that in turn raised some difficulties, i.e., need of large amounts of
data (which increased gathering times), low temporal, geographical and
technological representativeness of the study, lack of data, and presence of
double counting issues when trying to combine the sectorial CF results into those
of the total economy. In view of these of difficulties, and considering the need
to focus only on GHG emissions, it seems important to improve the robustness of
the CF computation while proposing a simplified methodology. This study is the
result of those efforts to improve the aforementioned methodology. In addition to
the territorial LCA approach, several Input-Output (IO) based alternatives have
been used here to compute direct and indirect GHG emissions of all Galician
production and consumption activities. The results of the different alternatives
were compared and evaluated under a multi-criteria approach considering
reliability, completeness, temporal and geographical correlation, applicability
and consistency. Based on that, an improved and simplified methodology was
proposed to determine the CF of the Galician consumption and production
activities from a total responsibility perspective. This methodology adequately
reflects the current characteristics of the Galician economy, thus increasing the
representativeness of the results, and can be applied to any region in which IO
tables and environmental vectors are available. This methodology could thus
provide useful information in decision making processes to reduce and prevent GHG
emissions.
PMID- 29660710
TI - Advances in algal-prokaryotic wastewater treatment: A review of nitrogen
transformations, reactor configurations and molecular tools.
AB - The synergistic activity of algae and prokaryotic microorganisms can be used to
improve the efficiency of biological wastewater treatment, particularly with
regards to nitrogen removal. For example, algae can provide oxygen through
photosynthesis needed for aerobic degradation of organic carbon and nitrification
and harvested algal-prokaryotic biomass can be used to produce high value
chemicals or biogas. Algal-prokaryotic consortia have been used to treat
wastewater in different types of reactors, including waste stabilization ponds,
high rate algal ponds and closed photobioreactors. This review addresses the
current literature and identifies research gaps related to the following topics:
1) the complex interactions between algae and prokaryotes in wastewater
treatment; 2) advances in bioreactor technologies that can achieve high nitrogen
removal efficiencies in small reactor volumes, such as algal-prokaryotic biofilm
reactors and enhanced algal-prokaryotic treatment systems (EAPS); 3) molecular
tools that have expanded our understanding of the activities of algal and
prokaryotic communities in wastewater treatment processes.
PMID- 29660711
TI - The rhizosphere microbiome: Significance in rhizoremediation of polyaromatic
hydrocarbon contaminated soil.
AB - Microbial communities are an essential part of plant rhizosphere and participate
in the functioning of plants, including rhizoremediation of petroleum
contaminants. Rhizoremediation is a promising technology for removal of
polyaromatic hydrocarbons based on interactions between plants and microbiome in
the rhizosphere. Root exudation in the rhizosphere provides better nutrient
uptake for rhizosphere microbiome, and therefore it is considered to be one of
the major factors of microbial community function in the rhizosphere that plays a
key role in the enhanced PAH biodegradation. Although the importance of the
rhizosphere microbiome for plant growth has been widely recognized, the
interactions between microbiome and plant roots in the process of rhizosphere
mediated remediation of PAH still needs attention. Most of the current researches
target PAH degradation by plant or single microorganism, separately, whereas the
interactions between plants and whole microbiome are overlooked and its role has
been ignored. This review summarizes recent knowledge of PAH degradation in the
rhizosphere in the process of plant-microbiome interactions based on emerging
omics approaches such as metagenomics, metatranscriptomics, metabolomics and
metaproteomics. These omics approaches with combinations to bioinformatics tools
provide us a better understanding in integrated activity patterns between plants
and rhizosphere microbes, and insight into the biochemical and molecular
modification of the meta-organisms (plant-microbiome) to maximize
rhizoremediation activity. Moreover, a better understanding of the interactions
could lead to the development of techniques to engineer rhizosphere microbiome
for better hydrocarbon degradation.
PMID- 29660712
TI - Long-run operation of a reverse electrodialysis system fed with wastewaters.
AB - The performance of a Reverse ElectroDialysis (RED) system fed by unconventional
wastewater solutions for long operational periods is analysed for the first time.
The experimental campaign was divided in a series of five independent long-runs
which combined real wastewater solutions with artificial solutions for at least
10 days. The time evolution of electrical variables, gross power output and net
power output, considering also pumping losses, was monitored: power density
values obtained during the long-runs are comparable to those found in literature
with artificial feed solutions of similar salinity. The increase in pressure
drops and the development of membrane fouling were the main detrimental factors
of system performance. Pressure drops increase was related to the physical
obstruction of the feed channels defined by the spacers, while membrane fouling
was related to the adsorption of foulants over the membrane surfaces. In order to
manage channels partial clogging and fouling, different kinds of easily
implemented in situ backwashings (i.e. neutral, acid, alkaline) were adopted,
without the need for an abrupt interruption of the RED unit operation. The
application of periodic ElectroDialysis (ED) pulses is also tested as fouling
prevention strategy. The results collected suggest that RED can be used to
produce electric power by unworthy wastewaters, but additional studies are still
needed to characterize better membrane fouling and further improve system
performance with these solutions.
PMID- 29660713
TI - Assessment of climate change impact on yield of major crops in the Banas River
Basin, India.
AB - Crop growth models like AquaCrop are useful in understanding the impact of
climate change on crop production considering the various projections from global
circulation models and regional climate models. The present study aims to assess
the climate change impact on yield of major crops in the Banas River Basin i.e.,
wheat, barley and maize. Banas basin is part of the semi-arid region of Rajasthan
state in India. AquaCrop model is used to calculate the yield of all the three
crops for a historical period of 30years (1981-2010) and then compared with
observed yield data. Root Mean Square Error (RMSE) values are calculated to
assess the model accuracy in prediction of yield. Further, the calibrated model
is used to predict the possible impacts of climate change and CO2 concentration
on crop yield using CORDEX-SA climate projections of three driving climate models
(CNRM-CM5, CCSM4 and MPI-ESM-LR) for two different scenarios (RCP4.5 and RCP8.5)
for the future period 2021-2050. RMSE values of simulated yield with respect to
observed yield of wheat, barley and maize are 11.99, 16.15 and 19.13,
respectively. It is predicted that crop yield of all three crops will increase
under the climate change conditions for future period (2021-2050).
PMID- 29660714
TI - Techno-environmental assessment of the green biorefinery concept: Combining
process simulation and life cycle assessment at an early design stage.
AB - The Green biorefinery (GBR) is a biorefinery concept that converts fresh biomass
into value-added products. The present study combines a Process Flowsheet
Simulation (PFS) and Life Cycle Assessment (LCA) to evaluate the technical and
environmental performance of different GBR configurations and the cascading
utilization of the GBR output. The GBR configurations considered in this study,
test alternatives in the three main steps of green-biorefining: fractionation,
precipitation, and protein separation. The different cascade utilization
alternatives analyse different options for press-pulp utilization, and the LCA
results show that the environmental profile of the GBR is highly affected by the
utilization of the press-pulp and thus by the choice of conventional product
replaced by the press-pulp. Furthermore, scenario analysis of different GBR
configurations shows that higher benefits can be achieved by increasing product
yields rather than lowering energy consumption. Green biorefining is shown to be
an interesting biorefining concept, especially in a Danish context. Biorefining
of green biomass is technically feasible and can bring environmental savings,
when compared to conventional production methods. However, the savings will be
determined by the processing involved in each conversion stage and on the cascade
utilization of the different platform products.
PMID- 29660715
TI - Characterization of the emissions impacts of hybrid excavators with a portable
emissions measurement system (PEMS)-based methodology.
AB - Hybrid engine technology is a potentially important strategy for reduction of
tailpipe greenhouse gas (GHG) emissions and other pollutants that is now being
implemented for off-road construction equipment. The goal of this study was to
evaluate the emissions and fuel consumption impacts of electric-hybrid excavators
using a Portable Emissions Measurement System (PEMS)-based methodology. In this
study, three hybrid and four conventional excavators were studied for both real
world activity patterns and tailpipe emissions. Activity data was obtained using
engine control module (ECM) and global positioning system (GPS) logged data,
coupled with interviews, historical records, and video. This activity data was
used to develop a test cycle with seven modes representing different types of
excavator work. Emissions data were collected over this test cycle using a PEMS.
The results indicated the HB215 hybrid excavator provided a significant reduction
in tailpipe carbon dioxide (CO2) emissions (from -13 to -26%), but increased
diesel particulate matter (PM) (+26 to +27%) when compared to a similar model
conventional excavator over the same duty cycle.
PMID- 29660716
TI - High time-resolution simulation of E. coli on hands reveals large variation in
microbial exposures amongst Vietnamese farmers using human excreta for
agriculture.
AB - Infectious disease transmission is frequently mediated by the environment, where
people's movements through and interactions with the environment dictate risks of
infection and/or illness. Capturing these interactions, and quantifying their
importance, offers important insights into effective interventions. In this
study, we capture high time-resolution activity data for twenty-five Vietnamese
farmers during collection and land application of human excreta for agriculture.
Although human excreta use improves productivity, the use increases risks of
enteric infections for both farmers and end users. In our study, the activity
data are integrated with environmental microbial sampling data into a stochastic
mechanistic simulation of E. coli contamination on hands and E. coli ingested.
Results from the study include frequent and variable contact rates for farmers'
hands (from 34 to 1344 objects contacted per hour per hand), including highly
variable hand-to-mouth contact rates (from 0 to 9 contacts per hour per hand).
The frequency of hand-to-mouth contacts was substantially lower than the widely
used frequency previously reported for U.S. Office Workers. Environmental
microbial contamination data highlighted ubiquitous E. coli contamination in the
environment, including excreta, hands, toilet pit, handheld tools, soils,
surfaces, and water. Results from the simulation suggest dynamic changes in E.
coli contamination on hands, and wide variation in hand contamination and E. coli
ingested amongst the farmers studied. Sensitivity analysis suggests that E. coli
contamination on hands and ingested doses are most influenced by contamination of
handheld tools, excreta, and the toilet pit as well as by frequency of hand-to
mouth contacts. The study findings are especially relevant given the context: no
farmers reported adequate storage time of human excreta, and personal protective
mask availability did not prevent hand-to-mouth contacts. Integrating high time
resolution activity data into exposure assessments highlights variation in
exposures amongst farmers, and offers greater insight into effective
interventions and their potential impacts.
PMID- 29660717
TI - Agricultural conservation practices can help mitigate the impact of climate
change.
AB - Agricultural conservation practices (CPs) are commonly implemented to reduce
diffuse nutrient pollution. Climate change can complicate the development,
implementation, and efficiency of agricultural CPs by altering hydrology,
nutrient cycling, and erosion. This research quantifies the impact of climate
change on hydrology, nutrient cycling, erosion, and the effectiveness of
agricultural CP in the Susquehanna River Basin in the Chesapeake Bay Watershed,
USA. We develop, calibrate, and test the Soil and Water Assessment Tool-Variable
Source Area (SWAT-VSA) model and select four CPs; buffer strips, strip-cropping,
no-till, and tile drainage, to test their effectiveness in reducing climate
change impacts on water quality. We force the model with six downscaled global
climate models (GCMs) for a historic period (1990-2014) and two future scenario
periods (2041-2065 and 2075-2099) and quantify the impact of climate change on
hydrology, nitrate-N (NO3-N), total N (TN), dissolved phosphorus (DP), total
phosphorus (TP), and sediment export with and without CPs. We also test
prioritizing CP installation on the 30% of agricultural lands that generate the
most runoff (e.g., critical source areas-CSAs). Compared against the historical
baseline and with no CPs, the ensemble model predictions indicate that climate
change results in annual increases in flow (4.5+/-7.3%), surface runoff (3.5+/
6.1%), sediment export (28.5+/-18.2%) and TN export (9.5+/-5.1%), but decreases
in NO3-N (12+/-12.8%), DP (14+/-11.5), and TP (2.5+/-7.4%) export. When
agricultural CPs are simulated most do not appreciably change the water balance,
however, tile drainage and strip-cropping decrease surface runoff, sediment
export, and DP/TP, while buffer strips reduce N export. Installing CPs on CSAs
results in nearly the same level of performance for most practices and most
pollutants. These results suggest that climate change will influence the
performance of agricultural CPs and that targeting agricultural CPs to CSAs can
provide nearly the same level of water quality effects as more widespread
adoption.
PMID- 29660718
TI - Seasonal variations of steroid hormones released by wastewater treatment plants
to river water and sediments: Distribution between particulate and dissolved
phases.
AB - Extensive environmental monitoring was conducted in an urban river impacted by
multiple combined sewer overflows (CSOs) and wastewater treatment plant (WWTP)
discharge points. Temporal and spatial distributions of dissolved and particulate
steroids (progesterone (Prog), testosterone (Testo), medroxyprogesterone (MDRXY
Prog), levonorgestrel (Levo), norethindrone (Nore), estrone (E1), estradiol (E2),
estriol (E3), and 17alpha-ethinylestradiol (EE2)) were investigated in sewage,
WWTP effluents, receiving river water and sediments, and in drinking water plant
(DWP) intakes. Steroids were detected in both dissolved and particulate phases
with mean concentrations from 21ngL-1 to 389ngL-1 in raw sewage and from 10ngL-1
to 296ngL-1 in treated wastewater. The particle-associated steroids represented 0
82% of their total concentration as some steroids like E1 and E3 were detected
only in the dissolved phase while MDRXY-Prog (81%), Nore (71%), and EE2 (>75%)
were primarily detected in the particulate phase. Particle-associated steroids
were detected in spring samples from river water with mean concentrations ranging
from 5.4ngL-1 to 35.7ngL-1 compare to 3ngL-1 to 6.8ngL-1 in summer samples.
Levels of particle-associated Testo, Nore, E2 and Levo in DWP intakes (406.2
13,149.1ngg-1) were similar to those found in raw sewage (336.6-7628.8ngg-1),
indicating their persistence in the suspended phase from discharge points. Total
steroids measured in sediments were in the range of 7-1213ngg-1, 5-25ngg-1, and
22-226ngg-1 in autumn, spring, and summer, respectively. Our findings confirm the
presence and seasonal variation of a mixture of particle-associated steroids in
drinking water sources. The presence of high concentrations of a mixture of
particle-associated steroids in DWP intakes highlight the need for highly
effective particle-removal processes to eliminate these recalcitrant compounds
during drinking water production. Finally, the detected concentrations raise
concerns about their potential environmental effects.
PMID- 29660719
TI - Assessment of endocrine disruptors effects on zebrafish (Danio rerio) embryos by
untargeted LC-HRMS metabolomic analysis.
AB - Bisphenol A (BPA), perfluorooctane sulfonate (PFOS), and tributyltin (TBT) are
emerging endocrine disruptors (EDCs) with still poorly defined mechanisms of
toxicity and metabolic effects in aquatic organisms. We used an untargeted liquid
chromatography-high resolution mass spectrometry (LC-HRMS) metabolomic approach
to study the effects of sub-lethal doses of these three EDCs on the metabolic
profiles of zebrafish embryos exposed from 48 to 120hpf (hours post
fertilization). Advanced chemometric data analysis methods were used to reveal
effects on the subjacent regulatory pathways. EDC treatments induced changes in
concentrations of about 50 metabolites for TBT and BPA, and of 25 metabolites for
PFOS. The analysis of the corresponding metabolic changes suggested the presence
of similar underlying zebrafish responses to BPA, TBT and PFOS affecting the
metabolism of glycerophospholipids, amino acids, purines and 2-oxocarboxylic
acids. We related the changes in glycerophospholipid metabolism to alterations in
absorption of the yolk sack, the main source of nutrients (including lipids) for
the developing embryo, linking the molecular markers with adverse phenotypic
effects. We propose a general mode of action for all three chemical compounds,
probably related to their already described interaction with the PPAR/RXR
complex, combined with specific effects on different signaling pathways resulting
in particular alterations in the zebrafish embryos metabolism.
PMID- 29660720
TI - Environmental impact of cheese production: A case study of a small-scale factory
in southern Europe and global overview of carbon footprint.
AB - The environmental performance of a small-scale cheese factory sited in a NW
Spanish region has been analysed by Life Cycle Assessment (LCA) as representative
of numerous cheese traditional factories that are scattered through the European
Union, especially in the southern countries. Inventory data were directly
obtained from this facility corresponding to one-year operation, and the main
subsystems involved in cheese production were included, i.e. raw materials,
water, electricity, energy, cleaning products, packaging materials, transports,
solid and liquid wastes and gas emissions. Results indicated that the
environmental impacts derived from cheese making were mainly originated from raw
milk production and the natural land transformation was the most affected of the
considered categories. On the contrary, the manufacturing of packaging material
and other non-dairy ingredients barely influenced on the total impact.
Additionally, an average carbon footprint of the cheeses produced in the analysed
facility has also been calculated, resulting milk production and pellet boiler
emissions the most contributing subsystems. Furthermore, it was notable the
positive environmental effect that entailed the direct use of whey as animal
feed, which was considered in this study as avoided fodder. Finally, a revision
of published works regarding the environmental performance of cheese production
worldwide was provided and compared to results found in the present work.
According to the analysed data, it is clear that the content of fat and dry
extract are determinant factors for the carbon footprint of cheeses, whereas the
cheesemaking scale and the geographical area have a very low effect.
PMID- 29660721
TI - Changes in soil water availability in vineyards can be traced by the carbon and
nitrogen isotope composition of dried wines.
AB - The grapevine is one of the most important edible fruit plants cultivated
worldwide, and it is highly sensitive to changes in the soil water content. We
studied the total carbon and nitrogen contents and stable isotope compositions
(C/NWSR, delta13CWSR and delta15NWSR values) of the solid residues obtained by
freeze-drying wines produced from two white grapevine cultivars (Vitis vinifera
L. cv Chasselas and Petite Arvine) field grown under different soil water regimes
while maintaining other climatic and ecopedological conditions identical. These
experiments simulated the more frequent and extended climate change-induced
periods of soil water shortage. The wines were from the 2009-2014 vintages,
produced using the same vinification procedure. The plant water status,
reflecting soil water availability, was assessed by the predawn leaf water
potential (Psipd), monitored in the field during the growing seasons. For both
wine varieties, the delta13CWSR values are highly correlated with Psipd values
and record the soil water availability set by soil water holding capacity,
rainfall and irrigation water supply. These relationships were the same as those
observed for the carbon isotope composition of fruit sugars (i.e., must sugars)
and plant water status. In Chasselas wines, the nitrogen content and delta15NWSR
values decreased with soil water deficit, indicating control of the flux of soil
water soluble nutrients into plants by soil water availability. Such a
correlation was not found for Petite Arvine, probably due to different N
metabolism processes in this genetically atypical cultivar. The results presented
in this study confirm and generalize what was previously found for red wine
(Pinot noir); the carbon isotope composition of wine solid residues is a reliable
indicator of the soil and the plant water status and thus can be used to trace
back local climatic conditions in the vineyard's region. In most wines (except
Petite Arvine) the C/NWSR and delta15NWSR values are indicators of the origin of
the nitrogen supplied to the plant's fruit (grape) that can be used to assess the
N dynamics in the soil-water-plant system.
PMID- 29660722
TI - Determinants of mercury contamination in viperine snakes, Natrix maura, in
Western Europe.
AB - The effects of Hg contamination are presumably widespread across the components
of aquatic ecosystems, but investigations have been mainly focused on freshwater
fish, because this biota represents a major source of Hg for human populations.
Yet, the possible bioaccumulation of Hg on other freshwater meso- and apex
predators (e.g., amphibians, reptiles) has been largely overlooked, especially in
Western Europe. In this study, the determinants of Hg concentrations were
assessed for the viperine snake (Natrix maura) across 6 populations (>130
individuals sampled in 2016 and 2017) in France and Spain. Specifically, body
size, sex, and diet were compared with Hg concentrations measured in ventral
scales. Overall, N. maura accumulated Hg in their scales. Sex did not seem to
influence Hg concentrations in this species. Significant differences in Hg
concentrations were observed between study sites, and these differences were
likely to be mediated by site-specific diet. Frog-eating individuals were
characterized not only by lower mean values of Hg (0.194+/-0.018MUg.g-1 versus
0.386+/-0.032MUg.g-1 for piscivorous individuals), but also by weaker slopes of
the body size-Hg relationship as compared to fish-eating snakes, suggesting
strong differences in accumulation rates due to food resources. Importantly, the
highest slope of the body size-Hg relationship and the highest values of Hg were
found in individuals foraging on trout raised by a fish farm, suggesting that
fish farming may contribute to Hg contamination in inland freshwater systems.
Finally, our results are compared with data on Hg concentrations in other species
of aquatic snakes, in order to provide a comparative point for future studies.
PMID- 29660723
TI - Fluoride prevalence in groundwater around a fluorite mining area in the flood
plain of the River Swat, Pakistan.
AB - This study investigated the fluoride (F-) concentrations and physicochemical
parameters of the groundwater in a fluorite mining area of the flood plain region
of the River Swat, with particular emphasis on the fate and distribution of F-
and the hydrogeochemistry. To better understand the groundwater hydrochemical
profile and F- enrichment, groundwater samples (n=53) were collected from shallow
(24-40m), mid-depth (48-65m) and deep (85-120m) aquifers, and then analysed using
an ion-selective electrode. The lowest F- concentration (0.7mg/L) was recorded in
the deep-aquifer groundwater, while the highest (6.4mg/L) was recorded in shallow
groundwater. Most groundwater samples (62.2%) exceeded the guideline (1.5mg/L)
set by the World Health Organization (WHO); while for individual sources, 73% of
shallow-groundwater samples (F- concentration up to 6.4mg/L), 42% of mid-depth
groundwater samples, and 17% of deep-groundwater samples had F- concentrations
that exceeded this permissible limit. Assessment of the overall quality of the
groundwater revealed influences of the weathering of granite and gneisses rocks,
along with silicate minerals and ion exchange processes. Hydrogeochemical
analysis of the groundwater showed that Na+ is the dominant cation and HCO3- the
major anion. The anionic and cationic concentrations across the entire study area
increased in the following order: HCO3>SO4>Cl>NO3>F>PO4 and Na>Ca>Mg>K,
respectively. Relatively higher F- toxicity levels were associated with the
NaHCO3 water type, and the chemical facies were found to change from the CaHCO3
to (NaHCO3) type in calcium-poor aquifers. Thermodynamic considerations of
saturation indices indicated that fluorite minerals play a vital role in the
prevalence of fluorosis, while under-saturation revealed that - besides fluorite
minerals - other F- minerals that are also present in the region further increase
the F- concentrations in the groundwater. Finally, a health risk assessment via
Dean's classification method identified that the groundwater with relatively
higher F- concentrations is unfit for drinking purposes.
PMID- 29660724
TI - Influence of land urbanization on carbon sequestration of urban vegetation: A
temporal cooperativity analysis in Guangzhou as an example.
AB - Land urbanization can affect carbon sequestration. In this study, the
relationships between land urbanization and carbon sequestration of urban
vegetation were studied for Guangzhou, China. The methodology was based on land
use data from Thematic Mapper (TM) imagery, MODIS13Q1 data, and climate data, and
the improved Carnegie-Ames-Stanford approach (CASA) model and linear system
models were employed. Characteristics such as the amount of expansion, spatial
agglomeration, spatial expansion intensity, and spatial growth of built-up land
were analyzed, and the influence of land urbanization (built-up land expansion)
on carbon sequestration of urban vegetation was elucidated by a temporal
sequential cooperativity analysis. The main results were as follows. (1) Land
urbanization had a clear influence on carbon sequestration of urban vegetation in
Guangzhou, and the proportion and spatial agglomeration of built-up land showed
significant negative correlations with this carbon sequestration; the correlation
coefficients were -0.443 and -0.537, respectively, in 2014. (2) The spatial
expansion intensity and spatial growth of built-up land showed small correlations
with carbon sequestration, and the correlations from 2000 to 2005 were relatively
larger than those at other times; this was because the built-up land expansion
speed was the fastest during this period. (3) The temporal sequential
cooperativity analysis revealed that carbon was lost as natural surfaces were
transformed to artificial surfaces, and land urbanization effects on carbon
sequestration showed no significant temporal lag. Carbon sequestration of urban
vegetation in the city could be improved by adding urban green spaces; however,
this would likely take some time as the system recovers.
PMID- 29660725
TI - Modeling lead concentration in drinking water of residential plumbing pipes and
hot water tanks.
AB - Drinking water is a potential source of exposure to lead (Pb), which can pose
risk to humans. The regulatory agencies often monitor Pb in water treatment
plants (WTP) and/or water distribution systems (WDS). However, people are exposed
to tap water inside the house while water may stay in the plumbing premise for
several hours prior to reaching the tap. Depending on stagnation period and
plumbing premise, concentrations of Pb in tap water can be significantly higher
than the WDS leading to higher intake of Pb than the values from WDS or WTP. In
this study, concentrations of Pb and water quality parameters were investigated
in WDS, plumbing pipe (PP) and hot water tanks (HWT) for 7months. The samples
were collected and analyzed on bi-weekly basis for 7 times a day. Several linear,
non-linear and neural network models were developed for predicting Pb in PP and
HWT. The models were validated using the additional data, which were not used for
model development. The concentrations of Pb in PP and HWT were 1-1.17 and 1-1.21
times the Pb in WDS respectively. Concentrations of Pb were higher in summer than
winter. The models showed moderate to excellent performance (R2=0.85-0.99) in
predicting Pb in PP and HWT. The correlation coefficients (r) with the validation
data were in the ranges of 0.76-0.90 and 0.97-0.99 for PP and HWT respectively.
The models can be used for predicting Pb in tap water, which can assist to better
protect the humans.
PMID- 29660726
TI - The effect of chemical vapor deposition temperature on the performance of binder
free sewage sludge-derived anodes in microbial fuel cells.
AB - Conversion of sewage sludge (SS) into value-added material has garnered
increasing attention due to its potential applications. In this study, we propose
a new application of the sewage sludge-derived carbon (SSC) as an electrode
without binder in microbial fuel cells (MFCs). SS was firstly converted into SSC
monoliths by methane chemical vapor method at different temperature (600, 800,
1000 or 1200 degrees C). Scanning electron microscopy images showed that carbon
micro-wires were present on the surfaces of the samples prepared at 1000 and 1200
degrees C. The results showed that it was beneficial for converting sludge into a
highly conductive electrode and increasing carbon content of the electrode at
higher temperatures, thereby improving the current generation. The conductivity
results show that a higher temperature favors the conversion of sludge into a
highly conductive electrode. The MFC using an SSC anode processed at 1200 degrees
C generated the maximum power density of 2228mWm-2 and the maximum current
density of 14.2Am-2. This value was 5 times greater than that generated by an MFC
equipped with a graphite anode. These results present a promising means of
converting SS into electrode materials.
PMID- 29660727
TI - Effect of dissolved organic matter on pre-equilibrium passive sampling: A
predictive QSAR modeling study.
AB - : Pre-equilibrium passive sampling is a simple and promising technique for
studying sampling kinetics, which is crucial to determine the distribution,
transfer and fate of hydrophobic organic compounds (HOCs) in environmental water
and organisms. Environmental water samples contain complex matrices that
complicate the traditional calibration process for obtaining the accurate rate
constants. This study proposed a QSAR model to predict the sampling rate
constants of HOCs (polycyclic aromatic hydrocarbons (PAHs), polychlorinated
biphenyls (PCBs) and pesticides) in aqueous systems containing complex matrices.
A homemade flow-through system was established to simulate an actual aqueous
environment containing dissolved organic matter (DOM) i.e. humic acid (HA) and (2
Hydroxypropyl)-beta-cyclodextrin (beta-HPCD)), and to obtain the experimental
rate constants. Then, a quantitative structure-activity relationship (QSAR) model
using Genetic Algorithm-Multiple Linear Regression (GA-MLR) was found to
correlate the experimental rate constants to the system state including
physicochemical parameters of the HOCs and DOM which were calculated and selected
as descriptors by Density Functional Theory (DFT) and Chem 3D. The experimental
results showed that the rate constants significantly increased as the
concentration of DOM increased, and the enhancement factors of 70-fold and 34
fold were observed for the HOCs in HA and beta-HPCD, respectively. The
established QSAR model was validated as credible (RAdj.2=0.862) and predictable
(Q2=0.835) in estimating the rate constants of HOCs for complex aqueous sampling,
and a probable mechanism was developed by comparison to the reported theoretical
study. MAIN FINDING OF THE WORK: The present study established a QSAR model of
passive sampling rate constants and calibrated the effect of DOM on the sampling
kinetics.
PMID- 29660728
TI - Corporate carbon footprint for country Climate Change mitigation: A case study of
a tannery in Turkey.
AB - Assessment of carbon emissions and environmental impact of production is
indispensable to achieve a sustainable industrial production in Turkey,
especially for those companies willing to compete in new international green
markets. In this case study, corporate carbon footprint of a representative
Turkish tanning company was analyzed. Inventory and impact data are presented to
help in the environmental decision-making process. The results indicate that
significant environmental impacts were caused during the landfilling of solid
wastes as well as the production of the electricity and fuel required in the
tannery. Turkish tannery inventory data presented here for the first time will be
useful for leather tanning company managers to calculate sustainability key
indicators. Improving alternatives at country level were identified (increasing
the renewable sources on electricity production and promote energy recovery in
landfills) which would be useful not only to decrease greenhouse gas (GHG)
emissions of tanning sector but also of other industries requiring electricity
and producing organic wastes. Considering the substantial contribution of
industrial processes to the Turkish carbon emissions (15.7%) (TUIK, 2013), work
done on those areas would provide a sound improvement in environmental profile of
Turkey. The importance to promote a national strategy to reduce GHG emissions in
Turkey was discussed here, as well as its relation to corporate carbon footprint
assessments. One of the significant points revealed from the case study is the
lack of published country specific emission factors for Turkey, which is a
fundamental prerequisite to promote corporate carbon footprint assessment within
the country.
PMID- 29660729
TI - Biogas liquid digestate grown Chlorella sp. for biocrude oil production via
hydrothermal liquefaction.
AB - Microalgae can not only purify and recover the nutrients from wastewater, but
also be harvested as wet biomass for the production of biocrude oil via
hydrothermal liquefaction (HTL). Chlorella sp. cultivated in the ultrafiltration
(UF) membrane treated anaerobic digestion (AD) liquid digestate of chicken manure
was used as the feedstock in this study. The present study characterized the
products and investigated the elemental migration during HTL of Chlorella sp. fed
with AD effluent wastewater (WW) and BG11 standard medium (ST) in 100mL and 500mL
reactors under different operational conditions. Results showed that the highest
oil yield of WW (38.1%, daf) was achieved at 320 degrees C, 60min and 15% TS in
500mL reactor, which was 14.1% higher than that of ST (33.4%, daf) at 320 degrees
C, 30min and 20% TS in the same reactor. WW had a similar carbon and hydrogen
distribution in the four product fractions under HTL conditions compared with ST.
43.4% and 32.4% of carbon in WW11 and ST11 were released into the biocrude and
aqueous phase in 500mL reactor, respectively. As much as 64.5% of the hydrogen
was transferred to the aqueous phase. GC-MS results showed that the chemical
compounds in the biocrude oil from WW consist of a variety of chemical
constituents, such as hydrocarbons, acids, alcohols, ketones, phenols and
aldehydes. These two biocrude oils contained 17.5% wt. and 8.64% wt.
hydrocarbons, and 63.7% wt. and 79.8% wt. oxygen-containing compounds,
respectively. TGA results showed that 69.3%-66.7% of the biocrude oil was
gasified in 30 degrees C-400 degrees C. This study demonstrates the great
potential for biocrude oil production from microalgae grown in biogas effluent
via HTL.
PMID- 29660730
TI - Enhancing anaerobic treatment of domestic wastewater: State of the art,
innovative technologies and future perspectives.
AB - Recent concerns over public health, environmental protection, and resource
recovery have induced to look at domestic wastewater more as a resource than as a
waste. Anaerobic treatment, owing to attractive advantages of energy saving,
biogas recovery and lower sludge production, has been suggested as an alternative
technology to the traditional practice of aerobic wastewater treatment, which is
energy intensive, produces high excess of sludge, and fails to recover the
potential resources available in wastewater. Sewage treatment by high-rate
anaerobic processes has been widely reported over the last decades as an
attractive method for providing a good quality effluent. Among the available high
rate anaerobic technologies, membrane bioreactors feature many advantages over
aerobic treatment and conventional anaerobic systems, since high treatment
efficiency, high quality effluent, pathogens retention and recycling of
nutrients, were generally achieved. The objective of this paper is to review the
currently available knowledge on anaerobic domestic wastewater treatment for the
mostly applied high-rate systems and membrane bioreactors, presenting benefits
and drawbacks, and focusing on the most promising emerging technologies, which
need more investigation for their scale-up.
PMID- 29660732
TI - Safe and unsafe spaces: Non-fatal overdose, arrest, and receptive syringe sharing
among people who inject drugs in public and semi-public spaces in Baltimore City.
AB - The spaces in which drug use occurs constitutes a key aspect of the "risk
environment" of people who inject drugs (PWID). We aimed to add nuance to the
characterization of "safe" and "unsafe" spaces in PWID's environments to further
understand how these spaces amplify the risk of morbidities associated with
injection drug use. PWID were recruited through the Baltimore City syringe
service program and through peer referral. Participants completed a socio
behavioral survey. Multivariable logistic regression was used to identify
associations between utilization of public, semi-public and private spaces with
arrest, non-fatal overdose, and receptive syringe sharing. The sample of PWID (N
= 283) was mostly 45 years and older (54%), male (69%), Black (55%), and heroin
users (96%). Compared to PWID who primarily used private settings, the adjusted
odds of recent overdose were greater among PWID who mostly used semi-public and
public locations to inject drugs. We also found independent associations between
arrest and semi-public spaces, and between receptive syringe sharing and public
spaces (all p < 0.05). This study highlights the need for safe spaces where PWID
can reduce their risk of overdose, likelihood of arrest and blood-borne diseases,
and the dual potential of the environment in promoting health and risk.
PMID- 29660731
TI - Remediation of multiple heavy metal-contaminated soil through the combination of
soil washing and in situ immobilization.
AB - The remediation of heavy metal-contaminated soils is a great challenge for global
environmental sciences and engineering. To control the ecological risks of heavy
metal-contaminated soil more effectively, the present study focused on the
combination of soil washing (with FeCl3) and in situ immobilization (with lime,
biochar, and black carbon). The results showed that the removal rate of Cd, Pb,
Zn, and Cu was 62.9%, 52.1%, 30.0%, and 16.7%, respectively, when washed with
FeCl3. After the combined remediation (immobilization with 1% (w/w) lime), the
contaminated soils showed 36.5%, 73.6%, 70.9%, and 53.4% reductions in the
bioavailability of Cd, Cu, Pb, and Zn (extracted with 0.11M acetic acid),
respectively, than those of the soils washed with FeCl3 only. However, the
immobilization with 1% (w/w) biochar or 1% (w/w) carbon black after washing
exhibited low effects on stabilizing the metals. The differences in effects
between the immobilization with lime, biochar, and carbon black indicated that
the soil pH had a significant influence on the lability of heavy metals during
the combined remediation process. The activity of the soil enzymes (urease,
sucrase, and catalase) showed that the addition of all the materials, including
lime, biochar, and carbon black, exhibited positive effects on microbial
remediation after soil washing. Furthermore, lime was the most effective
material, indicating that low soil pH and high acid-soluble metal concentrations
might restrain the activity of soil enzymes. Soil pH and nutrition were the major
considerations for microbial remediation during the combined remediation. These
findings suggest that the combination of soil washing and in situ immobilization
is an effective method to amend the soils contaminated with multiple heavy
metals.
PMID- 29660733
TI - An analysis of Belgian Cannabis Social Clubs' supply practices: A shapeshifting
model?
AB - BACKGROUND AND RESEARCH QUESTIONS: Cannabis Social Clubs (CSCs) are associations
of cannabis users that collectively organize the cultivation and distribution of
cannabis. As this middle ground supply model has been active in Belgium for over
a decade, this paper aims to examine CSCs' supply practices, noting any shifts
from previously reported features of the model. METHODS: We draw on interviews
with directors of seven currently active Belgian CSCs (n = 21) and their cannabis
growers (n = 23). This data was complemented by additional fieldwork, as well as
a review of CSCs' key internal documents. RESULTS: Most Belgian CSCs are formally
registered non-profit associations. One of the Belgian CSCs has developed a
structure of sub-divisions and regional chapters. The Belgian CSCs supply
cannabis to members only, and in some cases only medical users are admitted. CSCs
rely on in-house growers, ensuring supply in a cooperative and closed-circuit
way, despite changes to the distribution methods The associations are relatively
small-scale and non-commercially driven. The introduction of formal quality
control practices remains challenging. DISCUSSION: As the CSC model is often
included in discussions about cannabis policy, but remains in most cases driven
by self-regulatory efforts, it is important to take stock of how CSCs' supply
function has been implemented in practice - as doing so will improve our
understanding of the model and of the wider range of cannabis 'supply
architectures'. This paper highlights the continuity and changes in CSC
practices, noting the emergence of several different variants of the CSC model,
which are classified in a first CSC typology.
PMID- 29660734
TI - Interpretation of Serum Gonadotropin Levels in Hyperprolactinaemia.
AB - BACKGROUND/AIMS: Hyperprolactinaemia is a common cause of amenorrhoea due to
hypogonadotropic hypogonadism. Prolactin is hypothesised to impede the
reproductive axis through an inhibitory action at the hypothalamus. However,
limited data exist to aid the interpretation of serum gonadotropins in the
context of hyperprolactinaemia. METHODS: Serum gonadotropin values were reviewed
in 243 patients with elevated serum monomeric prolactin due to discrete
aetiologies at a tertiary reproductive endocrine centre between 2012 and 2015.
The cause of hyperprolactinaemia was categorised by an experienced
endocrinologist/pituitary multidisciplinary team, unless superseded by histology.
The most frequently encountered diagnoses were microprolactinoma (n = 88),
macroprolactinoma (n = 46), non-functioning pituitary adenoma (NFPA) (n = 72),
drug-induced hyperprolactinaemia (n = 22) and polycystic ovarian syndrome (PCOS)
(n = 15). RESULTS: In patients with prolactinoma and modestly raised serum
prolactin levels (< 4,000 mU/L), increasingly FSH-predominant gonadotropin values
were observed with rising prolactin level, consistent with a progressive
reduction in hypothalamic gonadotropin-releasing hormone (GnRH) pulsatility.
Patients with prolactinoma and higher prolactin values (> 4,000 mU/L) were more
likely to have a reduction in serum levels of both FSH and LH, consistent with
direct pituitary gonadotrope dysfunction. Patients with macroadenoma and extremes
of serum gonadotropin values (either serum FSH or LH > 8 IU/L) were more likely
to have NFPA than prolactinoma. Patients with PCOS and hyperprolactinaemia had LH
predominant secretion in keeping with increased GnRH pulsatility despite a raised
prolactin level. CONCLUSION: The pattern of gonadotropin secretion in patients
with hyperprolactinaemia reflects the underlying aetiology.
PMID- 29660735
TI - The Anorexigenic Neural Pathways of Oxytocin and Their Clinical Implication.
AB - Oxytocin was discovered in 1906 as a peptide that promotes delivery and milk
ejection; however, its additional physiological functions were determined 100
years later. Many recent articles have reported newly discovered effects of
oxytocin on social communication, bonding, reward-related behavior, adipose
tissue, and muscle and food intake regulation. Because oxytocin neurons project
to various regions in the brain that contribute to both feeding reward (hedonic
feeding) and the regulation of energy balance (homeostatic feeding), the
mechanisms of oxytocin on food intake regulation are complicated and largely
unknown. Oxytocin neurons in the paraventricular nucleus (PVN) receive neural
projections from the arcuate nucleus (ARC), which is an important center for
feeding regulation. On the other hand, these neurons in the PVN and supraoptic
nucleus project to the ARC. PVN oxytocin neurons also project to the brain stem
and the reward-related limbic system. In addition to this, oxytocin induces
lipolysis and decreases fat mass. However, these effects in feeding and adipose
tissue are known to be dependent on body weight (BW). Oxytocin treatment is more
effective in food intake regulation and fat mass decline for individuals with
leptin resistance and higher BW, but is known to be less effective in individuals
with normal BW. In this review, we present in detail the recent findings on the
physiological role of oxytocin in feeding regulation and the anorexigenic neural
pathway of oxytocin neurons, as well as the advantage of oxytocin usage for anti
obesity treatment.
PMID- 29660737
TI - Treatment of a Paediatric Distal Femoral Malunion Deformity.
AB - OBJECTIVE: The aim of this article was to describe the surgical re-alignment
technique and stabilization of a distal femoral deformity in a 6-week-old, male,
Foxhound. METHODS: A healing metaphyseal fracture, resulting in a valgus
deformity with internal rotation, was observed just proximal to the distal
femoral physis. The deformity was treated by an opening wedge osteotomy with
lateral translation and external rotation of the distal epiphysis using a guide
wire technique; a corticocancellous allograft bridged the defect, which was
stabilized with a 2.0-mm locking Y-plate designed for human phalangeal fractures.
RESULTS: Successful deformity correction was obtained with subsequent healing of
the osteotomy and maintained longitudinal bone growth. Sciatic neurapraxia
developed as a result of a migrating adjunct pin (9 days post-operatively), which
was removed. At long-term follow-up (4 years), a 12% shortening of the femur did
result in addition to an asymptomatic grade 2 medial patellar luxation (MPL). The
cause of the MPL was not evident; the owners declined treatment and the dog
continued to function as an active hunting dog. CLINICAL SIGNIFICANCE: Prior to
ossification of the epiphyses in very young animals, which precludes effective
radiographic pre-planning, the guide-wire technique can be utilized as the
primary tool for performing angular deformity correction. Adequate fixation and
stabilization can be obtained with small human specialty locking plates.
PMID- 29660738
TI - Evaluating Stiffness of Fibreglass and Thermoplastic Splint Materials and Inter
fragmentary Motion in a Canine Tibial Fracture Model.
AB - OBJECTIVES: Various materials are used to construct splints for mid-diaphyseal
tibial fracture stabilization. The objective of this study was to compare
construct stiffness and inter-fragmentary bone motion when fibreglass (FG) or
thermoplastic (TP) splints are applied to either the lateral or cranial aspect of
the tibia in a mid-diaphyseal fracture model. METHODS: A coaptation bandage was
applied to eight cadaveric canine pelvic limbs, with a custom-formed splint made
of either FG or TP material applied to either the lateral or cranial aspect of
the osteotomized tibia. Four-point bending tests were performed to evaluate
construct stiffness and inter-fragmentary motion in both frontal and sagittal
planes. RESULTS: For a given material, FG or TP, construct stiffness was not
affected by splint location. Construct stiffness was significantly greater with
cranial FG splints than with cranial TP splints (p < 0.05), but this difference
was not significant when comparing splints applied laterally (p = 0.15). Inter
fragmentary motions in the sagittal and frontal planes were similar across splint
types for cranial splints, but for lateral splints there was a 64% reduction in
frontal plane motion when FG was used as the splint material (p = 0.03). CLINICAL
SIGNIFICANCE: FG produces a stiffer construct, but the difference is not
reflected in a reduction in inter-fragmentary motion. For lateral splints, FG
splints are associated with reduced inter-fragmentary motion as compared with TP
and may therefore have slight superiority for this application.
PMID- 29660739
TI - [Transthyretin Familial Amyloid Polyneuropathy - Disease Profile of a Multisystem
Disorder].
PMID- 29660740
TI - ?
PMID- 29660741
TI - ?
PMID- 29660742
TI - ?
PMID- 29660743
TI - [Rehabilitation after Multiple Trauma].
AB - Many polytrauma patients report significant long-term impairments to their
physical and mental health, resulting in a reduction of their quality of life. In
addition to the obvious physical accident sequences, psychological influences and
the individual context factors pose special challenges to the rehabilitation team
and the infrastructure of the facility. Professional reintegration and chronic
pain are particularly common problems in the trauma rehabilitation. The central
task of rehabilitation after accidents is the restoration or substantial
improvement of the functional health and thus the reintegration into the social
and professional environment. The overall rehabilitation goal is based on the
biopsychosocial ICF model: the patient should achieve the best possible quality
of life despite his functional impairments, and the workability and functional
capability are to be restored as well as possible. This goal can only be reached
after a lengthy process, in the course of which differentiated measures must be
coordinated. This is the task of experienced doctors, therapists and
rehabilitation managers, who accompany the patient permanently. The
rehabilitation after serious accidents is to be distinguished from the "normal"
orthopedic rehabilitation after elective interventions. The challenges of
traumatic rehabilitation require special processes, infrastructures, as well as
interrelated and coordinated rehabilitation phases. The three-phase model
described in the "Weibetabuch Schwerverletztenversorgung der DGU" has to be
differentiated. Between the discharge from the acute care clinic and the
beginning of the post-acute rehabilitation, a "rehabilitation hole" frequently
occurs. The early rehabilitation, by definition, a part of the acute treatment,
cannot adequately close this hole. A 6-phase model is proposed. Phase C of post
acute rehabilitation places particular demands on the rehabilitation facility.
The further rehabilitation (phase E) provides specific measures, such as pain
rehabilitation or activity-oriented procedures. A long-term follow-up of formerly
seriously injured patients is necessary (phase F). An integration of trauma
rehabilitation centers into the existing trauma network remains the long-term
goal to improve the outcome after polytrauma.
PMID- 29660744
TI - [Need of analgetics in children aged 2-12 years after tonsil surgery].
AB - OBJECTIVE: Tonsil surgery is one of the most painful operations in childhood. The
Children's and Infants' Postoperative Pain Scale (CHIPPS), the Faces Pain Scale
Revised (FPS-R) and the little-known German version of the parents' postoperative
pain measure (PPPM-D) are age-appropriate measures. Children undergoing
intracapsular tonsillectomy (TO) or extracapsular tonsillectomy (TE) received the
non-opioids ibuprofen (IBU) and paracetamol (PCM) on a "as needed"-basis
requested by parents. A pain service checked pain scales and applied piritramide
as rescue medication (RM) if required. Objective was evalution of sufficient
analgesia. Endpoints were number of patients (PAT) needing the RM, doses of
requested non-opioids, consistency of indications in different pain scales and
correlation between pain and efficacy of the premedication or duration of the
intervention. MATERIAL/METHODS: 3 measures were carried out daily: CHIPPS for PAT
<= 4 years old, FPS-R from the age of 5. Parents completed the PPPM-D. Exceeding
a cut-off score of 4 in CHIPPS or FPS-R or 6 in PPPM-D was rated as indication
for RM. RESULTS: We included 68 PAT in an interim analysis. Mean daily doses of
non-opioids within the first 3 postoperative days were as follows: PAT undergoing
TE got 14,1-16,3 mg/Kg IBU and 4,2-12,4 mg/Kg PCM. PAT undergoing TO got 10,8
14,7 mg/Kg IBU and 5,2-8,8 mg/Kg PCM. On 212 visits PAT required RM, but 121
times it was detected in the PPPM-D only. After exclusion of potentially false
positive results remained 67 % PAT after TE and 48 % PAT after TO with at least 1
indication for RM. The study was terminated due to the high need for RM.
CONCLUSIONS: The need of non-opioids was underrated. Combining the PPPM-D with
established measures may improve the postoperative pain therapy.
PMID- 29660745
TI - Omental Vascularized Lymph Node Flap: A Radiographic Analysis.
AB - BACKGROUND: Vascularized lymph node transfer is an increasingly popular option
for the treatment of lymphedema. The omental donor site is advantageous for its
copious soft tissue, well-defined collateral circulation, and large number of
available nodes, without the risk of iatrogenic lymphedema. The purpose of this
study is to define the anatomy of the omental flap in the context of vascularized
lymph node harvest. METHODS: Consecutive abdominal computed tomography
angiography (CTA) images performed at a single institution over a 1-year period
were reviewed. Right gastroepiploic artery (RGEA) length, artery caliber, lymph
node size, and lymph node location in relation to the artery were recorded. A two
tailed Z-test was used to compare means. A Gaussian Mixture Model confirmed by
normalized entropy criterion was used to calculate three-dimensional lymph node
cluster locations along the RGEA. RESULTS: In total, 156 CTA images met
inclusion criteria. The RGEA caliber at its origin was significantly larger in
males compared with females (p < 0.001). An average of 3.1 (1.7) lymph nodes were
present per patient. There was no significant gender difference in the number of
lymph nodes identified. Average lymph node size was significantly larger in males
(4.9 [1.9] * 3.3 [0.6] mm in males vs. 4.5 [1.5] * 3.1 [0.5] mm in females; p <
0.001). Three distinct anatomical variations of the RGEA course were noted, each
with a distinct lymph node clustering pattern. Total lymph node number and size
did not differ among anatomical subgroups. CONCLUSION: The omentum is a reliable
lymph node donor site with consistent anatomy. This study serves as an aid in
preoperative planning for vascularized lymph node transfer using the omental
flap.
PMID- 29660746
TI - Free versus Pedicled Perforator Flaps for Lower Extremity Reconstruction: A
Multicenter Comparison of Institutional Practices and Outcomes.
AB - BACKGROUND: Of all body regions, lower extremity wounds have been and remain the
greatest challenge. Perforator free flaps have been accepted as a reasonable
option to solve this dilemma but require the complexity of microsurgery. As a
consequence, the possibility that pedicled perforator flaps could supplant even
perforator free flaps has recently gained intense enthusiasm. METHODS: A
retrospective investigation was undertaken to compare the validity for the use of
perforator flaps of all types at three dissimilar institutions, that is, a
university, a regional center, and a community hospital. All flaps performed in
the 5-year period, 2011 to 2015, were included to allow at least 1-year follow-up
before data analysis. A total of 433 free perforator flaps and 52 pedicled
perforator flaps had been performed specifically for the lower extremity.
RESULTS: Patient demographics, wound etiology, and comorbidities were similar
for all institutions. Free flaps were more commonly needed after trauma and for
chronic ulcers. Pedicled flaps were more likely an option after tumor excision.
Large defects or those involving the foot were better served by free flaps.
Overall success for free perforator flaps was 90.1% and for pedicled perforator
flaps was 92.3%, with no significant difference noted (p = 0.606) between
institutions. Peripheral vascular disease was the only significant comorbidity
risk factor for both free and pedicled flap failure. CONCLUSION: Perforator
flaps in general have become a reasonable solution for soft tissue defects of the
lower extremity. Following careful consideration of the etiology, dimensions,
location, patient comorbidities, and presence of adequate perforators, a pedicled
or free perforator flap could potentially be successful. Pedicled perforator
flaps, if adequate healthy soft tissues remain adjacent to the defect, forecast a
continuance of the evolution in seeking simplicity yet reliability by the best
flap possible for soft tissue closure of the lower limb wound.
PMID- 29660747
TI - Paraspinal Muscles of Patients with Lumbar Diseases.
AB - OBJECTIVE: The treatment of atrophy or increased fat infiltration of the lumbar
paraspinal muscles of patients with back pain, lumbar radiculopathy, or lumbar
degenerative kyphosis is controversial. We review the literature on changes in
the lumbar paraspinal muscles of these patients. METHODS: We searched Medline
for relevant English-language articles and retrieved 25 articles published from
1993 to 2017 on changes in the lumbar paraspinal muscles; 21 met our study
criteria. We categorized each article into three groups: randomized clinical
trial, nonrandomized prospective study, or retrospective study. RESULTS: We
found 1 randomized prospective, 3 nonrandomized prospective, and 17 retrospective
studies. Atrophies of the multifidus muscle are found at the level of the L5
vertebral body in patients with back pain, lumbar radiculopathy, and lumbar
degenerative kyphosis. Increased fat infiltration to the multifidus muscle was
found in the patients with lumbar radiculopathy or lumbar degenerative kyphosis.
However, there are controversies over fat infiltration to the multifidus muscle
in the patients with back pain and the efficiency of a paramedian surgical
approach to prevent the atrophy of the multifidus muscle. CONCLUSIONS: Atrophy
of the multifidus muscle was found in patients with back pain, lumbar
radiculopathy, and lumbar degenerative kyphosis. There was increased fat
infiltration to the multifidus muscle in those patients with lumbar radiculopathy
or lumbar degenerative kyphosis.
PMID- 29660748
TI - Monodisperse Rattle-Structured Gold Nanorod-Mesoporous Silica Nanoparticles Core
Shell as Sulforaphane Carrier and its Sustained-Release Property.
AB - Sulforaphane (SF) was loaded into the multi-functioned rattle-structured gold
nanorod mesoporous silica nanoparticles core-shell to improve its stability and
efficacy through its efficient delivery to tumors. The rattle-structured gold
nanorod mesoporous silica nanoparticles (rattle-structured AuNR@mSiO2 core-shell
NPs) were obtained by covering the surface of Au NPs with Ag and mSiO2 shell and
subsequently selective Ag shell etching strategy. Then the surface of rattle
structured AuNR@mSiO2 NPs was decorated with thiolated polyethylene glycol-FITC
and thiolated polyethylene glycol-folic acid to the designed form. The obtained
FITC/FA@ [rattle-structured AuNR@mSiO2] NPs was characterized by different
techniques including energy dispersive X-ray spectroscopy (EDX), scanning and
transmission electron microscopy (SEM & TEM), UV-visible spectrophotometer and
dynamic light scattering (DLS). The FITC/FA@ [rattle-structured AuNR@mSiO2] NPs
has an average diameter around ~33 nm, which increases to ~38 nm after the
loading of sulforaphane. The amount of the loaded drug was ~ 2.8*10-4 mol of SF
per gram of FITC/FA@ [rattle-structured AuNR@mSiO2] NPs. The rattle-structured
AuNR@mSiO2 and FITC/FA@ [rattle-structured AuNR@mSiO2] NPs showed little inherent
cytotoxicity, whereas the SF loaded FITC/FA@ [rattle-structured AuNR@mSiO2] NPs
was highly cytotoxic in the case of MCF-7 cell line. Finally, Fluorescence
microscopy and flow cytometry were used to demonstrate that the nanoparticles
could be accumulated in specific regions and SF loaded FITC/FA@ [Fe3O4@Au] NPs
efficiently induce apoptosis in MCF-7 cell line Graphical Abstract.
PMID- 29660749
TI - Antimicrobial Peptidomimetics for Recurrent Septicemia Infections: In Vitro Study
for Immuno Compromised Disease Target.
AB - The frequency of Bacillus subtilis infection such as pneumonia pan-opthalmitis,
visceral abscess or musculoskeletal infection etc. complications following
bacteremia, meningitis in children & the infection associated with majority of
motor vehicle accidents associated with trauma & gun shoot injury. Antibiotics
which appear especially useful in the treatment of Bacillus. infection are
clindamycin and vancomycin to which vast majority of strains are susceptible in
vitro. Our objective is to test the synthesized peptidomimetics with the efforts
mainly directed towards the identification of antibacterial compounds against
recurrent septicemia infection. Six peptidomimetics namely G-A-L-D (C60-soot Glu
ala-leu-Asp), D-P-F (C60-soot Asp-pro-Phe), I-R (C60-soot Ile-Arg), L-R (C60-soot
leu-Arg), E-R (C60-soot Glu-Arg), D-E (C60-soot Asp-Glu), the column eluted
compounds were tested for disc diffusion using gram positive Bacillus Subtilis
strains at different concentrations predicted by pH and inhibitory
concentrations. I-R (C60-soot Ile-Arg), & D-E (C60-soot Asp-Glu) was found to be
very effective along with 5 compounds against Bacillus Subtilis strain tested.
Maximum activity 100 ug/ml for synthesized peptidomimetics with the corresponding
zonal inhibition diameter (11 mm; 11 mm; 14 mm; 11 mm; 11 mm; 14 mm) against
Bacillus subtilis strain. This is the first evidence based report that proves I-R
(C60-soot Ile-Arg) & D-E (C60-soot Asp-Glu) has shown antibacterial action
against gram positive strains of Bacillus Subtilis against recurrent septicemia
infection.
PMID- 29660750
TI - [German Version of the Sibling Relationship Questionnaire (SRQ-deu): Normative
Data for Children and Adolescents].
AB - The SRQ-deu assesses the quality of sibling relationships from either the
perspective of the child (self-report version) or of the parent (parent-report
version). Its validity has been demonstrated in a first examination 6. Normative
data, however, are not yet available. Hence, the current study presents normative
data for the SRQ-deu self-report version based on a sample of 961 German children
and adolescents aged 8 to 18 years. The effects of age and sibling status
(younger/older sibling) are taken into account. This publication of normative
data for children and adolescents allows for the SRQ-deu (self-report) to be used
in different contexts, both for clinical and research purposes.
PMID- 29660751
TI - [Exposure Therapy with the Help of a Wearable Defibrillator Device used in the
Treatment of Severe Obsessive-Compulsive Disorder and Congenital LQTS - An
Example for Interdisciplinary Treatment].
AB - We report on a case of a young female suffering from both obsessive-compulsive
disorder (OCD) and a severe underlying cardiac disease. Due to the somatic
comorbidity, treatment according to guidelines with exposure and reaction
prevention was not initially conducted, due to potentially fatal risks to the
patient. However, through collaboration with a cardiology clinic, we were able to
find an innovative solution which allowed for the continuation of the exposure
therapy. This case report demonstrates a successful interdisciplinary
collaboration and is intended to sensitize the reader to the need for checking
for somatic contraindications before conducting exposure therapy.
PMID- 29660752
TI - Optimal Timing of Delivery in Women with Higher Order Cesareans: A Cohort Study.
AB - OBJECTIVE: To evaluate whether a planned early term delivery or a planned 39
week delivery is associated with differences in perinatal outcomes in women
undergoing a higher order cesarean (HOC). STUDY DESIGN: This cohort study
included women with singleton gestations with a history of three or more prior
cesareans who delivered at one of two urban tertiary care hospitals. One center
routinely delivered HOC at 39 weeks' gestation and the other at 37 weeks.
Maternal and neonatal morbidities were compared using bivariable and
multivariable analyses. RESULTS: The policy of 37-week delivery was associated
with a decrease in unscheduled deliveries (15.3 vs. 41.1%; p < 0.001). Planned
delivery at 37 weeks was associated with a decreased incidence of composite
maternal morbidity (1.6 vs. 7.9%; p = 0.002) and 5-minute Apgar score less than 7
(0.4 vs. 6.4%; p < 0.001), but these differences were not significant after
controlling for potential confounders (adjusted odds ratio [aOR]: 0.30, 95%
confidence interval [CI]: 0.08-1.17; aOR: 0.13, 95% CI: 0.01-1.30, respectively).
There were no other differences in perinatal outcomes. CONCLUSION: Compared with
planned delivery at 39 weeks, a policy of planned delivery at 37 weeks was
associated with a reduction in unscheduled deliveries, but there were no measured
differences in perinatal outcomes.
PMID- 29660754
TI - Antidepressant Prescription and Risk of Lung Cancer: A Nationwide Case-Control
Study.
AB - INTRODUCTION: In recent decades, concern about safety of antidepressants has been
raised but the risk between antidepressants and lung cancer has not yet been
established. METHODS: A case-control study was conducted by using a nationwide
database in Taiwan. The case groups were new onset lung cancer diagnosis during
1999-2008 and age- and gender-matched controls were selected among those without
any cancer. The cumulative exposure dose before the lung cancer diagnosis was
added and risks were calculated according to the levels of defined daily dose and
classes of antidepressants. RESULTS: A total of 39,001 individuals with lung
cancer and 189,906 individuals without lung cancer between 1999 and 2008 were
included in the analysis. Antidepressants, of any class, were not associated with
elevated risks for lung cancer with the exception of bupropion at high exposure
levels (odds ratio=4.81, 95% confidence interval=1.39-16.71). DISCUSSION:
Antidepressant prescription was not associated with elevation of lung cancer
incidence using a nationally representative sample. The elevated risk for lung
cancer with bupropion at high doses may be a bias by indication and warrant
longitudinal investigation.
PMID- 29660753
TI - Crataegus Special Extract WS 1442 Effects on eNOS and microRNA 155.
AB - Increased expression of microRNA 155 (miR-155) results in a decrease in
endothelial nitric oxide synthase (eNOS) expression and impaired endothelial
function. Factors that have been shown to increase expression of miR-155 may be
mitigated by WS 1442, an extract of hawthorn leaves and flowers (Crataegus
special extract) that contains a range of pharmacologically active substances
including oligomeric proanthocyanidins and flavonoids. The purpose of this study
is to determine the effect of WS 1442 on the expression of miR-155 and eNOS in
the presence of tumor necrosis factor (TNF-alpha). Human umbilical vein
endothelial cells (HUVECs) were studied after the exposure to TNF-alpha, with or
without simvastatin (positive control) and WS 1442. The expression levels of
eNOS, phosphorylated eNOS, and miR-155 in the different HUVEC treatment groups
were determined by western blot and quantitative real-time polymerase chain
reaction, respectively. To evaluate the effect of WS 1442 on the eNOS activity,
the medium and intracellular nitrate/nitrite (NO) concentrations were also
analyzed using a colorimetric Griess assay kit. The results demonstrated that TNF
alpha upregulated miR-155 expression and decreased eNOS expression and NO
concentrations. WS 1442 also increased miR-155 expression and decreased eNOS
expression but, unlike TNF-alpha, increased phosphorylated eNOS expression and NO
concentrations. Surprisingly, WS 1442 increased miR-155 expression; however, WS
1442 mitigated the overall negative effect of miR-155 on decreasing eNOS
expression by increasing expression of phosphorylated eNOS and resulting in an
increase in NO concentrations. In the setting where miR-155 may be expressed, WS
1442 may offer vascular protection by increasing the expression of phosphorylated
eNOS.
PMID- 29660755
TI - [Beckwith-Wiedemann Syndrome (BWS) Current Status of Diagnosis and Clinical
Management: Summary of the First International Consensus Statement].
AB - Beckwith-Wiedemann syndrome (BWS) belongs to the group of imprinting disorders
and is characterized by variable clinical features, including overgrowth,
macroglossia, abdominal wall defect, neonatal hypoglycemia, body asymmetry and an
increased risk for embryonal tumors. In the majority of cases, molecular
alterations of the Imprinting Center (IC) regions in the chromosomal region
11p15.5 can be detected, and a correlation of single clinical features with
specific genomic and epigenetic changes is obvious. Therefore, the detailed
molecular diagnosis is a prerequisite for a precise prediction of the tumor risk
and the tumor spectrum. Furthermore, it is the basis for a well-directed genetic
counselling of the families. Despite a huge number of comprehensive studies based
on a large number of cases, standardized diagnostic criteria and advices for
therapeutic management were missing. In the following, the recently published
first international consensus guidelines drafted by 41 experts in the field of
BWS from 11 European countries and the USA are summarized. Patients support
groups had been included as well. In total, 72 consented recommendations for
clinical and molecular diagnosis as well as for the clinical management of BWS
have been published. They refer both to patients with the classical BWS phenotype
and to those with "atypical" phenotypes which are summarized as BWS spectrum
(BWSp). A modified clinical scoring system is now suggested, which represents the
basis to initiate molecular diagnostics. Therapeutic recommendations comprise the
major clinical questions in BWS/BWSp, i. e. early monitoring of an increased
tumor risk, treatment of the macroglossia and the abdominal wall defects, and
therapeutic interventions for hypoglycemia. However, though there was a broad
consensus on the majority of therapeutic interventions, discussions on tumor
monitoring are foreseeable. Thus, prospective studies to evaluate the consensus
guidelines and their use are planned.
PMID- 29660756
TI - Use of Palivizumab in Germany - Report from the German SynagisTM Registry 2009 -
2016.
AB - BACKGROUND: Following national recommendations, palivizumab is administered in
Germany to high-risk infants to prevent hospitalizations related to Respiratory
Syncytial Virus infection. METHODS: In this post marketing observational study
(German SYNAGISTM Registry) data on risk factors and the clinical course of
children, who received at least one palivizumab injection between 2009-2016 (01
September to June 30) were entered into an internet-based data entry system by
the attending physicians after informed consent. RESULTS: 63 572 immunizations
were documented for 12 729 evaluable patients (EVP) from 2009 to 2016, with an
average of 5.0 immunizations per patient per season. 45% of infants received more
than 5 injections. The predominant primary reason for immunization was premature
birth (74%). In the EVP the rate of hospitalizations with causal relationship to
RSV was 0.7% (=92/12 729) or 1.2% in a worst case scenario including patients
with missing RSV test. In patients with hemodynamically significant congenital
heart disease as main indication, RSV-related hospitalization rate was 0.8%.
Intensive care was necessary in 16.9% (median duration 3 days), mechanical
ventilation in 8.0%. No death related to RSV infection was reported. CONCLUSION:
Keeping in mind the limitations of an uncontrolled prospective observational
study, our results confirm the effectiveness and safety of palivizumab
prophylaxis. The total number of patients with hsCHD is lower than expected. A
better adjustment to the regional epidemiology would probably reduce the need for
more than 5 injections.
PMID- 29660757
TI - Spectrum of Parvovirus B19 Infection Presentations in Children with Underlying
Hemato-oncologic Disorders: A Case Series.
PMID- 29660758
TI - Intracellular Expression of Antifreeze Peptides in Food Grade Lactococcus lactis
and Evaluation of Their Cryoprotective Activity.
AB - : Antifreeze peptides can protect living organisms from low temperatures by
preventing damage or killing due to ice crystal formation between cells.
Therefore, antifreeze peptides can be used as a low temperature protectant for
cryopreservation of cells and tissues, and also in food production. In this
study, a recombinant SF-P gene was constructed and inserted into pNZ8149 to
construct a food grade expression vector, which was then electroporated into
Lactococcus lactis NZ3900. The expression of the target protein was induced using
Nisin, and the optimal expression condition was determined to be a pH of 6.0,
Nisin concentration of 25 ng/mL, temperature of 37 degrees C, and incubation
time of 6 hr. Compared to the strain NZ3900 and the recombinant strain SF-P1
without addition of Nisin, the recombinant strain SF-P2 showed the highest cell
survival and thermal hysteresis activity, and had a reduction in the changes of
activities of extracellular and intracellular lactate dehydrogenase and beta
galactosidase after freezing. Moreover, analysis by SEM showed that SF-P2 cells
were more completely and regularly shaped than other strains, displayed no
obvious leakage of cell contents, and had an intact boundary between cells after
freezing. These results indicate that the recombinant strain SF-P2 has a
protective effect against freezing. This paper presents a food grade expression
system for an antifreeze peptide SF-P using L. lactis as a host, and shows that
the intracellular expression of antifreeze peptide could protect the cellular
integrity and physiological functions of L. lactis. PRACTICAL APPLICATION: The
recombinant Lactococcus lactis with intracellular expression of antifreeze
peptides SF-P could reduce the damage of bacteria cells induced by freezing or
freeze drying, so, it could be applied in the process of freezing food without
separation, such as the manufacture of yoghurt ice cream, frozen dough, and so
on.
PMID- 29660760
TI - Racial disparities in postmastectomy breast reconstruction: National trends in
utilization from 2005 to 2014.
AB - BACKGROUND: Evidence of racial disparities in the receipt of postmastectomy
breast reconstruction is well documented. The objective of this study was to
describe trends in racial disparities overall and by reconstructive technique.
METHODS: The American College of Surgeons National Surgical Quality Improvement
Program database was used to identify women who underwent mastectomy and/or
breast reconstruction from 2005 to 2014. Patient demographics were recorded, and
cases were grouped by reconstructive status and technique. Trends were assessed
with the Cochran-Armitage test and the index of disparity. RESULTS: Over the
study period, 92,960 postmastectomy patients were identified (77,049 white women,
10,396 black women, 4939 Asian women, and 576 Native American women), of whom
46,931 underwent reconstruction. Of these, 7692 women underwent autologous
reconstructions (3913 free flaps and 3696 pedicled flaps). From 2005 to 2014,
receipt of breast reconstruction by postmastectomy patients rose from 33.2% to
60.0%, receipt of autologous reconstruction by patients who underwent breast
reconstruction fell from 30.4% to 15.9%, and receipt of free-flap reconstruction
by patients who underwent autologous reconstruction rose from 15.0% to 70.8%.
These trends were significant in all racial subgroups (P < .001), except for
Native Americans (P = .269). The index of disparity decreased from 51.4% to 22.6%
for overall receipt of breast reconstruction, decreased from 10.7% to 7.0% for
tissue expander and implant-based reconstruction, increased from 18.0% to 27.3%
for autologous reconstruction, and decreased from 66.7% to 4.3% for free-flap
reconstruction. CONCLUSIONS: The use of postmastectomy breast reconstruction is
steadily rising in the United States. Racial disparities persist, but progress
has been made. Further efforts are needed to reduce racial disparities. Cancer
2018;124:2774-2784. (c) 2018 American Cancer Society.
PMID- 29660759
TI - PARP inhibitors in breast cancer: Bringing synthetic lethality to the bedside.
AB - Individuals with breast and ovarian cancer susceptibility gene 1 (BRCA1) or BRCA2
germline mutations have a significantly increased lifetime risk for breast and
ovarian cancers. BRCA-mutant cancer cells have abnormal homologous recombination
(HR) repair of DNA. In these tumors, the base excision repair (BER) pathway is
important for cell survival. The poly(adenosine diphosphate-ribose) polymerase
(PARP) enzymes play a key role in BER, and PARP inhibitors are effective in
causing cell death in BRCA-mutant cells while sparing normal cells-a concept
called synthetic lethality. PARP inhibitors are the first cancer therapeutics
designed to exploit synthetic lethality. Recent clinical trials in BRCA-mutant,
metastatic breast cancer demonstrated improved outcomes with single-agent PARP
inhibitors (olaparib and talazoparib) over chemotherapy. However, resistance to
PARP inhibitors remains a challenge. Primarily due to myelosuppression, the
combination of PARP inhibitors with chemotherapy has been difficult. Novel
combinations with chemotherapy, immunotherapy, and other targeted therapies are
being pursued. In this review, the authors discuss current knowledge of PARP
inhibitors in BRCA-mutant breast cancer and potential future directions for these
agents. Cancer 2018;124:2498-506. (c) 2018 American Cancer Society.
PMID- 29660761
TI - Interactions of Dihydromyricetin, a Flavonoid from Vine Tea (Ampelopsis
grossedentata) with Gut Microbiota.
AB - : Dihydromyricetin (DMY) is the main bioactive constituent in vine tea
(Ampelopsis grossedentata), which was predominantly distributed in the
gastrointestinal tract and showed poor oral bioavailability. Our aim was to
systematically investigate the interactions of DMY with gut microbiota. Through
the metabolism study of DMY by fecal microflora in vitro, it was found that DMY
could be metabolized into three metabolites by fecal microflora via reduction and
dehydroxylation pathways, and the dehydroxylation metabolite was the dominant
one. Meanwhile, in order to consider the influence of gut microbiota metabolism
on the pharmacokinetics of DMY, the pharmacokinetics of DMY in control and pseudo
germ-free rats were compared. It was shown that area under the curve (AUC) could
only slightly increase, however, peak concentration (Cmax ) could significantly
increase in the pseudo-germ-free rats compared with the control rats, which
indicated the gut microbiota metabolism played an important role in the
pharmacokinetics of DMY. In addition, the long-term influence of DMY on gut
microbiota composition by using 16S rRNA pyrosequencing was further investigated.
And it was found that DMY could markedly alter the richness and diversity of the
gut microbiota and modulate the gut microbiota composition. The present findings
will be helpful for the future development and clinical application of DMY.
PRACTICAL APPLICATION: The gut microbiota plays an important role in the
pharmacokinetics of flavonoids. As well, the long-term supplements of flavonoids
could alter the gut microbiota composition in turn. The study aims to clarify the
mutual interaction of DMY with gut microbiota, which may lead to new information
with respect to the mechanism study and clinical application of DMY.
PMID- 29660762
TI - A facile PCR-RFLP method for genotyping of ITPA rs1127354 and rs7270101
polymorphisms.
AB - BACKGROUND: Inosine triphosphate pyrophosphatase (ITPA) gene single nucleotide
polymorphisms (SNPs), rs1127354 and rs7270101, may cause a functional impairment
in ITPase enzyme, resulting anemia protection in patients with chronic hepatitis
C virus (HCV) infection undergoing ribavirin (RBV)-dependent regimens. The main
purpose of this study was to provide and validate a simple, rapid, and
inexpensive polymerase chain reaction-restriction fragment length polymorphism
(PCR-RFLP) technique for genotyping of ITPA rs1127354 and rs7270101 polymorphisms
in chronic HCV-infected patients. METHODS: In the current study, 100 Iranian
patients with chronic hepatitis C were examined and genotyped for ITPA rs1127354
and rs7270101 gene polymorphisms. To genotype rs1127354 and rs7270101
polymorphisms, PCR-RFLP technique and sequencing technique were performed on
these samples. To validate the PCR-RFLP method, the PCR-RFLP genotyping results
should be 100% concordant with the PCR-sequencing results. RESULTS: The rs1127354
and rs7270101 polymorphisms of ITPA gene were genotyped by PCR-RFLP technique and
sequencing simultaneously, and the results of both techniques were 100%
concordant in all 100 patients. Both PCR-RFLP and sequencing techniques indicated
that the genotypic frequency of rs7270101 was 80% AA, 19% AC and 1% CC, and for
rs1127354 was 79% CC, 20% CA and 1% AA, respectively. CONCLUSION: We developed
and validated a rapid and inexpensive PCR-RFLP technique for the detection of
ITPA rs1127354 and rs7270101 gene polymorphisms.
PMID- 29660763
TI - Diversity, Function, and Application of Clostridium in Chinese Strong Flavor
Baijiu Ecosystem: A Review.
AB - : Baijiu is a Chinese traditional distilled liquor with an annual yield over
13.12 million tons. Strong flavor baijiu (SFB) also called Luzhou-flavor liquor,
takes account for > 70% of the total baijiu produced. SFB is produced by an open
solid fermentation process with a complex microbial ecosystem. Clostridium is one
of the most important microorganisms for the formation of the main flavor
compounds of SFB, such as ethyl caproate. In this paper, we review current
research progress on the Clostridium in the SFB ecosystem, focusing on the
species diversity, physiological and metabolic features along with interspecies
interactions. Systems biology approaches for the study of Clostridium from SFB
ecosystems were discussed and explored. Furthermore, current applications of
Clostridium in SFB production were discussed. PRACTICAL APPLICATION: Strong
flavor baijiu (SFB) accounts for more than 70% of total yield of Chinese baijiu,
which exists for hundreds of years. Clostridium is common in SFB ecosystem and
identified to be one of main contributors of flavor compounds in SFB. Study on
the Clostridium from SFB ecosystem is not only helpful for the understanding of
flavor compounds formation mechanism, but also the improvement of SFB quality.
This study focuses on the current researches on the Clostridium species in SFB
ecosystem, including the species diversity, physiological and metabolic features,
and applications.
PMID- 29660764
TI - Prognostic utility of MELD-XI in adult congenital heart disease patients
undergoing cardiac transplantation.
AB - BACKGROUND: Model of End-Stage Liver Disease eXcluding INR (MELD-XI) at cardiac
transplant has demonstrated prognostic survival utility, but has not been
specifically validated in adult congenital heart disease (ACHD) in a registry
study. METHODS: Adults undergoing first-time orthotopic heart transplant from
2005 to 2015 in the United Network for Organ Sharing (UNOS) registry were
examined in parallel: ACHD (n = 543), ischemic-dilated cardiomyopathy (IDCM, n =
6954) and valvular heart disease (VHD, n = 355). Our primary endpoint was a
composite of death, graft failure, and retransplantation assessed at 3 months
(early), and those with freedom from early endpoint were reassessed at 5 years
(late). Interactions between hepatorenal indices and waitlist time were examined.
Secondary outcomes relating to long-term morbidity were assessed at late
endpoint. Freedom from endpoint analysis in ACHD at clinically relevant endpoints
was also conducted. RESULTS: Model of End-Stage Liver Disease eXcluding INR score
at transplant associated with an increased risk of early endpoint in all cohorts.
At late endpoint, bilirubin level associated with increased risk uniquely in
ACHD. CONCLUSIONS: Model of End-Stage Liver Disease eXcluding INR holds
prognostic application to ACHD in early time points and demonstrates unique
waitlist interactions. Transplant bilirubin level may hold significance in long
term risk stratification of the ACHD population. Time on waitlist is an important
consideration to contextualize these values.
PMID- 29660765
TI - Real-Time Observation of Order-Disorder Transformation of Organic Cations Induced
Phase Transition and Anomalous Photoluminescence in Hybrid Perovskites.
AB - A fundamental understanding of the interplay between the microscopic structure
and macroscopic optoelectronic properties of organic-inorganic hybrid perovskite
materials is essential to design new materials and improve device performance.
However, how exactly the organic cations affect the structural phase transition
and optoelectronic properties of the materials is not well understood. Here, real
time, in situ temperature-dependent neutron/X-ray diffraction and
photoluminescence (PL) measurements reveal a transformation of the organic cation
CH3 NH3+ from order to disorder with increasing temperature in CH3 NH3 PbBr3
perovskites. The molecular-level order-to-disorder transformation of CH3 NH3+ not
only leads to an anomalous increase in PL intensity, but also results in a
multidomain to single-domain structural transition. This discovery establishes
the important role that organic cation ordering has in dictating structural order
and anomalous optoelectronic phenomenon in hybrid perovskites.
PMID- 29660766
TI - Disparities in out-of-pocket inpatient expenditures in rural Shaanxi Province,
western China from 2011 to 2014: a time series analysis.
AB - OBJECTIVE: To investigate the long-term trend of disparity of monthly average out
of-pocket inpatient expenditures (OOP) between areas with different developing
levels since the new healthcare reform. METHODS: Time series regression was used
to assess the trend of disparities of OOP and monthly average inpatient
expenditures (AIE) between areas with different developing levels in rural
Shaanxi Province, western China. The data of OOP and AIE in primary health
institutions, secondary hospitals, tertiary hospitals and also all levels of the
hospital were analysed separately covering the period 2011 through to 2014.
RESULTS: The disparity of AIE at all levels of hospitals was increasing
(coefficient = 0.003, P = 0.029), and only the disparity of AIE in secondary
hospitals was statistical significant (coefficient = 0.003, P = 0.012) when
separately considering different levels of the hospital. The disparity of OOP in
all levels of the hospital was increasing (coefficient = 0.007, P = 0.001), and
the OOP in primary hospitals contributed most of the disparity (coefficient =
0.019, P = 0.000), followed by OOP in secondary (coefficient = 0.008, P = 0.003)
and tertiary hospitals (coefficient = 0.004, P = 0.091). CONCLUSIONS: A
statistically significant absolute increase in the trend of disparities of OOP
and AIE at all levels of hospital was detected after the new healthcare reform in
Shaanxi Province, western China. The increase rate of disparity of OOP was bigger
than that of AIE. A modified health insurance plan should be proposed to
guarantee equity in the future.
PMID- 29660767
TI - Organocatalytic Atroposelective Intramolecular [4+2] Cycloaddition: Synthesis of
Axially Chiral Heterobiaryls.
AB - The enantioselective construction of axially chiral aryl-naphthopyran skeletons
was realized by organocatalytic atroposelective intramolecular [4+2]
cycloaddition of in situ generated vinylidene ortho-quinone methides, from 2
ethynylphenol derivatives, with alkynes. Through this method, the
heteroatropisomers were obtained with excellent yields and enantioselectivities.
Moreover, a speculative model of the stereochemical outcome is proposed based on
preliminary mechanistic studies. The products having various functional groups
can be easily transformed into valuable intermediates as either potential ligands
or organocatalysts.
PMID- 29660768
TI - CuH-Catalyzed Asymmetric Hydroamidation of Vinylarenes.
AB - A CuH-catalyzed enantioselective hydroamidation reaction of vinylarenes has been
developed using readily accessible 1,4,2-dioxazol-5-ones as electrophilic
amidating reagents. This method provides a straightforward and efficient approach
to synthesize chiral amides in good yields with high levels of enantiopurity
under mild conditions. Moreover, this transformation tolerates substrates bearing
a broad range of functional groups.
PMID- 29660769
TI - Influenza-associated mortality determined from all-cause mortality, Denmark
2010/11-2016/17: The FluMOMO model.
AB - BACKGROUND: In temperate zones, all-cause mortality exhibits a marked
seasonality, and influenza represents a major cause of winter excess mortality.
We present a statistical model, FluMOMO, which estimate influenza-associated
mortality from all-cause mortality data and apply it to Danish data from 2010/11
to 2016/17. METHODS: We applied a multivariable time series model with all-cause
mortality as outcome, influenza activity and extreme temperatures as explanatory
variables while adjusting for time trend and seasonality. Three indicators of
weekly influenza activity (IA) were explored: percentage of consultations for
influenza-like illness (ILI) at primary health care, national percentage of
influenza-positive samples, and the product of ILI percentage and percentage of
influenza-positive specimens in a given week, that is, the Goldstein index.
RESULTS: Independent of the choice of parameter to represent influenza activity,
the estimated influenza-associated mortality showed similar patterns with the
Goldstein index being the most conservative. Over the 7 winter seasons, the
median influenza-associated mortality per 100 000 population was 17.6 (range: 0.0
36.8), 14.1 (0.3-31.6) and 8.3 (0.0-25.0) for the 3 indicators, respectively, for
all ages. CONCLUSION: The FluMOMO model fitted the Danish data well and has the
potential to estimate all-cause influenza-associated mortality in near real time
and could be used as a standardised method in other countries. We recommend using
the Goldstein index as the influenza activity indicator in the FluMOMO model.
Further work is needed to improve the interpretation of the estimated effects.
PMID- 29660771
TI - Integrated approach to oral health in aged care facilities using oral health
practitioners and teledentistry in rural Queensland.
AB - PROBLEM: Residents of residential aged care facilities are at very high risk of
developing complex oral diseases and dental problems. Key barriers exist in
delivering oral health services to residential aged care facilities, particularly
in regional and rural areas. DESIGN: A quality improvement study incorporating
pre- and post chart audits and pre- and post consultation with key stakeholders,
including staff and residents, expert opinion on cost estimates and field notes
were used. SETTING: One regional and three rural residential aged care facilities
situated in a non-metropolitan hospital and health service in Queensland. KEY
MEASURES FOR IMPROVEMENT: Number of appointments avoided at an oral health
facility Feedback on program experience by staff and residents Compliance with
oral health care plan implementation Observations of costs involved to deliver
new service. STRATEGIES FOR CHANGE: The model developed incorporated a visit by
an oral health therapist for screening, education, simple intervention and
referral for a teledentistry session if required. EFFECTS OF CHANGE: Results
showed an improvement in implementation of oral health care plans and a
minimisation of need for residents to attend an oral health care facility.
Potential financial and social cost savings for residents and the facilities were
also noted. LESSONS LEARNT: Screening via the oral health therapist and
teledentistry appointment minimises the need for a visit to an oral health
facility and subsequent disruption to residents in residential aged care
facilities.
PMID- 29660770
TI - Psychological therapy for inpatients receiving acute mental health care: A
systematic review and meta-analysis of controlled trials.
AB - OBJECTIVES: The effectiveness of psychological therapies for those receiving
acute adult mental health inpatient care remains unclear, partly because of the
difficulty in conducting randomized controlled trials (RCTs) in this setting. The
aim of this meta-analysis was to synthesize evidence from all controlled trials
of psychological therapy carried out with this group, to estimate its effects on
a number of important outcomes and examine whether the presence of randomization
and rater blinding moderated these estimates. METHOD: A systematic review and
meta-analysis of all controlled trials of psychological therapy delivered in
acute inpatient settings was conducted, with a focus on psychotic symptoms,
readmissions or emotional distress (anxiety and depression). Studies were
identified through ASSIA, EMBASE, CINAHL, Cochrane, MEDLINE, and PsycINFO using a
combination of the key terms 'inpatient', 'psychological therapy', and 'acute'.
No restriction was placed on diagnosis. The moderating effect of the use of
assessor-blind RCT methodology was examined via subgroup and sensitivity
analyses. RESULTS: Overall, psychological therapy was associated with small-to
moderate improvements in psychotic symptoms at end of therapy but the effect was
smaller and not significant at follow-up. Psychological therapy was also
associated with reduced readmissions, depression, and anxiety. The use of single
blind randomized controlled trial methodology was associated with significantly
reduced benefits on psychotic symptoms and was also associated with reduced
benefits on readmission and depression; however, these reductions were not
statistically significant. CONCLUSIONS: The provision of psychological therapy to
acute psychiatric inpatients is associated with improvements; however, the use of
single-blind RCT methodology was associated with reduced therapy-attributable
improvements. Whether this is a consequence of increased internal validity or
reduced external validity is unclear. Trials with both high internal and external
validity are now required to establish what type, format, and intensity of brief
psychological therapy is required to achieve sustained benefits. PRACTITIONER
POINTS: Clinical implications: This review provides the first meta-analytical
synthesis of brief psychological therapy delivered in acute psychiatric inpatient
settings. This review suggests that brief psychological therapy may be associated
with reduced emotional distress and readmissions. LIMITATIONS: The evidence in
this review is of limited quality. The type, format, and intensity of brief
psychological therapy required to achieve sustained benefits are yet to be
established.
PMID- 29660772
TI - Human factors enablers and barriers for successful airway management - an in
depth interview study.
AB - Human factors are the individual, team, environmental and organisational aspects
of the anaesthetic environment that affect performance and decision-making of
anaesthesia teams. This study aimed to identify which human factors were enablers
and/or barriers to anaesthesia teams during airway management challenges. Sixteen
interviews were conducted with experienced anaesthetists and anaesthetic nurses
using an in-depth interview technique (the Critical Decision Method) to identify
human factors enablers and/or barriers during successful management of a
significant airway challenge. Thematic analysis identified three overarching
enablers: equipment location and storage; experience and learning; teamwork and
communication. Five overarching barriers were also identified: time and resource
limitations; teamwork and communication; equipment location and storage;
experience and learning; insufficient back-up planning; and equipment
preparation. This study showed that a variety of human factors issues affect the
handling of airway challenges, ranging from individual and team to organisational
and environmental aspects. Recommendations for the design of airway management
decision support tools that relate to equipment standardisation, decision support
complexity, inclusive mutual learning and teamwork are discussed.
PMID- 29660774
TI - Intrapersonal Emotion Regulation Processes Influence What Children Remember About
Their Emotional Experiences.
AB - Little work has tested how emotion regulation (ER) processes influence children's
memory for negative experiences. We investigated how two intrapersonal ER
processes (affect-biased attention and changes in negative feelings) predicted
children's (N = 184, 93 girls, ages 3-11) memory. Recall of a sad or scary film
was tested after a delay. The way discrete emotional information was remembered
varied with ER and children's age. Older children with greater affect-biased
attention or less reduction of fear demonstrated privileged memory for central
information from the scary film. Older children with greater affect-biased
attention but greater reductions in sadness recalled more from the sad film
overall. Findings suggest ER processes should be considered when examining
children's memory for negative emotional information.
PMID- 29660773
TI - Active Chicken Meat Packaging Based on Polylactide Films and Bimetallic Ag-Cu
Nanoparticles and Essential Oil.
AB - : Plasticized polylactide (PLA) composite films with multifunctional properties
were created by loading bimetallic silver-copper (Ag-Cu) nanoparticles (NPs) and
cinnamon essential oil (CEO) into polymer matrix via compression molding
technique. Rheological, structural, thermal, barrier, and antimicrobial
properties of the produced films, and its utilization in the packaging of chicken
meat were investigated. PLA/PEG/Ag-Cu/CEO composites showed a very complex
rheological system where both plasticizing and antiplasticizing effects were
evident. Thermal properties of plasticized PLA film with polyethylene glycol
(PEG) enhanced considerably with the reinforcement of NPs whereas loading of CEO
decreased glass transition, melting, and crystallization temperature. The barrier
properties of the composite films were reduced with the increase of CEO loading
(P < 0.05). Their optical properties were also modified by the addition of both
CEO and Ag-Cu NPs. The changes in the molecular organization of PLA composite
films were visualized by FTIR spectra. Rough and porous surfaces of the films
were evident by scanning electron microscopy. The effectiveness of composite
films was tested against Salmonella Typhimurium, Campylobacter jejuni and
Listeria monocytogenes inoculated in chicken samples, and it was found that the
films loaded with Ag-Cu NPs and 50% CEO showed maximum antibacterial action
during 21 days at the refrigerated condition. The produced PLA/Ag-Cu/CEO
composite films can be applied to active food packaging. PRACTICAL APPLICATION:
The nanoparticles and essential oil loaded PLA composite films are capable of
exhibiting antimicrobial effects against Gram (+) and (-) bacteria, and extend
the shelf-life of chicken meat. The bionanocomposite films showed the potential
to be manufactured commercially because of the thermal stability of the active
components during the hot-press compression molding process. The developed
bionanocomposites could have practical importance and open a new direction for
the active food packaging to control the spoilage and the pathogenic bacteria
associated with the fresh chicken meat.
PMID- 29660775
TI - Baseline neutrophil-to-lymphocyte ratio in patients with advanced melanoma
treated with immune checkpoint inhibitors.
PMID- 29660776
TI - Correlation Between Acetogenin Content and Antiproliferative Activity of Pawpaw
(Asimina triloba [L.] Dunal) Fruit Pulp Grown in Korea.
AB - : Pawpaw (Asimina triloba [L.] Dunal) is widely cultivated in Korea for its
fruit, which contains bioactive compounds, such as acetogenins. In this study, we
investigated the acetogenin content and antiproliferative activity of pawpaw
fruit pulp against various cancer cell lines and evaluated the relationship
between these two variables at different maturation stages. Unripe fruit had
higher antiproliferative activity than ripe fruit, and the activity level
depended on acetogenin content. In addition, the presence of specific acetogenins
was related to inhibition of certain cancer cell types. The unripe fruit methanol
and ethanol extracts (URFM and URFE, respectively) that were rich in acetogenins
strongly inhibited the growth of HT-1080, HeLa, and AGS cells by >50% at
concentrations of less than 115 MUg/mL. These findings indicate that URFM and
URFE have therapeutic potential for the treatment of cancer, and our study
establishes a basis for further mechanistic studies of the antiproliferative
activity of pawpaw fruit. However, it is necessary to further study the
anticancer activity of acetogenins from pawpaw fruit using in vivo activity
approaches. PRACTICAL APPLICATION: Pawpaw (Asimina triloba) contains acetogenins
that can inhibit the growth of cancer cells. In our study, we demonstrate that
the antiproliferative activity is higher in unripe than in ripe fruit and depends
on acetogenin content. Our results indicate that the extract of unripe pawpaw
fruit has value not only as a functional food, but has therapeutic potential for
the treatment of cancer as a naturally derived substance that may be less toxic
than conventional chemotherapy drugs.
PMID- 29660778
TI - Injection of Natural Protease Inhibitors and Evaluation of Their Impact on Cooked
Pacific Whiting (Merluccius productus) Fillets.
AB - : Brine injection of natural proteases, dried egg white (EW), and dried potato
extract (PE), was investigated as a means to prevent softening during cooking of
Pacific whiting fillets. Treatments included fillets injected with Water (W), 3%
sodium chloride and 3% sodium tripolyphosphate brine (B), B with 0.1% xanthan gum
(BXG ), B with 1%, 2%, or 3% EW (B1-3EW ), B with 1%, 2%, or 3% PE (B1-3PE ) or
non-injected (NI). Non-injected Pacific cod (Cod) was also utilized as a
reference for texture analysis. Fillets were subsequently cooked using cooking
protocol 1 (90 degrees C for 20 min) or cooking protocol 2 (60 degrees C for 30
min then 90 degrees C for 20 min). Cooked fillet pH, moisture, total protein,
total extractable protein (TEP), total non-extractable protein (TNEP), texture
profile analysis (TPA), and electrophoretic pattern (SDS-PAGE) were measured.
Cooking protocol 2 significantly reduced moisture, increased total protein, TEP
and TNEP. Loss of moisture suggested cooking protocol 2 promoted proteolysis.
Electrophoretic evaluations of the fillets treated with the cooking protocol 2
confirmed proteolysis was enhanced by cooking protocol 2 and myosin band
integrity was protected in fillets containing natural protease inhibitors. For
TPA, there was no significant difference between protease inhibitor types
although means for BEW did trend higher than BPE . However, PCA analysis clearly
demonstrated B2EW and B3EW fillets were most similar to Cod. Results demonstrated
brine injection with protease inhibitors prevented fillet softening during
cooking. PRACTICAL APPLICATION: Texture softening in fish has typically been
addressed by diverting product to lower-value minced applications where
ingredients can be blended-in to counteract softening caused by enzymes.
Investigations demonstrate feasibility of brine injection of protease inhibitor
ingredient, dried egg white, to preserve protein integrity during cooking. Dried
potato extract required a suspension aide, xanthan gum, in order to become
sufficiently suspended in the brine for injection. Dried potato extract was able
to inhibit protease activity, however, the suspension aide negatively impacted
protein-protein interactions during cooking. An alternative suspension aide is
therefore required for dried potato extract.
PMID- 29660777
TI - Clinical and Functional Relevance of the Monocarboxylate Transporter Family in
Disease Pathophysiology and Drug Therapy.
AB - The solute carrier (SLC) SLC16 gene family comprises 14 members and encodes for
monocarboxylate transporters (MCTs), which mediate the absorption and
distribution of monocarboxylic compounds across plasma membranes. As the
knowledge about their physiological function, activity, and regulation increases,
their involvement and contribution to cancer and other diseases become
increasingly evident. Moreover, promising opportunities for therapeutic
interventions by directly targeting their endogenous functions or by exploiting
their ability to deliver drugs to specific organ sites emerge.
PMID- 29660779
TI - Clinical findings and diagnostic procedures in 270 small ruminants with
obstructive urolithiasis.
AB - BACKGROUND: Details of the clinical signs of obstructive urolithiasis in male
small ruminants have not been documented in a large population. OBJECTIVE: To
describe the clinical presentation and diagnostic procedures in a large group of
small ruminants with urolithiasis. ANIMALS: Two hundred and seventy small
ruminants (158 sheep and 112 goats). METHODS: Retrospective study of 270 cases
identified based on clinical records. RESULTS: 81.2% affected goats were
castrated and 91.7% sheep were intact males; 65.5% of the animals had been sick
<=2 days before referral. Common abnormalities included dysuria (93.6%),
indigestion (84.4%), reduced general state of health (79.5%), signs of pain
(73%), increased heart and respiratory rates (53.6% and 39.1%), and azotemia
(89.4%). Blood urea nitrogen (BUN) and creatinine concentrations were strongly
correlated (r2 = 0.81). Hypochloremia (52.2%), hyponatremia (43.3%),
hypophosphatemia (52.4%), and abnormal potassium concentrations (26.2%
hypokalemia and 24.5% hyperkalemia) were the most common serum electrolyte
imbalances. Packed cell volume (PCV), plasma proteins, potassium, BUN, and
creatinine concentrations were significantly increased in animals with
uroperitoneum. Ultrasonography allowed for confirmation of diagnosis in 83.9% of
the cases (135/161 with sufficient available information), uroliths were visible
on 34 of 56 plain radiographs. CONCLUSIONS AND CLINICAL IMPORTANCE: Our study
confirmed that clinical and ultrasonographic examinations are sufficient to
diagnose urolithiasis. Clinical signs can be divided into an early stage with
discrete unspecific clinical signs, a painful stage with frequent straining,
expression of pain and moderately reduced general condition, and an advanced
stage with a markedly reduced general condition and eventually recumbency.
PMID- 29660780
TI - Steroid-Based Amphiphiles for Membrane Protein Study: The Importance of Alkyl
Spacers for Protein Stability.
AB - Membrane proteins allow effective communication between cells and organelles and
their external environments. Maintaining membrane protein stability in a non
native environment is the major bottleneck to their structural study. Detergents
are widely used to extract membrane proteins from the membrane and to keep the
extracted protein in a stable state for downstream characterisation. In this
study, three sets of steroid-based amphiphiles-glyco-diosgenin analogues (GDNs)
and steroid-based pentasaccharides either lacking a linker (SPSs) or containing a
linker (SPS-Ls)-have been developed as new chemical tools for membrane protein
research. These detergents were tested with three membrane proteins in order to
characterise their ability to extract membrane proteins from the membrane and to
stabilise membrane proteins long-term. Some of the detergents, particularly the
SPS-Ls, displayed favourable behaviour with the tested membrane proteins. This
result indicates the potential utility of these detergents as chemical tools for
membrane protein structural study and a critical role of the simple alkyl spacer
in determining detergent efficacy.
PMID- 29660781
TI - Effects of Site and Cultivar on Consumer Acceptance of Pomegranate.
AB - : Pomegranate (Punica granatum L.) is an important fruit in many cultures. The
fruit and juice have risen in popularity as it was discovered that pomegranate
has relatively high antioxidant activity compared to most other fruits. In this
study, six cultivars were utilized to determine consumer acceptance compared to
the industry standard, 'Wonderful,' which comprises 90% to 95% of commercial
production in the United States. Fruit were sourced from 2 cultivar field trials,
one in inland Riverside, California, and one in coastal Ventura County,
California. Cultivars selected for the study included 'Eversweet,' 'Green Globe,'
'Haku Botan,' 'Loffani,' 'Phoenicia,' 'Wonderful,' and 'cv. 857,' an heirloom
cultivar from Ventura County, CA, U.S.A. Pomegranate arils were subject to
sensory evaluation by 87 untrained consumer panelists in late 2016. Panelists
were given pomegranate arils and asked to score the samples using a 9-point
Hedonic scale for the following fruit quality traits: aril color, sweetness,
tartness, seed hardness, bitterness, and overall desirability. There were
significant differences among cultivars for all traits assessed by the sensory
panelists. There were differences in acceptance among consumers for 'Wonderful'
depending on if it was grown on the coast versus inland, and consumers preferred
inland- versus coastal-grown 'Wonderful.' 'Wonderful' pomegranate was associated
with cultivars that consumers scored low on desirability for bitterness.
Cultivars that scored well in overall desirability compared with 'Wonderful' were
'cv. 857,' 'Eversweet,' 'Green Globe,' and 'Phoenicia.' PRACTICAL APPLICATION:
Consumer sensory panels are important to determine scientifically which cultivars
are desired by the public. These panels allowed for the determination of which
pomegranate cultivars are liked or disliked by consumers and why. If the
pomegranate growers know the most desirable cultivars for consumers, they are
more likely to adopt and plant them, thus potentially increasing the diversity in
the marketplace, as has been with apples, peaches, plums, pears, mangoes,
strawberries, raspberries, blueberries, and citrus.
PMID- 29660782
TI - Sodium-glucose cotransporter 2 inhibitor-induced changes in body composition and
simultaneous changes in metabolic profile: 52-week prospective LIGHT
(Luseogliflozin: the Components of Weight Loss in Japanese Patients with Type 2
Diabetes Mellitus) Study.
AB - AIMS/INTRODUCTION: It is unclear how changes in body composition induced by
sodium-glucose cotransporter 2 (SGLT2) inhibitor treatment correlate with
metabolic profile changes. We aimed to clarify how metabolic profile changes
correlate with body component changes, and if SGLT2 inhibitor treatment causes
sarcopenia and bone mineral content (BMC) loss. MATERIALS AND METHODS: Moderately
obese Japanese type 2 diabetes patients, treated with luseogliflozin for a year,
were observed prospectively and evaluated for body composition changes. We
analyzed the changes in the individual body components during treatment, and
their correlation with other clinical variables. RESULTS: The efficacy analysis
set comprised 37 of 43 enrolled patients. The total fat mass significantly
decreased early in the treatment at and after week 4, with a mean decrease of
1.97 kg (95% confidence interval -2.66 to -1.28) at week 24. The visceral fat
area at week 24 showed an average downward trend, although this was not
significant. The changes in visceral fat area in individual patients showed a
significant negative correlation with the extent of the baseline visceral fat
area (r = -0.399, P = 0.023). The skeletal muscle mass index showed a significant
but small change at and after week 36. The BMC profile showed a transient
significant decrease only at week 12. No significant change in BMC was noted at
other time-points. CONCLUSIONS: Luseogliflozin treatment brought about favorable
changes in body composition and metabolism of moderately obese Japanese type 2
diabetes patients, accompanied by body fat reduction, and minimal muscle and BMC
reduction.
PMID- 29660783
TI - Contribution of 2-Propenesulfenic Acid to the Antioxidant Activity of Allicin.
AB - : We re-examined the antioxidative mechanism of allicin as a radical scavenger on
the basis of the reactivity toward 2, 2-diphenyl-1-picrylhydrazyl (DPPH) and
peroxyl radicals. Initially, it was found that allicin decomposed more rapidly in
n-hexane and chlorobenzene than in acetonitrile, ethanol, and ethanol/water
solutions and decomposed into ajoene and vinyldithiins in these solvents.
Furthermore, the decomposition of allicin and the following formations of ajoene
and vinyldithiins from allicin were accelerated by the reaction of allicin with
DPPH and peroxyl radicals. These results show that 2-propenesulfenic acid, which
arises by Cope elimination from allicin, is proposed to contribute to scavenge
these radicals because ajoene and vinyldithiins were produced from allicin
through the use of 2-propenesulfenic acid. Next, allicin was more effective at
inhibiting the linoleic acid oxidation at 50 degrees C than at 30 degrees C and
in cyclohexane than in acetonitrile. These results indicate that allicin
decomposed rapidly at high temperatures in a hydrogen-bond-acceptor solution to 2
propenesulfenic acid. In addition, 2-propene-1-sulfinothionic acid S-methyl
ester, which does not produce sulfenic acid through Cope elimination, has no
activity against the radicals. On the other hand, methanesulfinothionic acid S-2
propenyl ester, which produces methanesulfenic acid through Cope elimination, has
the same or increased activity as its allicin against the radicals. Based on
these results, the Cope elimination product, sulfenic acid, from thiosulfinates
with an alpha-sulfenyl proton was found to make a larger contribution to the
radical scavenger than that of allicin itself. PRACTICAL APPLICATION: We examined
the antioxidant activity of allicin on the oxidation of cumene and linoleic acid
in homogeneous solutions. It is obvious from these results that 2-propenesulfenic
acid was found to make a larger contribution to the radical scavenger than that
of allicin itself.
PMID- 29660784
TI - Relationship between neural crest cell specification and rare ocular diseases.
AB - Development of the eye is closely associated with neural crest cell migration and
specification. Eye development is extremely complex, as it requires the working
of a combination of local factors, receptors, inductors, and signaling
interactions between tissues such as the optic cup and periocular mesenchyme
(POM). The POM is comprised of neural crest-derived mesenchymal progenitor cells
that give rise to numerous important ocular structures including those tissues
that form the optic cup and anterior segment of the eye. A number of genes are
involved in the migration and specification of the POM such as PITX2, PITX3,
FOXC1, FOXE3, PAX6, LMX1B, GPR48, TFAP2A, and TFAP2B. In this review, we will
discuss the relevance of these genes in the development of the POM and how
mutations and defects result in rare ocular diseases.
PMID- 29660785
TI - Integrated Population Pharmacokinetic Analysis of Rivaroxaban Across Multiple
Patient Populations.
AB - The population pharmacokinetics (PK) of rivaroxaban have been evaluated in
several population-specific models. We developed an integrated population PK
model using pooled data from 4,918 patients in 7 clinical trials across all
approved indications. Effects of gender, age, and weight on apparent clearance
(CL/F) and apparent volume of distribution (V/F), renal function, and
comedication on CL/F, and relative bioavailability as a function of dose (F) were
analyzed. Virtual subpopulations for exposure simulations were defined by age,
creatinine clearance (CrCL) and body mass index (BMI). Rivaroxaban PK were
adequately described by a one-compartment disposition model with a first-order
absorption rate constant. Significant effects of CrCL, use of comedications, and
study population on CL/F, age, weight, and gender on V/F, and dose on F were
identified. CrCL had a modest influence on exposure, whereas age and BMI had a
minor influence. The model was suitable to predict rivaroxaban exposure in
patient subgroups of special interest.
PMID- 29660786
TI - Activity and selectivity cliffs for DPP-IV inhibitors: Lessons we can learn from
SAR studies and their application to virtual screening.
AB - The inhibition of dipeptidyl peptidase-IV (DPP-IV) has emerged over the last
decade as one of the most effective treatments for type 2 diabetes mellitus, and
consequently (a) 11 DPP-IV inhibitors have been on the market since 2006 (three
in 2015), and (b) 74 noncovalent complexes involving human DPP-IV and drug-like
inhibitors are available at the Protein Data Bank (PDB). The present review aims
to (a) explain the most important activity cliffs for DPP-IV noncovalent
inhibition according to the binding site structure of DPP-IV, (b) explain the
most important selectivity cliffs for DPP-IV noncovalent inhibition in comparison
with other related enzymes (i.e., DPP8 and DPP9), and (c) use the information
deriving from this activity/selectivity cliff analysis to suggest how virtual
screening protocols might be improved to favor the early identification of potent
and selective DPP-IV inhibitors in molecular databases (because they have not
succeeded in identifying selective DPP-IV inhibitors with IC50 <= 100 nM). All
these goals are achieved with the help of available homology models for DPP8 and
DPP9 and an analysis of the structure-activity studies used to develop the
noncovalent inhibitors that form part of some of the complexes with human DPP-IV
available at the PDB.
PMID- 29660788
TI - Melancholia and cancer: The bladder cancer narrative.
PMID- 29660789
TI - Evaluation of Chilling Injury in Mangoes Using Multispectral Imaging.
AB - : Commodities originating from tropical and subtropical climes are prone to
chilling injury (CI). This injury could affect the quality and marketing
potential of mango after harvest. This will later affect the quality of the
produce and subsequent consumer acceptance. In this study, the appearance of CI
symptoms in mango was evaluated non-destructively using multispectral imaging.
The fruit were stored at 4 degrees C to induce CI and 12 degrees C to preserve
the quality of the control samples for 4 days before they were taken out and
stored at ambient temperature for 24 hr. Measurements using multispectral imaging
and standard reference methods were conducted before and after storage. The
performance of multispectral imaging was compared using standard reference
properties including moisture content (MC), total soluble solids (TSS) content,
firmness, pH, and color. Least square support vector machine (LS-SVM) combined
with principal component analysis (PCA) were used to discriminate CI samples with
those of control and before storage, respectively. The statistical results
demonstrated significant changes in the reference quality properties of samples
before and after storage. The results also revealed that multispectral parameters
have a strong correlation with the reference parameters of L* , a* , TSS, and MC.
The MC and L* were found to be the best reference parameters in identifying the
severity of CI in mangoes. PCA and LS-SVM analysis indicated that the fruit were
successfully classified into their categories, that is, before storage, control,
and CI. This indicated that the multispectral imaging technique is feasible for
detecting CI in mangoes during postharvest storage and processing. PRACTICAL
APPLICATION: This paper demonstrates a fast, easy, and accurate method of
identifying the effect of cold storage on mango, nondestructively. The method
presented in this paper can be used industrially to efficiently differentiate
different fruits from each other after low temperature storage.
PMID- 29660787
TI - High G2 and S-phase expressed 1 expression promotes acral melanoma progression
and correlates with poor clinical prognosis.
AB - G2 and S-phase expressed 1 (GTSE1) regulates cell cycle progression in human
cancers. However, its significance and mechanism of action in acral melanoma (AM)
remain unknown. In the present study, we found that GTSE1 expression was
upregulated in advanced stage/metastatic AM tissues and metastatic cell lines,
and correlated with higher stage (P = .028) and poor disease-free survival (DFS)
in patients with AM (P = .003). Cox regression assays validated GTSE1 expression
to be an independent prognostic factor of DFS for patients with AM (P = .004).
Ectopic expression of GTSE1 enhanced primary AM cell proliferation, invasion, and
migration. Loss-of-function in GTSE1 attenuated metastatic AM cell proliferation
and metastatic ability in vitro and in vivo. We additionally observed that
inhibition of migration and invasion occurred concomitantly with a GTSE1
knockdown-mediated increase in E-cadherin and decreases in N-cadherin and Slug.
We further showed that integrin subunit alpha 2 (ITGA2) interacts with GTSE1 and
is a downstream effector of GTSE1. Further, ITGA2 levels were positively
correlated with GTSE1 expression in human AM tissues. Ectopic ITGA2 expression
rescued siGTSE1-mediated inhibition of migration and invasion, thereby restoring
epithelial-to-mesenchymal transition (EMT). In conclusion, GTSE1 expression
promotes AM progression and correlates with clinical outcomes of patients with
AM, and may represent a promising therapeutic target to suppress AM progression.
PMID- 29660790
TI - Development of a Tissue-Engineered Artificial Ligament: Reconstruction of Injured
Rabbit Medial Collateral Ligament With Elastin-Collagen and Ligament Cell
Composite Artificial Ligament.
AB - Ligament reconstruction using a tissue-engineered artificial ligament (TEAL)
requires regeneration of the ligament-bone junction such that fixation devices
such as screws and end buttons do not have to be used. The objective of this
study was to develop a TEAL consisting of elastin-coated polydioxanone (PDS)
sutures covered with elastin and collagen fibers preseeded with ligament cells.
In a pilot study, a ring-type PDS suture with a 2.5 mm (width) bone insertion was
constructed with/without elastin coating (Ela-coat and Non-coat) and implanted
into two bone tunnels, diameter 2.4 mm, in the rabbit tibia (6 cases each) to
access the effect of elastin on the bond strength. PDS specimens taken together
with the tibia at 6 weeks after implantation indicated growth of bone-like hard
tissues around bone tunnels accompanied with narrowing of the tunnels in the Ela
coat group and not in the Non-coat group. The drawout load of the Ela-coat group
was significantly higher (28.0 +/- 15.1 N, n = 4) than that of the Non-coat group
(7.6 +/- 4.6 N, n = 5). These data can improve the mechanical bulk property of
TEAL through extracellular matrix formation. To achieve this TEAL model, 4.5 *
106 ligament cells were seeded on elastin and collagen fibers (2.5 cm * 2.5 cm *
80 um) prior to coil formation around the elastin-coated PDS core sutures having
ball-shape ends with a diameter of 2.5 mm. Cell-seeded and cell-free TEALs were
implanted across the femur and the tibia through bone tunnels with a diameter of
2.4 mm (6 cases each). There was no incidence of TEAL being pulled in 6 weeks.
Regardless of the remarkable degradation of PDS observed in the cell-seeded
group, both the elastic modulus and breaking load of the cell-seeded group (n =
3) were comparable to those of the sham-operation group (n = 8) (elastic modulus:
15.4 +/- 1.3 MPa and 18.5 +/- 5.7 MPa; breaking load: 73.0 +/- 23.4 N and 104.8
+/- 21.8 N, respectively) and higher than those of the cell-free group (n = 5)
(elastic modulus: 5.7 +/- 3.6 MPa; breaking load: 48.1 +/- 11.3 N) accompanied
with narrowed bone tunnels and cartilage matrix formation. These data suggest
that elastin increased the bond strength of TEAL and bone. Furthermore, our newly
developed TEAL from elastin, collagen, and ligament cells maintained the strength
of the TEAL even if PDS was degraded.
PMID- 29660791
TI - The effectiveness of the Brush Day and Night programme in improving children's
toothbrushing knowledge and behaviour.
AB - BACKGROUND: Regular twice-daily toothbrushing with a fluoridated toothpaste is
widely recommended for schoolchildren. The '21-day Brush Day and Night (BDN)
programme' includes an educational approach for children and school staff, with a
consistent practice of toothbrushing at school for 3 weeks. OBJECTIVE: This study
aims to evaluate the improvement in oral hygiene knowledge and behaviour in
schoolchildren involved in BDN, the sustainability of this after 6-12 months, and
if any particular age group was more receptive to it than others. MATERIALS AND
METHODS: Ten countries and 7,991 children, 2-12 years old, participated in this
longitudinal study, with two BDN interventions at the beginning and 6-12 months
afterward. Data were collected via a self-reported questionnaire at
baseline/first intervention (T0), 21 days after first intervention (T0D21), at
the second intervention (T1), and 21 days after second intervention (T1D21).
Improvement in knowledge and behaviour was compared using the chi-square test
with an alpha level of 5%. The final data sample of 5,148 schoolchildren was
evaluated, and the analysis revealed that 25% more of the schoolchildren brushed
their teeth twice a day after the first intervention. The programme was more
effective among the 7-9 years age group. The BDN intervention increased brushing
frequency in children at the first intervention, and this was sustained after 6
12 months. Therefore, this programme illustrated a sustainable approach to
improve children's oral health knowledge and behaviour.
PMID- 29660792
TI - In Vitro Bioaccessibility of Colored Carotenoids in Tomato Derivatives as
Affected by Ripeness Stage and the Addition of Different Types of Oil.
AB - : The simultaneous effect of tomato ripeness stage (mature green, pink, and red
ripe), mechanical processing (dicing and grinding), and oil addition (coconut,
sunflower, and olive oils) on the amount and bioaccessible fraction of
carotenoids were evaluated. Tomato products obtained from fruits at the most
advanced ripeness stage exhibited the greatest values of both concentration and
bioaccessible fraction of total carotenoids and lycopene. The type of processing
also exerted an important influence on carotenoids content, as well as on its
bioaccessibility. Thus, despite the concentration of carotenoids in tomato puree
significantly decreased (36% to 59%), their bioaccessibility was greater (up to
2.54-fold increase) than in tomato cubes. Moreover, the addition of oil
significantly improved the carotenoid bioaccessibility, especially when olive oil
was added, reaching up to 21-fold increase with respect to samples without oil.
The results obtained clearly indicate that carotenoids bioaccessibility of tomato
derivatives was strongly influenced by the ripeness stage of the fruit,
processing and the addition of oil. PRACTICAL APPLICATION: Bioaccessibility of
carotenoids is known to be affected by different factors. This study provides
useful information about the synergic effect of different factors affecting the
amount and the bioaccessible fraction of carotenoids, especially lycopene, in two
common tomato derivatives. The findings of this work may contribute to develop
tomato derivatives with high content of bioaccessible carotenoids, leading to the
enhancement of their health-promoting properties.
PMID- 29660793
TI - Structural and molecular dynamics studies of a C1-oxidizing lytic polysaccharide
monooxygenase from Heterobasidion irregulare reveal amino acids important for
substrate recognition.
AB - : Lytic polysaccharide monooxygenases (LPMOs) are a group of recently discovered
enzymes that play important roles in the decomposition of recalcitrant
polysaccharides. Here, we report the biochemical, structural, and computational
characterization of an LPMO from the white-rot fungus Heterobasidion irregulare
(HiLPMO9B). This enzyme oxidizes cellulose at the C1 carbon of glycosidic
linkages. The crystal structure of HiLPMO9B was determined at 2.1 A resolution
using X-ray crystallography. Unlike the majority of the currently available C1
specific LPMO structures, the HiLPMO9B structure contains an extended L2 loop,
connecting beta-strands beta2 and beta3 of the beta-sandwich structure. Molecular
dynamics (MD) simulations suggest roles for both aromatic and acidic residues in
the substrate binding of HiLPMO9B, with the main contribution from the residues
located on the extended region of the L2 loop (Tyr20) and the LC loop (Asp205,
Tyr207, and Glu210). Asp205 and Glu210 were found to be involved in the hydrogen
bonding with the hydroxyl group of the C6 carbon of glucose moieties directly or
via a water molecule. Two different binding orientations were observed over the
course of the MD simulations. In each orientation, the active-site copper of this
LPMO preferentially skewed toward the pyranose C1 of the glycosidic linkage over
the targeted glycosidic bond. This study provides additional insight into
cellulose binding by C1-specific LPMOs, giving a molecular-level picture of
active site substrate interactions. DATABASE: The atomic coordinates and
structure factors for HiLPMO9B have been deposited in the Protein Data Bank with
accession code 5NNS.
PMID- 29660795
TI - Symptom and function profiles of men with localized prostate cancer.
AB - BACKGROUND: Men diagnosed with localized prostate cancer seek information on how
treatment options may impact their health-related quality of life (HRQOL). The
authors used latent profile analysis (LPA) to group men according to their
symptom burden and functional status and to identify patient characteristics
associated with each HRQOL profile. METHODS: Patients completed the Patient
Reported Outcomes Measurement Information System and the Expanded Prostate Index
Composite measures 3 months after treatment initiation. Anxiety, depression,
fatigue, sleep disturbance, pain, diarrhea, urinary obstruction, urinary
incontinence, erectile function, and sex satisfaction were modeled jointly using
LPA, and the analysis was adjusted for covariates to examine associations between
patient characteristics and profiles. RESULTS: One-third of the 373 men were not
non-Hispanic white (26% were black). Four LPA profiles were identified. Men who
experienced the "best HRQOL" were less likely to receive treatment, to be older,
and to smoke. Men in the second best profile experienced symptoms similar to men
in the best HRQOL group but reported poor sexual and urinary function, because
they were more likely to receive therapy. The third profile included men with
increased symptom burden and poor functioning who were likely to undergo
prostatectomy and to have increased comorbidity. The "worst HRQOL" group
experienced the worst symptoms and the poorest functioning, and these men were
more likely to be younger, to have more comorbidities, and to smoke. CONCLUSIONS:
LPA revealed that men who receive the same treatment can experience very
different HRQOL impact. Understanding the factors most associated with poorer
HRQOL allows clinicians to focus their care on individuals most in need of
symptom management and support. Cancer 2018;124:2832-2840. (c) 2018 American
Cancer Society.
PMID- 29660794
TI - Diagnostic utility of cardiac troponin I in cats with hypertrophic
cardiomyopathy.
AB - BACKGROUND: Cardiac troponin I (cTnI) is useful for assessing hypertrophic
cardiomyopathy (HCM) in cats. OBJECTIVE: To measure plasma cTnI concentrations in
healthy cats and evaluate the clinical utility of cTnI in determining the
severity of HCM. ANIMALS: Clinically healthy cats (n = 88) and cats with HCM (n =
93). METHODS: Multicenter prospective study. Cats with HCM, including
hypertrophic obstructive cardiomyopathy at various stages, were diagnosed using
echocardiography. Plasma cTnI concentrations were analyzed by a commercial
laboratory. Receiver-operating characteristic curve analysis was used to evaluate
the accuracy of plasma cTnI concentrations to detect HCM. RESULTS: The median
cTnI concentration was 0.027 ng/mL (interquartile range, 0.012-0.048 ng/mL) in
healthy cats. Concentrations were significantly higher in diseased cats than in
healthy controls, and concentrations were significantly higher in cats with heart
failure than in asymptomatic cats. A plasma cTnI concentration of 0.163 ng/mL had
a sensitivity of 62.0% and specificity of 100% when used to distinguish normal
cats from asymptomatic HCM cats without left atrial dilatation. A cutoff of 0.234
ng/mL had high sensitivity (95.0%) and specificity (77.8%) for assessing heart
failure. The areas under the receiver-operating characteristic curves were 0.85
and 0.93, respectively. CONCLUSIONS AND CLINICAL IMPORTANCE: Increased cTnI
concentrations reflect the severity of HCM. If other causes of cardiac injury are
ruled out, plasma cTnI concentration may be useful for predicting the severity of
HCM in cats.
PMID- 29660796
TI - Mechanistic and structural insights into the in vitro inhibitory action of
hypericin on glutathione reductase purified from baker's yeast.
AB - This work aims at studying the interaction between glutathione reductase (GR) and
hypericin. The type of inhibition was determined by measuring changes in GR
activity at increasing concentrations of hypericin as well as at varying
concentrations of glutathione disulfide (GSSG) and nicotinamide adenine
dinucleotide phosphate (NADPH), and the binding pose of hypericin was predicted
by molecular docking. Accordingly, hypericin emerges as an effective inhibitor of
GR. When the variable substrate is GSSG, the type of inhibition is competitive.
When the variable substrate is NADPH, however, the type of inhibition appears to
be linear mixed-type competitive. Our computational analyses suggest that
hypericin binds in the large intermonomer cavity of GR, and that it may interfere
with the normal positioning/functioning of the redox-active disulfide center at
the enzyme's active site. Overall, besides its contributory role in promoting
oxidative stress via the formation of reactive oxygen species in photodynamic
therapy, hypericin can also weaken cancer cells through inhibiting GR.
PMID- 29660798
TI - Dynamics and Rigidity of an Intact Filamentous Bacteriophage Virus Probed by
Magic Angle Spinning NMR.
AB - The capsid dynamics of filamentous bacteriophages is related to their function,
stability, and interactions with the genome, and can be assessed by measuring the
chemical shift anisotropy (CSA) of 15 N amides, which are sensitive to large
amplitude motions. In this study, CSA recoupling experiments under magic-angle
spinning NMR were used to probe the dynamics of the y21m capsid mutant of fd
bacteriophage. Based on fitting the generated CSA lineshapes, residues located in
the N-terminus undergo increased motional amplitudes suggesting its global
motion, whereas other backbone residues are rigid, and imply a tight hydrophobic
packing of the phage.
PMID- 29660797
TI - Repair of a Giant Omphalocele in an Infant With a Pericardial Implant Crosslinked
With Oligourethane.
AB - The giant omphalocele (GO) represents a challenge for the pediatric surgeon in
its management and wall abdominoplasty. Here, we report the outcome of a case in
which a GO in a newborn patient was repaired with an implant derived from
decellularized bovine pericardium crosslinked with oligourethane. The
implantation time was extended for 6 months. This was then followed up by the
retrieval of the implant and the subsequent reconstruction in a second surgical
time by the closure of the abdominal wall fascia. A short hospital stay, early
integration into the patient's family environment, as well as early onset of the
oral route without special care of the implant or reconstructed wall nor food
restrictions were observed. The reduced presence of the complications described
in the literature after application of surgical meshes suggests that this implant
can be an effective and safe alternative method in the treatment of abdominal
wall defects such as GO.
PMID- 29660799
TI - Efficient CO2 Insertion and Reduction Catalyzed by a Terminal Zinc Hydride
Complex.
AB - The terminal zinc hydride complex [Tntm]ZnH (2; Tntm=tris(6-tert-butyl-3
thiopyridazinyl)methanide) is an efficient hydrosilylation catalyst of CO2 at
room temperature without the need of Lewis acidic additives. The inherent
electrophilicity of the system leads to selective formation of the monosilylated
product (MeO)3 SiO2 CH (at room temperature with a TOF of 22.2 h-1 and at 45
degrees C with a TOF of 66.7 h-1 ). In absence of silanes, the intermediate
formate complex [Tntm]Zn(O2 CH) (3) is quantitatively formed within 5 min. All
complexes were fully characterized by 1 H and 13 C NMR spectroscopy and single
crystal X-ray diffraction analyses. Density functional theory (DFT) calculations
reveal a high positive charge on zinc and the increased preference of the ligand
to adopt a kappa3 -coordination mode.
PMID- 29660801
TI - Evaluation of long-term effects of artificial sweeteners on rat brain: a
biochemical, behavioral, and histological study.
AB - The aim of the present study was to compare the effects of artificial sweeteners
(aspartame, saccharin, and sucralose) on rat brain. Twenty-four adult male
Sprague-Dawley rats were included in the study. The control group (n = 6)
received regular tap water, whereas other groups received aspartame (3 mg/kg/day,
n = 6,) or saccharin (3 mg/kg/day, n = 6) or sucralose (1.5 mg/kg/day, n = 6) in
the drinking water. Following 6 weeks, the passive avoidance learning (PAL) test
was performed to evaluate the neurobehavioral effects of sweeteners. The brains
were assessed for lipid peroxides, neuron count, and Glial fibrillary acidic
protein (GFAP) immunohistochemistry. Our results demonstrated that chronic intake
of sweeteners significantly impaired PAL performance in all groups. Hippocampal
CA1-CA3 areas revealed significantly lower neuronal count in aspartame and
increased GFAP expression in all groups. Brain lipid peroxides were significantly
higher in all groups. Our findings suggest that long-term consumption of
artificial sweeteners may have harmful effects on cognition and hippocampal
integrity in rats.
PMID- 29660800
TI - Physicochemical Quality, Fatty Acid Composition, and Sensory Analysis of Nellore
Steers Meat Fed with Inclusion of Condensed Tannin in the Diet.
AB - : This study was conducted to test the effect of dietary tannin on the fatty acid
profile and sensory attributes of meat from Nellore steers. Thirty-two Nellore
bull male were distributed in a completely randomized design and fed diets with
condensed tannin extract as follows: 0, 10, 30, and 50 g/kg total DM basis. The
physicochemical composition of the meat, lipid oxidation, fatty acid profile,
flavor, tenderness, and overall acceptance were evaluated. There was a linear
decrease (P <= 0.05) on lipid content, tenderness, cooking weight loss, myristic,
palmitic, and oleic acids in meat as tannin increased in the diets. The total
saturated and monounsaturated fatty acids, the atherogenicity index decreased.
However, a linear increase (P <= 0.05) was observed for linoleic, linolenic,
arachidonic, eicosapentaenoic, and docosapentaenoic acids. The physicochemical
characteristic of the meat, such as moisture, ash, and protein contents, water
retention capacity, final pH, Warner-Bratzler shear force, collagen, and color
indexes (lightness, redness, yellowness, and chrome) did not change with dietary
tannin. Also, CLA, n-6:n-3 ratio, Delta9 -desaturase, and elongase activity were
not different among diets. In conclusion, condensed tannin linearly increases
unsaturated fatty acids and decreases the atherogenicity index of meat; thus, it
can be recommended at the highest level (50 g/kg DM) in the diet of Nellore
steers. PRACTICAL APPLICATION: Agriculture byproducts plays an important part in
the diet of ruminant animals and consequently on food chain and has implications
for the composition and quality of the livestock products (milk, meat, and eggs)
that people consume. Feeding tannin to steers increases the amount of unsaturated
fatty acids and meat tenderness, with a concomitant reduction on saturated fatty
acids and the atherogenicity index in meat. Thus, we recommend adding tannin to
steer diets to reduce the risk factors for cardiovascular diseases in red meat
for human consumption.
PMID- 29660802
TI - Flexible Fe2 O3 and V2 O5 Nanofibers as Binder-Free Electrodes for High
Performance All-Solid-State Asymmetric Supercapacitors.
AB - Flexible, highly porous Fe2 O3 and V2 O5 nanofibers (NFs) have been synthesized
by a facile electrospinning method followed by calcination. They have been
directly used as binder-free electrodes for high-performance supercapacitors.
These Fe2 O3 and V2 O5 NFs interconnect with one another and construct three
dimensional hierarchical porous films with high specific surface areas.
Benefitting from their unique structural features, binder-free Fe2 O3 and V2 O5
porous nanofiber electrodes offer high specific capacitances of 255 F g-1 and 256
F g-1 , respectively, at 2 mV s-1 in 1 m aqueous Na2 SO4 as electrolyte. An all
solid-state asymmetric supercapacitor (ASC) has been fabricated using Fe2 O3 and
V2 O5 nanofibers as negative and positive electrodes, respectively. It could be
operated at up to 1.8 V, taking advantage of the wide and opposite potential
windows of the respective electrodes. The assembled all-solid-state ASC achieved
a high energy density up to 32.2 W h kg-1 at an average power density of 128.7 W
kg-1 , and exhibited excellent cycling stability and power capability. The
effective and facile synthesis method and superior electrochemical performance
described herein make electrospun Fe2 O3 and V2 O5 NFs promising electrode
materials for high-performance ASCs.
PMID- 29660803
TI - New 2D Carbon Nitride Organic Materials Synthesis with Huge-Application Prospects
in CN Photocatalyst.
AB - In recent years, 2D materials are attracting increased attention because of their
excellent properties. In this paper, new 2D carbon nitride (CN) organic materials
are successfully prepared on the basis of the organic synthesis theory, and the
thickness is about 1.5 nm. This new 2D CN organic material further strengthens
the 2D materials family. Meanwhile, their synthetic mechanism is theoretically
speculated. Then CN photocatalysts of several structures are obtained by roasting
2D CN organic materials. Through the photocatalytic hydrogen production
experiments, the results exhibit that these kinds of photocatalysts have good
photocatalytic effects compared to common g-C3 N4 .
PMID- 29660804
TI - Nutritional stress reduces flight performance and exploratory behavior in a
butterfly.
AB - Anthropogenic global change, including agricultural intensification and climate
change, poses a substantial challenge to many herbivores due to a reduced
availability of feeding resources. The concomitant food stress is expected to
detrimentally affect performance, amongst others in dispersal-related traits.
Thus, while dispersal is of utmost importance to escape from deteriorating
habitat conditions, such conditions may negatively feedback on the ability to do
so. Therefore, we here investigate the impact of larval and adult food stress on
traits related to dispersal ability, including morphology, physiology, flight
performance, and exploratory behavior, in a butterfly. We show that inadequate
nutrition during development and in the adult stage diminishes flight
performance, despite some re-allocation of somatic resources. Detrimental effects
of food stress on flight performance were mainly caused by reductions in body
mass and storage reserves. Similar results were found for exploratory behavior.
Furthermore, exploratory behavior was found to be (moderately) repeatable at the
individual level, which might indicate the existence of a personality trait. This
notion is further supported by the fact that flight performance and exploratory
behavior were positively correlated, potentially suggesting the existence of a
dispersal syndrome. In summary, our findings may have important implications for
dispersal in natural environments, as the conditions requiring dispersal the most
impair flight ability and thereby likely dispersal rates.
PMID- 29660805
TI - Hierarchically Structured FeNiOx Hy Electrocatalyst Formed by In Situ
Transformation of Metal Phosphate for Efficient Oxygen Evolution Reaction.
AB - A simple and low-cost fabrication method is needed to obtain effective and robust
heterogeneous catalysts for the oxygen evolution reaction (OER). In this study,
an electrocatalyst FeNiOx Hy with a hierarchical structure is synthesized on
nickel foam by a simple fabrication method through anion exchange from a metal
phosphate to a metal hydroxide. The as-fabricated FeNiOx Hy electrode requires
overpotentials of 206 and 234 mV to deliver current densities of 10 and 50 mA cm
2 , respectively. The catalytic performance of FeNiOx Hy is superior to that of
most previously reported FeNi-based catalysts, including NiFe layered double
hydroxide. The catalyst also shows good long-term durability at a current density
of 50 mA cm-2 over 50 h with no activity decay under 1 m KOH. By comparison to
the directly electrodeposited FeNi hydroxide in morphology and electrochemical
properties, the improved activity of the catalyst could be mainly attributed to
an enhancement of its intrinsic activity, which was caused by the anion exchange
of phosphate to (oxy)hydroxide. Further studies by cyclic voltammetry indicated a
stronger interaction between Ni and Fe from the negative shift of the oxidation
peak of Ni2+ /Ni3+ in comparison with reported FeNiOx Hy , which promoted the
generation of active Ni3+ species more easily. This work may provide a new
approach to the simple preparation of effective and robust OER catalysts by anion
exchange.
PMID- 29660806
TI - From a Helix to a Small Cycle: Metadynamics-Inspired alphavbeta6 Integrin
Selective Ligands.
AB - The RGD-recognizing alphavbeta6 integrin has only recently emerged as a major
target for cancer diagnosis and therapy. Thus, the development of selective, low
molecular-weight ligands of this receptor is still in great demand. Here, a
metadynamics-driven design strategy allowed us to successfully convert a helical
nonapeptide into a cyclic pentapeptide (6) showing remarkable potency and
alphavbeta6 specificity. NMR and docking studies elucidated the reasons for the
high affinity and selectivity of this compound, setting the ground for the
rational design of new alphavbeta6-specific small peptides or even
peptidomimetics. In vivo PET imaging studies demonstrated the potential use of 6
for medical applications.
PMID- 29660807
TI - A randomised clinical trial comparing the 'sniffing' and neutral position using
channelled (KingVision(r) ) and non-channelled (C-MAC(r) ) videolaryngoscopes.
AB - Head and neck position is one of the factors which can be associated with
difficult videolaryngoscopy and tracheal intubation. This prospective randomised
clinical trial compared 'sniffing' and neutral positions using a channelled
(KingVision(r) ) and a non-channelled (C-MAC(r) D-blade) videolaryngoscope in 200
adult patients randomly allocated into four groups (KingVision 'sniffing',
KingVision neutral, C-MAC 'sniffing' and C-MAC neutral). The primary outcome was
the ease of tracheal intubation using the modified intubation difficulty scale
(mIDS) score. Laryngoscopy time, intubation time, laryngoscopic view using the
percentage of glottic opening (POGO) score and success rate of tracheal
intubation were secondary outcomes. The median (IQR [range]) modified difficulty
scale scores for the four groups, respectively, were 0 (0-1 [0-3]), 0 (0-1 [0
4]), 1 (0-1 [0-5]) and 0 (0-1 [0-3]; p = 0.384). There was no significant
difference in laryngoscopy time (p = 0.020), intubation time (p = 0.272) and
success rate (p = 0.968) between the groups. The percentage of glottic opening
score was lower for C-MAC neutral group as compared with other three groups (p =
0.01). There was no significant difference in the ease of intubation between the
'sniffing' and the neutral position when using the KingVision and the C-MAC
videolaryngoscopes. Therefore, either of the two positions could be used with
these types of videolaryngoscopes, if deemed advantageous for the patient.
PMID- 29660808
TI - Longitudinal Theory of Mind (ToM) Development From Preschool to Adolescence With
and Without ToM Delay.
AB - Longitudinal tracking of 107 three- to-thirteen-year-olds in a cross-sequential
design showed a 6-step theory of mind (ToM) sequence identified by a few past
cross-sectional studies validly depicted longitudinal ToM development from early
to middle childhood for typically developing (TD) children and those with ToM
delays owing to deafness or autism. Substantively, all groups showed ToM progress
throughout middle childhood. Atypical development was more extended and began and
ended at lower levels than for TD children. Yet most children in all groups
progressed over the study's mean 1.5 years. Findings help resolve theoretical
debates about ToM development for children with and without delay and gain
strength and weight via their applicability to three disparate groups varying in
ToM timing and sequencing.
PMID- 29660809
TI - Prosopis nigra Mesocarp Fine Flour, A Source of Phytochemicals with Potential
Effect on Enzymes Linked to Metabolic Syndrome, Oxidative Stress, and
Inflammatory Process.
AB - : This work is part of the search in native food matrices from arid regions of
Argentina of interest to improve human health. Prosopis species are ethnic food
resources in South America capable of growing in arid and semi-arid environments.
This work was focused to determine the nutritional and phytochemical composition
of Prosopis nigra fine flour and to evaluate its biological properties. Flour
showed a high level of sucrose (30.35 g/100 g flour), fiber (6.34 g/100 g flour),
polyphenols (0.45 g GAE/100 g flour), and minerals (potassium, calcium, and
magnesium). Apigenin C glycosides and phenylpropanoid acids were identified in
free and bound phenolic enriched extracts, respectively. Polyphenols (especially
free polyphenols) were able to inhibit enzymes associated with the metabolic
syndrome, including alpha-amylase (IC50 30.1 MUg GAE/mL), alpha-glucosidase (IC50
22.5 MUg GAE/mL), while bound phenolics may control lipase activity (IC50 33.5
MUg GAE/mL) and exhibit antioxidant activity by different action mechanisms (SC50
between 16 and 93 MUg GAE/mL). Both extracts were more effective to inhibit
cyclooxygenase-2 than phospholipase A2 and lipoxygenase, proinflammatory enzymes.
Polyphenolic extracts did not show any mutagenic effect. Our studies add value to
this non-conventional flour as a promising food resource that could be used as a
functional food or functional ingredient in formulations to reduce the risk of
the development of obesity. These studies revalue our native resources by
promoting their conservation, their use and their propagation. PRACTICAL
APPLICATION: Pods of P. nigra are traditional food resources in South America.
The non-conventional flour obtained from them is a food that inhibits enzymes
linked to carbohydrates metabolism and lipids metabolism, show antioxidant
activity and anti-inflamatory activity, principally on COX-2. This natural
product is a promising resource that could be used as a functional food or as
functional ingredient in food formulations for reduce the risk of the development
of obesity. Our studies are relevant to stimulate a sustainable management of
this specie and for its development as potential new crops.
PMID- 29660810
TI - Sensory Profile, Drivers of Liking, and Influence of Information on the
Acceptance of Low-Calorie Synbiotic and Probiotic Chocolate Ice Cream.
AB - : The objective of this study was to evaluate the sensory profile and the
influence of the information on the acceptance of the symbiotic chocolate ice
cream made with sucrose and different sweeteners (aspartame, sucralose, neotame,
Stevia with 60%, 85%, 95%, and 97% of rebaudioside A) through analysis of
variance (ANOVA), Tukey's test, and partial least of square (PLS) regression.
Quantitative descriptive analysis (QDA) was carried out by 18 assessors, who
evaluated the samples in relation to the raised descriptors. Additionally, two
acceptance tests (blind/informed) were performed with 120 consumers. The samples
sweetened with sucralose and rebaudioside 97% presented similar profile to the
control sample, thus having a better potential to replace sucrose in chocolate
ice cream. The acceptance test carried out with information had higher scores for
the attributes appearance, aroma, flavor, texture, and overall impression. The
correlation between data from the acceptance tests and QDA showed that the
descriptors "low-energy" and "natural sweetener" claims interfered negatively in
the drivers of liking of chocolate ice cream. Therefore, we can conclude that
some characteristics unnoticed by consumers were highlighted after providing the
information about the product's characteristics. PRACTICAL APPLICATION: This
research is important and contributes to the manufacture and development of low
calorie chocolate ice cream with functional properties, guiding, through suitable
sensory and statistical tools, the application of stevia and other artificial
sweeteners in products with reduction or total absence of sucrose and
highlighting the impact of the labeling of these products on consumer perception.
PMID- 29660811
TI - Natural Borneol Enhances Paclitaxel-Induced Apoptosis of ESCC Cells by
Inactivation of the PI3K/AKT.
AB - : Paclitaxel (PTX) has been used in a variety of malignancies for inhibiting
tumor development and improving survival. However, its clinical application is
limited due to poor solubility, drug resistance, and gastrointestinal reactions.
Natural borneol (NB), as a promoter, could help to improve drug absorption.
Therefore, the aims of the present study were to investigate the ability of NB to
synergize with PTX to induce human esophageal squamous cell carcinoma (ESCC)
cells apoptosis and the underlying mechanism of synergistic effects. In this
study, our findings showed that NB could effectively synergize with PTX to
inhibit the survival of ESCC cells by inducing apoptosis. The molecular mechanism
by western blotting elucidated that combination treatment with PTX and NB
significantly activated apoptotic pathway by triggering upregulation of cleaved
caspase-3 expression and downregulation of survivin and P-AKT expression. These
results demonstrated that NB could strongly potentiate PTX-induced apoptosis in
ESCC cells through suppressing PI3K/AKT pathway. Thus, the combination therapy
with NB and PTX might be a promising treatment strategy for human esophageal
cancer. PRACTICAL APPLICATION: Esophageal cancer is one of the most common
cancers in the world. It has brought about a major public health problem. Many
natural agents have been employed in the synergized treatments of esophageal
cancer. This study provides a comprehensive way to investigate the ability of
borneol to synergize with paclitaxel to induce human esophageal squamous cell
carcinoma cells apoptosis and the underlying mechanism of synergistic effects.
The research showed that the combination treatment with some natural agents might
be a promising treatment strategy for human esophageal cancer.
PMID- 29660812
TI - Tremor severity in Parkinson's disease and cortical changes of areas controlling
movement sequencing: A preliminary study.
AB - There remains much to learn about the changes in cortical anatomy that are
associated with tremor severity in Parkinson's disease (PD). For this reason, we
used a combination of structural neuroimaging to measure cortical thickness and
neurophysiological studies to analyze whether PD tremor was associated with
cortex integrity. Magnetic resonance imaging and neurophysiological assessment
were performed in 13 nondemented PD patients (9 women, 69.2%) with a clearly
tremor-dominant phenotype. Cortical reconstruction and volumetric segmentation
were performed with the Freesurfer image analysis software. Assessment of tremor
was performed by means of high-density surface electromyography (hdEMG) and
inertial measurement units (IMUs). Individual motor unit discharge patterns were
identified from surface hdEMG and tremor metrics quantifying motor unit
synchronization from IMUs. Increased motor unit synchronization (i.e., more
severe tremor) was associated with cortical changes (i.e., atrophy) in wide
spread cortical areas, including caudal middle frontal regions bilaterally
(dorsal premotor cortices), left inferior parietal lobe (posterior parietal
cortex), left lateral orbitofrontal cortex, cingulate cortex bilaterally, left
posterior and transverse temporal cortex, and left occipital lobe, as well as
reduced left middle temporal volume. Given that the majority of these areas are
involved in controlling movement sequencing, our results support Albert's classic
hypothesis that PD tremor may be the result of an involuntary activation of a
program of motor behavior used in the genesis of rapid voluntary alternating
movements.
PMID- 29660813
TI - Impact of psychiatric illness on decreased survival in elderly patients with
bladder cancer in the United States.
AB - BACKGROUND: Treatments for muscle-invasive bladder cancer are multimodal,
complex, and often carry significant risks of physical and psychological
morbidity. The objectives of this study were to define the incidence and types of
psychiatric illnesses diagnosed after treatment and to determine their impact on
survival outcomes. METHODS: In total, 3709 patients who were diagnosed with
clinical stage T2 through T4a bladder cancer from January 1, 2002, to December
31, 2011, from the Surveillance, Epidemiology, and End Results-Medicare were
analyzed. Multivariable analysis and Cox proportional-hazards models were used to
determine the predictors associated with psychiatric diagnosis and impact on
survival outcomes. RESULTS: Of 3709 patients, 1870 (50.4%) were diagnosed with
posttreatment psychiatric disorders. Patients who underwent radical cystectomy
were identified as being at significantly greater risk of having a posttreatment
psychiatric illness compared with those who received radiotherapy and/or
chemotherapy (hazard ratio [HR], 1.19; 95% confidence interval [CI], 1.07-1.31; P
= .001). In adjusted analyses, diagnosis of a psychiatric disorder resulted in
significantly worse overall survival (HR, 2.80; 95% CI, 2.47-3.17; P < .001) and
cancer-specific survival (HR, 2.39; 95% CI, 2.05-2.78; P < .001). CONCLUSIONS:
One-half of patients with muscle-invasive bladder cancer who underwent treatment
were diagnosed with a psychiatric disorder, which resulted in worse survival
outcomes compared with patients who did not have a posttreatment psychiatric
diagnosis. This information can be used to inform interventions to educate
patients with muscle-invasive bladder cancer regarding the impact of different
treatments on mental health. Cancer 2018. (c) 2018 American Cancer Society.
PMID- 29660814
TI - Effects of CD36 Genotype on Oral Perception of Oleic Acid Supplemented Safflower
Oil Emulsions in Two Ethnic Groups: A Preliminary Study.
AB - Previous studies demonstrate humans can detect fatty acids via specialized
sensors on the tongue, such as the CD36 receptor. Genetic variation at the common
single nucleotide polymorphism rs1761667 of CD36 has been shown to differentially
impact the perception of fatty acids, but comparative data among different ethnic
groups are lacking. In a small cohort of Caucasian and East Asian young adults,
we investigated if: (1) participants could detect oleic acid (C18:1) added to
safflower oil emulsions at a constant ratio of 3% (w/v); (2) supplementation of
oleic acid to safflower oil emulsions enhanced perception of fattiness and
creaminess; and (3) variation at rs1761667 influenced oleic acid detection and
fat taste perception. In a 3-alternate forced choice test, 62% of participants
detected 2.9 +/- 0.7 mM oleic acid (or 0.08% w/v) in a 2.8% safflower oil
emulsion. Supplementation of oleic acid did not enhance fattiness and creaminess
perception for the cohort as a whole, though East Asians carrying the GG genotype
perceived more overall fattiness and creaminess than their AA genotype
counterparts (P < 0.001). No differences were observed for the Caucasians. These
preliminary findings indicate that free oleic acid can be detected in an oil-in
water emulsion at concentrations found in commercial oils, but it does not
increase fattiness or creaminess perception. Additionally, variation at rs1761667
may have ethnic-specific effects on fat taste perception.
PMID- 29660815
TI - Scaling down of a deworming programme among school-age children after a thirty
year successful intervention in the Bolivian Chaco.
AB - OBJECTIVE: Preventive chemotherapy is the WHO-recommended control method for soil
transmitted helminthiases. In the Bolivian Chaco, 6-monthly single-dose
mebendazole delivery to school-age children achieved a dramatic decrease in soil
transmitted helminthiases prevalence between 1987 and 2013. Consequently, in
September 2016, preventive chemotherapy delivery was interrupted in nine rural
communities. In compliance with WHO recommendations, we intensified surveillance
to monitor soil-transmitted helminthiases prevalence and detect potential changes
that would require interventions. METHODS: We conducted two cross-sectional
parasitology surveys 12 months apart (September 2016-2017) among school-age
children living in the communities where preventive chemotherapy delivery had
been halted. Study design, methods of sampling and sample analysis technique
(direct microscopy, Kato-Katz technique) followed WHO recommendations, aiming to
obtain data representative of the Bolivian Chaco ecological zone. RESULTS: We
collected 426 samples in 2016 and 520 in 2017. Soil-transmitted helminthiasis
prevalence was unremarkable: 0.7% (95% CI 0-1.5%) in 2016 and 0.8% (0-1.5%) in
2017. Conversely, the prevalence of tapeworms (13% in 2016, 12% in 2017) and
intestinal protozoan infections (81% in 2016 and 75% in 2017) continued to be
high. CONCLUSIONS: Our findings support the role of preventive chemotherapy in
reducing soil-transmitted helminthiases transmission, as otherwise poor hygienic
and health conditions persist in the Bolivian Chaco. A national survey, involving
areas from all the ecological zones of Bolivia, is now warranted.
PMID- 29660816
TI - Bacterial Communities in Serpa Cheese by Culture Dependent Techniques, 16S rRNA
Gene Sequencing and High-throughput Sequencing Analysis.
AB - : Serpa cheese is one of the traditional regional Portuguese cheeses having the
Protected Denomination of Origin (PDO) designation. This study investigated the
bacterial community in the traditional Portuguese Serpa cheese. The
microorganisms identified at the end of ripening (30 days) mainly were lactic
acid bacteria (LAB). Lactobacillus paracasei/Lactobacillus casei was the main
species in cheese from PDO registered industries, whereas in non-PDO registered
industries Lactobacillus brevis was highlighted, among other LAB.
Enterobacteriaceae species were detected at 20% to 40% of the total isolates. The
results obtained by high-throughput sequencing analysis confirmed that LAB was
the main microbial group, with Lactococcus genus contributing to approximately
40% to 60% of the population, followed by Leuconostoc and Lactobacillus. The
Enterobacteriaceae family was also important. The differences between bacterial
communities from PDO and non-PDO registered industries suggest that the lack of
regulation of the cheese-making practices may influence unfavorably. The new
knowledge about bacterial diversity in Serpa cheese could be useful to set up new
ripening conditions, which favor the development of desirable microorganisms.
PRACTICAL APPLICATION: The control of the manufacturing process of traditional
cheeses can be improved through the knowledge of the bacterial diversity that
develops. Thus, the growth of desirable microorganisms can be promoted to
homogenize the final product.
PMID- 29660817
TI - Impact of the interruption of a large heart failure regional disease management
programme on hospital admission rates: a population-based study.
PMID- 29660818
TI - Investigation of metabolic properties and effects of 17beta-carboxamide
glucocorticoids on human peripheral blood leukocytes.
AB - The biological activity of three previously synthesized 17beta-carboxamide
glucocorticoids (BG, BEG, and MPEA) was tested in vitro on mitogen stimulated and
non-stimulated peripheral blood mononuclear cells (MNCs) and granulocytes from
human healthy donors, and the results were compared to the conventional
glucocorticoid dexamethasone. The tested 17beta-carboxamide glucocorticoids did
not induce decreases in MNC viability and proliferation, while modulation of
reactive oxygen species (ROS) synthesis in granulocytes was dependent on the cell
donor. The obtained results indicate the possibility of avoidance of strong
lymphocyte suppression, which is generally recognized during administration of
conventional glucocorticoids. Furthermore, the metabolism of the tested
derivatives was predicted in silico. The predicted metabolites were synthesized
and the in silico results were confirmed by in vitro evaluation of the metabolism
of BG, BEG, and MPEA in human serum and in cultures of peripheral blood MNCs. The
results of the biological activity and metabolism evaluation and of previous in
vivo evaluations of biological activity indicate the soft drug nature of BG, BEG,
and MPEA. In order to be fully considered as soft glucocorticoids, further
investigations on the toxicity and activity of the formed metabolites are
required.
PMID- 29660819
TI - Increase in CTGF mRNA expression by respiratory syncytial virus infection is
abrogated by caffeine in lung epithelial cells.
AB - Respiratory syncytial virus (RSV) is a leading cause of severe lower respiratory
tract infection in early childhood. Underlying pathomechanisms of elevated
pulmonary morbidity in later infancy are largely unknown. We found that RSV
infected H441 cells showed increased mRNA expression of connective tissue growth
factor (CTGF), a key factor in airway remodeling. Additional dexamethasone
treatment led to further elevated mRNA levels, indicating additive effects.
Caffeine treatment prevented RSV-mediated increase in CTGF mRNA. RSV may be
involved in airway remodeling processes by increasing CTGF mRNA expression.
Caffeine might abrogate these negative effects and thereby help to restore lung
homeostasis.
PMID- 29660820
TI - Human immunodeficiency virus in patients with tuberculous meningitis: systematic
review and meta-analysis.
AB - INTRODUCTION: Human immunodeficiency virus (HIV)-infected individuals are at
increased risk for all forms of extrapulmonary tuberculosis (TB), including
tuberculous meningitis (TBM). This study aimed to investigate the frequency of
HIV in patients with TBM. METHODS: PubMed, Embase, Web of Science and Cochrane
Library were searched for articles including relevant data. Stata version 14.0
(StataCorp, College Station, Texas, USA) was used to analyse the data. RESULTS:
Twenty studies were identified. The pooled frequency of HIV among adult patients
with TBM was 38.0% (95% CI: 21.0-57.0; I2 = 97%). In children (under the age of
15 years), 6.0% (95% CI: 1.0-13.0; I2 = 0.0%) had HIV infection. In patients with
bacterial meningitis other than TBM, 36.0% (95% CI: 19.0-53.0; I2 = 100%) were
HIV-infected. CONCLUSIONS: A relatively high frequency of HIV in patients with
TBM was indicated by our study. Establishment of diagnostic criteria and
effective treatment strategies for TBM/HIV co-infection are recommended for
better management of patients with TBM+HIV.
PMID- 29660821
TI - Like sheep, like humans? Right ventricular remodelling in a preterm-born ovine
model.
PMID- 29660822
TI - Managing work and cancer treatment: Experiences among survivors of hematological
cancer.
AB - BACKGROUND: The current study was performed to characterize the employment status
of survivors of hematological cancer who have an informal caregiver from the time
of diagnosis through the first 6 months of treatment. METHODS: Using a mixed
methods approach, semistructured interviews with survivors of hematological
cancer were conducted within 6 months of the initiation of cancer treatment.
Interviews assessed cancer treatment status, barriers and facilitators to
employment, financial and insurance status, and relationship with the primary
caregiver. These results are part of a longitudinal study of cancer survivors and
informal caregivers. RESULTS: A total of 171 patients were enrolled. Within 6
months of beginning cancer treatments, approximately 35% were no longer employed.
Reasons to remain employed included financial need, employee benefits, and a
sense of purpose and normalcy. Employer accommodations and supportive colleagues
facilitated continued employment. Logistic regression analysis demonstrated that
having a higher household income, a desire to work, nonphysical job tasks, and
congruent survivor-caregiver communication were associated with greater odds of
remaining employed. CONCLUSIONS: Within 6 months of initiating cancer treatment,
the majority of survivors of hematological cancer had maintained employment.
Because of the limitations imposed by the physical stress of cancer treatments,
as well as the need to maintain employment to continue receiving employee
benefits to cover such treatments, survivors of hematological cancer likely would
benefit from employment accommodations that are sensitive to their unique needs.
Cancer 2018;124:2824-2831. (c) 2018 American Cancer Society.
PMID- 29660823
TI - Radiographic morphology of intrabony defects in the first molars of patients with
localized aggressive periodontitis: Comparison with health and chronic
periodontitis.
AB - BACKGROUND AND OBJECTIVE: The aim of this study was to describe the radiographic
features of the first molars of patients with localized aggressive periodontitis
(LAgP) and of their associated intrabony defects and to compare them with a
control sample of chronic periodontitis cases and healthy subjects. METHODS: Data
from a total of 93 patients were included in this analysis. First, dental
panoramic tomograms of 34 patients with LAgP (131 first molars) and 30
periodontally healthy patients (110 first molars) were compared. Then, periapical
radiographs of the first molars of the same patients with LAgP and of 29 patients
with chronic periodontitis affected by intrabony defects were analysed. RESULTS:
Shorter root trunks were associated with the presence of intrabony defects in
patients with LAgP (P = .002 at multilevel logistic regression), also when LAgP
molars were compared with healthy subjects (P = .036). Although no difference in
defect depth and angle was noted between LAgP and chronic periodontitis intrabony
defects, LAgP intrabony defects appeared to be more frequently symmetrical and
arch-shaped than in chronic periodontitis (P = .008), with positive predictive
value and negative predictive value of for 'wide arch' defect of 87.3% (95% CI =
77.2%-93.3%) and 32.3% (95% CI = 27.7%-37.2%) respectively. CONCLUSION: First
molars of patients with LAgP affected by intrabony defects may have some distinct
radiographic anatomical characteristics to those of healthy subjects. The shape
of intrabony defects seems to differ between LAgP and chronic periodontitis
cases. Further studies need to confirm these features and investigate if they are
related to the initiation and progression of periodontitis.
PMID- 29660824
TI - Histological Study of Ultrastructural Changes in Muscle Exposed to Various
Concentrations of NaCl Brine.
AB - : Efforts to reduce NaCl content in meat products hinge on gaining a deeper
understanding of how NaCl content shapes product changes during the salting
process. Bovine semitendinosus muscle samples were incubated for 5 days in 7
different brine baths at NaCl concentrations of 0 (control), 0.15, 0.30, 0.50,
0.75, 1.0, and 1.5 M, respectively. Histological and ultrastructural analyses
showed that after incubation, muscle fiber size increased almost 30% in 0.15 and
0.3 M NaCl brine but decreased in 0.50 and 0.75 M NaCl. At above 0.75 M NaCl,
brining led to significantly degraded muscle tissue, preventing the morphological
characterization by image analysis. Structural modifications were strongest in
samples immersed in 1 M NaCl brine. M and Z lines showed dramatic solubilization
from 0.5 M NaCl upwards. Extracted proteins were released into subsarcolemmal
space at 0.75 M NaCl then into extracellular space at higher NaCl levels. These
findings bring insight into the sequence of structural alterations in meat as a
function of ionic strength, making it possible to optimize the meat salting
process. PRACTICAL APPLICATION: Knowledge on the relationship between NaCl
concentration, in situ structural changes and transfers of salt-extracted
proteins can be usefully mobilized to reduce sodium content in cured meat
products.
PMID- 29660825
TI - Reply to: Frequency of squamous cell carcinoma in situ (SCCIS) and SCC in re
excisions of biopsy-proven cutaneous SCCIS.
PMID- 29660826
TI - Human coronaviruses and other respiratory infections in young adults on a
university campus: Prevalence, symptoms, and shedding.
AB - BACKGROUND: The prevalence, symptom course, and shedding in persons infected with
the 4 most common human coronaviruses (HCoV)-229E, HKU1, NL63, and OC43 are
poorly described. OBJECTIVES: We estimate their prevalence and associated
symptoms among college students identified via a social network study design.
PATIENTS/METHODS: We collected 1-3 samples (n = 250 specimens) from 176
participants between October 2012 and January 17, 2013: participants with acute
respiratory infection (ARI; cough and body aches or chills or fever/feverishness)
and their social contacts. Virus was detected using RT-PCR. RESULTS: 30.4%
(76/250) of specimens tested positive for any virus tested, and 4.8% (12/250)
were positive for 2 or more viruses. Human coronaviruses (HCoVs [22.0%; 55/250]),
rhinovirus (7.6%; 19/250), and influenza A (6.4%; 16/250) were most prevalent.
Symptoms changed significantly over time among ARI participants with HCoV: the
prevalence of cough and chills decreased over 6 days (P = .04, and P = .01,
respectively), while runny nose increased over the same period (P = .02). HCoV
NL63 was the most frequent virus detected 6 days following symptom onset (8.9%),
followed by rhinovirus (6.7%). CONCLUSIONS: During a 3-month period covering a
single season, HCoVs were common, even among social contacts without respiratory
symptoms; specific symptoms may change over the course of HCoV-associated illness
and were similar to symptoms from influenza and rhinovirus.
PMID- 29660827
TI - Patient blood management and the importance of the Transfusion Practitioner role
to embed this into practice.
AB - Patient blood management (PBM) is a widely established international initiative,
with a multidisciplinary approach to reduce transfusion. The Transfusion
Practitioner1 (TP) role is well embedded in the United Kingdom (UK) and
Australia. The value of the TP in changing both culture and practice to implement
an all-inclusive PBM approach to care will be discussed. The TP role was born
from both a safety and haemovigilance culture, where the greatest identified risk
to the patient undergoing a transfusion was human error. From this initial
trigger for improved safety, the TP role has evolved to a multifaceted, highly
specialised role, involved in both PBM and transfusion processes. As the
transfusion paradigm shifted from product to patient, the TP role evolved to
include PBM, with an emphasis on the patients and the impact transfusion has on
them. A multidisciplinary team is required to drive both PBM and transfusion; the
TP is recognised as a critical link in the multidisciplinary team. They are seen
as a driving force for change, bridging the gap between the laboratory and
clinical arenas. The TP plays a vital role in helping establish and embed PBM
that improves patient and safety outcomes.
PMID- 29660828
TI - Storage Stability of Dietary Nitrate and Phenolic Compounds in Beetroot (Beta
vulgaris) and Arugula (Eruca sativa) Juices.
AB - : Nitrate and polyphenols from the diet may enhance the production and
bioavailability of nitric oxide, a radical signaling molecule critical for
cardiovascular health. Understanding the stability of these bioactives in
beetroot and arugula juices is important for their functions. In this study, the
stability of nitrate and phenolics in beetroot and arugula juices was measured
for 32 days at different temperatures (25, 4, -20, and -80 degrees C). The
levels of nitrate were measured by reversed-phase HPLC and initial levels were
found to be 4965.34 +/- 72.69 MUg/mL for beetroot and 6310.20 +/- 24.79 MUg/mL
for arugula. Interestingly, nitrate degradation started within 24 hr at 25
degrees C and after 4 days at 4 degrees C. At -20 degrees C and -80 degrees C,
nitrate levels remained stable for one month. Total phenolics and free radical
scavenging activity varied significantly during storage conditions. Beetroot
juice at 25 degrees C, significant decrease in total phenolics and antioxidant
activity was observed, whereas at 4, -20 and -80 degrees C, the levels remained
relatively stable. By contrast, arugula juice at 25 and 4 degrees C, an increase
in total phenolics and antioxidant activity were observed after one month.
Furthermore, UPLC-HR-QTOF-MS analysis demonstrated that flavonoid glucosides were
converted to their aglycones and lower phenolics, resulting in higher total
phenolics and antioxidant activity during storage. In conclusion, beetroot and
arugula juices required frozen conditions for long-term storage to prevent
degradation of nitrate and to maintain their nutritional value. PRACTICAL
APPLICATION: Beetroot and arugula juices have health-beneficial compounds such as
nitrate and phenolics. Understanding the proper storage conditions can allow
consumers to make informed choices that can help fresh juices to maintain their
health promoting properties.
PMID- 29660829
TI - Structural Modification of Fish Gelatin by the Addition of Gellan, kappa
Carrageenan, and Salts Mimics the Critical Physicochemical Properties of Pork
Gelatin.
AB - : Pork gelatin is not suitable for halal and kosher application; however, fish
gelatin (FG) can be modified for use as a pork gelatin (PG) mimetic. Herein, low
acyl gellan (GE), kappa-carrageenan (KC), and salts (CaCl2 or KCl) were combined
with a 180 Bloom tilapia FG. A formulation comprising 5.925% (w/v) FG + 0.025%
(w/v) GE + 3mM CaCl2 best matched the physicochemical properties of PG. The
modification increased the FG gel strength from 115 +/- 2 to 149 +/- 2 g
(matching the 148 +/- 2 of PG), while the Tm increased from 27.9 +/- 1.0 to 32.4
+/- 0.8 degrees C (matching the 33.1 +/- 0.3 degrees C of PG). Nanoaggregates
(diameter between 150 and 300 nm) could be an important structural factor
affecting the physicochemical properties, as both PG and GE-modified FG showed a
similar frequency distribution in this size group (57.4 +/- 1.6% (PG) compared
with 56.3 +/- 2.2% (modified FG)). To further explore the differences between KC
and GE in modifying of FG's structure, the FG-KC and FG-GE gels were compared.
The zeta potential and Fourier transform infrared (FTIR) spectroscopy results for
the FG-KC gel supported an associative interaction with complex formation, as
indicated from the large aggregates and amorphous phase under atomic force
microscopy (AFM). Contrastingly, a segregative FG-GE interaction took place in
presence of CaCl2 . These structures and interaction differences between FG-GE
and FG-KC influenced the macro-properties of FG, possibly explaining the
differences in the modification of the melting temperature of FG. A diagram
representing the interaction-structure-physicochemical properties was proposed to
explain the differences between the FG-GE and FG-KC gels. PRACTICAL APPLICATION:
Certain people cannot consume any pork product or derivatives for religious
reasons, thus it is essential to find a pork gelatin (PG) substitute for food
product development. The commonly used polysaccharides, gellan and carrageenan,
together with salt, can be added to fish gelatin (FG) to match the textural
properties of PG, representing a promising substitute for PG. The difference in
the mechanism of gellan and carrageenan to improve properties of FG has been
revealed from nanostructure level. The use of food grade ingredients and simple
mixing process are favorable in the food industry.
PMID- 29660830
TI - Diagnosis of primary peritoneal high-grade serous carcinoma in a man by cytology.
PMID- 29660831
TI - Managing microbiomes for human health: An annotated selection of World Wide Web
sites relevant to the topics in microbial biotechnology.
PMID- 29660832
TI - First-year GFR slope and long-term renal outcome in IgA nephropathy.
AB - BACKGROUND: IgA nephropathy (IgAN) is the most frequent primary glomerular
disease and the leading cause of end-stage renal disease. We investigated
clinicopathologic predictors of renal survival in patients with IgAN with a focus
on glomerular filtration rate (GFR) decline slope. MATERIALS AND METHODS: We
screened all patients with primary IgAN between 1995 and 2012. Renal progression
was defined as doubling of serum creatinine. Using serial serum creatinine levels
during the first-year, we calculated the GFR decline slopes. Further, we defined
patients in the steepest GFR slope quartile as rapid decliners and those in the
second steepest GFR slope quartile as slow decliners. Others were defined as
nondecliners. RESULTS: Of 214 participants, baseline GFR was 81 (62, 100)
mL/min/1.73 m2 , which was not different among the 3 groups. Rapid decliners and
slow decliners had higher levels of urinary protein/creatinine ratio (0.88, 0.89
and 0.58 g/gCr, respectively, P < .001). Five-year renal survival was 76% in
rapid decliners, 91% in slow decliners and 100% in nondecliners (P < .001, rapid
or slow decliners vs nondecliners). After adjustment for clinicopathologic
variables, slow decliners were associated with an 8.8-fold higher risk of
progression (P = .011), and rapid decliners were associated with a 10.2-fold
increased risk of progression (P = .007) compared with nondecliners. CONCLUSIONS:
First-year GFR slope was associated with increased risk of renal progression,
independent of proteinuria and histologic findings. Further studies are needed to
investigate whether early GFR change can identify high-risk patients who benefit
from immunosuppressive treatment in IgAN.
PMID- 29660833
TI - Selenium deficiency and pregnancy outcome in pregnant women with HIV in Lagos,
Nigeria.
AB - OBJECTIVE: To investigate the prevalence of maternal selenium deficiency and its
effects on pregnancy outcomes in pregnant women with HIV in Lagos, Nigeria.
METHODS: The present descriptive cross-sectional study enrolled women aged 15-49
years with HIV who were at 14-26 weeks of a singleton pregnancy and were
attending Lagos University Teaching Hospital, Lagos, Nigeria, between August 1,
2016, and April 30, 2017. Participants were selected by consecutive sampling and
baseline data were collected through interviews. Venous blood samples were
obtained to measure selenium concentrations, and associations between low
maternal selenium concentrations (defined as <0.89 MUmol/L) and pregnancy
outcomes were examined using bivariate and multivariate analysis. RESULTS: The
final analysis included 113 patients; selenium deficiency was recorded in 23
(20.4%) patients. Women with selenium deficiency had an approximately eight-fold
higher risk of preterm delivery (adjusted odds ratio 7.61, 95% confidence
interval 4.37-18.89; P=0.031) and of delivering a term neonate with a low
delivery weight (adjusted odds ratio 8.11, 95% confidence interval 3.27-17.22;
P=0.012), compared with women with a normal selenium concentration. CONCLUSION:
The prevalence of selenium deficiency among pregnant women with HIV in Lagos was
relatively high. The significant associations observed between maternal selenium
deficiency and adverse pregnancy outcomes could have implications for the future
management of HIV in pregnancy.
PMID- 29660834
TI - The first case of ischemia-free organ transplantation in humans: A proof of
concept.
PMID- 29660835
TI - PDGFRA mRNA overexpression is associated with regional metastasis and reduced
survival in oral squamous cell carcinoma.
AB - BACKGROUND: Platelet-derived growth factor alpha (PDGFRA) is a gene encoding
tyrosine kinase receptor and both EGFR and PDGFRA activate tyrosine kinases. The
implication of PGFRA in many cancers and its prognostic significance irrespective
to EGFR status in spinal chordoma, gliomas, and uterine cancers have shown a need
for its investigation in oral squamous cell carcinoma (OSCC). We investigated the
prognostic value of PDGFRA mRNA expression in OSCC. PATIENTS AND METHODS: The
study was conducted in the department of oral maxillofacial surgery-head and neck
oncology, at a tertiary hospital. The data on PDGFRA mRNA expression and
immunohistochemical staining status in primary OSCC patients treated for curative
surgery from 2010 to 2012 were analyzed. Univariate and multivariate analyses
were performed with other cofactors for survival. RESULTS: A total of 114
consecutive patients with primary OSCC who received treatment were studied.
Thirty-one patients died of the disease. Strong PDGFRA immunohistochemical
staining and high expression of PDGFRA mRNA were associated with positive pN
status (P < .001), disease-free survival (P < .001), and overall survival (P <
.001) in multivariate cox regression when all other factors such as pN status and
histological grading were analyzed. Kaplan-Meier analysis revealed that the 2
year survival and 3-year survival of patients with PDGFRA mRNA low expression
were 96.83%. However, 2-year survival for PDGFRA mRNA high expression level was
59.64%, which decreased to 45.57% by 3-years. CONCLUSION: PDGFRA overexpression
in oral SCC, in respect to strong PDGFRA immunohistochemical staining and high
PDGFRA mRNA expression, was positively associated with regional metastasis and
reduced patient survival.
PMID- 29660836
TI - Final results of a phase 2, open-label study of indisulam, idarubicin, and
cytarabine in patients with relapsed or refractory acute myeloid leukemia and
high-risk myelodysplastic syndrome.
AB - BACKGROUND: Indisulam possesses anticancer properties through down-regulation of
various cell-cycle checkpoint molecules, thereby blocking the phosphorylation of
retinoblastoma protein and inducing p53 and p21. Indisulam exhibits synergy with
nucleoside analogs and topoisomerase inhibitors. METHODS: The authors designed a
phase 2 study of indisulam in combination with idarubicin and cytarabine in
patients who had relapsed/refractory acute myeloid leukemia AML and high-risk
myelodysplastic syndrome. In stage 1, patients received intravenous indisulam at
400 mg/m2 on days 1 and 8 of a 28-day cycle. If they had no response, then
patients received same dose schedule of indisulam followed by intravenous
idarubicin 8 mg/m2 daily for 3 days and cytarabine 1.0 g/m2 over 24 hours daily
on days 9 through 12 (for those aged < 60 years) or days 9 through 11 (for those
aged > 60 years) of a 28-day cycle. Primary endpoints included the overall
response rate, and secondary objectives included overall survival. RESULTS: Forty
patients were enrolled. Of the 37 evaluable patients, 31 received indisulam with
chemotherapy. Of these, 11 (35%) responded for a median duration of 5.3 months.
The estimated 1-year overall survival rate was 51% for responders compared with 8
% for nonresponders (P < .001). The most common grade >=3 nonhematologic
toxicities were electrolyte abnormalities (50%) and febrile neutropenia (28%).
CONCLUSIONS: The combination of indisulam with idarubicin and cytarabine yielded
a 35% response rate in heavily pretreated patients with AML. With emerging data
identifying the expression of DCAF15 (DDB1 and CUL4-associated factor 15) as a
potential biomarker for activity, the combination of indisulam with idarubicin
and cytarabine should be studied in a biomarker-driven trial or in patients who
have splicing factor mutations. Cancer 2018;124:2758-65. (c) 2018 American Cancer
Society. Cancer 2018;124:2758-2765. (c) 2018 American Cancer Society.
PMID- 29660837
TI - DICER1 hot-spot mutations in ovarian gynandroblastoma.
AB - AIMS: Gynandroblastoma is a rare ovarian sex cord-stromal tumour characterised by
the presence of both male (Sertoli and/or Leydig cells) and female (granulosa
cells) components. We investigated the mutational status of DICER1, FOXL2 and
AKT1 genes at hot-spot regions that are known to be the key driving events in the
development of Sertoli-Leydig cell tumour (SLCT), adult granulosa cell tumour
(aGCT) and juvenile granulosa cell tumour (jGCT), respectively, to gain insights
into the molecular pathogenesis of gynandroblastoma. METHODS AND RESULTS: Sixteen
cases of gynandroblastoma were studied. All contained SLCT or Sertoli cell tumour
components. aGCT and jGCT components were identified in seven and 10 cases,
respectively, with one presenting both components. Heterozygous hot-spot
mutations in the RNase IIIb domain of DICER1 were discovered in three cases,
including one case with heterologous mucinous elements, all of which were
composed of moderately or poorly differentiated SLCT and jGCT components, and
harboured the mutations in both histological components. None of the 16 cases
displayed mutations at the p.C134W (c.402C->G) of FOXL2 or within the pleckstrin
homology domain of AKT1. All cases showed FOXL2 immunostaining in both male and
female components. CONCLUSION: DICER1 hot-spot mutation is the key-driving event
in a subset of gynandroblastomas containing components of SLCT and jGCT.
Gynandroblastomas composed of SLCT and jGCT may represent morphological variants
of SLCT. The molecular basis of gynandroblastoma containing a component of aGCT
is different from pure aGCT.
PMID- 29660839
TI - Highlights from the 2017 North American Cystic Fibrosis Conference.
AB - The 31st annual North American Cystic Fibrosis Conference (NACFC) was held in
Indianapolis, IN on November 2-4, 2017. Abstracts of presentations from the
conference were published in a supplement to Pediatric Pulmonology [2017; Pediatr
Pulmonol Suppl. 52: S1-S776]. The current review summarizes several major topic
areas addressed at the conference: the pathophysiology and basic science of
cystic fibrosis (CF) lung disease, clinical trials, clinical management issues,
and quality improvement (QI). In this review, we describe emerging concepts in
several areas of CF research and care.
PMID- 29660838
TI - TDP-43 pathology in multiple system atrophy: colocalization of TDP-43 and alpha
synuclein in glial cytoplasmic inclusions.
AB - AIMS: This study aimed to assess clinicopathologic features of transactive
response DNA-binding protein of 43 kDa (TDP-43) pathology and its risk factors in
multiple system atrophy (MSA). METHODS: Paraffin-embedded sections of the
amygdala and basal forebrain from 186 autopsy-confirmed MSA cases were screened
with immunohistochemistry for phospho-TDP-43. In cases having TDP-43 pathology,
additional brain regions were assessed. Immunohistochemical and
immunofluorescence double-staining and immunogold electron microscopy (IEM) were
performed to evaluate colocalization of TDP-43 and alpha-synuclein. Genetic risk
factors for TDP-43 pathology were also analysed. RESULTS: Immunohistochemistry
showed various morphologies of TDP-43 pathology in 13 cases (7%), such as subpial
astrocytic inclusions, neuronal inclusions, dystrophic neurites, perivascular
inclusions and glial cytoplasmic inclusions (GCIs). Multivariable logistic
regression models revealed that only advanced age, but not concurrent Alzheimer's
disease, argyrophilic grain disease or hippocampal sclerosis, was an independent
risk factor for TDP-43 pathology in MSA (OR: 1.11, 95% CI: 1.04-1.19, P = 0.002).
TDP-43 pathology was restricted to the amygdala in eight cases and extended to
the hippocampus in two cases. The remaining three cases had widespread TDP-43
pathology. Immunohistochemical and immunofluorescence double-staining and IEM
revealed colocalization of alpha-synuclein and TDP-43 in GCIs with granule-coated
filaments. Pilot genetic studies failed to show associations between risk
variants of TMEM106B or GRN and TDP-43 pathology. CONCLUSIONS: TDP-43 pathology
is rare in MSA and occurs mainly in the medial temporal lobe. Advanced age is a
risk factor for TDP-43 pathology in MSA. Colocalization of TDP-43 and alpha
synuclein in GCIs suggests possible direct interaction between the two molecules.
PMID- 29660840
TI - The effectiveness of magnesium sulfate for status asthmaticus outside the
intensive care setting.
AB - AIM: Magnesium is an adjunctive therapy used in patients with status asthmaticus
who do not respond to conventional therapy. The optimal time from initiation of
therapy, to determination of response and administration of magnesium has not yet
been resolved. Our objective was to determine if magnesium administered in the
non-intensive care setting can decrease duration of continuous albuterol and
hospital length of stay. METHODS: We performed a retrospective cohort analysis of
children ages 2-18 years admitted to the pediatric unit on continuous albuterol
between January 2014 and December 2015 in a tertiary care children's hospital.
Cohorts were matched on respiratory assessment score (RAS) obtained at a similar
duration of albuterol therapy and evaluated for the total duration of continuous
albuterol, length of stay (LOS), and adverse events. RESULTS: Thirty-three
patients who received magnesium were matched to 33 patients with the same RAS at
a similar duration of continuous albuterol therapy who did not receive magnesium.
Those who received magnesium had longer duration on continuous albuterol (34 vs
18 h; P = 0.001; 95% confidence interval [CI] 4-20; effect size 0.41) and longer
LOS (72 vs 49 h; P = 0.037; 95% confidence interval [CI] 1-33; effect size 0.26)
than those who did not receive magnesium. CONCLUSION: Children requiring
continuous albuterol for status asthmaticus can be administered magnesium sulfate
outside the PICU with a low incidence of adverse events; however, among a RAS
matched cohort, those who received magnesium did not experience shorter time on
continuous albuterol, or hospital length of stay.
PMID- 29660841
TI - Design of Trifluoroalkenyl Iodonium Salts for a Hypervalency-Aided Alkenylation
Cyclization Strategy: Metal-Free Construction of Aziridine Rings.
AB - The synthesis of fluorinated compounds and their use as pharmaceutical
ingredients or synthetic building blocks have been in the focus of chemical and
medicinal research. However, the efficient synthesis of trifluoromethylated
nitrogen heterocycles is sometimes challenging. Herein, we disclose a simple
aziridination process that relies on the use of amines and novel alkenyl iodonium
reagents for the synthesis of strained, trifluoromethylated heterocycles. With
the utilization of a newly designed and bench-stable but highly reactive
hypervalent alkenyl iodonium species, these three-membered-ring heterocyclic
compounds can be efficiently constructed from simple amines under mild conditions
in the absence of transition-metal catalysts. The special reactivity of the new
trifluoropropenyl synthon towards nucleophilic centers could be exploited in more
general cyclization and alkenylation reactions in the future.
PMID- 29660842
TI - Kinetic models of hematopoietic differentiation.
AB - As cell and molecular biology is becoming increasingly quantitative, there is an
upsurge of interest in mechanistic modeling at different levels of resolution.
Such models mostly concern kinetics and include gene and protein interactions as
well as cell population dynamics. The final goal of these models is to provide
experimental predictions, which is now taking on. However, even without matured
predictions, kinetic models serve the purpose of compressing a plurality of
experimental results into something that can empower the data interpretation, and
importantly, suggesting new experiments by turning "knobs" in silico. Once
formulated, kinetic models can be executed in terms of molecular rate equations
for concentrations or by stochastic simulations when only a limited number of
copies are involved. Developmental processes, in particular those of stem and
progenitor cell commitments, are not only topical but also particularly suitable
for kinetic modeling due to the finite number of key genes involved in cellular
decisions. Stem and progenitor cell commitment processes have been subject to
intense experimental studies over the last decade with some emphasis on embryonic
and hematopoietic stem cells. Gene and protein interactions governing these
processes can be modeled by binary Boolean rules or by continuous-valued models
with interactions set by binding strengths. Conceptual insights along with tested
predictions have emerged from such kinetic models. Here we review kinetic
modeling efforts applied to stem cell developmental systems with focus on
hematopoiesis. We highlight the future challenges including multi-scale models
integrating cell dynamical and transcriptional models. This article is
categorized under: Models of Systems Properties and Processes > Mechanistic
Models Developmental Biology > Stem Cell Biology and Regeneration.
PMID- 29660843
TI - Mortality after a cerebrovascular event in age-related macular degeneration
patients treated with bevacizumab ocular injections.
AB - PURPOSE: To analyse the mortality associated with intravitreal injections of
bevacizumab for age-related macular degeneration (AMD) in patients previously
diagnosed with stroke or transient ischaemic attack (TIA). METHODS: We reviewed
bevacizumab-treated AMD patients with a diagnosis of stroke or TIA prior to their
first bevacizumab injection (n = 948). Those patients, naive to any anti-vascular
endothelial growth factor (anti-VEGF) at the time of stroke/TIA, were then
compared to age- and gender-matched patients who had a stroke/TIA at the same
time and had never been exposed to anti-VEGF. Survival analysis was performed
using adjusted Cox regression. The main outcome measure was survival. Adjusted
variables were age, smoking, alcohol abuse, hypertension, diabetes mellitus,
obesity, ischaemic heart disease, congestive heart failure and liver cancer.
RESULTS: Age and gender distribution of bevacizumab-treated patients and controls
were similar (mean age: 83.4 versus 83.7 years, p = 0.3; 51.7% males versus 52.5%
males, p = 0.7). The adjusted mortality in patients who received bevacizumab
within 3 months after stroke/TIA was significantly different than in patients non
exposed to bevacizumab (OR = 6.92, 95%, CI 1.88-25.43, p < 0.01). Within 6 months
after stroke/TIA, the difference in adjusted mortality showed a strong trend (OR
= 2.00, 95%, CI 0.96-4.16, p = 0.064). Within 12 months, it was insignificant (OR
= 1.30, 95%, CI 0.75-2.26, p = 0.348). CONCLUSION: We found increased mortality
within three months after a cerebrovascular event in patients treated with
bevacizumab for AMD compared to patients for whom there was no record of a
prescription to any anti-VEGF agent.
PMID- 29660844
TI - Moray micro forceps biopsy improves the diagnosis of specific pancreatic cysts.
AB - BACKGROUND: Making a specific diagnosis of pancreatic cysts preoperatively is
difficult. The new disposable Moray micro forceps biopsy (MFB) device allows
tissue sampling from the pancreatic cyst wall/septum and aims to improve
diagnosis. This study compares the diagnostic performance of the MFB with the
current conventional analysis of pancreatic cyst fluid (PCF). METHODS: A total of
48 patients sampled with MFB were identified. Cysts were classified as mucinous
on PCF based on extracellular mucin/mucinous epithelium, carcinoembryonic antigen
(CEA) levels >=192 ng/mL, or KRAS/GNAS mutation. A diagnosis of intraductal
papillary mucinous neoplasm was supported by GNAS mutation; a diagnosis of serous
cystadenoma was supported by Von Hippel-Lindau tumor suppressor (VHL) mutation. A
diagnosis of mucinous cystic neoplasm required the presence of subepithelial
ovarian-type stroma. A high-risk cyst was defined as a mucinous cyst with high
grade dysplasia or an adenocarcinoma. Comparisons in diagnostic performance
between PCF and MFB were made. RESULTS: The mean age of the patients was 69.6
years (range, 27-90 years); 25 of 48 patients (52.1%) were female. Cysts were in
the pancreatic head (13 patients), neck (2 patients), body (20 patients), and
tail (13 patients), averaging 3.1 cm (range, 1.2-6.0 cm). There was concordance
with mucinous versus nonmucinous classification (60.4% for PCF vs 58.3% for MFB;
P = .949). Three high-risk cysts were detected by PCF and 2 were detected by MFB
(P = .670). However, MFB diagnosed significantly more specific cysts compared
with PCF (50.0% for MFB vs 18.8% for PCF; P<.001). CONCLUSIONS: PCF analysis and
MFB have comparable performance in distinguishing between mucinous and
nonmucinous cysts and for detecting high-risk cysts. However, MFB was found to be
superior for diagnosing specific cyst subtypes, thus adding significant value to
preoperative patient management. Cancer Cytopathol 2018;126:414-20. (c) 2018
American Cancer Society.
PMID- 29660845
TI - Effects of Different Acyl Gellan Gums on the Rheological Properties and Colloidal
Stability of Blueberry Cloudy Juice.
AB - : In this study, gellan gums with different acyl contents were prepared, and
their effects on blueberry cloudy juices were compared. The rheological
properties, stability coefficients, sedimentations, color parameters and particle
size distributions of blueberry cloudy juices with 0.035% (w/w) of gellan gum
were measured. As the acyl content increased, the viscosity increased, and the
sedimentation and stability coefficient values decreased. Gellan gums with higher
acyl contents provided better beverage stabilizing capabilities through stricter
enforcement of the molecular associations. Overall, this study provides valuable
information for enhancing the gelation and stabilization of blueberry cloudy
juices, confirms the superiority of high acyl gellan gums for inhibiting the
color fading of anthocyanins, and further guides the development of novel product
concepts. PRACTICAL APPLICATION: Cloudy juices made from blueberries have many
benefits. However, the particles in cloudy juices will flocculate during storage,
resulting in an undesirable precipitate. In our work, gellan gums with different
acyl contents were prepared and applied to blueberry juice to prevent
aggregation. The results provide valuable information for enhancing the
stabilization of blueberry juices, confirm the superiority of high acyl gellan
for inhibiting color fading, and further guide the development of novel product
concepts.
PMID- 29660846
TI - Phospholipase D2 promotes disease progression of renal cell carcinoma through the
induction of angiogenin.
AB - A hallmark of clear cell renal cell carcinoma (ccRCC) is the presence of
intracellular lipid droplets (LD) and it is assumed that phosphatidic acid (PA)
produced by phospholipase D (PLD) plays some role in the LD formation. However,
little is known about the significance of PLD in ccRCC. In this study, we
examined the expression levels of PLD in ccRCC. The classical mammalian isoforms
of PLD are PLD1 and PLD2, and the levels of both mRNA were higher at the primary
tumor sites than in normal kidney tissues. Similarly, both PLD were significantly
abundant in tumor cells as determined by analysis using immunohistochemical
staining. Importantly, a higher level of PLD was significantly associated with a
higher tumor stage and grade. Because PLD2 knockdown effectively suppressed the
cell proliferation and invasion of ccRCC as compared with PLD1 in vitro, we
examined the effect of PLD2 in vivo. Notably, shRNA-mediated knockdown of PLD2
suppressed the growth and invasion of tumors in nude mouse xenograft models.
Moreover, the higher expression of PLD2 was significantly associated with poorer
prognosis in 67 patients. As for genes relating to the tumor invasion of PLD2, we
found that angiogenin (ANG) was positively regulated by PLD2. In fact, the
expression levels of ANG were elevated in tumor tissues as compared with normal
kidney and the inhibition of ANG activity with a neutralizing antibody
significantly suppressed tumor invasion. Overall, we revealed for the first time
that PLD2-produced PA promoted cell invasion through the expression of ANG in
ccRCC cells.
PMID- 29660847
TI - Glu571 of PheT plays a pivotal role in the thermal stability of Escherichia coli
PheRS enzyme.
AB - As of date the two temperature sensitive mutations isolated in pheST operon
include pheS5 (G293 ->A293 ) and pheT354. Recently, we reported that G673 of pheS
defines a hot spot for intragenic suppressors of pheS5. In this investigation, in
13 independent experiments, a collection of temperature sensitive mutants were
isolated by localized mutagenesis. Complementation using clones bearing pheS+ ,
pheT+ , and pheS+ T+ indicated that 34 mutants could harbor lesion(s) in pheS and
four could be in pheT and one mutant might be a double mutant. Surprisingly, all
the 34 pheS mutants harbored the very same (G293 ->A293 ) transition mutation as
present in the classical pheS5 mutant. Most unexpectedly, the four pheT mutants
isolated harbored the same G1711 ->A1711 transition, a mutation which is hitherto
unreported. Since all the four pheT mutants were defined by the same G1711
>A1711 base change, we believe that getting other mutations could be hard hitting
and therefore it is proposed that G1711 itself could be a "hot spot" for
emergence of Ts mutations in pheT and similarly G293 itself could be a "hot spot"
for Ts lesions in pheS. These results clearly imply a vital role for Glutamic
acid571 (Glu571 ) of PheT and reinforce criticality of Glycine98 (Gly98 ) of PheS
in the thermal stability of PheRS enzyme.
PMID- 29660850
TI - Regioselective C-H Xanthylation as a Platform for Polyolefin Functionalization.
AB - Polyolefins that contain polar functional groups are important materials for next
generation lightweight engineering thermoplastics. Post-polymerization
modification is an ideal method for the incorporation of polar groups into
branched polyolefins; however, it typically results in chain scission events,
which have deleterious effects on polymer properties. Herein, we report a metal
free method for radical-mediated C-H xanthylation that results in the
regioselective functionalization of branched polyolefins without coincident
polymer-chain scission. This method enables a tunable degree of polymer
functionalization and capitalizes on the versatility of the xanthate functional
group to unlock a wide variety of C-H transformations previously inaccessible on
branched polyolefins.
PMID- 29660849
TI - Comparison of human papillomavirus genotyping and cytology triage, COMPACT Study:
Design, methods and baseline results in 14 642 women.
AB - Although cytology-based screening programs have significantly reduced mortality
and morbidity from cervical cancer, the global consensus is that primary human
papillomavirus (HPV) testing for cervical screening increases detection of high
grade cervical intraepithelial neoplasia (CIN) and invasive cancer. However, the
optimal triage strategy for HPV-positive women to avoid over-referral to
colposcopy may be setting specific. As Japan requires data that have been
generated domestically to modify screening guidelines, we conducted a 3-year
prospective study, COMparison of HPV genotyping And Cytology Triage (COMPACT), to
evaluate the potential role of HPV16/18 partial genotyping and cytology for
primary HPV screening. In total, 14 642 women aged 20 to 69 years undergoing
routine screening at 3 centers in Hokkaido were enrolled. Conventional cytology
and HPV testing were carried out. Women with abnormal cytology or HPV16/18
positivity underwent colposcopy. Those with 12 other high-risk (hr) HPV types
underwent repeat cytology after 6 months. Primary study endpoints were detection
of high-grade cervical disease defined as CIN2/CIN3 or greater as determined by
consensus pathology. Prevalence of cytological abnormalities was 2.4%. hrHPV, HPV
16, and HPV 18 were detected in 4.6%, 0.9%, and 0.3% of women, respectively.
HPV16/18 were detected in all (8/8) invasive cervical cancers and in all (2/2)
adenocarcinomas in situ. Both cytological abnormalities and hrHPV positivity
declined with increasing age. This is the first Japanese study to investigate the
role of partial genotyping and cytology in an HPV-based screening program.
Results should help policy-makers develop guidelines for future cervical
screening programs and management of cervical abnormalities based on HPV
genotype.
PMID- 29660851
TI - Temporal trends in management and outcomes of testicular cancer: A population
based study.
AB - BACKGROUND: Treatment guidelines for early-stage testicular cancer have
increasingly recommended de-escalation of therapy with surveillance strategies.
This study was designed to describe temporal trends in routine clinical practice
and to determine whether de-escalation of therapy is associated with inferior
survival in the general population. METHODS: The Ontario Cancer Registry was
linked to electronic records of treatment to identify all patients diagnosed with
testicular cancer treated with orchiectomy in Ontario during 2000-2010. Treatment
after orchiectomy was classified as radiotherapy (RT), retroperitoneal lymph node
dissection (RPLND), chemotherapy, or none. Surveillance was defined as no
identified treatment within 90 days of orchiectomy. Overall survival (OS) and
cancer-specific survival (CSS) were measured from the date of orchiectomy.
RESULTS: The study population included 1564 and 1086 cases of seminomas and
nonseminoma germ cell tumors (NSGCTs), respectively. Among patients with
seminomas, there was a significant increase in the proportion of patients with no
treatment within 90 days of orchiectomy (from 56% to 84%; P < .001); the use of
RT decreased over time (from 38% to 8%; P < .001); and the use of chemotherapy
remained stable (from 6% to 9%; P = .289). Practice patterns 90 days after
orchiectomy remained stable over time among patients with NSGCTs: from 51% to 57%
for no treatment (P = .435), from 43% to 43% for chemotherapy (P = .336), and
from 9% to 3% for RPLND (P = .476). The OS rates for the entire cohort at 5 and
10 years were 97% and 96%, respectively; the CSS rates were 98% and 98%,
respectively. There was no significant change in OS or CSS for patients with
seminomas or NSGCTs during the study period. CONCLUSIONS: There has been
substantial de-escalation in the treatment of testicular cancer in routine
practice since 2000. Long-term survival in routine practice is excellent and has
not decreased with the uptake of surveillance strategies. Cancer 2018;124:2724
2732. (c) 2018 American Cancer Society.
PMID- 29660852
TI - Etiology and functional validation of gastrointestinal motility dysfunction in a
zebrafish model of CHARGE syndrome.
AB - CHARGE syndrome is linked to autosomal-dominant mutations in the CHD7 gene and
results in a number of physiological and structural abnormalities, including
heart defects, hearing and vision loss, and gastrointestinal (GI) problems. Of
these challenges, GI problems have a profound impact throughout an individual's
life, resulting in increased morbidity and mortality. A homolog of CHD7 has been
identified in the zebrafish, the loss of which recapitulates many of the features
of the human disease. Using a morpholino chd7 knockdown model complemented by a
chd7 null mutant zebrafish line, we examined GI structure, innervation, and
motility in larval zebrafish. Loss of chd7 resulted in physically smaller GI
tracts with normal epithelial and muscular histology, but decreased and
disorganized vagal projections, particularly in the foregut. chd7 morphant larvae
had significantly less ability to empty their GI tract of gavaged fluorescent
beads, and this condition was only minimally improved by the prokinetic agents,
domperidone and erythromycin, in keeping with mixed responses to these agents in
patients with CHARGE syndrome. The conserved genetics and transparency of the
zebrafish have provided new insights into the consequences of chd7 gene
dysfunction on the GI system and cranial nerve patterning. These findings
highlight the opportunity of the zebrafish to serve as a preclinical model for
studying compounds that may improve GI motility in individuals with CHARGE
syndrome.
PMID- 29660848
TI - International collaborative study to assess cardiovascular risk and evaluate long
term health in cats with preclinical hypertrophic cardiomyopathy and apparently
healthy cats: The REVEAL Study.
AB - BACKGROUND: Hypertrophic cardiomyopathy is the most prevalent heart disorder in
cats and principal cause of cardiovascular morbidity and mortality. Yet, the
impact of preclinical disease is unresolved. HYPOTHESIS/OBJECTIVES: Observational
study to characterize cardiovascular morbidity and survival in cats with
preclinical nonobstructive (HCM) and obstructive (HOCM) hypertrophic
cardiomyopathy and in apparently healthy cats (AH). ANIMALS: One thousand seven
hundred and thirty client-owned cats (430 preclinical HCM; 578 preclinical HOCM;
722 AH). METHODS: Retrospective multicenter, longitudinal, cohort study. Cats
from 21 countries were followed through medical record review and owner or
referring veterinarian interviews. Data were analyzed to compare long-term
outcomes, incidence, and risk for congestive heart failure (CHF), arterial
thromboembolism (ATE), and cardiovascular death. RESULTS: During the study
period, CHF, ATE, or both occurred in 30.5% and cardiovascular death in 27.9% of
1008 HCM/HOCM cats. Risk assessed at 1, 5, and 10 years after study entry was
7.0%/3.5%, 19.9%/9.7%, and 23.9%/11.3% for CHF/ATE, and 6.7%, 22.8%, and 28.3%
for cardiovascular death, respectively. There were no statistically significant
differences between HOCM compared with HCM for cardiovascular morbidity or
mortality, time from diagnosis to development of morbidity, or cardiovascular
survival. Cats that developed cardiovascular morbidity had short survival (mean
+/- standard deviation, 1.3 +/- 1.7 years). Overall, prolonged longevity was
recorded in a minority of preclinical HCM/HOCM cats with 10% reaching 9-15 years.
CONCLUSIONS AND CLINICAL IMPORTANCE: Preclinical HCM/HOCM is a global health
problem of cats that carries substantial risk for CHF, ATE, and cardiovascular
death. This finding underscores the need to identify therapies and monitoring
strategies that decrease morbidity and mortality.
PMID- 29660853
TI - Shelf Life Extension of Tomato Paste Through Organoleptically Acceptable
Concentration of Betel Leaf Essential Oil Under Accelerated Storage Environment.
AB - : This study was attempted with two objectives: (1) to find an acceptable
concentration of betel leaf essential oil (BLEO) based on sensory evaluation that
can be employed in tomato paste; (2) to evaluate the effect of the acceptable
concentration of BLEO in the paste during accelerated storage under 89 +/- 1.2%
RH at 39 +/- 1 degrees C. Linguistic data obtained from sensory evaluation of
tomato paste treated with 4 different concentrations of BLEO were analyzed using
fuzzy logic approach. The organoleptically acceptable concentration was
determined to be 0.25 mg/g of BLEO in tomato paste. The effect of the selected
concentration of BLEO on different physicochemical and microbial attributes of
tomato paste during accelerated storage was studied. Untreated tomato paste was
found to have 12% less total antioxidant capacity than treated paste at the end
of storage. Based on a* /b* value in CIELAB color space, the BLEO treated paste
efficiently extended the shelf life by 14 days with respect to untreated paste
samples under accelerated storage conditions. BLEO comes with a tag contributing
to green consumerism, and its application as food preservative is no less than a
value addition to the product. PRACTICAL APPLICATIONS: Essential oil is
considered to have promising potential as an alternative food preservative, and
its use is practically possible if they could overcome the sensory barrier, while
retaining the preservative potency. The importance of identifying the sensory
attributes for commercial success of essential oil treated food product was
considered in this study. It contributes to the potency of organoleptically
acceptable concentration of BLEO in shelf life extension of tomato paste under
accelerated storage conditions. At industrial level, the estimated shelf life of
treated tomato paste can be increased by incorporating more hurdles alongside
BLEO.
PMID- 29660854
TI - The Butanol Producing Microbe Clostridium beijerinckii NCIMB 14988 Manipulated
Using Forward and Reverse Genetic Tools.
AB - The solventogenic anaerobe Clostridium beijerinckii has potential for use in the
sustainable bioconversion of plant-derived carbohydrates into solvents, such as
butanol or acetone. However, relatively few strains have been extensively
characterised either at the genomic level or through exemplification of a
complete genetic toolkit. To remedy this situation, a new strain of C.
beijerinckii, NCIMB 14988, is selected from among a total of 55 new clostridial
isolates capable of growth on hexose and pentose sugars. Chosen on the basis of
its favorable properties, the complete genome sequence of NCIMB 14988 is
determined and a high-efficiency plasmid transformation protocol devised. The
developed DNA transfer procedure allowed demonstration in NCIMB 14988 of the
forward and reverse genetic techniques of transposon mutagenesis and gene
knockout, respectively. The latter is accomplished through the successful
deployment of both group II intron retargeting (ClosTron) and allelic exchange.
In addition to gene inactivation, the developed allelic exchange procedure is
used to create point mutations in the chromosome, allowing for the effect of
amino acid changes in enzymes involved in primary metabolism to be characterized.
ClosTron mediated disruption of the currently unannotated non-coding region
between genes LF65_05915 and LF65_05920 is found to result in a non-sporulating
phenotype.
PMID- 29660855
TI - The impact of oral food challenges for food allergy on quality of life: A
systematic review.
AB - BACKGROUND: Food allergy significantly impairs health-related quality of life
(HRQL). Currently, it is still unknown whether diagnostic interventions for food
allergy improve HRQL. We aim to assess the impact of diagnostic interventions for
food allergy on HRQL. METHODS: A systematic search was performed in MEDLINE,
Embase, Cochrane Library, and CINAHL focused on patients with a (suspected) food
allergy who underwent diagnostic interventions (ie, skin prick test, specific
IgE, or oral food challenges [OFC]) and in whom HRQL was assessed. The mean
difference between HRQL before and after the diagnostic intervention was
calculated. A minimal clinically important difference of 0.5 was considered
clinically relevant for the food allergy quality of life questionnaire. RESULTS:
Seven of 1465 original identified publications were included in which the impact
of an OFC on HRQL was investigated (total patients n = 1370). No other diagnostic
interventions were investigated. Food allergy-specific parent-reported HRQL
improved significantly after an OFC irrespective of the outcome in children with
a suspected food allergy in two publications. The change was considered
clinically relevant in one of two publications. In addition, parent-reported HRQL
improved after an OFC to assess the eliciting dose in children with a confirmed
food allergy. The parental burden was significantly reduced after an OFC to
assess resolution of food allergy. A meta-analysis could not be performed due to
the limited numbers of, and considerable heterogeneity between, eligible
publications. CONCLUSION: An OFC is associated with an improved food allergy
specific HRQL and a reduced parental burden of food allergy.
PMID- 29660856
TI - Palladium-Catalyzed Insertion of Isocyanides into the C-S Bonds of Heteroaryl
Sulfides.
AB - Insertion of tert-butyl isocyanide into the C(sp2 )-S bonds of heteroaryl
sulfides is catalyzed by a palladium diphosphine complex. Thioimidates generated
through this reaction could be readily hydrolyzed under acidic conditions to
yield the corresponding thioesters, which are of synthetic use. This insertion is
useful because starting heteroaryl sulfides were readily prepared by either
conventional ways or through sulfur-specific extended Pummerer reactions.
PMID- 29660857
TI - Impact of Different Perfusion Modalities on Coronary and Carotid Blood Flow
Velocities in an Adult ECLS Swine Model.
AB - The objective of this study was to compare the effects of nonpulsatile and ECG
synchronized pulsatile extracorporeal life support on coronary and carotid blood
flow velocities using transthoracic echocardiography and vascular ultrasound,
respectively. Nine adult swine were randomly separated into nonpulsatile (NP, n =
5) and pulsatile (P, N = 4) groups and placed on ECLS for 24 h using an i-cor
ECLS system. Noninvasive transthoracic images of the left and right coronary
artery and the left carotid artery were acquired at the pre-ECLS (baseline), 30
min, 3, 6, 9, 12, and 24 h on-ECLS stages. The mean diastolic velocity of the
left and right coronary arteries in the NP group significantly decreased after 24
h on ECLS compared to the baseline and 30 min ECLS stages (P < 0.05). There was
no statistical difference in the mean diastolic velocity of the coronary arteries
in the P group at 30 min, 3-, 6-, 9-, 12-, and 24-h ECLS compared to baseline.
The P group showed a smaller decrease in the mean diastolic velocity of coronary
arteries between the 30-min ECLS and 3-, 6-, 9-, 13-, 24-h ECLS stages compared
to the NP group. The diastolic velocity of the left carotid artery in the NP
group significantly decreased during 24-h ECLS compared to the P group (P <
0.05). An ECG-synchronized pulsatile ECLS system appeared to maintain coronary
and carotid artery diastolic velocities better than conventional nonpulsatile
ECLS. Further investigation of the perfusion modes during ECLS is warranted.
PMID- 29660858
TI - Peer counselling improves breastfeeding practices: A cluster randomized
controlled trial in urban Bangladesh.
AB - This study aimed to evaluate the impact of peer counselling on early initiation
of breastfeeding (EIBF) and exclusive breastfeeding (EBF) rates for mother-infant
pairs living in urban slums, Dhaka, Bangladesh. This randomized controlled trial
enrolled 350 mother-infant pairs from selected slums between September 2014 and
July 2016. The women assigned to intervention group received peer counselling
from locally recruited, trained community female volunteers starting in third
trimester of pregnancy until 6 months after delivery; control group received no
intervention. EIBF, any liquids given after birth, and EBF were compared between
groups. Follow-up was scheduled at enrolment, following childbirth, and every 2
months up to 6 months after delivery. Multiple logistic regressions were used to
assess the effect peer counselling and other associated factors on EIBF and EBF
practices. EIBF rate was higher in the intervention group than in the control
group (89.1% vs. 77.4%, p = .005). More mothers in intervention group were
exclusively breastfeeding at 5 months than mothers in the control group (73% vs.
27%, p < .005). Control mothers were twice as likely to not practice EIBF
compared with intervention mothers (adjusted odds risk [aOR]: 2.53, CI [1.29,
4.97], p = .007). Overall, caesarean section was associated with an 8.9-fold
higher risk of not achieving EIBF (aOR: 8.90, CI [4.05, 19.55], p < .001).
Intervention mothers were 5.10-fold more likely to practice EBF compared with
control mothers (aOR: 5.10, CI [2.89, 9.01], p < .001) at 5 months. This study
demonstrates peer counselling can positively influence both EIBF and EBF among
mothers living in urban area.
PMID- 29660859
TI - Permafrost thaw and climate warming may decrease the CO2, carbon, and metal
concentration in peat soil waters of the Western Siberia Lowland.
AB - Soil pore waters are a vital component of the ecosystem as they are efficient
tracers of mineral weathering, plant litter leaching, and nutrient uptake by
vegetation. In the permafrost environment, maximal hydraulic connectivity and
element transport from soils to rivers and lakes occurs via supra-permafrost flow
(i.e. water, gases, suspended matter, and solutes migration over the permafrost
table). To assess possible consequences of permafrost thaw and climate warming on
carbon and Green House gases (GHG) dynamics we used a "substituting space for
time" approach in the largest frozen peatland of the world. We sampled stagnant
supra-permafrost (active layer) waters in peat columns of western Siberia Lowland
(WSL) across substantial gradients of climate (-4.0 to -9.1 degrees C mean annual
temperature, 360 to 600mm annual precipitation), active layer thickness (ALT)
(>300 to 40cm), and permafrost coverage (sporadic, discontinuous and continuous).
We analyzed CO2, CH4, dissolved carbon, and major and trace elements (TE) in 93
soil pit samples corresponding to several typical micro landscapes constituting
the WSL territory (peat mounds, hollows, and permafrost subsidences and
depressions). We expected a decrease in intensity of DOC and TE mobilization from
soil and vegetation litter to the supra-permafrost water with increasing
permafrost coverage, decreasing annual temperature and ALT along a latitudinal
transect from 62.3 degrees N to 67.4 degrees N. However, a number of solutes
(DOC, CO2, alkaline earth metals, Si, trivalent and tetravalent hydrolysates, and
micronutrients (Mn, Co, Ni, Cu, V, Mo) exhibited a northward increasing trend
with highest concentrations within the continuous permafrost zone. Within the
"substituting space for time" climate change scenario and northward shift of the
permafrost boundary, our results suggest that CO2, DOC, and many major and trace
elements will decrease their concentration in soil supra-permafrost waters at the
boundary between thaw and frozen layers. As a result, export of DOC and elements
from peat soil to lakes and rivers of the WSL (and further to the Arctic Ocean)
may decrease.
PMID- 29660860
TI - Managing India's small landholder farms for food security and achieving the "4
per Thousand" target.
AB - The "4 per Thousand" initiative was launched at the 21st Conference of Parties
(COP21) in December 2015 to address global climate change through the
aspirational goal of increasing soil organic carbon (SOC) stock of the world to
40-cm depth by an average annual rate of 4%. Small landholders (SLHs), often
faced with difficult bio-physical and socio-economic conditions, are the
principal managers of soil in India. There are 117 million SLHs representing 85%
of the total operational holdings, cultivating over 72 million ha of land, and
meeting 50-60% of India's food requirement. The agricultural soils of SLHs are
strongly depleted of SOC and nutrient reserves. Therefore, the challenge of
feeding 1.7 billion people in India by 2050 will depend on increasing the current
productivity levels by restoring the depleted soils of SLHs. According to our
estimates, soils of SLHs currently contain 1370-1770 Tg C and, which can be
increased to 2460-2650 Tg C by 2050 through large-scale adoption of best
management practices (BMPs) including balanced application of nutrients, compost,
agroforestry, and conservation agriculture. A wide spread adoption of these
practices can enhance C sequestration by 70-130 Tg CO2e per annum and produce 410
440 million Mg of food grains accounting for 80-85% of the total requirement by
2050. In this paper we propose strategies for achieving the dual objectives of
advancing food security, the "4 per Thousand" target and mitigating climate
change in India.
PMID- 29660861
TI - The accumulation, transformation, and effects of quinestrol in duckweed
(Spirodela polyrhiza L.).
AB - Potential risk of endocrine disrupting compounds on non-target organisms has
received extensive attentions in recent years. The present work aimed to
investigate the behavior and effect of a synthetic steroid estrogen quinestrol in
duckweed Spirodela polyrhiza L. Experimental results showed that quinestrol could
be uptaken, accumulated, and biotransformed into 17 alpha-ethynylestradiol in S.
polyrhiza L. The accumulation of quinestrol had a positive relation to the
exposure concentration. The bioaccumulation rate was higher when the duckweed was
exposed to quinestrol solutions at low concentrations than at high concentration.
While the transformation of quinestrol showed no concentration-dependent manner.
Quinestrol reduced the biomass and pigment content and increased superoxide
dismutase and catalase activities and malondialdehyde contents in the duckweed.
The results demonstrated that quinestrol could be accumulated and biotransformed
in aquatic plant S. polyrhiza L. This work would provide supplemental data on the
behavior of this steroid estrogen compound in aquatic system.
PMID- 29660862
TI - Modeling fine particle dynamics in gravel-bedded streams: Storage and re
suspension of fine particles.
AB - Fine particles or sediments have various effects on water quality and aquatic
ecosystems. Thus, understanding the dynamics of these fine particles between
water body and stream bed is an important issue in sediment research. Previous
studies and analysis of empirical data suggest that fine particles are stored in
the sediment bed in the low flow regime, where flow rate is smaller than the
critical flow rate that mobilizes the sediment bed. These fine particles are re
suspended during flood events when the flow rate becomes larger than the critical
flow rate that mobilizes bed material. The transition from pattern recognition to
process analysis required incorporation of the dominant processes controlling
fine particle dynamics within gravel-bedded streams into a model. The process
analysis was performed using continuous flow and turbidity data at two locations
on the Russian River in California to test process descriptions and then
calibrate a quantitative model to represent those processes. The resulting
process model coupled fine particle retention within the sediment bed by
filtration and sedimentation with the release of accumulated fine particles in
response to flood events. Model parameters, such as the critical flow rate
required for initiating sediment bed fluidization, the maximum fine particle
storage capacity within the sediment bed, and background particle concentration
for the watershed, were estimated from the monitoring data. Model calibration
optimized the filtration and the sediment bed fluidization parameters over two or
three years of data. Overall, the difference between modeled and observed fine
particle mass released from the sediment bed was within 20% of the measured mass.
PMID- 29660863
TI - Petroleum produced water disposal: Mobility and transport of barium in sandstone
and dolomite rocks.
AB - To assess the risk of underground sources of drinking water contamination by
barium (Ba) present in petroleum produced water disposed into deep saline
aquifers, we examined the effect of salinity (NaCl), competition of cations (Ca,
Mg), temperature (22 and 60 degrees C), and organic fracturing additives (guar
gum) on the sorption and transport of Ba in dolomites and sandstones. We found
that at typical concentration levels of NaCl, Ca, and Mg in petroleum produced
water, Ba sorption in both dolomites and sandstones is inhibited by the formation
of Ba(Cl)+ complexes in solution and/or the competition of cations for binding
sites of minerals. The inhibition of Ba sorption by both mechanisms is greater in
dolomites than in sandstones. This is reflected by a larger decrease in the
breakthrough times of Ba through dolomites than through sandstones. We found that
the presence of guar gum has little influence on the sorption and thus the
transport of Ba in both dolomites and sandstones. Contrary to most heavy metals,
Ba sorption in both dolomites and sandstones decreases with increasing
temperature, however the reducing effect of temperature on Ba sorption is
relevant only at low salinity conditions. Higher inhibition of Ba sorption in
dolomites than in sandstones is due to the greater reactivity of dolomite over
sandstone. The results of this study which includes the formulation of a reactive
transport model and estimation of partition coefficients of Ba in dolomites and
sandstones have significant implications in understanding and predicting the
mobility and transport of Ba in deep dolomite and sandstone saline aquifers.
PMID- 29660864
TI - Eyes in the sea: Unlocking the mysteries of the ocean using industrial, remotely
operated vehicles (ROVs).
AB - For thousands of years humankind has sought to explore our oceans. Evidence of
this early intrigue dates back to 130,000BCE, but the advent of remotely operated
vehicles (ROVs) in the 1950s introduced technology that has had significant
impact on ocean exploration. Today, ROVs play a critical role in both military
(e.g. retrieving torpedoes and mines) and salvage operations (e.g. locating
historic shipwrecks such as the RMS Titanic), and are crucial for oil and gas
(O&G) exploration and operations. Industrial ROVs collect millions of
observations of our oceans each year, fueling scientific discoveries. Herein, we
assembled a group of international ROV experts from both academia and industry to
reflect on these discoveries and, more importantly, to identify key questions
relating to our oceans that can be supported using industry ROVs. From a long
list, we narrowed down to the 10 most important questions in ocean science that
we feel can be supported (whole or in part) by increasing access to industry
ROVs, and collaborations with the companies that use them. The questions covered
opportunity (e.g. what is the resource value of the oceans?) to the impacts of
global change (e.g. which marine ecosystems are most sensitive to anthropogenic
impact?). Looking ahead, we provide recommendations for how data collected by
ROVs can be maximised by higher levels of collaboration between academia and
industry, resulting in win-win outcomes. What is clear from this work is that the
potential of industrial ROV technology in unravelling the mysteries of our oceans
is only just beginning to be realised. This is particularly important as the
oceans are subject to increasing impacts from global change and industrial
exploitation. The coming decades will represent an important time for scientists
to partner with industry that use ROVs in order to make the most of these 'eyes
in the sea'.
PMID- 29660865
TI - Effect of genotype and crop year on the nutritional value of walnut virgin oil
and defatted flour.
AB - The present study analyses the health-promoting compounds of walnut oil and
walnut defatted flour obtained using hydraulic pressing. To identify the cultivar
effect, nine walnut varieties were harvested in the same plot for two years to
control environmental and agricultural management effects on kernel chemical
traits. Beyond the variability reported in the products obtained from the
different cultivars analysed, the crop year showed a crucial effect in the
nutritional value of walnut products. Specifically, the variability caused for
the crop year in the concentration of oleic and linoleic acids, phytosterols,
polyphenols, tocopherols, proteins and essential minerals (K, Mg, P, S, Cu, Fe,
Mn, Mo, Ni) was greater that the reported for the genotype. These findings
suggest that the nutritional value of walnut oil and walnut flour depends on the
crop season largely than expected, even when average annual weather conditions
are similar. As a result, focus must change in the study of cultivar effect on
walnut products to a wider analysis of specific variables related to crop year
conditions.
PMID- 29660866
TI - Remediation of oil-contaminated harbor sediments by chemical oxidation.
AB - Oil hydrocarbons are widespread pollutants in sub-surface sediments with serious
threats to terrestrial and aquatic environment. However, very limited data is
available about remediation of historically contaminated sediments. This study
reports the use of magnetite-catalyzed chemical oxidation (H2O2 and Na2S2O8) to
degrade oil hydrocarbons in aged contaminated sediments. For this purpose, oil
contaminated sediments were sampled from three different locations in France
including two harbors and one petroleum industrial channel. These sediments were
characterized by different hydrocarbon index (HI) values (3.7-9.0gkg-1), total
organic carbon contents (1.9%-8.4%) and textures (sand, slit loam and silt).
Chemical oxidation was performed in batch system for one week at circumneutral pH
by: H2O2 alone, H2O2/Fe(II), H2O2/magnetite, Na2S2O8 alone, Na2S2O8/Fe(II), and
Na2S2O8/magnetite. Results obtained by GC-FID indicated substantial hydrocarbon
degradation (40-70%) by H2O2/magnetite and Na2S2O8/magnetite. However, oxidants
alone or with soluble Fe(II) caused small degradation (<5%). In the presence of
H2O2/magnetite, degradation of extractable organic matter and that of HI were
highly correlated. However, no such correlation was observed for
Na2S2O8/magnetite which resulted in higher removal of HI indicating its selective
oxidation behavior. Treatment efficiency was negatively influenced by organic
carbon and carbonate contents. For being the first study to report chemical
oxidation of oil hydrocarbons in real contaminated sediments, it may have
practical implications to design a remediation strategy for target contaminants.
PMID- 29660867
TI - Grassland futures in Great Britain - Productivity assessment and scenarios for
land use change opportunities.
AB - To optimise trade-offs provided by future changes in grassland use intensity,
spatially and temporally explicit estimates of respective grassland
productivities are required at the systems level. Here, we benchmark the
potential national availability of grassland biomass, identify optimal strategies
for its management, and investigate the relative importance of intensification
over reversion (prioritising productivity versus environmental ecosystem
services). Process-conservative meta-models for different grasslands were used to
calculate the baseline dry matter yields (DMY; 1961-1990) at 1km2 resolution for
the whole UK. The effects of climate change, rising atmospheric [CO2] and
technological progress on baseline DMYs were used to estimate future grassland
productivities (up to 2050) for low and medium CO2 emission scenarios of UKCP09.
UK benchmark productivities of 12.5, 8.7 and 2.8t/ha on temporary, permanent and
rough-grazing grassland, respectively, accounted for productivity gains by 2010.
By 2050, productivities under medium emission scenario are predicted to increase
to 15.5 and 9.8t/ha on temporary and permanent grassland, respectively, but not
on rough grassland. Based on surveyed grassland distributions for Great Britain
in 2010 the annual availability of grassland biomass is likely to rise from 64 to
72milliontonnes by 2050. Assuming optimal N application could close existing
productivity gaps of ca. 40% a range of management options could deliver
additional 21*106tonnes of biomass available for bioenergy. Scenarios of changes
in grassland use intensity demonstrated considerable scope for maintaining or
further increasing grassland production and sparing some grassland for the
provision of environmental ecosystem services.
PMID- 29660868
TI - A new structure of permeable pavement for mitigating urban heat island.
AB - The urban heat island (UHI) effect has been a great threat to human habitation,
and how to mitigate this problem has been a global concern over decades. This
paper addresses the cooling effect of a novel permeable pavement called
evaporation-enhancing permeable pavement, which has capillary columns in
aggregate and a liner at the bottom. To explore the efficiency of mitigating the
UHI, bench-scale permeable pavement units with capillary columns were developed
and compared with conventional permeable pavement. Criteria of capillary
capacities of the column, evaporation rates, and surface temperature of the
pavements were monitored under simulated rainfall and Shanghai local weather
conditions. Results show the capillary column was important in increasing
evaporation by lifting water from the bottom to the surface, and the evaporation
enhancing permeable pavement was cooler than a conventional permeable pavement by
as much as 9.4 degrees C during the experimental period. Moreover, the cooling
effect of the former pavement could persist more than seven days under the
condition of no further rainfall. Statistical analysis result reveals that
evaporation-enhancing permeable pavement can mitigate the UHI effect
significantly more than a conventional permeable pavement.
PMID- 29660869
TI - Dysregulation of major functional genes in frontal cortex by maternal exposure to
carbon black nanoparticle is not ameliorated by ascorbic acid pretreatment.
AB - Recent cohort studies have revealed that perinatal exposure to particulate air
pollution, including carbon-based nanoparticles, increases the risk of brain
disorders. Although developmental neurotoxicity is currently a major issue in the
toxicology of nanoparticles, critical information for understanding the
mechanisms underlying the developmental neurotoxicity of airway exposure to
carbon black nanoparticle (CB-NP) is still lacking. In order to investigate these
mechanisms, we comprehensively analyzed fluctuations in the gene expression
profile of the frontal cortex of offspring mice exposed maternally to CB-NP,
using microarray analysis combined with Gene Ontology information. We also
analyzed differences in the enriched function of genes dysregulated by maternal
CB-NP exposure with and without ascorbic acid pretreatment to refine specific
alterations in gene expression induced by CB-NP. Total of 652 and 775 genes were
dysregulated by CB-NP in the frontal cortex of 6- and 12-week-old offspring mice,
respectively. Among the genes dysregulated by CB-NP, those related to
extracellular matrix structural constituent, cellular response to interferon
beta, muscle organ development, and cysteine-type endopeptidase inhibitor
activity were ameliorated by ascorbic acid pretreatment. A large proportion of
the dysregulated genes, categorized in hemostasis, growth factor, chemotaxis,
cell proliferation, blood vessel, and dopaminergic neurotransmission, were,
however, not ameliorated by ascorbic acid pretreatment. The lack of effects of
ascorbic acid on the dysregulation of genes following maternal CB-NP exposure
suggests that the contribution of oxidative stress to the effects of CB-NP on
these biological functions, i.e., cell migration and proliferation, blood vessel
maintenance, and dopaminergic neuron system, may be limited. At least, ascorbic
acid pretreatment is hardly likely to be able to protect the brain of offspring
from developmental neurotoxicity of CB-NP. The present study provides insight
into the mechanisms underlying developmental neurotoxicity following maternal
nanoparticle exposure.
PMID- 29660871
TI - Quantitative models for predicting adsorption of oxytetracycline, ciprofloxacin
and sulfamerazine to swine manures with contrasting properties.
AB - Understanding antibiotic adsorption in livestock manures is crucial to assess the
fate and risk of antibiotics in the environment. In this study, three
quantitative models developed with swine manure-water distribution coefficients
(LgKd) for oxytetracycline (OTC), ciprofloxacin (CIP) and sulfamerazine (SM1) in
swine manures. Physicochemical parameters (n=12) of the swine manure were used as
independent variables using partial least-squares (PLSs) analysis. The cumulative
cross-validated regression coefficients (Q2cum) values, standard deviations (SDs)
and external validation coefficient (Q2ext) ranged from 0.761 to 0.868, 0.027 to
0.064, and 0.743 to 0.827 for the three models; as such, internal and external
predictability of the models were strong. The pH, soluble organic carbon (SOC)
and nitrogen (SON), and Ca were important explanatory variables for the OTC
Model, pH, SOC, and SON for the CIP-model, and pH, total organic nitrogen (TON),
and SOC for the SM1-model. The high VIPs (variable importance in the projections)
of pH (1.178-1.396), SOC (0.968-1.034), and SON (0.822 and 0.865) established
these physicochemical parameters as likely being dominant (associatively) in
affecting transport of antibiotics in swine manures.
PMID- 29660870
TI - Differential behavioural responses to venlafaxine exposure route, warming and
acidification in juvenile fish (Argyrosomus regius).
AB - Antidepressants, such as venlafaxine (VFX), which are considered emerging
environmental pollutants, are increasingly more present in the marine
environment, and recent evidence suggest that they might have adverse effects on
fish behaviour. Furthermore, altered environmental conditions associated to
climate change (e.g. warming and acidification) can also have a determinant role
on fish behaviour, fitness and survival. Yet, the underlying interactions between
these environmental stressors (pharmaceuticals exposure and climate change) are
still far from being fully understood. The aim of this study was to assess
behavioural responses (in juvenile meagre (Argyrosomus regius) exposed to VFX via
water ([VFX] ~20MUgL-1) and via dietary sources ([VFX] ~160MUgkg-1 dry weight),
as well as to increased temperature (DeltaT degrees C=+5 degrees C) and high CO2
levels (DeltapCO2 ~1000MUatm; equivalent to DeltapH=-0.4units). Overall, VFX
bioaccumulation in fish plasma was enhanced under the combination of warming and
acidification. VFX triggered fish exploration, whereas fish activity and shoal
cohesion were reduced. Acidification alone decreased fish exploration and shoal
cohesion, and reversed fish preference to turn leftwards compared to control
conditions. Such alterations were further enhanced by VFX exposure. The
combination of warming and acidification also reduced shoal cohesion and loss of
lateralization, regardless of VFX exposure. The distinct behaviour observed when
VFX contamination, acidification and warming acted alone or in combination
highlighted the need to consider the likely interactive effects of seawater
warming and acidification in future research regarding the toxicological aspects
of chemical contaminants.
PMID- 29660872
TI - Understanding the relationships between grazing intensity and the distribution of
nitrifying communities in grassland soils.
AB - Nitrifying microbes are of critical importance in regulating efficient nitrogen
(N) cycling, which plays a crucial role in plant productivity and maintaining
soil sustainability. Long-term different intensities of grazing can strongly
influence the microbial communities, while our understanding of the complex
nitrifying community in the grazed grassland soil environment is still limited.
To investigate whether and how long-term grazing with different intensities
influence soil nitrifying communities, high-throughput sequencing and
quantitative PCR analyses were performed on soil samples from permanent grassland
soils under four grazing intensities: 0 (G0), 1.5 (G1), 6 (G2) and 9 (G3) sheepha
1. Results showed that the G3 treatment significantly reduced the soil nutrient
content and increased the soil bulk density, changes that are not sustainable in
the long run. The G1 treatment, on the other hand, significantly increased the
soil nutrient content and would improve soil fertility. Some functional microbes
were specifically enriched after long term grazing, like Nitrospirae (phylum) to
Nitrospira (class) in the G2 samples and Chromatiales (order) to Nitrosococcus
(genus) in the G3 soils. The numerically dominant Nitrosococcus watsonii lineage
of ammonia oxidizing bacteria (AOB) was observed in this grassland soil. The
redundancy analysis (RDA) together with the structural equation modeling (SEM)
analysis showed that grazing intensity was important in mediating the
distribution of soil microorganisms and affected nitrifying communities by
impacting soil physicochemical characteristics (e.g., bulk density, NH4+-N).
These results showed the shifts of nitrifying communities across different
grazing intensities, and could aid in the determination of an optimal grazing
intensity for these grazed grassland soils.
PMID- 29660873
TI - Determining the speciation of Zn in soils around the sediment ponds of chemical
plants by XRD and XAFS spectroscopy and sequential extraction.
AB - For a correct assessment of risk of polluted soil, it is crucial to establish the
speciation and mobility of the contaminants. The aim of this study was to
investigate the speciation and transformation of Zn in strongly technogenically
transformed contaminated Spolic Technosols for a long time in territory of sludge
collectors by combining analytical techniques and synchrotron techniques.
Sequential fractionation of Zn compounds in studied soils revealed increasing
metal mobility. Phyllosilicates and Fe and Mn hydroxides were the main
stabilizers of Zn mobility. A high degree of transformation was identified for
the composition of the mineral phase in Spolic Technosols by X-ray powder
diffraction. Technogenic phases (Zn-containing authigenic minerals) were revealed
in Spolic Technosols samples through the analysis of their Zn K-edge EXAFS and
XANES spectra. In one of the samples Zn local environment was formed by
predominantly oxygen atoms, and in the other one mixed ZnS and ZnO bonding was
found. Zn speciation in the studied technogenically transformed soils was due to
the composition of pollutants contaminating the floodplain landscapes for a long
time, and, second, this is the combination of physicochemical properties
controlling the buffer properties of investigated soils. X-ray spectroscopic and
X-ray powder diffraction analyses combined with sequential extraction assays is
an effective tool to check the affinity of the soil components for heavy metal
cations.
PMID- 29660874
TI - Biogas recovery from two-phase anaerobic digestion of food waste and paper waste:
Optimization of paper waste addition.
AB - In order to optimize the biogas recovery from the co-digestion of food waste (FW)
and paper waste (PW), the effect of PW content on two-phase anaerobic digestion
(TPAD) was investigated. The mixtures of FW and PW, with the ratios of 10:0, 8:2,
6:4 and 5:5 (total solids), were fed into TPAD to recover biomethane. After the
long-term expriment, it is elucidated that the methanogenesis in TPAD was stable
for PW <= 40%. When PW = 50%, NH4HCO3 was added to the methanogenic phase to
provide nitrogen. As the indicators of the stability of the anaerobic process,
the ammonia and alkalinity in the methanogenic phase were simulated for their
decreasing trend. The simulation results quantified the nitrogen deficiency in
the methanogenic phase for PW = 50%. Also, the comparison of alkalinity and
ammonia revealed that ammonia was the major contributor to the alkalinity.
Furthermore, via stoichiometric calculations, high C/N ratios were found to
increase the microbial yield and exacerbated the nitrogen deficiency. In the
energy estimation, adding PW showed significant increase only when PW >= 40%. It
was concluded that 40% was the optimal PW content for bioenergy augmentation from
co-digestion of FW and PW using TPAD.
PMID- 29660875
TI - Metagenomic analysis of antibiotic resistance genes (ARGs) during refuse
decomposition.
AB - Landfill is important reservoirs of residual antibiotics and antibiotic
resistance genes (ARGs), but the mechanism of landfill application influence on
antibiotic resistance remains unclear. Although refuse decomposition plays a
crucial role in landfill stabilization, its impact on the antibiotic resistance
has not been well characterized. To better understand the impact, we studied the
dynamics of ARGs and the bacterial community composition during refuse
decomposition in a bench-scale bioreactor after long term operation (265d) based
on metagenomics analysis. The total abundances of ARGs increased from 431.0ppm in
the initial aerobic phase (AP) to 643.9ppm in the later methanogenic phase (MP)
during refuse decomposition, suggesting that application of landfill for
municipal solid waste (MSW) treatment may elevate the level of ARGs. A shift from
drug-specific (bacitracin, tetracycline and sulfonamide) resistance to multidrug
resistance was observed during the refuse decomposition and was driven by a shift
of potential bacteria hosts. The elevated abundance of Pseudomonas mainly
contributed to the increasing abundance of multidrug ARGs (mexF and mexW).
Accordingly, the percentage of ARGs encoding an efflux pump increased during
refuse decomposition, suggesting that potential bacteria hosts developed this
mechanism to adapt to the carbon and energy shortage when biodegradable
substances were depleted. Overall, our findings indicate that the use of landfill
for MSW treatment increased antibiotic resistance, and demonstrate the need for a
comprehensive investigation of antibiotic resistance in landfill.
PMID- 29660876
TI - Copper oxide nanoparticles and bulk copper oxide, combined with indole-3-acetic
acid, alter aluminum, boron, and iron in Pisum sativum seeds.
AB - The interaction of CuO nanoparticles (nCuO), a potential nanopesticide, with the
growth hormone indole-3-acetic acid (IAA) is not well understood. This study
aimed to evaluate the nutritional components in seeds of green pea (Pisum
sativum) cultivated in soil amended with nCuO at 50 or 100mgkg-1, with/without
IAA at 10 or 100MUM. Similar treatments including bulk CuO (bCuO) and CuCl2 were
set as controls. Bulk CuO at 50mgkg-1 reduced seed yield (52%), compared with
control. Bulk CuO at 50mgkg-1 and nCuO at 100mgkg-1, plus IAA at 100MUM,
increased iron in seeds (41 and 42%, respectively), while nCuO at 50mgkg-1, plus
IAA at 100MUM reduced boron (80%, respect to control and 63%, respect to IAA at
100MUM). IAA, at 10MUM increased seed protein (33%), compared with control
(p<=0.05). At both concentrations IAA increased sugar in seeds (20%). Overall,
nCuO, plus IAA at 10MUM, does not affect the production or nutritional quality of
green pea seeds.
PMID- 29660877
TI - Probabilistic modelling and uncertainty analysis of flux and water balance
changes in a regional aquifer system due to coal seam gas development.
AB - Large scale development of coal seam gas (CSG) is occurring in many sedimentary
basins around the world including Australia, where commercial production of CSG
has started in the Surat and Bowen basins. CSG development often involves
extraction of large volumes of water that results in depressurising aquifers that
overlie and/or underlie the coal seams thus perturbing their flow regimes. This
can potentially impact regional aquifer systems that are used for many purposes
such as irrigation, and stock and domestic water. In this study, we adopt a
probabilistic approach to quantify the depressurisation of the Gunnedah coal
seams and how this impacts fluxes to, and from the overlying Great Artesian Basin
(GAB) Pilliga Sandstone aquifer. The proposed method is suitable when effects of
a new resource development activity on the regional groundwater balance needs to
be assessed and account for large scale uncertainties in the groundwater flow
system and proposed activity. The results indicated that the extraction of water
and gas from the coal seam could potentially induce additional fluxes from the
Pilliga Sandstone to the deeper formations due to lowering pressure heads in the
coal seams. The median value of the rise in the maximum flux from the Pilliga
Sandstone to the deeper formations is estimated to be 85ML/year, which is
considered insignificant as it forms only about 0.29% of the Long Term Annual
Average Extraction Limit of 30GL/year from the groundwater management area. The
probabilistic simulation of the water balance components indicates only small
changes being induced by CSG development that influence interactions of the
Pilliga Sandstone with the overlying and underlying formations and with the
surface water courses. The current analyses that quantified the potential maximum
impacts of resource developments and how they influences the regional water
balance, would greatly underpin future management decisions.
PMID- 29660878
TI - Investigation of the behaviour of zero-valent iron nanoparticles and their
interactions with Cd2+ in wastewater by single particle ICP-MS.
AB - Zero-valent iron nanoparticles (nZVI) exhibit great potential for the removal of
metal contaminants from wastewater. After their use, there is a risk that nZVI
will remain dispersed in remediated water and represent potential nano-threats to
the environment. Therefore, the behaviour of nZVI after remediation must be
explored. To accomplish this, we optimised a novel method using single particle
inductively coupled plasma mass spectrometry (SP-ICP-MS) for the sizing and
quantification of nZVI in wastewater matrices. H2 reaction gas was used in MS/MS
mode for the sensitive and interference-free determination of low concentrations
of nZVI with a low size limit of detection (36nm). This method was applied to
study the influence of different iron (Fe) loads (0.1, 0.25, 0.5 and 1.0gL-1) and
water matrices (Milli-Q water, synthetic and effluent wastewater) on the
behaviour of nZVI, their interactions with Cd2+ and the efficiency of Cd2+
removal. The aggregation and sedimentation of nZVI increased with settling time.
Sedimentation was slower in effluent wastewater than in Milli-Q water or
synthetic wastewater. Consequently, Cd2+ was more efficiently (86%) removed from
effluent wastewater than from synthetic wastewater (73%), while its removal from
Milli-Q water was inefficient (19%). The trace amounts of Cd2+ that remained in
the remediated water were either dissolved or sorbed to residual nZVI. The
results of the nanoremediation of effluent wastewater with varying Fe loads
showed that sedimentation was faster at higher initial concentrations of nZVI.
After seven days of settling, low concentrations of Fe remained in the effluent
wastewater at Fe loads of 0.5gL-1 or higher, which could indicate that the use of
nZVI in nanoremediation under the described conditions may not represent an
environmental nano-threat. However, further studies are needed to assess the
ecotoxicological impact of Fe-related NPs used for the nanoremediation of
wastewaters.
PMID- 29660879
TI - Chloromethane formation and degradation in the fern phyllosphere.
AB - Chloromethane (CH3Cl) is the most abundant halogenated trace gas in the
atmosphere. It plays an important role in natural stratospheric ozone
destruction. Current estimates of the global CH3Cl budget are approximate. The
strength of the CH3Cl global sink by microbial degradation in soils and plants is
under discussion. Some plants, particularly ferns, have been identified as
substantial emitters of CH3Cl. Their ability to degrade CH3Cl remains uncertain.
In this study, we investigated the potential of leaves from 3 abundant ferns
(Osmunda regalis, Cyathea cooperi, Dryopteris filix-mas) to produce and degrade
CH3Cl by measuring their production and consumption rates and their stable carbon
and hydrogen isotope signatures. Investigated ferns are able to degrade CH3Cl at
rates from 2.1 to 17 and 0.3 to 0.9MUggdw-1day-1 for C. cooperi and D. filix-mas
respectively, depending on CH3Cl supplementation and temperature. The stable
carbon isotope enrichment factor of remaining CH3Cl was -39+/-130/00, whereas
negligible isotope fractionation was observed for hydrogen (-8+/-190/00). In
contrast, O. regalis did not consume CH3Cl, but produced it at rates ranging from
0.6 to 128MUggdw-1day-1, with stable isotope values of -97+/-80/00 for carbon and
-202+/-100/00 for hydrogen, respectively. Even though the 3 ferns showed clearly
different formation and consumption patterns, their leaf-associated bacterial
diversity was not notably different. Moreover, we did not detect genes associated
with the only known chloromethane utilization pathway "cmu" in the microbial
phyllosphere of the investigated ferns. Our study suggests that still unknown
CH3Cl biodegradation processes on plants play an important role in global cycling
of atmospheric CH3Cl.
PMID- 29660880
TI - Exploring land use/land cover change and drivers in Andean mountains in Colombia:
A case in rural Quindio.
AB - Given that Land Use and Cover Changes (LUCC) and deforestation are activities
with the highest anthropic intervention on ecosystems and increase the loss of
biodiversity, this paper explores the LUCC and the main drivers of change in
Andean mountains, taking a rural area located between the municipalities of
Montenegro and Quimbaya (Quindio, Colombia) (1954-2009), as a case of study.
Aerial photographs and geographic information systems (GIS) are used to create
maps of LUCC, as well as a systemized search of information on LUCC and main
drivers. The results showed that pastureland presented the largest area during
all periods, occupying >60% of studied area, while the secondary and mixed
forests had a contraction from 23% in 1954 to 9% in 2009. The change rates
evidenced a greater affectation for the secondary forest that lost 86% of its
initial area through deforestation and transformation processes, while crops
gained 410% with respect to their initial area. As for the transition matrix,
about 27.8% of the study area presented transformation from land use and cover
into other land covers, 14.7% presented deforestation and loss of land cover in
their initial area, and 57.5% remained unchanged. It is concluded that socio
economic factors such as livestock farming, agriculture and market prices have
been an important driver in the modification of the landscape.
PMID- 29660881
TI - Differences in transport behavior of natural soil colloids of contrasting sizes
from nanometer to micron and the environmental implications.
AB - Transport behaviors of nanoparticles (<100nm) and clay fractions (clay particles,
<2MUm; coarse clay particles, 1-2MUm and fine clay particles, 0.1-1MUm) extracted
from two natural soils (Inceptisol from Jilin and Oxisol from Hainan, China) were
investigated in saturated sand columns at 1-30mM NaCl and pH5-9. Increasing NaCl
concentrations decreased the mobility, while increasing pH increased the mobility
of soil particles of various sizes. At pH5 and 30mM NaCl, nanoparticles and clay
fractions exhibited the different transport behaviors, and ripening was observed
for Inceptisol nanoparticles while blocking for Oxisol nanoparticles in
breakthrough curves (BTCs). The effluent mass recoveries (MRs) of nanoparticles
were much more than that of clay particles for both two soils (>1.9-fold) at all
tested conditions, except for Inceptisol at pH5 and 30mM NaCl (with comparable
MR). According to Derjaguin-Landau-Verwey-Overbeek (DLVO) calculations and
particle-collector size ratios, both secondary energy minimum and physical
straining led to the retention of clay fractions at pH5 and 30mM NaCl, whereas
primary energy minimum and straining induced by simultaneous aggregation caused
the retention of nanoparticles. The experimental attachment efficiency between
soil particles of various sizes and sand collector for both two soils was in the
order nanoparticles50% to the
nationwide carbon mitigation efforts for achieving China's carbon emission peak
in 2030, and carbon mitigation in Chinese commercial buildings (CMCCB) is an
indicator of this effort. However, the CMCCB assessment has faced the challenge
of ineffective and inadequate approaches; therefore, we have followed a different
approach. Using the China Database of Building Energy Consumption and Carbon
Emissions as our data source, our study is the first to employ the Logarithmic
Mean Divisia Index (LMDI) to decompose five driving forces from the Kaya identity
of Chinese commercial building carbon emissions (CCBCE) to assess the CMCCB
values in 2001-2015. The results of our study indicated that: (1) Only two
driving forces (i.e., the reciprocal of GDP per capita of Tertiary Industry in
China and the CCBCE intensity) contributed negatively remi to CCBCE during 2001
2015, and the quantified negative contributions denoted the CMCCB values.
Specifically, the CMCCB values in 2001-2005, 2006-2010, and 2011-2015 were
123.96, 252.83, and 249.07 MtCO2, respectively. (2) The data quality control
involving the CMCCB values proved the reliability of our CMCCB assessment model,
and the universal applicability of this model was also confirmed. (3) The
substantial achievements of the energy efficiency project in the Chinese
commercial building sector were the root cause of the rapidly growing CMCCB.
Overall, we believe that our model successfully bridges the research gap of the
nationwide CMCCB assessment and that the proposed model is also suitable either
at the provincial level or in different building climate zones in China.
Meanwhile, a global-level assessment of the carbon mitigation in the commercial
building sector is feasible through applying our model. Furthermore, we consider
our contribution as constituting significant guidance for developing the building
energy efficiency strategy in China in the upcoming phase.
PMID- 29660884
TI - Effects of soil water content and elevated CO2 concentration on the monoterpene
emission rate of Cryptomeria japonica.
AB - Monoterpenes emitted from plants contribute to the formation of secondary
pollution and affect the climate system. Monoterpene emission rates may be
affected by environmental changes such as increasing CO2 concentration caused by
fossil fuel burning and drought stress induced by climate change. We measured
monoterpene emissions from Cryptomeria japonica clone saplings grown under
different CO2 concentrations (control: ambient CO2 level, elevated CO2:
1000MUmolmol-1). The saplings were planted in the ground and we did not
artificially control the SWC. The relationship between the monoterpene emissions
and naturally varying SWC was investigated. The dominant monoterpene was alpha
pinene, followed by sabinene. The monoterpene emission rates were exponentially
correlated with temperature for all measurements and normalized (35 degrees C)
for each measurement day. The daily normalized monoterpene emission rates
(Es0.10) were positively and linearly correlated with SWC under both control and
elevated CO2 conditions (control: r2=0.55, elevated CO2: r2=0.89). The slope of
the regression line of Es0.10 against SWC was significantly higher under elevated
CO2 than under control conditions (ANCOVA: P<0.01), indicating that the effect of
CO2 concentration on monoterpene emission rates differed by soil water status.
The monoterpene emission rates estimated by considering temperature and SWC
(Improved G93 algorithm) better agreed with the measured monoterpene emission
rates, when compared with the emission rates estimated by considering temperature
alone (G93 algorithm). Our results demonstrated that the combined effects of SWC
and CO2 concentration are important for controlling the monoterpene emissions
from C. japonica clone saplings. If these relationships can be applied to the
other coniferous tree species, our results may be useful to improve accuracy of
monoterpene emission estimates from the coniferous forests as affected by climate
change in the present and foreseeable future.
PMID- 29660885
TI - Real-world exhaust temperature profiles of on-road heavy-duty diesel vehicles
equipped with selective catalytic reduction.
AB - On-road heavy-duty diesel vehicles are a major contributor of oxides of nitrogen
(NOx) emissions. In the US, many heavy-duty diesel vehicles employ selective
catalytic reduction (SCR) technology to meet the 2010 emission standard for NOx.
Typically, SCR needs to be at least 200 degrees C before a significant level of
NOx reduction is achieved. However, this SCR temperature requirement may not be
met under some real-world operating conditions, such as during cold starts, long
idling, or low speed/low engine load driving activities. The frequency of vehicle
operation with low SCR temperature varies partly by the vehicle's vocational use.
In this study, detailed vehicle and engine activity data were collected from 90
heavy-duty vehicles involved in a range of vocations, including line haul,
drayage, construction, agricultural, food distribution, beverage distribution,
refuse, public work, and utility repair. The data were used to create real-world
SCR temperature and engine load profiles and identify the fraction of vehicle
operating time that SCR may not be as effective for NOx control. It is found that
the vehicles participated in this study operate with SCR temperature lower than
200 degrees C for 11-70% of the time depending on their vocation type. This
implies that real-world NOx control efficiency could deviate from the control
efficiency observed during engine certification.
PMID- 29660886
TI - Removal of emerging contaminants using spent mushroom compost.
AB - Acetaminophen and sulfonamides are emerging contaminants. Conventional wastewater
treatment systems fail to degrade these compounds properly. Mycoremediation, is a
form of novel bioremediation that uses extracellular enzymes of white-rot fungi
to degrade pollutants in the environment. In this study, spent mushroom compost
(SMC), which contains fungal extracellular enzymes, was tested for acetaminophen
and sulfonamides removal. Among the SMCs of nine mushrooms tested in batch
experiments, the SMC of Pleurotus eryngii exhibited the highest removal rate for
acetaminophen and sulfonamides. Several fungal extracellular enzymes that might
be involved in removal of acetaminophen and sulfonamides were identified by
metaproteomic analysis. The bacterial classes, Betaproteobacteria and
Alphaproteobacteria, were revealed by metagenomic analysis and may be assisting
with acetaminophen and sulfonamide removal, respectively, in the SMC of Pleurotus
eryngii. Bioreactor experiments were used to simulate the capability of Pleurotus
eryngii SMC for the removal of acetaminophen and sulfonamides from wastewater.
The results of this study provide a feasible solution for acetaminophen and
sulfonamide removal from wastewater using the SMC of Pleurotus eryngii.
PMID- 29660887
TI - Development of water quality criteria of ammonia for protecting aquatic life in
freshwater using species sensitivity distribution method.
AB - Ammonia is deemed one of the most important pollutants in the freshwater
environment because of its highly toxic nature and ubiquity in surface water.
This study thus aims to derive the criteria for ammonia in freshwater to protect
aquatic life because there are no water quality criteria for ammonia in Korea.
Short-term lethal tests were conducted to perform the species sensitivity
distribution (SSD) method. This method is widely used in ecological risk
assessment to determine the chemical concentrations to protect aquatic species.
Based on the species sensitivity distribution method using Korean indigenous
aquatic biota, the hazardous concentration for 5% of biological species (HC5)
value calculated in this study was 44mg/L as total ammonia nitrogen (TAN). The
value of the assessment factor was set at 2. Consequently, the criteria for
ammonia were derived as 22mg/L at pH7 and 20 degrees C. When the derived value
was applied to the monitoring data nationwide, 0.51%, 0.09%, 0.18%, 0.20%, and
0.35% of the monitoring sites in Han River, Nakdong River, Geum River, Youngsan
River, and lakes throughout the nation, respectively, exceeded this criteria. The
Ministry of Environment in Korea has been considering introducing water quality
standard of ammonia for protecting aquatic life. Therefore, our results can
provide the basis for introducing the ammonia standard in Korea.
PMID- 29660888
TI - Patterns of ecosystem services supply across farm properties: Implications for
ecosystem services-based policy incentives.
AB - In developing countries, the protection of biodiversity and ecosystem services
(ES) rests on the hands of millions of small landowners that coexist with large
properties, in a reality of highly unequal land distribution. Guiding the
effective allocation of ES-based incentives in such contexts requires researchers
and practitioners to tackle a largely overlooked question: for a given targeted
area, will single large farms or several small ones provide the most ES supply?
The answer to this question has important implications for conservation planning
and rural development alike, which transcend efficiency to involve equity issues.
We address this question by proposing and testing ES supply-area relations
(ESSARs) around three basic hypothesized models, characterized by constant (model
1), increasing (model 2), and decreasing increments (model 3) of ES supply per
unit of area or ES "productivity". Data to explore ESSARs came from 3384 private
landholdings located in southern Chile ranging from 0.5ha to over 30,000ha and
indicators of four ES (forage, timber, recreation opportunities, and water
supply). Forage provision best fit model 3, which suggests that targeting several
small farms to provide this ES should be a preferred choice, as compared to a
single large farm. Timber provision best fit model 2, suggesting that in this
case targeting a single large farm would be a more effective choice. Recreation
opportunities best fit model 1, which indicates that several small or a single
large farm of a comparable size would be equally effective in delivering this ES.
Water provision fit model 1 or model 2 depending on the study site. The results
corroborate that ES provision is not independent from property area and therefore
understanding ESSARs is a necessary condition for setting conservation incentives
that are both efficient (deliver the highest conservation outcome at the least
cost) and fair for landowners.
PMID- 29660889
TI - Understanding the retention and fate prediction of copper ions in single and
competitive system in two soils: An experimental and numerical investigation.
AB - The concept of sponge city has become very popular with major thrust on design of
waste containment systems such as biofilter and green roofs. Factors that may
influence pollutant ions retention in these systems will be soil type and also
their interactions. The study investigated single and competitive interaction of
copper in two soils and its influence on the fate prediction. Freundlich and
Langmuir nonlinear isotherms were selected to quantify the retention results.
Series of numerical simulations were conducted to model 1 D advection-dispersion
transport for the two soils and analyse the role of isotherms. The results
indicated that contaminant fate prediction of copper-soil interaction based on
the two non-linear isotherms was different for both single and that in
competition. Retardation factor obtained from Freundlich (RF) isotherm predicts
more than Langmuir (RLa). This observation is more explicit at the higher range
of equilibrium concentration. Fate prediction based on retardation value obtained
from retention isotherms exhibited some anomalous trends contradicting the
experimental findings due to inherent assumptions in governing equations. The
necessity to have an approximate assessment of contaminant concentration in the
field to effectively use contaminant retention results for accurate fate
prediction is highlighted here. The study is important for modellers in design or
analysis of biolfilter system (sponge city), where multiple ions tend to exist in
waste water.
PMID- 29660890
TI - Initial changes in soil properties and carbon sequestration potential under
monocultures and short-rotation alley coppices with poplar and willow after three
years of plantation.
AB - Initial changes in soil structure and C stocks were studied under short-rotation
coppices (SRC) planted on former cropland near Gottingen, Central Germany.
Plantations were established either as monocultures with willow (Willow-SRC) or
poplar (Poplar-SRC), or as an agroforestry system with willow strips and
grassland alleys in between (Willow-AF). A neighbouring cropland served as a
control. Three sampling campaigns were applied in this study. The first sampling
was conducted at a fine scale to reveal the differences in soil C with depth
(i.e. 0-3, 3-6, 6-9, 9-12, 12-15, 15-20, 20-30cm). Here, results indicated the
main differences between plantations in 0-3, 3-20 and 20-30cm layers. These soil
depths were therefore chosen for the second sampling campaign to reveal
differences in aggregate composition, C accumulation in aggregates and density
fraction, and microbial biomass carbon (MBC) between plantations. Furthermore,
quality of soil organic matter and amount of C mineralised by microorganisms were
estimated by an incubation experiment. Results here indicated two times higher
CO2 emissions from the top layer than from the lower layers under SRCs, as well
as higher MBC in SRCs (490-788.7MUgCg-1) than in cropland (266.4MUgCg-1). The
results of the third sampling on the texture of respective soil horizons
indicated a significant correlation (R2=78%) of soil clay to C at 0-3cm depth. It
was concluded that aggregation and C in microbial biomass and free light
fractions were the first indicators of soil quality improvement after conversion
of arable land to SRC plantations.
PMID- 29660891
TI - Soil microbial communities and glyphosate decay in soils with different herbicide
application history.
AB - This study evaluates the glyphosate dissipation under field conditions in three
types of soil, and aims to determine the importance of the following factors in
the environmental persistence of herbicide: i) soil bacterial communities, ii)
soil physicochemical properties, iii) previous exposure to the herbicide. A soil
without previous record of GP application (P0) and two agricultural soils, with 5
and >10years of GP exposure (A5 and A10) were subjected to the application of
glyphosate at doses of 3mg.kg-1. The concentration of GP and AMPA was determined
over time and the dynamics of soil bacterial communities was evaluated using 16S
ARN ribosomal gene amplicon-sequencing. The GP exposure history affected the rate
but not the extent of GP biodegradation. The herbicide was degraded rapidly, but
P0 soil showed a dissipation rate significantly lower than soils with
agricultural history. In P0 soil, a significant increase in the relative
abundance of Bacteroidetes was observed in response to herbicide application.
More generally, all soils displayed shifts in bacterial community structure,
which nevertheless could not be clearly associated to glyphosate dissipation,
suggesting the presence of redundant bacteria populations of potential degraders.
Yet the application of the herbicide prompted a partial disruption of the
bacterial association network of unexposed soil. On the other hand, higher values
of linear (Kd) and nonlinear (Kf) sorption coefficient in P0 point to the
relevance of cation exchange capacity (CEC), clay and organic matter to the
capacity of soil to adsorb the herbicide, suggesting that bioavailability was a
key factor for the persistence of GP and AMPA. These results contribute to
understand the relationship between bacterial taxa exposed to the herbicide, and
the importance of soil properties as predictors of the possible rate of
degradation and persistence of glyphosate in soil.
PMID- 29660892
TI - Distribution of fragrances and PAHs in the surface seawater of the Sicily
Channel, Central Mediterranean.
AB - The Mediterranean Sea is highly influenced by several anthropic pressures,
including different kinds of organic pollutants. Fragrance Materials (FMs) and
Polycyclic Aromatic Hydrocarbons (PAHs) were investigated in the surface seawater
of the Sicily Channel in offshore and coastal areas. Total concentrations of FMs
and PAHs resulted respectively up to 112ngL-1 and 43ngL-1, with similar
distributions of both classes of analytes. Low values were detected in some
coastal samples, due to the upwelling of deep and unpolluted waters, while the
presence of gyres probably accumulates contaminants in offshore areas. Confirming
previous works, the allergenic and oestrogenic Salicylates generally resulted the
most abundant FMs and diagnostic ratios indicated combustion processes as the
sources of PAHs. The coupling of the well-known PAHs with a new class of Personal
Care Products (PCPs) helped the identification of the major environmental
drivers: the results highlighted the role of mesoscale hydrodynamics and
suggested long-range atmospheric transport as key factors. The first detection of
the selected FMs in open sea areas supports the hypothesis of their environmental
persistence.
PMID- 29660893
TI - GIS-based multi-criteria site selection for zebra mussel cultivation: Addressing
end-of-pipe remediation of a eutrophic coastal lagoon ecosystem.
AB - Farming of shellfish and seaweeds is a tested tool for mitigating eutrophication
consequences in coastal environments, however as many other marine economic
activities it should be a subject of marine spatial planning for designating
suitable sites. The present study proposes site selection framework for
provisional zebra mussel farming in a eutrophic lagoon ecosystem, aimed primarily
at remediation purposes. GIS-based multi-criteria approach was applied, combining
data from empirical maps, numerical models and remote sensing to estimate
suitability parameters. Site selection and prioritisation of suitable areas
considered 15 environmental and socio-economic criteria, which contributed to 4
optimisation models (settlement, growth and survival of mussels, environmental
and socio-economic) and 3 predefined scenarios representing provisional goals of
mussel cultivation: spat production, biomass production and bioremediation. The
relative importance of each criterion was assessed utilizing the Analytical
Hierarchy Process. Site suitability index was calculated and the final result of
the site selection analysis was summarized for 3 scenarios and overall
suitability map. Four suitability classes (unsuitable, least, moderately and most
suitable) were applied, and 3 most suitable zones for provisional zebra mussel
cultivation with 12 candidate sites were selected accordingly. The integrated
approach presented in this study can be adjusted for designating zebra mussel
farming sites in other estuarine lagoon ecosystems, or cultivation of other
mussel species for bioremediation purposes. The analytical framework and the
workflow designed in this study are also adoptable for addressing other
aquaculture-related spatial planning issues.
PMID- 29660894
TI - Overall survival of elderly patients with breast cancer is not related to breast
cancer specific survival: A single institution experience in Japan.
AB - BACKGROUND: As the aging population grows, the number of elderly breast cancer
patients has rapidly increased especially in Japan; a suitable treatment for
elderly patients, considering chronic comorbidities and treatment tolerance, is
urgently needed. METHODS: In this retrospective study, 286 elderly breast cancer
patients were investigated. Tumor characteristics and survival outcome were
compared between 70-79-year-old and >= 80-year-old groups. Disease-free survival,
overall survival, and breast cancer-specific survival were compared, and the
effect of variables was analyzed statistically. For resectable cases, prognoses
were compared based on treatment (standard therapy or undertreated). RESULTS:
Tumor characteristics were similar between groups, but the Ki-67 labeling index
tended to be higher in older patients. Elderly patients with resectable cancer
tended to be undertreated. During the median 59-month follow-up period, overall
survival was significantly worse in the >=80-year-old than in the 70-79-year-old
group (p < 0.001), but disease-free and breast cancer-specific survivals were
equivalent. Recurrence or death event hazard rates tended to be lower in patients
receiving standard treatment. CONCLUSIONS: Standard multidisciplinary treatment
for breast cancer prevents recurrence and metastasis and tends to extend breast
cancer-specific survival even in elderly patients.
PMID- 29660895
TI - Rheological characterization of an in vitro model for salmonid chyme to quantify
changes in feed composition.
AB - BACKGROUND: Developments in the production of aquacultural salmonid feeds in the
last 20 years have led to extruded diets with extremely low water content and a
shift from mainly marine fish based ingredients towards plant content. These
changes expose the industry to the vagaries of the highly dynamic plant protein
market. Resulting variations in the precise composition of aqua feeds may carry
unpredictable consequences for water quality, since some plant ingredients cause
undesirable reductions in the mechanical stability of faeces. Dietary supplements
known as binders that enhance the stability of faeces have the potential to
mitigate these issues, but may also bring negative effects. OBJECTIVE AND
METHODS: The present study employs an in vitro model to perform the first
fundamental rheological characterization of salmonid chyme, and a factorial
experiment designed to investigate the impacts of the presence of rheologically
active substances. RESULTS: The highest mean viscosity values were measured for a
treatment containing a 2:1 ratio of tara gum:xanthan gum, resulting in chyme four
times more viscous than a control formulation containing the same amount of
water. Shear resistance was quantified by analyses of slopes fitting the
frequency sweep measurements. CONCLUSIONS: These data open a new statistical
approach to monitoring the consequences of market-driven changes in aqua feed
composition and their impacts on the nutrition, health or performance of farmed
fish.
PMID- 29660896
TI - A physiological exploration on operational stance and occupational
musculoskeletal problem manifestations amongst construction labourers of West
Bengal, India.
AB - BACKGROUND: A huge number of labourers work in the construction industry in India
both in organized and unorganized sectors. The construction labourers most often
work for an extended period of time and they are compelled to uphold altered
static and dynamic operational stance in awkward positions during the complete
period of work which raises the demand on the musculoskeletal system and may lead
to work related musculoskeletal disorders (WRMSDs). OBJECTIVE: This study is
intended to explore the operational stance and occupation related musculoskeletal
manifestations amongst the construction labourers. One hundred sixty four male
labourers from different construction sites in West Bengal were randomly taken
for this study. METHODS: A modified Nordic questionnaire on MSD and the 12 item
General Health Questionnaire (GHQ12) were administered on the construction
labourers. Rapid Entire Body Assessment (REBA) and Ovako Work Analysis System
(OWAS) methods were applied to analyze the operational stance. Finally,
discomfort levels of the specific operational stance were calculated by the use
of risk level and BPD scale. RESULTS: The study revealed that most of the
construction labourers habitually worked in awkward operational stance and were
affected by altering musculoskeletal manifestations like pain in low back, neck,
and wrist. We also found that there is a significant (p< 0.05) association
between the intensity of pain feeling, age, year of working experience and risk
level of the individual working postures of the labourers. CONCLUSION:
Appropriate work-rest schedule, amendments of some working techniques and use of
some ergonomically designed equipment may lessen the WRMSDs and improve the
health eminence of construction labourers in unorganized sectors.
PMID- 29660897
TI - Effects of group exercise on functional abilities: Differences between physically
active and physically inactive women.
AB - BACKGROUND: Aerobic exercises to music can have a positive effect on functional
and motor skills of an exerciser, their health, as well as an aesthetic and socio
psychological component. OBJECTIVE: The objective of this study was to determine
the effects of reactive exercising in a group on functional capabilities in
physically active and physically inactive women. METHODS: A prospective study
included 64 healthy women aged 40-60 years. The sample was divided into the
experimental group (N= 36), i.e. physically active women who have been engaged in
recreational group exercises at the Faculty of Sport and Physical Education,
University of Novi Sad, Serbia, and the control group (N= 28), which consisted of
physically inactive women. All the participants were monitored using the same
protocol before and after the implementation of the research. All women had their
height, weight and body mass index measured as well as their spiroergometric
parameters determined according to the Bruce protocol. RESULTS: A univariate
analysis of variance has shown that there is a statistically significant
difference between the experimental group and the control group in maximum speed,
the total duration of the test, relative oxygen consumption, absolute oxygen
consumption and ventilation during the final measurement. After the training
intervention, the experimental group showed improvements in all the parameters
analyzed compared with pretest values. CONCLUSION: The recreational group
exercise model significantly improves aerobic capacity and functioning of the
cardiovascular system. Therefore, it is essential for women to be involved more
in any form of recreational group exercising in order to improve functional
capacity and health.
PMID- 29660898
TI - Down-regulation of long non-coding RNA GAS5-AS1 and its prognostic and diagnostic
significance in hepatocellular carcinoma.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is the most common solid tumor in
global range, with high degree of malignancy and poor prognosis. But the
relationship between the expression of GAS5-AS1 and HCC is not documented. This
study aimed to profile GAS5-AS1 expression signature and then to explore its
clinical significance in HCC. METHODS: Quantitative real-time PCR (RT-qPCR) was
performed to detect the expression of GAS5-AS1 in 83 pairs of HCC surgical
tissues and adjacent normal liver tissues. We also performed RT-qPCR on plasma
samples of 156 patients and 58 healthy controls. RESULTS: We found that GAS5-AS1
was down-regulated in HCC tissues (P< 0.01). Correlation analysis showed that the
expression of GAS5-AS1 was notably associated with differentiation (High/Moderate
vs Low, P= 0.031), tumor-node-metastasis (TNM) stage (I~II vs III~IV, P= 0.020)
and glucose levels (< 6.2 vs? 6.2, P= 0.047) in HCC patients. The overall
survival analysis showed that patients with lower GAS5-AS1 expression had a
relatively poor prognosis. Univariate and multivariate analysis elaborated that
GAS5-AS1 was an independent prognostic factor for HCC patients. The area under
the ROC (AUCROC) demonstrated that GAS5-AS1 presented a high accuracy (AUC =
0.824, 95% CI: 0.741-0.906) for distinguishing HCC from the cirrhosis. When
differentiating HCC cases with AFP < 200 ng/ml from the cirrhosis and hepatitis B
whose AFP levels were also below 200 ng/ml, GAS5-AS1 had the high sensitivity
(89.5%, 89.5%, respectively). CONCLUSIONS: GAS5-AS1 could be considered as a
potential prognostic and diagnostic marker in HCC. However, the potential
clinical application value of GAS5-AS1 still needs to be further illustrated.
PMID- 29660899
TI - Plasma exosome levels in non-small-cell lung cancer: Correlation with
clinicopathological features and prognostic implications.
AB - BACKGROUND: Biomarker studies revealed important clinical significance of exosome
for cancer patients. However, there is currently no consensus on exosome
quantification methods. METHODS: Bicinchoninic acid (BCA) method,
acetylcholinesterase (AChE) method and nanoparticle tracking analysis (NTA) were
utilized to quantify 20 plasma exosome samples, and interrelations between these
three methods were explored. Associations of plasma exosome levels with
characteristics and prognosis of 208 non-small-cell lung cancer (NSCLC) patients
were investigated. RESULTS: Results of the three methods for exosome
quantification were significantly correlated with each other. Correlation
coefficient between AChE and NTA (r= 0.79, P< 0.001) was greater than that
between BCA and NTA (r= 0.64, P= 0.003). Plasma exosome levels of 208 NSCLC
patients were then quantified with AChE method. Exosome level was significantly
associated with tumour stage (P< 0.001) and the history of chronic obstructive
pulmonary disease (P= 0.023). Cox proportional hazard analysis demonstrated that
higher exosome level was independently associated with poorer overall survival
(P= 0.033; hazard ratio = 1.72, 95% confidence interval: 1.05-2.83). CONCLUSIONS:
Plasma exosome level correlates with tumor stage and the history of chronic
obstructive pulmonary disease, and may serve as a prognostic factor for NSCLC.
PMID- 29660900
TI - Decreased BRMS1L expression is correlated with glioma grade and predicts poor
survival in glioblastoma via an invasive phenotype.
AB - AIMS: To evaluate the prognostic and clinicopathological features of glioma with
BRMS1L expression. METHODS: Total 120 glioma samples were obtained as discovery
cohort. CGGA, GSE and TCGA datasets were obtained as validation sets.
Furthermore, Kaplan-Meier survival and multivariate Cox analysis were used to
evaluate the survival distributions. Moreover, the functional role of BRMS1L was
also analyzed by transwell assay. RESULTS: In the discovery cohort, decreased
BRMS1L expression was significantly associated with high-grade glioma as well as
the higher mortality in survival analysis (log-rank test, p< 0.01). And the three
validation cohorts showed the similar results. Furthermore, BRMS1L act as an
independent prognostic factor in glioblastoma patients. Additionally, functional
assay showed that ectopic of BRMS1L suppressed glioma cells' invasion.
CONCLUSION: BRMS1L plays as an anti-oncogene in GBM and indicates a new potential
therapeutic target.
PMID- 29660902
TI - Effect of Rho kinase inhibitor fasudil on the expression ET-1 and NO in rats with
hypoxic pulmonary hypertension.
AB - OBJECTIVE: This study aims to study the effect of Rho kinase inhibitor fasudil on
the expression endothelin-1 (ET-1) and nitric oxide (NO) in rats with hypoxic
pulmonary hypertension (HPH). METHODS: Twenty-four male SD rats were randomly
divided into three groups: control group, model group (HPH group) and HPH+fasudil
group. The rat HPH model was established by intermittent hypoxia (IH) at
atmospheric pressure. Mean pulmonary artery pressure (mPAP), right ventricular
hypertrophy index (RVHI), ET-1 and NO levels, and pulmonary vascular structural
changes were observed in all groups. RESULTS: MPAP, RVHI and ET-1 levels were
significantly higher in HPH group than in control group, while NO was
significantly lower than in control group. In addition, mPAP, RVHI and ET-1 were
significantly lower in the HPH+fasudil group than in the HPH group. In the HPH
group, ET-1 level was significantly and positively correlated with mPAP and RVHI,
NO was negatively correlated with mPAP and RVHI levels, and ET-1 level was
significantly and negatively correlated with NO level. In the HPH group,
pulmonary arteriolar walls were generally thickened, and lumen stenosis was
obvious; while after fasudil treatment, pulmonary arteriolar wall thickening and
stenosis degree were significantly reduced. CONCLUSION: Fasudil can significantly
reduce ET-l level and increase NO level in HPH rats, suppressing the development
of pulmonary arterial hypertension.
PMID- 29660901
TI - No evidence of pancreatic ductal adenocarcinoma specific autoantibodies to Ezrin
in a liquid phase LIPS immunoassay.
AB - BACKGROUND: Sensitive and specific biomarkers of Pancreatic Ductal Adenocarcinoma
(PDAC) are desperately needed to allow early diagnosis and improve patient's
survival. Ezrin autoantibodies were recently described as present in 93% of PDAC
patients and 40% of healthy subjects who later developed PDAC. However, another
prospective study failed to replicate these findings. Both studies were based on
the use of a solid phase ELISA immunoassay. OBJECTIVE: We aimed at re-evaluating
the usefulness of Ezrin autoantibodies as PDAC biomarkers using the Luciferase
Immuno Precipitation System (LIPS), an alternative immunoassay format that found
successful application for the measurement of autoantibodies against pancreatic
autoantigens. METHODS: We produced a NanoluciferaseTM tagged Ezrin (NLuc-Ezrin).
NLuc-Ezrin was then used as antigen in LIPS to test for Ezrin autoantibodies
patients affected by PDAC (n= 40), other pancreatic diseases (OPD, n= 50), and
healthy controls (n= 60). RESULTS: Overall, binding in liquid phase to Ezrin by
serum antibodies was rare and low titer. Furthermore, we did not find
statistically significant differences in the prevalence of Ezrin autoantibodies
between patients affected by either PDAC or OPD compared to control. CONCLUSIONS:
Our results do not confirm the usefulness of Ezrin autoAbs as biomarker of PDAC.
PMID- 29660903
TI - Complex cystic and solid breast lesions: Diagnostic performance of conventional
ultrasound, strain imaging and point shear wave speed measurement.
AB - OBJECTIVE: To assess the performance of conventional high frequency ultrasound
(US) and US elastography in diagnosis of complex cystic and solid breast lesions.
METHODS: Ninety three lesions in 93 patients underwent conventional US and US
elastography, including strain elastography, acoustic radiation force impulse
(ARFI) imaging, and point shear wave speed (SWS) measurement. RESULTS:
Pathological examination revealed 31 (33.3%) of the 93 lesions were malignant and
the remaining 62 (66.7%) were benign. Multivariate analysis showed that elder
patient (OR: 25.301), internal vascularity (OR: 4.518), and not circumscribed
margin (OR: 3.813) were independent predictors for malignancy, while
predominately cystic lesions (OR: 0.178) was a predictor for benign lesions (all
p < 0.05). Invalid SWS measurement was occurred in 19 of 31 (61.3%) malignant
lesions and 16 of 62 (25.8%) benign lesions, respectively (p < 0.05). The mean
SWS value for malignant lesions was significantly lower than that for benign
ones, being 1.60+/-0.63 m/s (range, 0.68-2.70 m/s) versus 2.33+/-0.77 m/s (range,
0.67-3.97 m/s) (p < 0.05). Areas under the ROC curve (Azs) for Breast Imaging
Reporting and Data System (BI-RADS) assessment, strain elasticity score, ARFI
imaging and valid point SWS measurement were 0.844, 0.734, 0.763 and
0.778,respectively. CONCLUSIONS: US BI-RADS category, strain elastography score,
ARFI imaging patterns and point SWS measurement are useful for malignancy
prediction of complex cystic and solid breast lesions. The result that SWS for
malignant lesions is lower than benign one should be carefully interpreted since
invalid SWS measurement is excluded for analysis. The true stiffness of malignant
cystic and solid lesions should be further evaluated with a new generation of two
dimensional SWS imaging.
PMID- 29660904
TI - Ryanodine receptor antagonism alleviates skeletal muscle ischemia reperfusion
injury by modulating TNF-alpha and IL-10.
AB - BACKGROUND: Intracellular calcium overload has been implicated in various
pathological conditions including ischemia reperfusion injury. This study aims to
explore the effect and probable mechanism of dantrolene, a ryanodine receptor and
intracellular calcium antagonist, on the skeletal muscle ischemia reperfusion
injury. MATERIALS AND METHODS: SD rats were randomly divided into three groups:
sham group which underwent anaesthesia and exposure of femoral vein, reperfusion
group that received 2 h ischemia and the amount of diluent via femoral vein
before 4 h reperfusion, dantrolene group that underwent 2 h ischemia and was
given 2 mg/kg dantrolene via femoral vein before 4 h reperfusion. The parameters
measured at the end of reperfusion included serum maleic dialdehyde (MDA), tissue
myeloperoxidase (MPO) and muscle histology, as well as serum TNF-alpha and IL-10.
RESULTS: Levels of MDA, MPO and TNF-alpha increased in the reperfusion group,
whereas the relevant expressions in the dantrolene group decreased significantly.
Histological examination demonstrated significant improvements between the same
both groups. IL-10 reflected the protection observed above with a significant up
regulation of expression after dantrolene administration. CONCLUSION: Ryanodine
receptor antagonist dantrolene exerted a significant protective effect against
the inflammatory injury of skeletal muscle ischemia reperfusion. The underlying
molecular mechanism is probably related to the suppression of TNF-alpha levels
and the increment of IL-10 expression.
PMID- 29660905
TI - Differences in time-domain and spectral indexes of skin-surface laser-Doppler
signals between controls and breast-cancer subjects.
AB - BACKGROUND: There is an urgent need to improve the early diagnosis of breast
cancer. OBJECTIVE: The present study applied spectral and beat-to-beat analyses
to laser-Doppler (LDF) data sequences measured on the skin surface on the back of
the right hands, with the aim of comparing the different peripheral
microcirculatory-blood-flow (MBF) perfusion condition between breast-cancer and
control subjects. METHODS: ECG and LDF signals were obtained simultaneously and
noninvasively from 23 breast-cancer patients and 23 age-matched control subjects.
Time-domain beat-to-beat indexes and their variability parameters were
calculated. Spectral indexes were calculated using the Morlet wavelet transform.
RESULTS: The beat-to-beat LDF pulse width and its variability were significantly
smaller in cancer patients than in the controls. The energy contributions of
endothelial-, neural-, and myogenic-related frequency bands were also
significantly smaller in cancer patients. CONCLUSIONS: The present study has
revealed significant differences in the beat-to-beat and spectral indexes of skin
surface-acquired LDF signals between control subjects and breast-cancer patients.
This illustrates that LDF indexes may be useful for monitoring the changes in the
MBF perfusion condition induced by breast cancer. Since the breast-cancer
patients were at TNM stages 0- 2, the present findings may aid the development of
indexes for detecting breast cancer.
PMID- 29660906
TI - Viscometer validation studies for routine and experimental hemorheological
measurements.
AB - BACKGROUND: Viscosity measurement is challenging due to the internal properties
of blood and the artifacts deriving from the various viscometer systems.
OBJECTIVE: We aimed to determine the pitfalls of a cone-plate viscometer
(Brookfield DV-III Ultra LV) before starting measurements and compare it to our
capillary type model (Hemorex Hevimet 40). Effects of sample storage and thermal
calibration were assessed as well. METHODS AND RESULTS: Intra-observer
variability was studied by 10 replicate measurements of 7 blood samples, mean
coefficients of variation were less than 5%. Instruments were compared by
measuring 26 blood samples, an average difference of 7% in WBV and 10% in PV was
observed. 9 blood samples were stored at 4 degrees C, 22 degrees C and 37 degrees
C up to 48 hours to study the effect of storage on viscosity values. WBV at 50
and 100 s-1 became significantly lower after 3 hours at 37 degrees C (p < 0.05).
WBV at higher shear rates and PV remained constant at all temperatures. To
evaluate the possibility of measuring one sample at different temperatures, 8
blood samples were measured at 40 degrees C with the device calibrated both at 20
degrees C and 40 degrees C; no significant difference was observed. CONCLUSIONS:
Thorough validation studies are required before starting experimental and routine
viscosity measurements.
PMID- 29660907
TI - Pulse wave velocity is lower in trained than in untrained sickle cell trait
carriers.
AB - BACKGROUND: Sickle cell trait (SCT) is a benign condition of sickle cell disease.
Nevertheless, previous reports showed that SCT carriers have increased blood
viscosity and decreased vascular reactivity compared to non-SCT carrier. The
benefit of regular exercise on vascular function has been well documented in the
general population but no study focused on the SCT population. PURPOSE: The aim
of our study was to compare arterial stiffness and blood viscosity between
trained and untrained SCT carriers, as well as a group of untrained non-SCT.
METHODS: Arterial stiffness (finger-toe pulse wave velocity) and blood viscosity
were evaluated in untrained non-SCT carriers (n = 10), untrained SCT carriers (n
= 23) and trained SCT carriers (n = 17) who reported at least 10 hours of
physical exercise per week. RESULTS: Untrained SCT carriers had higher pulse wave
velocity (p = 0.032) and blood viscosity (p < 0.001) than their trained
counterparts. In addition, untrained SCT carriers had higher blood viscosity (p <
0.001) than the untrained non-SCT group. A positive association was noted between
blood viscosity and pulse wave velocity in the whole study population.
CONCLUSION: Our study suggests that regular exercise may be beneficial for the
vascular function of SCT carriers.
PMID- 29660908
TI - Evaluation of shear wave elastography for differential diagnosis of breast
lesions: A new qualitative analysis versus conventional quantitative analysis.
AB - OBJECTIVE: To evaluate a special kind of ultrasound (US) shear wave elastography
for differential diagnosis of breast lesions, using a new qualitative analysis
(i.e. the elasticity score in the travel time map) compared with conventional
quantitative analysis. METHODS: From June 2014 to July 2015, 266 pathologically
proven breast lesions were enrolled in this study. The maximum, mean, median,
minimum, and standard deviation of shear wave speed (SWS) values (m/s) were
assessed. The elasticity score, a new qualitative feature, was evaluated in the
travel time map. The area under the receiver operating characteristic (AUROC)
curves were plotted to evaluate the diagnostic performance of both qualitative
and quantitative analyses for differentiation of breast lesions. RESULTS: Among
all quantitative parameters, SWS-max showed the highest AUROC (0.805; 95% CI:
0.752, 0.851) compared with SWS-mean (0.786; 95% CI:0.732, 0.834; P = 0.094), SWS
median (0.775; 95% CI:0.720, 0.824; P = 0.046), SWS-min (0.675; 95% CI:0.615,
0.731; P = 0.000), and SWS-SD (0.768; 95% CI:0.712, 0.817; P = 0.074). The AUROC
of qualitative analysis in this study obtained the best diagnostic performance
(0.871; 95% CI: 0.825, 0.909, compared with the best parameter of SWS-max in
quantitative analysis, P = 0.011). CONCLUSIONS: The new qualitative analysis of
shear wave travel time showed the superior diagnostic performance in the
differentiation of breast lesions in comparison with conventional quantitative
analysis.
PMID- 29660909
TI - The effect of trimetazidine in reducing the ischemia-reperfusion injury in rat
epigastric skin flaps.
AB - BACKGROUND: Ischemia-reperfusion injury may lead to insufficient microcirculation
and results in partial flap loss during the free flap surgeries. OBJECTIVE: This
study aimed to investigate the effect of trimetazidine (TMZ) on oxidative stress,
inflammation and histopathological changes, using the epigastric skin flap model
in rats. METHODS: 40 male Wistar rats were used, that were divided into four
groups. Control group, non-treated ischemic (I/R)-group and two trimetazidine
treated groups (preischemically, postischemically) were established. To create
ischemia in the skin flap, the superficial epigastric vessels were clamped for
six hours, followed by twenty-four hours of reperfusion. Blood samples and
biopsies from skin flaps were collected at the end of the reperfusion period. The
inflammatory response, the degree of oxidative stress (by measuring the plasma
level of malondialdehyde (MDA), reduced glutathione (GSH); sulfhydryl (-SH)
groups) and histopathological changes were evaluated. RESULTS: Inflammatory
response, and oxidative stress were significantly attenuated in the trimetazidine
treated groups, compared to the non-treated ischemic group. Histopathological
findings were also correlated with the biochemical results. CONCLUSION: In our
study trimetazidine could reduce the ischaemia-reperfusion injury, even after an
unexpected ischemic period, so it is a promising drug during free tissue
transfer, replantation or during revascularization procedures in the future.
PMID- 29660910
TI - Pneumoperitoneum induced ischemia-reperfusion injury of the peritoneum -
Preconditioning may reduce the negative side-effects caused by carbon-dioxide
pneumoperitoneum - Pilot study.
AB - INTRODUCTION: Laparoscopy is more beneficial than the conventional open
technique, however the pneumoperitoneum created may have an ischemic side effect.
OBJECTIVE: Our aim was to evaluate the protective effects of preconditioning
during laparoscopic cholecystectomies (LC). METHODS: 30 patients were randomized
into 2 groups: I. PreC (preconditioning: 5 min. inflation, 5 min. deflation,
followed by conventional LC), II: LC (conventional LC). Blood samples were taken
before hospitalization (C = control), before surgery, after anaesthesia (B.S.),
after surgery (A.S.) and 24 hours after the procedure (24 h). Measured parameters
were: malondialdehyde (MDA), reduced glutathione (GSH), sulfhydril groups (-SH),
superoxide-dismutase (SOD), catalase (CAT), myeloperoxidase (MPO), length of
hospitalization and pain (VAS = visual analogue scale). RESULTS: Compared to the
BS levels, no significant changes were detected in SOD's activity and MDA levels.
GSH concentrations were significantly increased in the PreC group after
operation. SH-, MPO, CAT and liver function enzymes were not significantly
different. Hospitalization was shorter in the PreC group. Based on the VAS score
patients had less pain in the PreC group. CONCLUSION: Significant differences
concerning PreC group were found in GSH values. In the PreC group pain decreased
by 2-2.5 units following the procedure, 24 h after surgery, and hospitalisation
was also significantly shorter. In our pilot study the potential protective
effect of preconditioning could be defined.
PMID- 29660911
TI - The effects of different remote ischemic conditioning on ischemia-induced failure
of microvascular circulation in humans.
AB - BACKGROUND: Intermittent ischemia in remote tissues can be applied before
ischemic injury, during ischemic injury or at the beginning of reperfusion of an
index organ ischemia. The aim of this study was to investigate the effect of
Remote Ischemic Conditioning (RIC) of the leg on changes in ischemia-induced the
microvascular functions of the arm. MATERIAL AND METHODS: Ischemic microvascular
injury was induced by arm ischemia (20 min) and reperfusion in healthy,
nonsmoker, male volunteers (ischemia group-ISC, n: 9). In another group of
volunteers, to investigate the effects of remote organ ischemic conditioning 5
cycles of reperfusion followed by leg ischemia (each lasting 60 seconds) were
applied either before (preRIC, n:11), or during (perRIC, n:12) or immediately
after (postRIC, n:9) 20 minutes of arm ischemia. The microvascular flow of arm
was assessed before and after ischemia using iontophoresis of the endothelium
derived nitric oxide (NO) releaser acetylcholine (ACh) and the endothelium
independent NO donor sodium nitroprusside (SNP). Changes in microvascular blood
flow were measured using Laser Doppler imaging. The plasma level of biomarkers
related to endothelial function such as nitric oxide (NO), asymmetric
dimethylarginine (ADMA), total antioxidant capacity (TAC) and hydrogen sulphide
(H2S) were measured. RESULTS: No difference was determined between the groups in
terms of age, BMI or blood biochemicals reflecting cardiovascular status. ACh
caused a rise in microvascular blood flow in a charge dependent manner. The ACh
induced flow increase was not significantly depressed by ischemia and not
affected by any of the types of RIC in the study subjects. The increase in SNP
induced microvascular flow was significantly decreased in the ISC, perRIC and
postRIC groups, but not in the preRIC group. Plasma levels of NO, ADMA, TAC and
H2S were not changed by ischemia and RIC. CONCLUSION: These results suggested
that microvascular perfusion of human forearm skin was elevated by either
endothelium or drug-derived NO. The effect of ischemia and RIC on NO-induced flow
increase was affected differently by different applications in the healthy young
individuals. These complicated results are taken into consideration in
experimental and therapeutic interventions.
PMID- 29660912
TI - Increased plasma viscosity in plasma cell dyscrasia and whole blood viscosity in
polycythemia vera.
AB - BACKGROUND: Although hyperviscosity syndrome in plasma cell dyscrasia (PCD) and
thrombosis in myeloproliferative neoplasm (MPN) are major causes of morbidity and
mortality, blood viscosity measurements are often underutilized. OBJECTIVE: This
study aimed to characterize whether whole blood viscosity (WBV) or plasma
viscosity (PV) could be predictive of hyperviscosity syndrome in PCD and could be
elevated in subgroups of MPN. METHODS: A total of 75 patients with hematologic
diseases: PCD (n = 26), MPN (n = 25) including polycythemia vera (P. vera) and
lymphoma (n = 24) were enrolled along with 104 healthy controls. Both WBV and PV
were measured using a capillary tube viscometer. Hyperviscosity syndrome was
defined as having 2 or more hyperviscosity symptoms. RESULTS: Patients with PCD
showed significantly higher PVs at high and low shear rates when compared to
healthy controls, especially in those with hyperviscosity syndrome. The
sensitivity and specificity of WBV and PV in detecting hyperviscosity syndrome
were 28.6% and 94.1%, and 71.4% and 66.7%, respectively. Patients with P. vera
exhibited high WBV and RBC counts compared to healthy controls. CONCLUSION: PV is
predictive of hyperviscosity syndrome in PCD and WBV is elevated in patients with
P. vera. It suggests that hemorheologic disturbances exist in patients with PCD
and MPN and that tests of viscosity may be helpful in detecting hemorheological
disturbances.
PMID- 29660913
TI - GBT440 improves red blood cell deformability and reduces viscosity of sickle cell
blood under deoxygenated conditions.
AB - BACKGROUND: In sickle cell disease (SCD), polymerization of hemoglobin S (HbS)
leads to the formation of rigid, non-deformable sickled RBCs. Loss of RBC
deformability, sickling and irreversible membrane damage causes abnormal blood
rheology, and increases viscosity which contributes to vasoocclusion and other
SCD pathophysiology. GBT440 (generic name voxelotor) is a novel anti
polymerization and anti-sickling agent currently undergoing clinical evaluation
for the treatment of SCD. OBJECTIVE: The purpose of this study was to determine
the effects of GBT440 on deformability of sickle RBCs (SS RBCs) and the
hyperviscosity of sickle cell blood (SS blood). METHODS: The mechanical and
rheological properties of GBT440-treated SS RBCs were measured using micropipette
and filtration techniques. The viscosity of sickle blood was measured using a
Wells-Brookfield cone/plate viscometer. RESULTS: GBT440 restored movement of
deoxygenated SS RBCs through a gel filtration column and reduced the pressure
required to pass SS RBCs through a polycarbonate filter. Moreover, GBT440
decreased the membrane shear elastic modulus of SS RBCs assessed via micropipette
aspiration and reduced the hyperviscosity of SS blood under deoxygenated
conditions. CONCLUSIONS: GBT440 maintains SS RBC deformability and improves SS
blood viscosity by inhibiting HbS polymerization under deoxygenated conditions.
These results further support development of GBT440 as a disease-modifying agent
in SCD patients.
PMID- 29660914
TI - ShearWaveTM elastography for evaluation of the elasticity of Hashimoto's
thyroiditis.
AB - The aim of this study was to assess the elasticity of Hashimoto's thyroiditis in
the different processes via supersonic ShearWaveTM Elastography (SWETM).
Quantitative information is delivered as Young's modulus value expressed in kilo
Pascal (kPa). 30 healthy female and 30 healthy male individuals aging at 40+/-20
y had undergone conventional ultrasonography and SWE to determine the influence
of gender on elasticity of thyroid. Also 60 female and 60 male patients (mean
age, 40+/-20 y) with Hashimoto's thyroiditis in different processes underwent
conventional ultrasonography and SWE to determine the elasticity of thyroid in
Hashimoto's thyroiditis. Furthermore, the relationship between elasticity values
and thyroid peroxidase antibody (TPOAB) in the patients was investigated. We
found significant impact of gender on elasticity values of healthy thyroids. Our
study showed that increased elasticity values with statistical significance in
hyperthyroidism stage, normal thyroid function stage and hypothyroidism were
shown. Low degree relationship between elasticity values and TPOAB was found in
60 male patients. However, there was no such correlation in female patients.
PMID- 29660915
TI - Hemorheological and metabolic consequences of renal ischemia-reperfusion and
their modulation by N,N-dimethyl-tryptamine on a rat model.
AB - BACKGROUND: Micro-rheological relations of renal ischemia-reperfusion (I/R) have
not been completely elucidated yet. Concerning anti-inflammatory agents, it is
supposed that sigma-1 receptor agonist N,N-dimethyl-tryptamin (DMT) can be useful
to reduce I/R injury. OBJECTIVE: To investigate the micro-rheological and
metabolic parameters, and the effects of DMT in renal I/R in rats. METHODS: In
anesthetized rats from median laparotomy both kidneys were exposed. In Control
group (n = 6) no other intervention happened. In I/R group (n = 10) the right
renal vessels were ligated and after 60 minutes the organ was removed. The left
renal vessels were clamped for 60 minutes followed by 120-minute reperfusion. In
I/R+DMT group (n = 10) DMT was administered 15 minutes before the ischemia. Blood
samples were taken before/after ischemia and during the reperfusion for testing
hematological, metabolic parameters, erythrocyte deformability and aggregation.
RESULTS: Lactate concentration significantly increased and accompanied with
decreased blood pH. Enhanced erythrocyte aggregation and impaired deformability
were observed from the 30th minute of reperfusion. In I/R+DMT group we found
diminished changes compared to the I/R group (lactate, pH, electrolytes, red
blood cell deformability and aggregation). CONCLUSIONS: Metabolic and micro
rheological parameters impair during renal I/R. DMT could reduce but not
completely prevent the changes in this rat model.
PMID- 29660916
TI - Comparison of strain and acoustic radiation force impulse elastography of breast
lesions by qualitative evaluation.
AB - OBJECTIVES: To compare the diagnostic performance of conventional strain
elastography (CSE) and acoustic radiation force impulse (ARFI) induced SE for
qualitative assessment of breast lesions and evaluate the additional value of the
two techniques combined with Breast Imaging Reporting and Data System (BI-RADS)
respectively for the differentiation of benign and malignant breast lesions.
METHODS: In a cohort of 110 women, the conventional ultrasound (US) features and
the elasticity scores of CSE and ARFI induced SE were recorded. The diagnostic
performances of BI-RADS, elastography and BI-RADS plus elastography were
evaluated, including the area under the receiver operating characteristic curve
(AUROC), sensitivity, specificity and accuracy. RESULTS: Pathologically, there
were forty-eight malignant and sixty-two benign breast lesions in the final
analysis. The AUCs for CSE and ARFI induced SE are similar (CSE, 0.807; ARFI
induced SE, 0.846; p > 0.05), however, the specificity of the latter method was
significantly higher than that of CSE (83.9% vs. 58.1%, p = 0.004) in
differentiating breast lesions. The accuracy and specificity of BI-RADS plus ARFI
induced SE (84.5%, 80.6%, respectively) were significantly higher than BI-RADS
alone (73.6%, 54.8%, respectively) and BI-RADS plus conventional SE (72.7%,
56.5%, respectively), respectively (p < 0.05) without loss of sensitivity.
CONCLUSIONS: Our study showed that BI-RADS plus ARFI induced SE had a better
diagnostic performance in the diagnosis of breast lesions in comparison with BI
RADS alone or BI-RADS plus CSE.
PMID- 29660917
TI - Medical compression stockings reduce hypertension of nailfold capillaries at the
toe of patients with chronic venous insufficiency1.
AB - : In five patients who suffered from chronic venous insufficiency clinical stage
C4 (n = 3) and C6 (n = 2) the capillary blood pressure was measured twice by
means of invasive direct cannulation of nailfold capillaries of the toe. During
one measurement course the patients wore below knee medical compression stockings
(40 mmHg) during the other they did not have compression therapy. With the
patient in supine position, the CP was investigated by the servo-nulling
technique under resting conditions and under dynamic conditions: the calf
muscle/ankle joint venous pump was simulated by means of inflating a blood
pressure cuff, which surrounded the mid lower leg, to 60 mmHg for 60 s. RESULTS:
The simulated calf-muscle contraction induced a steep increase of CP with 5.65
mmHg/s (Q1 5.27 mmHg/s, Q3 5.92 mmHg/s), which was significantly (p = 0.013)
reduced by MCS to 2.47 mmHg/s (Q1 1.65 mmHg/s, Q3 3.0 mmHg/s). Time needed to
reach the max. CP was 11.35 s, which was lengthened by MCS to 23.4 s (p = 0.134).
CONCLUSION: Compression therapy prevents capillary hypertension, the major
hemodynamic reason for the development of advanced stages of chronic venous
insufficiency which are defined by skin disease like hyperpigmentation,
lipodermatosclerosis and ulcer.
PMID- 29660918
TI - Contrast enhanced ultrasound (CEUS) with MRI image fusion for monitoring focal
therapy of prostate cancer with high intensity focused ultrasound (HIFU)1.
AB - INTRODUCTION: Reduced acceptance of radical prostatectomy in patients with low
risk or intermediate risk prostate cancer has significantly changed treatment
strategies in prostate cancer (PCa) during the last years. Focal therapy of the
prostate with high intensity focused ultrasound (HIFU) is an organ-preserving
treatment for prostate cancer with less impairment of health-related quality of
life. Follow-up after HIFU therapy by imaging modalities remains a major problem
as eg. MRI performs poorly. Contrast enhanced ultrasound (CEUS) allows to monitor
the vascular architecture of organs non-invasively. However, only limited data
are available using CEUS to define successful and complete HIFU treatment of the
prostate. In this study, we aimed to evaluate short-term image findings using
CEUS and image fusion before and after HIFU treatment. MATERIALS AND METHODS:
Prospective single arm study in patients with uni- or bilateral, low or
intermediate risk prostate cancer or recurrent cancer after radiotherapy treated
with HIFU at our institution between October 2016 and November 2017. HIFU
hemiablation or whole gland treatment was performed using the Focal One(r)
device. PCa was diagnosed either by multiparametric magnetic resonance imaging
(mpMRI) followed by MRI fusion based targeted biopsy combined with 12 core
transrectal ultrasound (TRUS) guided biopsy or 12 core random biopsy only.
Monitoring of the target region before, immediately and 24 hours after the
ablation was done by CEUS in combination with image fusion using an axial T2
weighted MRI sequence. RESULTS: 6 consecutive patients with Gleason score (GS) 6,
5 patients with GS 7a prostate cancer and one patient with biochemical recurrence
after radiotherapy were included in the study. Three patients underwent whole
gland treatment due to histological proven bilateral PCa or recurrent PCa after
radiotherapy. Hemiablation was performed in 9 patients with unilateral tumor and
no PIRADS 4 or 5 lesion in the contralateral lobe. Median patient age was 69.8
years and median PSA (prostate-specific antigen) level was 8.4 ng/ml. CEUS showed
markedly reduced microbubbles in the ablated area, the prostate capsule still
showed signs of perfusion. The study is limited by the short follow up and small
number of patients. CONCLUSIONS: CEUS examination showed a reduction of
microcirculation in the treated area immediately after the treatment and 24 hours
later. The combination of CEUS and image fusion seems to be helpful for detecting
the PCa target lesion and monitor the success of HIFU ablation treatment.
Evidence for image findings after HIFU-therapy are rare. Further studies on this
topic are needed.
PMID- 29660919
TI - Blood rheology as a mirror of endocrine and metabolic homeostasis in health and
disease1.
AB - Rheological properties of plasma and blood cells are markedly influenced by the
surrounding milieu: physicochemical factors, metabolism and hormones. Acid/base
status, osmolality, lipid status, plasma protein pattern, oxidative stress
induced by increased free radicals production, endothelium-derived factors such
as nitric oxide (NO), achidonic acid derivatives modulate both red blood cell
(RBC) and white cell mechanics. Therefore, regulatory axes involving liver,
endothelium, kidney, pancreas, adrenal gland, endocrine heart, adipose tissue,
pituitary gland, and surely other tissues play important roles in the regulation
of blood fluidity. A comprehensive picture of all this complex network of
regulatory loops is still unavailable but current progress of knowledge suggest
that some attempts can currently be made.
PMID- 29660920
TI - Evidence for cytostatic effect of cyclophosphamide on human vein endothelial
cells in cancer therapy: Preliminary in vitro results.
AB - In cancer therapy, a number of drugs with different mechanisms of action are in
clinical use, which act directly after administration without metabolism, while
others only become active in the metabolites produced in the liver. Such
drugs/metabolites - especially when administered parenterally - interact in high
concentrations with the endothelium. Whether this induces adverse responses of
the endothelial cells (EC) is barely studied for many medicaments.This pilot in
vitro study revealed that the addition of cyclophosphamide (CPA) to the culture
medium (5 or 10 mM, respectively) showed a clear influence on EC compared to non
treated EC: The number of adherent human vein endothelial cells (HUVEC) decreased
by the addition of CPA in a concentration-dependent manner compared to the
untreated control, whereby the vitality of adherent cells was not affected. In
addition, concomitant with activation of the adherent HUVEC, increased migratory
activity occurred.These results are in agreement with clinical events like
thromboses in patients in compromised condition under therapy with CPA, as the
detachment of EC might induce responses of circulating platelets leading to the
adherence and aggregation with the risk of the formation of thrombi. Whether CPA
acts directly or via toxic metabolites on EC will be examined in more detail in
following studies.
PMID- 29660921
TI - Extract of Oxytropis pseudoglandulosa inhibits vascular smooth muscle cell
proliferation and migration via suppression of ERK1/2 and Akt signaling
pathways1.
AB - Excessive vascular smooth muscle cell (VSMC) proliferation and migration
accelerate the development of occlusive vascular disease. Therefore, finding a
means to control the aberrant proliferation and migration of VSMCs has own
clinical significance. In the present study, we examined the feasibility of using
extract from medicinal plant Oxytropis pseudoglandulosa (OG) to control
pathologic proliferation and migration of VSMCs, which never have been tested.
Our data indicate that the extract of OG significantly suppressed proliferation
and migration of VSMCs without cytotoxic effect, suggesting the OG extract may be
an alternative agent to effectively control the aberrant VSMC proliferation and
migration without any serious adverse effect. These data suggest that the extract
of OG may be a potent therapeutic agent for the treatment of occlusive vascular
disease and warrant further studies to identify the major acting ingredient and
to validate in vivo efficacy.
PMID- 29660922
TI - Triglycerides as indicators of erythrocyte hemoglobin oxygen-binding properties1.
AB - OBJECTIVES: To assess the relationships of clinical and laboratory parameters,
with erythrocyte oxygen concentration. METHODS: The study group consisted of 47
healthy adults out of which 16 showed slightly higher blood pressure and were
classified as individuals with prehypertension. For each individual, data were
obtained on: systolic and diastolic blood pressure (SBP, DBP), blood morphology,
lipids profile, fibrinogen, hs-CRP. The erythrocyte oxygen concentration was
assessed with the Raman spectroscopy technique. Arithmetic means for all
laboratory parameters were estimated by oxygen concentration tertiles and tested
for statistical significance of linear trends across tertiles. RESULTS: The
multivariate regression analysis showed statistically significant negative
relationship of triglycerides level with oxygen concentration. CONCLUSIONS: A
hypothesis has been formulated that triglycerides level is an indicator of the
erythrocyte ability to transport oxygen to the tissues.
PMID- 29660923
TI - Haemochromatosis: Pathophysiology and the red blood cell1.
AB - Haemochromatosis remains the most prevalent genetic disorder of Caucasian
populations in Australia and the United States, occurring in ~1 of 200
individuals and having a carrier frequency of 10-14%. Hereditary haemochromatosis
is an autosomal recessive condition, that is phenotypically characterised by a
gradual accumulation of iron, above and beyond that required for biological
function. Once the binding capacity of iron carriers reaches saturation, the
highly reactive free iron generates radicals that may lead to widespread cellular
dysfunction. Thus, the compounding effects of systemic iron overload and the
associated oxidative stress in untreated haemochromatosis patients results in
tissue damage precipitating severe complications, including: liver cirrhosis,
hepatocellular cancer, cardiomyopathy, and diabetes. The primary treatment
indicated for individuals with haemochromatosis is venesection therapy (i.e.,
regular bloodletting of ~450 mL). Given the frequency of venesection required to
decrease and normalise the elevated iron levels, this population may serve as a
valuable source of blood products which are in short supply. While the
complications associated with elevated iron deposits are frequently reported, the
influence of haemochromatosis on the rheological properties of blood and red
blood cells (RBC) - major determinants of microvascular blood flow and tissue
perfusion - are poorly understood. Limited studies investigating haemorheology in
patients with haemochromatosis have reported altered physical properties of
blood, which may partly explain the comorbidities associated with the disorder.
The current review will explore the aetiology, pathology, and clinical
implications of haemochromatosis disease and the associated oxidative stress,
with particular emphasis on RBC.
PMID- 29660924
TI - Vasopressor support vs. liberal fluid administration in deep inferior epigastric
perforator (DIEP) free flap breast reconstruction - a randomized controlled
trial.
AB - BACKGROUND: Perioperatively, patients' hemodynamics are modulated predominantly
by intravenous fluid administration and vasoactive pharmacological support.
Vasopressor agents are suspected to be detrimental on free flap survival by the
cause of vasoconstriction of the pedicle with consecutive reduced overall flap
perfusion and by aggravation of flap dissection. OBJECTIVE: A novel, standardized
fluid restrictive perioperative hemodynamic management was assessed for its
feasibility in clinical practice in free flap patients undergoing breast
reconstruction. METHODS: Patients were randomized to two perioperative regimens
with different fluid and vasopressor limits. The primary endpoint regarded flap
survival. Secondary endpoints included surgery times, time of patient ambulation
and length of hospital stay. RESULTS: There was one total flap failure with
liberal fluid administration (LFA). No total or partial flap failure was noted in
the fluid restrictive regimen with norepinephrine administration up to
0.04MUg/kg/min (FRV). No delay regarding operation time (p = 0.217), patient
mobilization (p = 0.550) or hospital discharge (p = 0.662) was registered in the
FRV study subpopulation compared to LFA. CONCLUSIONS: The results of this
prospective interventional trial could not detect any negative impact of
vasopressors, neither for the primary endpoint of flap survival nor for the
overall patient outcome. The fear of vasopressor associated flap complications
has led to a traditional liberal fluid administration, which failed to
demonstrate any benefits when compared to a fluid restrictive vasopressor
strategy.
PMID- 29660925
TI - Influence of different surface treatments of poly(n-butyl acrylate) networks on
fibroblasts adhesion, morphology and viability.
AB - BACKGROUND: Physical and chemical characteristics of implant materials determine
the fate of long-term cardiovascular devices. However, there is still a lack of
fundamental understanding of the molecular mechanisms occurring in the material
tissue interphase. In a previous study, soft covalently crosslinked poly(n-butyl
acrylate) networks (cPnBA) were introduced as sterilizable, non-toxic and immuno
compatible biomaterials with mechanical properties adjustable to blood vessels.
Here we study the influence of different surface treatments in particular oxygen
plasma modification and fibrinogen deposition as well as a combinatorial approach
on the adhesion and viability of fibroblasts. MATERIAL AND METHODS: Two types of
cPnBA networks with Young's moduli of 0.19+/-0.01 MPa (cPnBA04) and 1.02+/-0.01
MPa (cPnBA73) were synthesized and post-modified using oxygen plasma treatment
(OPT) or fibrinogen coating (FIB) or a combination of both (OPT+FIB). The water
contact angles of the differently post-treated cPnBAs were studied to monitor
changes in the wettability of the polymer surfaces. Because of the key role of
vascular fibroblasts in regeneration processes around implant materials, here we
selected L929 fibroblasts as model cell type to explore morphology, viability,
metabolic activity, cell membrane integrity as well as characteristics of the
focal adhesions and cell cytoskeleton on the cPnBA surfaces. RESULTS: Compared to
non-treated cPnBAs the advancing water-contact angles were found to be reduced
after all surface modifications (p < 0.05, each), while lowest values were
observed after the combined surface treatment (OPT+FIB). The latter differed
significantly from the single OPT and FIB. The number of adherent fibroblasts and
their adherence behavior differed on both pristine cPnBA networks. The fibroblast
density on cPnBA04 was 743+/-434 cells.mm-2, was about 6.5 times higher than on
cPnBA73 with 115+/-73 cells.mm-2. On cPnBA04 about 20% of the cells were visible
as very small, round and buckled cells while all other cells were in a migrating
status. On cPnBA73, nearly 50% of fibroblasts were visible as very small, round
and buckled cells. The surface functionalization either using oxygen plasma
treatment or fibrinogen coating led to a significant increase of adherent
fibroblasts, particularly the combination of both techniques, for both cPnBA
networks. It is noteworthy to mention that the fibrinogen coating overruled the
characteristics of the pristine surfaces; here, the fibroblast densities after
seeding were identical for both cPnBA networks. Thus, the binding rather depended
on the fibrinogen coating than on the substrate characteristics anymore. While
the integrity of the fibroblasts membrane was comparable for both polymers, the
MTS tests showed a decreased metabolic activity of the fibroblasts on cPnBA.
CONCLUSION: The applied surface treatments of cPnBA successfully improved the
adhesion of viable fibroblasts. Under resting conditions as well as after
shearing the highest fibroblast densities were found on surfaces with combined
post-treatment.
PMID- 29660926
TI - Abnormal rheological properties of red blood cells as a potential marker of Gulf
War Illness: A preliminary study.
AB - BACKGROUND: Veterans with Gulf War Illness (GWI) experience chronic symptoms that
include fatigue, pain, and cognitive impairment. This symptom cluster may be the
consequence of impaired tissue oxygen delivery due to red blood cell (RBC)
dysfunction. OBJECTIVE: The purpose of this preliminary study was to determine
whether the microrheological behavior of RBCs is altered in GWI. METHODS: We
recruited 17 cases of GWI (GWI+) and 10 age matched controls (GWI-), and examined
RBC deformability and aggregation via ektacytometry along with measurement of
complete blood counts. RESULTS: RBCs were more deformable in GWI+, as indicated
by higher elongation indices particularly at higher shear stress values (5.33,
9.49, and 16.89) when compared to GWI-. Aggregation formation, stability and
kinetics were similar between GWI+and GWI-. Complete blood counts were also
similar, with the exception of mean corpuscular hemoglobin (MCH), mean
corpuscular hemoglobin concentration (MCHC), and RBC distribution width (RDW)
which was elevated in GWI+. CONCLUSIONS: In this preliminary study, we observed
increased deformability along with increased MCH, MCHC and RDW in veterans with
GWI+, which may contribute to the symptomatology of GWI. Further research is
required to confirm our findings and the role of RBC microrheology in GWI.
PMID- 29660927
TI - An explanation of the reversal of erythrocyte echinocytosis by incubation and
storage by serum albumin.
AB - It is proposed that the specific reversal by serum albumin of the erythrocyte
echinocytosis in an inorganic phosphate buffer saline or in a saline, either
after 24 h in blood or after a storage of 6-7 weeks in SGAM or PAGGSM media, is
due to a cell dehydration by a decrease of the total NaCl and KCl concentrations
favoring the stomatocytogenic slow outward transport of inorganic phosphate with
a hydrogen ion by band 3 anion exchanger, which was previously proposed to
control the erythrocyte shape. This proposal would indicate that the opposition
of the erythrocyte echinocytosis by serum albumin is not limited to binding to
echinocytogenic amphiphiles, supported by the ability of the band 3-based
mechanism of control of the erythrocyte shape to explain a variety of
observations on the erythrocyte shape. It would also imply that this mechanism is
a determinant of the erythrocyte rheological properties since influenced by cell
shape and volume. It is shown that the above process of stomatocytosis can
explain stomatocytoses by different agents as well as a knizocytosis induced in
vitro and occurring in acquired and inherited disorders and other situations.
Lastly, it can also explain the opposition of hemolysis by mannitol in SGAM and
PAGGSM media.
PMID- 29660928
TI - Adrenal schwannoma: CT, MR manifestations and pathological correlation.
AB - OBJECTIVES: This study aimed to describe the computed tomography (CT), magnetic
resonance imaging (MRI) imaging features of adrenal schwannoma and to correlate
imaging findings with histopathologic findings. METHODS: The findings from
multiphase CT or MRI examinations of seventeen patients with histopathologically
confirmed adrenal schwannoma were reviewed. The imaging criteria included shape,
size, margin, attenuation, signal intensity, secondary degeneration, and internal
mass enhancement pattern. RESULTS: All cases were unilateral, round or oval
solitary tumors, with diameters ranging from approximately 2.5 to 8.8 cm (median
= 4.5 cm). Of the twelve cases assessed using CT, adrenal schwannoma appeared as
well-circumscribed round or oval low-density suprarenal masses with a mean
attenuation values of 30.1 HU of solid portions during unenhanced phase. Ten
cases exhibited heterogeneous cyst formation, and one case showed calcification.
Internal septa were noted in 5 cases. All solid areas displayed early mild
heterogeneous enhancement and delayed progressive enhancement. Regarding MRI,
solid portions of five masses were hypointense to the liver parenchyma on T1
weighted imaging (T1WI) and were heterogeneously hyperintense on T2-weighted
imaging (T2WI). The enhanced pattern of solid areas of adrenal schwannoma on MRI
is similar to that of CT. Cystic or hemorrhagic changes were noted in 4 cases and
internal septa were noted in 3 cases. CONCLUSION: Although schwannoma is a rare
entity in the adrenal gland, we believe that the following signs may suggest the
diagnosis of this entity: a non-lipid containing mass, a well-defined border, a
unilateral mass with cystic or hemorrhagic degeneration, septa with delayed
enhancement and a characteristic progressive contrast enhancement pattern of the
solid portions.
PMID- 29660929
TI - Ecchymosis: A novel sign in patients with varicose veins.
AB - BACKGROUND: In the absence of trauma, hematologic disease and anti-platelet use,
no attention has been paid to elucidate the mechanism of ecchymosis. It has taken
our attention that ecchymosis on lower limb might be a sign of varicose vein.
Accordingly, we aimed to analyze and describe the frequency of leg symptoms and
presence of ecchymosis in patients with varicose vein. MATERIALS AND METHODS:
Four hundred and ninety four patients who had been diagnosed as having varicose
vein or chronic venous insufficiency either by clinical examination or Doppler
ultrasonography were included in the study. Leg symptoms were defined as pain,
itching, muscle cramps, throbbing, and swelling. Ulcers, pigmentations and
ecchymosis were recorded as signs of varicose vein. Ecchymosis was defined as a
hemorrhagic lesions, larger than >3 mm on the skin of lower extremities, forming
a flat, rounded or irregular, blue or purplish patch. RESULTS: Leg pain was the
most common symptom in our study population. Ecchymosis was observed in 24
patients (5%). Logistic regression analysis showed that ecchymosis was
significantly and positively associated with muscle cramps (Odds ratio: 5.82, p =
0.001) and female gender (Odds ratio: 5.17 p = 0,019 but negatively associated
with age (Odds ratio: 0.94, p = 0.004). CONCLUSION: We have documented for the
first time that the frequency of ecchymosis and its association with muscle
cramps in a relatively large patient population with peripheral varicose vein or
chronic venous insufficiency. Ecchymosis on lower limbs should be considered as a
novel sign of varicose vein.
PMID- 29660930
TI - A.L. Copley Best Paper Prize 2017.
PMID- 29660931
TI - In memory of Prof. Dr. Sandro Forconi.
PMID- 29660932
TI - Neuroprotective Effects of Ferruginol, Jatrophone, and Junicedric Acid Against
Amyloid-beta Injury in Hippocampal Neurons.
AB - Soluble amyloid-beta (Abeta) oligomers have been recognized as early neurotoxic
intermediates with a key role in the synaptic dysfunction observed in Alzheimer's
disease (AD). Abeta oligomers block hippocampal long-term potentiation (LTP) and
impair rodent spatial memory. Additionally, the presence of Abeta oligomers is
associated with imbalanced intracellular calcium levels and apoptosis in neurons.
In this context, we evaluated the effects of three diterpenes (ferruginol,
jatrophone, and junicedric acid) that are found in medicinal plants and have
several forms of biological activity. The intracellular calcium levels in
hippocampal neurons increased in the presence of ferruginol, jatrophone, and
junicedric acid, a result that was consistent with the observed increase in CA1
synaptic transmission in mouse hippocampal slices. Additionally, assays using
Abeta peptide demonstrated that diterpenes, particularly ferruginol, restore LTP
and reduce apoptosis. Recovery of the Abeta oligomer-induced loss of the synaptic
proteins PSD-95, synapsin, VGlut, and NMDA receptor subunit 2A was observed in
mouse hippocampal slices treated with junicedric acid. This cascade of events may
be associated with the regulation of kinases, e.g., protein kinase C (PKC) and
calcium/calmodulin-dependent protein kinase II (CaMKII), in addition to the
activation of the canonical Wnt signaling pathway and could thus provide
protection against Abeta oligomers, which trigger synaptic dysfunction. Our
results suggest a potential neuroprotective role for diterpenes against the Abeta
oligomers-induced neurodegenerative alterations, which make them interesting
molecules to be further studied in the context of AD.
PMID- 29660933
TI - Medical Comorbidity in Alzheimer's Disease: A Nested Case-Control Study.
AB - BACKGROUND: Little is known about the distribution of medical comorbidities in
Alzheimer's disease (AD). OBJECTIVE: We aimed to describe the comorbidity pattern
of AD in a nested case-control study. METHODS: Incident AD cases were identified
by International Classification of Diseases codes in a random sample of 2 million
individuals in Taiwan National Health Insurance program during 2001-2011. We
further restricted cases to those treated with AD drugs of approved
reimbursement. We sampled a set of age- and sex-matched control subjects (2:1
ratio) and employed conditional logistic regression to estimate the associations
between pre-specified 14 comorbidities and AD. The clusters of multiple chronic
diseases were then identified by exploratory factor analysis. RESULTS: A total of
2,618 AD cases were identified during 2001-2011 with a mean age of 76.1 years and
female preponderance (59%). The most common 5 comorbidities in AD were
hypertension (55.1%), osteoarthritis (38.2%), depression (32.3%), diabetes
mellitus (DM) (25.7%), and cerebrovascular disease (CVD) (22.7%). After adjusting
for age and sex, DM, osteoporosis, depression, and CVD were significantly
associated with AD. The number of comorbidity was 3-fold greater in the AD group.
The cluster of hypertension, DM, and hyperlipidemia was the most common
combination in old age, whereas the cluster osteoarthritis and osteoporosis was
the only multimorbidity pattern significantly associated with AD. CONCLUSION:
Multimorbidity is common in AD. Depression, CVD, osteoporosis, and DM are
associated with incident AD, supporting that their co-existence is a typical
feature of AD at old age. Comorbidity care should be integrated into current
management for patients with AD.
PMID- 29660935
TI - Effect of Cilostazol on Incident Dementia in Elderly Men and Women with Ischemic
Heart Disease.
AB - BACKGROUND: Ischemic heart disease (IHD) is associated with cognitive decline and
may contribute to an increased risk of dementia. OBJECTIVE: The goal of the
present study was to investigate whether cilostazol use is associated with a
lower risk of incident dementia in Asian patients with IHD, and whether these
effects differed based on sex. METHODS: This retrospective cohort study was
performed using the Korean National Insurance Claim Data of the Health Insurance
Review and Assessment Service; the duration of the study was from January 1, 2007
to December 31, 2015. The study group comprised 66,225 patients with IHD, aged
>65 years, who had received cilostazol. Age- and sex-matched IHD patients without
cilostazol exposure were selected as the control group. The risk of dementia was
compared between the cilostazol and control groups. RESULTS: Compared to the
control group, total cilostazol users had a marginally significant lower risk of
incident dementia. After stratification by sex, the reducing effect of cilostazol
on incident dementia was significant in female participants, but not in male
participants. Female patients who had cilostazol for over 2 years showed a
clinically meaningful preventive effect (HR, 0.85; 95% CI, 0.82-0.88).
CONCLUSIONS: This study suggested that cilostazol treatment may reduce the risk
of incident dementia in Korean patients with IHD. Its beneficial effect was
remarkably significant in female patients who received cilostazol for over a 2
year period.
PMID- 29660934
TI - Bi-directional Association of Cerebrospinal Fluid Immune Markers with Stage of
Alzheimer's Disease Pathogenesis.
AB - Immune mechanisms may be important in the pathogenesis of Alzheimer's disease
(AD). Yet, studies comparing cerebrospinal fluid (CSF) and plasma immune marker
levels of healthy and demented individuals have yielded conflicting results. We
analyzed CSF from 101 members of the parental history-positive PREVENT-AD cohort
of healthy aging adults, and 237 participants without dementia from the initial
cohort of the Alzheimer's Disease Neuroimaging Initiative (ADNI-1). Following
recent practice, we used the biomarkers total-tau and amyloid-beta1-42 to
allocate participants from each study into four stages of AD pathogenesis: Stage
0 (no abnormality), Stage 1 (reduced amyloid-beta1-42), Stage 2 (reduced amyloid
beta1-42 and increased total-tau), or "Suspected Non-Alzheimer Pathology"
(elevated total-tau only). Investigating the PREVENT-AD participants' CSF assay
results for 19 immune/inflammatory markers, we found six that showed a distinct
bi-directional relationship with pathogenetic stage. Relative to Stage 0, these
were diminished at Stage 1 but strongly increased at Stage 2. Among the ADNI
participants (90 healthy controls and 147 with mild cognitive impairment), we
found that 23 of 83 available CSF markers also showed this distinct pattern.
These results support recent observations that immune activation may become
apparent only after the onset of both amyloid and tau pathologies. Unexpectedly,
they also suggest that immune marker activity may diminish along with earliest
appearance of amyloid-beta plaque pathology. These findings may explain
discordant results from past studies, and suggest the importance of
characterizing the extent of AD pathology when comparing clinical groups.
PMID- 29660936
TI - Associations of Angiotensin Converting Enzyme-1 and Angiotensin II Blood Levels
and Cognitive Function.
AB - BACKGROUND: Emerging evidence suggests a possible role of the renin angiotensin
system in the pathophysiologic process of Alzheimer's disease, of which
angiotensin converting enzyme-1 (ACE-1) and angiotensin II (ANGII) are important
proteins. Few studies evaluated associations between blood ACE-1 and none between
ANGII levels, and cognition. OBJECTIVE: Our pilot study was aimed to examine
associations between blood ACE-1 and ANG II levels and cognitive function in non
demented participants at baseline and over a 1-year period. METHODS: 56
participants were included from the Brain Health Substudy of the Baltimore
Experience Corps Study. Linear regression analysis, adjusting for confounders,
was used to determine associations between baseline ACE-1 and ANGII, and baseline
and 1-year follow-up measures of psychomotor and processing speed, executive
function, verbal learning memory and working memory, and whether these
associations were mediated by blood pressure. RESULTS: Participants were
predominantly female (75%), African-American (93%), with mean age of 67.8 years
and education of 14.3 years. There were no associations between baseline ACE-1 or
ANGII levels and cognitive function; however, there were significant association
between baseline ACE-1 levels and 1-year follow-up Trail Making Test, Part A
(beta= 0.003, p = 0.04) and Digit Span (beta= -0.001, p = 0.02). CONCLUSIONS: In
this cognitively intact sample, elevated ACE-1 levels were associated with worse
processing speed and working memory after 1 year. Findings from this pilot study
suggest that changes in the RAS are associated with alterations in cognitive
function warranting further assessment of the role of RAS in neurodegenerative
disorders.
PMID- 29660937
TI - Personality Modulates the Efficacy of Art Intervention on Chronic Pain in a
Population of Patients with Alzheimer's Disease.
AB - BACKGROUND: Alzheimer's disease (AD) mainly occurs in elderly individuals.
Comorbidities and chronic pain are frequent in this population. Previous studies
revealed that personality modulates both chronic pain (CP) andADoccurrence and
evolution. Moreover, as pain treatments can induce side-effects, non-drugs
treatments, such as art interventions, are interesting alternative therapies for
decreasing CP in these patients. OBJECTIVE: Our aim was to assess the potential
role of personality traits on art intervention efficacy for reducing CP in a
population of patients with mild AD. METHODS: Design: multicenter randomized
controlled trial. Fifty mild AD patients underwent a 12-week art intervention
including singing and painting groups. Personality was assessed with the Big Five
Inventory before the sessions. CP was measured with Numeric Rating Scale (NRS)
[Usual pain (NRS-U) and most Intense pain (NRS-I)], Simple Visual Scale [Usual
pain (SVS-U) and most Intense pain (SVS-I)] and Brief Pain Inventory (BPI) before
and after the sessions. The influence of personality traits on CP evolution
before and after art intervention was assessed with multiple linear regression
models. RESULTS: A positive association was observed between neuroticism and the
evolution of three CP measures including NRS-U (B = 0.34, p = 0.01), SVS-U (B =
0.20, p = 0.04), and BPI-U (B = 0.46, p = 0.02) evolution. No significant
relationship was observed between neuroticism and NRS-I, SVS-I and BPI-R
evolution. CONCLUSIONS: Our findings suggest that neuroticism can decrease the
efficacy of group art intervention on pain in patients with mild AD. Individual
therapies could be more appropriate for these patients. These results emphasize
the interest of taking into account patients' personality before proposing them
to participate to a group therapy.
PMID- 29660939
TI - Aberrant Cortical Event-Related Potentials During Associative Learning in Rat
Models for Presymptomatic Stages of Alzheimer's Disease.
AB - Trace eyeblink conditioning is a hippocampus-dependent associative learning
paradigm which is impaired in patients with Alzheimer's disease (AD) and animal
AD models. Learning in this paradigm accompanies changes in oscillatory activity
in forebrain regions, some of which are loci of pathogenic changes in prodromal
AD stages. These observations motivated us to examine how cortical event-related
potentials (ERPs) during this paradigm are affected by two features of the
asymptomatic, AD-related brain abnormality, entorhinal tau accumulation and mild
cholinergic deficit. Adult rats received viral overexpression of P301L mutant
human tau in the entorhinal cortex, low-dose scopolamine treatment, or both.
Electroencephalograms were recorded with epidural electrodes on the surface of
the frontal, parietal, and temporal cortices during differential and reversal
trace eyeblink conditioning. All rats developed conditioned responses to one of
two stimuli (auditory or visual) paired with mild eyelid shock (CS+), but not to
the other stimulus presented alone (CS-). They were also able to adjust the
response when the stimulus contingency was reversed. With learning, the amplitude
of several ERP components in the frontal and temporal cortices came to
differentiate the CS+ from CS-. Scopolamine affected the learning-related change
in temporal P2 and other learning-unrelated components in three regions.
Entorhinal tau overexpression primary affected the amplitude of temporal visual
ERPs and learning-unrelated frontal and temporal auditory ERP components. The
double manipulation only affected two components of temporal auditory ERPs. Thus,
cortical ERPs during differential associative learning are sensitive to
asymptomatic brain abnormality associated with AD.
PMID- 29660940
TI - Age-Dependent Changes to Sphingolipid Balance in the Human Hippocampus are Gender
Specific and May Sensitize to Neurodegeneration.
AB - The greatest risk factor for developing Alzheimer's disease (AD) is aging. The
major genetic risk factor for AD is the E4 allele of the APOE gene, encoding the
brain's major lipid transport protein, apolipoprotein E (ApoE). The research
community is yet to decipher why the ApoE4 variant pre-disposes to AD, and how
aging causes the disease. Studies have shown deregulated levels of sphingolipids,
including decreased levels of the neuroprotective signaling lipid sphingosine 1
phosphate (S1P), and increased ceramide content, in brain tissue and serum of
people with pre-clinical or very early AD. In this study we investigated whether
sphingolipid levels are affected as a function of age or APOE genotype, in the
hippocampus of neurologically normal subjects over the age of 65. Lipids were
quantified in 80 postmortem tissue samples using liquid chromatography tandem
mass spectrometry (LC-MS/MS). Sphingolipid levels were not significantly affected
by the presence of one E4 or E2 allele. However, ceramide, sphingomyelin, and
sulfatide content was very significantly correlated with age in the hippocampus
of males. On the other hand, S1P, normalized to its non-phosphorylated precursor
sphingosine, was inversely correlated with age in females. Our results therefore
establish gender-specific differences in sphingolipid metabolism in the aging
human brain. Ceramide is a pro-apoptotic lipid, and heavily implicated as a
driver of insulin resistance in metabolic tissues. S1P is a neuroprotective lipid
that supports glutamatergic neurotransmission. Increasing ceramide and decreasing
S1P levels may contribute significantly to a pro-neurodegenerative phenotype in
the aging brain.
PMID- 29660938
TI - The Alzheimer's Disease Assessment Scale-Cognitive Subscale (ADAS-Cog):
Modifications and Responsiveness in Pre-Dementia Populations. A Narrative Review.
AB - The Alzheimer's Disease Assessment Scale-Cognitive Subscale (ADAS-Cog) was
developed in the 1980s to assess the level of cognitive dysfunction in
Alzheimer's disease. Advancements in the research field have shifted focus toward
pre-dementia populations, and use of the ADAS-Cog has extended into these pre
dementia studies despite concerns about its ability to detect important changes
at these milder stages of disease progression. If the ADAS-Cog cannot detect
important changes, our understanding of pre-dementia disease progression may be
compromised and trials may incorrectly conclude that a novel treatment approach
is not beneficial. The purpose of this review was to assess the performance of
the ADAS-Cog in pre-dementia populations, and to review all modifications that
have been made to the ADAS-Cog to improve its measurement performance in dementia
or pre-dementia populations. The contents of this review are based on
bibliographic searches of electronic databases to locate all studies using the
ADAS-Cog in pre-dementia samples or subsamples, and to locate all modified
versions. Citations from relevant articles were also consulted. Overall, our
results suggest the original ADAS-Cog is not an optimal outcome measure for pre
dementia studies; however, given the prominence of the ADAS-Cog, care must be
taken when considering the use of alternative outcome measures. Thirty-one
modified versions of the ADAS-Cog were found. Modification approaches that appear
most beneficial include altering scoring methodology or adding tests of memory,
executive function, and/or daily functioning. Although modifications improve the
performance of the ADAS-Cog, this is at the cost of introducing heterogeneity
that may limit between-study comparison.
PMID- 29660941
TI - Brimapitide Reduced Neuronal Stress Markers and Cognitive Deficits in 5XFAD
Transgenic Mice.
AB - Alzheimer's disease (AD) is characterized by accumulations of amyloid-beta
(Abeta42) and hyperphosphorylated tau proteins, associated with
neuroinflammation, synaptic loss, and neuronal death. Several studies indicate
that c-Jun N-terminal kinase (JNK) is implicated in the pathological features of
AD. We have investigated in 5XFAD mice, the therapeutic effects of Brimapitide, a
JNK-specific inhibitory peptide previously tested with higher concentrations in
another AD model (TgCRND8). Three-month-old 5XFAD and wild-type littermate mice
were treated by intravenous injections of low doses (10 mg/kg) of Brimapitide
every 3 weeks, for 3 or 6 months (n = 6-9 per group). Cognitive deficits and
brain lesions were assessed using Y-maze, fear-conditioning test, and
histological and biochemical methods. Chronic treatment of Brimapitide for 3
months resulted in a reduction of Abeta plaque burden in the cortex of 5XFAD
treated mice. After 6 months of treatment, cognitive deficits were reduced but
also a significant reduction of cell death markers and the pro-inflammatory IL
1beta cytokine in treated mice were detected. The Abeta plaque burden was not
anymore modified by the 6 months of treatment. In addition to modulating
cognition and amyloid plaque accumulation, depending on the treatment duration,
Brimapitide seems experimentally to reduce neuronal stress in 5XFAD mice.
PMID- 29660944
TI - Cardiovascular Response to Mental Stress in Mild Cognitive Impairment and its
Association with Cerebral Perfusion.
AB - Mental stress has been linked to various chronic diseases including Alzheimer's
disease, but the mechanisms underlying cognitive decline with mental stress are
unknown. Reduced cardiovascular response to stress is associated with
cardiovascular disease, and the latter is associated with cognitive impairment.
We measured electrodermal activity, blood pressure, and cardiac hemodynamics in
cognitively normal and mild cognitive impairment (MCI) adults (n = 76, mean age =
58 years, 46% MCI) during rest, a math test, and face-name recall tasks to derive
the following cardiovascular indicators: mean arterial pressure, heart rate,
stroke volume and cardiac output. These indicators were compared between the two
groups. Cerebral blood perfusion via arterial spin-labeling MRI was measured in a
subgroup who underwent an MRI scan (n = 30). Following exposure to mental stress,
a decrease in stroke volume (p = 0.024) and cardiac output (p = 0.005) was found
in the MCI group, but an increase in both parameters in the cognitively normal
group. This difference was largest during face-name recall (standardized
difference in stroke volume = -0.50, p = 0.029, and in cardiac output = -0.52, p
= 0.023). Cardiac output during mental stress, but not at rest, decreased with
cerebral perfusion (normal: p = 0.078, beta= 1.97, R2 = 0.090; MCI: p = 0.007,
beta= 2.02, R2 = 0.008). No significant difference was found between the two
groups at rest. This preliminary study suggests that individuals with MCI have an
insufficient cardiac output, and in turn lower cerebral perfusion in response to
mental stress.
PMID- 29660943
TI - Circulating, Cell-Free Micro-RNA Profiles Reflect Discordant Development of
Dementia in Monozygotic Twins.
AB - We aim to examine if circulating micro-RNA and cytokine levels associate with
dementia diagnosis and cognitive scores. To test our hypothesis, we use plasma
donated from 48 monozygotic twin pairs in 1997 and 46 micro-RNAs and 10 cytokines
were quantified using microfluidic RT-qPCR and multiplex solid-phase
immunoassays, respectively. Micro-RNA and cytokine profiling were examined for
associations with dementia diagnoses in a longitudinal registry study or with
cognitive scores at baseline. Thirty-six micro-RNAs and all cytokines were
detected consistently. Micro-RNA profiles associate with diagnoses and cognitive
scores at statistically significant levels while cytokine only showed trends
pointing at chronic inflammation in twins having or developing dementia. The most
notable findings were decreased miR-106a and miR-210, and increased miR-106b
expression in twins with a dementia diagnosis. This pioneering evaluation of
micro-RNA and cytokine and dementia diagnosis suggests micro-RNA targets in
vasculogenesis, lipoprotein transport, and amyloid precursor protein genes.
PMID- 29660945
TI - TRPC1 Null Exacerbates Memory Deficit and Apoptosis Induced by Amyloid-beta.
AB - The transient receptor potential cation (TRPC) channels are widely expressed in
nervous system but their functions remain largely unclear. Here, we found that
TRPC1 deletion did not affect learning and memory in physiological conditions,
while it aggravated learning and memory deficits induced by amyloid-beta (Abeta),
the major component of the senile plaques observed in the brains of Alzheimer's
disease (AD). Further studies demonstrated that TRPC1 deletion did not affect
cell apoptosis in physiological condition, but it exacerbated the Abeta-induced
cell death in mouse hippocampus. Moreover, the level of TRPC1 was decreased in AD
cell and mouse models, and upregulation of TRPC1 decreased Abeta levels with
attenuation of apoptosis in the cells stably overexpressing amyloid-beta protein
precursor (AbetaPP). Finally, the transmembrane domain of TRPC1 could bind to
AbetaPP and thus decreased Abeta production. These findings indicate that loss of
TRPC1 exacerbates Abeta-induced memory deficit and cell apoptosis, though it does
not impair cognitive function or induce cell death in physiological conditions.
PMID- 29660942
TI - The Role of the Gut Microbiota in the Metabolism of Polyphenols as Characterized
by Gnotobiotic Mice.
AB - A growing body of experimental data suggests that microbes in the gut influence
behavior and can alter brain physiology and neurochemistry. Although promising,
researchers are only starting to understand the potential of the gut microbiota
for use in neurological disease. Recent evidence demonstrated that
gastrointestinal activities are linked to mood disorders such as anxiety,
depression, and most recently, cognitive functions in age-related
neurodegenerative disorders. Studies from our group and others are uncovering new
evidence suggesting that the gut microbiota plays a crucial role in the
metabolism and bioavailability of certain dietary compounds and synthetic drugs.
Based on this evidence, this review article will discuss the implications of the
gut microbiota in mechanisms of bioavailability and biotransformation with an
emphasis on dietary polyphenol compounds. This will be followed by a survey of
ongoing innovative research identifying the ability of individual gut bacteria to
enhance the bioavailability of gut-derived, brain-penetrating, bioactive
polyphenol metabolites that ultimately influence mechanisms associated with the
promotion of resilience against psychological and cognitive impairment in
response to stress. Lastly, current research initiatives aimed at promoting the
generation of brain bioactive polyphenol metabolites by specialized gut microbes
will be discussed, specifically the use of gnotobiotic mice to develop
bioengineered second generation probiotics. We propose that leveraging the gut
microbial ecosystem to generate brain targeted bioactive metabolites from dietary
polyphenols can attenuate lifestyle risk factors and promote resilience against
age-related cognitive decline.
PMID- 29660946
TI - PET Tau and Amyloid-beta Burden in Mild Alzheimer's Disease: Divergent
Relationship with Age, Cognition, and Cerebrospinal Fluid Biomarkers.
PMID- 29660947
TI - Effects of Walkbot gait training on kinematics, kinetics, and clinical gait
function in paraplegia and quadriplegia.
AB - BACKGROUND: The robotic-assisted gait training (RAGT) system has gained
recognition as an innovative, effective paradigm to improve functional ambulation
and activities of daily living in spinal cord injury and stroke. However, the
effects of the Walkbot robotic-assisted gait training system with a specialized
hip-knee-ankle actuator have never been examined in the paraplegia and
quadriplegia population. OBJECTIVE: The aim of this study was to determine the
long-term effects of Walkbot training on clinical for hips and knee stiffness in
individuals with paraplegia or quadriplegia. METHODS: Nine adults with subacute
or chronic paraplegia resulting from spinal cord injury or quadriplegia resulting
from cerebral vascular accident (CVA) and/or hypoxia underwent progressive
conventional gait retraining combined with the Walkbot RAGT for 5 days/week over
an average of 43 sessions for 8 weeks. Clinical outcomes were measured with the
Functional Ambulation Category (FAC), Modified Rankin Scale (MRS), Korean version
of the Modified Barthel Index (K-MBI), Modified Ashworth Scale (MAS). Kinetic and
kinematic data were collected via a built-in Walkbot program. RESULTS: Wilcoxon
signed-rank tests showed significant positive intervention effects on K-MBI,
maximal hip flexion and extension, maximal knee flexion, active torque in the
knee joint, resistive torque, and stiffness in the hip joint (P < 0.05). These
findings suggest that the Walkbot RAGT was effective for improving knee and hip
kinematics and the active knee joint moment while decreasing hip resistive force.
These improvements were associated with functional recovery in gait, balance,
mobility and daily activities. CONCLUSIONS: These findings suggest that the
Walkbot RAGT was effective for improving knee and hip kinematics and the active
knee joint moment while decreasing hip resistive force. This is the first
clinical evidence for intensive, long-term effects of the Walkbot RAGT on active
or resistive moments and stiffness associated with spasticity and functional
mobility in individuals with subacute or chronic paraplegia or quadriplegia who
had reached a plateau in motor recovery after conventional therapy.
PMID- 29660948
TI - The cardiac autonomic nervous system response to different daily demands among
patients at the sub-acute phase post ischemic stroke and healthy controls.
AB - BACKGROUND: Autonomic disturbances are a common phenomenon in patients' post
stroke, characterized by hypo function of the para-sympathetic and/or overactive
sympathetic system. The impact of autonomic disturbances on physical therapy
tasks during the rehabilitation period has not yet been assessed. OBJECTIVE: To
describe the response of the cardiac autonomic nervous system during different
tasks, among patients and age-matched healthy controls. METHODS: Nineteen
patients in the subacute phase post first-ever ischemic stroke, and 16 controls.
The Polar advanced heart rate monitor (RS800CX) was used to record RR intervals
at rest, during paced breathing exercise, while performing different types of
muscle contractions, and during single and dual task conditions. RESULTS: RR
intervals and heart-rate variability (HRV) parameters were significantly lower
among patients post stroke, both at rest and during most of the activities
tested. Among the control group a significant autonomic adaptation was seen in
the form of reduced RR intervals and HRV during muscle contraction and a
significant increase in these parameters during slow breathing, no significant
changes were observed among patients post stroke. CONCLUSION: Patients post
stroke experience hyper sympathetic function at rest and less adaptive cardiac
autonomic control during different activities, which all may have an impact on
rehabilitation outcomes.
PMID- 29660950
TI - Impact of balance on functional independence after stroke: A cross-sectional
study at rehabilitation settings in Nigeria.
AB - BACKGROUND: Functional independence is often compromised after stroke and its
restoration is one of the goals of stroke rehabilitation. OBJECTIVE: This study
assessed the impact of balance on functional independence after stroke. METHODS:
Ninety-five stroke patients undergoing physical rehabilitation at two health
institutions in Nigeria participated in the study. Balance and functional
independence were respectively assessed with the Berg Balance Scale and the
Barthel Index. Binary logistic regression analysis was conducted to examine the
independent impact of balance on functional independence while controlling for
participants' age, sex, side of hemiparesis and post-stroke duration. RESULTS:
Mean+/-SD age of the participants was 58.4+/-13.1 years and females were more (f
= 48; % = 50.5). The regression model from the binary regression analysis
explained 73.2% (Nagelkerke R2 = 0.732) of the variance in functional
independence. Good balance function was found to independently and significantly
(P < 0.01) increase the likelihood of being functionally independent after
stroke (Odds ratio = 1.33; 95% CI = 1.11 to 1.58). CONCLUSION: The goal of
attaining functional independence after stroke may depend to a significant extent
on good balance function irrespective of the stroke survivor's age, sex and post
stroke duration.
PMID- 29660951
TI - Effects of neuromuscular electrical stimulation in patients with Parkinson's
disease and dysphagia: A randomized, single-blind, placebo-controlled trial.
AB - BACKGROUND: Neuromuscular electrical stimulation has been used to improve
swallowing function in neurologic patients with dysphagia, but its effect on
patients with dysphagia and Parkinson's disease remains unclear. OBJECTIVES: This
study aimed to identify the effect of effortful swallowing combined with
neuromuscular electrical stimulation as a novel treatment approach in dysphagic
patients with Parkinson's disease. METHODS: Participants were randomly allocated
to an experimental group (n = 9) or a placebo group (n = 9). The experimental
group simultaneously received neuromuscular electrical stimulation with effortful
swallowing, while the placebo group received sham neuromuscular electrical
stimulation with effortful swallowing. All participants received the treatment
for 30 min/day at five sessions per week for 4 weeks. Both groups also received
the same conventional dysphagia therapy. RESULTS: The experimental group showed
significant differences in horizontal movement (p = 0.038) and vertical movement
(p = 0.042) compared to the placebo group, but showed no significant differences
in the oral (p = 0.648) or pharyngeal phase (p = 0.329) of the Videofluoroscopic
Dysphagia Scale compared to the placebo group, except for the Penetration
Aspiration Scale (p = 0.039). CONCLUSIONS: We demonstrated that neuromuscular
electrical stimulation applied to the infrahyoid region combined with effortful
swallowing effectively increased hyoid bone movement and reduced aspiration in
dysphagic patients with Parkinson's disease.
PMID- 29660952
TI - Objective motor assessment for personalized rehabilitation of upper extremity in
brain injury patients.
AB - BACKGROUND: The increasing number of patients with acquired brain injury and the
current subjectivity of the conventional upper extremity (UE) assessment tests
require new objective assessment techniques. OBJECTIVE: This research proposes a
novel objective motor assessment (OMA) methodology based on the Fugl-Meyer
assessment (FMA). The goals are to automatically calculate the objective scores
(OSs) of FMA-UE movements (as well as a global OS) and to interpret the estimated
OSs. METHODS: Fifteen patients participated in the study. The OMA algorithm was
designed to detect small-scale variations in UE movements. The OSs for 14 FMA-UE
movements and the global OSs were automatically calculated using the algorithm
and evaluated by 2 therapists. The interpretation of the global OSs was performed
at 3 levels: by item, movement and globally. RESULTS: The global OSs calculated
by our algorithm had a significant correlation with the therapists' scores (0.783
and 0.938, p < 0.01). All OSs for each movement were correlated with the scores
given by the therapists. The correlation coefficient can reach values as high as
0.981 (p < 0.01). CONCLUSIONS: We provide a new objective assessment tool for
therapists to help them improve the diagnostic accuracy and to achieve a more
personalized and potentially effective physical rehabilitation of brain injury
patients.
PMID- 29660953
TI - Whole-body vibration improves ankle spasticity, balance, and walking ability in
individuals with incomplete cervical spinal cord injury.
AB - OBJECTIVES: This study aimed to investigate the effects of whole-body vibration
(WBV) training on ankle spasticity, balance, and walking ability in patients with
incomplete spinal cord injury (iSCI) at cervical level. METHODS: Twenty-eight
patients with cervical iSCI were randomly assigned to WBV (n = 14) or control
group (n = 14). WBV group received WBV training, while control group was treated
with placebo-treatment. All interventions were given for 20-min, twice a day, 5
days a week for 8-weeks. The spasticity of ankle plantar-flexors was assessed by
estimating passive resistive force using a hand-held dynamometer. Balance was
analyzed based on postural sway length (PSL) using a force plate. Timed-Up and Go
test (TUG) and 10 m-Walk Test (10MWT) were used to assess walking ability.
RESULTS: Both groups showed significant improvements in spasticity, balance and
walking ability. Also, the significant differences between two groups were
demonstrated in the outcomes of spasticity (3.0+/-1.7 vs 0.9+/-1.2), PSL (6.4+/
1.2 vs 3.2+/-0.9 with eyes-open, and 15.1+/-10.9 vs 7.4+/-4.3 with eyes-closed),
TUG (2.3+/-1.3 vs 1.0+/-1.0), and 10MWT (3.5+/-2.3 vs 1.3+/-1.4). CONCLUSIONS:
WBV may be a safe and effective intervention to improve spasticity, balance and
walking ability in individuals with cervical iSCI. Thus, WBV may be used to
improve these symptoms in clinics.
PMID- 29660949
TI - Concussion and the autonomic nervous system: An introduction to the field and the
results of a systematic review.
AB - BACKGROUND: Recent evidence suggests that autonomic nervous dysfunction may be
one of many potential factors contributing to persisting post-concussion
symptoms. OBJECTIVE: This is the first systematic review to explore the impact of
concussion on multiple aspects of autonomic nervous system functioning. METHODS:
The methods employed are in compliance with the American Academy of Neurology
(AAN) and PRISMA standards. Embase, MEDLINE, PsychINFO, and Science Citation
Index literature searches were performed using relevant indexing terms for
articles published prior to the end of December 2016. Data extraction was
performed by two independent groups, including study quality indicators to
determine potential risk for bias according to the 4-tiered classification scheme
of the AAN. RESULTS: Thirty-six articles qualified for inclusion in the analysis.
Only three studies (one Class II and two Class IV) did not identify anomalies in
measures of ANS functioning in concussed populations. CONCLUSIONS: The evidence
supports the conclusion that it is likely that concussion causes autonomic
nervous system anomalies. An awareness of this relationship increases our
understanding of the physical impact of concussion, partially explains the
overlap of concussion symptoms with other medical conditions, presents
opportunities for further research, and has the potential to powerfully inform
treatment decisions.
PMID- 29660954
TI - Usability of the Thera-Band(r) to improve foot drop in stroke survivors.
AB - BACKGROUND: Stroke survivors show "foot drop", dragging their toes on the ground
in the swing phase of gait. Ineffective ankle dorsiflexion may result in an
abnormal gait pattern. OBJECTIVE: The purpose of this study was to investigate
the effect of ankle Thera-Band(r) use on gait patterns in stroke survivors.
METHODS: Gait data were collected in eight subjects who had had strokes using
gait analysis system, both with and without the Thera-Band(r). The following
dependent variables of gait parameters were analyzed: velocity, cadence, step
length, stride length, single support time, and double support time. RESULTS:
There were significant improvements in gait velocity, cadence, stride length, and
double support time in those who used the Thera-Band(r) compared to those who did
not (p < 0.05). However, there were no significant differences in step length or
single support time (p > 0.05). CONCLUSIONS: The results show that ankle Thera
Band(r) use may have a positive effect in improving gait parameters by increasing
the ankle stability in stroke survivors with foot drop. Its usefulness in the
rehabilitation of foot drop in stroke survivors needs to be further investigated.
PMID- 29660955
TI - Change in mobility function and its causes in adults with cerebral palsy by Gross
Motor Function Classification System level: A cross-sectional questionnaire
study.
AB - BACKGROUND: The prognosis for mobility function by Gross Motor Function
Classification System (GMFCS) level is vital as a guide to rehabilitation for
people with cerebral palsy. OBJECTIVE: This study sought to investigate change in
mobility function and its causes in adults with cerebral palsy by GMFCS level.
METHODS: We conducted a cross-sectional questionnaire study. RESULTS: A total of
386 participants (26 y 8 m, SD 5 y 10 m) with cerebral palsy were analyzed.
Participant numbers by GMFCS level were: I (53), II (139), III (74) and IV (120).
The median age of participants with peak mobility function in GMFCS level III was
younger than that in the other levels. 48% had experienced a decline in mobility.
A Kaplan-Meier plot showed the risk of mobility decline increased in GMFCS level
III; the hazard ratio was 1.97 (95% CI, 1.20-3.23) compared with level I. The
frequently reported causes of mobility decline were changes in environment, and
illness and injury in GMFCS level III, stiffness and deformity in level IV, and
reduced physical activity in level II and III. CONCLUSIONS: Peak mobility
function and mobility decline occurred at a younger age in GMFCS level III, with
the cause of mobility decline differing by GMFCS level.
PMID- 29660956
TI - Effect of virtual reality training on walking distance and physical fitness in
individuals with Parkinson's disease.
AB - OBJECTIVE: To evaluate the effects of gait training with virtual reality (VR) on
walking distance and physical fitness in individuals with Parkinson's Disease
(PD). METHODS: Thirty-seven individuals with PD participated in this prospective,
randomized, controlled clinical trial. They were randomly allocated to a control
group submitted to conventional training (n = 12), a treadmill group submitted to
gait training on a treadmill (n = 13) and a VR group submitted to gait training
using the XboxTM (n = 12). Clinical measures, gait variables and the Six-Minute
Walk Test (6MWT) were evaluated: pre-intervention, after one intervention
session, post-intervention and follow up (30 days after intervention). RESULTS:
The VR and treadmill groups travelled longer distances on the 6MWT and had faster
gait speed in comparison to the control group. The VR and treadmill groups
demonstrated an increase in pre-6MWT HR. The VR group had more intense HR after
the first session and throughout training, but these gains were not maintained at
the follow-up. CONCLUSION: The present findings demonstrate that gait training
with a VR program is as effective as treadmill training with regard to gains in
walking distance and improvements in temporal gait variables in individuals with
PD.
PMID- 29660957
TI - A systematic review and meta-analysis of educational interventions for children
and adolescents with acquired brain injury.
AB - BACKGROUND: Children with brain injuries face significant challenges in their
recovery. One of the greatest is transitioning from hospital/home to school where
they face issues such as reintegration, lack of understanding and catching up
with missed work. Many children struggle with their altered circumstances and
require additional supports to meet the academic demands of systems which are ill
equipped to teach them. OBJECTIVE: To summarise the best available evidence for
the use of educational interventions to improve academic attainment in childhood
survivors of acquired brain injury (ABI). METHODS: Six electronic databases
(Cinahl, Embase, Medline, PsycINFO, Pubmed, & Web of Science) were systematically
searched for randomised controlled trials published between 1980 and 2017. Two
authors independently reviewed these studies and extracted data on type of
intervention, characteristics of participants, outcome measures, findings and
recommendations. The Cochrane Collaboration's Risk of Bias tool was used to
assess systematic error in the included studies. RESULTS: Four studies met the
inclusion criteria (n = 296 children and adolescents). Three studies (n = 287)
were included in meta-analysis for the primary outcome which showed no
statistically significant difference between the intervention and control
conditions on academic attainment (SMD 1.31, 95% CI -0.06 to 2.68, p = 0.06). No
statistically significant differences were found which favoured the intervention
for the secondary outcomes of attention, internalising or externalising behavior.
All effect sizes were considered as small. CONCLUSIONS: This review suggests that
no currently effective educational interventions exist for children with ABI.
Greater efforts are required to produce effective and rigorously tested
interventions to improve outcomes for these children.
PMID- 29660958
TI - Immersive virtual reality in traumatic brain injury rehabilitation: A literature
review.
AB - BACKGROUND: Traumatic brain injury (TBI) is a common cause of morbidity and
mortality in the United States with its sequelae often affecting individuals long
after the initial injury. Innovations in virtual reality (VR) technology may
offer potential therapy options in the recovery from such injuries. However,
there is currently no consensus regarding the efficacy of VR in the setting of
TBI rehabilitation. OBJECTIVE: The aim of this review is to evaluate and
summarize the current literature regarding immersive VR in the rehabilitation of
those with TBI. METHODS: A comprehensive literature search was conducted
utilizing PubMed, Google Scholar, and the Cochrane Review using the search terms
"virtual reality," "traumatic brain injury," "brain injury," and "immersive."
RESULTS: A total of 11 studies were evaluated. These were primarily of low-level
evidence, with the exception of two randomized, controlled trials. 10 of 11
studies demonstrated improvement with VR therapy. VR was most frequently used to
address gait or cognitive deficits. CONCLUSIONS: While the current literature
generally offers support for the use of VR in TBI recovery, there is a paucity of
strong evidence to support its widespread use. The increasing availability of
immersive VR technology offers the potential for engaging therapy in TBI
rehabilitation, but its utility remains uncertain given the limited studies
available at this time.
PMID- 29660959
TI - Application of clean intermittent catheterization for neurogenic bladder in
infants less than 1 year old.
AB - BACKGROUND: Neurogenic bladder (NB) is a common pediatric urological disease
caused by a variety of neurological pathologies. Clean intermittent
catheterization (CIC) has been the preferred method to empty bladder. OBJECTIVE:
To investigate the effect of CIC on preserving bladder and upper urinary tract
function in infants less than 1 year old with NB. METHODS: A retrospective
analysis was conducted on 76 infants with NB. Patients were divided into two
groups according to treatment initiation: the early CIC group (ECG) (<1 year old)
and the late CIC group (LCG) (>3 years old). RESULTS: Bladder compliance (BC),
safe bladder capacity (SBC) and maximum cystometric capacity (MCC) were
significantly higher in the ECG than those in the LCG at 6 years of follow-up
respectively (P < 0.05). The frequencies of vesicoureteral reflux (VUR) and
urinary tract infection (UTI) in the ECG were significantly lower than those in
the LCG (P < 0.05) at 6 years of follow-up. Two and nine patients exhibited mild
renal damage in the ECG and LCG, respectively, resulting in a significant
difference (P < 0.05) at 6 years of follow-up. CONCLUSION: Early CIC plays an
important role in preserving bladder function and preventing UTI and renal
deterioration in infants with NB, especially in the first year of life.
PMID- 29660960
TI - Are functional mobility tests responsive to group physical therapy intervention
in individuals with Parkinson's disease?
AB - BACKGROUND: The Timed up and go test (TUG), the Five times sit-to-stand test
(FTSTS) and the Bed Mobility test (BMT) are widely used in clinical practice for
Parkinson Disease (PD). However, no reported studies have evaluated the
responsiveness to group physical therapy intervention (GPTI). OBJECTIVE: To
verify if TUG, FTSTS and BMT were responsive to GPTI. METHODS: Thirty individuals
with PD were assessed prior to and after an 8-week evidence-based GPTI. Paired t
test was used to determine statistically significant change pre-and post
intervention. Internal responsiveness (IR) was classified with the standardized
response mean (SRM). A 5-point Likert scale assessed self-perceived performance
by the subjects after the intervention. Analysis of the receiver operating
characteristic (ROC) curve was used to determine the accuracy and cut-off scores
for identifying participants who had shown improvement. RESULTS: GPTI was
efficient in improving real (p<=0.001) and self-perceived mobility performance in
all measures. All tests were responsive to changes: the IR varied from medium to
high (SRM = 0.7-1.5); the cut-off point for TUG test was >2.2 s, for FTSTS test
was >2.5 s and for BM test >1.4 s. CONCLUSIONS: The TUG, FTSTS and BMT were
responsive to the GPTI and accurately detected meaningful clinical changes. Our
results provide an important information about the clinical application of these
tests in PD individuals.
PMID- 29660961
TI - An international perspective on educators' perceptions of children with Traumatic
Brain Injury.
AB - BACKGROUND: Educators lack understanding of traumatic brain injury (TBI), which
can lead to a lack of appropriate assessment and intervention methods for these
students. OBJECTIVE(S): This qualitative study explored what experienced teachers
perceive, believe, and know about pediatric TBI. METHODS: Following development
of a standardized interview protocol, 46 teachers from Australia, New Zealand,
Northern Ireland, and the United States took part in semi-structured interviews.
Topics included understanding of the effects of TBI on school performance,
supporting a child with TBI in the classroom, and challenges and teaching
efficacy in working with students with TBI. RESULTS: The themes we identified
were: personal experience with TBI, lack of content knowledge, non-TBI-specific
adaptations, collaboration with experts, need for specific training, confidence
in working with students with TBI, and knowledge of students' rights to service
provision. Our findings show that although teachers had little knowledge of TBI,
many felt they would be able to adequately support a child with appropriate input
from specialists. CONCLUSION: Teachers fill their knowledge gaps about TBI with
their own personal experiences and prior information about working with students
with disabilities. These findings support important implications for changes in
how we educate and support teachers.
PMID- 29660962
TI - Return to learn: Transitioning to school and through ascending levels of academic
support for students following a concussion.
AB - PURPOSE: The purpose of this article is to familiarize healthcare providers and
parents with educational language, laws, and processes as they relate to a
comprehensive ascending level of academic supports as it pertains to promoting a
smooth and supported transition to school following a concussion. BACKGROUND:
Returning to learn (RTL) following a concussion is of parallel importance to
returning to sport (RTS). A successful RTL is a critical part of concussion
management. Many RTL articles advise healthcare providers and parents to request
formalized educational supports, also known as Tier 2 or Tier 3 services, for
children with concussion as they return to school. FINDINGS: Premature requests
for formal (Tier 2 or 3) educational services, rather than allowing for immediate
informal educational supports (known as Tier 1), can actually delay academic
supports and have the potential to cause adversarial relationships between
parents and schools. Additionally, this practice contradicts current research
demonstrating the need for fast, flexible, temporary academic supports within the
first month post-injury. CONCLUSION: Allowing school districts to direct the
application of existing ascending levels of educational support for students with
concussion as they return to school can promote robust and positive outcomes.
PMID- 29660963
TI - Readiness to recognize: A qualitative case study of educational identification of
TBI.
AB - BACKGROUND: The educational identification (ED-ID) of students with traumatic
brain injury (TBI) has been legislated in numerous states, and TBI has become its
own special education category. Questions abound as to whether these changes will
lead to more readily identifying these students to provide appropriate special
education supports. OBJECTIVE: Elucidate one state's response to legislative
changes around ED-ID of TBI. METHODS: This is a phenomenological case study of
nine school districts in one state. Researchers conducted focus groups as well as
individual interviews with various special education staff. On-going analysis of
the focus group and interview data occurred, until themes were finalized.
RESULTS: Reactions of staff comprised two opposing viewpoints: those supporting
and carrying out ED-ID ("Toward ED-ID") and those describing processes and
attitudes that stand in the way ("Barriers"). Themes that were supportive of ED
ID include Credible History, Personnel, Targeted Intervention, Effective
Communication, and Education. Themes aligned with the barrier viewpoint include
Concussion Protocols, Medical Nature, Misidentification, Stigma, and
Communication Breakdown. CONCLUSION: While many districts reported success with
ED-ID for TBI, responses to the ED-ID legislation and TBI category were mixed,
with enough barriers identified to cause concern over whether the legislative and
policy changes have been overall beneficial.
PMID- 29660964
TI - Hospital to school transition following traumatic brain injury: A qualitative
longitudinal study.
AB - BACKGROUND: The transition from hospital to school is regarded by researchers as
a key factor in the educational success of students with traumatic brain injury
(TBI). OBJECTIVES: The objective of this study is to look closely at what
transition services consist of, how they are delivered, and how they are received
by educators. METHODS: Parents and educators of 21 students with TBI participated
in a qualitative study of the delivery of transition services and implementation
of hospital recommendations. RESULTS: This paper presents two themes that emerged
from interview and observational data collected over a 7-year period: 1)
provision of hospital-school transition services is inconsistent and 2) teachers
lack sufficient awareness of and training in TBI to implement hospital transition
recommendations. Regardless of the type and quality of transition support, most
educators in the students' schools remained unaware of the students' needs and
how to meet them. CONCLUSION: Recommendations include more consistent and
specific communication between hospital and school for all students with TBI,
long-term tracking of the injury in student files, and increased training of
educators in how to meet the needs of students with TBI.
PMID- 29660965
TI - A clinically focused systematic review of social communication in pediatric TBI.
AB - BACKGROUND: Social communication is a persistent area of need after pediatric
traumatic brain injury; however, it continues to be misunderstood, under
recognized, and under-treated. Clinicians must have a working understanding of
current evidence and practice recommendations to support practice. OBJECTIVES: 1)
To analyze recent evidence in social communication published in the last ten
years, which is specific to pediatric TBI and 2) To translate these findings for
clinicians. METHODS: A systematic literature review was conducted. Ninety-five
articles met criteria for full analysis. For analysis, articles were grouped
according five themes: 1) Theoretical/Review; 2) Etiology/Characteristics; 3)
Recovery/Outcome; 4) Assessment; or 5) Intervention. Article findings were then
extracted, translated, and summarized. RESULTS: The majority of articles focused
on describing characteristics of social communication deficits after pediatric
TBI, documenting recovery, and identifying factors that contribute to outcome.
Research on the relationship of development, age at injury, injury severity, and
environmental factors with social communication outcomes requires additional
attention. Research in assessment and treatment is critically sparse. CONCLUSION:
The results of this review highlight both the progress that has been made in
understanding the phenomena of social communication deficits in pediatric TBI and
the critical need for basic and translational research in assessment and
intervention.
PMID- 29660966
TI - Community-based interventions for adolescents following traumatic brain injury: A
systematic review.
AB - BACKGROUND: Chronic impairment following childhood traumatic brain injury has the
potential to increase risk of negative outcomes. This highlights potential value
in community-based rehabilitation programs. OBJECTIVES: To identify research
studies examining existing intervention programmes available in community-based
rehabilitation to adolescents following TBI to assist with the transition back
into the community. METHODS: A systematic review of community-based interventions
was conducted across different national contexts. All included studies involved a
clinical population with TBI, aged 11 to 25 years inclusive. Risk of bias was
rated for each included study. RESULTS: Seventeen studies were identified for
inclusion in the review, of these eleven distinct interventions were found. The
quality of evidence was largely weak and highly variable. CONCLUSION: The results
suggest some improvement in adolescent outcomes following community-based
interventions, however higher quality evidence is needed to support specific
interventions.
PMID- 29660967
TI - A call for implementing preferred practices for brain injury screening in youth
to improve transition.
AB - BACKGROUND: It is vital to engage in systematic screening to identify and serve
children who may have sustained an acquired brain injury (ABI) - either traumatic
or non-traumatic, so they can be successfully transitioned between environments
and life stages. This is particularly important for children and adolescents
given the impact an ABI can have on learning and social functioning over the
course of the neurodevelopmental process. A pattern of repeated, undiagnosed mild
brain injuries may lead to mood or behavior disorders, learning problems. Despite
increasing awareness of brain injury as a public health issue, there has not been
implementation of systematic screening practices in schools or other public
health settings similar to other conditions (e.g., vision disorders, Autism
Spectrum Disorders). OBJECTIVES: What is needed to address this lack of
systematic screening for ABI is a rationale for systematic screening for ABI in
children and adolescents, including examining successful models of screening for
other disorders and conditions. METHODS: A review was conducted of available ABI
screening methods, including a description of the available screening tools for
pediatric ABI, along with supporting research findings. RESULTS: A comparison was
made of these pediatric ABI screening tools, looking at the purpose of the tool,
the populations and settings in which the tool can be used, the time and cost for
administering the tool, the evidence basis in the literature supporting the tool,
and the types of outcomes that can be attained from using the tool. CONCLUSION:
Recommendations are made for procedures for systematically implementing ABI
screening in pediatric settings including schools, primary care providers, mental
health, and juvenile justice systems to improve the access to brain injury
services and affording more successful transition of adolescents into the adult
roles.
PMID- 29660968
TI - Rehabilitation and school services following traumatic brain injury in young
children.
AB - BACKGROUND: Preschool children have the highest incidence of emergency department
visits for traumatic brain injury (TBI) with the majority discharged home without
a single point of entry into follow-up services. This paper describes families'
experience with service access following TBI. OBJECTIVES: To describe parent
reported service utilization following preschool TBI and examine predictors of
service access. METHODS: Participants (N = 80) were drawn from the first visit of
a longitudinal study examining elementary school outcomes following TBI. Parents
were interviewed about their service history. Descriptive statistics analyzed
sample demographics. Logistic regression examined associations between injury
related factors and service utilization. RESULTS: TBI participants had mild
injuries (83%) and were either discharged to home or spent less than a week in
the hospital. No TBI participants received inpatient rehabilitation and only a
portion of the sample (26%) received outpatient treatment. Length of hospital
stay was a significant predictor of service access (Wald = 4.33, df = 1, p =
0.040). All children were enrolled in preschool without accommodations.
CONCLUSION: Currently no systematic continuum of care exists for children with
TBI injured prior to elementary school, despite availability of healthcare,
education and state based resources. Healthcare professionals in contact with
young children can help with follow-up by educating parents about TBI effects on
learning.
PMID- 29660969
TI - Self-regulation strategies used by students with brain injury while transitioning
to college.
AB - PURPOSE: Students with TBI enter college with strategies that they have used
prior to being injured yet often without knowing which ones will be effective in
helping them to be successful. The purpose here is to describe how semi
structured interviews were used to identify self-regulated learning strategies,
to demonstrate the utility and reliability of coding self-regulated learning
strategies, and to provide examples of student-centered goals derived from survey
and interview responses. METHODS: College students completed the College Survey
for Students with Brain Injury (CSS-BI) and were interviewed before and after
coaching support that focused on teaching self-regulated learning. Responses to
interview questions about strategies were coded using a modified version of
Zimmerman and Martinez-Pons's (1986) schema. Coders also rated strategies for
specificity. RESULTS: Strategies were reliably coded into 16 categories of self
regulation. Inter and intra-reliability were strong. Four of the five students
reported using a larger variety of self-regulation strategies and strategies that
were more specific after coaching support. DISCUSSION AND CONCLUSIONS: It is
possible to reliably code self-regulation learning strategies reported by college
students with TBI. These measures have potential as functional 'outcomes' for
students who are transitioning to college. Interview responses can be used to
collaboratively create student-centered goals.
PMID- 29660970
TI - Inflammation and rehabilitation outcomes in patients with nontraumatic
intracranial haemorrhage.
AB - BACKGROUND: Systemic inflammation and its impact on rehabilitation for patients
with non-traumatic haemorrhagic injury (HBI) sequelae has not yet been adequately
documented. OBJECTIVE AND METHODS: We therefore considered 31 patients with HBI,
to determine the serum levels of inflammatory markers (C-Reactive Protein, CRP
and or interleukine-6, IL-6) to establish their impact on functional status
(Functional Independence Measure, FIM: 18 indicating the worst performance and
126, a normal score). RESULTS: The results showed an inflammation prevalence (CRP
>0.5 mg/dl and/or IL 6 >7 pg/ml) of 74.2% at admission to Rehab. FIM reduction
was more pronounced in inflamed compared to non-inflamed subjects (p < 0.05) and
significantly correlated with blood variables sensitive to inflammation, such as
alpha 1 globulin (r = - 0.565) and neutrophil/ lymphocyte ratio (r = - 0.52), CRP
(r = - 0.365). At discharge from Rehab, the inflammation rate diminished.
Inflamed patients showed similar gains in FIM score as their controls. In the
entire population, the FIM gain was significantly associated with a gain in serum
albumin, only (r = +0.56). CONCLUSIONS: We conclude that systemic inflammation is
prevalent in HBI patients and contributes to reduce patient functional status.
However, during the Rehab stage, inflammation does not hinder the improvement
rate of functional capacity.
PMID- 29660973
TI - Computation of hemodynamics in eccentric coronary stenosis: A morphological
parametric study.
AB - BACKGROUND: Flow recirculation occurs in eccentric coronary stenosis, which can
lead to adverse outcome. The complex local geodesic patterns of eccentric
stenosis are critical factors in determining the flow characteristics in post
stenotic flow. OBJECTIVE: The main objective of this study is to relate the
relationship between the detailed morphological parameters in eccentric coronary
stenosis and the post-stenotic flow characteristics. METHODS: Several idealized
eccentric coronary stenosis models with variable morphological parameters are
created to conduct a series of computational fluid dynamics analysis. The impact
of four specific lesion morphological parameters, eccentricity index (EI),
diameter stenosis (DS), stenosis length (SL) and shape of lesion, are
investigated. RESULTS: When EI is small (< 0.33), the length of recirculation
zones would increase as EI increase; while when EI is large (> 0.33), it would
decreased as EI increase; Larger magnitude of retrograde flow occurs in models
with smaller EIs. Both the recirculation zone length and maximum shear rate
increase significantly as DS increases. Increase of SL will lead to increase of
recirculation zone length. Higher maximum shear rate and larger recirculation
zone are observed in models with sharper stenosis shape. CONCLUSIONS: Except DS,
the detailed geometry patterns (EI, SL and shape of the stenosis) also have great
impact on post-stenotic flow behaviors in eccentric coronary stenosis.
PMID- 29660974
TI - In Vivo Spinal Distribution of Cy5.5 Fluorescent Dye after Injection via the
Lateral Ventricle and Cisterna Magna in Rat Model.
AB - Objective: The purpose of this study was to find an optimal delivery route for
clinical trials of intrathecal cell therapy for spinal cord injury in preclinical
stage. Methods: We compared in vivo distribution of Cy5.5 fluorescent dye in the
spinal cord region at various time points utilizing in vivo optical imaging
techniques, which was injected into the lateral ventricle (LV) or cisterna magna
(CM) of rats. Results: Although CM locates nearer to the spinal cord than the LV,
significantly higher signal of Cy5.5 was detected in the thoracic and lumbar
spinal cord region at all time points tested when Cy5.5 was injected into the LV.
In the LV injection Cy5.5 signal in the thoracic and lumbar spinal cord was
observed within 12 hours after injection, which was maintained until 72 hours
after injection. In contrast, Cy5.5 signal was concentrated at the injection site
in the CM injection at all time points. Conclusion: These data suggested that the
LV might be suitable for preclinical injection route of therapeutics targeting
the spinal cord to test their treatment efficacy and biosafety for spinal cord
diseases in small animal models.
PMID- 29660975
TI - Synergistic Effects of Psychosocial Stress and Mild Peripheral Infection on
Inducing Microglial Activation in the Hippocampal Dentate Gyrus and Long-Lasting
Deficits in Hippocampus-Related Memory.
AB - Lipopolysaccharide (LPS) treatment and stress may cause immune activation in the
brain,an event which has been thought to play a role in mediating stress-induced
cognitive dysfunction.However, the enduring impact of psychosocial stress on
brain immune activation or cognitive deficitshas not been well investigated.
Likewise, it remains unexplored whether there exist synergisticeffects of
psychosocial stress and a weak systemic LPS treatment on brain immune activation
and/orcognitive function. In this work, a 10-day social defeat regimen was used
to model psychosocial stressand the number and density of ionized calcium-binding
adaptor molecule 1 (Iba1)-stained microgliawas used to reveal brain immune
activation in male Balb/C mice. The social defeat regimen did notcause observable
microglial activation in dentate gyrus (DG) 24 h after the conclusion of the
regimen.Microglial activation peaked in DG 24 h following a single 1 mg/kg intra
peritoneal LPS injection. Atthis time point, DG microglial activation was not
evident providing 0.125 mg/kg or lower of LPS wasused, this dose of LPS was,
thus, regarded as the "sub-threshold" in this study. Twenty-four h afterthe
conclusion of the defeat regimen, mice received a social interaction test to
determine their defeatstress susceptibility and a "sub-threshold" LPS injection.
DG microglial activation was observed inthe defeat-stress susceptible, but not in
the resilient, mice. Furthermore, the stress-susceptible miceshowed impairment in
object location and Y maze tasks 24 and 72 h after the "sub-threshold"
LPSinjection. These results suggest that psychosocial stress, when combined with
a negligible peripheralinfection, may induce long-lasting hippocampus-related
memory deficits exclusively in subjectssusceptible to psychosocial stresses.
PMID- 29660976
TI - Oral health-related quality of life and clinical outcomes of immediately or
delayed loaded implants in the rehabilitation of edentulous jaws: a retrospective
comparative study.
AB - BACKGROUND: Patient-centered outcomes are being given great attention by the
dental scientific community. The Oral Health Impact Profile -14 questionnaire
(OHIP-14) has been introduced to address patients' success criteria when
describing the impact of oral rehabilitations on quality of life (OHrQoL).
METHODS: Thirty-five patients wearing a full-arch implant-prosthesis being in
place between 4 and 6 years before this analysis were considered eligible and
then enrolled in the present retrospective study. According to their prosthetic
anamnesis, two groups were defined: delayed loading group (IL-group) and
immediate loading group (IL-group). At the moment of analysis, clinical and
radiographic parameters were collected, and patients were asked to complete the
Oral Health Impact Profile -14 questionnaire (OHIP-14) in order to measure their
OHrQoL. RESULTS: Independent t-test showed total OHIP-14 scores to be not
significantly different between groups; however, the domains "functional
limitation" and "physical disability" resulted significantly higher in patients
within the DL-group. On the contrary, social disability was higher in the IL
group. When the comparison was performed taking sex into account, no significant
differences between groups were highlighted. Instead, the stratification for
years of follow-up led to significant evidences. When the follow-up was shorter
(less-than-5 years), the functional limitation reported scores were higher.
CONCLUSIONS: Within the limitations of this study, the analysis supports the
absence of significant differences between immediate loading and delayed loading
full-arch protocol in term of clinical, radiological parameters and OHrQoL.
PMID- 29660977
TI - Remediation of Petroleum-Contaminated Soil and Simultaneous Recovery of Oil by
Fast Pyrolysis.
AB - Petroleum-contaminated soil (PCS) caused by the accidental release of crude oil
into the environment, which occurs frequently during oil exploitation worldwide,
needs efficient and cost-effective remediation. In this study, a fast pyrolysis
technology was implemented to remediate the PCS and concurrently recover the oil.
The remediation effect related to pyrolytic parameters, the recovery rate of oil
and its possible formation pathway, and the physicochemical properties of the
remediated PCS and its suitability for planting were systematically investigated.
The results show that 50.9% carbon was recovered in oil, whose quality even
exceeds that of crude oil. Both extractable total petroleum hydrocarbon (TPH) and
water-soluble organic matter (SOM) in PCS were completely removed at 500 degrees
C within 30 min. The remaining carbon in remediated PCS was determined to be in a
stable and innocuous state, which has no adverse effect on wheat growth. On the
basis of the systematically characterizations of initial PCS and pyrolytic
products, a possible thermochemical mechanism was proposed which involves
evaporation, cracking and polymerization. In addition, the energy consumption
analysis and remediation effect of various PCSs indicate that fast pyrolysis is a
viable and cost-effective method for PCS remediation.
PMID- 29660978
TI - Closed-Loop Electrochemical Recycling of Spent Copper(II) from Etchant Wastewater
Using a Carbon Nanotube Modified Graphite Felt Anode.
AB - Developing effective technologies for treatment of spent etchant in printed
circuit boards industries is of paramount for sustainable copper reuse and
reducing copper discharge. We developed a novel closed-loop electrochemical cell
for on-site regeneration of spent acidic cupric chloride etchant. It does not
have any emissions and recycles all the copper using a three-dimensional graphite
felt anode decorated with carbon nanotube (CNT/GF). The CNT/GF anode oxidizes
Cu(I) to Cu(II) so that the spent cuprous chloride can be converted to cupric
chloride and reused. The decorated CNT layer with abundant oxygen-containing
functional groups significantly enhanced the electrocatalytic activity for
Cu(II)/Cu(I) redox. The CuCl32- is oxidized to CuCl+ at the anode and the CuCl+
is reduced to Cu(0) at the cathode. The closed-loop cycle system converts the
catholyte into the anolyte. On average, the energy consumption of Cu(I) oxidation
by CNT/GF is decreased by 12%, comparing to that by untreated graphite felt. The
oxidation rate of Cu(I) is determined by the current density, and there is no
delay for the mass transport of Cu(I). This study highlights the outstanding
electrocatalytic performance, the rapid mass-transfer kinetics, and the excellent
stability of the CNT/GF electrode, and provides an energy-efficient and zero
emission strategy for the regeneration of etchant waste.
PMID- 29660979
TI - Perfluoroalkyl Acids in European Starling Eggs Indicate Landfill and Urban
Influences in Canadian Terrestrial Environments.
AB - Perfluoroalkyl acids (PFAAs) were determined in European starling ( Sturnus
vulgaris) eggs collected between 2009 and 2014 from industrial,
rural/agricultural, and landfill locations within five urban centers across
Canada. Within each urban center, perfluoroalkyl sulfonic acid (PFSA)
concentrations were generally greater in starling eggs collected from
urban/industrial locations and PFSAs and perfluoroalkyl carboxylic acids (PFCAs)
were generally greater at landfills compared to rural and remote locations.
However, the relative importance of urban/industrial versus landfill locations as
potential sources was chemical- and location-specific. PFSA concentrations in
eggs collected from nonlandfills were positively correlated with human
population. Despite the 2000 to 2002 phase-out of perfluorooctanesulfonic acid
(PFOS) and its C8 precursors, leaching from consumer products during use likely
continues to be a major source to the environment. In comparison, the
concentrations of most PFCAs in eggs were not related to population, which
supports the hypothesis that atmospheric transport and degradation of precursor
chemicals are influencing their spatial trends. PFAA concentrations in eggs from
landfills were not correlated with the quantity of waste received by a given
landfill. The variability in PFAAs between landfills may be due to the specific
composition of waste items.
PMID- 29660980
TI - Azabuckybowl-Based Molecular Tweezers as C60 and C70 Receptors.
AB - We designed and synthesized molecular tweezers consisting of nitrogen-embedded
buckybowl subunits. The judicious choice of the covalent linkers modulated their
binding strength with C60 or C70 in solution. Titration studies by optical and 1H
NMR analyses revealed a 1:1 composition of the resulting complexes. X-ray
diffraction analysis elucidated their solid-state structures, in which two
azabuckybowl units surround one fullerene molecule. The large association
constants stabilize the complexes toward redox reactions and the purification
process on silica-gel column chromatography. The linker enabled tuning of the
cavity size for binding of fullerenes, achieving complementary fullerene hosts
for C60 and C70: the carbazole-bridged dimer preferentially associates with C70
over C60, while the phenanthrene-bridged dimer interacts with C60 more strongly
than C70. Electrochemical analysis in combination with density functional theory
calculations indicated the existence of intermolecular charge-transfer
interactions between the buckybowl units and the fullerenes. Nonlinear optical
measurements showed that the two-photon absorption cross sections of the
molecular tweezers are enhanced upon association with fullerenes.
PMID- 29660981
TI - Development of Macrocyclic Peptidomimetics Containing Constrained alpha,alpha
Dialkylated Amino Acids with Potent and Selective Activity at Human Melanocortin
Receptors.
AB - We report the development of macrocyclic melanocortin derivatives of MT-II and
SHU-9119, achieved by modifying the cycle dimension and incorporating constrained
amino acids in ring-closing. This study culminated in the discovery of novel
agonists/antagonists with an unprecedented activity profile by adding pieces to
the puzzle of the melanocortin receptor selectivity. Finally, the resulting 19-
and 20-membered rings represent a suitable frame for the design of further
therapeutic ligands as selective modulators of the melanocortin system.
PMID- 29660983
TI - Microwave-Assisted Catalytic Combustion for the Efficient Continuous Cleaning of
VOC-Containing Air Streams.
AB - A microwave-heated adsorbent-reactor system has been used for the continuous
cleaning of air streams containing n-hexane at low concentrations. Both, a single
catalytic bed (PtY zeolite) and a double (adsorptive DAY zeolite + catalytic PtY
zeolite) fixed-bed reactor configurations were studied under dry and humid
conditions. The zeolites were selectively heated by short periodic microwave
pulses that caused the desorption of n-hexane and its subsequent catalytic
combustion. The double bed configuration was attractive because it allowed nearly
the same performance with only half of the catalyst load. The operation was
especially efficient under realistic humid gas conditions that favored more
intense microwave absorption, producing a faster heating of the adsorptive and
catalytic beds. Under these conditions, continuous gas cleaning could be achieved
with short (3 min, 30 W) microwave heating pulses every 5 min.
PMID- 29660982
TI - Facially Amphipathic Glycopolymers Inhibit Ice Recrystallization.
AB - Antifreeze glycoproteins (AFGPs) from polar fish are the most potent ice
recrystallization (growth) inhibitors known, and synthetic mimics are required
for low-temperature applications such as cell cryopreservation. Here we introduce
facially amphipathic glycopolymers that mimic the three-dimensional structure of
AFGPs. Glycopolymers featuring segregated hydrophilic and hydrophobic faces were
prepared by ring-opening metathesis polymerization, and their rigid conformation
was confirmed by small-angle neutron scattering. Ice recrystallization inhibition
(IRI) activity was reduced when a hydrophilic oxo-ether was installed on the
glycan-opposing face, but significant activity was restored by incorporating a
hydrophobic dimethylfulvene residue. This biomimetic strategy demonstrates that
segregated domains of distinct hydrophilicity/hydrophobicity are a crucial motif
to introduce IRI activity, which increases our understanding of the complex ice
crystal inhibition processes.
PMID- 29660985
TI - Facile On-Site Aqueous Pollutant Monitoring Using a Flexible, Ultralight, and
Robust Surface-Enhanced Raman Spectroscopy Substrate: Interface Self-Assembly of
Au@Ag Nanocubes on a Polyvinyl Chloride Template.
AB - Aquatic ecosystems and human health have been seriously threatened by illegal
discharge of wastewater, while simple and effective monitoring methods are still
sparse. Here, we propose a facile method for on-site pollutant monitoring by
surface-enhanced Raman spectroscopy with a novel substrate. This substrate is
fabricated by interface self-assembly of Au@Ag nanocubes (NCs) on a
simultaneously formed polyvinyl chloride (PVC) template, followed by coating with
a thin Au film. The Au@Ag@Au-NCs/PVC film is flexible, ultralight, and robust and
could float on the surface of water and firmly contact with water even under
harsh environmental conditions. Moreover, the Au@Ag@Au-NCs/PVC film is
translucent, allowing penetration of laser beams and enhancement of Raman
signals. When thiram was used as a model contaminant in aqueous solution, a good
linear relationship ( R2 = 0.972) was obtained over the range of 0.1-50 ppb with
a detection limit of 0.1 ppb. Raman signals of thiram can be instantly and
consecutively detected with the enhancement of the film in the simulated
experiments, suggesting its possible use in the long run. Furthermore, the film
can be easily regenerated by NaBH4 solution washing, which could reduce the
operating cost. In summary, the Au@Ag@Au-NCs/PVC film has great potential in on
site pollutant monitoring in aqueous environments with a portable Raman
spectrometer.
PMID- 29660984
TI - Chemically Induced Degradation of Anaplastic Lymphoma Kinase (ALK).
AB - We present the development of the first small molecule degraders that can induce
anaplastic lymphoma kinase (ALK) degradation, including in non-small-cell lung
cancer (NSCLC), anaplastic large-cell lymphoma (ALCL), and neuroblastoma (NB)
cell lines. These degraders were developed through conjugation of known
pyrimidine-based ALK inhibitors, TAE684 or LDK378, and the cereblon ligand
pomalidomide. We demonstrate that in some cell types degrader potency is
compromised by expression of drug transporter ABCB1. In addition, proteomic
profiling demonstrated that these compounds also promote the degradation of
additional kinases including PTK2 (FAK), Aurora A, FER, and RPS6KA1 (RSK1).
PMID- 29660986
TI - Gold nanoparticles functionalized with angiogenin-mimicking peptides modulate
cell membrane interactions.
AB - Angiogenin is a protein crucial in angiogenesis, and it is overexpressed in many
cancers and downregulated in neurodegenerative diseases, respectively. The
protein interaction with actin, through the loop encompassing the 60-68 residues,
is an essential step in the cellular cytoskeleton reorganization. This, in turn,
influences the cell proliferation and migration processes. In this work, hybrid
nanoassemblies of gold nanoparticles with angiogenin fragments containing the 60
68 sequence were prepared and characterized in their interaction with both model
membranes of supported lipid bilayers (SLBs) and cellular membranes of cancer
(neuroblastoma) and normal (fibroblasts) cell lines. The comparison between
physisorption and chemisorption mechanisms was performed by the parallel
investigation of the 60-68 sequence and the peptide analogous containing an extra
cysteine residue. Moreover, steric hindrance and charge effects were considered
with a third analogous peptide sequence, conjugated with a fluorescent
carboxyfluorescein (Fam) moiety. The hybrid nanobiointerface was characterized by
means of ultraviolet-visible, atomic force microscopy and circular dichroism, to
scrutinize plasmonic changes, nanoparticles coverage and conformational features,
respectively. Lateral diffusion measurements on SLBs "perturbed" by the
interaction with the gold nanoparticles-peptides point to a stronger membrane
interaction in comparison with the uncoated nanoparticles. Cell viability and
proliferation assays indicate a slight nanotoxicity in neuroblastoma cells and a
proliferative activity in fibroblasts. The actin staining confirms different
levels of interaction between the hybrid assemblies and the cell membranes.
PMID- 29660987
TI - Ecological Influences on Employees' Workplace Sedentary Behavior: A Cross
Sectional Study.
AB - PURPOSE:: The purpose of this study was to explore the relationship between
ecological factors and occupational sedentary behavior (SB). DESIGN:: Cross
sectional online survey. SETTING:: Participants were employees recruited from a
large, public university in the Southeastern United States from August to
November 2016. PARTICIPANTS:: The final sample included 527 (56% response rate)
employees. MEASURES:: Data were collected through an 87-item survey using
previously validated scales that assessed occupational SB, perceived behavioral
control, barrier self-efficacy, self-regulation strategies, organizational social
norms, office environment, and worksite climate. ANALYSIS:: One-way analysis of
variance analyses were used to determine differences in occupational SB by
demographic factors. A multivariate regression model was used to determine
significant ecological determinants of occupational SB. RESULTS:: Mean SB was
342.45 (standard deviation = 133.25) minutes. Significant differences in SB were
found by gender, education, and employment classification. Barrier self-efficacy
and workplace connectivity, which evaluates the spatial layout of the office
setting that may impact mobility within the workplace, were significant
predictors of SB in the multivariate model. CONCLUSION:: Results from this study
provide new information regarding the potential impact of workplace barriers and
connectivity on occupational SB. The findings from this study support the
inclusion of intervention modalities to minimize workplace barriers and increase
workplace connectivity to increase workplace mobility and decrease SB.
PMID- 29660989
TI - Long-term outcome of stenting for atherosclerotic renal artery stenosis and the
effect of angiographic restenosis.
AB - BACKGROUND: Symptomatic renal artery stenosis (RAS) is mainly treated with
pharmacological blood pressure control, sometimes with percutaneous transluminal
renal angioplasty (PTRA). It is unclear if PTRA benefits these patients over
time. PURPOSE: To determine long-term renal function, morbidity, and mortality in
patients with symptomatic RAS treated with PTRA, and whether long-term outcomes
are associated with angiographic restenosis. MATERIAL AND METHODS: Retrospective
single-center, long-term follow-up of 57 patients with atherosclerotic RAS
treated with PTRA with stent during 1995-2004 and investigated for restenosis
with angiography after one year. Outcomes were retrieved from medical records and
from mandatory healthcare registries. Mortality rates were related to expected
survival in an age- and gender-matched population, using a life-table database.
Surviving patients were assessed with blood pressures, laboratory tests, duplex
ultrasonography, and radioisotope renography. RESULTS: Median follow-up was 11
years 7 months. Major indications for PTRA were therapy-resistant hypertension
and declining renal function. Angiographic restenosis at one year was found in 21
of 57 patients (37%). Thirty-six patients (60%) died during follow-up. Main cause
of death was cardiovascular events (54%). Mortality was significantly increased,
and morbidity and healthcare utilization were high. Hypertension control during
follow-up was stable with persistent need for anti-hypertensive medication, and
renal function remained moderately reduced with no long-term difference between
patients with vs. without restenosis. CONCLUSION: Long-term prognosis after PTRA
for atherosclerotic RAS is dismal, with high mortality and morbidity and reduced
renal function, despite maintained hypertension control. Restenosis does not
appear to affect late outcome.
PMID- 29660988
TI - Intervention Helps Family Caregivers of People With Dementia Attain Own Therapy
Goals.
AB - INTRODUCTION: Caregiver intervention studies typically assess whether
participants attain general goals (eg, improved mental/physical health) but not
their own individual goals. We used goal attainment scaling to evaluate whether
participants of a telephone intervention based on cognitive behavioral therapy
(CBT) attained their personal goals. We also evaluated treatment compliance and
implementation. METHODS: A sample of 139 family caregivers of people with
dementia received 12 telephone sessions over 6 months. Participants personal
goals were specified during the first and second sessions. Participants and
therapists assessed goal attainment at the end of the intervention. RESULTS:
Nearly all participants reported meaningful improvements with regard to their
personal goals. Specifically, 20.9% exceeded, 56.4% completely attained, and
21.8% partially attained at least one of their personal goals. There was high
agreement between self- and therapist ratings. Treatment compliance and
implementation were highly satisfactory. CONCLUSIONS: The CBT telephone
intervention successfully helped participants attain their personal goals.
PMID- 29660990
TI - Novel Radiographic Assessment of the Cribriform Plate.
AB - Background The cribriform plate (CP) is a common site of spontaneous
cerebrospinal fluid (SCSF) leaks. Radiographic assessment of the anterior and
lateral skull base has shown thinner bone in patients with SCSFs; however, prior
assessment of the CP has required postmortem cadaver dissection. Objective To
develop novel radiographic techniques to assess the anatomy of the CP. Methods
Computed tomography (CT) scans were performed on cadaveric specimens. Bone
density and anatomy of a predefined volume of interest of the posterior CP were
assessed by two independent reviewers. CT assessment of olfactory foramina was
also performed and validated using anatomic dissection of cadaver specimens.
Results Interclass correlation coefficients (ICCs) for measuring the same volume
of each CP was 0.96, confirming reproducible anatomic localization. Cadaver CPs
had a mean Hounsfield units of 263, indicating a mix of bone and soft tissue, and
ICC was 0.98, confirming reproducible radiographic measurements. Optimal CT
estimates of bone composition of CPs averaged 85% (range 76% to 96%) compared to
actual anatomic dissection which averaged 84% bone (range 74% to 91%, r = .690, P
= .026). Conclusion Our novel, noninvasive CT method for assessing CP anatomy is
reproducible and correlates with anatomic dissection assessing bone composition.
The clinical implications of anatomic changes in the CP are an area for further
study.
PMID- 29660991
TI - Palliative Performance Scale Score at 1 Week After Palliative Care Unit Admission
is More Useful for Survival Prediction in Patients With Advanced Cancer in South
Korea.
AB - BACKGROUND: The Palliative Performance Scale (PPS) is a useful prognostic index
in palliative care. Changes in PPS score over time may add useful prognostic
information beyond a single measurement. OBJECTIVE: To investigate the usefulness
of repeated PPS measurement to predict survival time of inpatients with advanced
cancer admitted to a palliative care unit (PCU) in South Korea. DESIGN:
Prospective observational cohort study. SETTING/PATIENTS: 138 patients with
advanced cancer admitted to a PCU in a university hospital in South Korea from
June 2015 to May 2016. MEASUREMENTS: The PPS score was measured on enrollment and
after 1 week. We used Cox regression analyses to calculate hazard ratios (HRs) to
demonstrate the relationship between survival time and the groups categorized by
PPS and changes in PPS score, after adjusting for clinical variables. RESULTS:
There were significant differences in survival time among 3 groups stratified by
PPS (10-20, 30-50, and >=60) after 1 week. A group with a PPS of 10 to 20 at 1
week had the highest risk (HR: 5.18 [95% confidence interval, 1.57-17.04]) for
shortened survival. On the contrary, there were no significant differences among
these groups by initial PPS alone. Similarly, change in PPS was prognostic;
median survival was 13 (10.96-15.04) days for those whose PPS decreased after 1
week and 27 (10.18-43.82) days for those with stable or increased PPS ( P <
.001). CONCLUSIONS: Measuring PPS over time can be very helpful for predicting
survival in terminally ill patients with cancer, beyond a single PPS measure at
PCU admission.
PMID- 29660993
TI - A Multi-Targeted Quality Improvement Project of CT-Guided Procedure Start Times.
PMID- 29660992
TI - Next of Kin's Notification of Patient's Death: A Qualitative and Quantitative
Preliminary Analysis.
AB - BACKGROUND: There are no published studies on notification of death by a next of
kin to the treating medical staff. AIM: To explore the content and circumstances
of death notifications by next of kin to the treating medical staff in a
palliative home care unit. DESIGN: A cross-sectional study that combines
qualitative and quantitative analysis. SETTING: Assessment of 153 telephone death
notifications by a next of kin to the treating medical staff. RESULTS: The
qualitative analysis of death notifications revealed 2 themes: direct and
indirect death notifications. In direct notifications, death was portrayed by the
notifier in direct and specific words such as death, the patient has died, or the
patient is not alive. Indirect notifications included nonspecific or general
descriptions of death such as breath cessation, it ended, or it's over or
finished. Direct notifications tended to include specific requests from the
medical staff and expressed acceptance and closure, while indirect notifications
tended to include more general requests and expressed more panic, distress, or
doubt in death. Although spouses were more likely to serve as the primary
caregiver, the children or other family members were more likely to notify the
treating staff. In 30% of the notifications, there was an element of doubt or
uncertainty. Emotions were expressed in 20% of the notifications. Cessation of
breathing was the most common physical sign mentioned. CONCLUSION: Medical staff
members who receive notifications of death should expect and be prepared for the
expression of varied emotions and doubts as an integral part of the notification.
PMID- 29660994
TI - Providing Additional "Muscle" for Older Adults Through Optimal Influenza Vaccine
Selection.
AB - Immunization is the best strategy to protect individuals from influenza; however,
older adults tend to respond less favorably to vaccines because of
immunosenescence. The Centers for Disease Control and Prevention states that any
licensed, recommended, and age-appropriate influenza vaccine may be used in older
adults despite reasonable evidence suggesting that the high-dose and, to a lesser
extent, the adjuvanted and recombinant influenza vaccines provide better
protection than the standard-dose vaccines in this vulnerable population. In this
era of precision medicine, clinicians can preferentially recommend these
contemporary vaccines to equip their older patients with the best possible
protection against influenza.
PMID- 29660995
TI - Diagnostic Value of Nasal Cytology in Chronic Rhinosinusitis Assessed by a Liquid
based Cytological Technique.
AB - Back ground: Nasal cytology has generally been employed as a useful diagnostic
tool in the differentiation of rhinopathies. Objective The aim of this study was
to assess the extent and diagnostic value of inflammation of nasal and paranasal
sinus mucosa in chronic rhinosinusitis patients by employing a combination of
nasal brushings and a liquid-based cytological technique. Methods Forty-eight
patients with chronic sinusitis and 20 control subjects without any sign of
sinusitis undergoing endoscopic surgery, although not all underwent endoscopic
sinus surgery, were recruited to the study. Nasal cytology samples were collected
from all subjects using nasal brush and processed a liquid-based cytological
technique for evaluation of total and differential inflammatory cell counts.
Biopsies were also taken from the inferior turbinates from its anterior margin in
all subjects and from identical lateral maxillary sinus mucosa in patients with
chronic sinusitis during surgery and routinely processed for staining and
evaluation of inflammatory cells. Results Total and individual inflammatory cell
counts in nasal brushings were significantly correlated with the respective
inflammatory cell counts in biopsies obtained from the inferior turbinate
(eosinophils: r = .519 and P = .016; neutrophils: r = .540 and P = .012;
lymphocytes: r = .540 and P = .011) but not in biopsies obtained from the
maxillary sinus. No correlation was observed between the inflammatory cells in
biopsies from the inferior turbinate and biopsies from the maxillary sinus. The
liquid-based cytological technique showed higher sensitivity (94.1%), specificity
(76.9%), and positive predictive value (84.2%) for inflammation in the inferior
turbinates than for inflammation in the maxillary sinus (sensitivity = 63.4% and
positive predictive value = 63.4%). Conclusion Nasal cytology evaluated by use of
nasal brushings processed by a liquid-based cytological technique is likely to
have higher diagnostic value for the inflammatory response in noninfectious
rhinitis than in chronic rhinosinusitis.
PMID- 29660996
TI - A case of hereditary coproporphyria with posterior reversible encephalopathy and
novel coproporphyrinogen oxidase gene mutation c.863T>G (p.Leu288Trp).
AB - A 21-year-old female had recurrent presentations to the emergency department with
myalgia, vomiting, abdominal pain and subsequently developed generalized
seizures. She was volume depleted with a plasma sodium of 125 mmol/L (reference
interval: 135-145) and she had fluctuating hypertension. Acute porphyria was
suspected and confirmed with raised urine porphobilinogen/creatinine ratio of
12:4 MUmol/mmoL (reference interval < 1:5) and she was treated with intravenous
haem arginate. Urinary porphyrin/creatinine ratio was 673 nmol/mmoL (reference
interval <35) and faecal porphyrins 2430 MUmol/kg dry weight (reference interval:
<200) were markedly elevated, with raised faecal CIII:CI ratio, consistent with
acute coproporphyria. Diagnosis was confirmed by the demonstration of a novel
missense variant in the coproporphyrinogen oxidase gene c.863T > G (p.Leu288Trp)
predicted to be deleterious and which segregated with three other affected family
members. Although CT head was normal, magnetic resonance imaging scan revealed
symmetrical signal abnormalities and swelling in the parietal and occipital lobes
consistent with posterior reversible encephalopathy. Over several days, her
seizures ceased and sodium and blood pressure normalized. The aetiology of the
acute porphyric attack was likely multifactorial with contributions from a recent
viral illness and caloric deprivation. No drug precipitant was identified. We
postulate that untreated hypertension played a key role in the development of
posterior reversible encephalopathy. Early clinical suspicion and urine
porphobilinogen testing are the key components in preventing morbidity and
mortality in acute porphyrias.
PMID- 29660997
TI - That '70%' claim again ....
PMID- 29660998
TI - The challenge of improving the diagnostic yield from metanephrine testing in
suspected phaeochromocytoma and paraganglioma.
AB - Background Plasma-free metanephrines (PFM) or urinary fractionated metanephrines
(UFM) are the preferred biochemical tests for the diagnosis of phaeochromocytoma
and paraganglioma (PPGL). Borderline increased results should be followed up to
either exclude or confirm diagnosis. Methods We extracted all PFM and UFM results
reported by our laboratory over a six-month period from the laboratory
information system. We categorized patients with borderline increased results
according to whether follow-up testing had been performed as suggested in the
initial laboratory report. Questionnaires were then sent to all requesting
doctors and medical notes reviewed where available. Results Two hundred and four
patients with borderline increased PFM or UFM were identified. Sixty-five (38.5%)
of 169 patients with borderline increased PFM had a repeat test out of which 36
were normal and 29 did not normalize. Of 35 patients with borderline increased
UFM, 17 (48.6%) had subsequent PFM measurement, out of which 15 were normal.
Questionnaires were returned to 106 (52%) patients. Of these, the most frequent
indication for testing was hypertension ( n = 50); 15 patients had an incidental
adrenal mass and two of these patients were diagnosed with a phaeochromocytoma.
Conclusion Only 38% of patients with borderline increased PFM had a repeat PFM
measurement. This was not significantly higher when compared with the 28% in a
previous audit that we reported in 2010 ( P = 0.10). Forty-nine per cent of
patients with a borderline increased UFM had a repeat UFM or PFM measurement.
There remains a substantial possibility of missed detection of PPGL.
PMID- 29660999
TI - A Community-Based Approach to HIV Prevention in Rural Guatemala.
AB - BACKGROUND: HIV is one of the primary causes of death in Guatemala, and during
the period 2005 to 2013, Guatemala exhibited a 95% increase in such deaths. HIV
transmission rates are nearly 3 times higher among the indigenous Mayan
population than nonindigenous Guatemalans. Guided by the community-based
participatory research approach, this article demonstrates the iterative
formative research process necessary to develop a deeper and more informed
understanding of HIV prevention attitudes and behaviors in the priority
population. This project extends preliminary formative research that demonstrated
the applicability of the health belief model (HBM) in examining risk, stigma, and
barriers and facilitators to condom use and HIV testing. METHOD: Using an
integrated mixed-method design, data were collected from heterosexual adults 18-
to 25 years old ( N = 250), including 50 in-depth interviews and 200 rapid
assessment surveys. RESULTS: HBM concepts of risk and stigma were confirmed. Data
also revealed low rates of condom negotiation and high embarrassment in
purchasing and discussing condom use. Furthermore, data yielded very low uptake
rates and reduced levels of comfort with getting tested. CONCLUSION: This
research informs refinement of a culture-specific intervention prioritizing
indigenous Mayans. We highlight how community-based research and engagement
enhance community health promotion.
PMID- 29661000
TI - Regulation of Clathrin-Mediated Endocytosis.
AB - Clathrin-mediated endocytosis (CME) is the major endocytic pathway in mammalian
cells. It is responsible for the uptake of transmembrane receptors and
transporters, for remodeling plasma membrane composition in response to
environmental changes, and for regulating cell surface signaling. CME occurs via
the assembly and maturation of clathrin-coated pits that concentrate cargo as
they invaginate and pinch off to form clathrin-coated vesicles. In addition to
the major coat proteins, clathrin triskelia and adaptor protein complexes, CME
requires a myriad of endocytic accessory proteins and phosphatidylinositol
lipids. CME is regulated at multiple steps-initiation, cargo selection,
maturation, and fission-and is monitored by an endocytic checkpoint that induces
disassembly of defective pits. Regulation occurs via posttranslational
modifications, allosteric conformational changes, and isoform and splice-variant
differences among components of the CME machinery, including the GTPase dynamin.
This review summarizes recent findings on the regulation of CME and the evolution
of this complex process.
PMID- 29661002
TI - Female Gynecologists and Their Birth Control Clinics: Eugenics in Practice in
1920s-1930s China.
AB - Yang Chao Buwei, the first Chinese translator of Margaret Sanger's What Every
Girl Should Know, was the first female gynecologist to open up a birth control
clinic in China. By the 1930s, other female gynecologists, like Guo Taihua, had
internalized and combined national and eugenic concerns of race regeneration to
focus on the control of women's reproduction. This symbiosis between racial
regeneration and birth control is best seen in Yang Chongrui's integration of
birth control into her national hygiene program. This article traces the efforts
of pioneer gynecologists in giving contraceptive advice at their birth control
clinics, which they framed as a humanitarian effort to ease the reproductive
burden of working-class women. It also examines their connections with Sanger's
international birth control movement, and their advocacy of contraception as
practitioners, translators, and educators. The author argues that these Chinese
female gynecologists not only borrowed, but adapted, Western scientific knowledge
to Chinese social conditions through their writings and translations and in their
clinical work.
PMID- 29661003
TI - Outcomes Among Persons with HIV Following a Mental Health Admission: A Population
Based Study.
AB - To compare outcomes following psychiatric hospitalization between people with and
without HIV. Population-based study of people with (n = 1,089) and without (n =
280,888) HIV who were hospitalized for psychiatric illness between January 1,
2006 and December 31, 2014. Overall, 9 (0.8%) people with HIV died within 30 days
of discharge, compared with 3,710 (1.3%) HIV-negative individuals. Following
multivariable adjustment, there was no difference in the risk of readmission or
emergency department (ED) visits for psychiatric illness in the 90 days following
discharge. Conversely, people with HIV were at higher risk of ED visits for
substance use disorders and less likely to receive psychiatry follow-up during
this period. HIV is associated with ED use for substance use disorders and less
psychiatry follow-up within 90 days of hospital discharge for psychiatric
illness. Interventions facilitating continuity of care following discharge are
warranted.
PMID- 29661001
TI - The 12 lead ECG rules the waves in acute cardiovascular care.
PMID- 29661005
TI - Ethopathology and Civilization Diseases: Niko and Elisabeth Tinbergen on Autism.
AB - The idea that some diseases result from a poor fit between modern life and our
biological make-up is part of the long history of what historian of medicine
Charles Rosenberg has called the "progress-and-pathology narrative." This article
examines a key episode in that history: 1973 Nobel laureate Niko Tinbergen's use
of an evolutionary framework to identify autism as a pathogenic effect of
progress. Influenced by British psychiatrist John Bowlby's work, Tinbergen and
his wife Elisabeth saw autistic children as victims of environmental stress
caused mainly by mothers' failure to bond with their children and to protect them
from conflicting situations. However, the author argues that their position was
not "environmental." For them, autism was due to a failure of socialization but
the mechanisms that explain that failure were established by biological
evolution. Situating their views within the context of Niko's concern about the
derailment of biological evolution by cultural evolution, this article shows that
their ideas are of special significance for understanding the persistence of the
view that civilization poses a risk to human health.
PMID- 29661004
TI - Percutaneous Versus Surgical Revascularization for Left Main or Multivessel
Coronary Artery Disease: Results From a Large-Scale Meta-Analysis in the Era of
Drug-Eluting Stents.
AB - The best treatment options for left main (LM) or multivessel coronary disease
(MVD) are still debated. We performed a meta-analysis of randomized trials
comparing percutaneous versus surgical revascularization for LM or MVD. Primary
end point was overall mortality. Secondary end points were major adverse
cardiovascular events, recurrent myocardial infarction, repeated
revascularization, or stroke. A total of 8 randomized trials were included,
involving 8694 patients, 50% undergoing percutaneous coronary intervention (PCI).
At a mean follow-up of 39.7 months, mortality was 8.2% with no difference for PCI
versus coronary artery bypass grafting (CABG; odds ratio [OR] 95% confidence
interval [CI] = 1.18 [0.90-1.55]; P = .24, P for heterogeneity [ Phet] = .01).
However, CABG was slightly favored for MVD (OR [95% CI] = 1.54 [1.12-2.13]; P =
.008, Phet = .14 for PCI) whereas noninferior for LM disease (OR [95% CI] = 0.88
[0.60-1.29]; P = .50, Phet = .10, P interaction = .03). A similar benefit with
CABG was also observed in terms of repeated coronary revascularization, whereas
PCI significantly reduced stroke. This meta-analysis shows that surgical coronary
revascularization still offers advantages in survival and recurrent ischemic
events compared to PCI using drug-eluting stents (DES) in MVD although burdened
by an increased risk of stroke. In LM disease, CABG did not provide outcome
benefits but was associated with a higher risk of stroke compared to PCI.
Additional randomized trials are certainly needed with new-generation DES.
PMID- 29661008
TI - Psychiatry in American Medical Education: The Case of Harvard's Medical School,
1900-1945.
AB - As American psychiatrists moved from the asylum to the private clinic during the
early twentieth century, psychiatry acquired a growing presence within medical
school curricula. This shift in disciplinary status took place at a time when
medical education itself was experiencing a period of reform. By examining
medical school registers at Harvard University, records from the Dean's office of
Harvard's medical school, and oral histories, this paper examines the rise in
prominence of psychiatry in medical education. Three builders of Harvard
psychiatry - Elmer E. Southard, C. Macfie Campbell, and Harry C. Solomon -
simultaneously sought to mark territory for psychiatry and its relevance. In
doing so, they capitalized on three related elements: the fluidity that existed
between psychiatry and neurology, the new venues whereby medical students gained
training in psychiatry, and the broader role of patrons, professional
associations, and certification boards, which sought to expand psychiatry's
influence in the social and cultural life of twentieth-century America.
PMID- 29661009
TI - To blind or not to blind? The search for a perfect peer review methodology.
PMID- 29661007
TI - When Ernest Jones First Arrived in Toronto; or, Reappraising the Bruce Letter.
AB - In July 1962, Toronto-based surgeon, Herbert Bruce, wrote a private and
confidential letter to social worker and historian Cyril Greenland with some
memories and impressions of Sigmund Freud's lifelong friend and biographer,
Ernest Jones, in Toronto (1908-1913). In the letter, Bruce described Jones as a
"sexual pervert." Despite Bruce's condemnation of Jones, historians and
biographers have largely ignored his controversial memories of Jones in Toronto.
The article traces how scholars have handled the existence of the Bruce letter,
and the consequences for how this history has been understood. In the latter half
of the article, the author considers how the existence of this letter might offer
insights into how the Toronto medical establishment regarded Ernest Jones.
PMID- 29661006
TI - The Healing Arts and Social Capital: The Paston Women of Fifteenth-Century
England.
AB - In late-medieval England, women's informal and gratis healthcare services helped
them to accumulate and recompense social capital, which improved their families'
and their own status and resources. Given the precariousness of health, special
skills in the healing arts had a particular power to create a sense of gratitude
and obligation. Evidence comes from the 15th-century letter collection of the
Pastons, an ambitious gentry family from Norfolk. The Paston women appear both
performing as healers in their kin networks and sending medical recipes and
advice to their male and female relatives. Furthermore, seeking patronage at
court, male relatives solicited medical secrets from the Paston women to pass
along to their betters in an effort to advance their social status. This article
argues that healthcare was a distinctly feminine form of participation in the
Paston family's quest for social capital.
PMID- 29661011
TI - Protein extraction from human anagen head hairs 1-millimeter or less in total
length.
AB - A simple method for extracting protein from human anagen (i.e., actively growing
hair stage) head hairs was developed in this study for cases of limited sample
availability and/or studies of specific micro-features within a hair. The
distinct feature segments of the hair from one donor were divided lengthwise
(i.e., each of ~200-400 MUm) and then pooled for three individual hairs to form a
total of eight composite hair samples (i.e., each of ~1 mm or less in total
length). The proteins were extracted, digested using trypsin, and characterized
via nano-flow liquid chromatography tandem-mass spectrometry (nLC-MS/MS). A total
of 63 proteins were identified from all eight protein samples analyzed of which
60% were keratin and keratin-associated proteins. The major hair keratins
identified are consistent with previous studies using fluorescence in situ
hybridization and nLC-MS/MS while requiring over 400-8000-fold less sample. The
protein extraction method from micro-sized human head hairs described in this
study will enable proteomic analysis of biological evidence for cases of limited
sample availability and will complement hair research. For example, research
seeking to develop alternative non-DNA based techniques for comparing questioned
to known hairs, and understanding the biochemistry of hair decomposition.
PMID- 29661010
TI - Development of a cost effective and robust AlphaScreen(r) platform for
application.
AB - The use of AlphaScreen(r) detection has allowed researchers to examine a wide
variety of molecular interactions for use in high-throughput screening. However,
the cost of Alpha reagents can often be prohibitory for extended screening
campaigns or for young investigators with limited funding. To reduce assay costs,
many labs have focused on miniaturization, while there have been limited efforts
to scale down Alpha reagents. Thus, we describe the optimization of an
AlphaScreen detection platform by systematically reducing the Alpha reagents down
to 2.5 MUg/ml beads, without compromising assay integrity. We demonstrate that
reducing bead concentration reduces detection costs substantially while yielding
robust statistics. We believe this simple new protocol will enhance the future
utilization of AlphaScreen technology in high-throughput screening.
PMID- 29661012
TI - Using synthetic oligonucleotides as standards in probe-based qPCR.
AB - Real-time PCR (qPCR) is widely used in the life sciences. For quantifying DNA, a
standard curve is required. Common methods for standard development are time
consuming, costly, necessitate a specific skill set, and pose a contamination
risk. Using a targeted synthetic oligonucleotide, such as a gBlocks(r) Gene
Fragment, overcomes these drawbacks and provides researchers an accurate and
quick solution to standard development. Here, we demonstrate that using a gBlocks
fragment as a standard provides comparable sensitivity, reliability, and assay
performance to a purified amplicon standard.
PMID- 29661015
TI - Seeing is believing: structures and functions of biological molecules.
AB - The ability to elucidate the structure and function of biological molecules holds
great importance in a variety of domains. This includes prospects to tackle
public health concerns, identification of new drug targets and therapeutic
agents. In this issue of Tech News, Nawsheen Boodhun explores techniques used to
understand macromolecules.
PMID- 29661016
TI - In-Fusion(r) Cloning: Accuracy, Not Background.
PMID- 29661013
TI - To find what's unique, stop averaging.
AB - Written by Meenakshi Prabhune, PhD Will recent advances in single-cell analysis
methods help us better understand and improve human health?
PMID- 29661014
TI - A novel protocol for generating intact, whole-head spider cephalothorax tissue
sections.
AB - The diversity of spider behavior and sensory systems provides an excellent
opportunity for comparative studies of the relationship between the brain and
behavior. However, the morphology of spiders poses a challenge for histologists
since the spider cephalothorax contains heterogeneous tissues and has both tough
external and internal sclerotized regions. Unlike the heads of insects, the
cephalothorax is highly pressurized, which can cause tissues to shift during
processing and can reduce tissue cohesion in thick sections. This work describes
a novel protocol for producing thick whole-head sections for morphological study
by softening the exoskeleton and stabilizing friable tissue, without freezing or
dehydration. It also presents an effective whole-head DiI staining method that
uses minimal dehydration and highlights neural structures.
PMID- 29661020
TI - Bio Spotlight-Citations.
PMID- 29661017
TI - A novel orange-colored bimolecular fluorescence complementation (BiFC) assay
using monomeric Kusabira-Orange protein.
AB - The bimolecular fluorescence complementation (BiFC) assay was developed as a tool
for the visualization of protein-protein interactions in living cells. To date,
many types of BiFC systems with distinct colors have been developed. Most of the
colors in the visible spectrum have been used in BiFC assays, with the exception
of orange. In this study, we developed an orange-colored BiFC system using the
Kusabira-Orange (KO) protein from the stony coral Fungia concinna. To obtain
bright BiFC fluorescence, we compared fluorescence intensities of two monomeric
KO variants (mKO1 and mKO2) and identified mKO2 as brighter than mKO1. The
optimal split site for mKO2-based BiFC was defined by a comparative analysis of
complementation efficiency and a signal-to-noise ratio. The resulting mKO2-based
BiFC system successfully demonstrated protein dimerization in plant cells as a
model experiment. The novel mKO2-based BiFC system will expand the possibility of
multicolor BiFC analysis.
PMID- 29661018
TI - Improved protein blotting efficiency with new Fast Wet Blotting technology.
PMID- 29661021
TI - Dextran Sodium Sulfate for colitis animal model research.
PMID- 29661024
TI - Surface engineered lipid nanoparticle-mediated site-specific drug delivery system
for the treatment of inflammatory bowel disease.
AB - The major challenge for the treatment of inflammatory bowel disease (IBD) is the
incompetence to deliver the drug molecule selectively at the site of
inflammation. Taking this into consideration, we proposed development of
mannosylated nanostructured lipid carrier system (Mn-NLCs) for active targeting
and site-specific delivery of budesonide to the inflamed tissues. The developed
Mn-NLCs were characterized for particle size and size distribution, zeta
potential, %entrapment efficiency, FTIR and TEM analysis. Furthermore, to ensure
delivery of developed cargo to the colonic region, the Mn-NLCs were encapsulated
using Eudragit(r) S100 coated pellets. The in vivo evaluation of developed system
was performed by employing oxazolone colitis rat model. The average particle size
of Mn-NLCs (301.7 +/- 2.88 nm) was found to be more than that of unconjugated
NLCs (284.0 +/- 4.53 nm) with marginally reduced % entrapment efficiency (90.88
+/- 3.86%). The in vitro cytotoxicity studies using J774A.1 cell line revealed
that Mn-NLCs were non-toxic as compared to pure drug. The in vivo evaluation
depicted that Mn-NLCs showed significant reduction in clinical activity scoring,
macroscopic and microscopic indexing, colonic myeloperoxidase activity and
inflammatory cytokines. In conclusion, the developed Mn-NLCs appear to be
promising for the treatment of IBD by selectively targeting inflamed colonic
region as compared to unconjugated nanoparticulate system.
PMID- 29661019
TI - Real-time assessment of apoptosis and necrosis.
PMID- 29661023
TI - TAK1 mediates apoptosis via p38 involve in ischemia-induced renal fibrosis.
AB - Renal fibrosis is a common and characteristic symptom of chronic kidney disease
(CKD). However, the molecular mechanisms of renal fibrosis remain elusive.
Ischemia injury, as a major cause of AKI, deserves more attention in order to
improve the knowledge of AKI-induced fibrosis. Transforming growth factor-beta
(TGF-beta)-activated kinase 1 (TAK1) interacts directly with TGF-beta, which play
a critical role in the progression of fibrosis. Therefore, the present study
aimed to investigate the role of TAK1 in the pathogenesis of ischemia-induced
renal fibrosis. Compared with mice in the vehicle group, mice intraperitoneally
injected with TAK1 inhibitor were found to have lower serum creatinine, less
tubular damage and more mild fibrosis following ischemia-induced AKI.
Furthermore, inhibition of TAK1 reduced p38 phosphorylation, decreased expression
of Bax and caspase 3 and apoptosis cells in kidneys of mice treated with IR
induced AKI. Compared with vehicle-treated renal tubular epithelial cells, TAK1
overexpression cells were found to have a higher apoptosis and fibrosis index
level and p38 phosphorylation following hypoxia/reoxygenation (H/R) treatment.
Furthermore, the p38 inhibitor combined with TAK1 overexpression verified the
role of TAK1/p38 signaling pathway in apoptosis and fibrosis index level of renal
tubular epithelial cells treated with H/R. Thus, our results show that TAK1 plays
an important role in the pathogenesis of ischemia-induced renal fibrosis and may
mediate p38-regulated cell apoptosis.
PMID- 29661026
TI - Patient perceptions of injection devices used with dulaglutide and liraglutide
for treatment of type 2 diabetes.
AB - OBJECTIVE: Liraglutide and dulaglutide have demonstrated similar glycemic
efficacy and safety. However, they differ in treatment administration and
injection devices. The purpose of this study was to examine and compare patient
perceptions of the injection devices used with liraglutide and dulaglutide.
METHODS: Patients with type 2 diabetes treated with liraglutide or dulaglutide
were recruited from across the US. Patients completed the Diabetes Injection
Device Experience Questionnaire (DID-EQ) to rate their current injection device.
Patients who had experience with both treatments also completed the Diabetes
Injection Device Preference Questionnaire (DID-PQ) to report preferences between
the two devices. ANCOVAs were conducted to compare DID-EQ scores between
dulaglutide and liraglutide patients, while controlling for covariates.
Descriptive statistics are presented for preferences reported on the DID-PQ.
RESULTS: A total of 404 patients were recruited from 49 states (mean age = 60.7
years; 54.0% female; 204 liraglutide; 200 dulaglutide). Mean DID-EQ item scores
for both treatments were high, ranging from 3.48 to 3.90 on a 4 point scale.
ANCOVAs found significantly higher scores for dulaglutide than liraglutide on DID
EQ global items assessing ease of use (3.82 vs. 3.73, p = .040) and convenience
(3.79 vs. 3.66, p = .004). Among the 58 patients who had used both devices, more
patients reported a preference for the dulaglutide device than the liraglutide
device on every item of the DID-PQ. CONCLUSIONS: High DID-EQ scores indicate
positive perceptions of both the liraglutide and dulaglutide injection devices.
The dulaglutide device was associated with slightly higher scores for ease of use
and convenience than the liraglutide device.
PMID- 29661025
TI - Self-efficacy as a predictor of patient-reported outcomes in adults with
congenital heart disease.
AB - OBJECTIVE: Self-efficacy is a known predictor of patient-reported outcomes in
individuals with acquired diseases. With an overall objective of better
understanding patient-reported outcomes in adults with congenital heart disease,
this study aimed to: (i) assess self-efficacy in adults with congenital heart
disease, (ii) explore potential demographic and medical correlates of self
efficacy and (iii) determine whether self-efficacy explains additional variance
in patient-reported outcomes above and beyond known predictors. METHODS: As part
of a large cross-sectional international multi-site study (APPROACH-IS), we
enrolled 454 adults (median age 32 years, range: 18-81) with congenital heart
disease in two tertiary care centres in Canada and Switzerland. Self-efficacy was
measured using the General Self-Efficacy (GSE) scale, which produces a total
score ranging from 10 to 40. Variance in the following patient-reported outcomes
was assessed: perceived health status, psychological functioning, health
behaviours and quality of life. Hierarchical multivariable linear regression
analysis was performed. RESULTS: Patients' mean GSE score was 30.1 +/- 3.3
(range: 10-40). Lower GSE was associated with female sex ( p = 0.025), not having
a job ( p = 0.001) and poorer functional class ( p = 0.048). GSE positively
predicted health status and quality of life, and negatively predicted symptoms of
anxiety and depression, with an additional explained variance up to 13.6%. No
associations between self-efficacy and health behaviours were found. CONCLUSIONS:
GSE adds considerably to our understanding of patient-reported outcomes in adults
with congenital heart disease. Given that self-efficacy is a modifiable
psychosocial factor, it may be an important focus for interventions targeting
congenital heart disease patients' well-being.
PMID- 29661031
TI - An Infant With Abnormal Upper Extremity Findings: A Case Report.
PMID- 29661029
TI - Antimicrobial stewardship program in a Greek hospital: implementing a mandatory
prescription form and prospective audits.
AB - AIM: Antimicrobial stewardship programs (ASPs) are urgently needed for Greek
hospitals in order to improve antibiotic usage. PATIENTS & METHODS: An ASP was
implemented to a Greek hospital since February 2014. A mandatory order form was
introduced for five antimicrobials; colistin, tigecycline, daptomycin, doripenem
and linezolid. Prospective audits allowed for feedback to the prescribers without
direct prescribing restriction. RESULTS: Antimicrobials' consumption at the
baseline year and the 3 years of ASP implementation was 93.7, 99.1, 156.1 and
105.9 defined daily doses/1000 patient days, respectively (p > 0.05). No
statistically significant difference in isolation rates of multidrug-resistant
pathogens was detected. CONCLUSION: Efforts are required to demonstrate the long
term impact of our program on antibiotic prescription attitudes as well as
antimicrobial resistance rates.
PMID- 29661030
TI - Diagnostic accuracy of an identification tool for localized neuropathic pain
based on the IASP criteria.
AB - OBJECTIVE: Based on the clear neuroanatomical delineation of many neuropathic
pain (NP) symptoms, a simple tool for performing a short structured clinical
encounter based on the IASP diagnostic criteria was developed to identify NP.
This study evaluated its accuracy and usefulness. METHODS: A case-control study
was performed in 19 pain clinics within Spain. A pain clinician used the
experimental screening tool (the index test, IT) to assign the descriptions of
non-neuropathic (nNP), non-localized neuropathic (nLNP), and localized
neuropathic (LNP) to the patients' pain conditions. The reference standard was a
formal clinical diagnosis provided by another pain clinician. The accuracy of the
IT was compared with that of the Douleur Neuropathique en 4 questions (DN4) and
the Leeds Assessment of Neuropathic Signs and Symptoms (LANSS). RESULTS: Six
hundred and sixty-six patients were analyzed. There was a good agreement between
the IT and the reference standard (kappa =0.722). The IT was accurate in
distinguishing between LNP and nLNP (83.2% sensitivity, 88.2% specificity),
between LNP and the other pain categories (nLNP + nNP) (80.0% sensitivity, 90.7%
specificity), and between NP and nNP (95.5% sensitivity, 89.1% specificity). The
accuracy in distinguishing between NP and nNP was comparable with that of the DN4
and the LANSS. The IT took a median of 10 min to complete. CONCLUSIONS: A novel
instrument based on an operationalization of the IASP criteria can not only
discern between LNP and nLNP, but also provide a high level of diagnostic
certainty about the presence of NP after a short clinical encounter.
PMID- 29661022
TI - Low Rates of Vaccination for Herpes Zoster in Older People Living With HIV.
AB - Herpes zoster (HZ) occurs at a higher age-specific rate in people living with HIV
(PLWH) than in the general population. We implemented a quality improvement study
to assess herpes zoster vaccine (HZV) usage among PLWH, assess HZV usage after
additional reminders/prompts, and identify barriers to HZV among older PLWH. HZV
rates in PLWH were determined in six institutions with varying payment
structures. For the intervention, Part 1, PLWH eligible for HZV at the University
of Colorado were identified, and providers were notified of patient eligibility.
In Part 2, in addition to provider notification, an order for HZV was placed in
the patient's chart before a clinic appointment. HZ vaccination rates ranged from
1.5% to 42.4% at six sites. Before the intervention, 21.3% of eligible University
of Colorado patients had received HZV. An additional 8.3% received HZV with Part
1 and 17.8% with Part 2 interventions. At completion, a total of 53.2% of
eligible patients had received HZV through routine clinical care or the
interventions. Insurance coverage concern was cited as a common reason for not
receiving HZV. Minor adverse reactions occurred in 26.7% patients and did not
require medical care. HZV coverage was low at a majority of sites. Clinical
reminders with links to vaccination orders or preplaced vaccination orders led to
improved HZV coverage in our clinic, but published guidelines for use of HZV in
PLWH and improvement in logistic or insurance barriers to HZV receipt are
paramount to improved HZV coverage.
PMID- 29661027
TI - Social Determinants of Health and Preclinical Glycemic Control in Newly Diagnosed
First-Episode Psychosis Patients.
AB - BACKGROUND: The abnormally high incidence of disorders of glucose metabolism
(DGM) in psychotic-spectrum disorders (PSD) has often been attributed to the side
effects of antipsychotics and unhealthy lifestyles. The influence of social
determinants of health has been largely ignored, despite ample evidence linking
social adversity with both PSD and DGM. The aim of this study is to examine the
influence of well-established social determinants of health on preclinical levels
of glycated hemoglobin (HbA1c) in a sample of first-episode psychosis (FEP)
patients. METHODS: In a sample of newly admitted FEP patients, univariate
analyses were used to select the main predictors of HbA1c levels from the
following social determinants of health: childhood trauma, immigrant background,
visible minority status, and indices of social and material deprivation. The
predictors identified in the univariate analyses were tested in multivariate
linear regression models including age, sex, BMI, depression, and physical
anergia (proxy of sedentary behaviour) as covariates. RESULTS: Univariate
analyses identified visible minority status and childhood physical abuse as
predictors of HbA1c. After controlling for covariates, minority status
significantly predicted higher levels of glycated hemoglobin (beta = 0.23; P =
0.01), and physical abuse had a marginally significant effect (beta = 0.23; P =
0.06). Other predictors were not significantly associated. CONCLUSION: FEP
patients from a visible minority or who were victims of childhood physical abuse
have higher levels of HbA1c at admission compared with other patients. This might
suggest an increase in risk for the development of future DGM. If confirmed,
preventive strategies could be tailored for these groups.
PMID- 29661033
TI - Dementia buddying as a vehicle for person-centred care? The performance of a
volunteer-led pilot on two hospital wards.
AB - Objectives To understand and explain whether a dementia buddies pilot introduced
into two adjacent mental health hospital wards in England was achieving its aim
of enhancing person-centred care. Methods The research used a cultural lens to
evaluate the dementia buddies pilot. It comprised 20 in-depth semi-structured
interviews with staff, volunteers and carers in the two wards where the pilot was
introduced. Results The pilot's ability to deliver positive outcomes depended on
its compatibility with the culture of the ward and it performed better in the
ward where a person-centred culture of care already existed. In this ward, the
pilot became a catalyst for improved experience among patients, carers and staff,
whereas in the second ward, the pilot faced resistance from staff and achieved
less. Conclusions This finding underlines the benefit of focusing on workplace
culture to understand the performance of volunteer-led initiatives. It also shows
that existing ward culture is a determining factor in the capacity for dementia
buddy schemes to act as vehicles for culture change.
PMID- 29661028
TI - Development of large-scale manufacturing of adipose-derived stromal cells for
clinical applications using bioreactors and human platelet lysate.
AB - In vitro expanded adipose-derived stromal cells (ASCs) are a useful resource for
tissue regeneration. Translation of small-scale autologous cell production into a
large-scale, allogeneic production process for clinical applications necessitates
well-chosen raw materials and cell culture platform. We compare the use of
clinical-grade human platelet lysate (hPL) and fetal bovine serum (FBS) as growth
supplements for ASC expansion in the automated, closed hollow fibre quantum cell
expansion system (bioreactor). Stromal vascular fractions were isolated from
human subcutaneous abdominal fat. In average, 95 * 106 cells were suspended in
10% FBS or 5% hPL medium, and loaded into a bioreactor coated with
cryoprecipitate. ASCs (P0) were harvested, and 30 * 106 ASCs were reloaded for
continued expansion (P1). Feeding rate and time of harvest was guided by
metabolic monitoring. Viability, sterility, purity, differentiation capacity, and
genomic stability of ASCs P1 were determined. Cultivation of SVF in hPL medium
for in average nine days, yielded 546 * 106 ASCs compared to 111 * 106 ASCs,
after 17 days in FBS medium. ASCs P1 yields were in average 605 * 106 ASCs (PD
[population doublings]: 4.65) after six days in hPL medium, compared to 119 * 106
ASCs (PD: 2.45) in FBS medium, after 21 days. ASCs fulfilled ISCT criteria and
demonstrated genomic stability and sterility. The use of hPL as a growth
supplement for ASCs expansion in the quantum cell expansion system provides an
efficient expansion process compared to the use of FBS, while maintaining cell
quality appropriate for clinical use. The described process is an obvious choice
for manufacturing of large-scale allogeneic ASC products.
PMID- 29661035
TI - Migraine mimicking stroke: What to do?
PMID- 29661037
TI - Lysosomes and Brain Health.
AB - One of the fundamental properties of the cell is the capability to digest and
remodel its own components according to metabolic and developmental needs. This
is accomplished via the autophagy-lysosome system, a pathway of critical
importance in the brain, where it contributes to neuronal plasticity and must
protect nonreplaceable neurons from the potentially harmful accumulation of
cellular waste. The study of lysosomal biogenesis and function in the context of
common and rare neurodegenerative diseases has revealed that a dysfunctional
autophagy-lysosome system is the shared nexus where multiple, interconnected
pathogenic events take place. The characterization of pathways and mechanisms
regulating the lysosomal system and autophagic clearance offers unprecedented
opportunities for the development of polyvalent therapeutic strategies based on
the enhancement of the autophagy-lysosome pathway to maintain cellular
homeostasis and achieve neuroprotection.
PMID- 29661036
TI - When migraine mimics stroke: A systematic review.
AB - BACKGROUND: Migraine with aura may mimic an acute ischemic stroke, so that an
improper administration of thrombolytic treatment can expose migrainous patients
to severe adverse effects. METHODS: This systematic review quantifies the
relevance of migraine with aura among stroke mimics, checking for thrombolysis'
safety in these patients. We reviewed the literature after 1995, distinguishing
from studies dealing with stroke mimics treated with systemic thrombolysis and
those who were not treated with systemic thrombolysis. RESULTS: Migraine with
aura is responsible for 1.79% (CI 95% 0.82-3.79%) of all the emergency Stroke
Unit evaluations and it represents 12.24% (CI 95% 6.34-22.31%) of stroke mimics
in the group not treated with systemic thrombolysis. 6.65% (CI 95% 4.32-9.78%) of
systemic thrombolysis administrations are performed in patients without an acute
ischemic stroke. Migraine with aura is responsible for 17.91% of these (CI 95%
13.29-23.71%). The reported rate of adverse events seems extremely low (0.01%).
CONCLUSION: Migraine with aura is the third most common stroke mimic, following
seizures and psychiatric disorders; it is responsible for about 18% of all
improper thrombolytic treatments. Despite the absence of strong supporting data,
thrombolysis in migraine with aura seems to be a procedure with an extremely low
risk of adverse events.
PMID- 29661039
TI - High-Fidelity Simulation of Pediatric Emergency Care: An Eye-Opening Experience
for Baccalaureate Nursing Students.
AB - Background Little attention has been given to in-depth examination of what high
fidelity simulation is like for nursing students within the context of a
pediatric emergency, such as a cardiopulmonary arrest. It is possible that such
high-fidelity simulation could provoke in nursing students intense psychological
reactions. Purpose The purpose of this study was to learn about baccalaureate
nursing students' lived experience of high-fidelity simulation of pediatric
cardiopulmonary arrest. Method Phenomenological methods were used. Twenty-four
interviews were conducted with 12 students and were analyzed for themes. Results
The essence of the experience is that it was eye-opening. The students found the
simulation to be a surprisingly realistic nursing experience as reflected in
their perceiving the manikin as a real patient, thinking that they were saving
their patient's life, feeling like a real nurse, and feeling relief after
mounting stress. It was a surprisingly valuable learning experience in that the
students had an increased awareness of the art and science of nursing and
increased understanding of the importance of teamwork and were feeling more
prepared for clinical practice and wanting more simulation experiences.
Conclusion Educators should capitalize on the benefits of high-fidelity
simulation as a pedagogy, while endeavoring to provide psychologically safe
learning.
PMID- 29661038
TI - Valued activities and informal caregiving in stroke: a scoping review.
AB - PURPOSE: Map the literature about valued activities and informal caregiving post
stroke and determine the nature, extent, and consequences of caregivers' activity
changes. METHODS: A scoping review was undertaken, searching Pubmed, Cinahl,
PsycInfo, and Google Scholar. Two researchers independently identified relevant
articles, extracted study characteristics and findings, and assigned codes
describing the topics and outcomes. Using thematic analysis, the main study
topics and study outcomes were described. RESULTS: The search yielded 662
studies, 30 of which were included. These were mainly qualitative and cross
sectional studies assessing caregivers' activity changes and related factors, or
exploring caregivers' feelings, needs and strategies to deal with their activity
challenges. Although caregivers often lost their social and leisure activities,
which made them feel unhappy and socially isolated, we found no studies about
professional interventions to help caregivers maintain their activities. Over the
years, caregivers' activity levels generally increased. However, some caregivers
suffered from sustained activity loss, which, in turn, relates to depression.
CONCLUSION: Loss of valued activities is common for stroke caregivers. Although
high-level evidence is lacking, our results suggest that sustained activity loss
can cause stroke caregivers to experience poor mental health and wellbeing.
Suggestions to help caregivers maintain their valued activities are presented.
Implications for rehabilitation Not only stroke survivors but also their informal
caregivers tend to lose their valued activities, such as their social and leisure
activities. Although many caregivers manage to resume their valued activities
over time, others suffer from sustained activity loss up to at least two years
post stroke. Loss of valued activities in stroke caregivers can result in lower
levels of wellbeing, depression, and social isolation. Rehabilitation
professionals should screen stroke caregivers for activity loss and assist them
in resuming their valued activities and maintaining their social contacts.
PMID- 29661040
TI - Use of augmented fluoroscopic imaging during diagnostic bronchoscopy.
AB - The single pulmonary nodule evaluation is a complex problem. In particular,
attempts to biopsy peripheral nodules with bronchoscopy have been hampered by
difficulty navigating to the correct airway and then confirming the instruments'
proximity to the nodule. Virtual systems in use do not provide real-time feedback
of a live image of the nodule in question. Fluoroscopy has traditionally offered
limited assistance as often the nodule is not visible and provides no information
on airways/pathways to the nodule. We describe the use of LungVision augmented
fluoroscopy to aid in real-time navigation assistance to peripheral lung nodules.
PMID- 29661042
TI - Identification and drawing of early concepts in children with autism spectrum
disorder and children without disability.
AB - This comparative study is a replication and extension of previous work related to
children's drawings of early concepts. In all, 15 children with autism spectrum
disorder (ASD) and 19 children without disability took part in the study, and
were video recorded as they drew 10 early emerging concepts such as "eat" and
"more." In a second task, the participants were asked to label Picture
Communication Symbols conventionally used in augmentative and alternative
communication (AAC) systems. Descriptive, correlational, and inferential
statistics were used to analyze the data. The results were consistent with
previous research for both groups. Across the 10 features coded, participants in
both groups drew entire scenes and were more likely to draw complete
representations of people. Identification of PCS was less than 25% for both
groups. After a brief time delay, the participants were able to remember the
names of their drawings; however, performance on this recall task was positively
related to their age. The language scores of the participants without disability
were associated with their use of isolated parts in drawings. Trends in the data
suggest potential avenues for follow up with larger studies examining use of
self, others, and entire scenes in children with ASD. Implications for therapy
and future directions pertaining to symbolic understanding in children with ASD
are discussed.
PMID- 29661034
TI - The use of chitosan/PLA nano-fibers by emulsion eletrospinning for periodontal
tissue engineering.
AB - OBJECTIVE: In this study, nanofibrous scaffolds base on pure polylactic acid
(PLA) and chitosan/PLA blends were fabricated by emulsion eletrospinning. By
modulating their mechanical and biological properties, cell-compatible and
biodegradable scaffolds were developed for periodontal bone regeneration.
METHODS: Pure PLA and different weight ratios of chitosan nano-particle/PLA nano
fibers were fabricated by emulsion eletrospinning. Scanning electron microscope
(SEM) was performed to observe the morphology of nano-fibers. Mechanical
properties of nano-fibers were tested by single fiber strength tester.
Hydrophilic/hydrophobic nature of the nano-fibers was observed by
stereomicroscope. In vitro degradation was also tested. Cells were seeded on nano
fibers scaffolds. Changes in cell adhesion, proliferation and osteogenic
differentiation were tested by MTT assay and Alizarin Red S staining. Reverse
transcription-polymerase chain reaction (RT-PCR) assay was used to evaluate the
expression of (Toll-like receptor 4) TLR4, IL-6, IL-8, IL-1beta, OPG, RUNX2 mRNA.
RESULTS: It is shown that the mean diameter of nano-fibers is about 200 nm. The
mean diameter of chitosan nano-particles is about 50 nm. The combination of
chitosan nano-particles enhanced the mechanical properties of pure PLA nano
fibers. By adding a certain amount of chitosan nano-particles, it promoted cell
adhesion. It also promoted the osteogenic differentiation of bone marrow stem
cells (BMSCs) by elevating the expression of osteogenic marker genes such as BSP,
Ocn, collagen I, and OPN and enhanced ECM mineralization. Nonetheless, it caused
higher expression of inflammatory mediators and TLR4 of human periodontal
ligament cells (hPDLCs). CONCLUSION: The combination of chitosan nano-particles
enhanced the mechanical properties of pure PLA nano-fibers and increased its
hydrophilicity. Pure PLA nano-fibers scaffold facilitated BMSCs proliferation.
Adding an appropriate amount of chitosan nano-particles may promote its
properties of cell proliferation and osteogenic differentiation. The higher
expression of inflammatory mediators caused by nano-fibers may be regulated via
TLR4 pathway.
PMID- 29661043
TI - Risks and consequences of travel burden on prophylactic granulocyte colony
stimulating factor administration and incidence of febrile neutropenia in an aged
Medicare population.
AB - OBJECTIVE: Granulocyte colony-stimulating factors (G-CSFs) decrease the incidence
of febrile neutropenia (FN) in patients receiving myelosuppressive chemotherapy.
This study examines the impact patient travel burden has on administration of
prophylactic G-CSFs and the subsequent impact on FN incidence. METHODS: Medicare
claims data were used to identify a cohort of beneficiaries age 65+ with non
myeloid cancers at high risk for FN between January 2012 and December 2014.
Driving distance and time were calculated from patient residence ZIP code to the
location of G-CSF and/or chemotherapy administration. Regression models were used
to estimate the odds of G-CSF prophylaxis relative to patient driving distance
and time, and odds of FN incidence relative to timing of G-CSF administration
(optimal [days 2-4 after chemotherapy], sub-optimal [same day], or none).
RESULTS: The 52,389 study patients had a mean age of 73.5 years, and were 82%
female and 89% white race; 49% had female breast cancer, 12% lung cancer, 15%
ovarian cancer, and 24% non-Hodgkin's lymphoma. Of these high FN risk patients,
69% had at least one prophylactic G-CSF administration within at least one
chemotherapy cycle. The percentage of patients receiving prophylactic G-CSFs in
the first cycle was 56%. Median travel time was slightly longer for patients who
did not receive G-CSFs and patients receiving short-acting vs long-acting G-CSFs.
The odds of receiving no G-CSFs were 26-52% higher (depending on cancer type) for
patients with a >80-min one-way travel time, compared to patients traveling <20
min. Concurrently, the odds of FN (using a "narrow" definition) were 18-93%
higher for patients who did not receive G-CSFs in the first cycle of
chemotherapy. CONCLUSIONS: Travel burden, linked to clinic visits for G-CSF
administration following myelosuppressive chemotherapy, is associated with sub
optimal use of G-CSF prophylaxis, which may result in a higher incidence of FN.
PMID- 29661041
TI - Prospective risk of osteoporotic fractures in patients with advanced chronic
obstructive pulmonary disease.
AB - Despite the high prevalence of osteoporosis in chronic obstructive pulmonary
disease (COPD) patients, the fracture risk prediction tools are not routinely
undertaken in the management of COPD. We quantified fracture risk using a
validated risk prediction tool (Fracture Risk Assessment (FRAX(r))) and
determined potential bone-protection treatment needs in patients with advanced
COPD. The 10-year probability of major osteoporotic or hip fracture was
calculated using the FRAX tool in a cohort of patients attending a hospital
complex COPD service. Patients were identified to be at low, intermediate and
high risk based on their FRAX scores, in accordance with the National
Osteoporosis Guideline Group recommendations, to assess the number of patients
requiring bone mineral density (BMD) testing or bone protection therapy. Two
hundred forty-seven patients [mean (standard deviation (SD)) age 66 (9.1) years,
26% current smokers, 40% women and median (interquartile range (IQR)) Medical
Research Council (MRC) breathlessness scale 4 (0)] had a 10-year probability of
9.5% (6.1) and 3.8% (4.6) for major osteoporotic and hip fractures, respectively.
Thirty-six percentage of patients were identified to be at intermediate risk of
developing fragility fracture, requiring BMD assessment, while 9% were at high
risk, requiring treatment. Thirty-two percentage of high-risk patients were on
bisphosphonates. The FRAX score can be used to assess the fracture risk within
the COPD cohort and assist with decision-making about BMD measurement and
provision of bone protection therapy.
PMID- 29661046
TI - Diagnostic Accuracy of Tuning Fork Tests for Hearing Loss: A Systematic Review.
AB - Objective (1) To determine the diagnostic accuracy of tuning fork tests (TFTs;
Weber and Rinne) for assessment of hearing loss as compared with standard
audiometry. (2) To identify the audiometric threshold at which TFTs transition
from normal to abnormal, thus indicating the presence of hearing loss. Data
Sources PubMed, Ovid Medline, EMBASE, Web of Science, Cochrane, and Scopus and
manual bibliographic searches. Review Methods A systematic review of studies
reporting TFT accuracy was performed according to a standardized protocol. Two
independent evaluators corroborated the extracted data and assessed risk of bias.
Results Seventeen studies with 3158 participants, including adults and children,
met inclusion criteria. The sensitivity and specificity of the Rinne test for
detecting conductive hearing loss ranged from 43% to 91% and 50% to 100%,
respectively, for a 256-Hz fork and from 16% to 87% and 55% to 100% for a 512-Hz
fork. The audiometric thresholds at which tests transition from normal to
abnormal ranged from 13 to 40 dB of conductive hearing loss for the Rinne test
and from 2.5 to 4 dB of asymmetry for the Weber test. Significant heterogeneity
in TFT methods and audiometric thresholds to define hearing loss precluded meta
analysis. There is high risk of bias in patient selection for a majority of the
studies. Conclusion Variability exists in the reported test accuracy measurements
of TFTs for clinical screening, surgical candidacy assessments, and estimation of
hearing loss severity. Clinicians should remain mindful of these differences and
optimize these techniques in specific clinical applications to improve TFT
accuracy.
PMID- 29661047
TI - Evolutionary history of genus Macrobrachium inferred from mitochondrial markers:
a molecular clock approach.
AB - Caridea, an infraorder of shrimps coming under Pleocyemata was first reported
from the oceans before 417 million years followed by their radiation recorded
during the Permian period. Hitherto, about 3877 extant caridean species were
accounted within which one quarter constitute freshwater species. Freshwater
prawns of genus Macrobrachium (Infraorder Caridea; Family Palaemonidae), with
more than 240 species are inhabitants of diverse aquatic habitats like coastal
lagoons, lakes, tropical streams, ponds and rivers. Previous studies on
Macrobrachium relied on the highly variable morphological characters which were
insufficient for accurate diagnosis of natural species groups. Present study
focuses on the utility of molecular markers (viz. COI and 16S rRNA) for resolving
the evolutionary history of genus Macrobrachium using a combination of phylogeny
and timescale components. It is for the first time a molecular clock approach had
been carried out towards genus Macrobrachium in a broad aspect with the
incorporation of congeners inhabiting diverse geographical realms including
endemic species M. striatum from South West coast of India. Molecular results
obtained revealed the phylogenetic relationships between congeners of genus
Macrobrachium at intra/inter-continental level along with the corresponding
evolutionary time estimates.
PMID- 29661045
TI - Recent approaches for targeted drug delivery in rheumatoid arthritis diagnosis
and treatment.
AB - Rheumatoid arthritis (RA) is a chronic inflammatory disease with complex
pathology characterized by inflammation of joints, devastation of the synovium,
pannus formation, bones and cartilage destruction and often is associated with
persistent arthritic pain, swelling, stiffness and work disability. In
conventional RA therapy, because of short biological half-life, poor
bioavailability, high and frequent dosing is required. Thereby, these anti-RA
medications, which unable to selectively target affected zone, may cause severe
side effects in extra-articular tissues. Today, nanotechnology has emerged as
promising tool in the development of novel drug delivery systems for the
treatment and diagnosis of intractable diseases such as RA. Active targeting in
RA nanomedicine has also been introduced a successful way for facilitating
specific uptake of therapeutic agents by the disease cells. In this review, it is
attempted to describe various targeted drug delivery systems (localized and
receptor-based) used for RA diagnosis and therapy. Then, we highlight recent
developments related to various non-viral gene delivery systems for RA gene
therapy.
PMID- 29661049
TI - Academic Facility Utilization and Survival Outcomes in Adult Head and Neck
Sarcomas: An NCDB Analysis.
AB - Objectives To investigate clinicopathologic and treatment factors associated with
survival in adult head and neck sarcomas in the National Cancer Database (NCDB).
To analyze whether treatment settings and therapies received influence survival
outcomes and to compare trends in utilization via an aggregated national data
set. Study Design Prospectively gathered data. Setting NCDB. Subjects and Methods
The study comprised a total of 6944 adult patients treated for a head and neck
sarcoma from January 2004 to December 2013. Overall survival (OS) was the primary
outcome. Results Increased age and tumor size, nodal involvement, and poorly
differentiated histology had significantly reduced OS ( P < .001). Angiosarcoma,
malignant nerve sheath tumor, malignant fibrous histiocytoma, osteosarcoma, and
rhabdomyosarcoma histologic subtypes had significantly reduced OS, while
liposarcoma, chondrosarcoma, and chordoma had improved OS ( P < .001).
Utilization of surgical therapy was associated with improved OS, while positive
surgical margins were associated with treatment at a community-based cancer
program and had reduced OS ( P < .001). On multivariate analysis, treatment with
radiation and/or chemotherapy was not significantly associated with OS; however,
primary treatment with definitive chemoradiotherapy had significantly reduced OS.
Patients treated at academic/research cancer programs (n = 3874) had
significantly improved 5- and 10-year OS (65% and 54%, respectively) when
compared with patients treated at community-based cancer programs (n = 3027; 49%
and 29%; P < .001). The percentage utilization of these programs (56% vs 44%) did
not change over the study period. Conclusion For adult head and neck sarcomas,
treatment at an academic/research cancer program was associated with improved
survival; however, despite increasing medical specialization, the percentage
utilization of these programs for this rare tumor remains constant.
PMID- 29661044
TI - DMEK versus DSAEK for Fuchs' endothelial dystrophy: A meta-analysis.
AB - PURPOSE: To compare the safety and efficacy profiles of Descemet membrane
endothelial keratoplasty (DMEK) and Descemet stripping automated endothelial
keratoplasty (DSAEK) in adult patients with Fuchs' endothelial dystrophy.
METHODS: Electronic database search on MEDLINE and CENTRAL from inception to
August 2017. We included all comparative studies of DMEK versus DSAEK in patients
with diagnosed Fuchs' endothelial dystrophy. Studies assessing rescue procedures
were excluded to minimize bias. PRIMARY OUTCOME: mean difference in best
corrected visual acuity (BCVA) at 3, 6, and 12 months postoperatively. SECONDARY
OUTCOMES: rates of graft primary failure, rejection, and rebubbling; other graft
related issues; mean difference in endothelial cell density; subjective visual
outcomes; and patient satisfaction. RESULTS: A total of 10 retrospective studies
of moderate methodological quality were included (n = 947 eyes, 646 DMEK). BCVA
was better with DMEK at all evaluated time points (0.16 logMAR at 12 months)
comparing to DSAEK (0.30 logMAR; p < 0.001). DMEK had a 60% lower rate of
rejection (risk ratio (RR) 0.4, 95% CI (0.24, 0.67), p = 0.0005), but required
more rebubblings (RR = 2.48, 95% CI (1.32, 4.64), p = 0.005). DMEK had more
primary graft failures and less endothelial cell density loss, but statistical
difference was not reached. More patients were satisfied after DMEK (odds ratio =
10.29, 95% CI (3.55, 29.80), p < 0.0001). CONCLUSION: DMEK showed better
postoperative results regarding BCVA, patient satisfaction, and graft-related
issues. However, the small number of studies with short follow-up times and other
methodological issues prompt us to interpret these results carefully.
PMID- 29661050
TI - Healthcare resource use and costs associated with chronic kidney disease in US
private insurance patients with multiple myeloma.
AB - Objectives Within a median 1.2 years after patients have an initial diagnosis
with multiple myeloma, up to 61% were diagnosed with renal impairment and 50%
were diagnosed with chronic kidney disease. This study estimated economic burden
associated with chronic kidney disease in multiple myeloma patients in the US.
Methods In this retrospective cohort study, patients >=18 years old with >=1
inpatient or >= 2 outpatient multiple myeloma diagnoses between 1 January 2008
and 31 March 2015 were identified from MarketScan(r) Commercial and Medicare
Supplemental Databases. Chronic kidney disease patients had >=1 diagnosis of
chronic kidney disease Stages 1-5 (first chronic kidney disease diagnosis date =
index date) on or after the first multiple myeloma diagnosis, and were propensity
score matched 1:1 to multiple myeloma patients without chronic kidney disease,
end-stage renal disease, dialysis, or other type of chronically impaired renal
function. All patients had >=six-month continuous enrollment prior to index date
and were followed for >=one month from index date until the earliest of inpatient
death, end of continuous enrollment, or end of the study period (30 September
2015). The per-patient per-year healthcare resource utilization and costs were
measured during follow-up. Costs were total reimbursed amount in 2016 US dollars.
Results A total of 2541 multiple myeloma patients with chronic kidney disease
stages 1-5 and 2541 matched controls met the study criteria and were respectively
69.3 and 69.6 years, 54.5% and 55.3% men, and had 572.2 and 533.4 mean days of
follow up. Compared to controls, chronic kidney disease patients had
significantly (all P < 0.001) higher proportions (57.1% vs. 32.1%) and frequency
(1.2 vs. 0.5) of inpatient admissions, frequency of emergency room visits (5.1
vs. 3.3), and total costs ($106,634 vs. $71,880). Sensitivity analyses found that
patients with chronic kidney disease, end-stage renal disease, or dialysis had
$78,455 ( P < 0.001) higher costs (per-patient per-year) than matched controls.
Conclusions The economic burden associated with chronic kidney disease in
patients with multiple myeloma was estimated to be between $34,754 and $78,455
per-patient per-year. Given its substantial clinical and economic impact,
preservation of renal function is important in multiple myeloma patient care.
PMID- 29661048
TI - Evidence for a priming-based asymmetry in color identification.
AB - Performance asymmetries in colour discrimination (or detection) between visual
fields (VFs) are typically examined using mean or median reaction times and have
tended to yield either a left VF advantage for all colours (i.e., lower reaction
times) or no difference for any colour, although a right VF advantage has also
been reported. We used a novel colour identification task in which participants
simply identified the colour of a laterally presented rectangle (i.e., red or
blue). A measure of priming effects - but not mean or median reaction times -
revealed a VF * colour interaction across a pilot study and two experiments;
priming for red versus blue stimuli was greater in the left VF and priming for
blue stimuli was greater in the right versus left VF. Two plausible psychological
explanations of this interaction are offered, including the potential generation
of approach and avoidance motivations and different emotional responses to blue
versus red stimuli. Future work will be needed, but the current findings indicate
that the left and right hemispheres are differentially primed by different
colours - the right hemisphere is primed to a greater extent by red stimuli, and
the left hemisphere is primed more by blue stimuli.
PMID- 29661051
TI - Association between inflammatory potential of diet and odds of gestational
diabetes mellitus among Iranian women.
AB - BACKGROUND: The possible relationship between diet-related inflammation and the
risk of gestational diabetes mellitus (GDM) requires further investigation,
especially in non-Western populations. We examined the association between
dietary inflammatory index (DII) scores and GDM in a case-control study conducted
in Iran. METHODS: This study included 122 GDM cases and 266 controls hospitalized
for acute non-neoplastic diseases. Cases were pregnant women aged 18-40 years,
who visited major general hospitals in different regions of Tehran. Pregnant
women were screened for gestational diabetes between the 24th and 28th week of
gestation with a 50-g, 1-hour glucose challenge test (GCT). Cases were diagnosed
positive for GDM. Controls were pregnant women who had normal GCT test. DII
scores were computed from dietary intake assessed by a previously validated 147
item food frequency questionnaire. Logistic regression models adjusted age,
gestational age, energy, exercise, BMI, smoking exposure, family history of
diabetes, and history of multivitamin intake were used to estimate odds ratios
(ORs) and 95% confidence intervals (CI). RESULTS: Subjects with higher DII scores
(i.e. indicating a more proinflammatory diet) had a higher odd of GDM with the
DII being used as both a continuous (OR = 1.20; 95% CI = 0.94-1.54) and as
categorical (ORtertile 3vs1 = 2.10; 95% CI = 1.02-4.34, p-trend = .03).
CONCLUSIONS: These results indicate that a proinflammatory diet, as evidenced by
higher DII scores, is associated with increased odds of GDM among Iranian women.
PMID- 29661053
TI - Differential Diagnosis of Sinonasal Lymphoma and Squamous Cell Carcinoma on CT,
MRI, and PET/CT.
AB - Objective The purpose of this study was to analyze computed tomography (CT) and
magnetic resonance (MR) images and to evaluate the maximum standardized uptake
value (SUV max) of positron emission tomography (PET)/CT parameters between
sinonasal non-Hodgkin's lymphoma (NHL) and squamous cell carcinoma (SCC), knowing
the imaging features that distinguish sinonasal NHL from SCC. Study Design Case
series with chart review. Setting University tertiary care facility. Subjects and
Methods We analyzed the features on CT, MR imaging, and PET/CT of 78 patients
diagnosed with sinonasal NHL or SCC histopathologically. The CT (n = 34), MRI (n
= 25), and PET/CT (n = 33) images of 39 patients with sinonasal NHL and the CT (n
= 38), MR (n = 28), and PET/CT (n = 31) images of 39 patients with SCC were
evaluated. The sinonasal NHL was diagnosed as natural killer/T-cell lymphoma (n =
28) and diffuse large B-cell lymphoma (n = 11). Results Patients with sinonasal
NHL had a larger tumor volume and higher tumor homogeneity than patients with SCC
on T2-weighted and postcontrast MR images. Most of the sinonasal NHL and SCC
showed a high degree of enhancement. The apparent diffusion coefficient (ADC)
values and adjacent bone destruction were significantly lower in sinonasal NHL
than in SCC. However, cervical lymphadenopathy, Waldeyer's ring involvement, and
PET/CT SUV max showed no significant differences between sinonasal NHL and SCC.
Conclusion CT and MR images of sinonasal masses showing a bulky lesion, marked
homogeneity, and low ADC values without adjacent bone destruction are more
suggestive of sinonasal NHL than SCC.
PMID- 29661057
TI - Are the Motivational Effects of Autonomy-Supportive Conditions Universal?
Contrasting Results Among Indians and Americans.
AB - In Western theories of motivation, autonomy is conceived as a universal motivator
of human action; enhancing autonomy is expected to increase motivation
panculturally. Using a novel online experimental paradigm that afforded a
behavioral measure of motivation, we found that, contrary to this prevailing
view, autonomy cues affect motivation differently among American and Indian
corporate professionals. Autonomy-supportive instructions increased motivation
among Americans but decreased motivation among Indians. The motivational Cue *
Culture interaction was extraordinarily large; the populations exhibited little
statistical overlap. A second study suggested that this interaction reflects
culturally specific norms that are widely understood by members of the given
culture. When evaluating messages to motivate workers, Indians, far more than
Americans, preferred a message invoking obligations to one invoking autonomous
personal choice norms. Results cast doubt on the claim, made regularly in both
basic and applied psychology, that enhancing autonomy is a universally preferred
method for boosting motivation.
PMID- 29661058
TI - Feeling Interpersonally Controlled While Pursuing Materialistic Goals: A
Problematic Combination for Moral Behavior.
AB - We created a life-goal assessment drawing from self-determination theory and
achievement goal literature, examining its predictive power regarding immoral
behavior and subjective well-being. Our source items assessed direction and
energization of motivation, via the distinction between intrinsic and extrinsic
aims and between intrinsic and extrinsic reasons for acting, respectively. Fused
source items assessed four goal complexes representing a combination of direction
and energization. Across three studies ( Ns = 109, 121, and 398), the extrinsic
aim/extrinsic reason complex was consistently associated with immoral and/or
unethical behavior beyond four source and three other goal complex variables.
This was consistent with the triangle model of responsibility's claim that
immoral behaviors may result when individuals disengage the self from moral
prescriptions. The extrinsic/extrinsic complex also predicted lower subjective
well-being, albeit less consistently. Our goal complex approach sheds light on
how self-determination theory's goal contents and organismic integration mini
theories interact, particularly with respect to unethical behavior.
PMID- 29661062
TI - Poisoned Wine: Regulation, Chemical Analyses, and Spanish-French Trade in the
1930s.
AB - This paper describes the resources, scientific spaces, and experts involved in
the study of a mass poisoning caused by the drinking of arsenic-contaminated wine
exported from Spain to France in 1932. Local and international periodicals record
the poisoning of 300 French sailors, and stressed the commercial implications of
the case. We discuss the reports prepared by different experts (mainly
physicians, agricultural engineers, and customs chemists). Their work was not
limited to preparing technical publications or chemical analyses; they also
actively defended the quality of their local wine, and played a major role in the
discussions regarding the regulation of the international wine market in the
1930s, when new standards regarding the analysis of wine were being considered.
Curiously, this well-publicised case of mass poisoning did not have any
noticeable consequences in the international regulation of wine. This absence of
subsequent regulatory action and the role of experts are central topics of the
paper.
PMID- 29661052
TI - Older Adults' Internet Use for Health Information: Digital Divide by
Race/Ethnicity and Socioeconomic Status.
AB - Building upon literature suggesting low Internet use among racial/ethnic
minorities and socioeconomically disadvantaged groups, this study examined how
race/ethnicity and socioeconomic status (SES) influence the Internet use for
health information, addressing both independent and interactive effects. Using
data from 17,704 older adults in the California Health Interview Survey, logistic
regression models were estimated with race/ethnicity (Whites, African Americans,
Latinos, and Asians), SES index, and the interaction between race/ethnicity and
SES index. Overall, approximately 40% of participants were Internet-users for
health information. Direct effects of race/ethnicity and SES-and their
interactions-were all found to be significant. Minority status combined with the
lowest levels of SES substantially reduced the odds of using Internet for health
information. Findings suggest the combination of racial/ethnic minority status
and low SES as a source of digital divide, and provide implications for Internet
technology training for the target population.
PMID- 29661056
TI - (How) Does Initial Self-Control Undermine Later Self-Control in Daily Life?
AB - Past research suggests that self-control lapses occur more frequently following
demanding experiences in daily life. However, the reason for these effects is
debated. Three studies were therefore conducted to better understand self-control
lapses. Exploratory analyses were conducted in Study 1 to identify possible
effects. Studies 2 and 3 evaluated these effects' reliability. Two patterns were
identified. First, initial desire-goal conflict predicted later increases in
subjective fatigue. This was in turn related to less effective self-control
attempts. Second, initial self-control attempts also led participants to enact
desires more frequently. This latter effect occurred even when (and perhaps
especially when) those later desires were not resisted. In contrast, the strength
model of self-control did not receive support, as initial self-control attempts
did not affect the success of subsequent self-control attempts. These studies
therefore suggest initial self-control does play an important role in producing
later self-control lapses-just a different role than predicted by the strength
model.
PMID- 29661054
TI - Theory of Prejudice and American Identity Threat Transfer for Latino and Asian
Americans.
AB - Latinos and Asian Americans confront similar stereotypes as they are often
presumed to be foreigners and subjected to American identity denial. Across six
studies (total N = 992), we demonstrate that Latinos and Asians anticipate
ingroup prejudice and specific types of subordination (e.g., American identity
threat) in the face of outgroup threats that target one another (i.e., stigma
transfer). The studies explore whether stigma transfer occurred primarily when
shared Latino and Asian stereotype content was a salient component of the
prejudice remark (e.g., foreigner stereotypes; Study 3), or when outgroup
prejudice targeted a social group with shared stereotype content (Study 4),
though neither appeared to substantively moderate stigma transfer. Minority group
members who conceptualize prejudiced people as holding multiple biases (i.e., a
monolithic prejudice theory) were more susceptible to stigma transfer suggesting
that stereotype content is not necessary for stigma transfer because people
assume that prejudice is not singular.
PMID- 29661063
TI - Calcitonin Stewardship Strategies.
AB - Despite being approved by the Food and Drug Administration for over 30 years,
calcitonin salmon has seen a dramatic increase in acquisition cost over the last
few years. Being commonly used for the treatment of hypercalcemia of malignancy,
health systems must implement stewardship strategies in order to curtail usage.
This review is intended to provide a background on calcitonin usage for
hypercalcemia of malignancy and associated strategies to ensure appropriateness
of utilization within health systems.
PMID- 29661032
TI - Results From a Pilot Study of Handheld Vibration: Exercise Intervention Reduces
Upper-Limb Dysfunction and Fatigue in Breast Cancer Patients Undergoing
Radiotherapy: VibBRa Study.
AB - PURPOSE: Although there is evidence that breast cancer patients benefit from
exercising during treatment, exercising during radiotherapy and especially the
effects on upper-limb dysfunctions have been infrequently assessed. Therefore, we
primarily aimed to confirm our interventions' feasibility and secondarily aimed
to affect upper-limb dysfunctions and fatigue. METHODS: Twenty-two breast cancer
patients scheduled for radiotherapy were allocated to an intervention (IG) or a
passive control group (CG) as they preferred. IG exercised 3*/week during 6 weeks
of radiotherapy: cycling endurance, handheld vibration, and balance training. We
documented adverse events and training compliance (feasibility) and assessed the
range of shoulder motion (ROM), isometric hand grip strength, vibration sense on
the first metacarpophalangeal joint of the affected upper limb, and fatigue.
RESULTS: We observed no adverse events and a training compliance of 98 %. IG's
ROM improved significantly (abduction: 11 degrees ; 95% confidence interval [CI]
5 to 20; external rotation: 5 degrees , 95% CI 0 to 10), as did the hand grip
strength (1.6 kg, 95% CI -0.6 to 3.1), while CG's ROM did not change. CG's
vibration sense worsened (-1.0 points, 95% CI -1.5 to -0.5), while IG's remained
stable. Changes in general fatigue levels between IG (-2.0 points, 95% CI -3.0 to
-1.0) and CG (0.5 points, 95% CI -1.0 to 4.5) revealed significant differences (
P = .008) Conclusions: Our intervention proved to be feasible and provides novel
findings: it reduced fatigue levels and interestingly, handheld vibration
exercises improved upper-limb function due to shoulder ROM, hand grip strength,
and vibration sense.
PMID- 29661055
TI - Messenger RNA Delivery for Tissue Engineering and Regenerative Medicine
Applications.
AB - The ability to control cellular processes and precisely direct cellular
reprogramming has revolutionized regenerative medicine. Recent advances in in
vitro transcribed (IVT) mRNA technology with chemical modifications have led to
development of methods that control spatiotemporal gene expression. Additionally,
there is a current thrust toward the development of safe, integration-free
approaches to gene therapy for translational purposes. In this review, we
describe strategies of synthetic IVT mRNA modifications and nonviral technologies
for intracellular delivery. We provide insights into the current tissue
engineering approaches that use a hydrogel scaffold with genetic material.
Furthermore, we discuss the transformative potential of novel mRNA formulations
that when embedded in hydrogels can trigger controlled genetic manipulation to
regenerate tissues and organs in vitro and in vivo. The role of mRNA delivery in
vascularization, cytoprotection, and Cas9-mediated xenotransplantation is
additionally highlighted. Harmonizing mRNA delivery vehicle interactions with
polymeric scaffolds can be used to present genetic cues that lead to precise
command over cellular reprogramming, differentiation, and secretome activity of
stem cells-an ultimate goal for tissue engineering.
PMID- 29661060
TI - Mental Work Demands and Late-Life Cognitive Impairment: Results From the Shanghai
Aging Study.
AB - OBJECTIVE: The aim of this study is to explore the association between mental
work demands (MWDs) and late-life cognition among Chinese older adults. METHOD:
Data were drawn from the baseline of the Shanghai Aging Study. RESULTS: Among
3,546 participants, the mean MWDs index of participants with dementia, mild
cognitive impairment (MCI), and cognitive normal were 2.8 ( SD = 0.5), 2.8 ( SD =
0.5), and 2.9 ( SD = 0.4), respectively. Mini Mental State Examination (MMSE)
scores in group with low MWDs level decreased more dramatically by increasing age
than that in groups with middle and high MWDs level ( p < .001). In a subgroup of
participants with education >=6 years, we found a positive correlation between
MWDs index and MMSE score after adjusting for gender, age, body mass index,
income, living alone, smoking, drinking, anxiety, depression, heart disease,
hypertension, diabetes, and APOE-epsilon4 (apolipoprotein), by generalized linear
model analysis ( p < .001). DISCUSSION: Our results suggest that long-time mental
work stimulation during adulthood would have potential benefits to late-life
cognition.
PMID- 29661061
TI - Correlation of Nasal Eosinophilia and Response after Nasal Provocation Test in
Patients with Nonallergic Rhinitis.
AB - Objectives We aimed to evaluate the relationship between nasal eosinophilia and
nasal hyperresponsiveness to allergen extract. Study Design Retrospective chart
review. Setting Academic tertiary rhinologic practice. Subjects and Methods We
performed allergy tests (skin prick test and multiple allergosorbent test) and
nasal cytology for 194 patients with rhinitis symptoms (76 males and 118 females;
age, 11-69 years). According to the results, they were classified into 4 groups:
group A (allergic rhinitis with eosinophilia, n = 26), group B (allergic rhinitis
without eosinophilia, n = 77), group C (nonallergic rhinitis with eosinophilia
syndrome, n = 20), and group D (nonallergic rhinitis without eosinophilia, n =
71). We performed a nasal provocation test (NPT) using house dust mite extract
and assessed the changes in symptoms and the decrease in acoustic parameters
(total nasal volume and minimal cross-sectional area [MCA]). Results Patients in
group C were more likely to have severe rhinorrhea and sneezing than those in
group D ( P < .001). After NPT, group C had greater aggravation of nasal
obstruction than group D ( P < .001). Group C also showed markedly greater MCA
changes as compared with group D 15 minutes after the antigen challenge ( P =
.002). There was significant correlation between the number of eosinophils and an
increase in nasal obstruction ( r = 0.319, P = .0009), rhinorrhea ( r = 0.302, P
= .0017), sneezing ( r = 0.219, P = .0241), change in the total nasal volume 15
minutes after NPT ( r = 0.287, P = .0028), and change in the MCA 15 minutes ( r =
0.322, P = .0008) and 30 minutes ( r = 0.250, P = .0098) after NPT. Conclusion In
patients with NAR, nasal eosinophilia is associated with provocative response
after NPT. Further research should be performed to elucidate the mechanisms that
underlie this phenomenon.
PMID- 29661059
TI - The smartphone in the memory clinic: A study of patient and care partner's
utilisation habits.
AB - Smartphones have potential as cognitive aids for adults with cognitive
impairments. However, little is known about how patients and their care partners
utilise smartphones in their day-to-day lives. We collected self-reported
smartphone utilisation data from patients referred for neuropsychological
evaluations (N = 53), their care partners (N = 44), and an Amazon Mechanical Turk
control sample (N = 204). Patient participants were less likely to own a
smartphone than controls, with increasing age associated with less utilisation of
smartphone features in all groups. Of the patients who owned smartphones,
spontaneous use of cognitive aid features (e.g., reminders and calendars)
occurred on only a monthly-to-weekly basis; by comparison, patients reported
utilising social/general features (e.g., email and internet) on a weekly-to-daily
basis. Individuals referred for geriatric cognitive disorder evaluations were
less likely to own and use smartphones than individuals referred for other
reasons. Care partners reported using their smartphones more frequently than
control group adults, with 55% of care partners endorsing utilising their device
in caring for the patient. Building upon existing smartphone use habits to
increase the use of cognitive aid features may be a feasible intervention for
some patients, and including care partners in such interventions is encouraged.
PMID- 29661069
TI - The Black Cloud Phenomenon in Hand Surgery.
AB - BACKGROUND: The term black cloud for a surgeon is generally used to describe
someone who is unusually busy compared with his or her counterparts, and it is a
superstition that tends to pervade the medical world. The purpose of this study
is to investigate whether black clouds exist in hand surgery. METHODS: We
examined one academic year's worth of hand surgery-specific call at a level I
trauma center and tabulated the number of hand-related patient transfers and add
on cases per surgeon. Each surgeon was given a black cloud rating by the fellows
who were in training that year. Correlations were made between the black cloud
rating and the surgeons' call volume. RESULTS: There were 12 surgeons who shared
365 days of hand call, and 5 of them are hand surgery fellowship trained. Those 5
surgeons tended to be busier on their call days, with more cases added on
overnight and the next day, and also had worse black cloud ratings than the 7 non
hand fellowship trained surgeons. CONCLUSIONS: In regard to hand surgery, while
true emergencies occur and require emergent intervention, how busy hand surgeons
may be during call may be influenced by a variety of factors not related to their
patients' problems but rather their daily schedules, their hospitals' ability to
facilitate add-on cases, and their rapport with their fellow surgeons to share
case loads.
PMID- 29661068
TI - Correlation Between Radiological Parameters and Functional Outcomes in Patients
Older Than 60 Years of Age With Distal Radius Fracture.
AB - BACKGROUND: The relationship between radiographic and functional outcomes is
controversial in the elderly. The objective of this study is to determine whether
there is a correlation between functional outcome and acceptable distal radius
fracture (DRF) alignment in patients older than 60 years of age. METHODS: This
correlation study was carried out at the Central Metropolitan Health Service of
Chile. A total of 180 patients diagnosed with extra-articular DRF, according to
the AO classification, were prospectively recruited. Radiological parameters,
including radial inclination, residual dorsal angulation, ulnar variance, and
articular step-off, were evaluated to assess the results of orthopedic reduction.
Functional outcome was assessed immediately following cast removal and again at
the 6-month follow-up. The Disabilities of the Arm, Shoulder and Hand (DASH) and
Patient-Rated Wrist Evaluation (PRWE) questionnaires were administered to assess
upper extremity function, and the visual analog scale (VAS) was used to assess
pain intensity. RESULTS: Only 68 patients (37.8%) showed acceptable DRF
alignment. After cast removal, the correlations between alignment and the
functional outcome measures were as follows: DASH 0.071 ( P = .546), PRWE 0.03 (
P = .823), and VAS 0.12 ( P = .631). At the 6-month follow-up, the correlations
between alignment and the functional outcome measures were as follows: DASH 0.029
( P = .768), PRWE 0.014 ( P = .895), and VAS 0.09 ( P = .614). CONCLUSIONS: There
was no significant correlation between acceptable alignment according to
radiological parameters and short- or medium-term functional outcome in patients
older than 60 years with extra-articular DRF treated conservatively.
PMID- 29661064
TI - Experience and confidence of final year veterinary students in performing
desexing surgeries.
AB - AIMS: To describe the level of experience and confidence of veterinary students
in performing canine and feline desexing procedures at the end of their final
clinical year. METHOD: A cross-sectional survey was conducted with veterinary
students at Massey University in November 2017 after completion of their final
clinical year. The questions included career plans after graduation, number of
assisted and unassisted desexing procedures performed, approximate time to
complete desexing surgeries, level of confidence with different aspects of
desexing surgeries, what aspects of their desexing surgery training were most
helpful, and what could be done to improve training in desexing surgical skills
in veterinary school. RESULTS: The survey was completed by 70/95 (74%) students
in their final clinical year. Among respondents, 55/70 (70%) had performed >2
unassisted feline neuters before graduation. However 38/70 (54%) students had
never performed an unassisted feline spay, 31/70 (44%) had never performed an
unassisted canine neuter, and 44/70 (63%) students had never performed an
unassisted canine spay. The median reported times to complete a feline neuter,
feline spay, canine neuter, and canine spay were 9, 40, 30 and 60 minutes,
respectively. The median level of confidence for these procedures were 9, 6, 7
and 5 (on a scale from 1=least confident to 10=most confident), respectively. The
reported time to complete procedures and the confidence in performing procedures
did not change markedly with increasing total number of procedures performed.
Students were most concerned about their ability to perform the desexing
procedures in a reasonable amount of time and to prevent post-operative bleeding
from occurring. Students were least concerned with their ability to manage post
operative pain in patients and to select the appropriate suture material. Free
text comments revealed that 62/70 (89%) students wanted more hands-on surgical
experience prior to graduation. CONCLUSION AND CLINICAL RELEVENCE: Many students
are currently completing veterinary school with limited experience and low
confidence with performing routine canine and feline desexing procedures. Further
research is needed to identify the most effective ways for addressing this issue
within the constraints of the veterinary curriculum and teaching hospital
resources.
PMID- 29661070
TI - Scaphoid Cysts: Literature Review of Etiology, Treatment, and Prognosis.
AB - BACKGROUND: Cystic lesions of the carpal bones are rare entities that are
infrequently reported in the literature. Scaphoid intraosseous cystic lesions
represent a rare subset of carpal bone cysts. This review aims to summarize the
available evidence on the evaluation and treatment of scaphoid cystic lesions to
help guide clinical management. METHODS: Systematic electronic searches were
performed using PubMed, Ovid, and Embase databases. Studies included were graded
for their risk of bias. Pooled descriptive statistics were performed on
incidence, etiology, physical exam findings, treatment, and follow-up. RESULTS: A
total of 38 patients representing 41 scaphoid cystic lesions were pooled from 27
articles. Patients presented with wrist pain without fracture (n = 27),
pathological fracture (n = 9), swelling only (n = 1), or were asymptomatic (n =
4). Cystic lesions of the scaphoid were initially revealed on imaging with
radiographs alone (n = 22), radiographs in combination with computed tomography
(CT) (n = 10) or magnetic resonance imaging (n = 6), CT alone (n = 1), or using
all 3 modalities (n = 2). Intraosseous ganglia were identified most frequently (n
= 31), followed by "bone cyst-like pathological change" (n = 3), unicameral bone
cysts (n = 2), aneurysmal bone cysts (n = 2), primary hydatid cysts (n = 2), and
cystic like changes post fall (n = 1). Treatment modalities included curettage
and bone graft (n = 39) or below-elbow cast (n = 2). On follow-up (average of
21.3 months; n = 40), all patients improved clinically after treatment and were
found to have full wrist range of motion without pain (n = 31), slightly reduced
grip strength (n = 3), limited range of motion (n = 2), or persistent mild
discomfort (n = 2). CONCLUSIONS: Scaphoid cystic lesions are most commonly
intraosseous ganglia, but can include other etiologies as well. The main
presenting symptom is radial wrist pain that usually resolves after treatment.
The presence of intracarpal cystic lesions should be considered in the
differential diagnosis of wrist pain.
PMID- 29661071
TI - A Comparison of Image Quality and Radiation Exposure Between the Mini C-Arm and
the Standard C-Arm.
AB - BACKGROUND: The use of intraoperative fluoroscopy has become mandatory in osseous
hand surgery. Due to its overall practicality, the mini C-arm has gained
popularity among hand surgeons over the standard C-arm. This study compares image
quality and radiation exposure for patient and staff between the mini C-arm and
the standard C-arm, both with flat panel technology. METHODS: An observer-based
subjective image quality study was performed using a contrast detail (CD)
phantom. Five independent observers were asked to determine the smallest circles
discernable to them. The results were plotted in a graph, forming a CD curve.
From each curve, an image quality figure (IQF) was derived. A lower IQF equates
to a better image quality. The patients' entrance skin dose was measured, and to
obtain more information about the staff exposure dose, a perspex hand phantom was
used. The scatter radiation was measured at various distances and angles relative
to a central point on the detector. RESULTS: The IQF was significantly lower for
the mini C-arm resulting in a better image quality. The patients' entrance dose
was 10 times higher for the mini C-arm as compared with the standard C-arm, and
the scatter radiation threefold. CONCLUSIONS: Due to its improved image quality
and overall practicality, the mini C-arm is recommended for hand surgical
procedures. To ensure that the surgeons' radiation exposure is not exceeding the
safety limits, monitoring radiation exposure using mini C-arms with flat panel
technology during surgery should be done in a future clinical study.
PMID- 29661076
TI - She taught it, she role modeled it, and she lived it: A tribute to Theresa Marie
Sullivan: November 9, 1960-March 20, 2018.
PMID- 29661073
TI - Services, systems, and policies affecting mobility device users' community
mobility: A scoping review: Services, systemes et politiques influencant la
mobilite dans la communaute des utilisateurs d'aides a la mobilite : examen de la
portee.
AB - BACKGROUND: Opportunities to travel from one place to another in the community,
or community mobility, are especially important for mobility device users'
ability to participate fully in society. However, contextual challenges to such
mobility exist. PURPOSE: This study summarizes the literature on existing
community mobility barriers and facilitators of mobility device users created by
services, systems, and policies as defined by the International Classification of
Functioning, Disability, and Health (ICF). METHOD: Arksey and O'Malley's approach
for scoping studies was used for the review. The extraction chart was organized
following the ICF, and frequency counts were used to report the data. FINDINGS:
The findings suggest that certain factors, such as transportation, open-space
planning, and architecture and construction, influence community mobility
opportunities. However, little attention has been paid to services, systems, and
policies in the research literature, limiting the knowledge on the subject.
IMPLICATIONS: Further research is needed to examine the relationship between
specific services, systems, and policies and mobility device users' mobility
within their communities.
PMID- 29661077
TI - Primum non nocere : Reflexions d'une ergotherapeute a la retraite sur la
fabrication d'ortheses et le principe de precaution.
PMID- 29661072
TI - Using information communication technology to identify deficits in rural health
care: a mixed-methods evaluation from Guatemala.
AB - BACKGROUND: In August 2014, the Centre for the Studies of Equity and Governance
in Health Systems (CEGSS) in Guatemala launched an online platform, which
facilitates complaints about health services via text messages. The aim is to
collect, systemise and forward such complaints to relevant institutions, and to
create a data pool on perceived deficits of health care in rural Guatemala.
OBJECTIVE: To evaluate if the online platform is an accepted, user-friendly and
efficient medium to engage citizens in the reporting of health care deficiencies
in Guatemala. METHODS: The general study design of this research was a mixed
method approach including a quantitative analysis of complaints received and a
qualitative exploration of the attitude of community leaders towards the
platform. RESULTS: User statistics showed that a total of N = 228 messages were
sent to the platform in the period August 2014-March 2015. The majority of
complaints (n = 162, 71%) fell under the 'lack of drugs, equipment or supplies'
category. The community leaders welcomed the platform, describing it as modern
and progressive. Despite feedback mechanisms and methods to respond to complaints
not yet being fully developed, many users showed a high intrinsic motivation to
use the new tool. Others, however, were restrained by fear of personal
consequences and distrust of the state's judicial system. Access to mobile
phones, reception, and phone credit or battery life did not pose major obstacles,
but the producing and sending of correctly formatted messages was observed to be
difficult. CONCLUSION: The online platform paired with SMS technology appears to
be a viable approach to collect citizens' complaints in health care and connect
citizens with relevant institutions. Further studies should be conducted to
quantify follow-up activities and the impact on local health care provision.
PMID- 29661081
TI - Measuring Visualized Tendon Length in Peroneal Tendoscopy.
AB - BACKGROUND: Despite multiple studies outlining peroneal tendoscopy, no study
exists to evaluate how effective tendoscopy is at visualizing the peroneal
tendons without missing a lesion. We sought to measure the length of the peroneal
tendons that could be visualized using tendoscopy. METHODS: Ten fresh cadaveric
specimens were evaluated using standard peroneal tendoscopy techniques. Peroneus
longus and brevis tendons were pierced percutaneously with Kirschner wires at the
edge of what could be seen through the camera. The tendon sheaths were then
dissected and the distances from anatomic landmarks were directly measured.
During zone 3 peroneus longus tendoscopy, a more distal portal site was created
for the final 5 specimens. RESULTS: The peroneus brevis could be visualized
through the entirety of zone 1 and up to an average of 19.5 mm (95% confidence
interval, 16.5-22.5) from its insertion onto the base of the fifth metatarsal in
zone 2. Peroneus longus could be visualized through the entirety of zones 1 and 2
and up to an average of 9.7 mm from its insertion onto the base of the first
metatarsal in zone 3. This distance was decreased significantly with a more
distal portal. The muscle belly of peroneus brevis terminated an average of 1.8
mm (-3.7 to 7.3) above the tip of the lateral malleolus. CONCLUSIONS: Despite
limitations, these results suggest that the vast majority of the length of the
peroneal tendons can be seen during routine peroneal tendoscopy. A more distal
skin portal site may improve visualization of zone 3 of peroneus longus. CLINICAL
RELEVANCE: This study confirms the ability of peroneal tendoscopy to see the
entire tendon length with appropriate portal placement.
PMID- 29661075
TI - Primum non nocere: Reflections from retirement regarding orthotic fabrication and
the precautionary principle.
PMID- 29661083
TI - Measuring Visualized Joint Surface in Hallux Metatarsophalangeal Arthroscopy.
AB - BACKGROUND: Arthroscopy has been increasingly used to evaluate small joints in
the foot and ankle. In the hallux metatarsophalangeal (MTP) joint, little data
exist evaluating the efficacy of arthroscopy to visualize the articular surface.
The goal of this cadaveric study was to determine how much articular surface of
the MTP joint could be visualized during joint arthroscopy. METHODS: Ten fresh
cadaveric foot specimens were evaluated using standard arthroscopy techniques.
The edges of the visualized joint surface were marked with curettes and Kirschner
wires; the joints were then surgically exposed and imaged. The visualized surface
area was measured using ImageJ(r) software. RESULTS: On the distal 2-dimensional
projection of the joint surface, an average 57.5% (range, 49.6%-65.3%) of the
metatarsal head and 100% (range, 100%-100%) of the proximal phalanx base were
visualized. From a lateral view of the metatarsal head, an average 72 degrees
(range, 65-80 degrees) was visualized out of an average total articular arc of
199 degrees (range, 192-206 degrees), for an average 36.5% (range, 32.2%-40.8%)
of the articular arc. CONCLUSION: Complete visualization of the proximal phalanx
base was obtained. Incomplete metatarsal head visualization was obtained, but
this is limited by technique limitations that may not reflect clinical practice.
CLINICAL RELEVANCE: This information helps to validate the utility of arthrosocpy
at the hallux metatarsophalangeal joint.
PMID- 29661082
TI - Endoscopic Resection of Different Types of Talocalcaneal Coalition.
AB - BACKGROUND: The purpose of this study was to describe the technique of endoscopic
resection of talocalcaneal coalition (TCC) by using 2 posterior portals and to
report the outcomes of endoscopic resection of different types and sites of TCC.
METHODS: An interventional prospective study was conducted on 20 feet in 18
consecutive patients who were diagnosed by computed tomography to have TCC for
which nonoperative treatment had failed and endoscopic resection was performed.
The patients were divided into groups according to the site of the coalition
(middle facet or posterior facet) and according to type (fibrous, cartilage, or
bony). The mean follow-up period was 26 months (range, 6-36). RESULTS: The
average preoperative American Orthopaedic Foot & Ankle Society (AOFAS) hindfoot
score was 57.7 (range, 40-65), and the average preoperative visual analog scale
(VAS) score was 7.8 (range, 6-8). The average postoperative AOFAS hindfoot score
was 92.4 (range, 85-98; P < .01). The average postoperative VAS score was 2.4
(range, 1-4). All patients showed no recurrence on postoperative lateral and
Harris-Beath X-ray until the end of the study. CONCLUSIONS: Endoscopic resection
of TCC was an effective and useful method for the treatment of talocalcaneal
coalition. It provided excellent outcomes with no recurrence in this short-term
study. Resection of the fibrous type had a better outcome than resection of
cartilage and bony types. Endoscopic resection of the posterior coalition had a
better outcome than resection of the middle coalition. LEVEL OF EVIDENCE: Level
III, comparative study.
PMID- 29661092
TI - Evaluation of fetal serum thiol/disulphide homeostasis in deliveries complicated
by nuchal cord.
AB - AIM: To investigate the serum thiol/disulphide homeostasis in deliveries
complicated by nuchal cord (NC) and to compare the results with healthy
deliveries (without NC). METHODS: This prospective controlled study included 48
pregnant women complicated by NC and 48 similar gestational aged healthy pregnant
women during labor. Fetal umbilical cord serum samples were collected during
labor and the thiol/disulphide homeostasis was measured by using an automated
assay method. The patients were followed up until end of the delivery and
perinatal outcomes were recorded. RESULTS: Fetal umbilical cord native thiol,
total thiol, and disulphide levels as well as disulphide/native thiol and
disulphide/total thiol ratios are impaired in labor with the presence of NC.
There were no statistically significant differences in terms of maternal and
gestational age at delivery and maternal number of gravida and parity, fetal
gender, fifth Apgar scores <7, mode of delivery and fetal birth weight between
groups. The group of patients with NC had higher emergency C/S numbers indicated
for fetal distress and lower first Apgar scores <7. There were no neonatal
intensive care unit admissions among these babies. CONCLUSIONS: Maternal serum
thiol/disulphide homeostasis reflect transient effects of NC during labor
regardless of labor type. Vaginal delivery can be safely and successfully
performed in pregnancies complicated with NC.
PMID- 29661086
TI - Consequences of non-medical switch among patients with type 2 diabetes.
AB - OBJECTIVE: This study aimed to describe real-world experiences following a non
medical switch among adults with type 2 diabetes mellitus (T2DM) in the United
States. METHODS: For this cross-sectional study, patients with T2DM (N = 451)
provided data on demographics, and how a non-medical switch of their anti
hyperglycemic agent (AHA) affected their general health, HbA1c levels and
medication management, via an Internet-based survey. Patients self-reported their
level of satisfaction with the original medication and emotional reactions to the
non-medical switch. Patients who recently experienced a non-medical switch of
their AHA(s) (n = 379) were asked about the consequences of switching and their
satisfaction with the switch (vs. the original) medication. RESULTS: Patients
most frequently reported feeling very/extremely frustrated, surprised, upset and
angry in reaction to a non-medical switch. Patients were somewhat satisfied with
their original medication. Between 20% and 30% of patients reported the non
medical switch had a moderate/major effect on their general health, diabetes,
mental well-being and control over their health. The blood glucose levels of
recent switchers were somewhat/much worse (20.7%) and medication management was
somewhat/much worse (12.9%) on the switch (vs. the original) medication. Some
recent switchers reported old symptoms returning (7.7%) and experiencing new side
effects (14.2%). CONCLUSIONS: Approximately one in five patients reported a
moderate/major negative impact on their blood glucose level, diabetes, mental
well-being, general health and control over their health following a non-medical
switch. Findings suggest that a non-medical switch may have unintended negative
health consequences and results in considerable burden across multiple domains
for a sizeable minority of patients with T2DM.
PMID- 29661084
TI - Understanding the use of NIV in ALS: results of an international ALS specialist
survey.
AB - OBJECTIVE: To identify common practices of noninvasive ventilation (NIV) use
among ALS specialists and how they follow respiratory status in their patients.
METHODS: A 25-item questionnaire on NIV indications/initiation was sent via
SurveyMonkey(r) to ALS specialists identified through membership in NEALS (114
sites in the US) and ENCALS (39 sites in Europe). Descriptive statistics and
Cochran-Mantel-Haenszel test for general association were performed. RESULTS: In
their initial evaluation, US and European specialists (n = 186) use upright
forced vital capacity (FVC) most (92.8% vs 91.1%; p = 0.752). Upright FVC results
are most important for US respondents when deciding to prescribe NIV; European
respondents consider symptoms of orthopnea and/or dyspnea as most important.
European respondents use overnight pulse oximetry (69.8% vs 7.9%; p < 0.001) and
arterial blood gas analyses (62.8% vs 3.2%; p < 0.001) more than US respondents.
Insurance regulations/national health care coverage impact NIV initiation more in
the US than in Europe (70.0% vs 47.5%; p = 0.025). When asked if insurance/other
financial constraints affects when they prescribe NIV, more US respondents
answered positively (77.2% vs 15.4%; p < 0.001). In patients with no respiratory
symptoms, most US specialists (68.3%) initiated NIV at VC <50% predicted;
European responses showed greater variability. CONCLUSIONS: Given the impact of
NIV on respiratory function and the importance of respiratory function to quality
of life and survival, understanding differences that influence NIV prescribing is
critical. This information may inform future study design and identify areas
warranting additional research to develop best practices for NIV implementation.
PMID- 29661091
TI - Nuanced Reporting of Fistulas in Laryngectomy Studies.
AB - Pharyngocutaneous fistula is an important complication of laryngectomy and can
vary significantly in severity. Many authors have advocated for the use of
vascularized flaps (eg, pectoralis major) to reduce the risk of fistula.
Prevention of small, self-limited fistulas may not be worth the morbidity of a
vascularized flap in some cases. More nuanced analysis of fistula outcomes,
stratified by severity, may enable better surgeon-patient decision making
regarding the use of vascularized flaps in laryngectomy.
PMID- 29661088
TI - Perceived Versus Actual Risk of Type 2 Diabetes by Race and Ethnicity.
AB - Purpose The purpose of this study was to examine associations between perceived
risk and actual risk of type 2 diabetes by race and/or ethnicity. Methods The
study sample included 10 999 adults from the 2011 to 2014 National Health and
Nutrition Examination Survey. Sociodemographic, clinical, and behavioral data
were collected using interviews and physical examinations. Participants were
asked if they felt at risk for diabetes or prediabetes and then asked the reasons
why. Data analyses were conducted with SAS to properly analyze complex survey
data. Results About 86% of the sample (n = 9496) answered the risk perception
question for diabetes, and among those, 28.4% indicated having a high perceived
risk. Among this subsample, 38.3% were identified as having an actual risk for
prediabetes or diabetes according to the American Diabetes Association
guidelines. Across all race groups, the most frequently reported risk factors
participants believed to contribute to their risk for diabetes were family
history of diabetes, obesity, and poor diet habits. When the percentage of
participants with an actual risk factor who correctly perceived it as a risk
factor was examined, fewer Asians correctly perceived weight status and physical
activity level as a risk for diabetes in contrast to the other racial/ethnic
groups. Conclusions Our study showed that when perception was compared to actual
risks, associations differed statistically by race. It will be essential to
discuss their risk perception to proper screening for diabetes and relevant
lifestyle modifications to prevent and delay the onset of diabetes.
PMID- 29661095
TI - The effect of early relearning on sensory recovery 4 to 9 years after nerve
repair: a report of a randomized controlled study.
AB - : Twenty patients randomized to early sensory relearning (nine patients) or
traditional relearning (11 patients) were assessed regarding sensory recovery 4
to 9 years after median or ulnar nerve repair. Outcomes were assessed with the
Rosen score, questionnaires, and self-reported single-item questions regarding
function and activity. The patients with early sensory relearning had
significantly better sensory recovery in the sensory domain of the Rosen score,
specifically, discriminative touch or tactile gnosis and dexterity. They had
significantly less self-reported problems in gripping, clumsiness, and fine motor
skills. No differences were found in questionnaires between the two groups. We
conclude that early sensory relearning improves long-term sensory recovery
following nerve repair. LEVEL OF EVIDENCE: I.
PMID- 29661098
TI - Predicting emergence from a disorder of consciousness using the Coma Recovery
Scale-Revised.
AB - This study explored the utility of the Coma Recovery Scale-Revised (CRS-R) in
predicting emergence from a disorder of consciousness, using a sample of veterans
who were treated at one of the five Veterans Affairs (VA) polytrauma
rehabilitation centre sites in an Emerging Consciousness programme. Participants
(N = 70) included both combat and non-combat active duty military personnel and
veterans who sustained either a severe traumatic brain injury, or anoxic brain
injury and were considered to have a disorder of consciousness at the time of
admission. Patient information was retrospectively collected from electronic
medical records from one of the VA polytrauma rehabilitation centre sites.
Receiver Operator Characteristic models were utilised to explore "cut-off scores"
for predicting emergence using the CRS-R. Results showed that week-three scores
on the CRS-R were more accurate in determining whether a veteran would emerge
from a disorder of consciousness. Limitations, including a limited sample size
are explored, along with implications and recommendations for future research and
clinical practice.
PMID- 29661102
TI - Essential Tremor: More Than a Motor Disorder?
PMID- 29661090
TI - Are disease severity, sleep-related problems, and anxiety associated with work
functioning in patients with obstructive sleep apnoea?
AB - PURPOSE: To examine whether Obstructive Sleep Apnoea severity, sleep-related
problems, and anxiety are associated with work functioning in Obstructive Sleep
Apnoea patients, when controlled for age, gender and type of occupation. To
investigate whether anxiety moderates the associations between sleep-related
problems and work functioning. MATERIALS AND METHODS: We included 105 Obstructive
Sleep Apnoea patients (70% male; mean age 46.62 +/- 9.79 years). All patients
completed the Pittsburgh Sleep Quality Index, the Epworth Sleepiness Scale, the
Beck Anxiety Inventory, and the Work Role Functioning Questionnaire-2.0. RESULTS:
Obstructive Sleep Apnoea-severity, poor nighttime sleep quality, and anxiety were
univariately associated with impaired work functioning. Multivariate analyzes
revealed that poor perceived sleep quality was more strongly associated with work
functioning than sleep efficiency and daily disturbances. Anxiety was strongly
associated with impaired work functioning. After adding anxiety, the explained
variance in work functioning increased from 20% to 25%. Anxiety moderated the
association between low and medium levels of nighttime sleep quality problems and
work functioning. CONCLUSIONS: Poor perceived sleep quality and anxiety were
strongly associated with impaired work functioning in Obstructive Sleep Apnoea
patients. These findings may help to optimize management, standard treatment, and
work functioning in people with Obstructive Sleep Apnoea when confirmed in
longitudinal studies. Implications for Rehabilitation Studies show an impairment
of functional status, including work functioning, in obstructive sleep apnea
patients. Aside from physical disorders, obstructive sleep apnea patients often
experience mental problems, such as anxiety. As many people with obstructive
sleep apnea are undiagnosed, our results demonstrate to employers and healthcare
professionals the need to encourage patients for obstructive sleep apnea
screening, especially in the situation of impaired work functioning, increased
anxiety, and poor sleep quality. The associations between obstructive sleep
apnea, sleep and anxiety might increase the awareness of health professionals
towards optimizing diagnostic accuracy and standard treatment.
PMID- 29661094
TI - An investigation of PIK3CA mutations in isolated macrodactyly.
AB - Somatic PIK3CA mutations may relate to pathogenesis of isolated macrodactyly. We
set up to test the association between PIK3CA mutations with isolated
macrodactyly in order to establish a more accurate and molecular mechanism-based
diagnosis and classification. DNA extracted from affected tissues in 12
individuals with isolated macrodactyly was tested for PIK3CA mutation using
targeted Sanger DNA sequencing. Ten patients had macrodactyly in the foot and two
in the hand. Nine of the 12 patients were found to carry a low-level, mosaic
PIK3CA mutation. The mutations identified, p.His1047Arg, p.His1047Leu,
p.Glu545Lys, and p.Glu542Lys, are codons frequently mutated in cancers. Among all
tissues tested, adipose had the highest mutation detection rate, followed by
nerve and skin. Our results indicate that a high proportion of isolated
macrodactyly patients carry a pathogenic PIK3CA mutation. Affected adipose, nerve
and skin tissues are ideal for PIK3CA mutation analysis.
PMID- 29661096
TI - Employer attitudes toward hiring persons with disabilities in Armenia.
AB - PURPOSE: The purpose of this two-part study was to provide input into a strategy
to improve employment opportunities for persons with disabilities in Armenia.
BACKGROUND: Employment rates for persons with disabilities in Armenia have been
variously reported between eight and 21%, much below the general employment rate
of 82%. METHOD: First, a Participatory Action Research process led to the
development of a 15 item self-report measure - the Perceived Barriers to
Employing Persons with Disabilities Scale - which was administered to 158
employers. Second, respondent data were examined to determine psychometric
properties of the scale and to inform strategic recommendations for policy
development. FINDINGS: Exploratory factor analysis resulted in the removal of 6
items, leaving a 9 item scale. Responses produced a multidimensional scale
clustering around 2 factors. The first of these, structural issues, was
principally informed by employer perceptions of limited skill levels of persons
with disabilities, high costs associated with employing persons with
disabilities, and the lack of accessibility within facilities. The second factor,
social issues, was informed by employers' anticipation of negative reactions of
coworkers, customers and supervisors toward persons with disabilities entering
the workforce. Employers also indicated a belief that persons with disabilities
with skills should be entitled to work. DISCUSSION AND CONCLUSION: Results of
this study suggest that attitudes of Armenian employers toward people with
disabilities, and subsequent hiring decisions, are partly informed by perceptions
of the negative reactions of others within the workplace. This finding disagrees
with studies from other jurisdictions, which generally consider that these
attitudes are principally informed by perceived skills deficits on the part of
people with disabilities. These results would support the development of employer
focused information and awareness campaigns designed to address both of these
factors. Implications for Rehabilitation Employment rates for people with
disabilities are much below those of the general population. Research indicates
that employer attitudes contribute significantly to the employment success of
people with disabilities. A recent employer survey in Armenia indicates that
these attitudes are influenced by a perception that others within the workplace
do not accept people with disabilities. Vocational counseling and training
programs, and employer awareness interventions, may be more effective if this
influence on employer attitudes is addressed.
PMID- 29661106
TI - Editor's Letter.
PMID- 29661099
TI - miR-125b Contributes to Ovarian Granulosa Cell Apoptosis Through Targeting
BMPR1B, a Major Gene for Sheep Prolificacy.
AB - Bone morphogenetic protein receptor 1B (BMPR1B) is a major gene for ovine
ovulation rate and litter size, which plays a crucial role in follicle
development. However, its role and regulation in the ovine granulosa cells (GCs)
are unclear. Here, we showed that silencing of BMPR1B enhanced cell apoptosis,
whereas overexpression of BMPR1B inhibited cell apoptosis in the ovine GCs.
Luciferase reporter assays revealed that BMPR1B is a target of miR-125b, and miR
125b reduced BMPR1B messenger RNA and protein levels in the ovine GCs by directly
binding to the 3' untranslated region of the ovine BMPR1B gene. Furthermore, miR
125b enhanced cell apoptosis by attenuating BMPR1B in the ovine GCs. Our data
demonstrated that BMPR1B is an important factor in the ovine GC function in vitro
and targeted by a specific regulator miR-125b.
PMID- 29661097
TI - Qualitative evaluation of how a virtual dementia experience impacts medical and
pharmacy students' self-reported knowledge and attitudes towards people with
dementia.
AB - Objectives It is important for healthcare professional students to understand the
experience of people with dementia, their family, and their carers. Despite
person-centred educational policies, current curriculums may not adequately
prepare students to meet the needs of people with dementia. This study
qualitatively evaluated the impact of a virtual dementia experience on medical
and pharmacy students' self-reported knowledge and attitudes towards people with
dementia. Methods Ten focus groups were conducted with 29 medical and 24 pharmacy
students in September and October 2016. All students had undertaken a
multisensory virtual dementia experience designed to simulate the cognitive and
perceptual difficulties faced by people with dementia. Focus groups were used to
evaluate the virtual dementia experience in terms of perceived usefulness,
suggestions for improvement, and ability to inform students' understanding of
dementia-friendly environments. Focus groups were audio-recorded and analysed via
a thematic approach. Results The virtual dementia experience was described as
useful and impactful, and students suggested how it could be more tailored
towards their learning needs, such as via incorporating hospital, medical, and
pharmacy-specific scenarios and opportunities for role play. Additionally,
students explained how dementia-friendly communication techniques could be used
in their future practice and provided strategies to optimise dementia-friendly
characteristics of current work environments. Conclusion A virtual dementia
experience improved student self-reported knowledge and attitudes towards people
with dementia.
PMID- 29661107
TI - Implementation of Health Information Exchange at the Pima County Adult Detention
Complex: Lessons Learned.
AB - Successful transition of patients between health care entities is difficult.
Historically, the process of transitioning individuals through the corrections
system with regard to health care was made even more challenging by the
limitation of paper records. Recently, the advent of electronic medical records
has improved health care nationwide. The use of health information exchange (HIE)
systems in areas such as emergency medicine has also impacted patient outcomes.
To date, this technology has not been used in the corrections system. Semi
structured interviews were conducted with 12 correctional health care
professionals, corrections staff, and public health entities to evaluate the
novel implementation of HIE at a local correctional facility. This article
describes the challenges and successes that occurred during the implementation
and their implications for successful implementations in the future.
PMID- 29661104
TI - Effect of micro-roughening of poly(ether ether ketone) on bone marrow derived
stem cell and macrophage responses, and osseointegration.
AB - Poly(ether ether ketone) (PEEK) has emerged as a candidate to replace metal
implants because of its satisfactory mechanical properties, radiolucency, and
lack of metal allergy. However, PEEK lacks osseointegration ability limiting its
clinical applications. To overcome this problem, we prepared PEEK with a micro
rough surface using the sandblast method to modulate its osseointegration
property; the sandblast method is simple, cost-effective, and is already applied
to clinical metal implants. The surface roughness of the sandblasted PEEK was
about 2.3 MUm, whereas that of mirror-polished PEEK was 0.06 MUm. Rat bone marrow
derived mesenchymal stem cells (RMSCs) showed higher proliferation, osteocalcin
(OC) expression and bone-like nodule formation on micro-roughened PEEK compared
with those cultured on mirror-polished PEEK, suggesting that micro-roughening
facilitated RMSCs proliferation and differentiation. The micro-roughened surface
slightly mitigated secretion of inflammatory C-C motif chemokine 2 (CCL-2) from
lipopolysaccharide (LPS)-stimulated macrophages, but not of tumor necrosis factor
alpha (TNFalpha) and interleukin-6 (IL-6). Finally, to compare osseointegration,
specimens were implanted in rat femur bone marrow cavities, and then the pull-out
force was measured. The pull-out force of micro-roughened PEEK was about four
times higher than that of the mirror-polished PEEK. These results showed that
micro-roughening of PEEK using the sandblast method was able to improve
osseointegration, partly through elevating proliferation and differentiation of
RMSCs.
PMID- 29661108
TI - Journal of Correctional Health Care.
PMID- 29661109
TI - Prevalence and Risk Factors for Methicillin-Resistant Staphylococcus aureus
(MRSA) Infections in Custodial Populations: A Systematic Review.
AB - : Methicillin-resistant Staphylococcus aureus (MRSA) in prisons can result in
serious morbidity and death. We reviewed rates and risk factors for MRSA
infection in custody, searching Medline, EMBASE, and CINAHL databases. Between
1997 and 2015, 17 studies reported MRSA skin and soft tissue infections (SSTIs),
with four case reports of MRSA non-SSTI (necrotizing pneumonias, brain abscess,
and epiduritis). Significant associations with MRSA SSTI were found: MRSA
colonization, previous skin infection, sharing soap or personal items, SSTI
presenting as an abscess or furuncle, younger age, non-Caucasian, overweight,
communal laundering, infrequent handwashing, lower hygiene score.
RECOMMENDATIONS: early identification, isolation, and treatment of skin
infections at admission; education on maintaining skin integrity and presenting
early with skin infections; increasing hygiene by not sharing items and
encouraging handwashing; improved handling and disinfection of communal
laundering; influenza vaccination for all prisoners and staff; and population
based longitudinal studies including younger detainees.
PMID- 29661100
TI - Progesterone-Induced miR-145/miR-143 Inhibits the Proliferation of Endometrial
Epithelial Cells.
AB - Our previous study showed that progesterone (P4) can specifically regulate the
expression of some microRNAs (miRNAs) in endometrial epithelium. In the present
study, we verified the P4-dependent expression of miR-145/miR-143 in endometrial
epithelial cells, explored the regulative mechanism of the P4 receptor (PR), and
investigated their effects on the proliferation of endometrial epithelial cells.
Our results showed that P4 can induce the expression of miR-145/143 in
endometrial epithelial cells by acting on the PR A subtype. P4-induced miR
145/143 can inhibit the expression of cyclin D2 by binding to cyclin D2 mRNA
3'UTR. It can also inhibit cell proliferation in mouse endometrial epithelium by
arresting the cell cycle during the G1-S checkpoint. Furthermore, miR-145 and miR
143 can inhibit the proliferation of human endometrial cancer cells. In
conclusion, P4-induced miR-145/miR-143 is an important regulator in the
proliferation of endometrial epithelial cells, and it can also inhibit the
proliferation of human endometrial cancer cells. Our study indicates miRNAs are
important mechanism of P4 in inhibiting the proliferation of endometrial
epithelial cells. And these miRNAs are potential candidates for the diagnosis of
endometrial cancer and therapeutic targets.
PMID- 29661110
TI - Alteration of gait parameters in a mouse model of surgically induced knee
osteoarthritis.
AB - PURPOSE: Joint pain is the most common symptom of osteoarthritis (OA); however,
its mechanism remains unclarified. The present study investigated hindlimb motion
during locomotion on the treadmill using a three-dimensional (3D) motion analysis
system with high-speed cameras to evaluate whether this method can be used as an
indication of joint pain in a mouse model of surgically induced OA. METHODS: We
resected the medial meniscus and medial collateral ligament in 8-week old C57BL/6
male mice and performed locomotion recording 6 months post-operatively.
Additionally, we performed the same recording after oral administration of the
selective cyclooxygenase-2 inhibitor to determine whether alteration of the
parameters were associated with joint pain. RESULTS: OA development,
characterized by cartilage degeneration and osteophyte formation, was markedly
enhanced in the OA group. There was no significant difference between the sham
and OA groups in basic gait parameters, including stance duration, swing duration
and gait cycle. However, when we divided the gait cycle into four phases and
calculated the joint ranges of motion in each phase, the range of motion of the
knee joint during the stepping-in phase and the swing duration were significantly
decreased in the OA group. These significant differences between the sham and OA
groups were diminished by the oral administration of a selective cyclooxygenase-2
inhibitor to the OA group. CONCLUSION: The present method may be useful to
evaluate joint pain in experimental mice and contribute to elucidating the
molecular mechanisms of pain in the OA knee joint in combination with genetically
modified mice.
PMID- 29661087
TI - Allylpyrocatechol attenuates methotrexate-induced hepatotoxicity in a collagen
induced model of arthritis.
AB - The cornerstone of treatment for rheumatoid arthritis is low dose methotrexate
(MTX), but its use is limited by concerns regarding its potential for
hepatotoxicity. Allylpyrocatechol (APC), a phytoconstituent sourced from leaves
of Piper betle demonstrated antioxidant, anti-inflammatory, and antiarthritic
properties. The present study aimed to evaluate the combined effect of APC and
MTX on limiting progression of lipopolysaccharide accelerated collagen-induced
arthritis, along with reduction of MTX-induced hepatic damage. A collagen-induced
arthritis (CIA) model was established by immunising Sprague-Dawley rats with
bovine collagen type II (CII) and lipopolysaccharide, followed by a booster dose
of CII on day 15. Rats from days 11-27 were administered APC (20 mg/kg),
methotrexate (1.5 mg/kg), or a combination of MTX and APC. The combinatorial
therapy of APC and MTX significantly improved the parameters of arthritis as
evident from the reduction in paw oedema and arthritic score and was endorsed by
radiological and histopathological changes. This combination prevented the rise
in levels of proinflammatory cytokines, tumour necrosis factor (TNF-alpha), and
interleukin 6 (IL-6). Furthermore, unlike MTX-monotherapy, the APC-MTX
combination decreased the associated cachexia, splenomegaly, and oxidative
stress. Importantly, the hepatic damage mediated by MTX monotherapy was
effectively attenuated by the inclusion of APC. Taken together, antioxidants such
as APC when combined with MTX not only potentiated the antiarthritic effect but
importantly alleviated the MTX-induced hepatic damage, thus endorsing its
effectiveness in preventing progression of articular diseases such as rheumatoid
arthritis.
PMID- 29661111
TI - Anatomic total shoulder arthroplasty with posterior capsular plication versus
reverse shoulder arthroplasty in patients with biconcave glenoids: A matched
cohort study.
AB - PURPOSE: To compare the outcomes of total shoulder arthroplasty (TSA) with
posterior capsule plication (PCP) and reverse shoulder arthroplasty (RSA) in
patients with primary osteoarthritis, posterior subluxation, and bone loss (Walch
B2). PATIENTS AND METHODS: All shoulders undergoing anatomic TSA with PCP were
retrospectively identified (group 1, G1) and compared to shoulders undergoing RSA
(group 2, G2) for Walch B2 osteoarthritis. There were 15 patients in G1 (mean
(SD) age and follow-up of 70.5 (7.5) years and 42.8 (18.4) months, respectively)
and 16 patients in G2 (mean (SD) age and follow-up of 72.6 (5.4) years and 35.1
(14.2) months, respectively). RESULTS: Both groups had substantial improvements
in pain and function. In G1, results were excellent in 80% and satisfactory in
20%, compared to 81% and 6% in G2, respectively ( p = 0.2). The mean (SD)
American Shoulder and Elbow Surgeons score was 91.2 (6.7) and 80.3 (14.3) in G1
and G2, respectively ( p = 0.08). The mean Simple Shoulder Test score was 10.6 in
G1 and 8.5 in G2 ( p = 0.01). There were no reoperations in either group, but G1
had seven postoperative complications. CONCLUSIONS: The outcomes of TSA with PCP
are comparable to RSA in patients with osteoarthritis and biconcave glenoids.
However, TSA leads to more complications while RSA leads to lower functional
outcomes.
PMID- 29661112
TI - Osteoporotic effect on bone repair in lumbar vertebral body defects in a rat
model.
AB - INTRODUCTION: The number of patients who suffered from osteoporotic vertebral
fractures is increasing. Osteoporosis has been reported to affect the healing
process using long bone models. However, few studies have reported using
vertebrae. In this study, we created a bone defect in the anterior part of
vertebral body in ovariectomized rat and evaluated the healing process. METHODS:
Fifty-six 12-week old Sprague Dawley rats were divided into ovariectomy (OVX) and
sham operation groups. A bone defect was created in the vertebral body 8 weeks
after the first surgery. In both groups, the vertebral bodies were harvested
immediately or at 4, 8, or 12 weeks after the second surgery ( n = 7 at each time
point). Bone volume (BV, mm3), bone volume fraction (BV/TV, %), trabecular
thickness (Tb.Th, mm), trabecular number (Tb.N, 1/mm), and trabecular separation
(Tb.Sp, um) were evaluated by micro-computed tomography to assess the new bone
formation. Histological analysis was also performed. RESULTS: The BV and the
BV/TV were significantly lower at 4 and 12 weeks in the OVX group compared with
those in the sham group. The Tb.Th was significantly lower at 8 and 12 weeks in
the OVX group. Histologically, at 12 weeks, in the OVX group, the bone had a
thinner, layered structure on the surface of the defect, and the trabecular
structure was less dense. CONCLUSION: This study demonstrated that bone mass
formation was suppressed and the quality of repaired bone was poor in the healing
process of vertebral body defect under osteoporotic conditions. These findings
could be the key to understand the pathology of osteoporotic vertebral fracture
and to develop its therapies.
PMID- 29661113
TI - Histology, vascularity and innervation of the glenoid labrum.
AB - BACKGROUND: Although the glenoid labrum has an important role in shoulder
stability, little is known about its composition, vascularity and innervation.
The aims of this study were therefore to evaluate the histology, vascularity and
innervation of the glenoid labrum. MATERIALS AND METHODS: Ten glenoid labrum
specimens (three male, two female: mean age 81.2 years, range 76-90 years) were
detached at the glenoid neck. Following decalcification, sections were cut
through the whole thickness of each specimen perpendicular to the glenoid labrum
at 12 radii corresponding to a clock face superimposed on the glenoid fossa. Then
they were stained using haematoxylin and eosin, a silver nitrate protocol or
subjected to immunohistochemistry using anti-protein gene protein 9.5 to
demonstrate neuronal processes. RESULTS: The labrum was fibrocartilaginous, being
more fibrous in its free margin. There was a variable distribution of blood
vessels, being more vascular in its periphery, with many originating from the
fibrous capsule and piercing the glenoid labrum. Immunohistochemistry revealed
positive staining of nerve fibres within the glenoid labrum. CONCLUSION: The
glenoid labrum is fibrocartilaginous, being more fibrous in its periphery, and is
vascularized, with the anterosuperior aspect having a rich blood supply. Free
sensory nerve fibres were also present; no encapsulated mechanoreceptors were
observed. The presence of sensory nerve fibres in the glenoid labrum could
explain why tears induce pain. It is postulated that these sensory fibres could
play a role in glenohumeral joint proprioception.
PMID- 29661114
TI - Pasireotide treatment does not modify hyperglycemic and corticosterone acute
restraint stress responses in rats.
AB - Pasireotide is a new-generation somatostatin analog that acts through binding to
multiple somatostatin receptor subtypes. Studies have shown that pasireotide
induces hyperglycemia, reduces glucocorticoid secretion, alters
neurotransmission, and potentially affects stress responses typically manifested
as hyperglycemia and increased corticosterone secretion. This study specifically
aimed to evaluate whether pasireotide treatment modifies glucose and
costicosterone secretion in response to acute restraint stress. Male Holtzman
rats of 150-200 g were treated with pasireotide (10 ug/kg/day) twice-daily for
two weeks or vehicle for the same period. Blood samples were collected at
baseline and after 5, 10, 30, and 60 min of restraint stress. The three
experimental groups comprised of vehicle + restraint (VEHR), pasireotide +
restraint (PASR), and pasireotide + saline (PASNR). Following pasireotide
treatment, no significant differences in baseline glucose and corticosterone
levels were observed among the three groups. During restraint, hyperglycemia was
observed at 10 min (p < .01 for both comparisons), peaked at 30 min (p < .01 for
both comparisons) and showed higher 60 min areas under glucose curves in the VEHR
and PASR stressed groups when compared to the non-stressed PASNR group (p < .05
for both comparisons). Restraint also increased corticosterone secretion in the
VEHR and PASR stressed groups at 5 min (p < .01 for both comparisons), and peaked
at 30 min (p < .01 for both comparisons) with corresponding higher 60 min areas
under corticosterone curves when compared to the non-stressed PASNR group (p <
.01 for both comparisons). In conclusion, pasireotide treatment does not modify
hyperglycemic- and corticosterone-restraint stress responses, thus preserving
acute stress regulation.
PMID- 29661115
TI - Synthesis and evaluation of water soluble pH sensitive poly (vinyl alcohol)
doxorubicin conjugates.
AB - The accuracy of spatiotemporal control cargo delivery and release are primordial
to enhance the therapeutic efficiency and decrease the undesirable effects, in
this context a novel prodrug were developed based on biocompatible polyvinyl
alcohol (PVA) substrate. PVA was conjugated to doxorubicin (PVA-DOX) via an acid
labile hydrazone linkage. PVA was first functionalized with acidic groups, then
reacted with hydrazine hydrate to form an amide bond. The amine group of PVA
hydrazide was linked to carbonyl group (C = O) of DOX to form a pH sensitive
hydrazone bond. The molecular structure of the PVA-DOX was confirmed by FTIR,
XPS, and 1H-NMR analysis methods. The degree of grafting were evaluated by TGA
and confirmed by XPS, which reveals the successful bond attachment of DOX to PVA.
Our findings confirm pH dependent DOX release from PVA-DOX prodrug with faster
release rate in acidic environment (pH 5.0, pH 6.0) and slower release rate in
neutral pH environment (pH 7.4). Compared to the primary DOX, our synthesized PVA
DOX conjugates could exhibit a promising therapeutic effect, high
biocompatibility and zero premature release. The results prove the successful
synthesis of PVA-DOX conjugates with high efficiency.
PMID- 29661116
TI - CRISPR/Cas9-Targeted Deletion of Polyglutamine in Spinocerebellar Ataxia Type 3
Derived Induced Pluripotent Stem Cells.
AB - Spinocerebellar ataxia type 3 (SCA3) is caused by an abnormal expansion of the
cytosine-adenine-guanine (CAG) triplet in ATXN3, which translates into a
polyglutamine (polyQ) tract within ataxin-3 (ATXN3) protein. Although the
pathogenic mechanisms remain unclear, it is well established that expression of
mutant forms of ATXN3 carrying an expanded polyQ domain are involved in SCA3
pathogenesis, and several strategies to suppress mutant ATXN3 have shown
promising potential for SCA3 treatment. In this study, we described successful
clustered regularly interspaced short palindromic repeats (CRISPR)/Cas9-mediated
deletion of the expanded polyQ-encoding region of ATXN3 in induced pluripotent
stem cells (iPSCs) derived from a SCA3 patient, and these patient-specific iPSCs
retained pluripotency and neural differentiation following expanded polyQ
deletion. Furthermore, the ubiquitin-binding capacity of ATXN3 was retained in
the neural cells differentiated from the corrected iPSCs. For the first time,
this work provides preliminary data for gene editing by CRISPR/Cas9 in SCA3, and
demonstrates the feasibility of using a single-guide RNA pair to delete the
expanded polyQ-encoding region of ATXN3, suggesting the potential efficacy of
this method for future therapeutic application.
PMID- 29661117
TI - Sexual Victimization and Mental Illness Prevalence Rates Among Incarcerated
Women: A Literature Review.
AB - Incarcerated women evidence high rates of both interpersonal trauma and mental
illness. In particular, the rates of sexual violence victimization are so high
that some researchers have suggested that sexual abuse may be a pathway to prison
for women, likely through the development of mental illness, including substance
abuse. This review article summarizes the literature on sexual victimization ( n
= 32 articles; 28 independent studies) and mental illness ( n = 11 articles; 8
independent studies) prevalence among samples of incarcerated women ( Ns >= 100)
in context of methodological choices within included articles. Best estimates for
sexual victimization from studies using established survey methods were as
follows: 50-66% for child sexual abuse, 28-68% for adult sexual abuse, and 56-82%
for lifetime sexual assault. Although data directly comparing prevalence of
sexual victimization among incarcerated women to prevalence for other groups are
limited, the existing data indicate that incarcerated women have significantly
greater exposure than incarcerated men and community samples of women. Moreover,
compared to findings from the National Comorbidity Survey-Replication,
incarcerated women evidence greater prevalence of most lifetime and current
mental illnesses, especially depressive disorders, post-traumatic stress
disorder, and substance use disorders. Surprisingly, only two independent studies
have investigated the overlap between sexual victimization and mental illness in
samples of incarcerated women. Both studies found disproportionally high rates of
mental illness among victims of sexual violence. Suggestions and implications for
research, policy, and practice are discussed.
PMID- 29661119
TI - Combined Fatal Systemic Chlamydia sp. and Aeromonas sobria Infection in Juvenile
Siamese Crocodiles ( Crocodylus siamensis).
AB - Chlamydial infections in crocodiles have been described in several countries and
in several different species. These are typically associated with severe
pharyngitis and conjunctivitis, with death occurring secondary to compromise of
the upper respiratory tract due to obstruction of the trachea. A population of
ranched Siamese crocodiles in central Thailand experienced an epizootic of sudden
death in juvenile animals. The affected animals had fulminant systemic disease
primarily involving the liver and spleen but also affecting the kidneys, heart,
and the whole of the respiratory tract. Chlamydia sp. were noted in liver and
spleen during histopathological examination and confirmed with transmission
electron microscopy and polymerase chain reaction (PCR). The sequence of the PCR
product suggested a novel Chlamydia sp. of Siamese crocodiles. Crocodile farming
represents an important economy in several parts of the world. Epizootics, such
as the one described in this manuscript in association with Chlamydia sp., can
have devastating impact on the industry and represent a potential zoonosis of
significant public health concern. This is the first report of Chlamydia sp. and
Aeromonas sobria causing systemic disease in crocodiles as well as the first
histopathological and ultrastructural description of Chlamydia infection in
Siamese crocodiles.
PMID- 29661120
TI - Uterine Tumors Resembling Ovarian Sex Cord Tumors in Four Baboons ( Papio spp.).
AB - Uterine tumors resembling ovarian sex cord tumors (UTROSCTs) are rare uterine
neoplasms that exhibit prominent sex cord-like differentiation. The authors
describe 4 cases of UTROSCTs that were identified as incidental lesions in female
baboons. All baboons were in good body condition. One animal had a 2-mm-diameter
yellow-tan mass in the uterine body along the attachment of the left broad
ligament; the other 3 did not have any gross lesions in the uterus.
Histologically, the myometrium contained multifocal well-demarcated neoplasms
composed of cuboidal to columnar cells arranged in variable arrangements of
sheets, nests, cords, trabecular, and retiform patterns that occasionally formed
Call-Exner-like bodies. In all cases, the neoplastic cells were diffusely
positive for WT-1 and negative for calretinin, CD99, and desmin. One case was
positive for inhibin and CD10. To the best of the authors' knowledge, this is the
first report of UTROSCTs in nonhuman primates and in the veterinary literature.
PMID- 29661121
TI - Urothelial Carcinomas of the Urinary Bladder With Plasmacytoid or Rhabdoid
Features and Tendency of Epithelial-Mesenchymal Transition in 3 Dogs.
AB - Plasmacytoid and rhabdoid variants of urothelial carcinomas (UCs) of the urinary
bladder have been described in humans with plasma cell-like or rhabdoid cellular
appearance and aggressive clinical outcome. Canine UC of the bladder is generally
classified as papillary/nonpapillary and infiltrating/noninfiltrating with
limited information regarding other histological patterns. We report 3 cases of
UC of the urinary bladder showing a unique discohesive cellular morphology with
malignant behavior resembling the human plasmacytoid and rhabdoid variants of UC,
which may raise some difficulties in diagnosis. Epithelial-mesenchymal transition
and reduced E-cadherin expression were revealed by immunohistochemistry in 2
cases, possibly explaining the discohesive and invasive behavior of the tumor
cells. The findings broaden the morphological spectrum as well as the distinct
clinical features of canine UC of the urinary bladder.
PMID- 29661122
TI - Lymphocytic Mural Folliculitis Resembling Epitheliotropic Lymphoma in Tigers (
Panthera tigris).
AB - A striking form of lymphocytic mural folliculitis is described in 6 tigers (
Panthera tigris). Clinically, all tigers exhibited regionally extensive chronic,
variably waxing and waning alopecia with minimal scaling and crusting most
pronounced over the head, neck, and shoulders. More severely affected tigers
exhibited marked hyperpigmentation and lichenification. Pruritus was not a
feature. Tigers generally lacked signs of systemic illness and clinical pathology
findings were unremarkable. Histologic examination of skin biopsies revealed
infiltrative lymphocytic mural folliculitis extending the length of the hair
follicle. Mild epidermal lymphocytic infiltrates were frequent. The surrounding
dermis was histologically unremarkable in 4 of 6 tigers or associated with mild
perifollicular and periadnexal mixed inflammation in 2 of 6 tigers. The cause of
the mural folliculitis was not identified, and tigers responded poorly to
immunomodulatory therapy. Lymphocytic mural folliculitis might be a nonspecific
hypersensitivity reaction pattern in tigers, and care should be taken to
differentiate this reaction pattern from epitheliotropic T-cell lymphoma.
PMID- 29661123
TI - Pathological and Microbiological Study of Mortality in a Captive Breeding Colony
of the Endangered Lord Howe Island Stick Insect ( Dryococelus australis).
AB - The authors describe pathological and microbiological features of mortalities in
a captive breeding colony of Lord Howe Island stick insects ( Dryococelus
australis) over a period of 18 months. There were 2 peaks of mortality in this
period. In the first, insects presented dead with minimal premonitory signs of
illness. In the second, affected insects were ataxic with contracted limbs and
inability to climb or right themselves. Gross lesions were uncommon but included
pigmented plaques on the gut and cloacal prolapse. Histological lesions in both
outbreaks indicated a cellular innate immune response including nodulation
characterized by Gram-negative bacterial bacilli entrapped within nodules of
pigmented hemocytes, and melanization characterized by melanin within hemocyte
nodules and around bacteria. Hemolymph culture findings varied and often yielded
a mixed growth. Pure growth of Serratia marcescens was cultured in 44% of animals
in Outbreak 1, while pure growth of Pseudomonas aeruginosa was cultured in 30% of
animals in Outbreak 2. Cases with S. marcescens-positive culture often showed
inflammation at the foregut-midgut junction. The frequency of mixed bacterial
culture results did not allow firm conclusions about causality to be made, and
may indicate primary bacterial infection or increased susceptibility to hemolymph
colonization with an opportunistic pathogen. These findings highlight the utility
of histopathology combined with ancillary testing when investigating mortality in
captive insect colonies.
PMID- 29661124
TI - Newcastle Disease Virus Infection in Quail.
AB - Newcastle disease (ND), caused by virulent strains of Newcastle disease virus
(NDV), is a devastating disease of poultry worldwide. The pathogenesis of ND in
quail is poorly documented. To characterize the ability of virulent NDV strains
to replicate and cause disease in quail, groups of 14 two-week-old Japanese quail
( Coturnix japonica) were experimentally inoculated with 108 EID50 (embryo
infectious dose 50%) units of 1 of 4 virulent NDV strains: 2 isolated from quail
( N2, N23) and 2 from chickens ( Israel, Pakistan). At day 2 postinfection,
noninfected quail (contact group) were added to each infection group to assess
the efficacy of virus transmission. Tested NDV strains showed moderate
pathogenicity, with highest mortality being 28% for the N2 strain and below 10%
for the others. Two N2-inoculated birds showed neurological signs, such as head
tremor and ataxia. Microscopic lesions were present in N2-, Israel-, and Pakistan
inoculated birds and consisted of nonsuppurative encephalitis. Contact birds
showed no clinical signs or lesions. In both inoculated and contact birds, virus
replication was moderate to minimal, respectively, as observed by
immunohistochemistry in tissues and virus isolation from oropharyngeal and
cloacal swabs. Strains originally isolated from quail resulted in higher numbers
of birds shedding in the inoculation group; however, transmission appeared
slightly more efficient with chicken-derived isolates. This study shows that
virulent NDV strains have limited replicative potential and mild to moderate
disease-inducing ability in Japanese quail.
PMID- 29661126
TI - GNAO1 Mutation-Induced Pediatric Dystonic Storm Rescue With Pallidal Deep Brain
Stimulation.
AB - Dystonic storm or status dystonicus is a life-threatening hyperkinetic movement
disorder with biochemical alterations due to the excessive muscle contractions.
The medical management can require pediatric intensive care unit admission and a
combination of medications while the underlying trigger is managed. Severe cases
may require general anesthesia and paralytic agents with intubation and may
relapse when these drugs are weaned. Deep brain stimulation of the globus
pallidum has been reported to terminate dystonic storm in several pediatric
cases. We present a 10-year-old boy with a de novo GNAO1 mutation-induced
dystonic storm who required a 2-month pediatric intensive care unit admission and
remained refractory to all medical treatments. Deep brain stimulation was
performed under general anesthetic without complication. His dyskinetic movements
stopped with initiation of stimulation. He was discharged from the pediatric
intensive care unit after 4 days. We present prospectively evaluated changes in
dystonia symptoms and quality of life for a patient with GNAO1 mutation treated
with deep brain stimulation.
PMID- 29661127
TI - Schistosomiasis mimicking ovarian neoplasm.
PMID- 29661129
TI - SPIDR: small-molecule peptide-influenced drug repurposing.
AB - BACKGROUND: Conventional de novo drug design is costly and time consuming, making
it accessible to only the best resourced research organizations. An emergent
approach to new drug development is drug repurposing, in which compounds that
have already gone through some level of clinical testing are examined for
efficacy against diseases divergent than their original application. Repurposing
of existing drugs circumvents the time and considerable cost of early stages of
drug development, and can be accelerated by using software to screen existing
chemical databases to identify suitable drug candidates. RESULTS: Small-molecule
Peptide-Influenced Drug Repurposing (SPIDR) was developed to identify small
molecule drugs that target a specific receptor by exploring the conformational
binding space of peptide ligands. SPIDR was tested using the potent and selective
16-amino acid peptide alpha-conotoxin MII ligand and the alpha3beta2-nicotinic
acetylcholine receptor (nAChR) isoform. SPIDR incorporates a genetic algorithm
based, heuristic search procedure, which was used to explore the ligand binding
domain of the alpha3beta2-nAChR isoform using a library consisting of 640,000
alpha-conotoxin MII peptide analogs. The peptides that exhibited the highest
affinity for alpha3beta2-nAChR were used as models for a small-molecule structure
similarity search of the PubChem Compound database. SPIDR incorporates the
SimSearcher utility, which generates shape distribution signatures of molecules
and employs multi-level K-means clustering to insure fast database queries. SPIDR
identified non-peptide drugs with estimated binding affinities nearly double that
of the native alpha-conotoxin MII peptide. CONCLUSIONS: SPIDR has been
generalized and integrated into DockoMatic v 2.1. This software contains an
intuitive graphical interface for peptide mutant screening workflow and
facilitates mapping, clustering, and searching of local molecular databases,
making DockoMatic a valuable tool for researchers in drug design and repurposing.
PMID- 29661130
TI - A survey of functional genomic variation in domesticated chickens.
AB - BACKGROUND: Deleterious genetic variation can increase in frequency as a result
of mutations, genetic drift, and genetic hitchhiking. Although individual effects
are often small, the cumulative effect of deleterious genetic variation can
impact population fitness substantially. In this study, we examined the genome of
commercial purebred chicken lines for deleterious and functional variations,
combining genotype and whole-genome sequence data. RESULTS: We analysed over
22,000 animals that were genotyped on a 60 K SNP chip from four purebred lines
(two white egg and two brown egg layer lines) and two crossbred lines. We
identified 79 haplotypes that showed a significant deficit in homozygous
carriers. This deficit was assumed to stem from haplotypes that potentially
harbour lethal recessive variations. To identify potentially deleterious
mutations, a catalogue of over 10 million variants was derived from 250 whole
genome sequenced animals from three purebred white-egg layer lines. Out of 4219
putative deleterious variants, 152 mutations were identified that likely induce
embryonic lethality in the homozygous state. Inferred deleterious variation
showed evidence of purifying selection and deleterious alleles were generally
overrepresented in regions of low recombination. Finally, we found evidence that
mutations, which were inferred to be evolutionally intolerant, likely have
positive effects in commercial chicken populations. CONCLUSIONS: We present a
comprehensive genomic perspective on deleterious and functional genetic variation
in egg layer breeding lines, which are under intensive selection and
characterized by a small effective population size. We show that deleterious
variation is subject to purifying selection and that there is a positive
relationship between recombination rate and purging efficiency. In addition,
multiple putative functional coding variants were discovered in selective sweep
regions, which are likely under positive selection. Together, this study provides
a unique molecular perspective on functional and deleterious variation in
commercial egg-laying chickens, which can enhance current genomic breeding
practices to lower the frequency of undesirable variants in the population.
PMID- 29661132
TI - Transcriptional development of phospholipid and lipoprotein metabolism in
different intestinal regions of Atlantic salmon (Salmo salar) fry.
AB - BACKGROUND: It has been suggested that the high phospholipid (PL) requirement in
Atlantic salmon (Salmo salar) fry is due to insufficient intestinal de-novo
synthesis causing low lipoprotein (LP) production and reduced transport capacity
of dietary lipids. However, in-depth ontogenetic analysis of intestinal PL and LP
synthesis with the development of salmon has yet to be performed. Therefore, in
this paper we used RNA-Seq technology to investigate the expression of genes
involved in PL synthesis and LP formation throughout early developmental stages
and associate insufficient expression of synthesis pathways in salmon fry with
its higher dietary PL requirement. There was a special focus on the understanding
homologous genes, especially those from salmonid-specific fourth vertebrate whole
genome duplication (Ss4R), and their contribution to salmonid specific features
of regulation of PL metabolic pathways. Salmon fry were sampled at 0.16 g (1 day
before first-feeding), 2.5 and 10 g stages of development and transcriptomic
analysis was applied separately on stomach, pyloric caeca and hindgut of the
fish. RESULTS: In general, we found up-regulated pathways involved in synthesis
of phosphatidylcholine (PtdCho), phosphatidylethanolamine (PtdEtn), and LP in
pyloric caeca of salmon between 0.16 and 10 g. Thirteen differentially expressed
genes (q < 0.05) in these pathways were highly up-regulated in 2.5 g salmon
compared to 0.16 g, while only five more differentially expressed (q < 0.05)
genes were found when the fish grew up to 10 g. Different homologous genes were
found dominating in stomach, pyloric caeca and hindgut. However, the expression
of dominating genes in pathways of PL and LP synthesis were much higher in
pyloric caeca than stomach and hindgut. Salmon-specific homologous genes (Ss4R)
had similar expression during development, while other homologs had more diverged
expression. CONCLUSIONS: The up-regulation of the de-novo PtdCho and PtdEtn
pathways confirm that salmon have decreasing requirement for dietary PL as the
fish develops. The similar expressions between Ss4R homologous genes suggest that
the functional divergence of these genes was incomplete compared to homologs
derived from other genome duplication. The results of the present study have
provided new information on the molecular mechanisms of phospholipid synthesis
and lipoprotein formation in fish.
PMID- 29661131
TI - Transcriptome profiling of Brassica napus stem sections in relation to
differences in lignin content.
AB - BACKGROUND: Brassica crops are cultivated widely for human consumption and animal
feed purposes, and oilseed rape/canola (Brassica napus and rapa) is the second
most important oilseed worldwide. Because of its natural diversity and genetic
complexity, genomics studies on oilseed rape will be a useful resource base to
modify the quantity and quality of biomass in various crops, and therefore,
should have a positive impact on lignocellulosic biofuel production. The
objective of this study was to perform microarray analysis on two variable lignin
containing oilseed rape cultivars to target novel genes and transcription factors
of importance in Brassica lignin regulation for applied research. RESULTS: To
gain insight into the molecular networks controlling cell wall biosynthetic and
regulatory events, we conducted lignin and microarray analysis of top and basal
stem sections of brown seeded Brassica napus DH12075 and yellow seeded YN01-429
cultivars. A total of 9500 genes were differentially expressed 2-fold or higher
in the stem between the cultivars, with a higher number of expressed genes in the
basal section. Of the upregulated genes, many were transcription factors and a
considerable number of these were associated with secondary wall synthesis and
lignification in B. napus and other plant species. The three largest groups of
transcription factors with differential expression were C2H2 and C3HC4 zinc
fingers and bHLH. A significant number of genes related to lignin and
carbohydrate metabolism also showed differential expression patterns between the
stem sections of the two cultivars. Within the same cultivar, the number of
upregulated genes was higher in the top section relative to the basal one.
CONCLUSION: In this study, we identified and established expression patterns of
many new genes likely involved in cell wall biosynthesis and regulation. Some
genes with known roles in other biochemical pathways were also identified to have
a potential role in cell wall biosynthesis. This stem transcriptome profiling
will allow for selecting novel regulatory and structural genes for functional
characterization, a strategy which may provide tools for modifying cell wall
composition to facilitate fermentation for biofuel production.
PMID- 29661133
TI - The impact of genome editing on the introduction of monogenic traits in
livestock.
AB - BACKGROUND: Genome editing technologies provide new tools for genetic improvement
and have the potential to become the next game changer in animal and plant
breeding. The aim of this study was to investigate how genome editing in
combination with genomic selection can accelerate the introduction of a monogenic
trait in a livestock population as compared to genomic selection alone. METHODS:
A breeding population was simulated under genomic selection for a polygenic
trait. After reaching Bulmer equilibrium, the selection objective was to increase
the allele frequency of a monogenic trait, with or without genome editing, in
addition to improving the polygenic trait. Scenarios were compared for time to
fixation of the desired allele, selection response for the polygenic trait, and
level of inbreeding. The costs, in terms of number of editing procedures, were
compared to the benefits of having more animals with the desired phenotype of the
monogenic trait. Effects of reduced editing efficiency were investigated.
RESULTS: In a population of 20,000 selection candidates per generation, the total
number of edited zygotes needed to reach fixation of the desired allele was
22,118, 7072, or 3912 with, no, moderate, or high selection emphasis on the
monogenic trait, respectively. Genome editing resulted in up to four-fold faster
fixation of the desired allele when efficiency was 100%, while the loss in long
term selection response for the polygenic trait was up to seven-fold less
compared to genomic selection alone. With moderate selection emphasis on the
monogenic trait, introduction of genome editing led to a four-fold reduction in
the total number of animals showing the undesired phenotype before fixation.
However, with a currently realistic editing efficiency of 4%, the number of
required editing procedures increased by 72% and loss in selection response
increased eight-fold compared to 100% efficiency. With low efficiency, loss in
selection response was 29% more compared to genomic selection alone. CONCLUSIONS:
Genome editing strongly decreased the time to fixation for a desired allele
compared to genomic selection alone. Reduced editing efficiency had a major
impact on the number of editing procedures and on the loss in selection response.
In addition to ethical and welfare considerations of genome editing, a careful
assessment of its technical costs and benefits is required.
PMID- 29661135
TI - The relative burden of community-acquired pneumonia hospitalizations in older
adults: a retrospective observational study in the United States.
AB - BACKGROUND: The risk of community-acquired pneumonia (CAP) increases with age and
significantly impacts morbidity and mortality in the elderly population. The
burden of illness and cost of preventing CAP has not been compared to other
serious diseases. METHODS: This retrospective analysis used claims data from 2014
to 2015 and compared hospitalizations for CAP, myocardial infarction (MI),
stroke, and osteoporotic fractures (OF) in adults aged >=65 years enrolled in a
Medicare Advantage insurance plan. Individuals who had not already been
hospitalized for one of these conditions and did not have evidence of long-term
care were included in the study. Hospitalizations for each condition were
described by length of stay, readmissions, mortality, and total costs. Preventive
measures included vaccinations for CAP and medications for MI, stroke, and OF.
RESULTS: A total of 1,949,352 individuals were included in the cohort. In 2015,
the rate of CAP-related hospitalizations was the highest at 846.7 per 100,000
person-years compared to 405 for MI, 278.9 for stroke, and 343.9 for OF.
Vaccination costs for CAP were $40.2 million including $14.1 million for
pneumococcal and $26.1 million for influenza vaccines. The cost of preventive
medications for MI and stroke reached over $661 million and OF totaled $169
million. CONCLUSIONS: Although CAP has a higher burden of hospitalization and
total costs than MI, stroke, and OF in the elderly population, prevention efforts
were disproportionately smaller for CAP. Prioritization of CAP prevention is
needed to substantially reduce the burden of CAP.
PMID- 29661134
TI - Transcriptome analysis of granulosa cells after conventional vs long FSH-induced
superstimulation in cattle.
AB - BACKGROUND: Prolongation of superstimulatory treatment appears to be associated
with a greater superovulatory response and with greater oocyte maturation in
cattle. A genome-wide bovine oligo-microarray was used to compare the gene
expression of granulosa cells collected from ovarian follicles after differing
durations of the growing phase induced by exogenous FSH treatment. Cows were
given a conventional (4-day) or long (7-day) superstimulatory treatment (25 mg
FSH im at 12-h intervals; n = 6 per group), followed by prostaglandin treatment
with last FSH and LH treatment 24 h later. Granulosa cells were harvested 24 h
after LH treatment. RESULTS: The expression of 416 genes was down-regulated and
615 genes was up-regulated in the long FSH group compared to the conventional FSH
group. Quantification by RT-PCR of 7 genes (NTS, PTGS2, PTX3, RGS2, INHBA, CCND2
and LRP8) supported the microarrays data. Multigene bioinformatic analysis
indicates that markers of fertility and follicle maturity were up-regulated in
the long FSH group. CONCLUSION: Using the large gene expression dataset generated
by the genomic analysis and our previous associated with the growth phase and
gene expression changes post LH, we can conclude that a prolonged FSH-induced
growing phase is associated with transcriptomic characteristics of greater
follicular maturity and may therefore be more appropriate for optimizing the
superovulatory response and developmental competence of oocytes in cattle.
PMID- 29661136
TI - Effects of a community health promotion program on social factors in a vulnerable
older adult population residing in social housing.
AB - BACKGROUND: Supporting older adults' health and wellbeing in the community is an
important policy goal that can be supported by health promotion. Despite
widespread acceptance of the biopsychosocial model of health and its relation to
health, many health promotion programs fail to realize this model in program
design. Further, there is limited evidence to support program design targeting
social determinants of health such as social isolation or connectedness. To fill
this gap, we aimed to understand older adult's experiences participating in
cardiovascular health promotion program in a subsidized residential building to
capture unintended 'spin-off' psychosocial effects. METHODS: This study took a
constructivist, ethnographic approach utilizing participant observation and semi
structured interviews with participants of the program to understand
participant's lived experiences of a health promotion program. In total, we
conducted eighty hours of field work and fifteen semi-structured interviews with
participants of the program. Thematic analysis was used to analyze the data.
RESULTS: Four themes emerged. First, the health promotion program filled a
perceived gap caused by a constrained and impersonal health care system.
Secondly, the program connected older adults with resources and provided regular
and secure access to health information and support. Third, for some residents,
the program facilitated social relationships between older adults, leaving
participants feeling more socially connected to other residents. Lastly, a
paradox of loneliness emerged where older adults talked openly about feelings of
loneliness, however not in relation to themselves, but rather regarding their
peers. CONCLUSIONS: Psychosocial aspects of health, such as loneliness, social
connectedness, and social support may be of equal value as the physical health
benefits to the older adults who participate in health promotion programs.
Incorporating these elements into programming is a complex goal, and the
complexity of targeting social determinants of health such as social loneliness
or connectedness should not be under-estimated. Given the benefits of targeting
social determinants of health, future research should be considered that measure
both the objective and subjective aspects of social isolation, loneliness and
connectedness in health promotion programming.
PMID- 29661137
TI - 1000 human genomes carry widespread signatures of GC biased gene conversion.
AB - BACKGROUND: GC-Biased Gene Conversion (gBGC) is one of the important theories put
forward to explain profound long-range non-randomness in nucleotide compositions
along mammalian chromosomes. Nucleotide changes due to gBGC are hard to
distinguish from regular mutations. Here, we present an algorithm for analysis of
millions of known SNPs that detects a subset of so-called "SNP flip-over" events
representing recent gBGC nucleotide changes, which occurred in previous
generations via non-crossover meiotic recombination. RESULTS: This algorithm has
been applied in a large-scale analysis of 1092 sequenced human genomes.
Altogether, 56,328 regions on all autosomes have been examined, which revealed
223,955 putative gBGC cases leading to SNP flip-overs. We detected a strong bias
(11.7% +/- 0.2% excess) in AT- > GC over GC- > AT base pair changes within the
entire set of putative gBGC cases. CONCLUSIONS: On average, a human gamete
acquires 7 SNP flip-over events, in which one allele is replaced by its
complementary allele during the process of meiotic non-crossover recombination.
In each meiosis event, on average, gBGC results in replacement of 7 AT base pairs
by GC base pairs, while only 6 GC pairs are replaced by AT pairs. Therefore,
every human gamete is enriched by one GC pair. Happening over millions of years
of evolution, this bias may be a noticeable force in changing the nucleotide
composition landscape along chromosomes.
PMID- 29661138
TI - A Coxiella burnetii phospholipase A homolog pldA is required for optimal growth
in macrophages and developmental form lipid remodeling.
AB - BACKGROUND: Many gram-negative bacteria produce an outer membrane phospholipase A
(PldA) that plays an important role in outer membrane function and is associated
with virulence. RESULTS: In the current study, we characterized a pldA mutant of
Coxiella burnetii, an intracellular gram-negative pathogen and the agent of human
Q fever. The C. burnetti pldA open reading frame directs synthesis of a protein
with conserved PldA active site residues. A C. burnetii DeltapldA deletion mutant
had a significant growth defect in THP-1 macrophages, but not axenic medium, that
was rescued by complementation. Thin layer chromatography was employed to assess
whether pldA plays a role in remodeling membrane lipids during C. burnetii
morphological differentiation. Extracted lipids were analyzed from replicating,
logarithmic phase large cell variants (LCVs), non-replicating, stationary phase
small cell variants (SCVs), and a mixture of LCVs and SCVs. Similar to
Escherichia coli, all three forms contained cardiolipin (CL),
phosphatidylglycerol (PG) and phosphatidylethanolamine (PE). However, PE and PG
were present in lower quantities in the SCV while three additional lipid species
were present in higher quantities. Co-migration with standards tentatively
identified two of the three SCV-enriched lipids as lyso-phosphatidylethanolamine,
a breakdown product of PE, and free fatty acids, which are generally toxic to
bacteria. Developmental form lipid modifications required the activity of PldA.
CONCLUSIONS: Collectively, these results indicate developmentally-regulated lipid
synthesis by C. burnetii contributes to colonization of macrophages and may
contribute to the environmental stability and the distinct biological properties
of the SCV.
PMID- 29661139
TI - Genome analysis of the freshwater planktonic Vulcanococcus limneticus sp. nov.
reveals horizontal transfer of nitrogenase operon and alternative pathways of
nitrogen utilization.
AB - BACKGROUND: Many cyanobacteria are capable of fixing atmospheric nitrogen,
playing a crucial role in biogeochemical cycling. Little is known about
freshwater unicellular cyanobacteria Synechococcus spp. at the genomic level,
despite being recognised of considerable ecological importance in aquatic
ecosystems. So far, it has not been shown whether these unicellular
picocyanobacteria have the potential for nitrogen fixation. Here, we present the
draft-genome of the new pink-pigmented Synechococcus-like strain Vulcanococcus
limneticus. sp. nov., isolated from the volcanic Lake Albano (Central Italy).
RESULTS: The novel species Vulcanococcus limneticus sp. nov. falls inside the sub
cluster 5.2, close to the estuarine/marine strains in a maximum-likelihood
phylogenetic tree generated with 259 marker genes with representatives from
marine, brackish, euryhaline and freshwater habitats. V.limneticus sp. nov.
possesses a complete nitrogenase and nif operon. In an experimental setup under
nitrogen limiting and non-limiting conditions, growth was observed in both cases.
However, the nitrogenase genes (nifHDK) were not transcribed, i.e., V.limneticus
sp. nov. did not fix nitrogen, but instead degraded the phycobilisomes to produce
sufficient amounts of ammonia. Moreover, the strain encoded many other pathways
to incorporate ammonia, nitrate and sulphate, which are energetically less
expensive for the cell than fixing nitrogen. The association of the nif operon to
a genomic island, the relatively high amount of mobile genetic elements (52
transposases) and the lower observed GC content of V.limneticus sp. nov. nif
operon (60.54%) compared to the average of the strain (68.35%) support the theory
that this planktonic strain may have obtained, at some point of its evolution,
the nif operon by horizontal gene transfer (HGT) from a filamentous or
heterocystous cyanobacterium. CONCLUSIONS: In this study, we describe the novel
species Vulcanococcus limneticus sp. nov., which possesses a complete nif operon
for nitrogen fixation. The finding that in our experimental conditions
V.limneticus sp. nov. did not express the nifHDK genes led us to reconsider the
actual ecological meaning of these accessory genes located in genomic island that
have possibly been acquired via HGT.
PMID- 29661140
TI - The biochemical composition and transcriptome of cotyledons from Brassica napus
lines expressing the AtGL3 transcription factor and exhibiting reduced flea
beetle feeding.
AB - BACKGROUND: Previously, transgenic trichome-bearing (hairy leaf) Brassica napus
lines expressing either the Arabidopsis thaliana GL3 gene (line AtGL3+) [1] or
the AtGL3 gene in combination with an RNAi construct to down-regulate TTG1 (line
K-5-8) [2] were developed. The leaves of these lines exhibited altered insect
feeding (flea beetle) and oviposition (diamondback moth) behaviour compared to
the non-transgenic semi-glabrous leaves of B. napus cv. Westar. Interestingly,
the cotyledons of these lines remained glabrous, but also showed reduced feeding
by flea beetles. Here we examine the composition and global transcriptome of the
glabrous cotyledons from these transgenic lines to ascertain the mechanism(s)
underlying this unexpected phenomenon. RESULTS: Approximately, 7500 genes were up
regulated in cotyledons of each hairy line, compared with < 30 that were down
regulated. The up-regulated genes included those involved in cell wall synthesis,
secondary metabolite production, redox, stress and hormone-related responses that
have the potential to impact host plant cues required to elicit defense responses
toward insect pests. In particular, the expression of glucosinolate biosynthetic
and degradation genes were substantially altered in the glabrous cotyledons of
the two hairy leaf lines. The transcriptomic data was supported by glucosinolate
and cell wall composition profiles of the cotyledons. Changes in gene expression
were much more extreme in the AtGL3+ line compared with the K-5-8 line in terms
of diversity and intensity. CONCLUSIONS: The study provides a roadmap for the
isolation and identification of insect resistance compounds and proteins in the
glabrous cotyledons of these hairy leaf lines. It also confirms the impact of mis
expression of GL3 and TTG1 on types of metabolism other than those associated
with trichomes. Finally, the large number of up-regulated genes encoding heat
shock proteins, PR proteins, protease inhibitors, glucosinolate
synthesis/breakdown factors, abiotic stress factors, redox proteins,
transcription factors, and proteins required for auxin metabolism also suggest
that these cotyledons are now primed for resistance to other forms of biotic and
abiotic stress.
PMID- 29661141
TI - Diagnostic utility of monitoring cytomegalovirus-specific immunity by QuantiFERON
cytomegalovirus assay in kidney transplant recipients.
AB - BACKGROUND: Despite universal prophylaxis, late cytomegalovirus (CMV) infection
occurs in a high proportion of kidney transplant recipients. We evaluated whether
a specific viral T-cell response allows for the better identification of
recipients who are at high risk of CMV infection after prophylaxis withdrawal.
METHODS: We conducted a prospective study in 19 pretransplant anti-CMV
seronegative kidney graft recipients R- (18 from seropositive donors [D+] and one
from a seronegative donor [D-]) and 67 seropositive recipients R(+) (59 from
seropositive donors and eight from seronegative donors) who received antiviral
prophylaxis with valganciclovir. The QuantiFERON-CMV (QF-CMV) assay was performed
within the first and third months after transplantation. Blood samples were
monitored for CMV DNAemia using a commercial quantitative nucleic acid
amplification test (QNAT) that was calibrated to the World Health Organization
International Standard. RESULTS: Twenty-one of the 86 patients (24%) developed
CMV viremia after prophylaxis withdrawal within 12 months posttransplantation. In
the CMV R(+) group, the QF-CMV assay yielded reactive results (QF-CMV[+]) in 51
of 67 patients (76%) compared with 7 of 19 patients (37%) in the CMV R(-) group
(p = 0.001). In the CMV R(+) group, infection occurred in seven of 16 recipients
(44%) who were QF-CMV(-) and eight of 51 recipients (16%) who were QF-CMV(+). In
the CMV R(-) group, infection evolved in five of 12 recipients (42%) who were QF
CMV(-) and one of 7 recipients (14%) who were QF-CMV(+). No difference was found
in the incidence of CMV infection stratified according to the QF-CMV results with
regard to the recipients' pretransplant CMV IgG serology (p = 0.985).
Cytomegalovirus infection occurred in 15 of 36 patients (42%) with
hypogammaglobulinemia (HGG) 90 days posttransplantation compared with two of 34
patients (6%) without HGG (p = 0.0004). Cytomegalovirus infection occurred in
seven of 13 patients (54%) with lymphocytopenia compared with 14 of 70 patients
(20%) without lymphocytopenia (p = 0.015). The multivariate analysis revealed
that the nonreactive QuantiFERON-CMV assay was an independent risk factor for
postprophylaxis CMV infection. CONCLUSIONS: In kidney transplant recipients who
received posttransplantation prophylaxis, negative QF-CMV results better defined
the risk of CMV infection than initial CMV IgG status after prophylaxis
withdrawal. Hypogammaglobulinemia and lymphocytopenia were risk factors for CMV
infection.
PMID- 29661142
TI - Neutrophils in primary gastric tumors are correlated with neutrophil infiltration
in tumor-draining lymph nodes and the systemic inflammatory response.
AB - BACKGROUND: Tumor-Associated Neutrophils (TANs) may be able to induce
lymphangiogenesis and angiogenesis, although the detailed roles of TANs remain
unclear. The Neutrophil-Lymphocyte Ratio (NLR) is an inflammation-based
prognostic factor for gastric cancer. This study aimed to investigate the
distribution of CD15+neutrophils in the primary tumor and Tumor-Draining Lymph
Nodes (TDLNs), and to examine the association of TANs with the
clinicopathological features (including NLR) of patients with gastric cancer.
RESULTS: Immunohistochemical staining showed that the median number of CD15+TANs
was 18 and 24 per high-power field (HPF) in primary tumors and TDLNs,
respectively. Patients were divided into high and low infiltration groups based
on the median number. A high number of infiltrating CD15+TANs in the primary
tumors and in the TDLNs were associated with depth of invasion and lymph node
metastasis. Kaplan-Meier analysis revealed that a poor overall survival was
associated with high numbers of CD15+TANs, and the multivariate analyses revealed
that a high number of CD15+TANs in the TDLNs was an independent prognostic
factor. The numbers of CD15+TANs in the primary tumors and TDLNs showed weak
positive correlation. The number of CD15+TANs in the primary tumors was
positively correlated with the preoperative NLR, (P = 0.001, R = 0.327) and
immunohistochemical staining revealed that C-X-C motif chemokine receptor 2
(CXCR2) +neutrophils might be the origin of the CD15+TANs. Flow cytometry
analysis indicated that infiltrating neutrophils increased in the tumor and TDLN
compared to non-cancerous tissue. Neutrophils treated with cancer supernatant
upregulated TWIST and IL-6 genes in vitro. CONCLUSION: Our findings suggested
that local infiltration of CD15+TANs may be correlated with inflammation in TDLNs
and systemic response to cause metastasis in gastric carcinoma.
PMID- 29661143
TI - Dietary habits and Helicobacter pylori infection: a cross sectional study at a
Lebanese hospital.
AB - BACKGROUND: To examine the association between dietary habits and Helicobacter
pylori (H. pylori) infection among patients at a tertiary healthcare center in
Lebanon. METHODS: This cross-sectional study was conducted on 294 patients in
2016, at a hospital in Northern Lebanon. Participants were interviewed using a
structured questionnaire to collect information on socio-demographic and
lifestyle characteristics; dietary habits were ascertained via a short food
frequency questionnaire (FFQ). H. pylori status (positive vs. negative) was
determined after upper GI endoscopy where gastric biopsy specimens from the
antrum, body, and fundus region were collected and then sent for pathology
analysis. Multivariable logistic regression was conducted to identify the
association between socio-demographic, lifestyle, dietary and other health
related variables with H pylori infection. RESULTS: The prevalence of H. pylori
infection was found to be 52.4% in this sample. Results of the multivariable
analysis showed that H. pylori infection risk was higher among participants with
a university education or above (OR = 2.74; CI = 1.17-6.44), those with a history
of peptic ulcers (OR = 3.80; CI = 1.80-8.01), gastric adenocarcinoma (OR = 3.99;
CI = 1.35-11.83) and vitamin D level below normal (OR = 29.14; CI = 11.77-72.13).
In contrast, hyperglycemia was protective against H. pylori (OR = 0.18; CI = 0.03
0.89). No relationship between dietary habits and H. pylori infection was found
in the adjusted analysis. CONCLUSIONS: Socio-demographic and clinical variables
are found to be associated with H. pylori, but not with dietary factors. Further
studies are needed to investigate the effect of diet on H. pylori risk.
PMID- 29661144
TI - Pretreatment with nimodipine reduces incidence of POCD by decreasing calcineurin
mediated hippocampal neuroapoptosis in aged rats.
AB - BACKGROUND: Calcineurin (CaN) having a high expression in hippocampal neurons is
closely related to apoptosis. Pretreatment with nimodipine can lower the
apoptosis rate of hippocampal neuron to reduce the incidence of postoperative
cognitive dysfunction (POCD). However, the relationship between cerebral
protective effect of pretreatment with nimodipine and CaN is controversial in the
literature. The aim of this study is to evaluate the relationship between
neuroprotective effect of nimodipine and CaN on POCD in aged rats. METHODS:
Ninety-six 18-month-old male Sprague-Dawley rats were randomly assigned into 4
groups (n = 24 each): control group (Group C), nimodipine group (Group N),
surgery group (Group S) and nimodipine + surgery group (Group N + S). In Group N
and Group N + S, nimodipine 1 mg/kg was intraperitoneally injected, while the
equal volume of normal saline was given instead in Group S. 30 min later, Group N
and Group C inhaled pure oxygen for 2 h, and Group S and N + S inhaled 3%
sevoflurane for 2 h when exploratory laparotomy was performed. Morris water maze
test was performed on 1 day before operation and 1, 3 and 7 days after operation.
After the end of Morris water maze test at 1 day before operation and 1 and 7
days after operation, 8 rats were sacrificed, brains were removed and hippocampal
tissues were obtained for detection of apoptosis in hippocampal neurons,
cytoplasmic calcium ([Ca2+]i), and hippocampal CaN and caspase-3 expression.
RESULTS: Compared with the 1st day before operation, the escape latency,
apoptosis rate, [Ca2+]i, expression of CaN and caspase-3 increased significantly,
but the frequency of crossing the original platform decreased dramatically in
Group S and N + S(P<0.05). In addition, the escape latency, apoptosis rate,
[Ca2+]i, and expression of CaN and caspase-3 decreased markedly, but the
frequency of crossing the original platform increased significantly in Group N +
S as compared with Group S (P<0.05). CONCLUSIONS: Pretreatment with nimodipine
reduces the incidence of POCD by decreasing CaN mediated hippocampal
neuroapoptosis in aged rats.
PMID- 29661145
TI - Therapeutic cancer vaccine: phase I clinical tolerance study of Hu-rhEGF
rP64k/Mont in patients with newly diagnosed advanced non-small cell lung cancer.
AB - BACKGROUND: Hu-rhEGF-rP64k/Mont is a biotechnology product for the treatment of
advanced non-small cell lung cancer (NSCLC). The vaccine induces a neutralizing
antibody-mediated immune response, against the normal circulating self-protein
antigen epidermal growth factor (EGF), which prevents its binding to and
activation of the EGF receptor, inhibiting the transduction of the signals that
drive cancer cell proliferation, survival and spread. This phase I study aimed to
evaluate the safety and the immunological response of Hu-rhEGF-rP64k vaccine in
NSCLC patients. RESULTS: The Hu-rhEGF-rP64k/Mont vaccine showed to be safe and
well tolerated, with dizziness, injection-site reactions and tremors being the
most commonly reported adverse event. No severe adverse events or death were
related to the vaccination. Immune monitoring demonstrated the generation of anti
EGF antibody titers and as a consequence the patients exhibited a decrease in the
EGF concentration. In 80% of the vaccinated patients stable disease was achieved.
CONCLUSION: Hu-rhEGF-rP64k/Mont elicited a valuable immune response, with good
safety profile assuring further clinical development of the vaccine in this
population to further confirm the potential benefits on survival. TRIAL
REGISTRATION: Chinese Clinical Trial Registry, ChiCTR-OID-17014048 , date
2017/12/20 (retrospectively registered); Chinese Food and Drug Administration,
CFDA 2009 L02105, date 2009/04/03; China Drug Trial, CTR20131039 .
PMID- 29661146
TI - Slow darkening of pinto bean seed coat is associated with significant metabolite
and transcript differences related to proanthocyanidin biosynthesis.
AB - BACKGROUND: Postharvest seed coat darkening in pinto bean is an undesirable trait
resulting in a loss in the economic value of the crop. The extent of darkening
varies between the bean cultivars and their storage conditions. RESULTS:
Metabolite analysis revealed that the majority of flavonoids including
proanthocyanidin monomer catechin accumulated at higher level in a regular
darkening (RD) pinto line CDC Pintium than in a slow darkening (SD) line 1533-15.
A transcriptome analysis was conducted to compare gene expression between CDC
Pintium and 1533-15 and identify the gene (s) that may play a role in slow
darkening processes in 1533-15 pinto. RNAseq against total RNA from RD and SD
cultivars found several phenylpropanoid genes, metabolite transporter genes and
genes involved in gene regulation or modification to be differentially expressed
between CDC Pintium and 1533-15. CONCLUSION: RNAseq analysis and metabolite data
of seed coat tissue from CDC Pintium and 1533-15 revealed that the whole
proanthocyanidin biosynthetic pathway was downregulated in 1533-15. Additionally,
genes that encode for putative transporter proteins were also downregulated in
1533-15 suggesting both synthesis and accumulation of proanthocyanidin is reduced
in SD pintos.
PMID- 29661147
TI - Genotyping of Plasmodiophora brassicae reveals the presence of distinct
populations.
AB - BACKGROUND: Plasmodiophora brassicae is a soilborne pathogen of the family
Brassicaceae and the causal agent of clubroot disease. In Canada, P. brassicae is
now one of the most important constraints to canola (Brassica napus) production,
and is managed mainly by the deployment of resistant cultivars. In recent years,
however, new strains of the pathogen have emerged that are capable of overcoming
host resistance, posing new challenges for disease management. Despite its
economic significance, molecular studies of P. brassicae are rare, mainly because
this microorganism cannot be cultured outside of its host. RESULTS: Restriction
site-associated DNA sequencing (RADseq) was used to examine the genetic diversity
within P. brassicae single-spore and field isolates collected from across Canada.
The isolates included individuals that were either capable or incapable of
causing disease on clubroot resistant canola cultivars. Over 8750 variants were
identified through RADseq. Population analysis indicated that most isolates
belonged to one of two distinct populations, corresponding with the ability of
isolates to cause disease on resistant cultivars. Within each population, there
were low levels of genetic diversity. One thousand and fifty of the genetic
variants that distinguished the two populations were nonsynonymous, altering the
coding sequences of genes. CONCLUSION: The application of RADseq revealed two
distinct populations of P. brassicae in Canada, suggesting multiple introductions
of the pathogen into the country. The genetic variation found here will be
important for future research and monitoring of the pathogen.
PMID- 29661148
TI - Identification of missing variants by combining multiple analytic pipelines.
AB - BACKGROUND: After decades of identifying risk factors using array-based genome
wide association studies (GWAS), genetic research of complex diseases has shifted
to sequencing-based rare variants discovery. This requires large sample sizes for
statistical power and has brought up questions about whether the current variant
calling practices are adequate for large cohorts. It is well-known that there are
discrepancies between variants called by different pipelines, and that using a
single pipeline always misses true variants exclusively identifiable by other
pipelines. Nonetheless, it is common practice today to call variants by one
pipeline due to computational cost and assume that false negative calls are a
small percent of total. RESULTS: We analyzed 10,000 exomes from the Alzheimer's
Disease Sequencing Project (ADSP) using multiple analytic pipelines consisting of
different read aligners and variant calling strategies. We compared variants
identified by using two aligners in 50,100, 200, 500, 1000, and 1952 samples; and
compared variants identified by adding single-sample genotyping to the default
multi-sample joint genotyping in 50,100, 500, 2000, 5000 and 10,000 samples. We
found that using a single pipeline missed increasing numbers of high-quality
variants correlated with sample sizes. By combining two read aligners and two
variant calling strategies, we rescued 30% of pass-QC variants at sample size of
2000, and 56% at 10,000 samples. The rescued variants had higher proportions of
low frequency (minor allele frequency [MAF] 1-5%) and rare (MAF < 1%) variants,
which are the very type of variants of interest. In 660 Alzheimer's disease cases
with earlier onset ages of <=65, 4 out of 13 (31%) previously-published rare
pathogenic and protective mutations in APP, PSEN1, and PSEN2 genes were
undetected by the default one-pipeline approach but recovered by the multi
pipeline approach. CONCLUSIONS: Identification of the complete variant set from
sequencing data is the prerequisite of genetic association analyses. The current
analytic practice of calling genetic variants from sequencing data using a single
bioinformatics pipeline is no longer adequate with the increasingly large
projects. The number and percentage of quality variants that passed quality
filters but are missed by the one-pipeline approach rapidly increased with sample
size.
PMID- 29661149
TI - Development of the tonsillar microbiome in pigs from newborn through weaning.
AB - BACKGROUND: Porcine tonsils are lympho-epithelial tissues, colonized by numerous
bacteria and viruses, that act as a reservoir for both host-specific pathogens
and zoonotic pathogens with a high potential of transmission to humans. There are
no existing studies describing the development of the tonsillar microbiome. We
sequenced 16S rRNA genes from tonsillar samples of pigs to follow the development
of the microbial communities from birth through weaning. Samples derived from
sows were also analyzed to determine potential sources for the tonsil microbiome
in piglets. RESULTS: The composition of the newborn piglet tonsil microbiome
could be differentiated by litter and had strong similarity to the sow teat skin
as well as sow vaginal microbiome. The tonsil microbiome in these young piglets
was mainly dominated by members of the Pasteurellaceae, Moraxellaceae, and
Streptococcaceae families, while there were some transient members of the
microbiome that were abundant at specific times, such as Staphylococcaceae in
newborns and Fusobacteriaceae and Leptotrichiaceae in weeks 2 and 3. The
microbiome initially differed between litters but over the following 3 weeks the
communities of different litters converged in composition and then diverged in
week 4 due to a combination of changes and stresses associated with weaning,
including a shift from milk to a solid diet, in-feed Carbadox(r) and room change.
CONCLUSIONS: A significant portion of the tonsil microbiome was acquired either
at birth from the sow vaginal tract or within a few hours post-birth from the sow
teat skin. Our data demonstrate a temporal succession in the development of the
pig tonsillar microbiome through the first weeks of life, with a convergence in
the composition of the microbiome in all piglets by 3 weeks of age. The
combination of management practices associated with weaning coincided with
dramatic shifts in the tonsillar microbiome.
PMID- 29661150
TI - A moderate 500-m treadmill walk for estimating peak oxygen uptake in men with
NYHA class I-II heart failure and reduced left ventricular ejection fraction.
AB - BACKGROUND: Maximal cardiopulmonary exercise testing (CPX) is the gold-standard
for cardiorespiratory fitness assessment in chronic heart failure (CHF) patients.
However, high costs, required medical supervision, and safety concerns make
maximal exercise testing impractical for evaluating mobility-impaired adults.
Thus, several submaximal walking protocols have been developed and currently used
to estimate peak oxygen consumption (VO2peak) in CHF patients. However, these
tests have to be performed at close to maximum exercise intensity. The aim of
this study was to examine the validity of a 500-m treadmill-walking test carried
out at moderate intensity for estimating VO2peak in community-dwelling adult and
elderly patients with CHF and reduced left ventricular ejection fraction (HFrEF).
METHODS: Forty-three clinically stable men with HFrEF (age 67.7 +/- 9.2 years,
and left ventricular ejection fraction, LVEF 38% +/- 6%) underwent exercise
testing during an outpatient cardiac rehabilitation/secondary prevention program.
Each patients completed a CPX, and a moderate and self-paced (11-13/20 on the
Borg scale) 500-m treadmill-walking test. Age, weight, height, walk time, and
heart rate during the 500-m test were entered into prediction equations
previously validated for VO2peak estimation from a 1000-m walking test in
patients with cardiovascular disease and preserved LVEF. RESULTS: Directly
measured and estimated VO2peak values were not different (21.6 +/- 4.9 vs 21.7 +/
4.6 mL/kg/min). The comparison between measured and estimated VO2peak values
yielded a correlation of R = 0.97 (SEE = 0.7 mL/kg/min, P < 0.0001). The slope
and the intercept coincided with the line of identity (Passing and Bablock
analysis, P = 0.50). Residuals were normally distributed, and the examination of
the Bland-Altman analysis do not show systematic or proportional error.
CONCLUSIONS: A moderate and self-regulated 500-m treadmill-walking test is a
valid tool for VO2peak estimation in patients with HFrEF. These findings may have
practical implications in the context of transitioning from clinically based
programs to fitness facilities or self-guided exercise programs in adults and
elderly men with HFrEF.
PMID- 29661151
TI - N-terminal pro-B-type natriuretic peptide and microsize myocardial infarction
risk in the reasons for geographic and racial differences in stroke study.
AB - BACKGROUND: N-terminal pro B-type peptide (NT-proBNP) has been associated with
risk of myocardial infarction (MI), but less is known about the relationship
between NT-proBNP and very small non ST-elevation MI, also known as microsize MI.
These events are now routinely detectable with modern troponin assays and are
emerging as a large proportion of all MI. Here, we sought to compare the
association of NT-proBNP with risk of incident typical MI and microsize MI in the
REasons for Geographic and Racial Differences in Stroke (REGARDS) Study. METHODS:
The REGARDS Study is a national cohort of 30,239 US community-dwelling black and
white adults aged >= 45 years recruited from 2003 to 2007. Expert-adjudicated
outcomes included incident typical MI (definite/probable MI with peak troponin >=
0.5 MUg/L), incident microsize MI (definite/probable MI with peak troponin < 0.5
MUg/L), and incident fatal CHD. Using a case-cohort design, we estimated the
hazard ratio of the outcomes as a function of baseline NT-proBNP. Competing risk
analyses tested whether the associations of NT-proBNP differed between the risk
of incident microsize MI and incident typical MI as well as if the association of
NT-proBNP differed between incident non-fatal microsize MI and incident non-fatal
typical MI, while accounting for incident fatal coronary heart disease (CHD) as
well as heart failure (HF). RESULTS: Over a median of 5 years of follow-up, there
were 315 typical MI, 139 microsize MI, and 195 incident fatal CHD. NT-proBNP was
independently and strongly associated with all CHD endpoints, with significantly
greater risk observed for incident microsize MI, even after removing individuals
with suspected HF prior to or coincident with their incident CHD event.
CONCLUSION: NT-proBNP is associated with all MIs, but is a more powerful risk
factor for microsize than typical MI.
PMID- 29661152
TI - Genetic variability in the sdrD gene in Staphylococcus aureus from healthy nasal
carriers.
AB - BACKGROUND: Staphylococcus aureus cell wall anchored Serine Aspartate repeat
containing protein D (SdrD) is a member of the microbial surface component
recognising adhesive matrix molecules (MSCRAMMs). It is involved in the bacterial
adhesion and virulence. However the extent of genetic variation in S. aureus sdrD
gene within isolates from healthy carriers are not known. The aim of this study
was to evaluate allelic variation of the sdrD gene among S. aureus from healthy
nasal carriers. RESULTS: The sdrD A region from 48 S. aureus isolates from
healthy carriers were analysed and classified into seven variants. Variations in
the sdrD A region were concentrated in the N2 and N3 subdomains. Sequence
analysis of the entire sdrD gene of representative isolates revealed variations
in the SD repeat and the EF motifs of the B repeat. In silico structural
modelling indicates that there are no differences in the SdrD structure of the 7
variants. Variable amino acid residues mapped onto the 3D structure revealed that
the variations are surface located, exist within the groove between the N2-N3
subdomains and distributed mainly on the N3 subdomain. Comparison of adhesion to
keratinocytes in an in vitro cell adhesion assay, using NCTC 8325-4?sdrD strains
expressing the various sdrD gene variants, indicated a significant difference
between only two complements while others showed no major difference in their
adhesion. CONCLUSIONS: This study provides evidence of sequence variations across
the different domains of SdrD from S. aureus isolated from healthy nasal
carriers. Proper understanding of these variations is necessary in the study of
S. aureus pathogenesis.
PMID- 29661153
TI - Improved analgesia and reduced post-operative nausea and vomiting after
implementation of an enhanced recovery after surgery (ERAS) pathway for total
mastectomy.
AB - BACKGROUND: Enhanced Recovery After Surgery (ERAS) pathways have been shown in
multiple surgical disciplines to improve outcomes, including reduced opioid
consumption, length of stay, and post-operative nausea and vomiting (PONV).
However, very few studies describe the application of ERAS to breast surgery and
even fewer describe ERAS for outpatient surgery. We describe the implementation
and efficacy of an Enhanced Recovery After Surgery (ERAS) pathway for total skin
sparing mastectomy with immediate reconstruction in an outpatient setting.
METHODS: We implemented an evidence-based, multimodal ERAS pathway for all
patients undergoing total skin-sparing mastectomy surgery with immediate
reconstruction at a single 23-h stay surgery center. Highlights of the ERAS
pathway included: preoperative acetaminophen, gabapentin, and scopolamine;
regional anesthesia for the breast (Pectoral blocks type 1 and 2 or paravertebral
block); and intraoperative dexamethasone and ondansetron. This retrospective
study included all American Society of Anesthesiology (ASA) Class 1-3 patients
undergoing total skin-sparing mastectomy surgery with immediate reconstruction
between July 2013 and April 2016. We compared 96 patients who were in the ERAS
pathway (ERAS group) to a retrospective cohort of 276 patients (Pre group). The
primary outcome was total perioperative opioid consumption. Secondary outcomes
were highest postoperative pain scores, incidence of PONV, and length of stay.
RESULTS: Patients in the ERAS group had significantly lower total perioperative
opioid consumption compared to the Pre group (mean (SD): 111.4 mg (46.0) vs.
163.8 mg (73.2) oral morphine equivalents, p < 0.001). Patients in the ERAS group
also had a lower incidence of PONV (28% vs. 50%, p < 0.001). Patients in the ERAS
group reported less pain in the recovery room, with a two-point decrease in
highest pain score (median [interquartile range (IQR)]: 4 [2,6] in ERAS group vs.
6 [4,7] in Pre group, p < 0.001). There was no clinically significant difference
in length of stay (median [IQR]: 1144 min [992, 1259] in ERAS group vs. 1188
[1058, 1344] in Pre group, p = 0.006). CONCLUSION: Implementation of an ERAS
pathway for total skin-sparing mastectomy with reconstruction that incorporates
regional anesthesia is feasible in a 23-h-stay hospital. Patients in the ERAS
pathway had improved post-operative analgesia and reduced post-operative nausea
and vomiting.
PMID- 29661154
TI - Cross-sectional and longitudinal factors influencing physical activity of 65 to
75-year-olds: a pan European cohort study based on the survey of health, ageing
and retirement in Europe (SHARE).
AB - BACKGROUND: The promotion of physical activity (PA) plays a major role for
healthy ageing even in older age. There is a lack of cross-sectional and
longitudinal studies explicitly dealing with barriers and drivers to PA in older
adults. Therefore the aims of this study are a) to determine the prevalence of
insufficient physical activity (IPA) in 65 to 75-year-olds in Europe and to
identify factors associated with IPA in cross-section and b) to identify
longitudinal risk factors for IPA in prior active persons. METHODS: This study is
using data of the Survey of Health, Ageing and Retirement in Europe (SHARE).
SHARE is a cross-national panel database including individual data of the non
institutionalised population aged 50+ from 27 European countries. For the present
paper, we included a cohort that participated in all first four waves of SHARE
(2004-2011) aged 65-to-75-years at wave four (male n = 1761, female n = 2085)
from 10 European countries. To identify cross-sectional and longitudinal
associations, we calculated prevalence odds ratios and hazard ratios with 95%
confidence intervals. RESULTS: The prevalence of IPA in 65-75-year-olds varied
widely between countries, ranging from 55.4% to 83.3% in women and from 46.6% to
73.7% in men. IPA was associated with several intrapersonal factors and strength
of association was similar for men and women for almost all investigated factors.
Statistically significant associated with IPA were socioeconomic factors as low
educational level (own and parental) and financial difficulties (male: POR: 1.60:
95%-CI: 1.26-2.03; female: POR: 1.58; 95%-CI: 1.26-1.97) and health-related
factors as e.g. number of chronic diseases (male: POR: 1.34: 95%-CI: 1.23-1.45;
female: POR: 1.31; 95%-CI: 1.21-1.42). Interpersonal only the size of social
network was associated with IPA (male and female: POR: 0.88, 95%-CI: 0.81-0.95).
Longitudinally in a fully adjusted model, only grip strength (HR: 0.99; CI-95%:
0.98-0.99) and BMI (HR: 1.02; CI-95%: 1.00-1.04) were statistically significant
risk factors for IPA. CONCLUSIONS: PA promotion programs for older adults should
incorporate the heterogeneity of health status and physical condition that can
typically occur in this age group.
PMID- 29661155
TI - Association between serum levels of caspase-cleaved cytokeratin-18 and early
mortality in patients with severe spontaneous intracerebral hemorrhage.
AB - BACKGROUND: Apoptotic changes after cerebral hemorrhage in brain samples of
humans have been found. Caspase-cleaved cytokeratin (CCCK)-18 could be detected
in the bloodstream during apoptosis. Higher circulating CCCK-18 levels have been
associated with 6-month mortality in patients with basal ganglia hemorrhage. The
aim of our study was to determine whether there is an association between serum
CCCK-18 levels and early mortality of spontaneous intracerebral hemorrhage (SIH)
patients. We performed an observational, prospective and multicentre study. There
were included patients with severe SIH defined as Glasgow Coma Scale (GCS) lower
than 9. We determined serum CCCK-18 levels at the severe SIH diagnosis moment.
RESULTS: We found that non-surviving SIH patients (n = 46) showed lower GCS, and
higher serum CCCK-18 levels and APACHE-II score than survivor ones (n = 54). In
ROC analysis was found that the area under the curve of serum CCCK-18 levels for
30-day mortality prediction was 90% (95% CI 82-95%; p < 0.001). In the multiple
logistic regression analysis, we found an association between serum CCCK-18
levels and 30-day mortality (OR 1.034; 95% CI 1.013-1.055; p = 0.002).
CONCLUSIONS: The novel finding of our study was that there is an association
between high serum CCCK-18 levels and 30-day mortality in severe SIH patients.
PMID- 29661156
TI - SYNERGIC TRIAL (SYNchronizing Exercises, Remedies in Gait and Cognition) a multi
Centre randomized controlled double blind trial to improve gait and cognition in
mild cognitive impairment.
AB - BACKGROUND: Physical exercise, cognitive training, and vitamin D are low cost
interventions that have the potential to enhance cognitive function and mobility
in older adults, especially in pre-dementia states such as Mild Cognitive
Impairment (MCI). Aerobic and progressive resistance exercises have benefits to
cognitive performance, though evidence is somewhat inconsistent. We postulate
that combined aerobic exercise (AE) and progressive resistance training (RT)
(combined exercise) will have a better effect on cognition than a balance and
toning control (BAT) intervention in older adults with MCI. We also expect that
adding cognitive training and vitamin D supplementation to the combined exercise,
as a multimodal intervention, will have synergistic efficacy. METHODS: The
SYNERGIC trial (SYNchronizing Exercises, Remedies in GaIt and Cognition) is a
multi-site, double-blinded, five-arm, controlled trial that assesses the
potential synergic effect of combined AE and RT on cognition and mobility, with
and without cognitive training and vitamin D supplementation in older adults with
MCI. Two-hundred participants with MCI aged 60 to 85 years old will be randomized
to one of five arms, four of which include combined exercise plus combinations of
dual-task cognitive training (real vs. sham) and vitamin D supplementation (3 *
10,000 IU/wk. vs. placebo) in a quasi-factorial design, and one arm which
receives all control interventions. The primary outcome measure is the ADAS-Cog
(13 and plus modalities) measured at baseline and at 6 months of follow-up.
Secondary outcomes include neuroimaging, neuro-cognitive performance, gait and
mobility performance, and serum biomarkers of inflammation (C reactive protein
and interleukin 6), neuroplasticity (brain-derived neurotropic factor),
endothelial markers (vascular endothelial growth factor 1), and vitamin D serum
levels. DISCUSSION: The SYNERGIC Trial will establish the efficacy and
feasibility of a multimodal intervention to improve cognitive performance and
mobility outcomes in MCI. These interventions may contribute to new approaches to
stabilize and reverse cognitive-mobility decline in older individuals with MCI.
TRIAL REGISTRATION: Identifier: NCT02808676.
https://www.clinicaltrials.gov/ct2/show/NCT02808676 .
PMID- 29661157
TI - Sub-inhibitory concentrations of oxacillin modify the expression of agr locus in
Staphylococcus aureus clinical strains belonging to different clonal complexes.
AB - BACKGROUND: The ability of Staphylococcus aureus to invade tissues and cause an
infectious disease is the result of a multi-factorial process supported by the
huge number of virulence factors inherent to this microorganism tightly regulated
by the accessory gene regulator (agr). During antimicrobial therapy bacteria may
be exposed to sub-inhibitory concentrations (subMICs) of antibiotics that may
trigger transcriptional changes that may have an impact on the pathogenesis of
infection. The objective of this study was to investigate the effect of oxacillin
sub-MICs on agr system expression as the key component in the regulation of
virulence in methicillin-susceptible (MSSA) and -resistant S. aureus (MRSA)
strains. Furthermore, we studied the genetic basis of the agr locus and their
potential association with the expression levels. METHODS: We have examined the
expression of RNAIII and agrA mRNA as biomarkers for agr expression in the
presence and absence of oxacillin subMICs in 10 MSSA and 4 MRSA clinical strains
belonging to 5 clonal complexes (CC45-agrI, CC8-agrI, CC5-agrII, CC15-agrII and
CC30-agrIII) causing endovascular complications. The DNA sequences of agr locus
were obtained by whole genome sequencing. RESULTS: Our results revealed that
exposure to subMICs of oxacillin had an impact on agr locus expression modifying
the relative levels of expression with increases in 11 strains and with decreases
in 3 strains. Thereby, the exposure to subMICs of oxacillin resulted in higher
levels of expression of agr in CC15 and CC45 and lower levels in CC30. We also
observed the presence of mutations in agrC and agrA in 13/14 strains with similar
mutation profiles among strains within individual CCs except for strains of CC5.
Although, agr expression levels differed among strains within CCs, the presence
of these mutations was associated with differences in agr expression levels in
most cases. CONCLUSIONS: Changes in agr expression induced by exposure to
oxacillin subMICs should be considered because they could lead to changes in the
virulence modulation and have an adverse effect on the course of infection,
especially in certain clonal complexes.
PMID- 29661158
TI - Effect of an intervention targeting inappropriate continued empirical parenteral
vancomycin use: a quasi-experimental study in a region of high MRSA prevalence.
AB - BACKGROUND: Despite vancomycin use is a major risk factor for the emergence of
vancomycin resistance, it is frequently inappropriately prescribed, especially as
empirical treatment. We evaluated the effect of an antimicrobial stewardship
intervention targeting for inappropriate continued empirical vancomycin use.
METHODS: This was a quasi-experimental study comparing vancomycin use in a 6
month pre-intervention and 6-month intervention period. If empirical vancomycin
was continued for more than 96 h without documentation of beta-lactam-resistant
gram-positive microorganisms, it was considered inappropriate continued empirical
vancomycin use. The intervention consisted of the monitoring of appropriateness
by a pharmacist and direct discussion with the prescribing physicians by
infectious disease specialists when empirical vancomycin was continued
inappropriately. An interrupted time series analysis was used to compare
vancomycin use before and during the intervention. RESULTS: Following
implementation of the intervention, overall vancomycin consumption decreased by
14.6%, from 37.6 defined daily doses (DDDs)/1000 patient-days in the pre
intervention period to 32.1 DDDs/1000 patient-days in the intervention period (P
< 0.001). The inappropriate consumption of vancomycin also declined from 8.0
DDDs/1000 patient-days to 5.8 DDDs/1000 patient-days (P = 0.009). CONCLUSION:
Interventions such as direct communication with prescribing physicians and
infectious disease clinicians can help reduce the inappropriate continued use of
vancomycin.
PMID- 29661159
TI - Active DNA end processing in micronuclei of ovarian cancer cells.
AB - BACKGROUND: Ovarian cancer is one of the most deadly gynecological malignancies
and inclined to recurrence and drug resistance. Previous studies showed that the
tumorigenesis of ovarian cancers and their major histotypes are associated with
genomic instability caused by defined sets of pathogenic mutations. In contrast,
the mechanism that influences the development of drug resistance and disease
recurrence is not well elucidated. Solid tumors are prone to chromosomal
instability (CIN) and micronuclei formation (MN). Although MN is traditionally
regarded as the outcome of genomic instability, recent investigation on its
origin and final consequences reveal that the abnormal DNA metabolism in MN is a
driver force for some types of catastrophic genomic rearrangements, accelerating
dramatic genetic variation of cancer cells. METHODS: We used Indirect
Immunofluorescent staining to visualize micronuclei and activation of DNA repair
factors in ovarian cancer cell lines and biopsies. RESULTS: We show that ovarian
cancer cells are disposed to form micronuclei upon genotoxic insults. Double
strand DNA breaks (DSBs)-triggered insurgence of micronuclei is associated with
unrepaired chromosomes passing through mitosis. According to their morphology and
DNA staining, micronuclei compartments are divided into early and late stages
that can be further characterized by differential staining of gammaH2AX and
53BP1. We also show that MN compartments do not halt controlled DNA metabolism as
sequestered nuclear repair factors are enriched at DNA breaks in MN compartments
and efficiently process DNA ends to generate single-stranded DNA (ssDNA)
structures. Interestingly, unknown factors are required for DNA end processing in
MN in addition to the nuclear resection machinery. Finally, these hallmarks of
micronuclei evolution depicted in cell culture were recapitulated in different
stages of ovarian cancer biopsies. CONCLUSIONS: In aggregate, our findings
demonstrate that ovarian cancer cells are inclined to form micronuclei that
undergo robust DNA metabolism and generate ssDNA structures, potentially
destabilizing genomic structures and triggering genetic variation.
PMID- 29661160
TI - Characterizing the experience of agitation in patients with bipolar disorder and
schizophrenia.
AB - BACKGROUND: Agitation is a common manifestation of bipolar disorder and
schizophrenia which includes symptoms ranging from inner tension and unease to
violence and aggression. Much of the existing literature has focused on agitation
in the acute setting, with the patient experience poorly defined. Thus, the aim
of this study was to characterize agitation and its management from a patient
perspective, with the focus on those who reside in the community. METHODS:
Surveys were completed across Germany, Spain and the UK by 583 community dwelling
patients with schizophrenia or bipolar disorder who experienced episodes of
agitation. Patients were recruited via either their physician or through patient
support groups. The survey captured information on demographics, disease
characteristics, frequency of agitation episodes and different pre-defined
severity levels ranging from mild to severe, symptoms experienced during an
episode, awareness of agitation and coping strategies employed by the patient.
Statistics were descriptive in nature. RESULTS: The most commonly reported
symptoms during an episode of agitation were feeling uneasy (n = 373, 64%),
restless (n = 368, 63%) or nervous (n = 368, 63%). Patients experienced an
average of 22.4 (SD 57.2) mild, 15.4 (SD 61.2) moderate, 6.8 (SD 63.3) moderate
intense and 2.9 (SD 24.4) severe episodes within the last 12 months; on average
2.7 (SD 6.8) required hospital attendance. Half of patients (n = 313) had
attended hospital due to agitation. In total, 71% of patients (n = 412) were
aware they were becoming agitated either always or sometimes and 61% of patients
(n = 347) were aware of agitation triggers either always or sometimes. The
majority of patients reported being able to sometimes control their agitation
(56%, n = 329) but 16% (n = 94) stated that there is typically nothing they can
do. To cope with episodes 55% (n = 125) of schizophrenia patients and 66% (n =
234) of bipolar disorder patients reported taking prescribed medication.
CONCLUSION: Community based patients with schizophrenia and bipolar disorder
reported frequently experiencing agitation episodes which they defined most
commonly as feeling uneasy, restless or nervous. A range of coping strategies
were reported but they were not always successful, highlighting an area of unmet
need in this population.
PMID- 29661161
TI - Absolute income is a better predictor of coverage by skilled birth attendance
than relative wealth quintiles in a multicountry analysis: comparison of 100 low-
and middle-income countries.
AB - BACKGROUND: Having high-quality data available by 2020, disaggregated by income,
is one of the Sustainable Development Goals (SGD). We explored how well coverage
with skilled birth attendance (SBA) is predicted by asset-based wealth quintiles
and by absolute income. METHODS: We used data from 293 national surveys conducted
in 100 low and middle-income countries (LMICs) from 1991 to 2014. Data on
household income were computed using national income levels and income inequality
data available from the World Bank and the Standardized World Income Inequality
Database. Multivariate regression was used to explore the predictive capacity of
absolute income compared to the traditional measure of quintiles of wealth index.
RESULTS: The mean SBA coverage was 68.9% (SD: 24.2), compared to 64.7% (SD: 26.6)
for institutional delivery coverage. Median daily family income in the same
period was US$ 6.4 (IQR: 3.5-14.0). In cross-country analyses, log absolute
income predicts 51.5% of the variability in SBA coverage compared to 22.0%
predicted by the wealth index. For within-country analysis, use of absolute
income improved the understanding of the gap in SBA coverage among the richest
and poorest families. Information on income allowed identification of countries -
such as Burkina Faso, Cambodia, Egypt, Nepal and Rwanda - which were well above
what would be expected solely from changes in income. CONCLUSION: Absolute income
is a better predictor of SBA and institutional delivery coverage than the
relative measure of quintiles of wealth index and may help identify countries
where increased coverage is likely due to interventions other than increased
income.
PMID- 29661162
TI - The cold pressor test in interictal migraine patients - different parasympathetic
pupillary response indicates dysbalance of the cranial autonomic nervous system.
AB - BACKGROUND: Data on autonomic nervous system (ANS) activations in migraine
patients are quite controversial, with previous studies reporting over- and
underactivation of the sympathetic as well as parasympathetic nervous system. In
the present study, we explicitly aimed to assess the cranial ANS in migraine
patients compared to healthy controls by applying the cold pressor test to a
cohort of migraine patients in the interictal phase and measuring the pupillary
response. METHODS: In this prospective observational study, a strong sympathetic
stimulus was applied to 20 patients with episodic migraine in the interictal
phase and 20 matched controls without migraine, whereby each participant dipped
the left hand into ice-cold (4 degrees C) water for a maximum of 5 min (cold
pressor test). At baseline, 2, and 5 min during the cold pressor test, infrared
monocular pupillometry was applied to quantify pupil diameter and light reflex
parameters. Simultaneously, heart rate and blood pressure were measured by the
external brachial RR-method at distinct time intervals to look for at least
clinically relevant changes of the cardiovascular ANS. RESULTS: There were no
significant differences between the migraine patients and controls at baseline
and after 2 min of sympathetic stimulation in all the measured pupillary and
cardio-vascular parameters. However, at 5 min, pupillary light reflex (PLR)
constriction velocity was significantly higher in migraineurs than in controls
(5.59 +/- 0.73 mm/s vs. 5.16 +/- 0.53 mm/s; unpaired t-test p < 0.05), while both
cardiovascular parameters and PLR dilatation velocity were similar in both groups
at this time point. CONCLUSIONS: Our findings of an increased PLR constriction
velocity after sustained sympathetic stimulation in interictal migraine patients
suggest an exaggerated parasympathetic response of the cranial ANS. This
indicates that brainstem parasympathetic dysregulation might play a significant
role in migraine pathophysiology. More dedicated examination of the ANS in
migraine patients might be of value for a deeper understanding of its
pathophysiology.
PMID- 29661163
TI - Characteristics of keratoconic patients at two main eye centres in Palestine: a
cross-sectional study.
AB - BACKGROUND: Keratoconus (KC) is a multifactorial, degenerative ectatic condition
of the cornea. It usually manifests during late adolescence or the early
twenties. A painless disease, KC may end with severe visual loss. The prevalence
of KC in middle-eastern countries is much higher than in other regions of the
world. This may be due to genetic and environmental risk factors and
consanguinity. The goal of this study is to explore the demographic profile of
Palestinian keratoconic patients. METHODS: A retrospective study was conducted in
two ophthalmology centres (Tertiary Ophthalmic Centre of An-Najah National
University Hospital and An-Noor Centre at the Specialized Arab Hospital). All
medical charts of keratoconic patients attending both centres over the period
from 2009 to 2016 were reviewed. These patients were diagnosed by
ophthalmologists depending on history, examination and Pentacam. Severity was
determined using the k median index from the Pentacam map. Data analysis was
carried out using SPSS Version 22. RESULTS: The medical files of 936 keratoconic
eyes of 505 keratoconic patients were reviewed. Their mean age at the time of
diagnosis was 23.3 ranging from 8 to 62 years. Approximately 70.1% of them
presented after the age of 20 years, and younger age groups were more likely to
develop a severe disease stage than older ones (P = 0.001, r = - 0.108). There
was a nearly equal distribution of patients between the two sexes (49.5% male,
50.5% female). On initial evaluation, the best-corrected visual acuity (BCVA) was
recorded as >=6/12 in most affected eyes (71.5%). Regarding severity, 62%
presented in a mild form, while 9.9% were at a severe stage. About 88.2%
presented with bilateralism. CONCLUSIONS: Most of the patients in their twenties
presented with a mild bilateral form of the disease. This result is compatible
with published international reports. It is recommended that the results of this
study be considered when establishing a screening program in Palestine.
Subsequently, patients will be identified at an appropriate time where action can
be taken before disease progression take place.
PMID- 29661164
TI - Helicase-like transcription factor expression is associated with a poor prognosis
in Non-Small-Cell Lung Cancer (NSCLC).
AB - BACKGROUND: The relapse rate in early stage non-small cell lung cancer (NSCLC)
after surgical resection is high. Prognostic biomarkers may help identify
patients who may benefit from additional therapy. The Helicase-like Transcription
Factor (HLTF) is a tumor suppressor, altered in cancer either by gene
hypermethylation or mRNA alternative splicing. This study assessed the expression
and the clinical relevance of wild-type (WT) and variant forms of HLTF RNAs in
NSCLC. METHODS: We analyzed online databases (TCGA, COSMIC) for HLTF alterations
in NSCLC and assessed WT and spliced HLTF mRNAs expression by RT-ddPCR in 39 lung
cancer cell lines and 171 patients with resected stage I-II NSCLC. RESULTS: In
silico analyses identified HLTF gene alterations more frequently in lung squamous
cell carcinoma than in adenocarcinoma. In cell lines and in patients, WT and I21R
HLTF mRNAs were detected, but the latter at lower level. The subgroup of 25
patients presenting a combined low WT HLTF expression and a high I21R HLTF
expression had a significantly worse disease-free survival than the other 146
patients in univariate (HR 1.96, CI 1.17-3.30; p = 0.011) and multivariate
analyses (HR 1.98, CI 1.15-3.40; p = 0.014). CONCLUSION: A low WT HLTF expression
with a high I21R HLTF expression is associated with a poor DFS.
PMID- 29661165
TI - Midwives' perceptions on using a fetoscope and Doppler for fetal heart rate
assessments during labor: a qualitative study in rural Tanzania.
AB - BACKGROUND: The Doppler is thought to be more comfortable and effective compared
to the fetoscope for assessing the fetal heart rate (FHR) during labor. However,
in a rural Tanzanian hospital, midwives who had easy access to both devices
mostly used fetoscope. This study explored midwives' perception of factors
influencing their preference for using either a Pinard fetoscope or a FreePlay
wind-up Doppler for intermittent FHR monitoring. METHODS: Midwives who had worked
for at least 6 months in the labor ward were recruited. Focus group discussion
(FGD) was used to collect data. Five FGDs were conducted between December 2015
and February 2016. Qualitative content analysis was employed using NVivo 11.0.
RESULTS: Three main themes emerged as factors perceived by midwives as
influencing their preference; 1) Sufficient training and experience with using a
device; Midwives had been using fetoscopes since their midwifery training, and
they had vast experience using it. The Doppler was recently introduced in the
maternity ward, and midwives had insufficient training in how to use it. 2)
Ability of the device to produce reliable measurements; Using a fetoscope, one
must listen for the heartbeat, count using a watch, and calculate, the Doppler
provides both a display and sound of the FHR. Fetoscope measurements are prone to
human errors, and Doppler measurements are prone to instrumental errors. 3)
Convenience of use and comfort of a device; Fetoscopes do not need charging, and
while it is possible to "personalize/hide" the measurements, and may be painful
for mothers. Dopplers need charging and do not cause pain, but provide limited
privacy. CONCLUSION: Midwives' preferences of FHR monitoring devices are
influenced by the level of device training, experience with using a device,
reliable measurements, and convenience and comfort during use. Fetoscopes and
Dopplers should be equally available during midwifery training and in clinical
practice.
PMID- 29661166
TI - High OX40 expression in recurrent ovarian carcinoma is indicative for response to
repeated chemotherapy.
AB - BACKGROUND: Ovarian carcinoma (OC) is the fifth most common female cancer and
mostly diagnosed at an advanced stage. Surgical debulking is usually followed by
adjuvant platinum-based chemotherapy. Only few biomarkers are known to be related
to chemosensitivity. OX40 is a TNF receptor member and expressed on activated
CD4+ and CD8+ T cells. It is known that OX40 signaling promotes survival and
responds to various immune cells of the innate and adaptive immune system.
Therefore we investigated the indicative value of OX40 expression for recurrence
and survival in OC. METHODS: A tissue microarray of biopsies of mostly high-grade
primary serous OC and matched recurrences of 47 patients was stained with OX40.
Recurrence within 6 months of the completion of platinum-based chemotherapy was
defined as chemoresistance. RESULTS: Chemosensitivity correlated significantly
with high OX40 positive immune cell density in primary cancer biopsies (p =
0.027). Furthermore patients with a higher OX40 expression in recurrent cancer
biopsies showed a better outcome in recurrence free survival (RFS) (p = 0.017)
and high OX40 expression was associated with chemosensitivity (p = 0.008). OX40
positive TICI in recurrent carcinomas significantly correlated with IL-17
positive tumor infiltrating immune cells in primary carcinomas (r s = 0.34; p =
0.023). Univariate cox regression analysis revealed a significant longer RFS and
higher numbers of chemotherapy cycles for high OX40 tumor cell expression in
recurrent cancer biopsies (HR 0.39, 95%CI 0.16-0.94, p = 0.036 and 1.28, 95%CI
1.05-1.55; p = 0.013). CONCLUSION: High OX40 expression in OC is correlated with
chemosensitivity and improved RFS in OC. Patients might therefore benefit from a
second line therapy.
PMID- 29661167
TI - Creating an Optimality Index - Netherlands: a validation study.
AB - BACKGROUND: At present, the maternity care system in the Netherlands is being
reorganized into an integrated model of care, shifting the focus of midwives to
include increasing numbers of births in hospital settings and clients with medium
risk profiles. In light of these changes, it is useful for midwives to have a
tool which may help them in reflecting upon care practices that promote
physiological childbirth practices. The Optimality Index-US is an evidence based
tool, designed to measure optimal perinatal care processes and outcomes. It has
been validated for use in the United States (OI-US), United Kingdom (OI-UK) and
Turkey (OI-TR). The objective of this study was to adapt the OI-US for the Dutch
maternity care setting (OI-NL). METHODS: Translation and back translation were
applied to create the OI-NL. A panel of maternity care experts (n = 10) provided
input for face validation items in the OI-NL. Assessment of inter-rater
reliability and ease of use was also conducted. Following this, the OI-NL was
used prospectively to collect data on 266 women who commenced intrapartum care
under the responsibility of a midwife. Twice groups were compared, based on
parity and on care-setting at birth. Mean scores between these groups, corrected
for perinatal background factors were assessed for discriminant validity.
RESULTS: Face validity was established for OI-NL on the basis of expert input.
Discriminant validity was confirmed by conducting multiple regressions analyses
for parity (beta = 6.21, P = 0.00) and for care-setting (beta = 12.1, p = 0.00).
Inter-rater reliability was 98%, with one item (Apgar score) sensitive to scoring
differences. CONCLUSION: OI-NL is a valid and reliable tool for use in the Dutch
maternity care setting. In addition to its value for assessing evidence-based
maternity care processes and outcomes, there is potential for use for learning
and reflection. Against the backdrop of a changing maternity care system, and due
to the specificity of its items OI-NL may be of value as a tool for detecting
subtle changes indicative of escalating medicalization of childbirth in the
Netherlands.
PMID- 29661168
TI - Working to improve the management of sarcoma patients across Europe: a policy
checklist.
AB - BACKGROUND: The Sarcoma Policy Checklist was created by a multidisciplinary
expert group to provide policymakers with priority areas to improve care for
sarcoma patients. MAIN BODY: This paper draws on this research, by looking more
closely at how France, Germany, Italy, Spain, Sweden and the United Kingdom are
addressing each of these priority areas. It aims to highlight key gaps in
research, policy and practice, as well as ongoing initiatives that may impact the
future care of sarcoma patients in different European countries. A pragmatic
review of the published and web-based literature was undertaken. Telephone
interviews were conducted in each country with clinical and patient experts to
substantiate findings. Research findings were discussed within the expert group
and developed into five core policy recommendations. The five identified priority
areas were: the development of designated and accredited centres of reference;
more professional training; multidisciplinary care; greater incentives for
research and innovation; and more rapid access to effective treatments. Most of
the countries studied have ongoing initiatives addressing many of these
priorities; however, many are in early stages of development, or require
additional funding and resources. CONCLUSION: Gaps in access to quality care are
particularly concerning in many of Europe's lower-resourced countries. Equitable
access to information, clinical trials, innovative treatments and quality
specialist care should be available to all sarcoma patients. Achieving this
across Europe will require close collaboration between all stakeholders at both
the national and European level.
PMID- 29661170
TI - "People look and ask lots of questions": caregivers' perceptions of healthcare
provision and support for children born with cleft lip and palate.
AB - BACKGROUND: Clefting of the lip and/or palate (CL/P) is amongst the five most
common birth defects reported in South Africa. The emotional impact on parents at
the birth of their new-born with CL/P could affect parent-child relationships. In
light of insufficient scholarly attention parental experiences and perceptions,
this study reports on caregivers' perceptions of health service provision and
support for children born with cleft lip and palate in South Africa. METHODS: The
study setting consisted of 11 academic hospital centres situated in six of South
Africa's nine provinces. At each of the academic centres cleft clinic, five to
ten parents or caregivers were selected purposively. Participants were
interviewed, using a semi-structured interview schedule that elicited socio
demographic information, explored the family experiences of having a child with
CL/P, and their perceptions of care provision and support services available. The
interviews were analysed using thematic content analysis. RESULTS: Seventy-nine
participants were interviewed. Their mean age was 33.3 years (range 17-68 years).
The majority of the parents were black African (72%), unemployed (72%), single
(67%) and with only primary school education (58%). The majority of the children
were male, with a mean age of 3.8 (SD = +/-4.3) years. Five broad themes emerged
from the interviews: emotional experiences following the birth of a child with
cleft lip and palate; reactions from family, friends or the public; the burden of
care provision; health system responsiveness; and social support services.
Caregivers reported feelings of shock, anxiety, and sadness, exacerbated by the
burden of care provision, health system deficiencies, lack of public awareness
and insufficient social support services. CONCLUSIONS: The findings have
implications for the integrated management of children with cleft lip and/or
palate, including information to parents, the education and training of
healthcare providers, raising public awareness of birth defects, and social
support.
PMID- 29661169
TI - RNA-Seq reveals the existence of a CDKN1C-E2F1-TP53 axis that is altered in human
T-cell lymphoblastic lymphomas.
AB - BACKGROUND: Precursor T-cell lymphoblastic lymphomas (T-LBL) are rare aggressive
hematological malignancies that mainly develop in children. As in other cancers,
the loss of cell cycle control plays a prominent role in the pathogenesis in
these malignancies that is primarily attributed to loss of CDKN2A (encoding
protein p16INK4A). However, the impact of the deregulation of other genes such as
CDKN1C, E2F1, and TP53 remains to be clarified. Interestingly, experiments in
mouse models have proven that conditional T-cell specific deletion of Cdkn1c gene
may induce a differentiation block at the DN3 to DN4 transition, and that the
loss of this gene in the absence of Tp53 led to aggressive thymic lymphomas.
RESULTS: In this manuscript, we demonstrated that the simultaneous deregulation
of CDKN1C, E2F1, and TP53 genes by epigenetic mechanisms and/or the deregulation
of specific microRNAs, together with additional impairing of TP53 function by the
expression of dominant-negative isoforms are common features in primary human T
LBLs. CONCLUSIONS: Previous experimental work in mice revealed that T-cell
specific deletion of Cdkn1c accelerates lymphomagenesis in the absence of Tp53.
If, as expected, the consequences of the deregulation of the CDKN1C-E2F1-TP53
axis were the same as those experimentally demonstrated in mouse models, the
disruption of this axis might be useful to predict tumor aggressiveness, and to
provide the basis towards the development of potential therapeutic strategiesin
human T-LBL.
PMID- 29661171
TI - A novel TEX11 mutation induces azoospermia: a case report of infertile brothers
and literature review.
AB - BACKGROUND: Testis-expressed gene 11 (TEX11) is an X-linked gene and essential
for meiotic recombination and chromosomal synapsis. TEX11 deficiency causes
meiotic arrest and male infertility, and many TEX11 mutations have been found in
azoospermic and infertile men. CASE PRESENTATION: This study reported one novel
TEX11 mutation (2653G -> T, in exon 29, GenBank accession number, NM_031276) in
two brothers with azoospermia. This mutation was firstly screened out by whole
exome sequencing (WES) and further verified by amplifying and sequencing the
specific exon 29. Surprisingly, the same exonic missense mutation (W856C) was
observed in two brothers but not in their mother. Histological analysis of
testicular biopsy from both brothers revealed meiotic arrest and no post-meiotic
round spermatids and mature spermatozoa were observed in the seminiferous
tubules. TEX11 expression was observed strongly in spermatogonia and weakly in
spermatocytes, but not in Sertoli cells and interstitial cells. CONCLUSIONS: We
identified one novel TEX11 mutation in two brothers and summarized the literature
regarding TEX11 mutations and male infertility. This study and previous
literature indicate that TEX11 mutations are closely associated with male
infertility, especially azoospermia, although auxiliary clinical analyses are
needed to figure out the causes of male infertility.
PMID- 29661173
TI - Predictors of elevated capillary blood glucose in overweight railway French
employees: a cross-sectional analysis.
AB - BACKGROUND: Hyperglycaemia is a risk factor of cardiovascular disease and a high
risk state for progression to type 2 diabetes. Moreover, overweight, defined as a
body mass index (BMI) between 25 and 29.9 kg/m2, increases the risk of diabetes.
Information about the feasibility of measuring, during routine occupational
health examinations, predictors of elevated capillary blood glucose in overweight
individuals is scarce. This study aims to identify factors that are associated
with elevated capillary blood glucose and can be routinely measured in French
overweight employees to develop targeted preventive strategies in the workplace.
METHODS: Cross-sectional study based on data collected during a workplace health
promotion programme of the French National Railways Company (SNCF) from January
2011 to March 2015. A self-administered questionnaire was completed by overweight
volunteers during the routine occupational health examination. Data collected
included health, anthropometric, sociodemographic, occupational, and lifestyle
characteristics. Elevated capillary blood glucose was defined as capillary blood
glucose equal to or higher than 7 mmol/L. Multivariate logistic regression
analysis was used to examine factors associated with elevated capillary blood
glucose and results were described with odds ratios (OR) and 95% confidence
intervals (CI). RESULTS: The analysis concerned 2248 overweight employees (mean
age: 43 years) with complete data (total population: 7724). The prevalence of
elevated capillary blood glucose was 20.0%. In the multivariate analysis,
significant predictors of elevated capillary blood glucose were: male sex (OR
1.66, 95% CI 1.21-2.28), age >= 50 years (OR 1.61, 95% CI 1.01-2.55), high blood
pressure (OR 1.35, 95% CI 1.07-1.69), and daily intake of sugary food (OR 1.53,
95% CI 1.17-2.00). No association with occupational characteristics (work
schedule, job seniority, professional grade, and job sector) was found possibly
due to lack of statistical power. CONCLUSIONS: Our findings provide information
for setting up specific diabetes prevention strategies in the workplace.
Overweight men, aged 50 and older, with high blood pressure and daily sugary food
intake should be considered for capillary blood glucose measurements during their
occupational medical surveillance. Hypertension screening and management as well
as health policy measures to target sugary food consumption could be included in
workplace prevention strategies.
PMID- 29661172
TI - MTH1 deficiency selectively increases non-cytotoxic oxidative DNA damage in lung
cancer cells: more bad news than good?
AB - BACKGROUND: Targeted therapies are based on exploiting cancer-cell-specific
genetic features or phenotypic traits to selectively kill cancer cells while
leaving normal cells unaffected. Oxidative stress is a cancer hallmark phenotype.
Given that free nucleotide pools are particularly vulnerable to oxidation, the
nucleotide pool sanitising enzyme, MTH1, is potentially conditionally essential
in cancer cells. However, findings from previous MTH1 studies have been
contradictory, meaning the relevance of MTH1 in cancer is still to be determined.
Here we ascertained the role of MTH1 specifically in lung cancer cell
maintenance, and the potential of MTH1 inhibition as a targeted therapy strategy
to improve lung cancer treatments. METHODS: Using siRNA-mediated knockdown or
small-molecule inhibition, we tested the genotoxic and cytotoxic effects of MTH1
deficiency on H23 (p53-mutated), H522 (p53-mutated) and A549 (wildtype p53) non
small cell lung cancer cell lines relative to normal MRC-5 lung fibroblasts. We
also assessed if MTH1 inhibition augments current therapies. RESULTS: MTH1
knockdown increased levels of oxidatively damaged DNA and DNA damage signaling
alterations in all lung cancer cell lines but not normal fibroblasts, despite no
detectable differences in reactive oxygen species levels between any cell lines.
Furthermore, MTH1 knockdown reduced H23 cell proliferation. However,
unexpectedly, it did not induce apoptosis in any cell line or enhance the effects
of gemcitabine, cisplatin or radiation in combination treatments. Contrastingly,
TH287 and TH588 MTH1 inhibitors induced apoptosis in H23 and H522 cells, but only
increased oxidative DNA damage levels in H23, indicating that they kill cells
independently of DNA oxidation and seemingly via MTH1-distinct mechanisms.
CONCLUSIONS: MTH1 has a NSCLC-specific p53-independent role for suppressing DNA
oxidation and genomic instability, though surprisingly the basis of this may not
be reactive-oxygen-species-associated oxidative stress. Despite this, overall our
cell viability data indicates that targeting MTH1 will likely not be an across
the-board effective NSCLC therapeutic strategy; rather it induces non-cytotoxic
DNA damage that could promote cancer heterogeneity and evolution.
PMID- 29661174
TI - Diabetes mellitus and the risk of gastrointestinal cancer in women compared with
men: a meta-analysis of cohort studies.
AB - BACKGROUND: The increasing epidemic proportions of diabetes mellitus (DM) are a
major cause of premature illness and death. However, whether DM confers the same
excess risk of gastrointestinal cancer for women as it does for men remains
controversial. The purpose of this study was to estimate the relation between DM
and gastrointestinal cancer in women compared with men after accounting for other
major risk factors based on cohort studies. METHODS: We performed a meta-analysis
of cohort studies published through May 2017 from PubMed, Embase, and the
Cochrane Library. Studies with cohort designs were stratified by sex and reported
the relation between DM and esophageal cancer (EC), gastric cancer (GC),
colorectal cancer (CRC), colon cancer (CC), rectal cancer (RC), hepatocellular
carcinoma (HCC), or pancreatic cancer (PC) risk. The ratio of relative risk (RRR)
between men and women was employed to measure the sex differences in the relation
between DM and gastrointestinal cancer with a random effects model with inverse
variance weighting. RESULTS: We included 38 cohort studies reporting data on
18,060,698 individuals. The pooled RRR indicated DM women was associated with an
increased risk of GC (RRR: 1.14; 95%CI: 1.06-1.22; p < 0.001), while the risk of
HCC was lower (RRR: 0.88; 95%CI: 0.79-0.99; p = 0.031) as compared with DM men.
Further, there was no evidence of sex differences in the RRR between participants
who had DM compared with those without DM for EC (p = 0.068), CRC (p = 0.618),
and PC (p = 0.976). In addition, the pooled RRR showed a statistically
significant association between DM and the risk of CC in women compared with men
(RRR: 0.93; 95%CI: 0.86-1.00; p = 0.050), and there was no evidence of sex
differences for RC among participants with DM compared to those without DM (p =
0.648). Finally, the sex differences of the comparison between DM and non-DM for
gastrointestinal cancer risk at different sites were variable after
stratification for different effect estimates. CONCLUSIONS: The findings of this
study suggested female-to-male RRR of DM was increased for GC, while reduced for
HCC and CC. However, there were no sex differences for the relation between DM
and the risk of EC, CRC, PC, and RC.
PMID- 29661176
TI - Spatiotemporal evolution of Chinese ageing from 1992 to 2015 based on an improved
Bayesian space-time model.
AB - BACKGROUND: Most countries are experiencing growth in the number and proportion
of their ageing populations and this issue is posing challenges for economies and
societies worldwide. The most populated country in the world, China, is
experiencing a dramatic increase in its ageing population. As China is the
world's largest developing country, its serious ageing issue may have far
reaching effects not only domestically but also in other countries and even
globally. METHODS: In order to overcome the weaknesses of traditional statistical
models and reveal further detail regarding the local area evolution, an improved
Bayesian space-time model is presented in this paper and used to estimate the
spatiotemporal evolution of Chinese ageing from 1992 to 2015. RESULTS: The six
eastern provinces with high levels of ageing have been experiencing an almost
steady state, while Jiangsu, Shanghai and Zhejiang have weak increased trends of
ageing, and the weak increased trend is decreasing. Although the northern and
western provinces belong to the low ageing area, five of them have strong local
growth trends and therefore strong potential to exacerbate ageing. Under the
background of the "comprehensive two children" policy, the forecast value of
China's ageing rate is 13.80% (95% CI:11.24%,18.83% is) in 2030. CONCLUSIONS:
Considering developments over the past 24 years, it has been determined that the
areas of the Chinese mainland that are experiencing the highest levels of growth
in ageing populations are the two central provinces, which are connected to seven
eastern provinces and five southwestern provinces. High ageing areas are not only
concentrated in the eastern provinces, but also include Sichuan and Chongqing in
the southwest region and Hubei and Hunan of the central region. The seven
provinces (municipalities or autonomous regions) of the central and western
regions have both high ageing levels and strong growth rates, but the growth rate
is decreasing.
PMID- 29661175
TI - Adherence to the Qatar dietary guidelines: a cross-sectional study of the gaps,
determinants and association with cardiometabolic risk amongst adults.
AB - BACKGROUND: The Qatar Dietary Guidelines (QDGs) were developed as part of the
national strategy to prevent chronic diseases. This study aims at characterizing
gaps between the QDGs and usual dietary and lifestyle patterns in Qatar,
identifying demographic and socioeconomic determinants of adherence to the QDGs
and investigating the association between adherence and cardiometabolic risk.
METHODS: This study is based on the Qatar National STEPwise cross-sectional
survey which was conducted on a nationally representative sample of Qatari
adults, aged 18 to 64 years (n = 1109). Data collection included socio
demographic characteristics, lifestyle factors, anthropometric (weight, height
and waist circumference (WC)), and blood pressure measurements. The dietary
intake of participants was evaluated using a non-quantitative food frequency
questionnaire (FFQ). Biochemical assessment was performed to measure the fasting
levels of blood sugar, triglycerides (TG) and HDL cholesterol. The metabolic
syndrome (MetS) was defined as the presence of three or more cardiometabolic risk
factors. To examine adherence to the guidelines, each specifc recommendation was
matched to corresponding data drawn from the survey. To investigate the
association of sociodemographic, lifestyle and cardiometabolic characteristics
with adherence to the QDGs, an adherence score was calculated. RESULTS: More than
83% of adults did not meet the recommendations for vegetables, fruits, whole
grains, legumes and high fibre intakes, 70% were overweight or obese, 50-72%
reported frequent consumption of sweetened beverages and sweets, and 47% reported
frequent consumption of fast foods. Younger adults, the unemployed, the least
educated and those not married had lower adherence to the QDGs. Adherence was
inversely associated with elevated WC (OR: 0.88, 95% CI:0.82-0.95) and the MetS
(OR:0.84,95% CI:0.74-0.96). CONCLUSIONS: Building on the identified gaps and
vulnerable population groups, the study findings should provide a road map for
the prioritization of interventions and the development of culture- specific
programs aiming at promoting adherence to dietary guidelines in Qatar, while
serving as a model to other countries in the region.
PMID- 29661177
TI - Loss of ZG16 is associated with molecular and clinicopathological phenotypes of
colorectal cancer.
AB - BACKGROUND: Zymogen granule protein 16 (ZG16) is one of the most significantly
down-regulated genes in colorectal cancer (CRC) tissues. This study aimed to
further evaluate its expression changes and investigate its association with
molecular and clinicopathological characteristics of CRC. METHODS: We applied
quantitative RT-PCR to determine expression difference between tumor and matched
normal tissues from 23 CRC patients. To further validate the down-regulation in
tumor tissues, we performed immunohistochemistry (IHC) analysis in 40 paraffin
embedded normal-tumor pairs and 22 colon tissues with a variety of diseases. To
evaluate if the ZG16 gene changes were associated with clinicopathological
characteristics, we further analyzed the gene expression and copy number changes
from The Cancer Genome Atlas (TCGA) and Oncomine datasets. RESULTS: Quantitative
RT-PCR confirmed significant down-regulation (~ 130-fold) of ZG16 in all tumor
tissues. ZG16 expression was in an organ-specific manner with an extremely high
expression in normal epithelial cells of small intestine, colon and rectum. IHC
analysis showed that ZG16 protein was completely lost in all of 40 CRC tissues,
and partially lost in premalignant adenomatous polyps (adenomas) and chronic
ulcerative colitis tissues. Gene expression and copy number changes were
significantly associated with multiple molecular and clinicopathological features
of CRC including microsatellite instability (MSI), MLH1 silencing, CpG island
methylator phenotype, hyper-mutation status, gender, presence of synchronous
adenomas, and histological type (P < 0.05). Patients with lower ZG16 gene
expression showed shorter progression-free survival and overall survival than
those with relatively higher expression (P < 0.05). Multivariate analysis
suggested that the ZG16 expression was an independent prognosis factor (P =
0.012, HR = 6.286, 95% CI = 0.816-0.975). CONCLUSION: For the first time, our
study demonstrated that ZG16 expression was sequentially reduced from normal,
adenoma, to carcinoma. Association with multiple clinicopathological features
indicates that ZG16 may play an important role in cancer initiation and
progression. ZG16 may serve as a potential biomarker for diagnosis and prognosis
of CRC.
PMID- 29661178
TI - Mobile-health tool to improve maternal and neonatal health care in Bangladesh: a
cluster randomized controlled trial.
AB - BACKGROUND: In Bangladesh, the targets on reduction of maternal mortality and
utilization of related obstetric services provided by skilled health personnel in
Millennium Development Goals 5 remains unmet, and the progress in reduction of
neonatal mortality lag behind that in the reduction of infant and under-five
mortalities, remaining as an essential issue towards the achievement of maternal
and neonatal health targets in health related Sustainable Development Goals
(SDGs). As access to appropriate perinatal care is crucial to reduce maternal and
neonatal deaths, recently several mobile platform-based health programs sponsored
by donor countries and Non-Governmental Organizations have targeted to reduce
maternal and child mortality. On the other hand, good health-care is necessary
for the development. Thus, we designed this implementation research to improve
maternal and child health care for targeting SDGs. METHODS/DESIGN: This cluster
randomized trial will be conducted in Lohagora of Narail District and Dhamrai of
Dhaka District. Participants are pregnant women in the respective areas. The
total sample size is 3000 where 500 pregnant women will get Mother and Child
Handbook (MCH) and messages using mobile phone on health care during pregnancy
and antenatal care about one year in each area. The other 500 in each area will
get health education using only MCH book. The rest 1000 participants will be
controlled; it means 500 in each area. We randomly assigned the intervention and
controlled area based on smallest administrative area (Unions) in Bangladesh. The
data collection and health education will be provided through trained research
officers starting from February 2017 to August 2018. Each health education
session is conducting in their house. The study proposal was reviewed and
approved by NCCD, Japan and Bangladesh Medical Research Council (BMRC),
Bangladesh. The data will be analyzed using STATA and SPSS software. DISCUSSION:
For the improvement of maternal and neonatal care, this community-based
intervention using mobile phone and handbook will do great contribution. Thus, a
developing country where resources are limited received the highest benefit. Such
intervention will guide to design for prevention of other diseases too. TRIAL
REGISTRATION: UMIN000025628 Registered June 13, 2016.
PMID- 29661179
TI - Outcomes of pathologic stage T3a renal cell carcinoma up-staged from small renal
tumor: emphasis on partial nephrectomy.
AB - BACKGROUND: The prognosis of patients with pathologic stage T3a renal cell
carcinoma (RCC) that is up-staged from a small renal tumor remains controversial.
We evaluated the prognosis of patients with RCC who were up-staged from clinical
stage T1 to pathologic stage T3a. METHODS: We retrospectively reviewed the data
of 3431 patients who were surgically treated for clinical stage T1 RCC. The
survival outcomes were compared using Kaplan-Meier and Cox proportional analyses.
RESULTS: Among the clinical stage T1 patients, 215 (6.3%) were finally up-staged
to pathologic stage T3a. Patient age (HR 1.302, 95% CI 1.018-1.046, p < 0.001),
tumor diameter (HR 1.686, 95% CI 1.551-1.834, p < 0.001), and hilar location (HR
1.765, 95% CI 1.147-2.715, p = 0.010) were significantly associated with
upstaging. Kaplan-Meier analyses showed significantly shorter recurrence-free,
cancer-specific and overall survivals (all p < 0.001) in patients who were up
staged. Multivariate Cox analyses revealed pathologic upstaging as an independent
predictor of shorter recurrence-free (HR 2.195, 95% CI 1.459-3.300, p < 0.001),
cancer-specific (HR 2.238, 95% CI 1.252-4.003, p = 0.007), and overall survivals
(HR 1.632, 95% CI 1.029-2.588, p = 0.037). Subgroup analysis of pathologic stage
T3a showed no significant difference in survival of the partial nephrectomy group
when compared to the radical nephrectomy group (all p > 0.5). CONCLUSIONS:
Patients up-staged from clinical stage T1 to pathologic stage T3a RCC showed
shorter survival outcomes than those without upstaging. However, partial
nephrectomy, compared with radical nephrectomy, showed comparable outcomes in
patients who were up-staged.
PMID- 29661180
TI - Trends and correlates of unhealthy dieting behaviours among adolescents in the
United States, 1999-2013.
AB - BACKGROUND: The increase in adiposity problems among United States adolescents
has been accompanied by persistently high prevalence of unhealthy dieting
behaviours (UDBs) such as fasting, taking diet pills/powders/liquids, and
vomiting/taking laxatives. This study aimed to examine the associations of self
perceptions of weight status, weight change intentions (WCIs) and UDBs with sex,
age and race, as well as trends of UDBs in American adolescents across the weight
spectrum. METHODS: Data come from the biennial cross-sectional, school-based
surveys, the Youth Risk Behaviour Surveillance System (1999-2013, n = 113,542).
The outcome measures were the self-reported UDBs: fasting for 24 h or more;
taking diet pills/powders/liquids; and vomiting/taking laxatives. Sex-stratified
logistic regressions assessed relationships between weight status misperceptions
across all weight statuses, race and WCIs with UDBs. Differential trends between
races were assessed using race*year interaction terms. RESULTS: In males, all non
White races had higher odds of fasting and vomiting/taking laxatives than Whites
(except fasting in Hispanic/Latinos), with Adjusted Odds Ratios (AORs) between
1.44 and 2.07. In females, Black/African Americans and Hispanic/Latinos had lower
odds of taking diet pills/powders/liquids compared to Whites (AORs 0.50 and 0.78
respectively). Racial disparities persisted throughout the study period.
Prevalence of fasting and vomiting/taking laxatives did not change between 1999
and 2013 for all races, while taking diet pills/powders/liquids decreased.
Compared to individuals of normal weight who were accurate weight status
perceivers, individuals of almost all other combinations of weight status and
weight status perception had significantly higher odds of displaying any UDB
outcome. Overestimation of weight status was found to be the strongest
determinant of UDBs. Compared to individuals endorsing "not wanting to do
anything" about their weight, individuals endorsing all other WCIs (including
wanting to gain weight) also showed significantly higher odds for every UDB
outcome, with wanting to lose weight having AORs of the greatest magnitudes.
CONCLUSIONS: Prevalence of UDBs is persistently high, and highest among females
across all racial groups. UDBs may elevate undesired weight gain and weight loss
in individuals who are obese/overweight and underweight respectively. Further
research into weight status perceptions among adolescents may inform efforts to
reduce UDBs.
PMID- 29661181
TI - TLR4 modulates inflammatory gene targets in the retina during Bacillus cereus
endophthalmitis.
AB - BACKGROUND: Endophthalmitis is a serious intraocular infection that frequently
results in significant inflammation and vision loss. Because current therapeutics
are often unsuccessful in mitigating damaging inflammation during
endophthalmitis, more rational targets are needed. Toll-like receptors (TLRs)
recognize specific motifs on invading pathogens and initiate the innate
inflammatory response. We reported that TLR4 contributes to the robust
inflammation which is a hallmark of Bacillus cereus endophthalmitis. To identify
novel, targetable host inflammatory factors in this disease, we performed
microarray analysis to detect TLR4-dependent changes to the retinal transcriptome
during B. cereus endophthalmitis. RESULTS: C57BL/6 J and TLR4-/- mouse eyes were
infected with B. cereus and retinas were harvested at 4 h postinfection, a time
representing the earliest onset of neutrophil infiltration. Genes related to
acute inflammation and inflammatory cell recruitment including CXCL1 (KC), CXCL2
(MIP2-alpha), CXCL10 (IP-10), CCL2 (MCP1), and CCL3 (MIP1-alpha)) were
significantly upregulated 5-fold or greater in C57BL/6 J retinas. The immune
modulator IL-6, intercellular adhesion molecule ICAM1, and the inhibitor of
cytokine signal transduction SOCS3 were upregulated 25-, 11-, and 10-fold,
respectively, in these retinas. LIF, which is crucial for photoreceptor cell
survival, was increased 6-fold. PTGS2/COX-2, which converts arachidonic acid to
prostaglandin endoperoxide H2, was upregulated 9-fold. PTX3, typically produced
in response to TLR engagement, was induced 15-fold. None of the aforementioned
genes were upregulated in TLR4-/- retinas following B. cereus infection.
CONCLUSIONS: Our results have identified a cohort of mediators driven by TLR4
that may be important in regulating pro-inflammatory and protective pathways in
the retina in response to B. cereus intraocular infection. This supports the
prospect that blocking the activation of TLR-based pathways might serve as
alternative targets for Gram-positive and Gram-negative endophthalmitis therapies
in general.
PMID- 29661182
TI - Women's perspectives on the ethical implications of non-invasive prenatal
testing: a qualitative analysis to inform health policy decisions.
AB - BACKGROUND: Non-Invasive Prenatal Testing (NIPT) is a technology which provides
information about fetal genetic characteristics (including sex) very early in
pregnancy by examining fetal DNA obtained from a sample of maternal blood. NIPT
is a morally complex technology that has advanced quickly to market with a strong
push from industry developers, leaving many areas of uncertainty still to be
resolved, and creating a strong need for health policy that reflects women's
social and ethical values. We approach the need for ethical policy-making by
studying the use of NIPT and emerging policy in the province of Ontario, Canada.
METHODS: Using an adapted version of constructivist grounded theory, we conducted
interviews with 38 women who have had personal experiences with NIPT. We used an
iterative process of data collection and analysis and a staged coding strategy to
conduct a descriptive analysis of ethics issues identified implicitly and
explicitly by women who have been affected by this technology. RESULTS: The
findings of this paper focus on current ethical issues for women seeking NIPT,
including place in the prenatal pathway, health care provider counselling about
the test, industry influence on the diffusion of NIPT, consequences of
availability of test results. Other issues gain relevance in the context of
future policy decisions regarding NIPT, including funding of NIPT and principles
that may govern the expansion of the scope of NIPT. These findings are not an
exhaustive list of all the potential ethical issues related to NIPT, but rather a
representation of the issues which concern women who have personal experience
with this test. CONCLUSIONS: Women who have had personal experience with NIPT
have concerns and priorities which sometimes contrast dramatically with the
theoretical ethics literature. These findings suggest the importance of engaging
patients in ethical deliberation about morally complex technologies, and point to
the need for more deliberative patient engagement work in this area.
PMID- 29661183
TI - Linguistic validation, validity and reliability of the British English versions
of the Disabilities of the Arm, Shoulder and Hand (DASH) questionnaire and
QuickDASH in people with rheumatoid arthritis.
AB - BACKGROUND: Although the Disabilities of the Arm, Shoulder and Hand (DASH)
questionnaire is widely used in the UK, no British English version is available.
The aim of this study was to linguistically validate the DASH into British
English and then test the reliability and validity of the British English DASH,
(including the Work and Sport/Music DASH) and QuickDASH, in people with
rheumatoid arthritis (RA). METHODS: The DASH was forward translated, reviewed by
an expert panel and cognitive debriefing interviews undertaken with 31 people
with RA. Content validity was evaluated using the ICF Core Set for RA.
Participants with RA (n = 340) then completed the DASH, Health Assessment
Questionnaire (HAQ), Short Form Health Survey v2 (SF36v2) and Measure of Activity
Performance of the Hand (MAPHAND). We examined internal consistency and
concurrent validity for the DASH, Work and Sport/Music DASH modules and
QuickDASH. Participants repeated the DASH to assess test-retest reliability.
RESULTS: Minor wording changes were made as required. The DASH addresses a
quarter of Body Function and half of Activities and Participation codes in the
ICF RA Core Set. Internal consistency for DASH scales were consistent with
individual use (Cronbach's alpha = 0.94-0.98). Concurrent validity was strong
with the HAQ (rs = 0.69-0.91), SF36v2 Physical Function (rs = - 0.71 - - 0.85),
Bodily Pain (rs = - 0.71 - - 0.74) scales and MAPHAND (rs = 0.71-0.93). Test
retest reliability was good (rs = 0.74-0.95). CONCLUSIONS: British English
versions of the DASH, QuickDASH and Work and Sport/Music modules are now
available to evaluate upper limb disabilities in the UK. The DASH, QuickDASH,
Work and Sport/Music modules are reliable and valid to use in clinical practice
and research with British people with RA.
PMID- 29661184
TI - Genetic variants of SLC12A3 modulate serum lipid profiles in a group of Mongolian
pedigree population.
AB - BACKGROUND: The serum lipid profile, including LDL-C level, is associated with
hypertension which is the major cause of cerebrovascular disease (CVD) amounting
30% of global death rate. Previous work also demonstrated important roles of
genetic variants of SLC12A3 gene on human CVD, hypertension and other diseases in
Mongolian population. However, the relationship between SLC12A3 gene
polymorphisms on individuals' lipid profile is still unknown. METHODS: A panel of
15 SNPs of SLC12A3 gene was genotyped within a 424 Mongolians pedigree cohort.
The associations between SLC12A3 polymorphisms and four lipid profiles were
analyzed by family-based association test (FBAT) and confirmed with haplotype
analysis. RESULTS: From both single site and haplotype analyses, the results
demonstrated a close relationship between SLC12A3 polymorphisms and LDL-C level.
Two SNPs, rs5803 and rs711746 showed significant associations with individuals'
serum LDL-C level (z = - 2.08, P -e = 0.038; z = 2.09, P -e = 0.023,
respectively), and distribution of haplotypes constructed by two SNPs also
associated with participants' serum LDL-C level, significantly (Global Chi2 =
9.06 df = 3, P = 0.028). CONCLUSION: Our results demonstrated the importance of
SLC12A3 polymorphisms in individuals' difference about their serum lipid
profiles, thereby providing evidence that the genetic variants may contribute to
CVD development via modulating person's LDL-C level and blood pressure, in
certain contexts.
PMID- 29661186
TI - The positive role of vitronectin in radiation induced lung toxicity: the in vitro
and in vivo mechanism study.
AB - BACKGROUND: Radiation-induced lung toxicity (RILT) is a severe complication of
radiotherapy in patients with thoracic tumors. Through proteomics, we have
previously identified vitronectin (VTN) as a potential biomarker for patients
with lung toxicity of grade >= 2 radiation. Herein, we explored the molecular
mechanism of VTN in the process of RILT. METHODS: In this study, lentivirus
encoding for VTN and VTN-specific siRNA were constructed and transfected into the
cultured fibroblasts and C57BL mice. Real-time PCR, western blot and ELISA were
used to examine expression of collagens and several potential proteins involved
in lung fibrosis. Hematoxylin-eosin and immunohistochemical staining were used to
assess the fibrosis scores of lung tissue from mice received irradiation.
RESULTS: The expression of VTN was up-regulated by irradiation. The change trend
of collagens, TGF-beta expression and p-ERK, p-AKT, and p-JNK expression levels
were positively related with VTN mRNA level. Furthermore, overexpression of VTN
significantly increased the expression level of alpha-SMA, as well as the degree
of lung fibrosis in mice at 8 and 12 weeks post-irradiation. By contrast, siRNA
VTN induced opposite results both in vitro and in vivo. CONCLUSIONS: VTN played a
positive role in the lung fibrosis of RILT, possibly through modulation of
fibrosis regulatory pathways and up-regulating the expression levels of fibrosis
related genes. Taken together, all the results suggested that VTN had a novel
therapeutic potential for the treatment of RILT.
PMID- 29661185
TI - Madagascar ground gecko genome analysis characterizes asymmetric fates of
duplicated genes.
AB - BACKGROUND: Conventionally, comparison among amniotes - birds, mammals, and
reptiles - has often been approached through analyses of mammals and, for
comparison, birds. However, birds are morphologically and physiologically derived
and, moreover, some parts of their genomes are recognized as difficult to
sequence and/or assemble and are thus missing in genome assemblies. Therefore,
sequencing the genomes of reptiles would aid comparative studies on amniotes by
providing more comprehensive coverage to help understand the molecular mechanisms
underpinning evolutionary changes. RESULTS: Herein, we present the whole genome
sequences of the Madagascar ground gecko (Paroedura picta), a promising study
system especially in developmental biology, and used it to identify changes in
gene repertoire across amniotes. The genome-wide analysis of the Madagascar
ground gecko allowed us to reconstruct a comprehensive set of gene phylogenies
comprising 13,043 ortholog groups from diverse amniotes. Our study revealed 469
genes retained by some reptiles but absent from available genome-wide sequence
data of both mammals and birds. Importantly, these genes, herein collectively
designated as 'elusive' genes, exhibited high nucleotide substitution rates and
uneven intra-genomic distribution. Furthermore, the genomic regions flanking
these elusive genes exhibited distinct characteristics that tended to be
associated with increased gene density, repeat element density, and GC content.
CONCLUSION: This highly continuous and nearly complete genome assembly of the
Madagascar ground gecko will facilitate the use of this species as an
experimental animal in diverse fields of biology. Gene repertoire comparisons
across amniotes further demonstrated that the fate of a duplicated gene can be
affected by the intrinsic properties of its genomic location, which can persist
for hundreds of millions of years.
PMID- 29661188
TI - Molecular and clinical analyses of Helicobacter pylori colonization in inflamed
dental pulp.
AB - BACKGROUND: Recently, dental pulp has been considered a possible source of
infection of Helicobacter pylori (H. pylori) in children. We previously developed
a novel PCR system for H. pylori detection with high specificity and sensitivity
using primer sets constructed based on the complete genome information for 48 H.
pylori strains. This PCR system showed high sensitivity with a detection limit of
1-10 cells when serial dilutions of H. pylori genomic DNA were used as templates.
However, the detection limit was lower (102-103 cells) when H. pylori bacterial
DNA was detected from inflamed pulp specimens. Thus, we further refined the
system using a nested PCR method, which was much more sensitive than the previous
single PCR method. In addition, we examined the distribution and virulence of H.
pylori in inflamed pulp tissue. METHODS: Nested PCR system was constructed using
primer sets designed from the complete genome information of 48 H. pylori
strains. The detection limit of the nested PCR system was 1-10 cells using both
H. pylori genomic DNA and bacterial DNA isolated from inflamed pulp specimens.
Next, distribution of H. pylori was examined using 131 inflamed pulp specimens
with the nested PCR system. In addition, association between the detection of H.
pylori and clinical information regarding endodontic-infected teeth were
investigated. Furthermore, adhesion property of H. pylori strains to human dental
fibroblast cells was examined. RESULTS: H. pylori was present in 38.9% of
inflamed pulp specimens using the nested PCR system. H. pylori was shown to be
predominantly detected in primary teeth rather than permanent teeth. In addition,
samplings of the inflamed pulp were performed twice from the same teeth at 1- or
2-week intervals, which revealed that H. pylori was detected in most specimens in
both samplings. Furthermore, H. pylori strains showed adhesion property to human
dental fibroblast cells. CONCLUSION: Our results suggest that H. pylori colonizes
inflamed pulp in approximately 40% of all cases through adhesion to human dental
fibroblast cells.
PMID- 29661187
TI - Incidence and risk factors for recurrent Henoch-Schonlein purpura in children
from a 16-year nationwide database.
AB - BACKGROUND: The recurrence rate of Henoch-Schonlein purpura (HSP) is 2.7%-30%,
with varied average intervals between the first and second episodes. Few studies
have explored the incidence and risk factors for recurrent HSP. METHODS: We used
a 16-year nationwide database to analyze the incidence of recurrent HSP. Patients
with HSP were identified, and risk factors for recurrent HSP were explored.
Kaplan-Meier and Cox regression model analyses were performed, and covariates
were adjusted in the multivariate model. RESULTS: From January 1, 1997 to
December 31, 2012, among 2,886,836 individuals in the National Health Insurance
Research Database, 1002 HSP patients aged < 18 years were identified. Among them,
164 had >=2 HSP episodes (recurrence rate, 16.4%; incidence of recurrent HSP,
7.05 per 100 person-years); 83.6% patients with one HSP episode remained free of
secondary HSP. The average time intervals between the first and second and second
and third HSP episodes were 9.2 and 6.4 months, respectively. After adjusting for
demographic parameters, comorbidities, and socioeconomic status, recurrent HSP
was found to occur more frequently in patients who had renal involvement
(adjusted hazard ratio, 2.41; 95% confidence interval [CI], 1.64-3.54; p <
0.001), were receiving steroid therapy for > 10 days (adjusted hazard ratio,
8.13; 95%CI, 2.51-26.36; p < 0.001), and had allergic rhinitis (adjusted hazard
ratio, 1.63; 95%CI, 1.06-2.50; p = 0.026). CONCLUSIONS: The annual incidence of
recurrent HSP was low. However, children who had underlying allergic rhinitis,
presented with renal involvement, and received steroid treatment for > 10 days
should be notified regarding the possibility of recurrence.
PMID- 29661189
TI - Origins in the USA in the 1980s of the warning that smokeless tobacco is not a
safe alternative to cigarettes: a historical, documents-based assessment with
implications for comparative warnings on less harmful tobacco/nicotine products.
AB - BACKGROUND: Before the 1980s in the USA, smokeless tobacco carried no health
warnings, was not judged to cause disease, and was a declining practice. In 1986,
the federal government passed legislation requiring rotating warnings on "mouth
cancer," "gum disease and tooth loss," and "This product is not a safe
alternative to cigarettes." This paper explores the history of the establishment
of these warnings with emphasis on the 'not a safe alternative' warning and the
bases for claiming that smokeless was 'not safe' (absolute harm) versus 'not
safer than cigarettes' (relative harm). METHODS: Results of searches of Truth
Tobacco Industry Document archives and transcripts of legislative hearings were
analyzed. Critical assessments were made of the evidence-base. RESULTS: New
evidence of oral cancer causation emerged along with a much-publicized case of a
teenager dying of oral cancer. Public health concerns also arose over a
widespread, successful marketing campaign implying smokeless was a safe
alternative to cigarettes. Industry wanted pre-emptive federal warnings, to
prevent a diversity of pending state warnings. To avoid an addiction warning, the
industry accepted a compromise 'not a safe alternative' warning, which had not
been initially proposed and which the cigarette industry may have sought in order
to constrain the smokeless tobacco industry. The evidence presented supported
smokeless only as 'not safe' and not 'as harmful as cigarette smoking.'
CONCLUSIONS: The comparative warning was a compromise to prevent an addiction
warning and consistent with the preferences of cigarette companies. Prior surveys
indicated that the public generally did not view smokeless tobacco as harmless,
but they did generally report smokeless as less harmful than cigarettes despite
expert interpretations to the contrary. As would not have been appreciated by
public health supporters at the outset, subsequent research has shown that the
'not a safe alternative' message is misinterpreted by consumers to indicate that
smokeless is 'not safer' than cigarettes-which was not established and has been
disconfirmed by subsequent assessments of that question. Though many countries
have banned smokeless tobacco (but not cigarettes), where smokeless is legally
available accurate information on the nature of harms and differential harms
needs to be developed.
PMID- 29661191
TI - Factors associated with treatment-seeking for malaria in urban poor communities
in Accra, Ghana.
AB - BACKGROUND: In Ghana, about 3.5 million cases of malaria are recorded each year.
Urban poor residents particularly have a higher risk of malaria mainly due to
poor housing, low socio-economic status and poor sanitation. Alternative
treatment for malaria (mainly African traditional/herbal and/or self-medication)
is further compounding efforts to control the incidence of malaria in urban poor
communities. This study assesses factors associated with seeking alternative
treatment as the first response to malaria, relative to orthodox treatment in
three urban poor communities in Accra, Ghana. METHODS: This cross-sectional study
was conducted in three urban poor localities in Accra, Ghana among individuals in
their reproductive ages (15-59 years for men and 15-49 years for women). The
analytic sample for the study was 707. A multinomial regression model was used to
assess individual, interpersonal and structural level factors associated with
treatment-seeking for malaria. RESULTS: Overall, 31% of the respondents sought
orthodox treatment, 8% sought traditional/herbal treatment and 61% self-medicated
as the first response to malaria. At the bivariate level, more males than females
used traditional/herbal treatment and self-medicated for malaria. The results of
the regression analysis showed that current health insurance status, perceived
relative economic standing, level of social support, and locality of residence
were associated with seeking alternative treatment for malaria relative to
orthodox treatment. CONCLUSIONS: The findings show that many urban poor residents
in Accra self-medicate as the first response to malaria. Additionally,
individuals who were not enrolled in a health insurance scheme, those who
perceived they had a low economic standing, those with a high level of social
support, and locality of residence were significantly associated with the use of
alternative treatment for malaria. Multi-level strategies should be employed to
address the use of alternative forms of treatment for malaria within the context
of urban poverty.
PMID- 29661192
TI - Policosanol composition, antioxidant and anti-arthritic activities of milk
thistle (Silybium marianum L.) oil at different seed maturity stages.
AB - BACKGROUND: Several anti-arthritic drugs and synthetic antioxidants have wide
pharmaceutical uses and are often associated with various side effects on the
human health. Dietary seed oils and their minor components like policosanol may
offer an effective alternative treatment for arthritic and oxidative-stress
related diseases. The biological effects of seed oils were affected by different
parameters such as the stage of seed maturity. Hence, this study seeks to
determine the policosanol content, antioxidant and anti-arthritic activities of
milk thistle (Silybium marianum L.) oil extracted at various stages of seed
maturation. METHODS: Milk thistle oil samples were extracted from seeds collected
at three maturation stages (immature, intermediate, and mature). The 2,2-diphenyl
1-picrylhydrazyl (DPPH) and 2,2'-azino-bis (3-ethyl-benzthiazoline-6-sulfonic
acid) (ABTS) radical scavenging assays were used to determine the antioxidant
activity of the extracted oils. The anti-arthritic activity of oil samples was
evaluated with bovine serum protein denaturation and egg albumin denaturation
methods. Gas chromatography coupled to mass spectrometry (GC-MS) was employed to
determine the policosanol profile. RESULTS: Policosanol profile, antioxidant and
anti-arthritic activities of milk thistle oil were influenced by the seed
maturity stages. The oil extracted from the immature seeds had the highest total
policosanol content (987.68 mg/kg of oil) and displayed the maximum antiradical
activity (96.42% and 90.35% for DPPH test and ABTS assay, respectively). Nine
aliphatic alcohols were identified in the milk thistle oil. The dominant
poliosanol in the mature seed oil was octacosanol (75.44%), while triacontanol
was the major compound (40.25%) in the immature seed oil. Additionally, the
maximum inhibition of bovine serum protein denaturation (92.53%) and egg albumin
denaturation (86.36%) were observed in immature seed oil as compared to mature
seed oil. A high correlation was found between the total policosanol content,
anti-arthritic activity and antioxidant capacity of oil. CONCLUSIONS: The milk
thistle oil exhibited a potential anti-arthritic and antioxidant activities and
that it might contribute to the protection of humans from a variety of diseases
like rheumatoid arthritis. Also, it could serve as natural antioxidant and anti
arthritic agents for application in the food industries and pharmaceutic.
Policosanol level in the seed oils might contribute to their anti-arthritic and
antioxidant activities.
PMID- 29661190
TI - A manually annotated Actinidia chinensis var. chinensis (kiwifruit) genome
highlights the challenges associated with draft genomes and gene prediction in
plants.
AB - BACKGROUND: Most published genome sequences are drafts, and most are dominated by
computational gene prediction. Draft genomes typically incorporate considerable
sequence data that are not assigned to chromosomes, and predicted genes without
quality confidence measures. The current Actinidia chinensis (kiwifruit)
'Hongyang' draft genome has 164 Mb of sequences unassigned to pseudo-chromosomes,
and omissions have been identified in the gene models. RESULTS: A second genome
of an A. chinensis (genotype Red5) was fully sequenced. This new sequence
resulted in a 554.0 Mb assembly with all but 6 Mb assigned to pseudo-chromosomes.
Pseudo-chromosomal comparisons showed a considerable number of translocation
events have occurred following a whole genome duplication (WGD) event some
consistent with centromeric Robertsonian-like translocations. RNA sequencing data
from 12 tissues and ab initio analysis informed a genome-wide manual annotation,
using the WebApollo tool. In total, 33,044 gene loci represented by 33,123
isoforms were identified, named and tagged for quality of evidential support. Of
these 3114 (9.4%) were identical to a protein within 'Hongyang' The Kiwifruit
Information Resource (KIR v2). Some proportion of the differences will be
varietal polymorphisms. However, as most computationally predicted Red5 models
required manual re-annotation this proportion is expected to be small. The
quality of the new gene models was tested by fully sequencing 550 cloned
'Hort16A' cDNAs and comparing with the predicted protein models for Red5 and both
the original 'Hongyang' assembly and the revised annotation from KIR v2. Only
48.9% and 63.5% of the cDNAs had a match with 90% identity or better to the
original and revised 'Hongyang' annotation, respectively, compared with 90.9% to
the Red5 models. CONCLUSIONS: Our study highlights the need to take a cautious
approach to draft genomes and computationally predicted genes. Our use of the
manual annotation tool WebApollo facilitated manual checking and correction of
gene models enabling improvement of computational prediction. This utility was
especially relevant for certain types of gene families such as the EXPANSIN like
genes. Finally, this high quality gene set will supply the kiwifruit and general
plant community with a new tool for genomics and other comparative analysis.
PMID- 29661193
TI - Simulation of phase contrast angiography for renal arterial models.
AB - BACKGROUND: With the development of versatile magnetic resonance acquisition
techniques there arises a need for more advanced imaging simulation tools to
enable adequate image appearance prediction, measurement sequence design and
testing thereof. Recently, there is a growing interest in phase contrast
angiography (PCA) sequence due to the capabilities of blood flow quantification
that it offers. Moreover, as it is a non-contrast enhanced protocol, it has
become an attractive option in areas, where usage of invasive contrast agents is
not indifferent for the imaged tissue. Monitoring of the kidney function is an
example of such an application. RESULTS: We present a computer framework for
simulation of the PCA protocol, both conventional and accelerated with echo
planar imaging (EPI) readout, and its application to the numerical models of
kidney vasculatures. Eight patient-specific renal arterial trees were
reconstructed following vessel segmentation in real computed tomography
angiograms. In addition, a synthetic model was designed using a vascular tree
growth simulation algorithm. The results embrace a series of synthetic PCA images
of the renal arterial trees giving insight into the image formation and
quantification of kidney hemodynamics. CONCLUSIONS: The designed simulation
framework enables quantification of the PCA measurement error in relation to
ground-truth flow velocity data. The mean velocity measurement error for the
reconstructed renal arterial trees range from 1.5 to 12.8% of the aliasing
velocity value, depending on image resolution and flip angle. No statistically
significant difference was observed between measurements obtained using EPI with
a number of echos (NETL) = 4 and conventional PCA. In case of higher NETL factors
peak velocity values can be underestimated up to 34%.
PMID- 29661194
TI - Association of neighborhood greenness with self-perceived stress, depression and
anxiety symptoms in older U.S adults.
AB - BACKGROUND: Neighborhood environment, such as green vegetation, has been shown to
play a role in coping with stress and mental ill health. Yet, epidemiological
evidence of the association between greenness and mental health is inconsistent.
METHODS: We examined whether living in green space is associated with self
perceived stress, depressive and anxiety symptoms in a nationally representative,
longitudinal sample of community-dwelling older adults (N = 4118; aged 57-85
years) in the United States. We evaluated perceived stress, depression and
anxiety symptoms using the Cohen's Perceived Stress Scale, the Center for
Epidemiological Studies - Depression, and the Hospital Anxiety and Depression
Scale - anxiety subscale, respectively. Greenness was assessed for each
participant using the Normalized Difference Vegetation Index at 250-m resolution,
as well as a buffer of 1000-m. We conducted longitudinal analyses to assess the
associations between greenness and mental health upon adjusting for confounders
(e.g., education), and to examine potential mediation and effect modification.
RESULTS: An interquartile range (0.25 point) increase in contemporaneous
greenness was significantly associated with 0.238 unit (95% CI: - 0.346, - 0.130)
and 0.162 unit (95% CI: - 0.271, - 0.054) decrease in the perceived stress in
base and multivariable models, respectively. The magnitude of the association was
similar or even stronger when examining summer (- 0.161; 95% CI: - 0.295, -
0.027) and annual average of greenness (- 0.188; 95% CI: - 0.337, - 0.038), as
well as greenness buffer of 1000-m. The greenness-stress association was
partially mediated by physical activity (15.1% mediated), where increased
greenness led to increased physical activity and less stress, and by history of
respiratory diseases (- 3.8% mediated), where increased greenness led to
increased respiratory disease and more stress. The association was also
significantly modified by race, social support, physical function, socioeconomic
status, and region. While greenness was not significantly associated with anxiety
and depressive scores across all participants, significant inverse associations
were found for Whites participants, and for individuals with higher socioeconomic
status, who were physically active, as compared to their counterparts.
CONCLUSION: We found a direct association of greenness with perceived stress
among older adults, and an indirect association mediated through physical
activity and respiratory disease history. Our study findings warrant further
examination of the mediation and modification of the greenness-mental health
association.
PMID- 29661195
TI - Impact of patient involvement on clinical practice guideline development: a
parallel group study.
AB - BACKGROUND: Patient and public involvement (PPI) is recognized as a key component
of clinical practice guideline development with important implications for
guideline implementability. The impact of PPI on guidelines, however, has not
been rigorously assessed. Better understanding of the impact of PPI must start
with guideline question formation, which drives all subsequent development steps.
The aim of this study was to investigate the effect of PPI on guideline question
formation and validate a conceptual model of patient and public contributions to
guidelines. METHODS: For development of a clinical practice guideline on the
topic of using amyloid positron emission tomography in the diagnosis of dementia,
we convened two parallel guideline development groups, one with and one without
patient representatives. Participating physicians were randomized to group
assignment. Each group developed Population, Intervention, Comparator, Outcome,
Time (PICOT) questions and identified key benefits and harms to incorporate in
guideline development. Analysis included a descriptive comparison of proposed
PICOT questions, benefits, and harms between groups and a qualitative analysis of
discussion themes from audio recordings of the question development retreats.
RESULTS: Proposed guideline questions, benefits, and harms were largely similar
between groups, but only the experimental group proposed outcomes relating to
development of cognitive impairment at specific time points and rate of
progression. The qualitative analysis of the discussions occurring during
guideline question development demonstrated key differences in group conduct and
validated the proposed conceptual model of patient and public contributions to
guidelines. PPI influenced the conduct of guideline development, scope, inclusion
of patient-relevant topics, outcome selection, and planned approaches to
recommendation development, implementation, and dissemination with implications
for both guideline developers and the guideline development process. CONCLUSIONS:
Evidence of how PPI impacts guideline development underscores the importance of
engaging patient stakeholders in guideline development and highlights developer-
and guideline-specific outcomes of PPI, both of which have implications for
guideline implementation. It also raises the question of whether guidelines
developed without such input are acceptable for use. PPI should be considered an
essential element of trustworthy guideline development for purposes of
development and funding.
PMID- 29661196
TI - The women's heart health programme: a pilot trial of sex-specific cardiovascular
management.
AB - BACKGROUND: There is increasing knowledge of sex-specific differences in
cardiovascular disease and recognition of sex disparities in management. In our
study, we investigated whether a cardiovascular programme tailored to the
specific needs of women could lead to improved outcomes. METHODS: We randomised
100 female patients to receive cardiology follow-up with the conventional sex
neutral cardiac programme (control), or the sex-tailored Women's Heart Health
Programme (intervention). The intervention group was managed by an all-women
multidisciplinary team and received culture-centred health intervention
workshops, designed through in-depth interviews with the participants. The
primary outcome was cardiovascular risk factor improvement at 1 year. Secondary
outcomes include cardiovascular event rates, quality of life scores, and self
reported improvement in knowledge, attitudes, intentions and practices.
Generalised structural equation model analysis was used to determine if the
intervention group had better outcomes at alpha level 0.1. RESULTS: The mean age
was 67.3 +/- 12.7 years, with an ethnic distribution of 70% Chinese, 18% Malays,
and 12% Indians. The majority of these patients had no formal or primary level of
education (63%), and were mostly unemployed (78%). Patients in intervention group
had better control of diabetes mellitus (lower HbA1c of 0.63% [CI 0.21-1.04], p =
0.015) and lower body-mass-index (0.74 kg/m2 [CI 0.02-1.46], p = 0.092) at 1
year, but there was no significant difference in blood pressure or lipid control.
Overall, there was a trend towards better risk factor control, 31.6% of
intervention group versus 26.5% of control group achieved improvement in at least
1 CV risk factor control to target range. There was no significant difference in
incidence of cardiovascular events, quality of life, or domains in knowledge,
attitudes, intention and practices. CONCLUSION: This pilot study is the first of
its kind evaluating a new model of care for women with heart disease. The
potential to improve outcomes needs to be studied in a larger trial with longer
follow up. TRIAL REGISTRATION: This trial was prospectively registered
clinicaltrials.gov on 6 May 2013. Trial Number: 2013/00088. Identifier:
NCT02017470.
PMID- 29661197
TI - Relevance and acceptability of using the Quantiferon gold test (QGIT) to screen
CD4 blood draws for latent TB infection among PLHIV in South Africa: formative
qualitative research findings from the TEKO trial.
AB - BACKGROUND: Tuberculosis (TB) is the leading cause of mortality among people
living with HIV (PLHIV), despite the availability of effective preventive
therapy. The TEKO trial is assessing the impact of using a blood test,
Quantiferon-TB Gold In-Tube Test (QGIT), to screen for latent TB compared to the
Tuberculin Screening Test (TST) among PLHIV in South Africa. METHODS: Fifty-six
qualitative interviews were conducted with PLHIV and clinical providers
participating in the TEKO trial. We explored TB screening, diagnosis, and
treatment guidelines and processes and the use of the QGIT to screen for latent
TB infection at the time of CD4 blood draw. Thematic content analysis was
conducted. RESULTS: Considerable variability in TB screening procedures was
documented due to lack of personnel and clarity regarding current national TB
guidelines for PLHIV. Few clinics had started using the TST per national
guidelines and many patients had never heard of isoniazid preventive therapy
(IPT). Nearly all participants supported the idea of latent TB screening using
routine blood drawn for CD4 counts. CONCLUSIONS: Findings indicate that screening
for latent TB infection using QGIT from blood drawn for CD4 counts among PLHIV is
an acceptable approach to increase latent TB detection given the challenges
associated with ensuring systematic latent TB screening in overburdened public
clinics. TRIAL REGISTRATION: The results presented here were from formative
research related to the TEKO trial (Identifier NCT02119130 , registered 10 April
2014).
PMID- 29661198
TI - Adverse effects of maternal enterovirus infection on the pregnancy outcome: a
prospective and retrospective pilot study.
AB - BACKGROUND: Enteroviruses account for about one billion infections worldwide each
year, the majority remain asymptomatic. Data on enterovirus infections during
pregnancy appear to be very rare. Several cases have been reported in the
literature of fetal and neonatal complications attributed to these viruses, but
prospective data on these infections during pregnancy are not available.
OBJECTIVE: To estimate the prevalence of enterovirus infections in febrile
syndromes in pregnant women, and in case of in utero fetal death (IUFD). METHODS:
Ttri-centric observational cohort study. We performed prospective inclusion for
patients with fever during a four-month period. We also analyzed the amniotic
fluid in patients with unexplained IUFD retrospectively during a five-year
period. Investigations of enteroviruses are made by RT-PCR from routine
biological samples (amniocentesis, RT-PCR in maternal blood or CSF). RESULTS:
Prospectively, 33 patients were included during the study period. We have
identified 4 cases of confirmed enterovirus infection (12.4%). We have recorded a
severe form of perinatal enterovirus infection involving the vital prognosis of
the newborn. In the retrospective cohort of 75 IUFD cases, we had only one case
of enterovirus-positive RT-PCR in amniotic fluid during 5 years, meaning a
frequency of 1.3%. We did not had any positive EV case in case of early
miscarriage, but the limited number of inclusions cannot help us to conclude.
CONCLUSION: Enteroviruses are probably an underestimated cause of obstetric and
neonatal complications. Investigation of enterovirus by PCR should be discussed
during pregnancy and peripartum in case of febrile syndrome with no obvious
bacterial cause, and unexplained IUFD.
PMID- 29661199
TI - Adverse pregnancy outcomes in adolescents and young women with systemic lupus
erythematosus: a national estimate.
AB - BACKGROUND: Pregnant women with systemic lupus erythematosus (SLE) have increased
risk of adverse outcomes including disease flare, spontaneous abortion,
preeclampsia/eclampsia, premature birth and maternal death. However, pregnancy
outcomes among adolescents and young women with SLE have not been well-explored.
Our objective was to compare risk of adverse pregnancy outcomes in adolescents
and young women with SLE to risk among peers without SLE. METHODS: We studied the
2000-2011 Nationwide Inpatient Sample (NIS) of the Healthcare Cost and
Utilization Project (HCUP) to estimate the prevalence of adverse pregnancy
outcomes in women with SLE aged <= 21 years at time of delivery. Outcomes were
compared to peers without SLE by using multivariate logistic regression to
calculate odds ratios and risk differences. Additionally, differences in length
of stay and total charges per hospitalization were described. RESULTS: There were
8,791,391 unique pregnancies, of which 4002 occurred in young women with SLE.
After adjustment for age, race, insurance type and quartile of median income
based on patient ZIP code individuals with SLE had increased odds of pre
eclampsia/eclampsia (OR 3.2, 95% CI 2.3-4.6), maternal death (OR 80, 95% CI 10
604), preterm birth (OR 2.7, 95% CI 2-3.7), spontaneous abortion (OR 5.1, 95% CI
2.8-9.6), and induced abortion (OR 30, 95% CI 14-63). The increase in risk among
women with SLE was greatest for preterm birth (RD 11%, 95% CI 6-16), pre
eclampsia/eclampsia (RD 9%, 95% CI 5-13), and spontaneous abortion (RD 4%, 95% CI
0.9-6). Risk difference for induced abortion was 2% with 95% CI 0.6-4, while the
difference in risk for maternal death did not reach statistical significance (RD
0.4, 95% CI -0.4-1). CONCLUSIONS: Adolescents and young women with SLE experience
increased risk of adverse, pregnancy-specific outcomes as compared to their
peers, including pre-eclampsia/eclampsia, maternal death, preterm birth,
spontaneous abortion, and induced abortion. Additionally, length of stay and
total charges for hospitalization are increased.
PMID- 29661200
TI - Application of the automated haematology analyzer XN-30 in an experimental rodent
model of malaria.
AB - BACKGROUND: The erythrocytic stage, where malaria parasites proliferate in human
blood, is clinically significant as this causes the symptoms and illness of
malaria. Experimental rodent models of malaria at the erythrocytic stage are used
for the development of anti-malarial drugs and for biological analysis. An
automated haematology analyzer XN-30 was developed for detection of infected red
blood cells (iRBCs) in human blood samples and measurement of their parasitaemia
in approximately 1 min through flow cytometry analysis. Additionally, the
analyzer simultaneously measured other haematological parameters in these
samples. It is inferred that the analyzer would also allow easy and rapid
measurement of parasitaemia in mice and provide important clues on the mouse
haematological state during infection and treatment. RESULTS: The XN-30 analyzer
is a simple and rapid tool to detect iRBCs in mouse blood samples infected with
rodent malarial parasites, with three-dimensional analysis permitting the precise
measurement of parasitaemia (referred herein as the 'XN-30 system'). The XN-30
analyzer allowed not only the detection of iRBCs but also the monitoring of RBC,
white blood cell, and platelet counts, as well as haematocrit, mean corpuscular
volume and mean platelet volume values in the mouse blood sample. For anti
malarial drug development, aside from demonstrating possible efficacy in mouse
models, XN-30 analyzer could provide a first glimpse of the safety profile of the
drug. CONCLUSIONS: The XN-30 system is a powerful tool that can be utilized for
the in vivo screening, development, and evaluation of anti-malarial drugs as well
as for pre-clinical pharmacology and/or toxicity tests in rodent models.
PMID- 29661201
TI - Feasibility of portal or superior mesenteric vein resection and reconstruction by
allogeneic vein for pancreatic head cancer-a case-control study.
AB - BACKGROUND: There are few reports about resection of portal vein (PV)/superior
mesenteric vein (SMV) and reconstruction by using allogeneic vein. This case
control study was designed to explore the feasibility and safety of this
operation type in patients with T3 stage pancreatic head cancer. METHODS: A total
of 42 patients (Group A) underwent PV/SMV resection and reconstruction by using
allogeneic vein were 1:1 matched to 42 controls (Group B) with other types of
resection and reconstruction. The two groups were well matched. RESULTS: There
was no significantly prolonged total operation time (Group A vs. Group B [490.0
min vs. 470 min], P = 0.067) and increased intraoperative blood loss (Group A vs.
Group B [650.0 min vs. 450 min], P = 0.108) was found between the two groups. R1
rate of PV/SMV was slightly reduced in group A compared to group B (4.8% vs.
14.3%, P = 0.137), although no significant difference was found. The incidences
of main postoperative complications between the two groups were similar. A
slightly increased 1-year and 2-year overall survival rate (OS) (Group A vs.
Group B [1-year OS: 62.9% vs. 57.0%; 2-year OS: 31.5% vs. 25.6%], P = 0.501) and
disease-free survival rate (DFS) (Group A vs. Group B [1-year DFS: 43.9% vs.
36.6%; 2-year DFS: 10.5% vs. 7.4%], P = 0.502) could be found in group A compared
to group B, although the differences were not significant. CONCLUSIONS: The
operation types of PV/SMV resection and reconstruction by using allogeneic vein
is safety and feasible, it might have a potential benefit for patients.
PMID- 29661202
TI - Exercise training improves intramuscular triglyceride lipolysis sensitivity in
high-fat diet induced obese mice.
AB - BACKGROUND: The purpose of this study was to determine whether regular exercise
training enhances intramuscular triglyceride (IMTG) lipolysis sensitivity during
consumption of a continued high-fat diet by exploring changes in biochemical
factors activated by IMTG lipolysis. METHODS: Male C57BL/6 mice aged 4 weeks were
randomly divided into a high-fat diet group (HF) to induce obesity for 6 weeks
and a control (CO) group. Thereafter, the HF group was divided into a high-fat
diet group (HF) and high-fat diet + training group (HFT). The HFT group was
trained on an animal treadmill 40 min/day, 5 days/week for 8 weeks. PKA, Plin5, p
Plin5, CGI-58, ATGL, and HSL were analyzed to investigate IMTG sensitivity by
western blotting. RESULTS: PKA, CGI-58, and HSL protein levels in the HF group
were significantly lower than those in the CO group (p < 0.05). However, PKA, CGI
58, and HSL protein levels in the HFT group were significantly higher than those
in the HF group, and ATGL and p-Plin5 protein levels as well as the p-Plin5/Plin5
ratio in the HFT group were significantly higher than those in the HF group (p <
0.05). In addition, the HF group showed a significantly higher IMTG volume than
the CO and HFT groups (p < 0.05). CONCLUSIONS: These results suggest that in an
obese mouse model, 8 weeks of treadmill exercise contributes to decreased IMTG
volume by activating lipolysis factors, such as PKA, PLIN5, CGI-58, and lipases.
Therefore, regular exercise training may play an important role in obesity
treatment by increasing IMTG lipolysis sensitivity.
PMID- 29661203
TI - Exploratory field study on the effect of Porcine circovirus 2 (PCV2) sow
vaccination on serological, virological and reproductive parameters in a PCV2
subclinically infected sow herd.
AB - BACKGROUND: This study sought to evaluate the effect of sow vaccination against
Porcine circovirus 2 (PCV2) on reproductive parameters during two consecutive
reproductive cycles. The study was performed in a PCV2 subclinical infected
breeding herd (PCV2 circulation but absence of major reproductive problems).
Ninety-four pregnant sows were primo-immunized with a commercial PCV2 vaccine and
ninety-seven were injected with phosphate-buffered saline at 6 and 3 weeks before
the first studied farrowing, and then boosted at 2 weeks before the second one.
Blood samples were taken throughout the study to assess PCV2 DNA load and
antibodies. At farrowing, main reproductive parameters and piglet vitality index
were registered. In addition, in those litters with more than three mummified or
stillborn piglets, microscopic examination and PCV2 antigen detection in foetal
myocardium was done. RESULTS: Vaccinated sows showed significantly higher
antibody levels compared to the non-vaccinated counterparts. PCV2 DNA was only
detected at farrowing in 2 (4.2%) non-vaccinated sows. Vaccinated sows had 1.3
more live-born piglets per litter at the second cycle than non-vaccinated
counterparts. Piglets from vaccinated sows had significantly higher (+ 12.7%)
vitality score than the ones born from non-vaccinated sows. No PCV2 compatible
lesions neither PCV2 antigen were detected in the tested foetal hearts.
CONCLUSIONS: The present study represents a first attempt to demonstrate that
PCV2 sow vaccination may have a positive influence on prolificacy and vitality of
the offspring in a subclinical infected breeding herd. However, since
reproductive outcomes at farm level may be affected by a number of factors,
further studies would be needed to confirm this association.
PMID- 29661204
TI - Surgical treatment outcomes of patients with T1-T2 gastric cancer: does the age
matter when excellent treatment results are expected?
AB - BACKGROUND: The proportion of early gastric cancer stages is increasing, as is
the incidence of gastric cancer among the elderly population. Therefore, this
study was designed to analyze surgical treatment outcomes of T1-T2 gastric cancer
in elderly patients. METHODS: A total of 457 patients with T1-T2 gastric cancer
who underwent gastrectomy between 2005 and 2015 were enrolled in this
retrospective study. Patients were classified into two groups according to age (<
70 years versus >= 70 years). Clinicopathological features, surgical treatment
results, and clinical outcomes were compared between the groups. RESULTS: Higher
ASA score (ASA 3/4), differentiated cancer, and intestinal-type tumors were more
common in elderly patients. Postoperative complication rates were similar between
the two groups; however, postoperative mortality rates were significantly higher
in the elderly group. Higher ASA score was independently associated with
postoperative complications in the elderly group. Furthermore, severe
postoperative complications were found as an independent factor associated with
higher 90-day mortality rate. Elderly patients had a significantly poorer 5-year
overall survival rate. Two surgery-related factors-total gastrectomy and
complicated postoperative course-were revealed as independent prognostic factors
for poor overall survival in the elderly group. CONCLUSIONS: Despite higher
postoperative mortality rate and poorer overall survival results, elderly
patients with gastric cancer should be considered for radical surgery. ASA score
may be useful for predicting surgical treatment outcomes in elderly patients
undergoing surgery for GC and hence assists clinicians in planning treatment
strategies for each individual patient.
PMID- 29661205
TI - Comparison between minimally invasive spine stabilization with and without
posterior decompression for the management of spinal metastases: a retrospective
cohort study.
AB - BACKGROUND: Posterior decompression and stabilization plays significant roles in
palliative surgery for metastatic spinal tumor. However, the indication for
addition of posterior decompression have not been examined. The purpose of this
study was to investigate a retrospective cohort of outcomes of metastatic spinal
tumor treated with minimally invasive spine stabilization (MISt) with or without
posterior decompression. METHODS: The subjects were 40 patients who underwent
MISt using percutaneous pedicle screws for metastatic spinal tumor, including 20
patients treated with stabilization alone (group A) and 20 patients with added
posterior decompression (group B). We analyzed baseline characteristics,
postoperative survival time, and perioperative factors such as neurological
outcomes, Barthel Index, VAS, and rate of discharge to home. RESULTS: The mean
ages were 70 and 66 years old (P = 0.06), the mean revised Tokuhashi scores were
7.2 and 5.8 (P = 0.1), the mean spinal instability neoplastic scores (SINS) were
10.5 and 9.0 (P = 0.04), and the mean Barthel Index for ADL were 65.5 and 41.0 (P
= 0.06) in groups A and B, respectively. The median postoperative survival time
did not differ significantly between groups A and B (12.0 vs. 6.0 months, P =
0.09). Patients in group A had a significantly shorter operation time (166 vs.
232 min, P = 0.004) and lower intraoperative blood loss (120 vs. 478 mL, P <
0.001). Postoperative paralysis (P = 0.1), paralysis improvement rate (P = 0.09),
postoperative Barthel Index (P = 0.06), and postoperative VAS (P = 0.6) did not
differ significantly between the groups. The modified Frankel classification
improved from D1 or D2 before surgery to D3 or E after surgery in 4 of 10 cases
(40%) in group A and 8 of 8 patients (100%) in group B (P = 0.01). Significantly
more patients were discharged to home in group A (P = 0.02), whereas
significantly more patients died in the hospital in group B (P = 0.02).
CONCLUSIONS: Patients treated without decompression had a shorter operation time,
less blood loss, a higher rate of discharge to home, and lower in-hospital
mortality, indicating a procedure with lower invasiveness. MISt without
decompression is advantageous for patients with D3 or milder paralysis, but
decompression is necessary for patients with D2 or severer paralysis.
PMID- 29661206
TI - Homology modelling, molecular docking, and molecular dynamics simulations reveal
the inhibition of Leishmania donovani dihydrofolate reductase-thymidylate
synthase enzyme by Withaferin-A.
AB - OBJECTIVE: Present in silico study was carried out to explore the mode of
inhibition of Leishmania donovani dihydrofolate reductase-thymidylate synthase
(Ld DHFR-TS) enzyme by Withaferin-A, a withanolide isolated from Withania
somnifera. Withaferin-A (WA) is known for its profound multifaceted properties,
but its antileishmanial activity is not well understood. The parasite's DHFR-TS
enzyme is diverse from its mammalian host and could be a potential drug target in
parasites. RESULTS: A 3D model of Ld DHFR-TS enzyme was built and verified using
Ramachandran plot and SAVES tools. The protein was docked with WA-the ligand,
methotrexate (MTX)-competitive inhibitor of DHFR, and dihydrofolic acid (DHFA)
substrate for DHFR-TS. Molecular docking studies reveal that WA competes for
active sites of both Hu DHFR and TS enzymes whereas it binds to a site other than
active site in Ld DHFR-TS. Moreover, Lys 173 residue of DHFR-TS forms a H-bond
with WA and has higher binding affinity to Ld DHFR-TS than Hu DHFR and Hu TS. The
MD simulations confirmed the H-bonding interactions were stable. The binding
energies of WA with Ld DHFR-TS were calculated using MM-PBSA. Homology modelling,
molecular docking and MD simulations of Ld DHFR-TS revealed that WA could be a
potential anti-leishmanial drug.
PMID- 29661207
TI - Repellent efficacy of a new combination of fipronil and permethrin against
Lutzomyia longipalpis.
AB - BACKGROUND: Lutzomyia longipalpis is the main vector of Leishmania infantum, the
agent of canine and human visceral leishmaniosis in the Americas. Considering
that the dog is the main domestic host of the parasite, repellent treatment is a
measure that might contribute to the prevention of canine visceral leishmaniosis.
The repellent efficacy of a single treatment of a new spot-on topical combination
of fipronil and permethrin (Frontline Tri-Act(r), Merial, now part of Boehringer
Ingelheim) to repel Lu. longipalpis sand flies was evaluated. METHODS: Sixteen
healthy Beagle dogs, eight females and eight males, weighing 8.4-14.4 kg, and 2
to 4 years-old were included in the study. Animals were blocked on decreasing
body weight and randomly allocated within the blocks to one of two treatment
groups of eight animals each. Dogs in Group 1 were untreated and Dogs in Group 2
were treated with a combination containing 67.6 mg/ml fipronil + 504.8 mg/ml
permethrin (Frontline Tri-Act(r)) once on Day 0. Sand fly exposures were
performed on Days 1, 14, 21 and 30 with Lu. longipalpis female sand flies. After
65 (+/- 15 min), sand flies were assessed for engorgement status. RESULTS: The
percent repellency of the treated group compared to the untreated control group
was 95.7, 94.3, 81.7 and 72.2% for exposure days 1, 14, 21 and 30, respectively.
The two treatment groups were significantly different for all exposure days (P <=
0.016 for days 1, 14, 21 and 30). No adverse reactions were observed during the
study. CONCLUSION: A single topical administration of a new combination of
fipronil and permethrin demonstrated a significant repellent effect against Lu.
longipalpis bites as soon as it was applied on the dogs and its repellent
efficacy lasted for 4 weeks with results greater than 80% for 3 weeks. The
results suggest that in endemic areas the regular application of the new
combination could contribute to protect dogs from Leishmania infection and
therefore serve as an additional tool for the prevention of canine visceral
leishmaniosis.
PMID- 29661208
TI - Unmanipulated haploidentical in comparison with matched unrelated donor stem cell
transplantation in patients 60 years and older with acute myeloid leukemia: a
comparative study on behalf of the ALWP of the EBMT.
AB - BACKGROUND: Acute myeloid leukemia (AML) is both more common and with more
biologically aggressive phenotype in the elderly. Allogenic stem cell
transplantation (allo-SCT) is the best treatment option in fit patients. Either
HLA-matched unrelated donor (MUD) or haploidentical (Haplo) donor are possible
alternative for patients in need. METHODS: We retrospectively compared non-T-cell
depleted Haplo (n = 250) to 10/10 MUD (n = 2589) in AML patients >= 60 years.
RESULTS: Median follow-up was 23 months. Disease status at transplant differs
significantly between the two groups (p < 10-4). Reduced intensity conditioning
(RIC) was administrated to 73 and 77% of Haplo and MUD, respectively (p = 0.23).
Stem cell source was the bone marrow (BM) in 52% of the Haplo and 6% of MUD (p <
10-4). Anti-thymocyte globulin (ATG) was most frequently used in MUD (p < 10-4)
while post-Tx cyclophosphamide (PT-Cy) was given in 62% of Haplo. Engraftment was
achieved in 90% of the Haplo vs 97% of MUD (p < 10-4). In multivariate analysis,
no significant difference was found between Haplo and MUD for acute (a)graft
versus host disease (GVHD) grade II-IV, relapse incidence (RI), non-relapse
mortality (NRM), leukemia free survival (LFS), graft-versus-host-free-relapse
free survival (GRFS), and overall survival (OS). Extensive chronic (c)GVHD was
significantly higher for MUD as compared to Haplo (HR 2, p = 0.01, 95% CI 1.17
3.47). A propensity score analysis confirmed the higher risk of extensive cGVHD
for MUD without differences for other outcomes. CONCLUSIONS: Allo-SCT from both
Haplo and MUD are valid option for AML patients >= 60 years of age with similar
results. Transplantation from MUD was associated with higher extensive cGVHD. Our
findings suggest that Haplo is a suitable and attractive graft source for
patients>= 60 with AML in need of allo-SCT.
PMID- 29661209
TI - Gastrointestinal and urinary complaints in adults with hereditary spastic
paraparesis.
AB - BACKGROUND: Hereditary spastic paraparesis (HSP) is a group of rare genetic
disorders affecting the central nervous system. Pure HSP is limited to lower limb
spasticity and urinary voiding dysfunction. Complex HSP involves additional
neurological features. Beyond the described core symptoms, knowledge about the
burden of disease for adults with HSP is limited, particularly regarding
gastrointestinal functions, fecal incontinence, and urinary symptoms. METHODS: We
conducted a cross-sectional self-report survey with 108 adult HSP patients (Mage
= 57.7 years, SD = 11.5, range 30 to 81; 54.2% females) recruited from a national
HSP user group association and a national (non-clinical) advisory unit for rare
disorders. HSP data was compared to data from a Norwegian population study, HUNT
3 (N = 46,293). RESULTS: The HSP group reported more gastrointestinal and urinary
complaints compared to controls. Gastrointestinal complaints included at least
"much" complaints with constipation (14.6%) and alternating constipation/diarrhea
(8.0%), and at least daily uncontrollable flatulence (47.6%), fecal incontinence
(11.6%), and inability to hold back stools (38.5%). Urinary complaints included
frequent urination (27.4% > 8 times daily), sudden urge (51.9%) and urinary
incontinence (30.5% at least daily/nightly). CONCLUSION: This survey of adults
with HSP recruited from non-clinical settings showed constipation, alternate
constipation and diarrhea, fecal incontinence, and voiding dysfunction represent
considerable problems for many persons with HSP. Health care providers should
screen and manage often unrecognized gastrointestinal and fecal incontinence
complaints among HSP patients.
PMID- 29661210
TI - Use of a life-size three-dimensional-printed spine model for pedicle screw
instrumentation training.
AB - BACKGROUND: Training beginners of the pedicle screw instrumentation technique in
the operating room is limited because of issues related to patient safety and
surgical efficiency. Three-dimensional (3D) printing enables training or
simulation surgery on a real-size replica of deformed spine, which is difficult
to perform in the usual cadaver or surrogate plastic models. The purpose of this
study was to evaluate the educational effect of using a real-size 3D-printed
spine model for training beginners of the free-hand pedicle screw instrumentation
technique. We asked whether the use of a 3D spine model can improve (1) screw
instrumentation accuracy and (2) length of procedure. METHODS: Twenty life-size
3D-printed lumbar spine models were made from 10 volunteers (two models for each
volunteer). Two novice surgeons who had no experience of free-hand pedicle screw
instrumentation technique were instructed by an experienced surgeon, and each
surgeon inserted 10 pedicle screws for each lumbar spine model. Computed
tomography scans of the spine models were obtained to evaluate screw
instrumentation accuracy. The length of time in completing the procedure was
recorded. The results of the latter 10 spine models were compared with those of
the former 10 models to evaluate learning effect. RESULTS: A total of 37/200
screws (18.5%) perforated the pedicle cortex with a mean of 1.7 mm (range, 1.2
3.3 mm). However, the latter half of the models had significantly less violation
than the former half (10/100 vs. 27/100, p < 0.001). The mean length of time to
complete 10 pedicle screw instrumentations in a spine model was 42.8 +/- 5.3 min
for the former 10 spine models and 35.6 +/- 2.9 min for the latter 10 spine
models. The latter 10 spine models had significantly less time than the former 10
models (p < 0.001). CONCLUSION: A life-size 3D-printed spine model can be an
excellent tool for training beginners of the free-hand pedicle screw
instrumentation.
PMID- 29661212
TI - Numerical modeling of mosquito population dynamics of Aedes aegypti.
AB - BACKGROUND: The global incidences of dengue virus have increased the interest in
studying and understanding the mosquito population dynamics. It is predominantly
spread by Aedes aegypti in the tropical and sub-tropical countries in the world.
Understanding these dynamics is important for public health in countries where
climatic and environmental conditions are favorable for the propagation of these
diseases. For this reason, a new model has been proposed to investigate the
population dynamics of mosquitoes in a city. METHODS: The present paper discusses
the numerical modeling of population dynamics of Ae. aegypti mosquitoes in an
urban neighborhood of a city using the finite volume method. The model describes
how populations spread through the city assisted by the wind. This model allows
incorporating external factors (wind and chemical insecticides) and topography
data (streets, building blocks, parks, forests and beach). The proposed model has
been successfully tested in examples involving two Brazilian cities (City center,
Juiz de Fora and Copacabana Beach, Rio de Janeiro). RESULTS: Invasion phenomena
of Ae. aegypti mosquitoes have been observed in each of the simulations. It was
observed that, inside the blocks, the growth of the population for both winged
and aquatic phase causes an infestation of Ae. aegypti in a short time. Within
the blocks the mosquito population was concentrated and diffused slowly. In the
streets, there was a long-distance spread, which was influenced by wind and
diffusion with a low concentration of mosquito population. The model was also
tested taking into account chemical insecticides spread in two different
configurations. It has been observed that the insecticides have a significant
effect on the mosquito population for both winged and aquatic phases when the
chemical insecticides spread more uniformly along all the streets in a
neighborhood of a city. CONCLUSIONS: The presented methodology can be employed to
evaluate and to understand the epidemic risks in a specific region of the city.
Moreover the model allows an increase in efficiency of the existing mosquito
population control techniques and to theoretically test new methods before
involving the human population.
PMID- 29661211
TI - Predictive value of dynamic change of haemoglobin levels during therapy on
treatment outcomes in patients with Enneking stage IIB extremity osteosarcoma.
AB - BACKGROUND: We aimed to investigate the roles of hemoglobin (Hb) concentrations
and dynamic change during treatment on outcomes of patients with extremity
osteosarcoma. METHODS: We retrospectively analysed 133 patients with Enneking
stage IIB extremity osteosarcoma who underwent standard treatments, including
univariate and multivariate analyses of patient charateritics, Hb concentrations
and changes during pretreatment, neoadjuvant, adjuvant chemotherapy, and
decreased Hb levels (DeltaHb) to assess their prognostic value in 5-year overall
survival (OS) and lung metastasis-free survival (LMFS). RESULTS: Five-year OS or
LMFS were similar between patients who were anaemic and non-anaemic during
pretreatment, neoadjuvant or adjuvant chemotherapy. Patients with continuously
decreasing Hb had lower 5-year OS (52.3%) than those without continuous Hb
decrease (68.5%, P = 0.04). Patients with DeltaHb > 7.6 g/L had lower 5-year OS
(57.5%) than those with DeltaHb <=7.6 g/L (75.8%, P = 0.04). However, continuous
Hb decrease had no prognostic effect on 5-year LMFS. Subgroup analyses showed
that patients who were anaemic during pretreatment, neoadjuvant, or adjuvant
chemotherapy with DeltaHb <=7.6 g/L had better outcomes than those with DeltaHb >
7.6 g/L (P < 0.05, for both). CONCLUSION: Dynamic Hb decrease and DeltaHb > 7.6
predicted poor5-year OS in patients with Enneking stage IIB extremity
osteosarcoma. Attempts to correct anaemia and their effects on outcomes for
osteosarcoma patients should be investigated in future trials.
PMID- 29661213
TI - Sensitivity to sequencing depth in single-cell cancer genomics.
AB - BACKGROUND: Querying cancer genomes at single-cell resolution is expected to
provide a powerful framework to understand in detail the dynamics of cancer
evolution. However, given the high costs currently associated with single-cell
sequencing, together with the inevitable technical noise arising from single-cell
genome amplification, cost-effective strategies that maximize the quality of
single-cell data are critically needed. Taking advantage of previously published
single-cell whole-genome and whole-exome cancer datasets, we studied the impact
of sequencing depth and sampling effort towards single-cell variant detection.
METHODS: Five single-cell whole-genome and whole-exome cancer datasets were
independently downscaled to 25, 10, 5, and 1* sequencing depth. For each depth
level, ten technical replicates were generated, resulting in a total of 6280
single-cell BAM files. The sensitivity of variant detection, including structural
and driver mutations, genotyping, clonal inference, and phylogenetic
reconstruction to sequencing depth was evaluated using recent tools specifically
designed for single-cell data. RESULTS: Altogether, our results suggest that for
relatively large sample sizes (25 or more cells) sequencing single tumor cells at
depths > 5* does not drastically improve somatic variant discovery,
characterization of clonal genotypes, or estimation of single-cell phylogenies.
CONCLUSIONS: We suggest that sequencing multiple individual tumor cells at a
modest depth represents an effective alternative to explore the mutational
landscape and clonal evolutionary patterns of cancer genomes.
PMID- 29661214
TI - Does information from ClinicalTrials.gov increase transparency and reduce bias?
Results from a five-report case series.
AB - BACKGROUND: We investigated whether information in ClinicalTrials.gov would
impact the conclusions of five ongoing systematic reviews. METHOD: We considered
five reviews that included 495 studies total. Each review team conducted a search
of ClinicalTrials.gov up to the date of the review's last literature search,
screened the records using the review's eligibility criteria, extracted
information, and assessed risk of bias and applicability. Each team then
evaluated the impact of the evidence found in ClinicalTrials.gov on the
conclusions in the review. RESULTS: Across the five reviews, the number of
studies that had both a registry record and a publication varied widely, from
none in one review to 43% of all studies identified in another. Among the studies
with both a record and publication, there was also wide variability in the match
between published outcomes and those listed in ClinicalTrials.gov. Of the 173
total ClinicalTrials.gov records identified across the five projects, between 11
and 43% did not have an associated publication. In the 14% of records that
contained results, the new data provided in the ClinicalTrials.gov records did
not change the results or conclusions of the reviews. Finally, a large number of
published studies were not registered in ClinicalTrials.gov, but many of these
were published before ClinicalTrials.gov's inception date of 2000. CONCLUSION:
Improved prospective registration of trials and consistent reporting of results
in ClinicalTrials.gov would help make ClinicalTrials.gov records more useful in
finding unpublished information and identifying potential biases. In addition,
consistent indexing in databases, such as MEDLINE, would allow for better
matching of records and publications, leading to increased utility of these
searches for systematic review projects.
PMID- 29661215
TI - Recovering parasites from mummies and coprolites: an epidemiological approach.
AB - In the field of archaeological parasitology, researchers have long documented the
distribution of parasites in archaeological time and space through the analysis
of coprolites and human remains. This area of research defined the origin and
migration of parasites through presence/absence studies. By the end of the 20th
century, the field of pathoecology had emerged as researchers developed an
interest in the ancient ecology of parasite transmission. Supporting studies were
conducted to establish the relationships between parasites and humans, including
cultural, subsistence, and ecological reconstructions. Parasite prevalence data
were collected to infer the impact of parasitism on human health. In the last few
decades, a paleoepidemiological approach has emerged with a focus on applying
statistical techniques for quantification. The application of egg per gram (EPG)
quantification methods provide data about parasites' prevalence in ancient
populations and also identify the pathological potential that parasitism
presented in different time periods and geographic places. Herein, we compare the
methods used in several laboratories for reporting parasite prevalence and EPG
quantification. We present newer quantification methods to explore patterns of
parasite overdispersion among ancient people. These new methods will be able to
produce more realistic measures of parasite infections among people of the past.
These measures allow researchers to compare epidemiological patterns in both
ancient and modern populations.
PMID- 29661216
TI - Angiotensin II for the treatment of vasodilatory shock: enough data to consider
angiotensin II safe?
PMID- 29661217
TI - Barriers to access and utilization of emergency obstetric care at health
facilities in sub-Saharan Africa-a systematic review protocol.
AB - BACKGROUND: Nearly 15% of all pregnancies end in fatal perinatal obstetric
complications including bleeding, infections, hypertension, obstructed labor, and
complications of abortion. Between 1990 and 2015, an estimated 10.7 million women
died due to obstetric complications. Almost all of these deaths (99%) happened in
developing countries, and 66% of maternal deaths were attributed to sub-Saharan
Africa. The majority of cases of maternal mortalities can be prevented through
provision of evidence-based potentially life-saving signal functions of emergency
obstetric care. However, different factors can hinder women's ability to access
and use emergency obstetric services in sub-Saharan Africa. Therefore, the aim of
this review is to synthesize current evidence on barriers to accessing and
utilizing emergency obstetric care in sub-Saharan African. Decision-makers and
policy formulators will use evidence generated from this review in improving
maternal healthcare particularly the emergency obstetric care. METHODS:
Electronic databases including MEDLINE, CINAHL, Embase, and Maternity and Infant
Care will be searched for studies using predefined search terms. Articles
published in English language between 2010 and 2017 with quantitative and
qualitative design will be included. The identified papers will be assessed for
meeting eligibility criteria. First, the articles will be screened by examining
their titles and abstracts. Then, two reviewers will review the full text of the
selected articles independently. Two reviewers using a standard data extraction
format will undertake data extraction from the retained studies. The quality of
the included papers will be assessed using the mixed methods appraisal tool.
Results from the eligible studies will be qualitatively synthesized using the
narrative synthesis approach and reported using the three delays model. The
Preferred Reporting Items for Systematic Reviews and Meta-Analyses checklist will
be employed to present the findings. DISCUSSION: This systematic review will
present a detailed synthesis of the evidence for barriers to access and
utilization of emergency obstetric care in sub-Saharan Africa over the last 7
years. This systematic review is expected to provide clear information that can
help in designing maternal health policy and interventions particularly in
emergency obstetric care in sub-Saharan Africa where maternal mortality remains
high. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42017074102 .
PMID- 29661218
TI - ENdometrial cancer SURvivors' follow-up carE (ENSURE): Less is more? Evaluating
patient satisfaction and cost-effectiveness of a reduced follow-up schedule:
study protocol of a randomized controlled trial.
AB - BACKGROUND: It has often been hypothesized that the frequency of follow-up visits
for patients with early-stage endometrial cancer could be decreased. However,
studies evaluating effects of a reduced follow-up schedule among this patient
group are lacking. The aim of this study is to assess patient satisfaction and
cost-effectiveness of a less frequent follow-up schedule compared to the schedule
according to the Dutch guideline. METHODS: In this multicenter randomized
controlled trial, patients diagnosed in the Netherlands with stage 1A and 1B low
risk endometrial cancer, for whom adjuvant radiotherapy is not indicated (n =
282), are randomized. Patients allocated to the intervention group receive four
follow-up visits during three years. Patients allocated to the control group
receive 10-13 follow-up visits during five years, according to the Dutch
guideline. Patients are asked to fill out a questionnaire at baseline and after
6, 12, 36, and 60 months. Primary outcomes include patient satisfaction with
follow-up care and cost-effectiveness. Secondary outcomes include healthcare use,
adherence to schedule, health-related quality of life, fear of recurrence,
anxiety and depression, information provision, recurrence, and survival. Linear
regression analyses will be used to assess differences in patient satisfaction
with follow-up care between intervention and control group. DISCUSSION: We
anticipate that patients in the intervention arm have a similar satisfaction with
follow-up care and overall outcomes, but lower healthcare use and costs than
patients in the control arm. No differences are expected in quality-adjusted life
years and satisfaction, but the reduced schedule is expected to be cost-saving
when implemented in the Netherlands. TRIAL REGISTRATION: ClinicalTrials.gov,
NCT02413606 . Registered on 10 April 2015.
PMID- 29661219
TI - Modulation of GSK-3 provides cellular and functional neuroprotection in the rd10
mouse model of retinitis pigmentosa.
AB - BACKGROUND: Retinitis pigmentosa (RP) is a group of hereditary retinal
neurodegenerative conditions characterized by primary dysfunction and death of
photoreceptor cells, resulting in visual loss and, eventually, blindness. To
date, no effective therapies have been transferred to clinic. Given the diverse
genetic etiology of RP, targeting common cellular and molecular retinal
alterations has emerged as a potential therapeutic strategy. METHODS: Using the
Pde6b rd10/rd10 mouse model of RP, we investigated the effects of daily
intraperitoneal administration of VP3.15, a small-molecule heterocyclic GSK-3
inhibitor. Gene expression was analyzed by quantitative PCR and protein
expression and phosphorylation by Western blot. Photoreceptor preservation was
evaluated by histological analysis and visual function was assessed by
electroretinography. RESULTS: In rd10 retinas, increased expression of pro
inflammatory markers and reactive gliosis coincided with the early stages of
retinal degeneration. Compared with wild-type controls, GSK-3beta expression
(mRNA and protein) remained unchanged during the retinal degeneration period.
However, levels of GSK-3betaSer9 and its regulator AktSer473 were increased in
rd10 versus wild-type retinas. In vivo administration of VP3.15 reduced
photoreceptor cell loss and preserved visual function. This neuroprotective
effect was accompanied by a decrease in the expression of neuroinflammatory
markers. CONCLUSIONS: These results provide proof of concept of the therapeutic
potential of VP3.15 for the treatment of retinal neurodegenerative conditions in
general, and RP in particular.
PMID- 29661220
TI - Asymmetrical degenerative marrow (Modic) changes in cervical spine: prevalence,
correlative factors, and surgical outcomes.
AB - BACKGROUND: The current study aimed to discuss the prevalence and surgical
outcomes of the asymmetrical Modic changes and identify its correlative factors
by multivariate logistic regression analysis. METHODS: Two hundred seventy-eight
patients with single-level Modic changes and nerve compression symptoms were
reviewed retrospectively from January 2008 to January 2015. 1.5-T MRI was
performed to determine the Modic changes. Multivariate logistic regression
analysis was used to identify the correlative factors of asymmetrical Modic
changes. Surgeries were performed according to the surgical indications. The
outcomes were recorded by Japanese Orthopaedic Association (JOA) score, Neck
Disability Index (NDI) score, and recovery rate. RESULTS: Asymmetrical Modic
changes were observed in 76 patients (27.34%) with 4 type 1, 69 type 2, and 3
type 3. C5/6 was the most frequently affected segment with 39 patients showing
signal changes on MRI. Statistically significant difference was showed in
conservative rehabilitation rate between two groups (p = 0.043). Multiple
logistic regression analysis identified disc herniation and neurological symptoms
as correlative factors of asymmetrical Modic changes, and the adjusted odds
ratios (95% CI) were 2.079 (1.348-3.208) and 0.231 (0.143-0.373) respectively. No
statistically significant difference was found in JOA scores and NDI scores
between the two kinds of Modic changes. CONCLUSIONS: C5/6 was the most commonly
affected level by Modic changes. Disc herniation and nerve root compression
symptom were more closely correlated with asymmetrical Modic changes than
conventional Modic changes. Asymmetrical Modic changes indicated poor result in
conservative treatment; however, the final operation rate was similar between the
two kinds of Modic changes. The outcomes of surgical treatment were satisfactory
both in patients with asymmetrical Modic changes and conventional Modic changes.
PMID- 29661221
TI - Practice patterns and outcomes for patients with node-negative hormone receptor
positive breast cancer and intermediate 21-gene Recurrence Scores.
AB - BACKGROUND: The recommendation for chemotherapy in early-stage breast cancer
patients has been refined by the 21-gene Recurrence Score. However, uncertainty
remains whether patients in the Intermediate Risk category benefit from
chemotherapy. METHODS: We analyzed female patients from the National Cancer
Database from 2006 to 2012 who had pT1c-T2N0M0 breast cancer, were ER/PR-positive
and HER2-negative, received endocrine therapy, and had a 21-gene Recurrence Score
from 11 to 25. We performed univariate and multivariate logistic regression
analyses to see what impacted chemotherapy receipt. We compared overall survival
using Kaplan-Meier curves and the log-rank test. A multivariable Cox proportional
hazards regression model was used to assess what variables impacted overall
survival. RESULTS: Of 21,991 patients who met all inclusion and exclusion
criteria, 4646 (21.1%) received chemotherapy and 17,345 (78.9%) did not.
Chemotherapy was more often received by patients who were younger (adjusted odds
ratios (aORs) compared to age < 40 years, 0.48 for 40s, 0.34 for 50s, 0.20 for
60s, 0.10 for 70s, and 0.07 for 80+), had private insurance vs Medicare (aOR =
1.37), were from metro vs urban counties (aOR = 1.15), and were treated in
community cancer centers vs academic programs (aOR = 1.26), and those with tumors
of higher grade (grade 2 vs 1, aOR = 1.72; grade 3 vs 1, aOR = 3.76), higher
tumor stage (pT2 vs pT1c, aOR = 1.62), or presence of lymphovascular invasion
(LVI) (aOR = 1.41). At a median follow-up of 46.4 months, there was no
significant difference in overall survival between patients who received
chemotherapy vs those who did not (5-year estimated overall survival, 97.4% vs
97.8%, p = 0.89). On multivariable analysis, worse overall survival was
associated with Black race, treatment at a community program, Medicaid, high
grade tumors, pT2 vs pT1c, higher Charlson-Deyo score, and no radiotherapy.
Utilization trends showed that chemotherapy receipt in these patients has been
decreasing from 25.8% in 2010 to 18.4% in 2013 (p < 0.001). CONCLUSIONS: In these
patients where the benefit of chemotherapy remains uncertain, current practices
see chemotherapy more likely to be used in patients with younger age, higher
pathologic T stage, higher grade tumors, and LVI. No apparent difference was seen
in overall survival between those who received chemotherapy and those who did
not.
PMID- 29661222
TI - Platelet-rich plasma enhances the proliferation of human adipose stem cells
through multiple signaling pathways.
AB - BACKGROUND: Platelet-rich plasma (PRP) is an autologous blood product that
contains a high concentration of several growth factors. Platelet-derived growth
factor (PDGF)-BB is a potential mitogen for human adipose-derived stem cells
(hASCs). PRP stimulates proliferation of hASCs; however, the signaling pathways
activated by PRP remain unclear. METHODS: hASCs were cultured with or without PRP
or PDGF-BB, and proliferation was assessed. hASCs were also treated with PRP or
PDGF-BB with or without imatinib, which is a PDGF receptor tyrosine kinase
inhibitor, or sorafenib, which is a multikinase inhibitor. Inhibition of cell
proliferation was examined using anti-PDGF antibody (Abcam, Cambridge, UK), by
cell counting. We assessed the effects of inhibitors of various protein kinases
such as ERK1/2, JNK, p38, and Akt on the proliferation of hASCs. RESULTS: The
proliferation was remarkably promoted in cells treated with either 1% PRP or 10
ng/ml PDGF-BB, and both imatinib and sorafenib inhibited this proliferation. Anti
PDGF antibody (0.5 and 2 MUg/ml) significantly decreased the proliferation of
hASCs compared with control. PRP-mediated hASC proliferation was blocked by
inhibitors of ERK1/2, Akt, and JNK, but not by an inhibitor of p38. CONCLUSIONS:
PRP promotes hASC proliferation, and PDGF-BB in PRP plays a major role in
inducing the proliferation of hASCs. PRP promotes hASC proliferation via ERK1/2,
PI3K/Akt, and JNK signaling pathways.
PMID- 29661223
TI - Youth's social network structures and peer influences: study protocol MyMovez
project - Phase I.
AB - BACKGROUND: Youth are an important target group for social network interventions,
because they are particularly susceptible to the adaptation of healthy and
unhealthy habits and behaviors of others. They are surrounded by 'social
influence agents' (i.e., role models such as family, friends and peers) that co
determine their dietary intake and physical activity. However, there is a lack of
systematic and comprehensive research on the implementation of a social network
approach in health campaigns. The MyMovez research project aims to fill this gap
by developing a method for effective social network campaign implementation. This
protocol paper describes the design and methods of Phase I of the MyMovez
project, aiming to unravel youth's social network structures in combination with
individual, psychosocial, and environmental factors related to energy intake and
expenditure. In addition, the Wearable Lab is developed to enable an attractive
and state-of-the-art way of collecting data and online campaign implementation
via social networks. METHODS: Phase I of the MyMovez project consists of a large
scale cross-sequential cohort study (N = 953; 8-12 and 12-15 y/o). In five waves
during a 3-year period (2016-2018), data are collected about youth's social
network exposure, media consumption, socialization experiences, psychological
determinants of behavior, physical environment, dietary intake (snacking and
drinking behavior) and physical activity using the Wearable Lab. The Wearable Lab
exists of a smartphone-based research application (app) connected to an activity
tracking bracelet, that is developed throughout the duration of the project. It
generates peer- and self-reported (e.g., sociometric data and surveys) and
experience sampling data, social network beacon data, real-time physical activity
data (i.e., steps and cycling), location information, photos and chat
conversation data from the app's social media platform Social Buzz. DISCUSSION:
The MyMovez project - Phase I is an innovative cross-sequential research project
that investigates how social influences co-determine youth's energy intake and
expenditure. This project utilizes advanced research technologies (Wearable Lab)
that provide unique opportunities to better understand the underlying processes
that impact youths' health-related behaviors. The project is theoretically and
methodologically pioneering and produces a unique and useful method for
successfully implementing and improving health campaigns.
PMID- 29661224
TI - Notch signals modulate lgl mediated tumorigenesis by the activation of JNK
signaling.
AB - OBJECTIVES: Oncogenic potential of Notch signaling and its cooperation with other
factors to affect proliferation are widely established. Notch exhibits a
cooperative effect with loss of a cell polarity gene, scribble to induce
neoplastic overgrowth. Oncogenic Ras also show cooperative effect with loss of
cell polarity genes such as scribble (scrib), lethal giant larvae (lgl) and discs
large to induce neoplastic overgrowth and invasion. Our study aims at assessing
the cooperation of activated Notch with loss of function of lgl in tumor
overgrowth, and the mode of JNK signaling activation in this context. RESULTS: In
the present study, we use Drosophila as an in vivo model to show the synergy
between activated Notch (N act ) and loss of function of lgl (lgl-IR) in tumor
progression. Coexpression of N act and lgl-IR results in massive tumor overgrowth
and displays hallmarks of cancer, such as MMP1 upregulation and loss of
epithelial integrity. We further show activation of JNK signaling and
upregulation of its receptor, Grindelwald in N act /lgl-IR tumor. In contrast to
previously described Notch act /scrib-/- tumor, our experiments in N act /lgl-IR
tumor showed the presence of dying cells along with tumorous overgrowth.
PMID- 29661225
TI - Lymphocyte subset expression and serum concentrations of PD-1/PD-L1 in sepsis -
pilot study.
AB - BACKGROUND: Sepsis remains a major cause of mortality in critical care, for which
specific treatments are lacking. The dysregulated response to infection seen in
sepsis includes features of lymphocyte dysfunction and exhaustion, suggesting
that immune-stimulatory therapy may improve outcomes in certain patient groups.
Monoclonal antibodies targeting checkpoint molecules, such as programmed-death 1
protein (PD-1) and its ligand PD-L1, have shown success in stimulating the immune
response in patients with cancer, and are being considered for future sepsis
trials. The aims of this pilot study were to compare lymphocyte subset expression
of PD-1 and its ligands between patients with sepsis and controls; to
characterize serum levels of PD-1 and PD-L1 in patients with sepsis and controls,
and determine if serum concentrations correlated with cell surface expression.
METHODS: Expression levels of PD-1, PD-L1 and PD-L2 on four lymphocyte subsets
(CD27 + CD19+ B cells, CD27-CD19+ B cells, CD27 + CD4+ T cells and CD27-CD4+ T
cells) were compared between 22 patients with sepsis (including 11 survivors and
11 non-survivors) and 11 healthy controls using flow cytometry. Levels of soluble
PD-1 and PD-L1 were also compared using commercially available ELISA kits.
RESULTS: Expression of PD-1 and PD-L1 was higher on all lymphocyte subsets in
patients with sepsis compared to controls (p < 0.05). PD-L2 expression on CD27+ B
cells was also higher in patients with sepsis (p = 0.0317). There was
differential expression of PD-1 by CD27 status, with expression being higher in
the B and T cell subsets associated with memory status (CD27+ and CD27-,
respectively; p < 0.001). Higher PD-1 and PD-L1 expression was not associated
with mortality or with a higher risk of nosocomial infection. There were no
differences in levels of soluble PD-1 or PD-L1 between patients with sepsis and
controls. CONCLUSIONS: Higher expression of PD-1 by memory subpopulations of B
cells and CD4+ T cells, with normal soluble PD-1 and PD-L1 in patients with
sepsis, are novel findings. This information may be useful to enrich sepsis
populations for trials of PD-1/PD-L1 blockade.
PMID- 29661226
TI - Spatio-temporal genetic structure of Anopheles gambiae in the Northwestern Lake
Victoria Basin, Uganda: implications for genetic control trials in malaria
endemic regions.
AB - BACKGROUND: Understanding population genetic structure in the malaria vector
Anopheles gambiae (s.s.) is crucial to inform genetic control and manage
insecticide resistance. Unfortunately, species characteristics such as high
nucleotide diversity, large effective population size, recent range expansion,
and high dispersal ability complicate the inference of genetic structure across
its range in sub-Saharan Africa. The ocean, along with the Great Rift Valley, is
one of the few recognized barriers to gene flow in this species, but the effect
of inland lakes, which could be useful sites for initial testing of genetic
control strategies, is relatively understudied. Here we examine Lake Victoria as
a barrier between the Ugandan mainland and the Ssese Islands, which lie up to 60
km offshore. We use mitochondrial DNA (mtDNA) from populations sampled in 2002,
2012 and 2015, and perform Bayesian cluster analysis on mtDNA combined with
microsatellite data previously generated from the same 2002 mosquito DNA samples.
RESULTS: Hierarchical analysis of molecular variance and Bayesian clustering
support significant differentiation between the mainland and lacustrine islands.
In an mtDNA haplotype network constructed from this and previous data, haplotypes
are shared even between localities separated by the Rift Valley, a result that
more likely reflects retention of shared ancestral polymorphism than contemporary
gene flow. CONCLUSIONS: The relative genetic isolation of An. gambiae on the
Ssese Islands, their small size, level terrain and ease of access from the
mainland, the relative simplicity of the vectorial system, and the prevalence of
malaria, are all attributes that recommend these islands as possible sites for
the testing of genetic control strategies.
PMID- 29661227
TI - Correction to: Engaging policy-makers, health system managers, and policy
analysts in the knowledge synthesis process: a scoping review.
AB - Following the publication of the original article [1], it was brought to our
attention that the letter 'l' was unfortunately omitted from the word 'health' in
the article's title.
PMID- 29661228
TI - MiR-760 suppresses human colorectal cancer growth by targeting BATF3/AP
1/cyclinD1 signaling.
AB - BACKGROUND: Recent studies have reported that microRNAs (miRNAs) often function
as negative post-transcriptional regulators with altered expression levels found
in colorectal cancer (CRC). There have been few studies on miRNAs that regulate
the oncogenic alterations in CRC. Here, we aim to explore the anti-cancer miRNA
and the potential mechanisms by which miRNAs modulate CRC progression. METHODS:
We performed an integrated analysis of CRC miRNA expression datasets in The
Cancer Genome Atlas (TCGA). The miRNA with the lowest expression, miR-760, was
validated in an independent validation sample cohort of 76 CRC tissues.
Functional assays, such as CCK-8 assay, colony formation assay, and CFSE
staining, were used to determine the oncogenic role of miR-760 in human CRC
progression. Furthermore, western blotting and dual-luciferase reporter assay
were used to determine the mechanism by which miR-760 promotes proliferation of
CRC cells. Xenograft nude mouse models were used to determine the role of miR-760
in CRC tumorigenicity in vivo. Immunohistochemical assays were conducted to study
the relationship between miR-760 expression and basic leucine zipper
transcriptional factor ATF-like 3 (BATF3) expression in human CRC samples.
RESULTS: miR-760 was markedly downregulated in CRC tissues, and low miR-760
expression was associated with poor prognosis among CRC patients. Upregulation of
miR-760 suppressed CRC cell proliferation, whereas downregulation of miR-760
promoted CRC proliferation in vitro. Additionally, we identified BATF3 as a
direct target of miR-760, and that the essential biological function of miR-760
during CRC progression both in vitro and in vivo is to suppress the expression of
BATF3 and downstream cyclinD1 via AP-1 transcription factor. Finally, we showed a
significant correlation between miR-760 and BATF3 expression in CRC tissues.
CONCLUSIONS: miR-760 inhibited CRC growth by downregulating BATF3/AP-1/ cyclinD1
signaling.
PMID- 29661229
TI - Can Arthroplasty Stem INfluence Outcome? (CASINO): a randomized controlled
equivalence trial of 125 mm versus 150 mm Exeter V40 stems in total hip
arthroplasty.
AB - BACKGROUND: The use of shorter length femoral stems during total hip arthroplasty
has been suggested to accommodate wider patient femoral geometry and offer
maximal bone preservation. However, cemented short-stem designs may increase the
risk of varus stem malalignment and influence patient outcomes. METHODS/DESIGN:
CASINO is a multi-centre randomised equivalence trial that will recruit 220
patients undergoing total hip arthroplasty for osteoarthritis at two NHS
hospitals in Scotland. Patients will be aged 45-80, undergoing unilateral primary
hip arthroplasty, with no plan for contralateral procedure within the study
timeframe, and able to comply with the protocol. Participants will be randomised
to receive either a short (125 mm) or a standard (150 mm) Exeter V40 stem. The
Contemporary acetabular component will be used in all cases. All implants will be
cemented. Patient pain, function and satisfaction will be assessed using change
from baseline measurement in Oxford Hip Score, Forgotten Joint Score, EQ-5D, pain
numerical rating scores, and patient satisfaction questionnaire at baseline and
at 1 and 2 years following surgery. Radiographic assessment will evaluate stem
position and will be appraised by independent reviewers. Patients will be blind
to implant allocation. DISCUSSION: Stem length may be associated with outcome;
however, we can find no randomised trial in which researchers investigated the
effect of stem length on patient outcome following cemented total hip
arthroplasty. The aim of this trial is to determine if the use of short cemented
stems offers equivalent patient outcomes to those achieved following surgery with
standard length stems. TRIAL REGISTRATION: International Standard Randomised
Controlled Trial Number, ISRCTN13154542 , Registered on 30 June 2017.
PMID- 29661230
TI - A comparison of methods used to unveil the genetic and metabolic pool in the
built environment.
AB - BACKGROUND: A majority of indoor residential microbes originate from humans,
pets, and outdoor air and are not adapted to the built environment (BE).
Consequently, a large portion of the microbes identified by DNA-based methods are
either dead or metabolically inactive. Although many exceptions have been noted,
the ribosomal RNA fraction of the sample is more likely to represent either
viable or metabolically active cells. We examined methodological variations in
sample processing using a defined, mock BE microbial community to better
understand the scope of technique-based vs. biological-based differences in both
ribosomal transcript (rRNA) and gene (DNA) sequence community analysis. Based on
in vitro tests, a protocol was adopted for the analysis of the genetic and
metabolic pool (DNA vs. rRNA) of air and surface microbiomes within a residential
setting. RESULTS: We observed differences in DNA/RNA co-extraction efficiency for
individual microbes, but overall, a greater recovery of rRNA using FastPrep (>
50%). Samples stored with various preservation methods at - 80 degrees C
experienced a rapid decline in nucleic acid recovery starting within the first
week, although post-extraction rRNA had no significant degradation when treated
with RNAStable. We recommend that co-extraction samples be processed as quickly
as possible after collection. The in vivo analysis revealed significant
differences in the two components (genetic and metabolic pool) in terms of
taxonomy, community structure, and microbial association networks. Rare taxa
present in the genetic pool showed higher metabolic potential (RNA:DNA ratio),
whereas commonly detected taxa of outdoor origins based on DNA sequencing,
especially taxa of the Sphingomonadales order, were present in lower relative
abundances in the viable community. CONCLUSIONS: Although methodological
variations in sample preparations are high, large differences between the DNA and
RNA fractions of the total microbial community demonstrate that direct
examination of rRNA isolated from a residential BE microbiome has the potential
to identify the more likely viable or active portion of the microbial community.
In an environment that has primarily dead and metabolically inactive cells, we
suggest that the rRNA fraction of BE samples is capable of providing a more
ecologically relevant insight into the factors that drive indoor microbial
community dynamics.
PMID- 29661231
TI - Surveillance for lymphatic filariasis after stopping mass drug administration in
endemic districts of Togo, 2010-2015.
AB - BACKGROUND: Togo is a country previously endemic for lymphatic filariasis (LF).
In 2010, following nine years of mass drug administration (MDA) for LF, the
country established a post-treatment surveillance (PTS) system. We present here
the results of these PTS activities, carried out from 2010 to 2015, as well as
the findings of follow-up investigations in 2016 to confirm the absence of
infection in previously infected individuals. METHODS: The routine surveillance
established in 2010 consisted of a network of 47 laboratories, which searched for
Wuchereria bancrofti microfilaria on nocturnal blood smears collected for malaria
diagnosis and an additional network of 20 peripheral health facilities, which
collected dried blood spots and tested them for Og4C3 antigen. Two transmission
assessment surveys (TAS) were also undertaken, as recommended by WHO, in 2012 and
2015. Any positive case identified through any surveillance activity was
immediately retested by nocturnal smear and confirmed cases were immediately
investigated by screening family members and neighboring household members. In
2016, 32 of the 40 positive cases detected during TAS or laboratory and health
facility network activities were traced and whether confirmed positive by
nocturnal smear or not were tested again simultaneously by filariasis test strip
(FTS), Og4C3 and a nocturnal blood smear to rule out any active infection.
RESULTS: From 2010 to 2015, the laboratory network identified one microfilaria
positive individual (0.0% of 26,584 persons tested) and the peripheral health
facility network detected 19 Og4C3-positive individuals (0.28% of 6788 persons
tested). All 19 Og4C3 cases were negative for microfilaremia by nocturnal blood
smear. In the 2012 and 2015 TAS, thirteen and six ICT/FTS positive cases,
respectively, were identified, which were significantly below the critical cut
off (18-20 cases) across all evaluation units. Three of the six ICT/FTS-positive
cases from the 2015 TAS were positive by nocturnal smear; immediate investigation
identified one additional microfilaria-positive individual. Epidemiological
investigation revealed that four of the five cases of microfilaremia were
imported from another country in the region. In 2016, 32 of the 40 positive cases
detected by at least one test during all surveillance activities were traced:
four (12.5%) individuals were still positive by FTS but all 32 individuals were
negative for microfilaremia and Og4C3 antigen. CONCLUSION: The results of post
treatment surveillance in Togo have demonstrated that W. bancrofti filariasis is
no longer of public health concern in Togo, more than six years after stopping
MDA. Every possible effort should be made to maintain surveillance in order to
promptly detect any resurgence and preserve this achievement.
PMID- 29661233
TI - Effectiveness of government strategies for financial protection against costs of
hospitalization Care in India.
AB - BACKGROUND: In the past decade, India has seen the introduction of many 'publicly
funded health insurance' schemes (PFHIs) that claim to cover approximately 300
million people and are essentially forms of purchasing care from both public and
private providers to reduce out-of-pocket expenditure (OOPE) for hospitalization.
METHODS: Data from a recent government-organized nationwide household survey, The
National Sample Survey 71st Round, were used to analyse the effectiveness and
equity of tax-funded public health services and PFHIs as distinct but overlapping
approaches to financial protection for hospitalization across different socio
economic categories. Cross-tabulation analysis, multivariate logistic regression
and propensity score matching were the main analytical methods used. RESULTS:
Government hospitals provide access to 45.6% of all hospitalization needs.
Although poorer quintiles use public hospitals more often, even in the poorest
quintile, as many as 37.2% are utilizing private hospitals. The average OOPE that
a household experiences for hospitalization in public hospitals is approximately
only one-fifth of the OOPE for hospitalization in the private sector. PFHI
schemes cover 12.8% of the population, and coverage is higher in upper quintiles
and in urban areas. Hospitalization rates increase with PFHI coverage, and this
occurs with both public and private providers. Propensity score matching shows
that PFHI contributes to a marginal reduction (1%) in 'catastrophic health
expenditure incidence at the 25% threshold' (CHE-25) for the bottom three
quintiles. The reported coverage of PFHIs was greater in the upper income
quintiles. Utilization of public services was greater in the poorer income
quintiles and more marginalized social groups. CONCLUSIONS: Periodic surveys are
essential to guide policy choices regarding the appropriate mix of strategies for
financial protection in pluralistic systems. There is a need for caution
regarding any shift in the role of governments from providing services to
purchasing care, given the contexts and limitations of currently available PFHIs.
Even with tax-funded public services, although the average OOPE is lower than the
care purchased through PFHIs, there is still a modest level of CHE and
impoverishment due to health care costs that persist. Both strategies need to be
synergized for more effective financial protection.
PMID- 29661232
TI - CMPD1 inhibited human gastric cancer cell proliferation by inducing apoptosis and
G2/M cell cycle arrest.
AB - BACKGROUND: Gastric cancer occupies the fourth highest morbidity rate of cancers
worldwide. Clinical therapies of gastric cancer remain limited because of
uncertainty of mechanisms and shortness of effective medicine. Thus, new drug
candidates for gastric cancer treatment is urgently needed. RESULTS: In this
study, CMPD1 as a wildly used MK2 phosphorylation inhibitor was employed to find
its impact on gastric cancer cell proliferation, apoptosis and cell cycle using
colony formation assay and flow cytometry analysis. Along with its anti
proliferation effect on gastric cancer cell line MKN-45 and SGC7901, CMPD1 also
induced massive apoptosis and significant G2/M phase arrest in a time-dependent
and dose-dependent manner in MKN-45 cells respectively. Furthermore, Western blot
confirmed that the expression of anti-apoptotic proteins Bcl-2 was decreased
while BAX, cytochrome c release and cleaved PARP were increased. In addition,
oncogene c-Myc was downregulated in response to CMPD1 treatment. CONCLUSIONS: Our
results demonstrated that CMPD1 has anti-tumor effect on human gastric cancer
cell line MKN-45 possibly via downregulating oncogene c-Myc expression and CMPD1
could be applied as a potential candidate for treating gastric malignancy. To the
best of our knowledge, it is the first report of anti-tumor effect of CMPD-1 on
human gastric cancer cells.
PMID- 29661234
TI - Characterization of serious adverse drug reactions as cause of emergency
department visit in children: a 5-years active pharmacovigilance study.
AB - BACKGROUND: To describe frequency, preventability and seriousness of adverse drug
reactions (ADRs) in children as cause of emergency department (ED) admission and
to evaluate the association between specific factors and the reporting of ADRs.
METHODS: A retrospective analysis based on reports of suspected ADRs collected
between January 1st, 2012 and December 31st, 2016 in the ED of Meyer Children's
Hospital (Italy). Demographics, clinical status, suspected drugs, ADR
description, and its degree of seriousness were collected. Logistic regression
was used to estimate the reporting odds ratios (RORs) with 95% confidence
intervals (CIs) of potential predictors of ADR seriousness. RESULTS: Within 5
years, we observed 834 ADRs (1100 drug-ADR pairs), of whom 239 were serious; of
them, 224 led to hospitalization. Patients were mostly treated with one drug.
Among patients treated with more than one drug, 78 ADRs presented a potential
interaction. The most frequently reported ADRs involved gastrointestinal system.
The most frequently reported medication class was antinfectives. Risk of serious
ADR was significantly lower in children and infants compared to adolescents (ROR
0.41 [95% CI: 0.27-0.61] and 0.47 [0.32-0.71], respectively), and it was
significantly increased in subjects exposed to more than one drug (ROR 1.87 [1.33
2.62] and 3.01 [2.07-4.37] for subjects exposed to 2 and 3 or more drugs,
respectively). Gender, interactions and off-label drug use did not influence the
risk of serious ADRs. CONCLUSION: Active surveillance in pharmacovigilance might
represent the best strategy to estimate and characterize the clinical burden of
ADRs in children.
PMID- 29661235
TI - Presence of novel triple mutations in the pvdhfr from Plasmodium vivax in
Mangaluru city area in the southwestern coastal region of India.
AB - BACKGROUND: Genes encoding dihydrofolate reductase (dhfr) and dihydropteroate
synthase (dhps) are the targets of sulfadoxine-pyrimethamine (SP) present in
artemisinin based combination therapy (ACT; artesunate + sulfadoxine
pyrimethamine) for Plasmodium falciparum. Although SP is generally not used to
treat vivax infection, mutations in dhfr and dhps that confer antifolate
resistance in Plasmodium vivax are common; which may be attributed to its
sympatric existence with P. falciparum. Current study was aimed to determine the
pattern of mutations in dhfr and dhps in P. vivax isolates from Mangaluru region.
METHODS: A total of 140 blood samples were collected from P. vivax-infected
people attending Wenlock Hospital Mangaluru during July 2014 to January 2016. Out
of 140 isolates, 25 (18%) and 50 (36%) isolates were selected randomly for
sequence analysis of pvdhfr and pvdhps genes respectively. Fragment of pvdhps and
full length pvdhfr were amplified, sequenced and analysed for single nucleotide
polymorphisms. dhps was analysed by PCR-RFLP also, to detect the two specific
mutations (A383G and A553G). RESULTS: Analysis of pvdhps sequences from 50
isolates revealed single and double mutants at 38 and 46% respectively. Three non
synonymous mutations (K55R, S58R and S117N) were identified for pvdhfr. Among
these, K55R was detected for the first time. CONCLUSIONS: The current study
indicates that P. vivax dhps and dhfr mutant alleles are prevalent in this area,
suggesting significant SP pressure.
PMID- 29661236
TI - Clinical analysis of 50 patients with heterotopic pregnancy after ovulation
induction or embryo transfer.
AB - OBJECTIVES: The purpose of this study was to evaluate the clinical
characteristics, prenatal diagnosis, and management of patients with heterotopic
pregnancy after ovulation induction or embryo transfer. METHODS: This was a
retrospective study of fifty cases with heterotopic pregnancy, in which the
fertilization way, type and number of embryo transferred, gestational age,
clinical presentation and outcome of intrauterine, ultrasound presentation and
site of ectopic pregnancy, bilateral fallopian tube and treatment were evaluated.
RESULTS: Six patients had spontaneous pregnancy and two had artificial
insemination after ovulation induction. Sixteen had fresh and nineteen had frozen
embryo transfer with seven patients unrecorded its embryo type and number. The
average days from transplantation (or intercourse/insemination) to diagnosing
heterotopic pregnancy was thirty-seven with the earliest eighteen and the latest
more than 70 days. Although the most common presentation was vaginal bleeding or
abdominal pain, more than 21% was found by ultrasound and rare individuals even
presented with gastrointestinal symptom which may imply ruptured EP and
hemorrhagic shock. Giving proper treatment (surgery or local drug injection), the
majority of them had a successful intrauterine pregnancy with only seven
miscarried. CONCLUSIONS: Ovulation induction or embryo transfer increased the
risk of HP greatly and clinician should raise high suspicious during the whole
first trimester. Although the most ectopic site was ampullary, other sites such
as cornual, cervical, abdominal especially interstitial or tubal stumps should
also be assessed by ultrasound even in patients with bilateral salpingectomy or
tubal obstructed. Repeated ultrasound tests 2 weeks after the diagnosis of
intrauterine pregnancy with heart beating was very necessary to find the missed
ones in suspicious patients. Compared with surgery, embryo suction with or
without proper local drug injection would be more advisable for patients with
cervical, cornual, or interstitial pregnancy in order to reserve the intrauterine
pregnancy.
PMID- 29661237
TI - Evaluating the effects of delivering integrated kinesthetic and tactile cues to
individuals with unilateral hemiparetic stroke during overground walking.
AB - BACKGROUND: Integration of kinesthetic and tactile cues for application to post
stroke gait rehabilitation is a novel concept which needs to be explored. The
combined provision of haptic cues may result in collective improvement of gait
parameters such as symmetry, balance and muscle activation patterns. Our proposed
integrated cue system can offer a cost-effective and voluntary gait training
experience for rehabilitation of subjects with unilateral hemiparetic stroke.
METHODS: Ten post-stroke ambulatory subjects participated in a 10 m walking trial
while utilizing the haptic cues (either alone or integrated application), at
their preferred and increased gait speeds. In the system a haptic cane device
(HCD) provided kinesthetic perception and a vibrotactile feedback device (VFD)
provided tactile cue on the paretic leg for gait modification. Balance, gait
symmetry and muscle activity were analyzed to identify the benefits of utilizing
the proposed system. RESULTS: When using kinesthetic cues, either alone or
integrated with a tactile cue, an increase in the percentage of non-paretic peak
activity in the paretic muscles was observed at the preferred gait speed (vastus
medialis obliquus: p < 0.001, partial eta squared (eta2) = 0.954; semitendinosus
p < 0.001, partial eta2 = 0.793) and increased gait speeds (vastus medialis
obliquus: p < 0.001, partial eta2 = 0.881; semitendinosus p = 0.028, partial
eta2 = 0.399). While using HCD and VFD (individual and integrated applications),
subjects could walk at their preferred and increased gait speeds without
disrupting trunk balance in the mediolateral direction. The temporal stance
symmetry ratio was improved when using tactile cues, either alone or integrated
with a kinesthetic cue, at their preferred gait speed (p < 0.001, partial eta2 =
0.702). CONCLUSIONS: When combining haptic cues, the subjects walked at their
preferred gait speed with increased temporal stance symmetry and paretic muscle
activity affecting their balance. Similar improvements were observed at higher
gait speeds. The efficacy of the proposed system is influenced by gait speed.
Improvements were observed at a 20% increased gait speed, whereas, a plateau
effect was observed at a 40% increased gait speed. These results imply that
integration of haptic cues may benefit post-stroke gait rehabilitation by
inducing simultaneous improvements in gait symmetry and muscle activity.
PMID- 29661238
TI - EP3 (prostaglandin E2 receptor 3) expression is a prognostic factor for
progression-free and overall survival in sporadic breast cancer.
AB - BACKGROUND: In various cancers, overexpression of cyclooxygenase (COX)-2 and
elevated prostaglandin (PG) E2 synthesis have been associated with tumor
development and progression. The potential of COX-2 inhibitors in cancer
prevention and treatment has been shown repeatedly; however, their clinical use
is limited due to toxicity. PGE2 signals via EP receptors 1-4, whose functions
are analyzed in current research in search for targeted anti-PG therapies. EP2
and EP4 rather promote tumorigenesis, while the role of EP3, especially in breast
cancer, is not yet clear and both pro- and anti-tumorigenic effects have been
described. Our study evaluates EP3 receptor expression in sporadic breast cancer
and its association with clinicopathological parameters, progression-free and
overall survival. METHODS: Two hundred eighty-nine sporadic breast cancer samples
without primary distant metastasis were immunohistochemically analyzed for EP3
receptor expression. Tissue was stained with primary anti-EP3-antibodies.
Immunoreactivity was quantified by the immunoreactivity-score (IRS); samples with
an IRS >= 2 scored as EP3 positive. Chi-squared and Mann-Whitney-U test were used
for comparison of data; Kaplan-Meier estimates and Cox-regression were used for
survival analyses. RESULTS: EP3 receptor was expressed in 205 of 289 samples
analyzed (70.9%). EP3 receptor expression was not associated with
clinicopathological parameters (e. g. tumor size, hormone receptors, lymph node
status). Kaplan-Meier estimates showed a significant association of EP3
positivity with improved progression-free survival (p = 0.002) and improved
overall survival (p = 0.001) after up to 10 years. Cox regression analysis
confirmed EP3 positivity as a significant prognostic factor even when other known
prognosticators were accounted for. CONCLUSIONS: In sporadic breast cancer, EP3
receptor expression is not significantly associated with clinicopathological
parameters but is a significant prognostic factor for improved progression-free
and overall survival. However, the functional aspects of EP3 receptor in breast
cancer and the way how EP3 may oppose the pro-tumorigenic effects of PGE2
elevation and COX-2 overexpression are not fully understood so far. Further
studies aiming at identification of the factors regulated by EP3 are necessary to
evaluate the possibility of targeting EP3 in future anti-tumor therapy in breast
cancer.
PMID- 29661239
TI - Neonatal care practices in sub-Saharan Africa: a systematic review of
quantitative and qualitative data.
AB - BACKGROUND: Recommended immediate newborn care practices include thermal care
(immediate drying and wrapping, skin-to-skin contact after delivery, delayed
bathing), hygienic cord care and early initiation of breastfeeding. This paper
systematically reviews quantitative and qualitative data from sub-Saharan Africa
on the prevalence of key immediate newborn care practices and the factors that
influence them. METHODS: Studies were identified by searching relevant databases
and websites, contacting national and international academics and implementers
and hand-searching reference lists of included articles. English-language
published and unpublished literature reporting primary data from sub-Saharan
Africa (published between January 2001 and May 2014) were included if it met the
quality criteria. Quantitative prevalence data were extracted and summarized.
Qualitative data were synthesized through thematic analysis, with deductive
coding used to identify emergent themes within each care practice. A framework
approach was used to identify prominent and divergent themes. RESULTS: Forty-two
studies were included as well as DHS data - only available for early
breastfeeding practices from 33 countries. Results found variation in the
prevalence of immediate newborn care practices between countries, with the
exception of skin-to-skin contact after delivery which was universally low. The
importance of keeping newborn babies warm was well recognized, although thermal
care practices were sub-optimal. Similar factors influenced practices across
countries, including delayed drying and wrapping because the birth attendant
focused on the mother; bathing newborns soon after delivery to remove the dirt
and blood; negative beliefs about the vernix; applying substances to the cord to
make it drop off quickly; and delayed breastfeeding because of a perception of a
lack of milk or because the baby needs to sleep after delivery or does not
showing signs of hunger. CONCLUSION: The majority of studies included in this
review came from five countries (Ethiopia, Ghana, Malawi, Tanzania and Uganda).
There is a need for more research from a wider geographical area, more research
on newborn care practices at health facilities and standardization in measuring
newborn care practices. The findings of this study could inform behaviour change
interventions to improve the uptake of immediate newborn care practices.
PMID- 29661240
TI - Access factors linked to maternal deaths in Lundazi district, Eastern Province of
Zambia: a case control study analysing maternal death reviews.
AB - BACKGROUND: Access factors associated with maternal death are important to
understand because they are considered to be an essential measure of women's
health and indicative of the performance of health care systems in any community
globally. This study aimed to analyse the access risk factors linked to maternal
deaths in Lundazi district of the Eastern Province of Zambia using secondary data
obtained from maternal death reviews and delivery registers. METHODS: This was a
case-control study with cases being recorded maternal deaths for Lundazi district
(n = 100) while controls were randomly selected Lundazi District Hospital
deliveries (n = 300) for the period 2010 to 2015. STATATM (Stata Corporation,
Texas, TX, USA) version 12.0 was used to analyse data. Odds ratio and 95%
confidence intervals with associated p-values were used to analyse disparities
between cases and controls while bivariate and multivariate regression analyses
were done to show associations. RESULTS: The likelihood of experiencing maternal
death was 94% less among women who completed their scheduled antenatal care
visits than those who did not (OR 0.06, 95% CI = 0.01-0.27, p = < 0.001). Delayed
referral associated with maternal deaths and complications were 30% (30) for
cases, 12% (37) for controls and 17% (67) for both cases and controls. Long
distances, unskilled deliveries were 3%, (15) for both cases and controls with
13% (13) for cases and 1% (2) for controls only. CONCLUSION: Antenatal care is
important in screening for pre-existing risk conditions as well as complications
in early stages of pregnancy that could impact adversely during pregnancy and
childbirth. Delay in seeking health care during pregnancy could be minimised if
health services are brought closer to the communities to reduce on distances
covered by pregnant women in Lundazi. Maternal education appears to influence
antenatal health care utilisation because greater knowledge and understanding of
the importance of antenatal care might increase the ability to select most
appropriate service. Therefore, there is need for Lundazi District Health Office
to scale up interventions that motivate women to make at least four scheduled
antenatal care visits during pregnancy as recommended by the World Health
Organization.
PMID- 29661241
TI - Breaking bad habits by improving executive function in individuals with obesity.
AB - BACKGROUND: Two primary factors that contribute to obesity are unhealthy eating
and sedentary behavior. These behaviors are particularly difficult to change in
the long-term because they are often enacted habitually. Cognitive Remediation
Therapy has been modified and applied to the treatment of obesity (CRT-O) with
preliminary results of a randomized controlled trial demonstrating significant
weight loss and improvements in executive function. The objective of this study
was to conduct a secondary data analysis of the CRT-O trial to evaluate whether
CRT-O reduces unhealthy habits that contribute to obesity via improvements in
executive function. METHOD: Eighty participants with obesity were randomized to
CRT-O or control. Measures of executive function (Wisconsin Card Sort Task and
Trail Making Task) and unhealthy eating and sedentary behavior habits were
administered at baseline, post-intervention and at 3 month follow-up. RESULTS:
Participants receiving CRT-O demonstrated improvements in both measures of
executive function and reductions in both unhealthy habit outcomes compared to
control. Mediation analyses revealed that change in one element of executive
function performance (Wisconsin Card Sort Task perseverance errors) mediated the
effect of CRT-O on changes in both habit outcomes. CONCLUSION: These results
suggest that the effectiveness of CRT-O may result from the disruption of
unhealthy habits made possible by improvements in executive function. In
particular, it appears that cognitive flexibility, as measured by the Wisconsin
Card Sort task, is a key mechanism in this process. Improving cognitive
flexibility may enable individuals to capitalise on interruptions in unhealthy
habits by adjusting their behavior in line with their weight loss goals rather
than persisting with an unhealthy choice. TRIAL REGISTRATION: The RCT was
registered with the Australian New Zealand Registry of Clinical Trials (trial id:
ACTRN12613000537752 ).
PMID- 29661242
TI - Use, applicability and reliability of depth of hypnosis monitors in children - a
survey among members of the European Society for Paediatric Anaesthesiology.
AB - BACKGROUND: To assess the thoughts of practicing anaesthesiologists about the use
of depth of hypnosis monitors in children. METHODS: Members of the European
Society for Paediatric Anaesthesiology were invited to participate in an online
survey about their thoughts regarding the use, applicability and reliability of
hypnosis monitoring in children. RESULTS: The survey achieved a response rate of
30% (N = 168). A total of 138 completed surveys were included for further
analysis. Sixty-eight respondents used hypnosis monitoring in children (Users)
and 70 did not (Non-users). Sixty-five percent of the Users reported prevention
of intra-operative awareness as their main reason to apply hypnosis monitoring.
Among the Non-users, the most frequently given reason (43%) not to use hypnosis
monitoring in children was the perceived lack or reliability of the devices in
children. Hypnosis monitoring is used with a higher frequency during propofol
anaesthesia than during inhalation anaesthesia. Hypnosis monitoring is
furthermore used more frequently in children > 4 years than in younger children.
An ideal hypnosis monitor should be reliable for all age groups and any
(combination of) anaesthetic drug. We found no agreement in the interpretation of
monitor index values and subsequent anaesthetic interventions following from it.
CONCLUSIONS: Prevention of intraoperative awareness appears to be the most
important reason to use hypnosis monitoring in children. The perceived lack of
reliability of hypnosis monitoring in children is the most important reasons not
to use it. No consensus currently exists on how to adjust anaesthesia according
to hypnosis monitor index values in children.
PMID- 29661243
TI - Effect of neoadjuvant chemotherapy regimen on relapse-free survival among
patients with breast cancer achieving a pathologic complete response: an early
step in the de-escalation of neoadjuvant chemotherapy.
AB - BACKGROUND: Patients with breast cancer who have a pathologic complete response
(pCR) to neoadjuvant chemotherapy (NACT) have improved survival. We hypothesize
that once pCR has been achieved, there is no difference in subsequent
postsurgical recurrence-free survival (RFS), whichever NACT regimen is used.
METHODS: Data from patients with breast cancer who achieved pCR after NACT
between 1996 and 2011 were reviewed. RFS was estimated by the Kaplan-Meier
method, and differences between groups were assessed using log-rank testing. Cox
proportional hazards regression analysis adjusted for age, menopausal status,
stage, grade, tumor subtype, and adjuvant endocrine HER2-targeted radiation
treatment. RESULTS: Among 721 patients who achieved pCR after NACT, 157 (21.8%)
were hormone receptor-positive (HR), 310 (43.3%) were HER2-amplified, and 236
(32.7%) were triple-negative; 292 (40.5%) were stage IIA, 153 (21.2%) were stage
IIB, 78 (10.8%) were stage IIIA, 66 (9.2%) were stage IIIB, and 132 (18.3%) were
stage IIIC. Most patients (367 [50.9%]) had been treated with adriamycin-based
chemotherapy plus taxane (A + T), 56 (7.8%) without taxane (A no T), 227 (31.5%)
with HER2-targeted therapy, and 71 (9.8%) provider choice. Median follow-up was
7.1 years. Adjuvant chemotherapy was employed in 196 (27%) patients, adjuvant
endocrine in 261 (36%), and adjuvant radiation in the majority (559 [77.5%]).
There was no statistically significant difference in RFS by NACT group. Adjusted
RFS hazard ratios, comparing each treatment with the reference group A + T, were
1.25 (95% CI 0.47-3.35) for A no T, 0.90 (95% CI 0.37-2.20) for HER2-targeted
therapy, and 1.28 (95% CI 0.55-2.98) for provider choice. CONCLUSIONS: These data
suggest that postsurgical RFS is not significantly influenced by the choice of
NACT or cancer subtype among patients achieving pCR.
PMID- 29661244
TI - Surgical management of ascending aortic pseudoaneurysm in a 2-year-old boy: a
case report.
AB - BACKGROUND: Aortic pseudoaneurysms are rare but life-threatening complications
usually seen after cardiac surgery. The causes could be multifactorial such as
infection or trauma. CASE PRESENTATION: We report the surgical management of a
postoperative pseudoaneurysm of the ascending aorta caused by methicillin
resistant Staphylococcus aureus in a 2-year-old Middle Eastern boy who had
undergone ventricular septal defect closure, subaortic membrane resection, and
pulmonary artery de-banding. He was immediately operated on for resection of the
aneurysm. A computed tomography scan at 2 months following surgery showed no
aneurysm. Antibiotics were continued for 6 weeks and our patient was discharged
with negative blood cultures. CONCLUSION: Early diagnosis and appropriate
treatment of such rare complication can be lifesaving.
PMID- 29661245
TI - Socioeconomic health inequality in malaria indicators in rural western Kenya:
evidence from a household malaria survey on burden and care-seeking behaviour.
AB - BACKGROUND: Health inequality is a recognized barrier to achieving health-related
development goals. Health-equality data are essential for evidence-based planning
and assessing the effectiveness of initiatives to promote equity. Such data have
been captured but have not always been analysed or used to manage programming.
Health data were examined for microeconomic differences in malaria indices and
associated malaria control initiatives in western Kenya. METHODS: Data was
analysed from a malaria cross-sectional survey conducted in July 2012 among 2719
people in 1063 households in Siaya County, Kenya. Demographic factors, history of
fever, malaria parasitaemia, malaria medication usage, insecticide-treated net
(ITN) use and expenditure on malaria medications were collected. A composite
socioeconomic status score was created using multiple correspondence analyses
(MCA) of household assets; households were classified into wealth quintiles and
dichotomized into poorest (lowest 3 quintiles; 60%) or less-poor (highest 2
quintiles; 40%). Prevalence rates were calculated using generalized linear
modelling. RESULTS: Overall prevalence of malaria infection was 34.1%, with
significantly higher prevalence in the poorest compared to less-poor households
(37.5% versus 29.2%, adjusted prevalence ratio [aPR] 1.23; 95% CI = 1.08-1.41, p
= 0.002). Care seeking (aPR = 0.95; 95% CI 0.87-1.04, p = 0.229), medication use
(aPR = 0.94; 95% CI 0.87-1.00, p = 0.087) and ITN use (aPR = 0.96; 95% CI = 0.87
1.05, p = 0.397) were similar between households. Among all persons surveyed,
36.4% reported taking malaria medicines in the prior 2 weeks; 92% took artemether
lumefantrine, the recommended first-line malaria medication. In the poorest
households, 4.9% used non-recommended medicines compared to 3.5% in less-poor (p
= 0.332). Mean and standard deviation [SD] for expenditure on all malaria
medications per person was US$0.38 [US$0.50]; the mean was US$0.35 [US$0.52]
amongst the poorest households and US$0.40 [US$0.55] in less-poor households (p =
0.076). Expenditure on non-recommended malaria medicine was significantly higher
in the poorest (mean US$1.36 [US$0.91]) compared to less-poor households (mean
US$0.98 [US$0.80]; p = 0.039). CONCLUSIONS: Inequalities in malaria infection and
expenditures on potentially ineffective malaria medication between the poorest
and less-poor households were evident in rural western Kenya. Findings highlight
the benefits of using MCA to assess and monitor the health-equity impact of
malaria prevention and control efforts at the microeconomic level.
PMID- 29661247
TI - Informing implementation of quality improvement in Australian primary care.
AB - BACKGROUND: Quality Improvement (QI) initiatives in primary care are effective at
improving uptake of evidence based guidelines, but are difficult to implement and
sustain. In Australia meso-level health organisations such as Primary health care
Organisations (PHCO) offer new opportunities to implement area-wide QI programs.
This study sought to identify enablers and barriers to implementation of an
existing Australian QI program and to identify strategic directions that PHCOs
can use in the ongoing development of QI in this environment. METHODS: Semi
structured telephone interviews were conducted with 15 purposively selected
program staff and participants from the Australian Primary Care Collaborative
(APCC) QI program. Interviewees included seven people involved in design,
administration and implementation of the APCC program and eight primary care
providers (seven General Practitioners (GPs) and one practice nurse) who had
participated in the program from 2004 to 2014. Interviewees were asked to
describe their experience of the program and reflect on what enabled or impeded
its implementation. Interviews were recorded, transcribed and iteratively
analysed, with early analysis informing subsequent interviews. Identified themes
and their implications were reviewed by a GP expert reference group. RESULTS:
Implementation enablers and barriers were grouped into five thematic areas: (1)
leadership, particularly the identification and utilisation of change champions;
(2) organisational culture that supports quality improvement; (3) funding
incentives that support a culture of quality and innovation; (4) access to and
use of accurate data; and 5) design and utilisation of clinical systems that
enable and support these issues. In all of these areas, the active involvement of
an overarching external support organisation was considered a key ingredient to
successful implementation. CONCLUSION: There are substantial opportunities for
PHCOs to play a pivotal role in QI implementation in Australia and
internationally. In developing QI programs and policies, such organisations ought
to invest their efforts in: (1) identifying and mentoring local leaders; (2)
fostering QI culture via development of local peer networks; (3) developing and
advocating for alternative funding models to support and incentivise these
activities; (4) investing in data and audit tool infrastructure; and (5)
facilitation of systems implementation within primary care practices.
PMID- 29661246
TI - Risk factors and outcomes for the Q151M and T69 insertion HIV-1 resistance
mutations in historic UK data.
AB - BACKGROUND: The prevalence of HIV-1 resistance to antiretroviral therapies (ART)
has declined in high-income countries over recent years, but drug resistance
remains a substantial concern in many low and middle-income countries. The Q151M
and T69 insertion (T69i) resistance mutations in the viral reverse transcriptase
gene can reduce susceptibility to all nucleoside/tide analogue reverse
transcriptase inhibitors, motivating the present study to investigate the risk
factors and outcomes associated with these mutations. METHODS: We considered all
data in the UK HIV Drug Resistance Database for blood samples obtained in the
period 1997-2014. Where available, treatment history and patient outcomes were
obtained through linkage to the UK Collaborative HIV Cohort study. A matched case
control approach was used to assess risk factors associated with the appearance
of each of the mutations in ART-experienced patients, and survival analysis was
used to investigate factors associated with viral suppression. A further analysis
using matched controls was performed to investigate the impact of each mutation
on survival. RESULTS: A total of 180 patients with Q151M mutation and 85 with
T69i mutation were identified, almost entirely from before 2006. Occurrence of
both the Q151M and T69i mutations was strongly associated with cumulative period
of virological failure while on ART, and for Q151M there was a particular
positive association with use of stavudine and negative association with use of
boosted-protease inhibitors. Subsequent viral suppression was negatively
associated with viral load at sequencing for both mutations, and for Q151M we
found a negative association with didanosine use but a positive association with
boosted-protease inhibitor use. The results obtained in these analyses were also
consistent with potentially large associations with other drugs. Analyses were
inconclusive regarding associations between the mutations and mortality, but
mortality was high for patients with low CD4 at detection. CONCLUSIONS: The Q151M
and T69i resistance mutations are now very rare in the UK. Our results suggest
that good outcomes are possible for people with these mutations. However, in this
historic sample, viral load and CD4 at detection were important factors in
determining prognosis.
PMID- 29661249
TI - Using qualitative and community-based engagement approaches to gain access and to
develop a culturally appropriate STI prevention intervention for foreign female
entertainment workers in Singapore.
AB - BACKGROUND: There is an increasing global movement of foreign female
entertainment workers (FEWs), a hard-to-reach population vulnerable to HIV/STIs.
This paper described the needs assessment phase before intervention
implementation where the socio-organisation, sexual risk behaviours and access to
health services of foreign FEWs in Singapore were explored. We also highlighted
how qualitative inquiry, census enumeration technique and community-based
engagement approaches were used to gain access and to develop a culturally
appropriate STI prevention intervention. METHODS: In-depth interviews,
observations, informal conversational interviews, mystery client and critical
incident technique were used. We estimated the size of FEW population using the
census enumeration technique. The findings were used to inform intervention
development and implementation. RESULTS: We estimated 376 Vietnamese and 330 Thai
FEWs in 2 geographical sites where they operated in Singapore. Their reasons for
non-condom use included misconceptions on the transmission and consequences of
STI/HIV, low risk perception of contracting HIV/STI from paid/casual partner,
lack of skills to negotiate or to persuade partner to use condom, unavailability
of condoms in entertainment establishments and fear of the police using condom as
circumstantial evidence. They faced difficulties in accessing health services due
to fear of identity exposure, stigmatisation, cost and language differences. To
develop the intervention, we involved FEWs and peer educators, and ensured that
the intervention was non-stigmatising and met their needs. To foster their
participation, we used culturally-responsive recruitment strategies, and ensured
that the trial was anonymous and acceptable to the FEWs. These strategies were
effective as we achieved a participation rate of 90.3%, a follow-up rate of 70.5%
for the comparison and 66.8% for the intervention group. The interventions group
reported a significant increase in consistent condom use with a reduction in STI
incidence compared to no significant change in the comparison group. CONCLUSIONS:
The qualitative inquiry approaches to gain access, to foster participation and to
develop a culturally appropriate intervention, along with the census enumeration
technique application to estimate the FEW population sizes has led to successful
intervention implementation as well as safer sexual behaviour and STI incidence
reduction. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02780986 . Registered 23
May 2016 (retrospectively registered).
PMID- 29661248
TI - The regulation of combined treatment-induced cell death with recombinant TRAIL
and bortezomib through TRAIL signaling in TRAIL-resistant cells.
AB - BACKGROUND: Multiple trials have attempted to demonstrate the effective induction
of cell death in TRAIL-resistant cancer cells, including using a combined
treatment of recombinant TRAIL and various proteasome inhibitors. These studies
have yielded limited success, as the mechanism of cell death is currently
unidentified. Understanding this mechanism's driving forces may facilitate the
induction of cell death in TRAIL-resistant cancer cells. METHODS: Three kinds of
recombinant soluble TRAIL proteins were treated into TRAIL-resistant cells and
TRAIL-susceptible cells, with or without bortezomib, to compare their respective
abilities to induce cell death. Recombinant TRAIL was treated with bortezomib to
investigate whether this combination treatment could induce tumor regression in a
mouse syngeneic tumor model. To understand the mechanism of combined treatment
induced cell death, cells were analyzed by flow cytometry and the effects of
various cell death inhibitors on cell death rates were examined. RESULTS:
ILz:rhTRAIL, a recombinant human TRAIL containing isoleucine zipper
hexamerization domain, showed the highest cell death inducing ability both in
single treatment and in combination treatment with bortezomib. In both TRAIL
resistant and TRAIL-susceptible cells treated with the combination treatment, an
increase in cell death rates was dependent upon both the dose of TRAIL and its
intrinsic properties. When a syngeneic mouse tumor model was treated with the
combination of ILz:rhTRAIL and bortezomib, significant tumor regression was seen
as a result of the effective induction of cancer cell death. The combination
treatment-induced cell death was both inhibited by TRAIL blocking antibody and
caspase-dependent. However, it was not inhibited by various ER stress inhibitors
and autophagy inhibitors. CONCLUSIONS: The combination treatment with ILz:rhTRAIL
and bortezomib was able to induce cell death in both TRAIL-susceptible and TRAIL
resistant cancer cells through the intracellular TRAIL signaling pathway. The
efficiency of cell death was dependent on the properties of TRAIL under the
environment provided by bortezomib. The combination treatment-induced cell death
was not regulated by bortezomib-induced ER stress response or by autophagy.
PMID- 29661250
TI - Isocitrate dehydrogenase 1-snail axis dysfunction significantly correlates with
breast cancer prognosis and regulates cell invasion ability.
AB - BACKGROUND: The isocitrate dehydrogenase (IDH) gene family expresses key
functional metabolic enzymes in the Krebs cycle and mediates the epigenetic
reprogramming, which serves as an important biomarker of breast cancer. However,
the expression levels of the IDH protein and their biological function in human
breast cancer remain largely unknown. METHODS: In this study, the clinical impact
of IDH1 expression on the progression and prognosis of breast cancer was
evaluated using immunohistochemistry assay (IHC) of the corresponding tumor
adjacent normal, ductal carcinoma in situ (DCIS), and invasive ductal carcinoma
(IDC) tissues from 309 patients with breast ductal carcinoma. The relationship
between microRNA (miRNA) and IDH1 were examined by a bioinformatics approach,
western blot and reporter assay. The biological functions of IDH1 were examined
in breast cancer cells with IDH1 knockdown, including proliferation, migration
and invasion. RESULTS: The present findings revealed that the mRNA and protein
expression levels of IDH1 were both significantly lower in breast cancer tissues
than in adjacent normal tissues. A low expression level of IDH1 in breast cancer
significantly correlated with advanced stage (p = 0.012), lymph node metastasis
(p = 0.018), and poor disease-specific survival (DSS) (adjusted hazard ratio
(AHR), 1.57, 95% confidence interval (CI), 1.08-2.30; p = 0.02). Furthermore,
oncogenic miR-32 and miR-92b were identified to suppress IDH1 expression, leading
to the inhibition of cell migration and invasion. We further explored whether
reduced expression of IDH1 significantly increases snail expression by activating
HIFalpha (hypoxia-inducible factor-1 alpha) and NFkappaB (nuclear factor kappa B)
signaling. Multivariate Cox regression analysis revealed that the combination of
low IDH1 and high snail expression could be an independent risk factor for
shorter DSS (AHR, 2.34; 95% CI, 1.32-4.16; p = 0.004) and shorter disease-free
survival (AHR, 2.50; 95% CI, 1.39-4.50; p = 0.002) in patients with breast
cancer. CONCLUSION: Our findings revealed that a IDH1low/Snailhigh molecular
signature could serve as an independent biomarker for poor prognosis in breast
cancer.
PMID- 29661251
TI - Under diagnosis of intestinal schistosomiasis in a referral hospital, North
Ethiopia.
AB - OBJECTIVE: The present cross-sectional study was aimed at determining the
magnitude of under diagnosis of intestinal schistosomiasis among patients
requested for routine ova/parasite examination at Ayder referral hospital.
RESULTS: A total of 280 stool samples were collected and only 5% of the patients
were positive for ova of Schistosoma mansoni in the routine direct wet mount
microscopy. On the other hand, 12.5% of the patients were positive for ova
Schistosoma mansoni when the stool samples were processed by either Kato Kat or
formol ether concentration techniques. Moderate test agreement (kappa = 0.48) was
recorded for wet mount. Formol-ether concentration (kappa = 0.89) and Kato-Katz
(kappa = 0.92) showed excellent agreements with the 'Gold' standard. Direct wet
mount technique exhibited the poorest sensitivity (35%) of detection of ova of
Schistosoma mansoni. Hence, the Kato-Katz technique should be implemented in
parallel with the direct wet mount microscopy for Schistosoma mansoni presumptive
patients.
PMID- 29661253
TI - Activity-dependent decrease in contact areas between subsurface cisterns and
plasma membrane of hippocampal neurons.
AB - Subsurface cistern (SSC) in neuronal soma and primary dendrites is a specialized
compartment of endoplasmic reticulum (ER) that is in close apposition (10 nm)
with the plasma membrane (PM). ER-PM contact areas are thought to be involved in
intracellular calcium regulation. Here, structural changes of SSC in hippocampal
neurons were examined by electron microscopy upon depolarization with high K+ (90
mM) or application of NMDA (50 MUM) in rat dissociated cultures as well as
organotypic slice cultures. The number and average length of SSC-PM contact areas
in neuronal somas significantly decreased within 30 s under excitatory condition.
This decrease in SSC-PM contact area progressed with time and was reversible.
These results demonstrate a structural decoupling between the SSC and the PM upon
stimulation, suggesting that there may be a functional decoupling of the calcium
regulation. Because SSC-PM contact areas may mediate calcium influx, the decrease
in contact area may protect neurons from calcium overload upon heightened
stimulation.
PMID- 29661252
TI - miR-148b-3p functions as a tumor suppressor in GISTs by directly targeting KIT.
AB - BACKGROUND: Gain-of-function mutations and overexpression of KIT are
characteristic features of gastrointestinal stromal tumor (GIST). Dysregulation
in miRNA expression may lead to KIT overexpression and tumorigenesis. METHODS:
miRNA microarray analysis and real-time PCR were used to determine the miRNA
expression profiles in a cohort of 69 clinical samples including 50
CD117IHC+/KITmutation GISTs and 19 CD117IHC-/wild-type GISTs. GO enrichment and
KEGG pathway analyses were performed to reveal the predicted targets of the
dysregulated miRNAs. Of the dysregulated miRNAs whose expression was inversely
correlated with that of KIT miRNAs were predicted by bioinformatics analysis and
confirmed by luciferase reporter assay. Cell counting kit-8 (CCK-8) and flow
cytometry were used to measure the cell proliferation, cycle arrest and
apoptosis. Wound healing and transwell assays were used to evaluate migration and
invasion. A xenograft BALB/c nude mouse model was applied to investigate the
tumorigenesis in vivo. Western blot and qRT-PCR were used to investigate the
protein and mRNA levels of KIT and its downstream effectors including ERK, AKT
and STAT3. RESULTS: Of the six miRNAs whose expression was inversely correlated
with that of KIT, we found that miR-148b-3p was significantly downregulated in
the CD117IHC+/KITmutation GIST cohort. This miRNA was subsequently found to
inhibit proliferation, migration and invasion of GIST882 cells. Mechanistically,
miR-148b-3p was shown to regulate KIT expression through directly binding to the
3'-UTR of the KIT mRNA. Restoration of miR-148b-3p expression in GIST882 cells
led to reduced expression of KIT and the downstream effectors proteins ERK, AKT
and STAT3. However, overexpression of KIT reversed the inhibitory effect of miR
148b-3p on cell proliferation, migration and invasion. Furthermore, we found that
reduced miR-148b-3p expression correlated with poor overall survival (OS) and
disease-free survival (DFS) in GIST patients. CONCLUSION: miR-148b-3p functions
as an important regulator of KIT expression and a potential prognostic biomarker
for GISTs.
PMID- 29661254
TI - The risk and predictors for severe radiation pneumonitis in lung cancer patients
treated with thoracic reirradiation.
AB - BACKGROUND: Thoracic reirradiation (re-RT) is increasingly administered. However,
radiation pneumonitis (RP) remains to be the most common side effect from
retreatment. This study aimed to determine the risk and predictors for severe RP
in patients receiving thoracic re-RT. METHODS: Sixty seven patients with lung
cancer received thoracic re-RT for recurrent or metastatic disease. Three
dimensional conformal radiotherapy (3D-CRT)/intensity modulated radiotherapy
(IMRT) was used for 60 patients, and stereotactic body radiation therapy (SBRT)
was used in 7 patients. Deformable image registration (DIR) was performed to
create a composite plan. Severe (grade >= 3) RP was graded according to Common
Terminology Criteria for Adverse Events version 4.0. RESULTS: Eighteen patients
(26.9%) developed grade >= 3 RP (17 of grade 3, and 1 of grade 4). In univariate
analyses, V5 and mean lung dose (MLD) of initial RT or re-RT plans, V5 and V20 of
composite plans, and the overlap between V5 of initial RT and V5 of re-RT
plans/V5 of re-RT plans (overlap-V5/re-V5) were significantly associated with
grade >= 3 RP (P < 0.05 for each comparison). Multivariate analysis revealed that
MLD of the initial RT plans (HR = 14.515, 95%CI:1.778-118.494, P = 0.013), V5 of
the composite plans (HR = 7.398, 95%CI:1.319-41.495, P = 0.023), and overlap
V5/re-V5 (P = 0.041) were independent predictors for grade >= 3 RP. Out-of-field
failures with medium overlap-V5/re-V5 of 0.4-0.8 was associated with higher risk
of grade >= 3 RP compared with in-field failures (18.3% vs. 50%, P = 0.014).
CONCLUSIONS: The risk of grade >= 3 RP could be predicted not only by dose-volume
variables from re-RT plan, but also by some from initial-RT and composite plans.
Out-of-field failures was associated with higher risk of severe RP compared with
in-field failures in some cases.
PMID- 29661256
TI - Main effects of human saliva on flavour perception and the potential contribution
to food consumption.
AB - Whole saliva is a mixture composed by the secretions of the major and minor
salivary glands and the crevicular fluid, bacteria, cells and food debris. Its
properties (flow and composition) are highly intra- and inter-individually
dependent and reflect the health status of individuals. Saliva plays a key role
in the eating process and on the perception of flavour. Flavour corresponds to
the combined effect of taste sensations, aromatics and chemical feeling factors
evoked by food in the oral cavity. It is a key determinant of food consumption
and intake. This review summarises the evidence about the role of saliva in
flavour perception and its potential contribution to food intake. All in all,
evidence on the relationships between salivary parameters and both food
perception and feeding behaviour is presented. This review emphasises that new
studies accounting for the effect of salivary constituents on flavour alterations
due to diseases (i.e. cancer, obesity and diabetes) are lacking and are expected
in the incoming years.
PMID- 29661257
TI - Comparison of parasite loads in serum and blood samples from patients in acute
and chronic phases of Chagas disease.
AB - Molecular methods have been developed for the detection and quantification of
Trypanosoma cruzi DNA in blood samples from patients with Chagas disease.
However, aspects of sample processing necessary for quantitative real-time PCR
(qPCR), such as the addition of guanidine hydrochloride to whole blood samples,
may limit timely access to molecular diagnosis. We analysed 169 samples from
serum and guanidine-EDTA blood (GEB) obtained from patients in acute and chronic
phases of Chagas disease. We applied qPCR targeted to the satellite DNA region.
Finally, we compared the parasite loads and cycle of threshold values of the
qPCR. The results confirmed the usefulness of serum samples for the detection and
quantification of parasite DNA in patients with Chagas disease, especially in the
acute phase. However, the parasite loads detected in serum samples from patients
in the chronic phase were lower than those detected in GEB samples. The
epidemiological implications of the findings are herein discussed.
PMID- 29661258
TI - Liver metabolism in adult male mice offspring: consequences of a maternal,
paternal or both maternal and paternal high-fructose diet.
AB - The study aimed to evaluate the consequences of the consumption of a high
fructose diet (HFR; fructose was responsible for 45% of the energy from
carbohydrates) by the mother, the father, or both on C57BL/6 adult male
offspring. Non-consanguineous parents received the diet (HFR or control, C) from
8 weeks before mating until weaning (n=10 fathers and n=10 mothers on each diet).
After weaning, only the C diet was offered to offspring. The groups were formed
by one male randomly taken from each litter. The offspring groups were identified
according to the mother's diet (the first letter), then the father's diet (the
second letter), that is, C/C, C/HFR, HFR/C, HFR/HFR (n=10 per group). The parents
exhibited the following characteristics: compared with those of the C group, the
HFR parents had higher blood pressure (BP), enlarged liver, increased hepatic
triacylglycerol content, hypercholesterolemia, hypertriglyceridemia, high plasma
leptin and low adiponectin. The offspring exhibited the following
characteristics: compared with the C/C group, the HFR/HFR group had high BP. The
C/HFR, HFR/C and HFR/HFR showed elevated uric acid and leptin levels and
diminished adiponectin. The HFR/HFR group showed liver inflammation (increased
NFkappaB, SOCS3, JNK, TNF-alpha, IL1-beta and IL6 levels). Likewise, SREBP-1c and
FAS were upregulated. In conclusion, the consumption of a HFR by the mother
and/or father is associated with adverse effects on liver metabolism in adult
male offspring. When both mother and father are fed a HFR, the adverse effects on
the offspring are more severe.
PMID- 29661255
TI - Serotonergic gene polymorphisms (5-HTTLPR, 5HTR1A, 5HTR2A), and population
differences in aggression: traditional (Hadza and Datoga) and industrial
(Russians) populations compared.
AB - BACKGROUND: Current knowledge on genetic basis of aggressive behavior is still
contradictory. This may be due to the fact that the majority of studies targeting
associations between candidate genes and aggression are conducted on industrial
societies and mainly dealing with various types of psychopathology and disorders.
Because of that, our study was carried on healthy adult individuals of both sex
(n = 853). METHODS: Three populations were examined: two traditional (Hadza and
Datoga) and one industrial (Russians), and the association of aggression with the
following polymorphisms 5-HTTLPR, rs6295 (5HTR1A gene), and rs6311 (5HTR2A gene)
were tested. Aggression was measured as total self-ratings on Buss-Perry
Aggression Questionnaire. RESULTS: Distributions of allelic frequencies of 5
HTTLPR and 5HTR1A polymorphisms were significantly different among the three
populations. Consequently, the association analyses for these two candidate genes
were carried out separately for each population, while for the 5HTR2A
polymorphism, it was conducted on the pooled data that made possible to introduce
ethnic factor in the ANOVA model. The traditional biometrical approach revealed
no sex differences in total aggression in all three samples. The three-way ANOVA
(MU + 5-HTTLPR + 5HTR1A + 5HTR2A +epsilon) with measures of self-reported total
aggression as dependent variable revealed significant effect of the second
serotonin receptor gene polymorphism for the Hadza sample. For the Datoga, the
interaction effect between 5-HTTLPR and 5HTR1A was significant. No significant
effects of the used polymorphisms were obtained for Russians. The results of two
way ANOVA with ethnicity and the 5HTR2A polymorphism as main effects and their
interactions revealed the highly significant effect of ethnicity, 5HTR2A
polymorphism, and their interaction on total aggression. CONCLUSIONS: Our data
provided obvious confirmation for the necessity to consider the population
origin, as well as cultural background of tested individuals, while searching for
associations between genes and behavior, and demonstrated the role of cultural
attitudes towards the use of in-group aggression. Our data partly explained the
reasons for disagreement in results of different teams, searching for candidate
gene associations with behavior without considerations of culturally desirable
norms. Previous studies suggested that the 5HTR2A gene polymorphism associates
with aggression and criminality. Our data extended these findings, demonstrating
the role of rs6311 (5HTR2A gene) in aggression in adult healthy men and women
from our samples. We found that G-allele carriers were rated higher on total
aggression.
PMID- 29661259
TI - Low fertility, fecundity and numbers of mated female offspring explain the lower
reproductive success of the parasitic mite Varroa destructor in African
honeybees.
AB - Although Varroa destructor is the most serious ecto-parasite to the honeybee,
Apis mellifera L., some honeybee populations such as Apis mellifera scutellata in
Kenya can survive mite infestations without treatment. Previously, we reported
that grooming behaviour could be a potential tolerant mechanism expressed by this
honeybee subspecies towards mite infestation. However, both hygienic and grooming
behaviours could not explain the lower mite-infestation levels recorded in these
colonies. Here, we investigated the involvement of other potential resistant
mechanisms including suppression of mite reproduction in worker brood cells of A.
m. scutellata to explain the low mite numbers in their colonies. High infertility
rates (26-27%) and percentages of unmated female offspring (39-58%) as well as
low fecundity (1.7-2.2, average offspring produced) were identified as key
parameters that seem to interact with one another during different seasons to
suppress mite reproduction in A. m. scutellata colonies. We also identified
offspring mortality in both sexes and absence of male offspring as key factors
accounting for the low numbers of mated daughter mites produced in A. m.
scutellata colonies. These results suggest that reduced mite reproductive success
could explain the slow mite population growth in A. m. scutellata colonies.
PMID- 29661260
TI - Implementing routine blood-borne virus testing for HCV, HBV and HIV at a London
Emergency Department - uncovering the iceberg?
AB - UK guidelines recommend routine HIV testing in high prevalence emergency
departments (ED) and targeted testing for HBV and HCV. The 'Going Viral' campaign
implemented opt-out blood-borne virus (BBV) testing in adults in a high
prevalence ED, to assess seroprevalence, uptake, linkage to care (LTC) rates and
staff time taken to achieve LTC. Diagnosis status (new/known/unknown), current
engagement in care, and severity of disease was established. LTC was defined as
patient informed plus ?1 clinic visit. A total of 6211/24 981 ED attendees were
tested (uptake 25%); 257 (4.1%) were BBV positive (15 co-infected), 84 (33%)
required LTC. 100/147 (68%) HCV positives were viraemic; 44 (30%) required LTC
(13 new, 16 disengaged). 26/54 (48%) HBV required LTC (seven new, 11 disengaged).
16/71 (23%) HIV required LTC (10 new, five disengaged). 26/84 (31%) patients
requiring LTC had advanced disease (CD4 1, Fibroscan F3/F4 or liver cancer),
including five with AIDS-defining conditions and three hepatocellular carcinomas.
There were five BBV-related deaths. BBV prevalence was high (4.1%); most were HCV
(2.4%). HIV patients were more successfully and quickly LTC than HBV or HCV
patients. ED testing was valuable as one-third of those requiring LTC (new,
disengaged or unknown status patients) had advanced disease.
PMID- 29661261
TI - Genetic diversity and phylogeography of the elusive, but epidemiologically
important Echinococcus granulosus sensu stricto genotype G3.
AB - Cystic echinococcosis (CE) is a severe parasitic disease caused by the species
complex Echinococcus granulosus sensu lato. Human infections are most commonly
associated with E. granulosus sensu stricto (s.s.), comprising genotypes G1 and
G3. The objective of the current study was to provide first insight into the
genetic diversity and phylogeography of genotype G3. Despite the epidemiological
importance of the genotype, it has remained poorly explored due to the ambiguity
in the definition of the genotype. However, it was recently demonstrated that
long sequences of mitochondrial DNA (mtDNA) provide a reliable method to
discriminate G1 and G3 from each other. Therefore, we sequenced near-complete
mtDNA of 39 G3 samples, covering most of the known distribution range and host
spectra of the genotype. The phylogenetic network revealed high genetic variation
within E. granulosus s.s. G3 and while G3 is significantly less prevalent
worldwide than G1, the genetic diversity of both of the genotypes is equally
high. We also present the results of the Bayesian phylogeographic analysis, which
yielded several well-supported diffusion routes of genotype G3 originating from
Turkey and Iran, suggesting the Middle East as the origin of the genotype.
PMID- 29661262
TI - The genetics and molecular biology of fever-associated seizures or epilepsy.
AB - Fever-associated seizures or epilepsy (FASE) is primarily characterised by the
occurrence of a seizure or epilepsy usually accompanied by a fever. It is common
in infants and children, and generally includes febrile seizures (FS), febrile
seizures plus (FS+), Dravet syndrome (DS) and genetic epilepsy with febrile
seizures plus (GEFSP). The aetiology of FASE is unclear. Genetic factors may play
crucial roles in FASE. Mutations in certain genes may cause a wide spectrum of
phenotypical overlap ranging from isolated FS, FS+ and GEFSP to DS. Synapse
associated proteins, postsynaptic GABAA receptor, and sodium channels play
important roles in synaptic transmission. Mutations in these genes may involve in
the pathogenesis of FASE. Elevated temperature promotes synaptic vesicle (SV)
recycling and enlarges SV size, which may enhance synaptic transmission and
contribute to FASE occurring. This review provides an overview of the loci,
genes, underlying pathogenesis and the fever-inducing effect of FASE. It may
provide a more comprehensive understanding of pathogenesis and contribute to the
clinical diagnosis of FASE.
PMID- 29661263
TI - A new microsporidium Percutemincola moriokae gen. nov., sp. nov. from Oscheius
tipulae: A novel model of microsporidia-nematode associations.
AB - Here, we describe a new microsporidium Percutemincola moriokae gen. nov., sp.
nov., which was discovered in the intestinal and hypodermal cells of a wild
strain of the nematode Oscheius tipulae that inhabits in the soil of Morioka,
Iwate Prefecture, Japan. The spores of Pe. moriokae had an average size of 1.0 *
3.8 um and 1.3 * 3.2 um in the intestine and hypodermis, respectively, and
electron microscopy revealed that they exhibited distinguishing features with
morphological diversity in the hypodermis. Isolated spores were able to infect a
reference strain of O. tipulae (CEW1) through horizontal transmission but not the
nematode Caenorhabditis elegans. Upon infection, the spores were first observed
in the hypodermis and then in the intestine the following day, suggesting a
unique infectious route among nematode-infective microsporidia. Molecular
phylogenetic analysis grouped this new species with the recently identified
nematode-infective parasites Enteropsectra and Pancytospora forming a
monophyletic sister clade to Orthosomella in clade IV, which also includes human
pathogens such as Enterocytozoon and Vittaforma. We believe that this newly
discovered species and its host could have application as a new model in
microsporidia-nematode association studies.
PMID- 29661264
TI - Outbreak of Hepatitis A Virus Infection Among Adult Patients of a Mental Hospital
- Los Angeles County, 2017.
PMID- 29661265
TI - Invited commentary in response to: 'Identification of vitamin B12 deficiency in
vegetarian Indians'.
PMID- 29661266
TI - Characterization of Polish Steinernema silvaticum isolates (Nematoda:
Steinernematidae) using morphological and molecular data.
AB - Four strains of entomopathogenic nematodes were isolated with a live trap method
in southern Poland. The isolates were identified as Steinernema silvaticum based
on morphological, morphometric and molecular data. Infective juveniles of Polish
S. silvaticum isolates differ in body length from S. weiseri (951 vs 740 MUm,
respectively), and in the hyaline tail portion from S. kraussei (48 vs 38%,
respectively). First-generation males of S. silvaticum are longer than those of
S. kraussei, S. weiseri and S. ichnusae (1829 vs 1400, 1180 and 1341 MUm,
respectively). Males of S. silvaticum and a sister species S. kraussei can be
distinguished by the distance from the anterior end to the nerve ring (142 vs 105
MUm), spicule (66 vs 49 MUm) and gubernaculum length (45 vs 33 MUm), and the
presence of a mucron. The analysis of internal transcribed spacer (ITS), D2-D3
and cox1 sequences of the tested nematodes revealed differences of 3-5%, 3% and
12-13%, respectively, from S. kraussei strains. The phylogeny of both nuclear and
mitochondrial genes indicated close relationships of the Polish S. silvaticum
isolates with S. kraussei, S. oregonense and S. cholashanense. The reproductive
isolation of the studied isolates was confirmed by hybridization tests with other
European feltiae-kraussei group representatives. This study has supplemented the
original description of S. silvaticum with morphological and morphometric
characterization of the first-generation males and females. This is also the
first molecular study of this species based on a multi-gene approach.
PMID- 29661267
TI - Comparison of Electronic Versus Manual Mass-Casualty Incident Triage.
AB - : IntroductionMass-casualty incidents (MCIs) easily overwhelm a health care
facility's human and material resources through the extraordinary influx of
casualties. Efficient and accurate triage of incoming casualties is a critical
step in the hospital disaster response.Hypothesis/ProblemTraditionally, triage
during MCIs has been manually performed using paper cards. This study
investigated the use of electronic Simple Triage and Rapid Treatment (START)
triage as compared to the manual method. METHODS: This observational, crossover
study was performed during a live MCI simulation at an urban, Canadian, Level 1
trauma center on May 26, 2016. Health care providers (two medical doctors [MDs],
two paramedics [PMs], and two registered nurses [RNs]) each triaged a total of 30
simulated patients - 15 by manual (paper-based) and 15 by electronic (computer
based) START triage. Accuracy of triage categories and time of triage were
analyzed. Post-simulation, patients and participating health care providers also
completed a feedback form. RESULTS: There was no difference in accuracy of triage
between the electronic and manual methods overall, 83% and 80% (P=1.0), between
providers or between triage categories. On average, triage time using the manual
method was estimated to be 8.4 seconds faster (P<.001) for PMs; and while small
differences in triage times were observed for MDs and RNs, they were not
significant. Data from the participant feedback survey showed that the electronic
method was preferred by most health care providers. Patients had no preference
for either method. However, patients perceived the computer-based method as "less
personal" than the manual triage method, but they also perceived the former as
"better organized." CONCLUSION: Hospital-based electronic START triage had the
same accuracy as hospital-based manual START triage, regardless of triage
provider type or acuity of patient presentations. Time of triage results suggest
that speed may be related to provider familiarity with a modality rather than the
modality itself. Finally, according to patient and provider perceptions,
electronic triage is a feasible modality for hospital triage of mass casualties.
Further studies are required to assess the performance of electronic hospital
triage, in the context of a rapid surge of patients, and should consider
additional efficiencies built in to electronic triage systems. This study
presents a framework for assessing the accuracy, triage time, and feasibility of
digital technologies in live simulation training or actual MCIs. BolducC,
MaghrabyN, FokP, LuongTM, HomierV. Comparison of electronic versus manual mass
casualty incident triage. Prehosp Disaster Med. 2018;33(3):273-278.
PMID- 29661269
TI - Dynamic changes in the global transcriptome of bovine germinal vesicle oocytes
after vitrification followed by in vitro maturation.
AB - This study was conducted to investigate the effect of vitrification on the
dynamics of the global transcriptome in bovine germinal vesicle (GV) oocytes and
their in vitro-derived metaphase II (MII) oocytes. The GV oocytes were vitrified
using the open-pulled straw method. After warming, GV oocytes and the resulting
MII-stage oocytes were cultured in vitro for 2h and 24h respectively and were
then collected. The fresh GV oocytes and their in vitro-derived MII oocytes were
used as controls. Then, each pool (fresh GV, n=3; vitrified GV, n=4; fresh MII,
n=1 and MII derived from vitrified GV, n=2) from the different stages was used
for mRNA transcriptome sequencing. The results showed that the in vitro
maturation rates of GV oocytes were significantly decreased (32.36% vs 53.14%)
after vitrification. Bovine GV oocyte vitrification leads to 12 significantly
upregulated and 19 downregulated genes. After culturing in vitro, the
vitrification-derived MII oocytes showed 47 significantly upregulated and six
downregulated genes when compared with those from fresh GV oocytes. Based on
molecular function-gene ontology terms analysis and the Kyoto encyclopaedia of
genes (KEGG) pathway database, the differentially expressed genes were associated
with the pathways of cell differentiation and mitosis, transcription regulation,
regulation of actin cytoskeleton, apoptosis and so on, which potentially result
in the lower in vitro development of GV bovine oocytes.
PMID- 29661268
TI - Degradation or aggregation: the ramifications of post-translational modifications
on tau.
AB - Tau protein is encoded in the microtubule-associated protein tau (MAPT) gene and
contributes to the stability of microtubules in axons. Despite of its basic
isoelectric point and high solubility, tau is often found in intraneuronal
filamentous inclusions such as paired helical filaments (PHFs), which are the
primary constituent of neurofibrillary tangles (NFTs). This pathological feature
is the nosological entity termed "tauopathies" which notably include Alzheimer's
disease (AD). A proteinaceous signature of all tauopathies is
hyperphosphorylation of the accumulated tau, which has been extensively studied
as a major pharmacological target for AD therapy. However, in addition to
phosphorylation events, tau undergoes a number of diverse posttranslational
modifications (PTMs) which appear to be controlled by complex crosstalk. It
remains to be elucidated which of the PTMs or their combinations have pro
aggregation or anti-aggregation properties. In this review, we outline the
consequences of and communications between several key PTMs of tau, such as
acetylation, phosphorylation, and ubiquitination, focusing on their roles in
aggregation and degradation. We place emphasis on the structure of tau
protofilaments from the human AD brain, which may be good targets to modulate
etiological PTMs which cause tau aggregation. [BMB Reports 2018; 51(6): 265-273].
PMID- 29661270
TI - Systematic review of the evidence related to mandated nurse staffing ratios in
acute hospitals.
AB - Objective The purpose of this systematic review was to evaluate and summarise
available research on nurse staffing methods and relate these to outcomes under
three overarching themes of: (1) management of clinical risk, quality and safety;
(2) development of a new or innovative staffing methodology; and (3) equity of
nursing workload.Methods The PRISMA method was used. Relevant articles were
located by searching via the Griffith University Library electronic catalogue,
including articles on PubMed, Cumulative Index to Nursing and Allied Health
Literature (CINAHL) and Medline. Only English language publications published
between 1 January 2010 and 30 April 2016 focusing on methodologies in acute
hospital in-patient units were included in the present review.Results Two of the
four staffing methods were found to have evidenced-based articles from empirical
studies within the parameters set for inclusion. Of the four staffing
methodologies searched, supply and demand returned 10 studies and staffing ratios
returned 11.Conclusions There is a need to develop an evidence-based nurse
sensitive outcomes measure upon which staffing for safety, quality and workplace
equity, as well as an instrument that reliability and validly projects nurse
staffing requirements in a variety of clinical settings. Nurse-sensitive
indicators reflect elements of patient care that are directly affected by nursing
practice In addition, these measures must take into account patient satisfaction,
workload and staffing, clinical risks and other measures of the quality and
safety of care and nurses' work satisfaction. i.What is known about the topic?
Nurse staffing is a controversial topic that has significant patient safety,
quality of care, human resources and financial implications. In acute care
services, nursing accounts for approximately 70% of salaries and wages paid by
health services budgets, and evidence as to the efficacy and effectiveness of any
staffing methodology is required because it has workforce and industrial
relations implications. Although there is significant literature available on the
topic, there is a paucity of empirical evidence supporting claims of increased
patient safety in the acute hospital setting, but some evidence exists relating
to equity of workload for nurses.What does this paper add? This paper provides a
contemporary qualitative analysis of empirical evidence using PRISMA methodology
to conduct a systematic review of the available literature. It demonstrates a
significant research gap to support claims of increased patient safety in the
acute hospital setting. The paper calls for greatly improved datasets upon which
research can be undertaken to determine any associations between mandated patient
to nurse ratios and other staffing methodologies and patient safety and quality
of care.What are the implications for practitioners? There is insufficient
contemporary research to support staffing methodologies for appropriate staffing,
balanced workloads and quality, safe care. Such research would include the
establishment of nurse-sensitive patient outcomes measures, and more robust
datasets are needed for empirical analysis to produce such evidence.
PMID- 29661271
TI - Antimicrobial peptide expression in a wild tobacco plant reveals the limits of
host-microbe-manipulations in the field.
AB - Plant-microbe associations are thought to be beneficial for plant growth and
resistance against biotic or abiotic stresses, but for natural ecosystems, the
ecological analysis of microbiome function remains in its infancy. We used
transformed wild tobacco plants (Nicotiana attenuata) which constitutively
express an antimicrobial peptide (Mc-AMP1) of the common ice plant, to establish
an ecological tool for plant-microbe studies in the field. Transgenic plants
showed in planta activity against plant-beneficial bacteria and were phenotyped
within the plants' natural habitat regarding growth, fitness and the resistance
against herbivores. Multiple field experiments, conducted over 3 years, indicated
no differences compared to isogenic controls. Pyrosequencing analysis of the root
associated microbial communities showed no major alterations but marginal effects
at the genus level. Experimental infiltrations revealed a high heterogeneity in
peptide tolerance among native isolates and suggests that the diversity of
natural microbial communities can be a major obstacle for microbiome
manipulations in nature.
PMID- 29661272
TI - Hexameric and pentameric complexes of the ExbBD energizer in the Ton system.
AB - Gram-negative bacteria import essential nutrients such as iron and vitamin B12
through outer membrane receptors. This process utilizes proton motive force
harvested by the Ton system made up of three inner membrane proteins, ExbB, ExbD
and TonB. ExbB and ExbD form the proton channel that energizes uptake through
TonB. Recently, crystal structures suggest that the ExbB pentamer is the
scaffold. Here, we present structures of hexameric complexes of ExbB and ExbD
revealed by X-ray crystallography and single particle cryo-EM. Image analysis
shows that hexameric and pentameric complexes coexist, with the proportion of
hexamer increasing with pH. Channel current measurement and 2D crystallography
support the existence and transition of the two oligomeric states in membranes.
The hexameric complex consists of six ExbB subunits and three ExbD transmembrane
helices enclosed within the central channel. We propose models for
activation/inactivation associated with hexamer and pentamer formation and
utilization of proton motive force.
PMID- 29661274
TI - Granular cell tumor experience at a comprehensive cancer center.
AB - BACKGROUND: Granular cell tumors (GCTs) are rare lesions occurring almost
anywhere in the body. Multiple case reports have been published. However, there
are very few large-scale studies regarding GCT. The aim of this study was to
define characteristics, treatment patterns and outcomes of patients with GCT.
METHODS: An institutional review board-approved retrospective chart review was
performed. Descriptive statistics, chi-square analyses, and Kaplan-Meier survival
estimates were produced. RESULTS: Fifty patients were treated for GCT at our
institution between 1992 and 2015. The median age was 47 y; 62% of patients were
female and 64% were whites. Median tumor size was 0.8 cm. Four percent of
patients had malignant tumors, 10.0% had atypical tumors, and 86.0% had benign
tumors. The most frequent location of tumors was the gastrointestinal tract (n =
30; 60%), followed by skin/subcutaneous tissues (n = 19; 38%), then respiratory
tract (n = 1; 2%). Most patients underwent surgical excision or endoscopic
removal of their tumors without prior biopsy. Three patients (6%) had multifocal
tumors; they were more likely to experience recurrence than patients with
unifocal tumors (33.3% versus 10.6%, respectively; P = 0.05). Six patients
(12.0%) experienced recurrence, with a median time to recurrence of 13.5 mo.
Overall cancer-specific 5-y survival was 98.0%. Overall recurrence-free 5-y
survival was 86.4%. Patients with atypical tumors had a lower recurrence-free 5-y
survival rate than those with benign tumors (75.0% versus 89.7%, respectively; P
= 0.04). CONCLUSIONS: Patients with GCT fair well, particularly when tumors are
benign. Patients with multifocal tumors are more likely to experience recurrence
and should be closely monitored.
PMID- 29661275
TI - Preinjury statin use and thromboembolic events in trauma: a 10-year retrospective
evaluation.
AB - BACKGROUND: Traumatic injury is well known to increase the risk of venous
thromboembolic events (VTEs), occurring in up to 58% of trauma patients. Statin
medications have significant anti-inflammatory properties and have been shown to
reduce the risk of VTE. We hypothesized that trauma patients who received statin
medication before injury would have a lower incidence of VTE after injury.
METHODS: A 10-y retrospective review identified all patients admitted to our
trauma service with an injury severity score >9 and an intensive care unit stay
of >3 d. This population was categorized as either "statin recipient" (SR) or
"statin naive," with subsequent categorical division by occurrence of VTE. Our
primary outcome measure was the occurrence of documented VTE in both statin naive
and SR subjects. RESULTS: A total of 2519 trauma patients were included with 97
(3.8%) developing VTE. Pretrauma statin use in males remained as an independent
predictor of VTE (odds ratio = 2.25, 95% confidence interval = 1.25-4.04, P <
0.01). The median time to VTE onset was 3 d longer in SRs (10.0 d; confidence
interval = 7.3-12.7, P < 0.05). CONCLUSIONS: Pretrauma statin use does not appear
to have a protective benefit of VTE prevention in trauma patients, as we have
shown pretrauma SR male trauma patients to have a twofold increased incidence of
VTE. However, when considering the 3 d longer median time to VTE onset found in
SRs, we consider the protective benefit of statin use reported in the current
literature as likely attributable to this observed delayed onset.
PMID- 29661273
TI - IRS-1 acts as an endocytic regulator of IGF-I receptor to facilitate sustained
IGF signaling.
AB - Insulin-like growth factor-I receptor (IGF-IR) preferentially regulates the long
term IGF activities including growth and metabolism. Kinetics of ligand-dependent
IGF-IR endocytosis determines how IGF induces such downstream signaling outputs.
Here, we find that the insulin receptor substrate (IRS)-1 modulates how long
ligand-activated IGF-IR remains at the cell surface before undergoing endocytosis
in mammalian cells. IRS-1 interacts with the clathrin adaptor complex AP2. IRS-1,
but not an AP2-binding-deficient mutant, delays AP2-mediated IGF-IR endocytosis
after the ligand stimulation. Mechanistically, IRS-1 inhibits the recruitment of
IGF-IR into clathrin-coated structures; for this reason, IGF-IR avoids rapid
endocytosis and prolongs its activity on the cell surface. Accelerating IGF-IR
endocytosis via IRS-1 depletion induces the shift from sustained to transient Akt
activation and augments FoxO-mediated transcription. Our study establishes a new
role for IRS-1 as an endocytic regulator of IGF-IR that ensures sustained IGF
bioactivity, independent of its classic role as an adaptor in IGF-IR signaling.
PMID- 29661276
TI - Postoperative morbidity and mortality for malignant colon obstruction: the
American College of Surgeon calculator reliability.
AB - BACKGROUND: The American College of surgical risk calculator (SRC) score has
never been validated specifically for surgery in emergency. The objective was to
evaluate the reliability of this calculator in patients with malignant colon
obstruction. MATERIALS AND METHODS: We retrospectively have analyzed the
morbidity and mortality observed in operated patients. Risk factors for
postoperative morbidity and mortality were analyzed by logistic regression model.
We have compared the morbidity and mortality estimated by the SRC score with that
observed using the Brier Score (BS). A BS of 0 indicated perfect prediction,
whereas a BS of 1 indicated the poorest prediction. RESULTS: Sixty-nine patients
aged 75 y (41-93) have been operated on emergency from November 2001 to August
2015. The tumor was localized in the sigmoid in 33 cases (48%), in the splenic
flexure in nine cases (13%), and in the right colon in 17 cases (25%). The
surgical procedures were as follows: right colectomy with anastomosis (29%),
diverting proximal iliac colostomy (23%), and subtotal colectomy with anastomosis
(19%). The SRC score indicated a good predictivity for mortality (9.8% predicted
versus 8.7% observed, BS = 0.058), for morbidity (33.4% versus 40.6%, BS =
0.209), and for serious morbidity (25.5% versus 17.4%, BS = 0.131). In
multivariate analysis, SRC was an independent risk factor for mortality (P =
0.030 odds ratio [OR] = 1.07 [1.01-1.15]) and morbidity (P = 0.001 OR = 1.16
[1.08-1.27]). CONCLUSIONS: SRC score is a reliable tool for assessing the
morbidity and mortality of obstructive colon cancer and could help with adapting
the surgical gesture to the risks predicted.
PMID- 29661277
TI - RT-PCR of peritoneal washings predicts peritoneal pancreatic cancer recurrence.
AB - BACKGROUND: Peritoneal recurrence of pancreatic cancer is a frequent and lethal
outcome after R0 resection. A method to predict peritoneal recurrence could be
helpful in its prevention. MATERIALS AND METHODS: Peritoneal washings were
prospectively obtained from 29 patients in whom R0 resection was performed.
Cytological examination (CY) and real-time reverse transcription polymerase chain
reaction (RT-PCR) of the peritoneal washing for the detection of cancer-related
genes, CEACAM5, KRT7, KRAS, and MUC1, were performed. Clinicopathological
characteristics and real-time RT-PCR results of the peritoneal washing were
compared between patients whose pancreatic cancer recurred peritoneally (n = 7)
and those patients who it did not recur (n = 22). RESULTS: Only one CY-positive
(CY+) case was detected, and that patient recurred. MUC1 mRNA expression was
significantly higher in the recurrence group (P = 0.015). Cumulative incidence
function analysis demonstrated that peritoneal recurrence rate was significantly
higher in MUC1-positive (MUC1+) patients (P = 0.044). MUC1+ patients had
significantly decreased disease-free survival (P = 0.009) and disease-specific
survival (P = 0.031). MUC1 protein was detected in the primary tumor in 18 of 29
patients. However, no significant difference was observed in the expression of
MUC1 protein in peritoneal washings from the primary tumor (P = 0.579).
CONCLUSIONS: High expression of MUC1 mRNA in peritoneal washings is a significant
risk factor for peritoneal recurrence of pancreatic cancer after R0 resection
along with poor disease-specific survival. RT-PCR of MUC1 mRNA in peritoneal
washing may be useful for individualization of adjuvant chemotherapy.
PMID- 29661278
TI - Comparing survival outcomes of gross total resection and subtotal resection with
radiotherapy for craniopharyngioma: a meta-analysis.
AB - BACKGROUND: Recent studies suggest that subtotal resection (STR) followed by
radiation therapy (RT) is an appealing alternative to gross total resection (GTR)
for craniopharyngioma, but it remains controversial. We conducted a meta-analysis
to determine whether GTR is superior to STR with RT for craniopharyngioma.
MATERIALS AND METHODS: A systematic search was performed for articles published
until October 2017 in the PubMed, Embase, and Cochrane Central databases. The
endpoints of interest are overall survival and progression-free survival. Pooled
hazard ratios (HRs) and corresponding 95% confidence intervals (CIs) were
calculated using a fixed or random-effects model. The data were analyzed using
Review Manager 5.3 software. RESULTS: A total of 744 patients (seven cohort
studies) were enrolled for analyses. There were no significant differences
between the GTR and STR with RT groups when the authors compared the pooled HRs
at the end of the follow-up period. Overall survival (pooled HR = 0.76, 95% CI:
0.46-1.25, P = 0.28) and progression-free survival (pooled HR = 1.52, 95% CI:
0.42-5.44, P = 0.52) were similar between the two groups. CONCLUSIONS: The
current meta-analysis suggests that GTR and STR with RT have the similar survival
outcomes for craniopharyngioma.
PMID- 29661279
TI - Sources of distress among patients undergoing surgery for colorectal cancer: a
qualitative study.
AB - BACKGROUND: Distress is common among cancer and surgical patients and can lead to
worse outcomes if untreated. The objective of this study was to explore sources
of distress among colorectal cancer patients undergoing surgery. MATERIALS AND
METHODS: This was a qualitative study using in-depth, semistructured, one-on-one
interviews in an academic setting. Patients were recruited if they had a
pathologically confirmed diagnosis of colon or rectal cancer. Purposive sampling
was used to recruit patients who were about to undergo (preoperative), or had
recently undergone (postoperative), curative resection for colorectal cancer.
RESULTS: All participants (n = 24) reported experiencing distress during
treatment. Participants identified sources of distress preoperatively (negative
emotional reaction to diagnosis, distress from preconception of cancer diagnosis,
and distress interacting with healthcare system). Sources of distress during in
hospital recovery included negative emotional reaction to having a surgery and
negative emotions experienced in the hospital. Postoperative sources of distress
included mismatch of expectations and experience of recovery, dealing with
distressing physical symptoms and complications after surgery, and distress
worrying about recurrence. Participants identified other sources of distress that
were not time-specific (distress related to social support network, from
disruption of life, and worrying about death). CONCLUSIONS: Our results highlight
a potential role for a comprehensive screening program to identify which patients
require assistance with addressing sources of distress during the surgical
experience. Understanding how sources of distress may vary by time will help us
tailor interventions at different time points of the surgical experience.
PMID- 29661280
TI - Prognostic value of neoadjuvant treatment response in locally advanced rectal
cancer.
AB - BACKGROUND: For locally advanced rectal cancer, response to neoadjuvant radiation
has been associated with improved outcomes but has not been well characterized in
general practice. The goals of this study were to describe disease response rates
after neoadjuvant treatment and to evaluate the association between disease
response and survival. MATERIALS AND METHODS: Retrospective cohort study of
patients aged 18-80 y with clinical stage II and III rectal adenocarcinoma in the
National Cancer Database (2006-2012). All patients underwent radical resection
after neoadjuvant treatment. Treatment responses were defined as follows: no
tumor response; intermediate-T and/or N downstaging with residual disease; and
complete-ypT0N0. Multivariable, multinomial regression was used to evaluate the
association between neoadjuvant radiation use and disease response. Multivariable
Cox regression was used to evaluate the association between disease response and
overall risk of death. RESULTS: Among 12,024 patients, 12% had a complete and 30%
an intermediate response. Neoadjuvant chemotherapy alone was less likely to
achieve an intermediate (relative risk ratio: 0.70 [0.56-0.88]) or a complete
response (relative risk ratio: 0.59 [0.41-0.84]) relative to neoadjuvant
radiation. Tumor response was associated with improved 5-y overall survival
(complete = 90.2%, intermediate = 82.0%, no response = 70.5%; log-rank, P <
0.001). Complete and intermediate pathologic responses were associated with
decreases in risk of death (hazard ratio: 0.40 [0.34-0.48] and 0.63 [0.57-0.69],
respectively) compared to no response. Primary tumor and nodal response were
independently associated with decreased risk of death. CONCLUSIONS: Neoadjuvant
radiation is associated with treatment response, and pathologic response is
associated with improved survival. Pathologic response may be an early benchmark
for the oncologic effectiveness of neoadjuvant treatment.
PMID- 29661281
TI - Acute high-altitude exposure shortens survival after uncontrolled hemorrhagic
shock in rats.
AB - BACKGROUND: Uncontrolled hemorrhage (UH) remains the most common cause of death
on the battlefield. This study examined the pathophysiological characteristics of
UH in rats acutely exposed to high altitude. MATERIAL AND METHODS: Rats raised at
sea level were randomly divided into two groups. Rats in the high-altitude group
were exposed to hypobaric hypoxia in a hypobaric chamber (simulating 4000 m above
sea level) for 2 d and then were performed a hemorrhagic shock protocol in the
hypobaric chamber. Rats that underwent the same hemorrhage procedure at sea level
were used as control. Anesthetized rats were bled to maintain their mean arterial
pressure at 45 mmHg for 1 h. The distal quarter of the tail was amputated to
allow free blood loss. After 1 h, the tail cut was ligated to induce hemostasis.
mean arterial pressure, acid-base balance, blood loss, and survival were
recorded. Rats were killed, and tissues were obtained for histological analysis.
RESULTS: Rats in the high-altitude group suffered less uncontrolled blood loss,
more severe acidosis (lower pH and base excess), and inferior tissue oxygen
supply (lower oxygen saturation and higher arterial lactate concentration) during
the hemorrhage periods compared with the control group. Survival rates were
significantly lower in the high-altitude group than those in the control group (P
< 0.05), which was consistent with the results of pathological tissue injury.
CONCLUSIONS: In this rat model of hemorrhagic shock, acute high-altitude exposure
resulted in decreased UH but more serious hemorrhagic shock injuries than that at
sea level.
PMID- 29661282
TI - Impact of fresh frozen plasma transfusion on postoperative inflammation and
prognosis of colorectal liver metastases.
AB - BACKGROUND: Blood transfusion has been reported to be associated with
immunomodulation and poor oncologic outcomes in several malignancies. The aim of
the study is to investigate the influence of the use of fresh frozen plasma (FFP)
on long-term outcomes in patients with colorectal liver metastases (CRLM) after
hepatic resection. MATERIALS AND METHODS: The study comprised 127 patients who
had undergone first hepatic resection for CRLM between April 2000 and December
2013. We retrospectively investigated the influence of the use of FFP on disease
free survival as well as overall survival and assessed the impact of such a
practice on postoperative inflammation markers. RESULTS: In multivariate
analysis, more than four lymph node metastases of the primary cancer (P = 0.001),
bilobar distribution (P = 0.002), and perioperative FFP transfusion (P = 0.005)
were independent risk factors for cancer recurrence, while more than four lymph
node metastases of the primary cancer (P < 0.001), presence of neoadjuvant
chemotherapy (P = 0.002), and perioperative FFP transfusion (P = 0.004) were
independent risk factors for poor overall survival. In patients who underwent FFP
transfusion, tumor size (P = 0.004), anatomic resection (P < 0.001), duration of
operation (P = 0.039), and intraoperative blood loss (P < 0.001) were
significantly greater. Moreover, FFP transfusion was associated with a higher
white blood cell level on postoperative day 3 (P < 0.001) and day 5 (P = 0.010)
and lower serum C-reactive protein level on postoperative day 1 (P < 0.001) and
day 3 (P = 0.017). CONCLUSIONS: Perioperative FFP transfusion is independently
associated with poor long-term outcomes in patients with CRLM after hepatic
resection. FFP may have an influence on postoperative inflammation because of its
immunosuppressive effects.
PMID- 29661283
TI - 2-Octylcyanoacrylate for the prevention of anastomotic leak.
AB - BACKGROUND: Anastomotic leak after colorectal surgery is a significant cause of
morbidity and mortality. The aim of this study was to evaluate the impact of a
reinforced colo-colonic anastomosis with tissue adhesive, 2-octylcyanoacrylate (2
OCA), on the integrity of anastomotic healing as measured by anastomotic bursting
pressure. METHODS: Sixty-eight female Sprague-Dawley rats underwent a
rectosigmoid colon transection and a sutured end-to-end anastomosis followed by
randomization to receive no further intervention or reinforcement with the tissue
adhesive, 2-OCA. After seven postoperative days, a macroscopic assessment of the
anastomosis, mechanical assessment to determine anastomotic bursting pressure,
and a detailed semi-quantitative histopathologic healing assessment were
performed. RESULTS: Thirty-four animals were randomized to each group. Study
characteristics did not differ between the groups. There was also no difference
in the degree of adhesions present postoperatively. Although there was no
difference between the net proximal and distal luminal areas in the two groups
(0.37 cm2versus 0.55 cm2, P = 0.26), the 2-OCA group exhibited evidence of
stricture in 15% of anastomoses as compared with 3% in the suture-only group (P <
0.0001). Histologically, the presence of only fibroblasts density was
statistically more evident in the 2-OCA group compared with the sutured-only
anastomosis (P = 0.0183). There was not a significant increase in mechanical
strength in the 2-OCA group (238.9 mm Hg) versus in the suture-only group (231.8
mm Hg). There was no difference in the rate of anastomotic leak in the 2-OCA as
compared with the suture-only group (9.1 versus 8.8%). CONCLUSIONS: Application
of 2-OCA to reinforce a colo-colonic anastomosis clinically provides no benefit
to its mechanical strength and detrimentally increases the rate of obstruction
and/or stricture in this in vivo model.
PMID- 29661284
TI - Pyruvate in reduced osmolarity oral rehydration salt corrected lactic acidosis in
sever scald rats.
AB - BACKGROUND: A novel pyruvate-based oral rehydration salt (Pyr-ORS) was
demonstrated of superiority over bicarbonate- or citrate-based one to preserve
organ function and correct lactic acidosis in rehydration of lethal shock in
animals. This study further compared these effects between low-osmolar Pyr-ORS
and equimolar citrate-based counterpart. METHODS: Eighty rats, using a fatal burn
shock model, were randomized into four groups (two subgroups per group: n = 10):
the sham group (group SR), Pyr-ORS group (group PR), WHO-ORS III group (group
CR), and no rehydration group. ORS was delivered by manual gavage during 24 h
following burns. Oral administration consisted of half of counted volume in the
initial 8 h plus the rest in the later 16 h. Systemic hemodynamics, visceral
organ surface blood flow, organ function, and metabolic acidosis were determined
at 8 h and 24 h after burn. Another set of rats with identical surgical
procedures without tests was observed for survival. RESULTS: Survival was
markedly improved in the groups PR and CR; the former showed a higher survival
rate than the latter at 24 h (40% versus 20%, P < 0.05). Systemic hemodynamics,
visceral blood flow, and function of heart, liver, and kidney were greatly
restored in group PR, compared with group CR (all P < 0.05). Hypoxic lactic
acidosis was efficiently reversed in group PR, instead of group CR, (pH 7.36
versus 7.11, base excess 2.1 versus -9.1 mmol/L, lactate 4.28 versus 8.18 mmol/L;
all P < 0.05) at 24 h after injury. CONCLUSIONS: Pyruvate was advantageous over
citrate in low-osmolar ORS for protection of organs and survival; pyruvate, but
not citrate, in the ORS corrected hypoxic lactic acidosis in rats subjected to
lethal burn shock in 24 h.
PMID- 29661285
TI - Extending surgeon response times in tier 2 traumas does not adversely affect
patient outcomes.
AB - BACKGROUND: The presence of a trauma surgeon during patient resuscitations is
required at most American College of Surgeons-verified trauma centers despite
little evidence showing improved patient outcomes in the less-than-critically
injured (Tier 2) trauma patients. This study was designed to identify the impact
of extending required surgeon response times on outcomes in tier 2 trauma
patients. METHODS: An American College of Surgeons-verified level 2 trauma center
extended the maximum allowed surgeon response time for tier 2 activations from 60
min to 120 min on November 1, 2011. Surgeon response time and patient outcomes of
the retrospective control group (January 1, 2008-October 31, 2011) were then
compared with the prospective test group (November 1, 2011-December 31, 2014).
Primary outcomes included mortality and hospital length of stay (HLOS). Secondary
outcomes were emergency department length of stay, and time from ED arrival to CT
scan. A subset analysis of all patients evaluated by a surgeon within 60 min of
arrival versus those evaluated by a surgeon after 60 min was also performed.
RESULTS: The control and test groups were composed of 757 and 792 patients, and
their mean injury severity score was 9.0 and 6.0, respectively. Emergency
department length of stay showed a statistically significant increase of 12 min,
whereas HLOS was unchanged throughout the study. Mortality was not significantly
different between the groups. Subset analysis revealed a median surgeon arrival
time of 15 min in the <60-min group and 85 min in the >60-min group, whereas the
injury severity score, HLOS, and mortality were not significantly different
between these subsets. No correlation existed between these outcomes and surgeon
arrival time. CONCLUSIONS: Doubling required surgeon response time in tier 2
trauma patients does not produce negative outcomes in this patient group.
Mandatory surgeon response times in similar patient groups can be re-evaluated to
allow for greater flexibility of a limited surgeon workforce while still
providing safe care.
PMID- 29661286
TI - Comparison of zone 3 Resuscitative Endovascular Balloon Occlusion of the Aorta
and the Abdominal Aortic and Junctional Tourniquet in a model of junctional
hemorrhage in swine.
AB - BACKGROUND: Traumatic injuries to the pelvis and high junctional injuries are
difficult to treat in the field; however, Resuscitative Endovascular Balloon
Occlusion of the Aorta (REBOA) and the Abdominal Aortic and Junctional Tourniquet
(AAJT) constitute two promising treatment modalities. The aim of this study is to
use a large animal model of pelvic hemorrhage to compare the survival,
hemostatic, hemodynamic, and metabolic profile of both techniques. METHODS:
Yorkshire swine (n = 10, 70-90 kg) underwent general anesthesia, instrumentation,
and surgical isolation of the femoral artery. Uncontrolled hemorrhage was
initiated by an arteriotomy. Animals were randomly allocated to either REBOA or
AAJT. Following completion of device application, both groups received a 500 mL
Hextend bolus. After 1 hour, the injured femoral artery was ligated to simulate
definitive hemostasis followed by a second Hextend bolus and device removal.
Animals were observed for two more hours. Physiological data were collected
throughout the experiments and compared between groups. RESULTS: Both techniques
achieved 100% hemostasis, and all animals survived the entire experiment except
one in the REBOA group. During the hour treatment phase, the AAJT group had a
higher mean arterial pressure than the REBOA group (59.9 +/- 16.1 versus 44.6 +/-
9.8 mm Hg, respectively; P < 0.05). The AAJT-treated group had higher lactate
levels than the REBOA-treated group (4.5 +/- 2.0 versus 3.2 +/- 1.3 mg/dL,
respectively; P < 0.05). CONCLUSIONS: Despite their mechanistic differences, both
techniques achieved a similar hemostatic, hemodynamic, and metabolic profile.
Some differences do exist including lactate levels and blood pressure.
PMID- 29661287
TI - Prognostic significance of nuclear factor of activated T-cells 5 expression in
non-small cell lung cancer patients who underwent surgical resection.
AB - BACKGROUND: Nuclear factor of activated T-cells 5 (NFAT5) is known to be
correlated with migration or invasion of tumor cells based on previous in vitro
studies. The aim of this study was to analyze the relationship between NFAT5
expression and clinical prognosis in non-small cell lung cancer (NSCLC) patients
who underwent surgical resection. MATERIALS AND METHODS: A total of 92 NSCLC
patients who underwent surgical resection were enrolled. The tissue microarray
core was obtained from surgically resected tumor specimens. NFAT5 expression was
evaluated by immunohistochemistry. Relationships of NFAT5 expression with disease
recurrence, overall survival, and disease-free survival (DFS) were analyzed.
RESULTS: The mean age of 92 patients was 63.7 y. The median follow-up duration
was 63.3 mo. Fifty-one (55%) patients exhibited positive expression of NFAT5.
Disease recurrence in the NFAT5-positive group was significantly (P = 0.022)
higher than that in the NFAT5-negative group. NFAT5-positive expression (odds
ratio: 2.632, 95% confidence interval: 1.071-6.465, P = 0.035) and pathologic N
stage (N1-2 versus N0; odds ratio: 3.174, 95% confidence interval: 1.241-8.123, P
= 0.016) were independent and significant risk factors for disease recurrence.
DFS of the NFAT5-positive group was significantly worse than that of the NFAT5
negative group (89.7 versus 48.7 mo, P = 0.011). A multivariate analysis
identified NFAT5 expression (P < 0.029) as a significant independent risk factor
for DFS of patients with postoperative pathologic T and N stages (P < 0.001 and P
= 0.017, respectively). CONCLUSIONS: NFAT5 expression is a useful prognostic
biomarker for NSCLC patients who underwent surgical resection.
PMID- 29661288
TI - Hepatocyte transplantation: past efforts, current technology, and future
expansion of therapeutic potential.
AB - Hepatic cell transplantation (HCT) continues to garner interest as an alternative
to orthotopic liver transplantation and the attendant donor shortage. When
compared with solid organ transplantation, advantages of cell transplantation
include the potential to treat more patients with a considerably less invasive
procedure, the ability to utilize organs otherwise unsuitable for transplant, and
leaving the native organ in situ with the potential for regeneration. While
studies date back to the early 1960s, advancement of clinical application has
been slow due in part to limitations of suitable tissue supplies and reproducible
robust techniques. Compared with orthotopic liver transplantation, there are
fewer absolute contraindications for donor selection. And, current techniques
used to harvest, isolate, store, and even transfuse cells vary little between
institutions. Significant variation is seen due to a lack of consensus with
maintenance therapy. Although the ideal recipient has not been clearly
identified, the most significant results have been demonstrated with correction
of congenital metabolic liver disorders, with a few trials examining its utility
in cirrhotics and more recently acute liver failure. The most exciting new topic
of discussion examines techniques to improve engraftment, with many such as
ischemic preconditioning and nonselective partial embolization (microbead
therapy), while not yet used in HCT study, showing promise in solid organ
research. Advancements in HCT, although slow in progress, have great potential in
the ability to alleviate the burden faced in solid organ transplantation and
possibly become a long-term viable option, beyond that of a bridge or salvage
therapy.
PMID- 29661289
TI - A nomogram to predict lymph node metastasis before resection in intrahepatic
cholangiocarcinoma.
AB - BACKGROUND: In this study, we developed and validated a nomogram to predict lymph
node metastasis before surgery in patients with intrahepatic cholangiocarcinoma
(ICC). METHODS: Using the data from January 2006 to January 2015, we enrolled a
total of 218 eligible patients with clinicopathologically confirmed ICC as a
primary cohort to develop the nomogram. After various variables before surgery
were analyzed by multivariable logistic regression, we combined the preoperative
carbohydrate antigen 19-9, primary site of tumor, lymphonodus size on computed
tomography imaging, tumor growth pattern, and (if applicable) histologic grade to
make two different predictive nomograms. Then, the results were validated in 62
consecutive ICC patients from February 2015 to December 2016. We also compared
the performance of the different nomograms via calibration, discrimination, and
clinical use. RESULTS: The nomogram displayed fine discrimination (the
concordance index, 0.761) and fine calibration in the primary cohort. When
applied to the validation cohort, the nomogram also showed fine discrimination
(concordance index, 0.794) and fine calibration. After adding the histologic
grade to the nomogram, the integrated discrimination for predictive performance
improved significantly. Finally, the clinical usefulness of predictive nomogram
was proven via the decision curve analysis. CONCLUSIONS: The proposed nomograms
can be selectively used to achieve more accurate lymph node metastasis
predictions before surgery in patients with ICC, and this information can help
with clinical management.
PMID- 29661290
TI - Factors affecting the caloric and protein intake over time in critically ill
trauma patients.
AB - BACKGROUND: Major trauma leads to increased nutritional requirements. However,
little is known about the actual amount of calories and protein administered and
the factors affecting the intake over time in critically ill trauma patients.
METHODS: Prospective study including 100 trauma patients admitted to the Los
Angeles County + University of Southern California Medical Center intensive care
unit between March 2014 and October 2014. Inclusion criteria were age > 16 y,
surgery at admission, and no oral nutrition. The caloric and protein intake was
recorded, and requirements were calculated daily for 28 d. The nutritional intake
and the impact of clinical factors on the intake over time were assessed using
mixed model analysis. RESULTS: The caloric and protein intake significantly
increased over time, but the median intake did not meet the median calculated
requirements at any time. Multivariable analysis revealed a smaller increase of
the nutritional intake over time in patients with an injury severity score > 45,
whereas penetrating injury and laparotomy were associated with a higher increase
of the intake. Body mass index scores >= 30 kg/m2, traumatic brain injury, and
gastrointestinal tract injuries were associated with a smaller increase of the
caloric intake over time. CONCLUSIONS: The median nutritional intake did not meet
the median calculated requirements over time. A smaller increase of the
nutritional intake over time was found in patients with a higher injury burden,
whereas penetrating injury and laparotomy were associated with a higher increase
of the intake. Higher body mass index scores, traumatic brain injury, and
gastrointestinal tract injuries were associated with a smaller increase of the
caloric intake over time. These clinical factors can help to adjust the
nutritional support in critically ill trauma patients.
PMID- 29661291
TI - Hemodialysis patients have worse outcomes after infrageniculate revascularization
procedures.
AB - BACKGROUND: Hemodialysis (HD) has been shown to be an independent predictor of
poor outcomes after femoropopliteal revascularization procedures in patients with
chronic limb-threatening ischemia. However, HD patients tend to have isolated
infrageniculate disease, an anatomic risk factor for inferior patency. We aimed
to compare outcomes for HD versus non-HD patients after infrageniculate open
lower extremity bypass (LEB) and endovascular peripheral vascular interventions
(PVIs). METHODS: Data from the Society for Vascular Surgery Vascular Quality
Initiative database (2008-2014) were analyzed. All patients undergoing
infrageniculate LEB or PVI for rest pain or tissue loss were included. One-year
primary patency (PP), secondary patency (SP), and major amputation outcomes were
analyzed for HD versus non-HD patients stratified by treatment approach using
both univariable and multivariable analyses. RESULTS: A total of 1688 patients
were included, including 348 patients undergoing LEB (HD = 44 versus non-HD =
304) and 1340 patients undergoing PVI (HD = 223 versus non-HD = 1117). Patients
on HD more frequently underwent revascularization for tissue loss (89% versus
77%, P < 0.001) and had >=2 comorbidities (91% versus 76%, P < 0.001). Among
patients undergoing LEB, 1-y PP (66% versus 69%) and SP (71% versus 78%) were
similar for HD versus non-HD (P >= 0.25) groups, but major amputations occurred
more frequently in the HD group (27% versus 14%; P = 0.03). Among patients
undergoing PVI, 1-y PP (70% versus 78%) and SP (82% versus 90%) were lower and
the frequency of major amputations was higher (27% versus 10%) for HD patients
(all, P <= 0.02). After correcting for baseline differences between the groups,
outcomes were similar for HD versus non-HD patients undergoing LEB (P >= 0.21)
but persistently worse for HD patients undergoing PVI (all, P <= 0.006).
CONCLUSIONS: HD is an independent predictor of poor patency and higher risk of
major amputation after infrageniculate endovascular revascularization procedures
for the treatment of chronic limb-threatening ischemia. The use of endovascular
interventions in these higher risk patients is not associated with improved limb
salvage outcomes and may be an inappropriate use of healthcare resources.
PMID- 29661292
TI - Etanercept restores vasocontractile sensitivity affected by mesenteric ischemia
reperfusion.
AB - BACKGROUND: The aim of the study is to evaluate in vivo and in vitro effects of
etanercept, a soluble tumor necrosis factor receptor, on the contractile
responses of superior mesenteric artery in an experimental mesenteric ischemia
and reperfusion model. MATERIAL AND METHODS: After obtaining animal ethics
committee approval, 24 Sprague-Dawley rats were allocated to three groups.
Control group (Gr C, n = 6) underwent a sham operation, whereas
ischemia/reperfusion and treatment groups underwent 90 min ischemia and 24-h
reperfusion (Gr I/R, n = 12; Gr I/R+E, n = 6). The treatment group received 5
mg/kg etanercept intravenously at the beginning of reperfusion. At the end of
reperfusion, all animals were sacrificed, and third branch of superior mesenteric
artery was dissected for evaluation of contractile responses. In vitro effects of
etanercept on vasocontractile responses were also evaluated. The excised ileums
were analyzed under light microscope. Two-way analysis of variance following
Bonferroni post hoc test was used for evaluation of contractile responses.
RESULTS: Endothelin-1 and phenylephrine-mediated vasocontractile sensitivity were
found increased in Gr I/R when compared with Gr C. Both intravenous
administration and organ bath incubation of etanercept decreased the sensitivity
of contractile agents for Gr I/R. Mucosal injury, lamina propria disintegration,
and denuded villous tips were observed in Gr I/R, whereas the epithelial injury
and the subepithelial edema were found to be milder in Gr I/R+E. CONCLUSIONS:
Etanercept can be a promising agent in mesenteric ischemic reperfusion injury as
it does not only inhibit inflammation by blocking tumor necrosis factor-alpha in
circulation but also restores vascular contractility during reflow. These
findings support an unexplained recuperative effect of drug beyond its anti
inflammatory effects.
PMID- 29661293
TI - Stent graft placement versus angioplasty for hemodialysis access failure: a meta
analysis.
AB - BACKGROUND: Hemodialysis is a common treatment for end-stage renal disease, and
maintenance of access patency remains a key issue. Angioplasty is recommended as
the first choice for access stenosis, but it poses a risk of a high rate of
restenosis. Stent graft placement can generate a high patency rate of dialysis
access. This study aimed to compare the primary patency of the treatment area and
access circuit between stent grafts and angioplasty in dialysis access failure.
MATERIALS AND METHODS: Three electronic databases, namely, PubMed, Cochrane
Library of Systematic Reviews, and EMBASE, were searched from the database
inception to September 2017 without language restriction. Randomized controlled
trials comparing the primary patency of the treatment area and access circuit
between stent graft and angioplasty were included. Two independent reviewers
assessed the quality of the trials and extracted relevant data. RESULTS: Four
trials satisfied our inclusion criteria. Our pooled results suggested that stent
graft placement was associated with significantly higher primary patency of
treatment area (hazard ratio: 0.65, 95% confidence interval: 0.55-0.77, P <
0.0001, I2 = 0) and access circuit (hazard ratio: 0.76, 95% confidence interval:
0.65-0.88, P = 0.0004, I2 = 0) in hemodialysis access compared with those of
standard angioplasty. CONCLUSIONS: The patency of hemodialysis access may be
higher after stent graft placement combined with standard angioplasty than after
angioplasty alone.
PMID- 29661294
TI - Serum lactate predicts resource utilization, but not surgical need, in the
emergency department.
AB - BACKGROUND: Serum lactate is frequently tested in the emergency department (ED)
setting to diagnose visceral ischemia and as a marker of end-organ perfusion. It
is highly nonspecific, and levels can be affected by both kidney and liver
function. In this retrospective chart review, we aim to demonstrate that serum
lactate is overused in the ED setting and predicts resource utilization but not
the need for surgical intervention. METHODS: ED records with a chief complaint of
"abdominal pain" were queried for the preceding 12 mo. We excluded pregnant
patients, patients aged less than 18 years, and patients for whom a blood count
and chemistry were not obtained. Vital signs, laboratory values, resource
utilization, and outcome of the visit were obtained. Logistic regression models
were developed to correct for confounding associations. RESULTS: A total of 1003
records were obtained initially with 753 patients (75%) included in the study.
Serum lactate was drawn in 118 patients (15%) and was elevated in 19 patients
(16% of those drawn). Utilization of computed tomography imaging was associated
with lactate utilization (P < 0.001). Patients in whom lactate was drawn were
more likely to have a general surgery consult (51% versus 34%, P < 0.001) and to
be admitted to the hospital (P < 0.001). CONCLUSIONS: The use of serum lactate in
the workup of patients with abdominal pain should be targeted at patients who
have signs of sepsis and based on the index of suspicion for specific disease
processes such as mesenteric ischemia.
PMID- 29661295
TI - Quality of life in thyroid cancer-assessment of physician perceptions.
AB - BACKGROUND: Thyroid cancer is the fastest growing malignancy in the United
States. Previous studies have shown a decrease in quality of life (QoL) after the
treatment of thyroid cancer. To date, there have been no studies assessing
physician perceptions regarding how a diagnosis of thyroid cancer affects QoL.
Based on this and other findings from our study, we aim to assess physician
perceptions on the effect of thyroid cancer on QoL. MATERIALS AND METHODS:
Physicians were recruited from two national organizations comprised physicians
focusing on thyroid cancer. A 37-question survey was administered evaluating
physician's perceptions of thyroid cancer patient satisfaction in various aspects
of treatment, complications, and overall effects on QoL. QoL responses were
categorized into overall QoL, physical, psychological, social, and spiritual well
being. RESULTS: One hundred five physicians completed the survey. Physician's
estimates of patient's overall QoL after thyroid cancer treatment was similar to
overall QoL reported by patients. However, medical physicians overestimated the
decrease in thyroid cancer survivor's QoL in several subcategories including
physical, psychological, and social (P < 0.05). Both surgeons and medical
physicians underestimated the percentage of patients with reported symptoms of
temporary and permanent voice changes, temporary dry mouth, cold/heat
sensitivity, and temporary and permanent hypocalcemia (P = 0.01-0.04).
CONCLUSIONS: Physicians have a varied estimation of the detrimental impact of
thyroid cancer treatment on QoL. In addition, physicians underestimated the
amount of physical symptoms associated with thyroid cancer treatments. Increased
physician awareness of the detrimental effects of a thyroid cancer diagnosis on
QoL should allow for a more accurate conversation about expected outcomes after
thyroid cancer treatment.
PMID- 29661296
TI - Structural transformation of crystallized debranched cassava starch during dual
hydrothermal treatment in relation to enzyme digestibility.
AB - Structural transformation of crystallized debranched cassava starch prepared by
temperature cycling (TC) treatment and then subjected to annealing (ANN), heat
moisture treatment (HMT) and dual hydrothermal treatments of ANN and HMT was
investigated. The relative crystallinity, lateral crystal size, melting
temperature and resistant starch (RS) content increased for all hydrothermally
treated samples, but the slowly digestible starch (SDS) content decreased. The RS
content followed the order: HMT -> ANN > HMT > ANN -> HMT > ANN > TC,
respectively. The HMT -> ANN sample showed a larger lateral crystal size with
more homogeneity, whereas the ANN -> HMT sample had a smaller lateral crystal
size with a higher melting temperature. After cooking at 50% moisture, the
increased RS content of samples was observed, particularly for the ANN -> HMT
sample. These results suggest that structural changes of crystallized debranched
starch during hydrothermal treatments depend on initial crystalline
characteristics and treatment sequences, influencing thermal stability, enzyme
digestibility, and cooking stability.
PMID- 29661297
TI - Preparation and characterization of nanocomposite films from oil palm pulp
nanocellulose/poly (Vinyl alcohol) by casting method.
AB - TEMPO-oxidize nanocellulose (TONC) suspension has been obtained from total
chlorine free (TCF) oil palm empty-fruit-bunches (OPEFB) pulp using 4-acetamido
TEMPO (2,2,6,6-tetramethyl piperidin-1-oxyl) mediated oxidation with sodium
hypochlorite and sodium bromide in water at 25 degrees C and pH 10. TONC
suspension with varied content from 0.5 to 6% (w/w) reinforced polyvinyl alcohol
(PVA) polymer based nanocomposite films were prepared by the casting method. The
structural interaction between the TONC and PVA was characterized by the Fourier
transform infrared (FT-IR) spectroscopy, nuclear magnetic resonance (NMR)
spectroscopy, X-ray diffraction (XRD) and scanning electron microscopy (SEM). It
was found that the 4% (w/w) TONC content reinforced nanocomposite exhibited the
highest tensile strength and modulus with an increase of 122% and 291%
respectively, compared to PVA while the elongation at break decreased about
42.7%. Thermal stability of PVA based nanocomposite films was improved after
incorporation of TONC. Incorporation of TONC in PVA film increases its
crystallinity due to strongly linking between the hydroxyl groups of materials
however considerable decreases beyond 2 wt% loading are observed. TONC
incorporation beyond 2 wt% also reduces the melting temperature peaks and
enthalpy of nanocomposite films. FT-IR spectra, NMR and SEM indicate that there
is interaction between the TONC and PVA.
PMID- 29661298
TI - Engineering of thermoresponsive gels as a fake metastatic niche.
AB - Chemoattraction through the CXCR4-CXCL12 axis has been shown to be an important
mechanism to direct circulating tumor cells toward distant sites. The objective
of this work was to prepare a fake metastatic niche made up of a gel loaded with
CXCL12. The gel is designed to create a steep concentration gradient of the
chemokine in the proximity of the site of administration/injection, aimed to
divert and capture circulating CXCR4+ tumor cells. To this aim, different
thermoresponsive gels based on methylcellulose (MC) or poloxamers, loaded with
CXCL12, with or without hyaluronic acid (HA) were designed and their mechanical
properties correlated with the ability to attract and capture in vitro CXCR4+
cells. Results of in vitro cell studies showed that all prepared gels induced CEM
tumor cell migration whereas only gels based on MC embedded with CXCL12 are able
to capture them.
PMID- 29661299
TI - Multi-hierarchical tissue-engineering ECM-like scaffolds based on cellulose
acetate with collagen and chitosan fillers.
AB - A novel high-tech composite biomimetic matrixes for a wide range of medical
purposes were prepared. The structure of scaffolds was inspired by the
architecture of native decellularized tissue: material consists of a sponge and
fibrous components of different spatial geometry based on cellulose acetate with
collagen or chitosan filler. The fibrous component was prepared by
electrospinning, the sponge - freeze-drying technique. The influence of main
technological parameters, such as freeze mode, polymer type and concentration,
etc. on the fiber-sponge architecture and properties was examined. It was shown
that scaffolds with different types of microstructure can be obtained employing
this technique. The impregnation of chitosan or collagen filler in fiber matrix
also significantly improves mechanical properties up to 40 MPa for strength and
600 MPa for Young's modulus.
PMID- 29661301
TI - Antifouling zwitterionic dextran micelles for efficient loading DOX.
AB - Polysaccharides derivatives are typical drug nanocarriers which are nontoxic and
biodegradable. However, as is the case for all drug delivery systems,
polysaccharides derivatives have to face the issue of protein fouling. In this
paper, we present the design and synthesis of carboxybetaine-modified dextran
polycaprolactone (CB-Dex-PCL) copolymers as doxorubicin (DOX) nanocarriers.
Results showed that DOX/CB-Dex-PCL micelles exhibited better cumulative release
at the pH value of 5.2 than at the physiological pH of 7.4, which indicated
potential applications in killing tumor cells while minimizing the toxicity to
normal tissues. Additionally, antifouling properties of carboxybetaine
functionalized dextran micelles were much better than that of unmodified dextran
for fibrinogen and lysozyme as tested by ITC. Finally, cytotoxicity tests using
Hela cells showed that CB-Dex-PCL and DOX-loaded micelles exhibited great
biocompatibility. All the above observations indicated that CB-Dex-PCL micelles
are potentially excellent drug carriers for the treatment of human cancerous
tumors.
PMID- 29661300
TI - Chitosan functionalized poly-epsilon-caprolactone electrospun fibers and 3D
printed scaffolds as antibacterial materials for tissue engineering applications.
AB - Tissue engineering (TE) approaches often employ polymer-based scaffolds to
provide support with a view to the improved regeneration of damaged tissues. The
aim of this research was to develop a surface modification method for introducing
chitosan as an antibacterial agent in both electrospun membranes and 3D printed
poly-epsilon-caprolactone (PCL) scaffolds. The scaffolds were functionalized by
grafting methacrylic acid N-hydroxysuccinimide ester (NHSMA) onto the surface
after Ar-plasma/air activation. Subsequently, the newly-introduced NHS groups
were used to couple with chitosan of various molecular weights (Mw). High Mw
chitosan exhibited a better coverage of the surface as indicated by the higher N%
detected by X-ray photoelectron spectroscopy (XPS) and the observations with
either scanning electron microscopy (SEM)(for fibers) or Coomassie blue staining
(for 3D-printed scaffolds). A lactate dehydrogenase assay (LDH) using L929
fibroblasts demonstrated the cell-adhesion and cell-viability capacity of the
modified samples. The antibacterial properties against S. aureus ATCC 6538 and S.
epidermidis ET13 revealed a slower bacterial growth rate on the surface of the
chitosan modified scaffolds, regardless the chitosan Mw.
PMID- 29661302
TI - Polyethersulfone nanofiltration membrane embedded by chitosan nanoparticles:
Fabrication, characterization and performance in nitrate removal from water.
AB - In this research, chitosan nanobiopolymers (CS-NPs) were synthesized using
tripoly phosphate and transferred into the organic solvent via solvent exchange
method. Different amounts of chitosan nanopolymers were embedded into the
polyethersulfone (PES) membrane prepared by phase inversion precipitation method.
The membranes were employed for nitrate removal from water under various solution
pHs (4.5, 7.0 and 9.0). Scanning electron microscopy, atomic force microscopy,
porosity, water contact angle and pure water flux measurements were employed to
characterize the fabricated membranes. Addition of chitosan nanoparticles into
the membrane matrix increased the water permeability from 13 for pristine
membrane to 22 kg/m2 h for modified membrane with 0.2 wt.% nanopolymer. However,
the application of CS-NPs more than 0.4 wt.% led to membranes with a compact
matrix and dense structure, thereby reducing water permeation even lower than
PES. At all tested solution pHs, nitrate removal efficiency was significantly
improved in comparison with pristine PES at as a result of adsorptive properties
of chitosan biopolymer. The complete nitrate removal (100%) was achieved at
acidic pH due to protonation of amine groups of CS-NPs creating positive charge
on the membrane surface.
PMID- 29661303
TI - Super water absorbing polymeric gel from chitosan, citric acid and urea:
Synthesis and mechanism of water absorption.
AB - A new superabsorbent with maximum water absorption capacity of ~1250 g/g is
prepared by hydrothermal synthesis from sustainable and biodegradable resources
such as chitosan, citric acid and urea (denoted as 'CHCAUR'). CHCAUR is
characterized extensively by various analytical techniques such as PXRD, SSNMR,
FTIR, and TGA. Pure and saline water absorption study showed that CHCAUR could be
a better adsorbent compared to the super absorbent polymer (SAP) used in
commercial diaper material. The mechanism of water absorption is shown to arise
out of a combination of electrostatic attraction of water to the ionic crosslinks
and the presence of macropores as well as undulated surface due to the formation
of nanofibrous bundles. When applied to soil CHCAUR was found to decrease water
evaporation rate significantly.
PMID- 29661304
TI - Preparation of nano-sized particles from bacterial cellulose using
ultrasonication and their characterization.
AB - As more applications for nano-sized natural particles are discovered, simple,
environmentally friendly ways to produce these particles become more important.
This work prepares and characterizes nano-size bacterial cellulose particles
using ultrasonication. Pellicle from nata de coco containing nanofibers was
broken up in an electrical blender, then sonicated using an ultrasonic probe at
20 kHz and 3 W/mL for 30, 60 and 90 min. Transmission electron microscope
observations indicate the particles become nano-sized after 60 min ultrasound.
The maximum decomposition temperature before sonication was 373 degrees C,
decreased to 357 degrees C after 60 min ultrasonication. Moisture absorption of
the 90 min sonicated particles film is 60% slower compared to non-sonicated
particles. After ultrasonication, the crystallinity index of the cellulose
decreases. The functional groups of non-sonicated and sonicated cellulose remain
the same. This study promotes a potential method of fabrication of nano-sized
particles from pure bacterial cellulose.
PMID- 29661305
TI - Bio-inspired hydrophobic modification of cellulose nanocrystals with castor oil.
AB - This work presents an efficient and environmentally friendly approach to generate
hydrophobic cellulose nanocrystals (CNC) using thiol-containing castor oil (CO
SH) as a renewable hydrophobe with the assist of bio-inspired dopamine at room
temperature. The modification process included the formation of the polydopamine
(PDA) buffer layer on CNC surfaces and the Michael addition reaction between the
catechol moieties of PDA coating and thiol groups of CO-SH. The morphology,
crystalline structure, surface chemistry, thermal stability and hydrophobicity of
the modified CNC were charactered by TEM, XRD, FT-IR, solid-state 13C NMR, XPS,
TGA and contact angle analysis. The modified CNC preserved cellulose
crystallinity, displayed higher thermal stability than unmodified CNC, and was
highly hydrophobic with a water contact angle of 95.6 degrees . The simplicity
and versatility of the surface modification strategy inspired by adhesive protein
of mussel may promote rapid development of hydrophobic bio-based nanomaterials
for various applications.
PMID- 29661306
TI - Copper-loaded nanocellulose sponge as a sustainable catalyst for regioselective
hydroboration of alkynes.
AB - It is desirable for catalyst supports to be biodegradable, easily-modifiable, and
possessing high surface area. In this work, thiolated nanocellulose sponge was
prepared from hydrolytic silane condensation of cellulose nanofibrils (CNF) and
used as a green support to immobilize copper cations via in situ CuII to CuI
reduction and complexation. The sponge featured high porosity (90.5%) and low
density (29.4 mg/cm3) with regular morphology. The sponge easily recovered 94% of
its original shape in water after experiencing 80% deformation under stress of 95
kPa. When used in catalyzing hydroboration of alkynes, excellent conversion and
up to 99% regioselectivity were achieved with the copper-loaded sponge. The
sponge-supported catalysts worked well in the absence of additional ligands, had
a broad substrate scope, and retained 93% of their activity after six catalytic
cycles. This simple and scalable strategy offers an efficient route for
immobilizing metal catalysts on porous supports.
PMID- 29661307
TI - Effect of pH and precursor salts on in situ formation of calcium phosphate
nanoparticles in methylcellulose hydrogel.
AB - Thermo-reversible hydrogels have been widely studied in biomedical research
fields, because of their potential performance in drug delivery and tissue
engineering. In this study, a novel thermo-sensitive methylcellulose (MC)
hydrogel based on calcium phosphate nanoparticles (CaP NPs) was prepared by in
situ formation process in which precursor salts induced a salt-out effect in the
MC solution. Based on the Hofmeister series, CaCl2, Na2HPO4, and NaH2PO4
precursor salts with a good salt-out effect were used as the precursor salts for
CaP NPs. The gelation of MC solution was accelerated by the salt addition, and
its effect varied with the salt type. When CaP precursor salts with two different
Ca/P ratios (0.8/1 and 1.6/1) were added into the MC solution, more elastic MC
hydrogel was formed at 1.6/1 Ca/P ratio. The CaP NPs were rectangular in shape
(40-50 nm), and evenly distributed in the MC gel. The X-ray diffraction (XRD)
observation indicates that dicalcium phosphate dehydrate (DCPD) and octacalcium
phosphate (OCP) were synthesized at 0.8/1 Ca/P ratio, whereas DCPD was
synthesized at 1.6/1 Ca/P ratio. This indicates that the CaP NPs with different
crystalline phases were in situ synthesized in accordance with the Ca/P ratio and
pH condition (pH 7.4, 9.0). Moreover, the DCPD and OCP crystalline phases were
converted to the hydroxyapatite (HAP) crystalline phase, as the pH was changed to
alkaline region. Therefore, the resulting MC composite hydrogel containing HAP
NPs will be suitable for bone regeneration as an injectable hydrogel.
PMID- 29661308
TI - Excellent reusable chitosan/cellulose aerogel as an oil and organic solvent
absorbent.
AB - Absorption is one of the most important methods for oil spill cleanup. An ideal
absorbent is expected to possess advantages of low cost, green, high absorption
capacity and excellent reusability. In this paper, a new kind of cellulose
aerogel was successfully fabricated via cellulose oxidation, crosslinking, freeze
drying and cold plasma modification. The obtained aerogel (water contact angle up
to 152.8 degrees ) exhibited outstanding oil/water selectivity and high
absorption capacity (13.77-28.20 g/g) for various oils and organic solvents.
What's more, this kind of aerogel could be reused by simple compression. The
absorption-desorption process could be repeated for at least 50 cycles. Through
the kinetic analysis, it was found that the pseudo-second order model was more
appropriate for the aerogel's oil absorption process. Owing to its low cost,
hydrophobicity, high absorption capacity and excellent reusability, this kind of
aerogel is expected to be used in oils and organic solvents spill cleanup and
oil/water separation field.
PMID- 29661309
TI - Protein adsorption onto polysaccharides: Comparison of chitosan and chitin
polymers.
AB - Chitosan (CHS) and chitin (CHT) biopolymers have found many applications in the
field of controlled-release drug delivery systems. Herein, molecular dynamics
(MD) simulation and binding free energy calculations were used to investigate the
potentials of CHS and CHT polymers for the controlled release of follicle
stimulating hormone (FSH). The results indicated that FSH conformation did not
change in the presence of CHS and CHT. In addition, FSH-polymer interactions
caused stability of the 310-helix structure of the alpha subunits of FSH
(FSHalpha). Both the biopolymers interacted with the protein mainly through the
hydrophobic forces. CHS has more affinity for FSH when compared with CHT.
Furthermore, in both systems, the affinity of polymers for FSHalpha was more than
that for beta subunits of FSH (FSHbeta). The results suggested that the
polysaccharides might improve the controlled-release FSH delivery.
PMID- 29661310
TI - Preparation and characterization of thermoplastic starch composites with fly ash
modified by planetary ball milling.
AB - Thermoplastic starch (TPS) composites were prepared containing different amounts
of unmodified (UFA) or modified fly ash (MFA) powder. The modification of the fly
ash was carried out by dry grinding UFA in a planetary ball mill at various
speeds and grinding times. The particle size of the fly ash was determined with a
laser particle size analyzer and a scanning electron microscope (SEM). It was
found that the particle size of the UFA decreased from 59.60 MUm to 13.17 MUm
after grinding for 1 h at 400 rpm. Both UFA and MFA powder were incorporated in a
thermoplastic starch. The mechanical properties, water resistance, degradation
under simulated weathering conditions and thermal properties of the TPS and the
composites were characterized. The maximum tensile strength (7.78 MPa) was
obtained in a composite with 2.50% of MFA. This value is about 9 times higher
than the tensile strength of the TPS and 2 times higher than that of a TPS/UFA
composite with 15.00% UFA. In addition, composites with MFA showed improved water
resistance and delayed degradation compared to the TPS and the composites with
UFA. The presence of UFA or MFA had a slight effect on the thermal stability of
the samples. However, fly ash promoted the final phase of the thermal
decomposition of starch indicating a possible catalytic activity.
PMID- 29661311
TI - Isolation and characterisation of microcrystalline cellulose and cellulose
nanocrystals from coffee husk and comparative study with rice husk.
AB - Cellulosic material from coffee husk has not been previously studied despite
being a potential source of reinforcing agents for different applications. This
material has been extracted and characterised from coffee husk, in parallel with
previously studied rice husk. Samples have been analysed as to their ability to
obtain cellulosic fibres and cellulose nanocrystals (CNC) by applying alkali and
bleaching treatments and final sulphuric acid hydrolysis. Microstructural changes
were analysed after treatments, and the size and aspect ratio of CNCs were
determined. Crystallinity and thermal stability of both materials progressed in
line with the enrichment in cellulosic compounds. The CNC aspect ratio was higher
than 10, which confers good reinforcing properties. These were tested in
thermoplastic starch films, whose elastic modulus increased by 186 and 121% when
1 wt% of CNCs from rice and coffee husks, respectively, was incorporated into the
matrix. Coffee husk represents an interesting source of cellulosic reinforcing
materials.
PMID- 29661312
TI - Development and characterisation of hybrid polysaccharide membranes for
dehydration processes.
AB - The purpose of this work is the development and characterisation of new hybrid
polysaccharide (FucoPol) membranes. These membranes were prepared by
incorporation of a SiO2 network homogeneously dispersed by using a sol-gel method
with GPTMS as a crosslinker silica precursor. They were further crosslinked with
CaCl2 for reinforcement of mechanical properties and improvement of their
permeation performance. They were characterised in terms of their structural,
mechanical and thermal properties. They presented a dense and homogeneous
structure, resistant to deformation, with a Tg of 43 degrees C and a thermal
decomposition between 240 and 251 degrees C. The hybrid FucoPol membranes were
tested for ethanol dehydration by pervaporation and also for nitrogen
dehydration. They exhibited high water selectivity values, similar to PERVAP(r)
4101, however they lost their stability when exposed to solutions of 10.0 wt.%
water in ethanol. In contrast, these membranes were stable when applied in N2
dehydration, leading to reproducible performance and very high water
selectivities.
PMID- 29661314
TI - Study of steam explosion pretreatment and preservation methods of commercial
cellulose.
AB - Steam explosion (150-200 degrees C, 5-30 min) was performed on a commercial
cellulose presented in two configurations (fiberized and compact sheet) and its
effect on their chemical and physical properties was studied, along with the
influence of two different preservation methods (acetone drying and freezing)
after pretreatment. No degradation compounds were produced during pretreatment,
although solid recovery (RS) decreased with temperature from 90% to 62%. Similar
particle size and surface conditions (increased porosity) were found for both
types of pretreated samples despite the extremely different initial
configuration. Crystallinity diminished for 150 degrees C samples, but 200
degrees C pretreatment promoted recrystallization. Pretreatment also reduced
polymerization degree, although enzymatic accessibility did not improve. Both
acetone and freezing processes extremely affected cellulose properties. Acetone
drying counterbalanced crystallinity and enzymatic accessibility variations of
pretreated samples, while decreasing polymerization degree. Freezing dramatically
decreased enzymatic accessibility of pretreated samples down to 15.8%.
PMID- 29661313
TI - Synthesis, physicochemical characterization and biological evaluation of chitosan
sulfate as heparan sulfate mimics.
AB - Despite the relevant biological functions of heparan sulfate (HS)
glycosaminoglycans, their limited availability and the chemical heterogeneity
from natural sources hamper their use for biomedical applications. Chitosan
sulfates (ChS) exhibit structural similarity to HSs and may mimic their
biological functions. We prepared a variety of ChS with different degree of
sulfation to evaluate their ability to mimic HS in protein binding and to promote
neural cell division and differentiation. The structure of the products was
characterized using various spectroscopic and analytical methods. The study of
their interaction with different growth factors showed that ChS bound to the
proteins similarly or even better than heparin. In cell cultures, a transition
effect on cell number was observed as a function of ChS concentration.
Differences in promoting the expression of the differentiation markers were also
found depending on the degree of sulfation and modification in the chitosan.
PMID- 29661315
TI - Starch film-coated microparticles for oral colon-specific drug delivery.
AB - The aim of this study was to prepare and characterize a novel type of starch
coated microparticles (MPs) allowing site-specific delivery of bioactives to the
colon. An oral colon-specific controlled-release system was developed in the form
of MPs coated with a resistant starch (RS2/RS3) film (RS@MPs) through an aqueous
suspension coating process. The RS2 was chosen from a high-amylose cornstarch
with 88.5% digestion resistibility. The RS3 was prepared by a high
temperature/pressure (HTP) treatment, with the following of enzymatic
debranching, and retrogradation, resulting in a dramatic increase in enzymatic
resistance (RS3 content: 76.6%). RS@MPs showed 40.7% of 5-aminosalicylic acid
release within 8 h. The in vivo study of fluorescein-loaded RS@MPs indicated the
high acidic and enzymatic resistibility of RS@MPs and a restrained release in the
upper GIT. Therefore, RS@MPs has revealed to be a high potential system for
accurately targeting bioactive compound delivery to the colon.
PMID- 29661316
TI - Mechanically improved polyvinyl alcohol-composite films using modified cellulose
nanowhiskers as nano-reinforcement.
AB - Cellulose nanowhiskers (CWs) extracted from cotton fibers were successfully
modified with distinct anhydrides structures and used as additives in poly(vinyl
alcohol) (PVA) nanocomposite films. The surface modification of CWs was performed
with maleic, succinic, acetic or phthalic anhydride to compare the interaction
and action the carboxylic groups into PVA films and how these groups influence in
mechanical properties of the nanocomposites. CWs presented a high degree of
crystallinity and good dispersion in water, with average length at the nanoscale.
The addition of specific amounts (3, 6 and 9 wt.%) of modified-CWs increased up
to 4.4 times the storage modulus (PVA88-CWSA 9 wt.%), as observed from dynamic
mechanical analysis (DMA), compared to the bare PVA films. A significant increase
in mechanical properties such as tensile strength, elastic modulus, and
elongation at break showed a close relationship to the amount and chemical
surface characteristics of CWs added, suggesting that these modified-CWs could be
explored as reinforcement additives in PVA films.
PMID- 29661317
TI - A beta-glucan from Durvillaea Antarctica has immunomodulatory effects on RAW264.7
macrophages via toll-like receptor 4.
AB - We studied the mechanisms underlying the immunostimulatory effects of abeta
1,3/1,6-glucan (BG136) from Durvillaea Antarctica. Our data showed that BG136
promoted the activation of MAPKs and NF-kappaB signaling pathways and cytokines
production. BG136 did not increase MCP-1 or NO production or phosphorylation of
NF-kappaB and MAPK in TLR4 siRNA knockdown cells, indicating that BG136 activates
macrophages through TLR4. Flow cytometry analysis and confocal experiment showed
that BG136 bound to TLR4 expressed on RAW264.7 macrophage cells surface. The
affinity of BG136 for TLR4 was determined using Surface Plasmon Resonance (SPR)
(KD: 4.51 * 10-6M). Altogether, our results showed that BG136 activates RAW264.7
cells by binding to TLR4 and then triggering TLR4-mediated signaling pathways to
promote cytokines secretion.
PMID- 29661318
TI - AgBr and AgCl nanoparticle doped TEMPO-oxidized microfiber cellulose as a
starting material for antimicrobial filter.
AB - Present work covers the state-of-art progress in the advanced nanoarchitecture of
organic-inorganic hybrid material; a starting material for the antimicrobial
filter. TEMPO-mediated oxidation of microfiber cellulose was carried out to
introduce the surface active carboxyl groups. Accordingly, qualitative and
quantitative substitution of a functional group was investigated using FTIR,
Solid state 13C CP/MAS NMR, and potentiometric titration; the reaction resulted
to about 21.06% increase in carboxylate content. Further, the microwave
irradiated (600 W) in-situ synthesis of AgBr and AgCl nanocubes were prepared and
doped on carboxylated microfiber. The prepared AgBr@TO-MF and AgCl@TO-MF were
tested using XRD, XPS, SEM and FTIR. With an average size of AgBr and AgCl
nanocubes of around 200 +/- 28 nm and 116 +/- 10.73 nm. Whereas, AgBr@TO-MF and
AgCl@TO-MF shown excellent antimicrobial activity against E. Coli and B.
Subtilis, with MIC at around 200 MUg/mL and 150 MUg/mL, respectively.
Fascinatingly, ICP-OES analysis estimated the silver leached was around 0.1 ppm.
PMID- 29661319
TI - Fabrication of (PPC/NCC)/PVA composites with inner-outer double constrained
structure and improved glass transition temperature.
AB - Improving glass transition temperature (Tg) and mechanical property of the
environment-friendly poly(propylene carbonate) via intermacromolecular
complexation through hydrogen bonding is attractive and of great importance. A
novel and effective strategy to prepare (polypropylene carbonate/nanocrystalline
cellulose)/polyvinyl alcohol ((PPC/NCC)/PVA) composites with inner-outer double
constrained structure was reported in this work. Outside the PPC phase, PVA, as a
strong skeleton at microscale, could constrain the movement of PPC molecular
chains by forming hydrogen bonding with PPC at the interface of PPC and PVA
phases; inside the PPC phase, the rod-like NCC could restrain the flexible
molecular chains of PPC at nanoscale by forming multi-hydrogen bonding with PPC.
Under the synergistic effect of this novel inner-outer double constrained
structure, Tg, mechanical properties and thermal stability of (PPC/NCC)/PVA
composite were significantly increased, e.g. Tg of the composite researched the
maximum value of 49.6 degrees C, respectively 15.6 degrees C, 5.7 degrees C
and 4.2 degrees C higher than that of PPC, PPC/NCC and PPC/PVA composite.
PMID- 29661320
TI - Thermoplastic blends of chitosan: A method for the preparation of high thermally
stable blends with polyesters.
AB - Chitosan is high potential material for new applications due to its properties,
especially its antimicrobial activity, and because it is one of the most abundant
natural polymers. However, chitosan can be processed only from solution limiting
its applications. Methods for processing chitosan in molten have been a subject
of recent interest. One method, involves thermoplastic its blends with poly(vinyl
alcohol), however these blends undergo degradation due to acid residues from
previous processing steps. Here we described a process to produce thermoplastic
blends of poly(vinyl alcohol)-chitosan in a poly(lactic acid) matrix by avoiding
degradation even at higher chitosan contents. The process involves the use of
spray- and freeze-drying techniques to produce acid free blends of PVA/chitosan,
then incorporated in PLA matrix by extrusion. These findings are expected to
contribute to increasing and extending the applications of polysaccharides, such
as chitosan, in new applications such as textiles, medical and food packing.
PMID- 29661321
TI - Sulfation degree not origin of chondroitin sulfate derivatives modulates
keratinocyte response.
AB - Chondroitin sulfate (CS) sulfation-dependently binds transforming growth factor
beta1 (TGF-beta1) and chronic wounds often accompany with epidermal
hyperproliferation due to downregulated TGF-beta signaling. However, the impact
of CS on keratinocytes is unknown. Especially biotechnological-chemical
strategies are promising to replace animal-derived CS. Thus, this study aims to
evaluate the effects of CS derivatives on the interaction with vascular
endothelial growth factor-A (VEGF-A) and on keratinocyte response. Over-sulfated
CS (sCS3) interacts stronger with VEGF-A than CS. Furthermore, collagen coatings
with CS variants are prepared by in vitro fibrillogenesis. Stability analyses
demonstrate that collagen is firmly integrated, while the fibril diameters
decrease with increasing sulfation degree. CS variants sulfation-dependently
decelerate keratinocyte (HaCaT) migration and proliferation in a scratch assay.
HaCaT cultured on sCS3-containing coatings produced increased amounts of solute
active TGF-beta1 which could be translated into biomaterials able to decrease
epidermal hyperproliferation in chronic wounds. Overall, semi-synthetic and
natural CS yield to comparable responses.
PMID- 29661322
TI - Cellulose nanofibers isolated by TEMPO-oxidation and aqueous counter collision
methods.
AB - In this research, cellulose nanofiber (CNF) was isolated by the combination of
chemical 2,2,6,6-tetramethylpiperidine-1-oxylradical (TEMPO)-oxidation and
physical aqueous counter collision (ACC) methods The combination of TEMPO
oxidation and ACC is an efficient method to isolate CNFs by reducing chemical
usage in TEMPO-oxidation and saving energy in ACC along with controlling the size
of CNFs. Two cellulose sources, hardwood bleached kraft pulp (HW) and softwood
bleached kraft pulp (SW), were used for the CNF isolation with different TEMPO
oxidation time and a defined number of ACC pass. The CNF properties were
investigated and compared in term of morphology, crystallinity index,
transparency and birefringence. The width of the isolated CNFs from HW is in the
range of 15.1 nm-17.5 nm, and that of the SW CNFs is between 18.4 nm and 22 nm
depending on the TEMPO oxidation time. This difference is due to the fact that SW
is less oxidized than HW under the same chemical dosage, which results in larger
width of SW-CNFs than HW-CNFs. The HW-CNF treated with TEMPO for over 2 h and
isolated using ACC with 5 pass offers almost 90% transparency. Birefringence of
CNFs exhibits that HW-CNFs show better birefringence phenomenon than SW-CNFs. The
combination of TEMPO-oxidation and ACC methods is useful for isolating CNFs with
its size control.
PMID- 29661324
TI - Adsorption study of methyl orange by chitosan/polyvinyl alcohol/zeolite
electrospun composite nanofibrous membrane.
AB - The chitosan/polyvinyl Alcohol/zeolite electrospun composite nanofibrous membrane
was fabricated for adsorption of methyl orange. The EDX, TGA and tensile test
were carried out for the characterization of the membrane. The Young's Modulus of
the nanofibrous membranes increased by more than 100% with the addition of
zeolite to chitosan/PVA. The batch adsorption tests were conducted by varying the
initial concentration of methyl orange, contact time and pH of the dye solution.
UV-vis results showed that most of the dye was adsorbed within 6 min. An
adsorption kinetic study was carried out using the pseudo-second-order kinetic
model, Lagergren-first-order model and intra particle diffusion model. The
adsorption kinetics obeyed the Pseudo second order model. The adsorption
mechanism was analyzed using the Langmuir and Freundlich isotherm model. The
experimental data fits well with the Freundlich model. The adsorption capacity of
the membrane was 153 mg/g. Adsorption capacity was decreased with increasing pH
value. The resulting nanofiber became less active over methyl orange after
several runs.
PMID- 29661323
TI - Carboxymethyl guar gum synthesis in homogeneous phase and macroporous 3D
scaffolds design for tissue engineering.
AB - Guar gum (GG) is a galactomannan obtained directly from the Cyamopsis
tetragonoloba seeds pericarb. The biopolymer hydrates hugely in three chain
associated coil formations. Chaotropic Hofmeister ion like lithium interacts at
the hydrogen bonding sites and render GG homogenization in polar solvents like
dimethyl sulfoxide. This phenomenon was used for the first time for galactomannan
derivatisations in homogeneous phase. Higher degree of substitution (DS) that was
hereto unattainable in GG was achieved due to Hofmeister ion assisted assembly
deformations. Furthermore, carboxymethyl guar gum (CMGG, DS = 1.10) blends well
in poly-vinyl alcohol (PVA) at 2:1 mass ratio and enabled hydrophilic porous
scaffold design for cell propagation. CMGG-PVA scaffolds porosity was 70-90% and
the tensile strength was 6.32 MPa. CMGG-PVA scaffolds were useful as cell
factories and in tissue engineering. New generation guar gum derivative scaffolds
were non cytotoxic and permitted cell propagation in growth medium.
PMID- 29661326
TI - Superhydrophobic three-dimensional porous ethyl cellulose absorbent with
micro/nano-scale hierarchical structures for highly efficient removal of oily
contaminants from water.
AB - The development of efficient absorbent materials is of global importance for oil
spillage cleanup and environmental protection. In this work, a novel
superhydrophobic micro/nano-scale hierarchical structured ethyl cellulose sponge
was successfully fabricated via an eco-friendly salt-templating method followed
by immobilizing silver nanoparticles on the surface and subsequent modification
with long-chain alkanethiols. The as-prepared sponge with unique micro-nano
structure and porous interconnected network exhibited low density (<17 kg m-3),
high porosity (>98%) and robust superhydrophobicity (thetawater = 161.3 degrees ,
thetaoil = 0 degrees , sliding angle = 3.6 degrees ). The sponge could collect a
wide range of organic solvents and oils with absorption capacity of 36-48 times
of its own weight. Furthermore, the absorption capacity decreased slightly to
89.8% of its initial value after 50 cycles, demonstrating excellent recyclability
of the sponge. It was believed that the superhydrophobic/superoleophilic sponge
would be a promising absorbent material for the selective oil removal and
recovery in environmental remediation.
PMID- 29661325
TI - Preparation of the chitosan/poly(glutamic acid)/alginate polyelectrolyte
complexing hydrogel and study on its drug releasing property.
AB - In the current study, a novel semi-dissolution/acidification/sol-gel transition
(SD-A-SGT) method was explored for the preparation of polyelectrolyte complexing
(PEC) composite hydrogels with natural polymers only. A chitosan (CS) powder was
uniformly dispersed in a solution of poly(glutamic acid) (PGA) and alginate (SA)
to form a semi-dissolved slurry mixture that was then exposed to an gaseous
acidic atmosphere. CS was gradually dissolved and interacted with PGA and SA to
form a CS/PGA/SA PEC composite hydrogel with a homogeneous structure. The SD-A
SGT procedure was able to overcome the shortcomings of direct mixing method via
the PEC interaction. The effects of the hydrogel composition on its structure and
properties were investigated by FTIR, XRD, rheology study, XPS, SEM, and swelling
kinetics. The drug delivery performance of the CS/PGA/SA hydrogel was explored
using piroxicam (PXC) as a model drug. PXC was in situ embedded in the hydrogel
by the SD-A-SGT method. The hydrogel exhibited pH responsive drug release
behaviors that were affected by the hydrogel composition. In all, the SD-A-SGT
method for preparing PEC composite hydrogels has a great application potential in
constructing the CS based hydrogels as medical materials.
PMID- 29661327
TI - Enzymatic biopolishing of cotton fabric with free/immobilized cellulase.
AB - Cotton fabric was biopolished using free and immobilized cellulase. The
immobilized cellulase treatment results lower weight loss and minimum reduction
in tensile strength of the fabric at the minimum of 67% and a maximum of 98.35%
and better whiteness index when compared with free cellulase treatment.
Regression analysis was carried out for all properties, regression coefficients
and summary of fit have been discussed. Both free and immobilized cellulase
treated fabrics showed improved crystallinity index and it was analyzed by XRD.
FTIR spectra were obtained to measure the hydrogen bonding intensity, which
showed that the intensity values were decreased after treatment. The study
confirms that the treatment with immobilized cellulase improves the surface
properties without affecting the strength of fabric than the fabric treated with
free cellulase.
PMID- 29661328
TI - Are two laws better than one in Italy? Compulsory vaccinations, double trouble.
PMID- 29661329
TI - Hearing Loss in the Elderly.
AB - Presbycusis, or age-related hearing loss (ARHL), is the result of physiologic and
pathologic changes associated with advancing age. ARHL presents typically with a
high-frequency hearing loss, which contributes to greater trouble hearing
consonants within words. Consonants convey the bulk of meaning within a word, and
this loss of linguistic information results in complaints associated with ARHL.
Hearing aids and cochlear implants significantly improve the lives of older
adults with hearing loss, in particular, those with depression and dementia.
Successful current research in gene therapy, pharmacotherapy, and stems cells
holds the promise of being able to restore native cochlear function.
PMID- 29661330
TI - Balance Disorders in Older Adults.
AB - Balance disorders are common in the elderly and can lead to falls, with resultant
severe morbidity and even mortality. Progressive loss of vestibular function
begins in middle age and is affected by multiple disease processes. Polypharmacy
impacts many disease processes in the elderly, with balance function being one of
the most susceptible. Evaluation of the older patient with a balance disorder is
critical for the well-being of these patients, as it may drive intervention. This
article reviews balance disorders often encountered in older patients and makes
recommendations regarding education of nonotolaryngologists.
PMID- 29661331
TI - Geriatric Dysphagia.
AB - Geriatric dysphagia is an unrecognized and underdiagnosed problem with
significant morbidity and potential mortality. It requires diligence by the
clinician and a team approach for successful management. Careful history-taking
is the key in the treatment of these patients and determines further workup, as
well as treatment.
PMID- 29661332
TI - Voice Disorders in the Elderly.
AB - Presbyphonia is more common than appreciated by most physicians, and it is
associated with undesirable vocal characteristics such as hoarseness, weakness,
breathiness, instability, and tremulousness. Hearing impairment in the patient or
his or her friends, family, and associates exacerbates the problem, resulting in
depression and social withdrawal, further reducing quality of life. Moreover,
voice weakness and instability are all too often misinterpreted as reflecting
intellectual instability. Expert diagnosis, medical treatment, voice therapy and
training, and occasionally surgery usually can maintain or restore vocal
stability and "youth."
PMID- 29661333
TI - Sleep Disorders in the Elderly.
AB - Although some physiologic changes in sleep are a normal part of the aging
process, other sleep complaints made by elderly patients can indicate a primary
or secondary sleep disorder. It is important to recognize the difference between
normal age-related changes and what may require further testing to make an
accurate diagnosis. Proper diagnosis and treatment of sleep disorders can improve
the quality of life and safety for the elderly and their families.
PMID- 29661334
TI - Rhinosinusitis and Allergies in Elderly Patients.
AB - The sinonasal tract plays important roles in respiration, olfaction, and defense
against external pathogens. Like many organ systems, the nose and sinuses undergo
several changes with advancing age that can play a role in the development of
disease. This article discusses the physiology of the aging sinonasal tract and
reviews the common diseases affecting older patients, such as rhinitis and
rhinosinusitis.
PMID- 29661335
TI - Head and Neck Cancer in the Elderly: Frailty, Shared Decisions, and Avoidance of
Low Value Care.
AB - Head and neck cancer (HNC) is a disease of older adults. Recurrent and metastatic
head and neck squamous cell carcinoma portends a poor prognosis, with median
overall survival of less than 12 months. Within this vulnerable population,
significant treatment-related toxicities and physical and psychosocial sequelae
can be devastating to quality of life at the end of life. Shared decision making
and early comprehensive palliative and support services are at the crux of the
approach to older adults with HNC. In doing so, low-value care that fails to meet
the goals of patients and their caregivers at the end-of-life may be avoided.
PMID- 29661336
TI - Cutaneous Head and Neck Malignancies in the Elderly.
AB - Cutaneous malignancy of the head and neck affects a large proportion of elderly
patients. The severity ranges from small, easily treatable lesions to large,
invasive, potentially metastatic tumors. Surgical treatment is the primary
treatment of most skin cancers; however, geriatric patients are more likely to
have multiple comorbidities that increase the risk of surgery. Multiple treatment
modalities exist, including surgical, radiation, and medical therapy.
Recommendations and treatment options for basal cell carcinoma, squamous cell
carcinoma, Merkel cell carcinoma, and melanoma are outlined and reviewed.
PMID- 29661337
TI - Thyroid Disorders in the Elderly: An Overall Summary.
AB - Medical care for elderly patients is often distinctly different from that of
younger counterparts. This is no truer than in a thyroid disorder context, where
patients are often asymptomatic and polypharmacy is a potential consideration.
Understanding how treatment of these patients can deviate from common practices
is an essential asset to any health care provider. The purpose of this article is
to shed light on those deviations and address inherent complexities in caring for
elderly patients in an effort to improve quality of care. Topics considered range
from general anatomic challenges to underlying biochemistry to adjuvant therapy
options and surveillance.
PMID- 29661338
TI - Anesthesia in the Elderly Patient Undergoing Otolaryngology Head and Neck
Surgery.
AB - Geriatric patients undergoing surgery have a whole set of specific physiologic
changes, perioperative needs, and postoperative complications. This review
presents an overview of the basic concepts and the evolving challenges pertaining
to the care of geriatric patients undergoing otolaryngologic procedures from the
perspective of the anesthesiologist.
PMID- 29661339
TI - Frailty and Polypharmacy in Older Patients with Otolaryngologic Diseases.
AB - Frailty and Polypharmacy commonly impact disease processes and treatment of
patients with otolaryngologic disorders. Although well known to geriatricians,
the 2 concepts often elude other physicians, including otolaryngologists. This
article reviews the common manifestations likely to be encountered in
otolaryngology patients, reviews frailty measures in surgical patients, and makes
recommendations regarding education of nongeriatricians.
PMID- 29661340
TI - Geriatric Otolaryngology: Why It Matters.
PMID- 29661341
TI - Coronary Atherosclerosis: What Do The Lungs Have To Do With It?
PMID- 29661342
TI - Can Focal Segmental Glomerulosclerosis Be Differentiated From Minimal Change
Nephrotic Syndrome Using Biomarkers?
PMID- 29661343
TI - Reduced Forced Expiratory Volume in 1 Second Percentage Predicted Is Associated
With Diffuse Coronary Atherosclerosis in Hospitalized Patients Undergoing
Coronary Angiography.
AB - BACKGROUND: Reduced forced expiratory volume in 1 second percentage (FEV1%)
predicted is closely related to cardiovascular mortality. However, evidence
regarding the correlation between FEV1% predicted and the severity of coronary
atherosclerosis observed on coronary angiography is still limited. We aimed to
explore whether a decline in FEV1% predicted was associated with diffuse coronary
atherosclerosis in hospitalized patients. METHODS: A cross-sectional study
enrolling hospitalized patients with cardiovascular symptoms undergoing both
coronary angiography and lung function testing was conducted. The correlation
between FEV1% predicted and angiographic characteristics, including the number of
diseased vessels, total number of coronary lesions and Gensini score was
analyzed. RESULTS: Eighty-five patients were included. Patients with >=2-vessel
disease had significantly lower FEV1% predicted than patients with <2-vessel
disease (60.9% +/- 19.7% versus 77.2% +/- 19.7%, P < 0.001). FEV1% predicted was
inversely related to the total number of coronary lesions (beta = -0.029, P =
0.002) and Gensini score (beta = -0.525, P = 0.006). FEV1% predicted was
independently associated with >=2-vessel disease (odds ratio = 0.961, P = 0.007),
total number of coronary lesions (adjusted beta = -0.039, P < 0.001) and Gensini
score (adjusted beta = -0.602, P = 0.005) after adjustment for other traditional
cardiovascular risk factors. In the coronary artery disease subgroup, FEV1%
predicted maintained an independent and negative relationship with >=2-vessel
disease, total number of coronary lesions and Gensini score. CONCLUSIONS: Reduced
FEV1% predicted was closely associated with multivessel coronary disease and
diffuse coronary atherosclerosis in hospitalized patients undergoing coronary
angiography.
PMID- 29661344
TI - Clinical Significance of Urinary Biomarkers in Patients With Primary Focal
Segmental Glomerulosclerosis.
AB - BACKGROUND: Focal segmental glomerulosclerosis (FSGS) is often accompanied with
tubulointerstitial lesion. This study aimed to assess the role of urinary
biomarkers in predicting tubulointerstitial lesion and treatment response in FSGS
patients. METHODS: Urinary neutrophil gelatinase-associated lipocalin (NGAL),
kidney injury molecule-1 (KIM-1), N-acetyl-beta-d-glucosaminidase (NAG) and
retinol-binding protein (RBP) were measured in 32 FSGS patients and 22 patients
with minimal change nephrotic syndrome. Patients with FSGS were followed up to
investigate the value of these markers in predicting treatment response. RESULTS:
FSGS patients had higher urinary NGAL, NAG and RBP than patients with minimal
change nephrotic syndrome with comparable proteinuria. A cutoff value of
15.87ng/mL NGAL demonstrated 87.1% sensitivity and 59.1% specificity for the
diagnosis of FSGS, with an area under the receiver operator characteristic curve
of 0.801. In FSGS, these markers correlated significantly with the degree of
acute tubulointerstitial damage but not with chronic tubulointerstitial lesion.
Response to immunosuppressive therapy was significantly different in patients
with KIM-1, NAG and RBP levels below and above the cutoff values. CONCLUSIONS:
Urinary NGAL, KIM-1, NAG and RBP are reliable biomarkers of tubulointerstitial
lesion in FSGS patients. The measurements of these markers may be useful in
diagnosing FSGS, detecting acute tubulointerstitial lesion and predicting
treatment response.
PMID- 29661345
TI - DASS21: A Useful Tool in the Psychological Profile Evaluation of Dialysis
Patients.
AB - BACKGROUND: The effect of dialysis treatment is complex, with both clinical and
socio-psychological effects. In this study, we aimed to assess the psychological
status of this growing population of end-stage renal disease. METHODS: Using the
Short Form of Depression, Anxiety and Stress Scale (DASS21) questionnaire, we
aimed (1) to measure the psychological states of hemodialysis (HD) or peritoneal
dialysis (PD) subjects from 15 sites, (2) to compare DASS21 scores between HD and
PD, and (3) to identify the associated demographic and medical factors of better
psychological states. RESULTS: A total of 1,332 were eligible for analysis.
Stress (48%) recorded the highest negative emotional states, followed by
depression (37%) and anxiety (20%). By multivariate analysis, normal body mass
index weight status, religion and absence of coronary artery disease were
associated with lower score for depression, anxiety and stress, respectively.
Tertiary education was associated with the lowest score in depression and
anxiety, whereas HD had a lower score in stress than PD. A younger age was
associated with worse DASS21 score of anxiety and stress. CONCLUSIONS: Obesity,
religion and coronary artery disease were significantly associated with all 3
symptoms of depression, anxiety and stress. Older age has a protective effect on
anxiety and stress. Further study is needed to evaluate the relationship between
these significant factors and each psychological state.
PMID- 29661346
TI - Drug-Eluting Stents Versus Bare-Metal Stents in Patients With End-Stage Renal
Disease.
AB - BACKGROUND: The clinical outcomes of drug-eluting stents versus bare-metal stents
in end-stage renal disease patients remains controversial. METHODS: A
comprehensive literature search of Pubmed, Embase and Cochrane Library from
January 2000 until November 2016 was conducted to identify relevant articles. We
pooled the odds ratios (OR) from individual studies and conducted heterogeneity,
quality assessment and publication bias analyses. RESULTS: A total of 18 studies
with 44,194 patients were identified. Compared with bare-metal stent-treated
patients, drug-eluting stent-treated patients had significantly lower short-term
and long-term all-cause mortality (OR = 0.56; 95% CI: 0.48-0.65; P < 0.00001; OR
= 0.78; 95% CI: 0.66-0.92; P = 0.004, respectively), myocardial infarction (OR =
0.69; 95% CI: 0.53-0.88; P = 0.003) and major adverse cardiac events (OR = 0.72;
95% CI: 0.58-0.90; P = 0.004), with no detectable difference regarding stent
thrombosis (OR = 0.80; 95% CI: 0.43-1.49; P = 0.47), cardiac mortality (OR =
0.95; 95% CI: 0.89-1.02; P = 0.14) and repeat revascularization (OR = 0.81; 95%
CI: 0.62-1.06; P = 0.13). CONCLUSIONS: In patients with end-stage renal disease,
the use of drug-eluting stents could significantly reduce the rates of mortality,
myocardial infarction and major adverse cardiac events without increased risk of
stent thrombosis. It poses imperative demands for future prospective randomized
studies to define the optimal stent choice in this high-risk population.
PMID- 29661347
TI - Association Between Metabolic Syndrome and Microvascular and Macrovascular
Disease in Type 2 Diabetic Mellitus.
AB - BACKGROUND: The prevalence of metabolic syndrome (MetS) in patients with type 2
diabetes mellitus is high. The aim of this study was to investigate the
association between MetS and micro- and macrovascular disease in patients with
diabetes and the associated risk factors. METHODS: The study enrolled 1,986 (854
men and 1,132 women) patients with type 2 diabetes mellitus from outpatient
clinics. MetS was defined according to the Adult Treatment Panel III for Asians.
RESULTS: Of the enrolled patients, 1,363 had MetS and 623 did not. The patients
with MetS had significantly higher rates of albuminuria (40.8% vs. 21.8%, P <
0.001), retinopathy (37.9% vs. 28.6%, P < 0.001), coronary artery disease (19.4%
vs. 11.6%, P < 0.001), cerebrovascular disease (5.8% vs. 3.2%, P = 0.014), and an
ankle-brachial index < 0.9 or >= 1.3 (6.1% vs. 3.0%, P = 0.015). Moreover, there
were significant trends for stepwise increases in albuminuria, retinopathy,
coronary artery disease, cerebrovascular disease and peripheral artery disease
corresponding to the number of MetS components (all P for trend < 0.05). Risk
factors including MetS, old age, sex, wide pulse pressure, increased hemoglobin
A1c, dyslipidemia and decline renal function were associated with micro- and
macrovascular disease. CONCLUSIONS: MetS and the number of its components were
significantly associated with micro- and macrovascular disease in the study
patients with diabetes and this resulted in a higher risk of cardiovascular
disease. Screening programs to allow for early detection and interventions should
be established to lower the risk of cardiovascular disease.
PMID- 29661348
TI - Using Both Lactic Dehydrogenase Levels and the Ratio of Involved to Uninvolved
Free Light Chain Levels as Risk Factors Improves Risk Assessment in Patients With
Newly Diagnosed Multiple Myeloma.
AB - BACKGROUND: This study aimed to evaluate the prognostic value of the ratio of
involved to uninvolved free light chain (rFLC) levels and lactic dehydrogenase
(LDH) levels in the risk stratification of patients with multiple myeloma (MM).
MATERIALS AND METHODS: Clinical data of 283 patients with newly diagnosed MM were
retrospectively analyzed. RESULTS: In the traditional chemotherapy group,
patients with an rFLC < 100 had a better prognosis than those with an rFLC >= 100
(40 months versus 6 months, P = 0.022), as did patients with an LDH <= upper
limit of normal (ULN) compared to those with an LDH > ULN (29 months versus 6
months, P = 0.023). In patients who underwent novel drug-combined therapy, no
significant difference was observed between the rFLC < 100 group and the rFLC >=
100 group (54 months versus median not reached, P = 0.508). However, patients
with an LDH <= ULN had a better prognosis than those with an LDH > ULN (60 months
versus 21 months, P = 0.004). Using an rFLC >= 100 and an LDH >= ULN as adverse
risk factors, patients were classified into 3 groups: group 1 (no adverse risk
factors), group 2 (1 adverse risk factor) and group 3 (2 adverse risk factors).
The median overall survival (OS) of groups 1, 2 and 3 was 52 months, 34 months
and 15 months, respectively (P = 0.001). CONCLUSIONS: rFLC and LDH levels were
sensitive prognostic factors in MM patients, combining them could improve the
risk stratification and treatment choice of patients in clinical practice.
PMID- 29661349
TI - Clinical Characteristics and Efficacy of Radiofrequency Catheter Ablation in the
Treatment of Elderly Patients with Atrial Fibrillation.
AB - OBJECTIVE: This study aims to investigate the clinical characteristics and
therapeutic effect of radiofrequency catheter ablation (RFCA) in elderly patients
with atrial fibrillation (AF). MATERIALS AND METHODS: This retrospective study
included 668 patients with AF who underwent RFCA in our hospital from June 2010
to June 2015. Patients were divided into 2 groups according to age: group E (>=60
years old, n = 308) and group N (<60 years old, n = 360). Ablation endpoints
included the following 3 points: (1) all preset ablation lines were completed;
(2) all pulmonary veins were electrically isolated; and (3) negative evoked
results were achieved. The success of follow-up was defined as patients who did
not have an atrial arrhythmia attack for at least 3 months without the
administration of antiarrhythmia drugs. RESULTS: Clinical characteristics of
elderly patients with AF: the proportion accounted for by female patients with
AF, patients with hypertension, and patients with a CHADS2 score >=2 points was
significantly higher than that in group N (P < 0.001). RFCA procedure and safety:
differences in the success rate of the first operation and severe complications
were not statistically significant (P > 0.05). Postoperative follow-up: the
follow-up period was between 6 and 12 months after operation. Differences in the
proportion of patients with repeated ablation and total success rate were not
statistically significant (P > 0.05). CONCLUSIONS: Although elderly patients with
AF had more clinical complications, no differences in the success rate of RFCA
and postoperative complications between the 2 groups were found. RFCA is a safe
and effective treatment for elderly patients with AF.
PMID- 29661350
TI - Monocyte Programmed Death Ligand-1, A Predicator for 28-Day Mortality in Septic
Patients.
AB - BACKGROUND: Sepsis is a great health burden for millions of people worldwide and
plays a critical role in the cause of death in intensive care units. Previous
studies have revealed that programmed cell death 1 (PD-1) and programmed cell
death ligand 1 (PD-L1) play critical roles in the immunosuppression phase induced
by sepsis. The objective of this present study was to establish whether PD-1/PD
L1 expressions were associated with 28-day mortality of septic patients. METHODS:
Consecutive patients admitted to the intensive care units of Taizhou People's
Hospital for the treatment of sepsis from June 2014 through June 2016 were
included. The demographic and clinical characteristics, laboratory tests, PD-1
and PD-L1 expressions on monocytes/CD4+T/CD8+T cells were compared between
survivors and nonsurvivors. Univariate and multivariate logistic regression
analyses were plotted for prognostic factors associated with mortality at day-28
in septic patients. RESULTS: A total of 177 septic patients were finally admitted
to this study protocol, including 131 survivors and 46 nonsurvivors with a
mortality of 26.0%. High PD-L1/monocytes expressions showed an independently
significant association with 28-day mortality in septic patients (odds ratio:
4.73, 95% CI: 1.78-15.32, P = 0.033). The receiver operating characteristic curve
analysis also indicated PD-L1/monocytes as a predicator for 28-day mortality with
a cutoff value of 45.68%. CONCLUSIONS: Our results suggested monocyte PD-L1
expression on admission was an independent risk factor for day-28 mortality in
septic patients.
PMID- 29661351
TI - Novel Insights Into E3 Ubiquitin Ligase in Cancer Chemoresistance.
AB - Drug resistance can obstruct successful cancer chemotherapy. The ubiquitin
proteasome pathway has emerged as a crucial player that controls steady-state
protein levels regulating multiple biological processes, such as cell cycle,
cellular proliferation, apoptosis, and DNA damage response, which are involved in
oncogenesis, cancer development, prognosis, and drug resistance. E3 ligases
perform the final step in the ubiquitination cascade, and determine which protein
becomes ubiquitylated by specifically binding the substrate protein. They are
promising drug targets thanks to their ability to regulate protein stability and
functions. Although patient survival has increased in recent years with the
availability of novel agents, chemoresistance remains a major problem in cancer
management. E3 ligases attract increasing attention with advances in
chemoresistance knowledge. To explore the role of E3 ligase in cancer
chemotherapy resistance and the underlying mechanism, we summarize the growing
number of E3 ligases and their substrate proteins, which have emerged as crucial
players in cancer chemoresistance and targeted therapies.
PMID- 29661352
TI - Effects of Alpinetin on Intestinal Barrier Function, Inflammation and Oxidative
Stress in Dextran Sulfate Sodium-Induced Ulcerative Colitis Mice.
AB - BACKGROUND: Alpinetin is a flavonoid isolated from Alpinia katsumadai Hayata that
has demonstrated anti-inflammatory, antibacterial and anti-tumor activities.
However, alpinetin has not been widely studied in amelioration of inflammatory
bowel disease. The study aimed to investigate the role of alpinetin on intestinal
epithelial tight junctions, oxidative stress and Nrf2/HO-1 signaling pathway in
dextran sulfate sodium (DSS)-induced ulcerative colitis (UC) in mice. METHODS: A
total of 40 mice were divided into 5 groups (n = 8/group): control group, DSS
group (received 3% DSS), and low, medium and high-dose treatment groups (3% DSS +
alpinetin 25, 50 and 100mg/kg). The disease activity index (DAI), histological
scores, epithelial tight junctions, oxidative stress factors, and Nrf2/HO-1
signaling pathway in the colon were determined. RESULTS: Alpinetin improved DAI,
colonic shortening, histological scores and myeloperoxidase activity compared
with the DSS group. The expression of occludin and zonula occludens-1 were
upregulated by alpinetin, whereas the expression of claudin-2 was reduced.
Moreover, alpinetin inhibited the level of malondialdehyde, and increased the
level of superoxide dismutase. Nrf2/HO-1 signaling pathways were also found to be
activated. CONCLUSION: Alpinetin is associated with decreased intestinal
inflammation and oxidative stress dose-dependently, and also regulated the
expression of tight junctions between cells in UC mice. The findings of our study
may shed light on the use of alpinetin in the treatment of UC.
PMID- 29661353
TI - Recurrent Pyroglutamic Acidosis Related to Therapeutic Acetaminophen.
AB - Pyroglutamic acid, an intermediate in glutathione metabolism, can lead to
elevated anion gap metabolic acidosis as rare complication of acetaminophen
therapy in adults. Acquired pyroglutamic acidosis has been observed primarily in
settings associated with glutathione deficiency. Risk factors for glutathione
deficiency include critical illness, chronic liver or kidney disease, advanced
age, female gender, alcohol abuse, malnutrition, pregnancy, antiepileptic drugs,
and chronic acetaminophen use. Diagnosis of pyroglutamic acidosis requires both
the exclusion of common etiologies of increased anion gap metabolic acidosis and
a high index of suspicion. Treatment involves discontinuation of acetaminophen,
supportive care, and addressing risk factors for glutathione deficiency. The
current report describes an ambulatory patient with multiple risk factors for
glutathione deficiency, who developed recurrent pyroglutamic acidosis due to
acetaminophen use with therapeutic blood levels of acetaminophen.
PMID- 29661354
TI - Fatal Hypermagnesemia Due to Laxative Use.
AB - We report a case of fatal hypermagnesemia in a 53-year-old woman admitted for
acute exacerbation of chronic obstructive pulmonary disease and with a history of
chronic constipation treated regularly with magnesium-containing laxatives. On
admission, her magnesium level was 2.0mg/dL, which rose to a peak of 10.8mg/dL
despite hydration and diuresis in the presence of a normal kidney function.
Continuous renal replacement therapy was promptly initiated, which reduced her
serum magnesium levels, but her condition continued to deteriorate precipitously
progressing to shock leading to oligoanuric renal failure, and she died 2 days
later. A review of the literature shows that though rare and often unsuspected,
severe hypermagnesemia frequently results in death even in individuals with
normal renal function despite renal replacement therapy. In patients with
constipation, retention of magnesium-based laxative in the gut apparently serves
as a reservoir for continuous magnesium absorption and contributes to mortality.
PMID- 29661355
TI - The Structure of Medical Intensive Care Units at Training Institutions.
AB - BACKGROUND: As a result of the 2011 Accreditation Council for Graduate Medical
Education (ACGME) work hour guideline implementation, the structure of intensive
care unit (ICU) teams at training institutions has been affected. The impact
these changes have had on the current work environment has not been well
described. METHODS: The authors conducted an online survey of internal medicine
program directors in 2016. The survey investigated how training institutions
structure their intensive care units in reference to volume, resident housestaff
and alternative coverage options, with a focus on changes made after the
implementation of the 2011 ACGME duty hour restrictions. RESULTS: Notable
differences were found in program director responses to coverage of patients in
the ICUs. A total of 62 of the 132 (48%) responding program directors describe
coverage of all patients solely by resident housestaff. Since 2011, 54 (41%)
programs have increased the number of resident physicians rotating in the ICU per
month and initiated or increased the use of nonresident coverage of patients. Use
of non-resident providers is not associated with a decrease in the number of
total ICU months per resident or a decrease in educational value. CONCLUSIONS:
Since the 2011 ACGME duty hour implementation, there is wide variability in the
learning environment of medical intensive care units in training institutions.
PMID- 29661356
TI - Shift: A Medical Student's Reflections on the Practice of Medicine and Nursing.
PMID- 29661357
TI - Prognostic Value of Ventricular Wall Motion Score and Global Registry of Acute
Coronary Events Score in Acute Mocardial Infarction Patients: Methodological
Issues.
PMID- 29661358
TI - Letter to the Editor.
PMID- 29661359
TI - Infective Endocarditis in the Intravenous Drug User: Treatment Shortcomings.
PMID- 29661360
TI - Heimlich Maneuver-Induced Diaphragmatic Rupture and Hiatal Hernia.
PMID- 29661361
TI - The ATTRACTiveness of catheter-directed thrombolysis.
PMID- 29661362
TI - Invited commentary.
PMID- 29661364
TI - Invited commentary.
PMID- 29661363
TI - The effect of commercial insurance policies on outcomes of venous ablation.
AB - OBJECTIVE: The use of venous ablation (VA) for treatment of chronic venous
insufficiency has exponentially increased. To limit cost and overuse, insurance
companies have adopted aleatory policies. The goal of this study was to compare
the policies of five major local insurance carriers and to determine whether
treatment within the criteria of a certain policy is associated with improved
patient outcomes. METHODS: A retrospective single-center review of patients
treated with VA was performed. Demographics, comorbidities, symptoms, and
clinical class (Clinical, Etiology, Anatomy, and Pathophysiology classification)
were recorded. Clinical success was defined on chart review by the patients'
reporting of improvement or resolution of symptoms in the leg treated on follow
up, and technical success was defined by vein closure on ultrasound. Patients
underwent a telephone survey inquiring about intensity of symptoms on a numeric
rating scale of 0 to 10 before and after treatment of each leg as well as effects
on quality of life (QOL). The policies of Aetna, Cigna, Anthem Blue Cross Blue
Shield, UnitedHealthcare, and Connecticut Care were reviewed. The clinical and
technical success rates were compared when veins were treated within the criteria
of each policy. A subgroup analysis looking at patients who had clinical success
only was performed to determine the potential rate of denial of coverage for each
policy. A multivariable analysis was performed to determine independent
predictors of clinical success. RESULTS: There were 253 patients with 341 legs
treated. The mean age was 58.5 +/- 15.2 years (68% women). The most common
symptom was pain (89.7%), with 47.8% of patients having C3 disease. The clinical
success, technical success, and complication rates were 84.2%, 95.1%, and 5.6%,
respectively. On survey, there was improvement of the numeric rating scale score
in 84.3% of legs treated after the procedure, and 76.7% continued to experience
improvement after a mean follow-up of 26.8 months. There was improvement of QOL
in 76.5% of patients. There was no significant difference in procedural success,
technical success, complication rate, or improvement in QOL when patients were
treated within any of the five insurance policies. On multivariable analysis,
there was no single policy significantly associated with clinical success.
However, subgroup analysis of procedures with clinical success (n = 287) showed a
significant difference between the five policies on analysis of the potential
denial of coverage, ranging from 5.6% for Connecticut Care to 64.1% for
UnitedHealthcare (P < .0001). CONCLUSIONS: The different insurance policies have
no correlation with outcomes of VA. Policies with more stringent criteria
typically restrict treatment to larger veins and deny procedures to a significant
number of patients with chronic venous insufficiency who can benefit from them.
PMID- 29661365
TI - Invited commentary.
PMID- 29661366
TI - A systematic review of venous aneurysms by anatomic location.
AB - OBJECTIVE: Venous aneurysms are uncommon vascular abnormalities that may be
identified anywhere in the body. Historically, they were often misdiagnosed as
soft tissue lesions, but with the advent of readily available noninvasive imaging
(such as duplex ultrasound), they can now be easily identified. Our aim was to
review the presentation of venous aneurysms, available imaging modalities for
defining them, and management. METHODS: The English-language literature before
March 2017 was reviewed, and only reports of primary venous aneurysms of the deep
veins were included. Reports were subdivided on the basis of the location of the
venous aneurysm, and reports containing sample imaging studies were referenced
from Elsevier publications. RESULTS: In total, our review identified reports of
35 head and neck venous aneurysms, 42 thoracic venous aneurysms, 152 intra
abdominal venous aneurysms, and 279 venous aneurysms of the extremities. Venous
aneurysms of the lower extremity deep veins were most likely to be manifested by
venous thromboembolic events, with approximately 25% to 50% of popliteal vein
aneurysms presenting with pulmonary embolism. Diagnosis can be made by duplex
ultrasound, computed tomography venography, magnetic resonance venography, or
invasive venography. Management varies by location; most thoracic and head and
neck aneurysms are observed, whereas venous aneurysms of the extremities are
treated with surgical intervention, given the potential for venous
thromboembolism. Few reports describe endovascular management of these lesions,
so open surgical intervention remains the standard of care. CONCLUSIONS: Venous
aneurysms are rare vascular malformations that occur throughout the body. Many
are identified on routine imaging ordered for other indications, whereas venous
aneurysms of the deep veins of the extremities are often manifested with venous
thromboembolism. Management of these lesions is determined largely by location
and the potential morbidity and mortality of the untreated aneurysms vs surgery;
aneurysms of the head and neck and thorax are managed with observation and serial
imaging over time, whereas those of the abdomen and extremities are treated with
surgical intervention. Endovascular techniques continue to lack a defined role in
their management, and the standard of care remains open repair, when indicated.
PMID- 29661367
TI - Quality Payment Program compliance and vein center acreditation.
PMID- 29661368
TI - Treatment of incompetent veins of the lower extremities.
PMID- 29661369
TI - Regarding "Double prepuncture as a valuable adjunctive technique for complex
endovenous ablation".
PMID- 29661370
TI - Reply.
PMID- 29661371
TI - Regarding "The optimal procedure of modified Rex shunt for the treatment of
extrahepatic portal hypertension in children".
PMID- 29661372
TI - Reply.
PMID- 29661373
TI - Regarding "The effect of wavelength on endothermal heat-induced thrombosis
incidence after endovenous laser ablation".
PMID- 29661374
TI - Reply.
PMID- 29661375
TI - [Requirement of DPD deficiency screening before prescription of
fluoropyrimidines].
PMID- 29661376
TI - Comparing interventions with network meta-analysis.
PMID- 29661377
TI - Correspondence: Living systematic reviews.
PMID- 29661378
TI - Differential role of nitric oxide in the psychedelic symptoms induced by racemic
ketamine and esketamine in human volunteers.
AB - BACKGROUND: Animal studies suggest that N-methyl-d-aspartate receptor (NMDAR)
hypofunction and subsequent decline in intracellular nitric oxide (NO) are
responsible for development of ketamine-induced psychedelic symptoms. To examine
this mechanism in humans, we administered the NO donor sodium nitroprusside
during infusion of racemic ketamine (RS-ketamine), containing equal amounts of
S(+)- and R(-)-ketamine isomers, or esketamine, containing just the S(+)-isomer.
METHODS: In this randomised, double blind, placebo-controlled crossover study,
healthy volunteers were treated with sodium nitroprusside 0.5 MUg kg-1 min-1 or
placebo during administration of escalating doses of RS-ketamine (total dose 140
mg) or esketamine (70 mg). Drug high, internal and external perception, obtained
using the Bowdle questionnaire, were scored over time on a visual analogue scale.
The area-under-the-time-effect-curve (AUC) was calculated for each end-point.
RESULTS: Sodium nitroprusside significantly reduced drug high AUC [mean (standard
deviation); placebo 9070 (4630) vs sodium nitroprusside 7100 (3320), P=0.02],
internal perception AUC [placebo 1310 (1250) vs nitroprusside 748 (786), P<0.01]
and external perception AUC [placebo 4110 (2840) vs nitroprusside 2890 (2120),
P=0.02] during RS-ketamine infusion, but was without effect on any of these
measures during esketamine infusion. CONCLUSIONS: These data suggest that NO
depletion plays a role in RS-ketamine-induced psychedelic symptoms in humans. The
sodium nitroprusside effect was observed for R(-)- but not S(+)-isomer-induced
psychedelic symptoms. Further studies are needed to corroborate our findings and
assess whether higher sodium nitroprusside doses will reduce esketamine-induced
psychedelic symptoms. CLINICAL TRIAL REGISTRATION: NTR 5359.
PMID- 29661379
TI - Isoflurane disrupts excitatory neurotransmitter dynamics via inhibition of
mitochondrial complex I.
AB - BACKGROUND: The mechanisms of action of volatile anaesthetics are unclear.
Volatile anaesthetics selectively inhibit complex I in the mitochondrial
respiratory chain. Mice in which the mitochondrial complex I subunit NDUFS4 is
knocked out [Ndufs4(KO)] either globally or in glutamatergic neurons are
hypersensitive to volatile anaesthetics. The volatile anaesthetic isoflurane
selectively decreases the frequency of spontaneous excitatory events in
hippocampal slices from Ndufs4(KO) mice. METHODS: Complex I inhibition by
isoflurane was assessed with a Clark electrode. Synaptic function was measured by
stimulating Schaffer collateral fibres and recording field potentials in the
hippocampus CA1 region. RESULTS: Isoflurane specifically inhibits complex I
dependent respiration at lower concentrations in mitochondria from Ndufs4(KO)
than from wild-type mice. In hippocampal slices, after high frequency stimulation
to increase energetic demand, short-term synaptic potentiation is less in KO
compared with wild-type mice. After high frequency stimulation, both Ndufs4(KO)
and wild-type hippocampal slices exhibit striking synaptic depression in
isoflurane at twice the 50% effective concentrations (EC50). The pattern of
synaptic depression by isoflurane indicates a failure in synaptic vesicle
recycling. Application of a selective A1 adenosine receptor antagonist partially
eliminates isoflurane-induced short-term depression in both wild-type and
Ndufs4(KO) slices, implicating an additional mitochondria-dependent effect on
exocytosis. When mitochondria are the sole energy source, isoflurane completely
eliminates synaptic output in both mutant and wild-type mice at twice the (EC50)
for anaesthesia. CONCLUSIONS: Volatile anaesthetics directly inhibit
mitochondrial complex I as a primary target, limiting synaptic ATP production,
and excitatory vesicle endocytosis and exocytosis.
PMID- 29661380
TI - Relationship between severe obesity and depth to the cricothyroid membrane in
third-trimester non-labouring parturients: a prospective observational study.
AB - BACKGROUND: Severely obese parturients have increased 'cannot intubate, cannot
oxygenate' risk during Caesarean section under general anaesthesia. Front-of-neck
access (FONA) at the cricothyroid membrane (CTM) is definitive management;
however, attempted FONA can fail. Point-of-care ultrasonography may provide
useful information about CTM depth to aid FONA in obesity. This study determined
the difference in CTM depth between severely obese and non-obese parturients,
utilising ultrasonography. METHODS: In this prospective observational study, two
anaesthetists performed airway ultrasonography on 15 severely obese (BMI >45 kg m
2) and 15 normal-weight (BMI <=25 kg m-2) parturients in the third trimester,
using the transverse and longitudinal planes, sniffing and extended head
positions, and nil and firm transducer pressures. The primary outcome was CTM
depth (millimetres) measured in the transverse plane with the head extended and
nil transducer pressure. Secondary outcomes included CTM depth measurements using
other factor configurations. Intra-class correlation coefficients assessed the
inter-observer reliability. RESULTS: CTM depth measured in the transverse plane
with head extended and nil transducer pressure was significantly greater in
severely obese parturients, mean 18.0 mm (95% confidence interval 16.3-19.8), vs
10.6 mm (8.81-12.4) in non-obese (P<0.001); mean difference 7.4 mm (4.9-9.9;
P<0.001). CTM depths were increased in the severely obese group regardless of
scanning plane, head and neck position, or transducer pressure (all P<0.001).
There was excellent inter-observer reliability. CONCLUSIONS: Cricothyroid
membrane depth is significantly increased in severely obese vs normal-weight
parturients independently of scanning plane, head and neck position, or
transducer pressure.
PMID- 29661381
TI - A review of the safety and efficacy of inhaled methoxyflurane as an analgesic for
outpatient procedures.
AB - Methoxyflurane delivered via a hand-held inhaler is a proven analgesic which has
been used in Australasia for emergency relief of trauma associated pain since the
1970s. The agent is self-administered by the patient under the supervision of
trained personnel. More than 5 million patients have received inhaled
methoxyflurane without significant side effects. Methoxyflurane is also licensed
in Australasia for the relief of pain in monitored conscious patients requiring
analgesia for minor surgical procedures. Recent clinical studies undertaken in a
variety of outpatient settings, including colonoscopy, prostate biopsy, dental
procedures, bone marrow biopsy, and the management of burns dressings, indicate
that inhaled methoxyflurane has significant analgesic activity, without producing
deep sedation or respiratory depression. Return to full psychomotor activity is
rapid. Thus, methoxyflurane may be a suitable and well-tolerated alternative to
traditional i.v. sedative agents for outpatient medical and surgical procedures.
There are direct advantages to the patient in terms of rapid recovery and an
early return to normal activities, and significant benefits for outpatient
departments in terms of cost saving and rate of throughput. Further randomised
controlled trials comparing the efficacy, safety, and cost-effectiveness of
inhaled methoxyflurane against traditional i.v. sedative techniques are currently
in progress.
PMID- 29661382
TI - Comparison of target-controlled infusion and manual infusion for propofol
anaesthesia in children.
AB - BACKGROUND: One major criticism of prolonged propofol-based total i.v.
anaesthesia (TIVA) in children is the prolonged recovery time. As target
controlled infusion (TCI) obviates the need to manually calculate the infusion
rate, the use of TCI may better match clinical requirements, reduce propofol
dose, and shorten recovery time. METHODS: Children of ASA grade 1, aged 1-12 yr,
were recruited and randomly assigned to TCI or manual infusion. Children in the
TCI group had propofol delivered by TCI. Children for manual infusion had a
loading dose of 2.5 mg kg-1 with subsequent infusion rates of 15, 13, 11, 10, and
9 mg kg-1 h-1. Attending anaesthesiologists adjusted the propofol dosage to keep
the Bispectral IndexTM (BIS) between 40 and 60. RESULTS: Seventy-four children
completed the study. The time taken to extubate the trachea after cessation of
propofol was 15.1 (5.5) and 16.2 (6.1) min for children who had TCI and manual
infusion, respectively (P=0.42). The mean propofol infusion rate was 16.7
[standard deviation (sd) 4.2] mg kg-1 h-1 in the TCI group and 14.6 (3.1) mg kg-1
h-1 in the manual infusion group (P=0.036). The percentage of time when BIS was
>60 was significantly lower in the TCI than the manual infusion group [10.2%
(18.4%) vs 23.2% (26.3%), P=0.016]. DISCUSSION: Use of TCI led to higher propofol
doses but not prolonged recovery time in children compared with manual infusion.
It was associated with a greater percentage of time when the BIS was in the
desired range and it may be an easier method for titration of propofol
administration during anaesthesia or sedation. CLINICAL TRIAL REGISTRATION:
ChiCTR-IOD-16010147.
PMID- 29661383
TI - Does intranasal dexmedetomidine provide adequate plasma concentrations for
sedation in children: a pharmacokinetic study.
AB - BACKGROUND: Atomised intranasal dexmedetomidine administration is an attractive
option when sedation is required for paediatric diagnostic procedures, as
vascular access is not required. The risk of haemodynamic instability caused by
dexmedetomidine necessitates better understanding of its pharmacokinetics in
young children. To date, intranasal dexmedetomidine pharmacokinetics has only
been studied in adults. METHODS: Eighteen paediatric patients received
dexmedetomidine 1 or 2 MUg kg-1 intranasally or 1 MUg kg-1 i.v. Plasma
concentrations were determined by liquid chromatography/mass spectrometry. Non
compartmental analysis provided estimates of Cmax and Tmax. Volume of
distribution, clearance, and bioavailability were estimated by simultaneous
population PK analysis of data after intranasal and i.v. administration.
Dexmedetomidine plasma concentration-time profiles were evaluated by simulation
for intranasal and i.v. administration. RESULTS: An average peak plasma
concentration of 199 pg ml-1 was achieved 46 min after 1 MUg kg-1 dosing and 355
pg ml-1 was achieved 47 min after 2 MUg kg-1 dosing. A two-compartment
pharmacokinetic model, with allometrically scaled parameters, adequately
described the data. Typical bioavailability was 83.8% (95% confidence interval
69.5-98.1%). CONCLUSION: Mean arterial plasma concentrations of dexmedetomidine
in infants and toddlers approached 100 pg ml-1, the low end reported for sedative
efficacy, within 20 min of an atomised intranasal administration of 1 MUg kg-1.
Doubling the dose to 2 MUg kg-1 reached this plasma concentration within 10 min
and achieved almost twice the peak concentration. Peak plasma concentrations with
both doses were reached within 47 min of intranasal administration, with an
overall bioavailability of 84%.
PMID- 29661385
TI - Different methods of modelling intraoperative hypotension and their association
with postoperative complications in patients undergoing non-cardiac surgery.
AB - BACKGROUND: Associations between intraoperative hypotension (IOH) and
postoperative complications have been reported. We examined whether using
different methods to model IOH affected the association with postoperative
myocardial injury (POMI) and acute kidney injury (AKI). METHODS: This two-centre
cohort study included 10 432 patients aged >=50 yr undergoing non-cardiac
surgery. Twelve different methods to statistically model IOH [representing
presence, depth, duration, and area under the threshold (AUT)] were applied to
examine the association with POMI and AKI using logistic regression analysis. To
define IOH, eight predefined thresholds were chosen. RESULTS: The incidences of
POMI and AKI were 14.9% and 14.8%, respectively. Different methods to model IOH
yielded effect estimates differing in size and statistical significance. Methods
with the highest odds were absolute maximum decrease in blood pressure (BP) and
mean episode AUT, odds ratio (OR) 1.43 [99% confidence interval (CI): 1.15-1.77]
and OR 1.69 (99% CI: 0.99-2.88), respectively, for the absolute mean arterial
pressure 50 mm Hg threshold. After standardisation, the highest standardised ORs
were obtained for depth-related methods, OR 1.12 (99% CI: 1.05-1.20) for absolute
and relative maximum decrease in BP. No single method always yielded the highest
effect estimate in every setting. However, methods with the highest effect
estimates remained consistent across different BP types, thresholds, outcomes,
and centres. CONCLUSIONS: In studies on IOH, both the threshold to define
hypotension and the method chosen to model IOH affects the association of IOH
with outcome. This makes different studies on IOH less comparable and hampers
clinical application of reported results.
PMID- 29661386
TI - Association between intraoperative opioid administration and 30-day readmission:
a pre-specified analysis of registry data from a healthcare network in New
England.
AB - BACKGROUND: The use of intraoperative opioids may influence the rate of
postoperative complications. This study evaluated the association between
intraoperative opioid dose and the risk of 30-day hospital readmission. METHODS:
We conducted a pre-specified analysis of existing registry data for 153 902
surgical cases performed under general anaesthesia at Massachusetts General
Hospital and two affiliated medical centres. We examined the association between
total intraoperative opioid dose (categorised in quintiles) and 30-day hospital
readmission, controlling for several patient-, anaesthetist-, and case-specific
factors. RESULTS: Compared with low intraoperative opioid dosing [quintile 1,
median (inter-quartile range): 8 (4-9) mg morphine equivalents], exposure to high
dose opioids during surgery [quintile 5: 32 (27-41) equivalents] is an
independent predictor of 30-day readmission [odds ratio (OR) 1.15 (95% confidence
interval 1.07-1.24); P<0.001]. Ambulatory surgery patients receiving high opioid
doses were found to have the greatest adjusted risk of readmission (OR 1.75;
P<0.001) with a clear dose-response effect across quintiles (P for trend <0.05),
and were more likely to be readmitted early (postoperative days 0-2 vs 3-30;
P<0.001). Opioid class modified the association between total opioid dose and
readmission, with longer-acting opioids demonstrating a stronger influence
(P<0.001). We observed significant practice variability across individual
anaesthetists in the utilisation of opioids that could not be explained by
patient- and case-specific factors. CONCLUSIONS: High intraoperative opioid dose
is a modifiable anaesthetic factor that varies in the practice of individual
anaesthetists and affects postoperative outcomes. Conservative standards for
intraoperative opioid dosing may reduce the risk of postoperative readmission,
particularly in ambulatory surgery.
PMID- 29661384
TI - A systematic review and consensus definitions for standardised end-points in
perioperative medicine: pulmonary complications.
AB - BACKGROUND: There is a need for robust, clearly defined, patient-relevant outcome
measures for use in randomised trials in perioperative medicine. Our objective
was to establish standard outcome measures for postoperative pulmonary
complications research. METHODS: A systematic literature search was conducted
using MEDLINE, Web of Science, SciELO, and the Korean Journal Database.
Definitions were extracted from included manuscripts. We then conducted a three
stage Delphi consensus process to select the optimal outcome measures in terms of
methodological quality and overall suitability for perioperative trials. RESULTS:
From 2358 records, the full texts of 81 manuscripts were retrieved, of which 45
met the inclusion criteria. We identified three main categories of outcome
measure specific to perioperative pulmonary outcomes: (i) composite outcome
measures of multiple pulmonary outcomes (27 definitions); (ii) pneumonia (12
definitions); and (iii) respiratory failure (six definitions). These were rated
by the group according to suitability for routine use. The majority of
definitions were given a low score, and many were imprecise, difficult to apply
consistently, or both, in large patient populations. A small number of highly
rated definitions were identified as appropriate for widespread use. The group
then recommended four outcome measures for future use, including one new
definition. CONCLUSIONS: A large number of postoperative pulmonary outcome
measures have been used, but most are poorly defined. Our four recommended
outcome measures include a new definition of postoperative pulmonary
complications, incorporating an assessment of severity. These definitions will
meet the needs of most clinical effectiveness trials of treatments to improve
postoperative pulmonary outcomes.
PMID- 29661387
TI - Pre-hospital advanced airway management by anaesthetist and nurse anaesthetist
critical care teams: a prospective observational study of 2028 pre-hospital
tracheal intubations.
AB - BACKGROUND: Pre-hospital tracheal intubation success and complication rates vary
considerably among provider categories. The purpose of this study was to estimate
the success and complication rates of pre-hospital tracheal intubation performed
by physician anaesthetist or nurse anaesthetist pre-hospital critical care teams.
METHODS: Data were prospectively collected from critical care teams staffed with
a physician anaesthetist or a nurse anaesthetist according to the Utstein
template for pre-hospital advanced airway management. The patients served by six
ambulance helicopters and six rapid response vehicles in Denmark, Finland,
Norway, and Sweden from May 2015 to November 2016 were included. RESULTS: The
critical care teams attended to 32 007 patients; 2028 (6.3%) required pre
hospital tracheal intubation. The overall success rate of pre-hospital tracheal
intubation was 98.7% with a median intubation time of 25 s and an on-scene time
of 25 min. The majority (67.0%) of the patients' tracheas were intubated by
providers who had performed >2500 tracheal intubations. The success rate of
tracheal intubation on the first attempt was 84.5%, and 95.9% of intubations were
completed after two attempts. Complications related to pre-hospital tracheal
intubation were recorded in 10.9% of the patients. Intubations after rapid
sequence induction had a higher success rate compared with intubations without
rapid sequence induction (99.4% vs 98.1%; P=0.02). Physicians had a higher
tracheal intubation success rate than nurses (99.0% vs 97.6%; P=0.03).
CONCLUSIONS: When performed by experienced physician anaesthetists and nurse
anaesthetists, pre-hospital tracheal intubation was completed rapidly with high
success rates and a low incidence of complications. CLINICAL TRIAL NUMBER: NCT
02450071.
PMID- 29661388
TI - Degree of obesity is not associated with more than one intubation attempt: a
large centre experience.
AB - BACKGROUND: The role of obesity as a risk factor for difficult intubation remains
controversial. We primarily assessed the association between body mass index
(BMI) and difficult tracheal intubation. METHODS: We analysed electronic records
of more than 67 000 adults having elective non-cardiac surgery requiring tracheal
intubation at the Cleveland Clinic between 2011 and 2015. The association between
BMI and difficult intubation, defined as more than one intubation attempt, was
assessed using multivariable logistic regression adjusting for pre-specified
confounders. RESULTS: Amongst 40 183 patients with BMI <30 kg m-2 and 27 519 with
BMI >=30 kg m-2, 9% required more than one intubation attempt. Increasing BMI up
to 30 kg m-2 was significantly associated with increased odds of more than one
intubation attempt [odds ratio (OR): 1.03; 97.5% confidence interval (CI): 1.02,
1.04] per unit increase in BMI, P < 0.001. However, the odds of difficult
intubation remained unchanged once BMI exceeded 30 kg m-2 (P = 0.08). The results
were similar when analysis was restricted to patients without history of airway
abnormalities in whom intubation was attempted using a standard direct
laryngoscope (OR: 1.03; 99.4% CI: 1.01, 1.04) per kg m-2 increase in BMI <30 kg m
2). CONCLUSIONS: Increasing BMI was associated with increasing odds of difficult
intubation in the lean range. At higher BMI, the odds of difficult intubation
remain elevated, but there is no additional increase in odds with further
increase in BMI. Obese patients were thus harder to intubate than lean ones, but
difficult intubation was no more likely in morbidly obese patients than in those
who were only slightly obese.
PMID- 29661389
TI - Esketamine counters opioid-induced respiratory depression.
AB - BACKGROUND: Opioids can produce life-threatening respiratory depression. This
study tested whether subanaesthetic doses of esketamine stimulate breathing in an
established human model of opioid-induced respiratory depression. METHODS: In a
study with a randomised, double blind, placebo controlled, crossover design, 12
healthy, young volunteers of either sex received a dose escalating infusion of
esketamine (cumulative dose 40 mg infused in 1 h) on top of remifentanil-induced
respiratory depression. A population pharmacokinetic-pharmacodynamic analysis was
performed with sites of drug action at baseline ventilation, ventilatory CO2
chemosensitivity, or both. RESULTS: Remifentanil reduced isohypercapnic
ventilation (end-tidal PCO2 6.5 kPa) by approximately 40% (from 20 to 12 litre
min-1) in esketamine and placebo arms of the study, through an effect on baseline
ventilation and ventilatory CO2 sensitivity. The reduction in ventilation was
related to a remifentanil effect on ventilatory CO2 sensitivity (~39%) and on
baseline ventilation (~61%). Esketamine increased breathing through an exclusive
stimulatory effect on ventilatory CO2 sensitivity. The remifentanil concentration
that reduced ventilatory CO2 sensitivity by 50% (C50) was doubled at an
esketamine concentration of 127 (84-191) ng ml-1 [median (interquartile range)];
the esketamine effect was rapid and driven by plasma pharmacokinetics. Placebo
had no systematic effect on opioid-induced respiratory depression. CONCLUSIONS:
Esketamine effectively countered remifentanil-induced respiratory depression, an
effect that was attributed to an increase in remifentanil-reduced ventilatory CO2
chemosensitivity.
PMID- 29661390
TI - Reporting of sex and gender in human studies published in anaesthesia journals.
PMID- 29661391
TI - Sex is not gender and why it matters for population health.
PMID- 29661392
TI - Defining modes of anaesthesia: response to 'Perioperative outcomes in the context
of mode of anaesthesia for patients undergoing hip fracture surgery: systematic
review and meta-analysis'.
PMID- 29661393
TI - Hip fracture anaesthesia: the importance of inputs.
PMID- 29661394
TI - On differences between systematic reviews.
PMID- 29661395
TI - Evidence-based medicine: time to upend the pyramid for some clinical situations?
PMID- 29661396
TI - The World Health Organisation surgical safety checklist does not reduce mortality
in general surgery.
PMID- 29661397
TI - Surgical cricothyrotomy: the tracheal-tube dilemma.
PMID- 29661398
TI - Response to 'Surgical cricothyroidotomy-the tracheal tube dilemma'.
PMID- 29661399
TI - Uvula necrosis after fibreoptic intubation.
PMID- 29661400
TI - Corrigendum to "Perineural dexamethasone - the dilemma of systematic reviews and
meta-analyses" [Br J Anaesth 2018; 120: 201-203].
PMID- 29661401
TI - Erratum to 'Management of antiplatelet therapy in patients with coronary stents
undergoing noncardiac surgery: association with adverse events' [Br J Anaesth
2018; 120: 67-76].
PMID- 29661402
TI - Increasing the utility of target-controlled infusions: one model to rule them
all.
PMID- 29661403
TI - Can nitric oxide tame the ketamine tiger?
PMID- 29661404
TI - Bioblasts, anaesthesia, and power failure: rein in the excitement.
PMID- 29661405
TI - Front of neck: continued discovery of this anatomy essential for airway
management.
PMID- 29661406
TI - Pre-hospital anaesthesia: no longer the 'poor relative' of high quality in
hospital emergency airway management.
PMID- 29661407
TI - Big data for big patients: gaining insight into risks for tracheal intubation in
obese patients.
PMID- 29661408
TI - Cerebrospinal-fluid drain-related complications in patients undergoing open and
endovascular repairs of thoracic and thoraco-abdominal aortic pathologies: a
systematic review and meta-analysis.
AB - BACKGROUND: Cerebrospinal-fluid (CSF) drainage is recommended by current
guidelines for spinal protection during open and endovascular repairs of thoracic
and thoraco-abdominal aortic aneurysms. In the published literature, great
variability exists in the rate of CSF-related complications and morbidity.
Herein, we perform a systematic review and meta-analysis on the incidence of CSF
drainage-related complications, and compare the complication rates between open
and endovascular repairs. METHODS: The systematic review was conducted according
to the Meta-Analysis of Observational Studies in Epidemiology guidelines. Thirty
four studies (4714 patients) were included in the quantitative analysis. The CSF
drainage-related complications were categorised as mild, moderate, and severe.
Pooled event rates for each complication category were estimated using a random
effect model. Random-effect uni- and multivariable meta-regression analyses were
used to assess the effect of aortic-repair approach (open vs endovascular) and
the CSF drainage criteria on CSF drainage-related complications. RESULTS: The
pooled event rates were 6.5% [95% confidence interval (CI): 4.3-9.8%] for overall
complications, 2% (95% CI: 1.1-3.4%) for minor complications, 3.7% (95% CI: 2.5
5.6%) for moderate complications, and 2.5% (95% CI: 1.6-3.8%) for severe
complications. The drainage-related-mortality pooled event rate was 0.9% (95% CI:
0.6-1.4%). The uni- and multivariable meta-regression analyses showed no
difference in complication rates between the open and endovascular approaches, or
between the different CSF drainage protocols. CONCLUSION: The complication rate
for CSF drainage is not negligible. Our results help define a more accurate risk
benefit ratio for CSF drain placement at the time of repair of thoracic and
thoraco-abdominal aneurysms.
PMID- 29661409
TI - Anticoagulant and side-effects of protamine in cardiac surgery: a narrative
review.
AB - Neutralisation of systemic anticoagulation with heparin in cardiac surgery with
cardiopulmonary bypass requires protamine administration. If adequately dosed,
protamine neutralises heparin and reduces the risk of postoperative bleeding.
However, as its anticoagulant properties are particularly exerted in the absence
of heparin, overdosing of protamine may contribute to bleeding and increased
transfusion requirements. This narrative review describes the mechanisms
underlying the anticoagulant properties and side-effects of protamine, and the
impact of protamine dosing on the activated clotting time and point-of-care
viscoelastic test results, and explains the distinct protamine dosing strategies
in relation to haemostatic activation and postoperative bleeding. The available
evidence suggests that protamine dosing should not exceed a protamine-to-heparin
ratio of 1:1. In particular, protamine-to-heparin dosing ratios >1 are associated
with more postoperative 12 h blood loss. The optimal protamine-to-heparin ratio
in cardiac surgery has, however, not yet been elaborated, and may vary between
0.6 and 1.0 based on the initial heparin dose.
PMID- 29661410
TI - Use of prothrombin complex concentrate for management of coagulopathy after
cardiac surgery: a propensity score matched comparison to plasma.
AB - BACKGROUND: An important cause of coagulopathy in cardiac surgery is impaired
thrombin generation. While plasma is often used to correct this element of the
coagulopathy, studies in vitro suggest that prothrombin complex concentrates
(PCCs) might be more effective. Comparative data, however, are scant. METHODS: We
compared the outcomes of those who received only plasma with those who received
PCCs (with or without plasma) for management of coagulopathy in patients who
underwent cardiac surgery with cardiopulmonary bypass at a single institution
from 2012 to 2016. Propensity score matching was used to obtain between-group
balance. Primary outcome was avoidance of perioperative red cell transfusions.
Other outcomes were incidence of massive transfusion (more than nine red cell
units), refractory bleeding (requiring factor VIIa), and adverse events. RESULTS:
Of 6362 patients, 1151 (18.2%) received plasma without any PCCs, and 204 (3.2%)
received PCCs, either with (n=125) or without plasma (n=79). Overall, patient
risk-profile was higher in the PCCs group. In a well-balanced propensity score
match that included 117 patients per group, the odds ratio (OR) for red cell
avoidance was 2.4-fold [95% confidence interval (CI) 1.2-4.8] higher in the PCCs
group. Massive transfusion (OR 0.58; 95% CI 0.33-1.0) and refractory bleeding (OR
0.49; 95% CI 0.24-1.03) incidences were almost significantly lower in the PCCs
group. The adverse event profiles were similar. CONCLUSIONS: Our exploratory
study suggests that the use of PCCs as part of a multifaceted coagulation
management strategy may have blood-sparing effects. Their incorporation into
clinical practice, however, must await determination of their risk-benefit
profile via multicentre randomised trials.
PMID- 29661411
TI - The fragility of statistically significant findings in randomised controlled
anaesthesiology trials: systematic review of the medical literature.
AB - The fragility index (FI), the number of events the statistical significance a
result depends on, and the number of patients lost to follow-up are important
parameters for interpreting randomised clinical trial results. We evaluated these
two parameters in randomised controlled trials in anaesthesiology. For this, we
performed a systematic search of the medical literature, seeking articles
reporting on anaesthesiology trials with a statistically significant difference
in the primary outcome and published in the top five general medicine journals,
or the top 15 anaesthesiology journals. We restricted the analysis to trials
reporting clinically important primary outcome measures. The search identified
139 articles, 35 published in general medicine journals and 104 in
anaesthesiology journals. The median (inter-quartile range) sample size was 150
(70-300) patients. The FI was 4 (2-17) and 3 (2-7), and the number of patients
lost to follow-up was 0 (0-18) and 0 (0-6) patients in trials published in
general medicine and anaesthesiology journals, respectively. The number of
patients lost to follow-up exceeded the FI in 41 and 27% in trials in general
medicine journals and anaesthesiology journals, respectively. The FI positively
correlated with sample size and number of primary outcome events, and negatively
correlated with the reported P-values. The results of this systematic review
suggest that statistically significant differences in randomised controlled
anaesthesiology trials are regularly fragile, implying that the primary outcome
status of patients lost to follow-up could possibly have changed the reported
effect.
PMID- 29661412
TI - Pharmacokinetic-pharmacodynamic model for propofol for broad application in
anaesthesia and sedation.
AB - BACKGROUND: Pharmacokinetic (PK) and pharmacodynamic (PD) models are used in
target-controlled-infusion (TCI) systems to determine the optimal drug
administration to achieve a desired target concentration in a central or effect
site compartment. Our aim was to develop a PK-PD model for propofol that can
predict the bispectral index (BIS) for a broad population, suitable for TCI
applications. METHODS: Propofol PK data were obtained from 30 previously
published studies, five of which also contained BIS observations. A PK-PD model
was developed using NONMEM. Weight, age, post-menstrual age (PMA), height, sex,
BMI, and presence/absence of concomitant anaesthetic drugs were explored as
covariates. The predictive performance was measured across young children,
children, adults, elderly, and high-BMI individuals, and in simulated TCI
applications. RESULTS: Overall, 15 433 propofol concentration and 28 639 BIS
observations from 1033 individuals (672 males and 361 females) were analysed. The
age range was from 27 weeks PMA to 88 yr, and the weight range was 0.68-160 kg.
The final model uses age, PMA, weight, height, sex, and presence/absence of
concomitant anaesthetic drugs as covariates. A 35-yr-old, 170 cm, 70 kg male
(without concomitant anaesthetic drugs) has a V1, V2, V3, CL, Q2, Q3, and ke0 of
6.28, 25.5, 273 litres, 1.79, 1.75, 1.11 litres min-1, and 0.146 min-1,
respectively. The propofol TCI administration using the model matches well with
recommendations for all age groups considered for both anaesthesia and sedation.
CONCLUSIONS: We developed a PK-PD model to predict the propofol concentrations
and BIS for broad, diverse population. This should be useful for TCI in
anaesthesia and sedation.
PMID- 29661413
TI - Pharmacokinetic and pharmacodynamic study of intranasal and intravenous
dexmedetomidine.
AB - BACKGROUND: Intranasal dexmedetomidine produces safe, effective sedation in
children and adults. It may be administered by drops from a syringe or by nasal
mucosal atomisation (MAD NasalTM). METHODS: This prospective, three-period,
crossover, double-blind study compared the pharmacokinetic (PK) and
pharmacodynamic (PD) profile of i.v. administration with these two different
modes of administration. In each session each subject received 1 MUg kg-1
dexmedetomidine, either i.v., intranasal with the atomiser or intranasal by
drops. Dexmedetomidine plasma concentration and Ramsay sedation score were used
for PK/PD modelling by NONMEM. RESULTS: The i.v. route had a significantly faster
onset (15 min, 95% CI 15-20 min) compared to intranasal routes by atomiser (47.5
min, 95% CI 25-135 min), and by drops (60 min, 95%CI 30-75 min), (P<0.001). There
was no significant difference in sedation duration across the three treatment
groups (P=0.88) nor in the median onset time between the two modes of intranasal
administration (P=0.94). A 2-compartment disposition model, with transit
intranasal absorption and clearance driven by cardiac output using the well
stirred liver model, was the final PK model. Intranasal bioavailability was
estimated to be 40.6% (95% CI 34.7-54.4%) and 40.7% (95% CI 36.5-53.2%) for
atomisation and drops respectively. Sedation score was modelled via a sigmoidal
Emax model driven by an effect compartment. The effect compartment had an
equilibration half time 3.3 (95% CI 1.8-4.7) min-1, and the EC50 was estimated to
be 903 (95% CI 450-2344) pg ml-1. CONCLUSIONS: There is no difference in
bioavailability with atomisation or nasal drops. A similar degree of sedation can
be achieved by either method. CLINICAL TRIAL REGISTRATION: HKUCTR-1617.
PMID- 29661415
TI - Reversal of dabigatran by intraosseous or intravenous idarucizumab in a porcine
polytrauma model.
AB - BACKGROUND: Idarucizumab is licensed to reverse dabigatran in life-threatening
haemorrhage. Establishment of venous access can be challenging, and the
intraosseous (IO) route is a potentially life-saving alternative. In this study,
we compared the efficacy and safety of IO or intravenous (i.v.) idarucizumab for
dabigatran reversal in a porcine polytrauma model. METHODS: Male pigs (n=21)
received oral dabigatran etexilate (30 mg kg-1 bid) for 3 days. On the 4th day,
animals received dabigatran infusion and were randomised 1:1:1 to receive IO
saline (control), i.v. idarucizumab (60 mg kg-1), or IO idarucizumab (60 mg kg
1), or animals were included in a sham group (n=7). Study treatment was
administered after polytrauma and the animals were monitored for 240 min, or
until death. Coagulation status was monitored by thromboelastometry,
thromboelastography, and thrombin measurements. RESULTS: Total blood loss was
lowest in sham animals [521 (52) ml, P<0.01 vs all other groups], and comparable
in the two idarucizumab groups [IO: 1085 (102) ml vs i.v.: 1142 (125) ml], and
highest in the control group [4065 (557) ml, P<0.001 vs all other groups].
Survival to 240 min was 100% in the sham group and both idarucizumab groups, and
14% in the control group. IO and i.v. idarucizumab promptly normalised global
coagulation assays and thrombin generation. Thromboelastography showed a strong
correlation between dabigatran concentrations and R-time (R2=0.90 and 0.89) in
idarucizumab-treated animals. CONCLUSIONS: Intravenous and intraosseous
idarucizumab were comparable for reversing dabigatran in a porcine trauma model.
Dabigatran reversal could be monitored using fully automated thromboelastography.
PMID- 29661414
TI - Dexmedetomidine metabolic clearance is not affected by fat mass in obese
patients.
AB - BACKGROUND: Obesity has been associated with reduced dexmedetomidine clearance,
suggesting impaired hepatic function or reduced hepatic blood flow. The aim of
this study was to clarify the effect of obesity in dexmedetomidine metabolic
clearance. METHODS: Forty patients, ASA I-III, 18-60 yr old, weighing 47-126 kg,
scheduled for abdominal laparoscopic surgery, were enrolled. Anaesthetic agents
(propofol, remifentanil, and dexmedetomidine) were dosed based on lean body
weight measured by dual X-ray absorptiometry. Serial venous samples were drawn
during and after dexmedetomidine infusion. A pharmacokinetic analysis was
undertaken using non-linear mixed-effect models. In the modelling approach, the
total body weight, lean body weight, and adjusted body weight were first tested
as size descriptors for volumes and clearances. Hepatic blood flow, liver
histopathology, liver enzymes, and gene expression of metabolic enzymes (UGT2B10
and UGT1A4) were tested as covariates of dexmedetomidine metabolic clearance. A
decrease in NONMEM objective function value (DeltaOFV) of 3.84 points, for an
added parameter, was considered significant at the 0.05 level. RESULTS: A total
of 637 dexmedetomidine serum samples were obtained. A two-compartmental model
scaled to measured lean weight adequately described the dexmedetomidine
pharmacokinetics. Liver blood flow was a covariate for dexmedetomidine clearance
(DeltaOFV=-5.878). Other factors, including fat mass, histopathological damage,
and differential expression of enzymes, did not affect the dexmedetomidine
clearance in the population studied (DeltaOFV<3.84). CONCLUSIONS: We did not find
a negative influence of obesity in dexmedetomidine clearance when doses were
adjusted to lean body weight. Liver blood flow showed a significant effect on
dexmedetomidine clearance. CLINICAL TRIAL REGISTRATION: NCT02557867.
PMID- 29661416
TI - Anaemia and red blood cell transfusion in intracranial neurosurgery: a
comprehensive review.
AB - Both anaemia and blood transfusion are associated with poor outcomes in the
neurosurgical population. Based on the available literature, the optimal
haemoglobin concentration for neurologically injured patients appears to be in
the range of 9.0-10.0 g dl-1, although the individual risks and benefits should
be weighed. Several perioperative blood conservation strategies have been used
successfully in neurosurgery, including correction of anaemia and coagulopathy,
use of antifibrinolytics, and intraoperative cell salvage. Avoidance of non
steroidal anti-inflammatory drugs and starch-containing solutions is recommended
given the potential for platelet dysfunction.
PMID- 29661417
TI - Postoperative delirium in total knee and hip arthroplasty patients: a study of
perioperative modifiable risk factors.
AB - BACKGROUND: Postoperative delirium continues to pose major clinical difficulties.
While unmodifiable factors (e.g. age and comorbidity burden) are commonly studied
risk factors for delirium, the role of modifiable factors, such as anaesthesia
type and commonly used perioperative medications, remains understudied. This
study aims to evaluate the role of modifiable factors for delirium after hip and
knee arthroplasties. METHODS: We performed a retrospective study of 41 766
patients who underwent hip or knee arthroplasties between 2005 and 2014 at a
single institution. Data were collected as part of routine patient care.
Multivariable logistic regression models assessed associations between
anaesthesia type and commonly used perioperative medications (opioids,
benzodiazepines, and ketamine) and postoperative delirium. Odds ratios (OR) and
95% confidence intervals (CI) are reported. Various sensitivity analyses are also
considered, including multiple imputation methods to address missing data.
RESULTS: Postoperative delirium occurred in 2.21% (n=922) of all patients. While
patients who received neuraxial anaesthesia were at lower risk for postoperative
delirium (compared with general anaesthesia; epidural OR 0.59 CI 0.38-0.93;
spinal OR 0.55 CI 0.37-0.83; combined spinal/epidural OR 0.56 CI 0.40-0.80),
those given intraoperative ketamine (OR 1.27 CI 1.01-1.59), opioids (OR 1.25 CI
1.09-1.44), postoperative benzodiazepines (OR 2.47 CI 2.04-2.97), and ketamine
infusion (OR 10.59 CI 5.26-19.91) were at a higher risk. CONCLUSIONS: In this
cohort of hip and knee arthroplasty patients, anaesthesia type and perioperative
medications were associated with increased odds for postoperative delirium. Our
results support the notion that modifiable risk factors may exacerbate or
attenuate risk for postoperative delirium.
PMID- 29661418
TI - 15th Brazilian Transplant Congress.
PMID- 29661419
TI - Challenges of Organ Donation: Potential Donors for Transplantation in an Area of
Brazil's Northeast.
AB - BACKGROUND: New strategies to manage the pool of potential donors are needed to
increase organ donation rates. The purpose of the study was to identify the main
causes of lack of organ donation and to identify the sociodemographic and
clinical profiles of potential organ donors in an area of northeastern Brazil.
METHODS: We conducted a cross-sectional, retrospective, observational study
between November 2015 and January 2017. RESULTS: A total of 150 potential donors
were included in the sample. The main cause of death among potential donors was
hemorrhagic stroke (35.3%). Hypertension was the most prevalent comorbidity
(25.6%). Family member's refusal was cited as the most common reason for not
performing organ donation (49.4%). Among the causes of family member's refusal,
the most prevalent was declaration of non-consent in life (23%), followed by
family disagreement (20.3%). CONCLUSION: There is clearly a need for public
educational efforts with regard to organ donation. Educational advertising
campaigns can help improve the authorization rate of organ donation.
PMID- 29661420
TI - Use of Georges Lopez Institute Preservation Solution IGL-1 in Pancreas
Transplantation: A Series of 47 Cases.
AB - BACKGROUND: Graft preservation continues to be one of the main pillars of
pancreas transplantation (PT). Surgical complications, possibly caused or
facilitated by organ damage during preservation, continue to occur more
frequently after PT than for any other abdominal organ. During the past few
years, the Georges Lopez Institute preservation solution IGL-1 has been
introduced with satisfactory results for the perfusion and cold storage of
abdominal grafts such as kidney and liver. METHODS: Aspects related to 47 PTs
with the use of IGL-1 as the only preservation solution performed from January
2012 to September 2017 at Hospital Santa Isabel, Blumenau, Brazil, were
retrospectively analyzed. RESULTS: Considering the 46 transplanted patients (1
patient underwent 2 PTs), graft loss followed by death occurred in 2 patients: 1
due to pancreatic thrombosis, and 1 due to sepsis. In addition, a 3rd patient
died with a functioning graft due to sepsis of an infected hematoma. In 1
patient, graft loss occurred due to pancreatic thrombosis and was later
retransplanted. One patient presented post-transplantation pancreatitis. The
overall survival of patients in 1 month after transplantation was 95.7%, and
graft survival in the 1st month was 93.6%. CONCLUSIONS: In all patients
transplanted with the use of IGL-1, normalization of pancreatic function occurred
early after reperfusion, there was no delayed graft function, and all
transplanted patients maintained a non-insulin-dependent status after
transplantation. The use of IGL-1 as preservation solution for PT is safe and
effective.
PMID- 29661421
TI - Liver Transplantation Using Prolonged Cold Ischemia Time Grafts Preserved With
Institute George-Lopez-1 Solution.
AB - Institute George-Lopez-1 (IGL-1) solution is a preservation solution with lower
potassium and lower viscosity than the University of Wisconsin solution that has
been recently used in liver transplantation. In the present series, we compare
the outcome of liver grafts from brain-dead donors preserved in IGL-1cold storage
solution, with cold ischemia times (CITs) longer than 8 hours and those less than
8 hours. Two hundred fifty-two liver transplantations performed from January 2014
to December 2016 at Hospital Santa Isabel, Blumenau, Brazil, were retrospectively
analyzed. The patients were divided in two groups according to the CIT. Group I
patients (N = 155) had less than 8 hours of CIT with a mean age of 54 +/- 11.35
years, whereas group II patients (N = 97) had more than 8 hours of CIT with a
mean age of 52 +/- 12.5 years. There was no difference between the groups related
to indication for liver transplantation and donor characteristics. The only
difference statically significant on laboratory data was between the levels of
aspartate aminotransferase at day 1 after transplantation. On day 7 post
transplantation there was no difference statistically significant between
aspartate aminotransferase, alanine aminotransferase, and bilirubin levels
between the two groups. Similar 1-year patient survival rates were found in both
groups, with 85.88% for group I and 85.75% in group II. The IGL-1 solution has
been shown to be safe, effective, and with good results in liver
transplantations. Early graft function and 1-year patient survival rates did not
differ when grafts preserved for less than 8 hours were compared to those with
CIT greater than 8 hours.
PMID- 29661422
TI - Graft Protection Against Cold Ischemia Preservation: An Institute George Lopez 1
and Histidine-tryptophan-ketoglutarate Solution Appraisal.
AB - Cold storage of organs in preservation solutions, such as Institute George Lopez
1 (IGL-1) or histidine-tryptophan-ketoglutarate (HTK), is a mandatory step for
organ transplantation. This preservation leads to an ischemic injury that affects
the outcome of the organ. This article studies the liver graft eluate after organ
recovery using IGL-1 or HTK solutions. We explore the influence of the volume
used for washing out the liver and the consequences in the graft preservation
when both solutions are used. Livers were washed out with different volumes of
HTK and IGL-1 according to manufacturers' instructions and then preserved in both
solutions for 24 hours at 4 degrees C. Tissue and eluates were collected for
subsequent analyses. We measured transaminases (aspartate aminotransferase and
alanine aminotransferase), histology by hematoxylin/eosin staining, and red blood
cell and hemoglobin counts, respectively. After washing out and cold storage, the
IGL-1 processed livers showed better preservation than those with HTK solution;
however, in this latter case, an important accumulation of erythrocytes was found
when compared to IGL-1. These data were consistent with the higher hemoglobin and
red blood cell counts observed for IGL-1 eluates after 24 hours. The volume used
for washing out the organ depends on the composition and properties of the organ
preservation solutions (ie, IGL-1 and HTK); this is an important factor for the
graft cold preservation. The total volume used for washing out the graft should
be considered because it has a direct impact on the total cost for clinical
transplantations.
PMID- 29661423
TI - Living-Donor Kidney Transplantation: Donor-Recipient Function Correlation.
AB - BACKGROUND: With the rising prevalence of living-donor kidney transplantation,
evaluation of factors correlated with renal function in the donor-recipient pair
constitutes a main goal for kidney transplantation clinicians. Our objective was
to analyze the more relevant donor characteristics that contribute to donor and
recipient estimated glomerular filtration rates (eGFR) after 1 year. METHODS: We
evaluated 48 consecutive donor-recipient pairs from our unit. RESULTS: Mean donor
age was 46 +/- 11 years, with 71% being women. Mean recipient age was 35 +/- 12
years, with 54% being men. Mean duration of donor hospitalization was 7 +/- 2
days. Donor eGFR was 104 +/- 11 mL/min/1.73 m2 before donation and 70 +/- 14
mL/min/1.73 m2 at discharge. After 1 year, donor eGFR was 71 +/- 12 mL/min/1.73
m2 and recipient eGFR was 69 +/- 10 mL/min/1.73 m2. Donor eGFR <100 mL/min/1.73
m2 before donation and age >50 years correlated with 17.7- and 8.9-fold increased
risks, respectively, of recipient eGFR <60 mL/min/1.73 m2 after 1 year. Donor
being female, although statistically associated with worse graft function,
compared with a male donor (P = .020), did not represent a significantly
increased risk of recipient eGFR <60 mL/min/1.73 m2. Higher donor body mass index
(BMI) also associated with a lower kidney function for donors (P = .048). In
multivariate linear regression to predict pairs' eGFRs after 1 year, only donor
eGFR before donation and at discharge retained statistical significance (P <=
.001 and P = .045, respectively). CONCLUSIONS: Excluding unpredictable
complications in the post-transplantation period, donor eGFR before donation,
eGFR at discharge, and age were the best parameters to predict recipient and
donor eGFRs after 1 year and can be used as a tool for managing expectations
regarding the post-transplantation period.
PMID- 29661424
TI - Intravenous Immunoglobulin and Rituximab in HLA Highly Sensitized Kidney
Transplant Recipients.
AB - INTRODUCTION: HLA-sensitized patients are penalized both in the access to kidney
transplantation (KT) and, once transplanted, in the incidence of rejections and
long-term allograft survival despite aggressive induction and maintenance
therapy. METHODS: This study retrospectively evaluates the impact of combining T-
and B-cell-depleting agents and intravenous immunoglobulin for induction therapy
in 45 highly sensitized KT patients (anti-panel reactive antibodies >60%,
positive flow cytometry crossmatch or donor specific antibodies at the time of
transplantation). The outcome data included the occurrence of biopsy-proven acute
rejection, new-onset proteinuria, development of leukopenia, incidence of
poliomavirus infection (BK or JC virus), fungal or bacterial infection after KT,
de novo neoplasia, graft function, graft loss, or death with functioning KT.
RESULTS: The average panel reactive antibody was 62.5%; 41 patients (91.1%) had
>=3 HLA mismatches with the donor and 91.1% of patients had class I or II anti
HLA antibodies. Fourteen patients (31.1%) presented pre-KT donor-specific
antibodies and 6 patients (13.3%) had a positive flow cytometry cross-match at
the time of transplantation. The incidence of acute rejection in the first 6
months was 24.4% and the cumulative incidence was 37.8%. Two patients were
diagnosed with leukopenia in the first 6 months after KT. Two patients (4.5%) had
cytomegalovirus disease, 17 patients (37.8%) were diagnosed with bacterial
infections. Cutaneous neoplasms were identified in 5 patients (11.1%) and solid
tumors in 4 (8.9%). The death-censored graft survival was 100% in the first 6
months and 93.5% at the last evaluation. Patient survival in the same periods was
97.8% and 93.3%, respectively. CONCLUSIONS: Induction immunosuppressive therapy
with intravenous immunoglobulin and rituximab is effective; outcomes demonstrate
an excellent patient and allograft survival and allograft function over the
follow-up period.
PMID- 29661425
TI - Soluble CD30, Acute Rejection, and Graft Survival: Pre- and 6-Month Post
Transplant Determinations-When Is the Best Time to Measure?
AB - BACKGROUND: Pretransplantation soluble CD30 (sCD30) has been shown to be a good
predictor of acute rejection (AR) and graft loss. This study aimed to evaluate
the effectiveness of sCD30 measured pretransplant and up to 6 months after
transplantation as a predictor of AR, graft loss, and survival at 5 years post
transplantation. Subjects were patients receiving living donor renal transplants
at Bonsucesso Federal Hospital (Rio de Janeiro) in 2006 and between August 2010
and May 2011. METHODS: sCD30 was analyzed in samples collected pretransplantation
and 7, 14, and 21, 28 days and 3, 4, 5, and 6 months post-transplantation from 73
kidney recipients. RESULTS: Patients in the AR group did not present a positive
correlation with the sCD30 levels pretransplant (P = .54); in the post-transplant
period, the 7- to 14-day samples showed patients with AR had higher levels of
this biomarker (P = .036). The graft survival in 5 years of follow-up was not
different between groups. CONCLUSIONS: The best time to predict AR using sCD30 is
the 7- to 14-day sample; however, identifying and following the decrease of this
biomarker from pre- to post-transplant seems to be better than just 1
measurement. The sCD30 post-transplant is another tool that may be used in
monitoring patients after renal transplantation.
PMID- 29661426
TI - Delayed Graft Function, Predictive Factors, and 7-Year Outcome of Deceased Donor
Kidney Transplant Recipients With Different Immunologic Profiles.
AB - BACKGROUND: Delayed graft function (DGF) is the major post-transplant cause of
deleterious effects to the allograft and is associated with poor allograft
survival. The aim of this study was to report the outcomes of 236 kidney
transplant recipients with different immunologic profiles. METHODS: All patients
underwent transplantation (2008-2016) with a deceased donor at the University
Hospital of the Faculty of Medical Science, Belo Horizonte, Minas Gerais, Brazil.
Patients were classified into 3 groups according to immunologic profiles:
nonsensitized (NS), sensitized without donor-specific antibody (SDSA-), or
sensitized with donor-specific antibody (SDSA+). RESULTS: DGF was observed in 128
(54.24%), including 63 (49.22%) NS, 51 (39.84%) SDSA-, and 14 (10.94%) SDSA+
patients. The development of DGF was associated with dialysis for >=49.25 months
(odds ratio [OR] 2.30), donor age >=42.25 years (OR 1.77), donor end creatinine
level >1.22 mg/dL (OR 1.94), and cold ischemia time >12 hours (OR 2.45). Of the
55 patients with rejections, 37 (15.68%) had T-cell-mediated rejection (TCMR) and
18 (7.63%) had antibody-mediated rejection (AMR). Nine patients (16.36%)
exhibited graft loss, 2 (0.85%) via TCMR in the SDSA- DGF+ group and 7 (2.97%)
via AMR, including 2 NS DGF-, 2 SDSA- DGF-, 1 SDSA- DGF+, and 2 SDSA+ DGF+
patients. Graft survival significantly differed between the NSDGF- and SDSA- DGF+
groups (P = .014) and between the NS DGF- and SDSA+ DGF- groups (P = .036).
CONCLUSION: In the 7-year period following transplantation, TCMR was more
prevalent than AMR among patients with DGF. Graft loss was less prevalent among
patients with TCMR than among those with AMR.
PMID- 29661427
TI - Hepatitis C Virus Eradication in Kidney Transplant Recipients: A Single-Center
Experience in Portugal.
AB - INTRODUCTION: Hepatitis C (HCV) is a major cause of liver impairment post-kidney
transplantation (KT). Anti-HCV direct-acting antivirals (DAA) made viral
eradication possible. METHODS: We performed a retrospective review of KT patients
(n = 23) who received DAA at our hospital. Sustained viral response (SVR) was
defined as absence of viral detection 12 weeks after cessation of therapy.
RESULTS: From 1985 to September 2017, 1440 patients underwent transplantation at
Hospital Santa Cruz. From a total of 32 HCV RNA+ KT recipients on follow-up, we
describe the first 23 patients treated with DAA. They were 56.7 +/- 9.1 years
old; 22 were white, 52.2% were males, they underwent transplantation 18.8 +/- 9.0
years ago, and 13 had genotype 1B, 21 were naive, and 9 had stages F3/F4. All but
2 patients, treated with grazoprevir/elbasvir, received sofosbuvir (18 with
ledispasvir, 2 with daclastavir, and 4 with simultaneous ribavirin).
Pretreatment, intra-treatment, and post-treatment creatinine clearances were
61.4, 60.6, and 60.7 mL/min/1.73 m2, respectively (not significant [NS]).
Cyclosporine A was the basis of immunosuppression in the majority [(n = 14);
pretreatment and intra-treatment levels were 79.5 +/- 23.0 and 91.8 +/- 26.0
ng/mL, respectively (P = .08)]; tacrolimus (n = 8) and mammalian target of
rapamycin (mTOR) levels (n = 5) were also similar. One patient interrupted
ribavirin after 7 weeks due to anemia; all other patients completed the treatment
course without major side effects. Only 3 patients presented positive viral RNA
at the fourth week of treatment and SVR was achieved in 100% of the patients 12
weeks after treatment. CONCLUSIONS: DAA therapy was well tolerated and effective
in 100% of our treated patients, without significant impact on the renal function
or on the immunosuppression.
PMID- 29661428
TI - Effects of Chronic Physical Activity on Glomerular Filtration Rate, Creatinine,
and the Markers of Anemia of Kidney Transplantation Patients.
AB - BACKGROUND: The incidence of anemia in kidney transplantation patients (KTPs) is
very common and seems to be associated with the reduction in both renal function
and physical exercise tolerance. The purpose of the present study was to compare
biochemical markers of anemia and renal function of physically active and
sedentary KTPs. METHOD: Serum hemoglobin (Hb), hematocrit (Hct), and serum
creatinine (used to derive estimated glomerular filtration rate [eGFR]) were
obtained from the medical records of 18 KTPs assisted at the Center for
Prevention of Kidney Diseases of the Federal University of Maranhao Hospital. The
physically active transplant patients (group 1) included 7 men and 2 women, aged
49 +/- 14 years who participated for at least 6 months on a supervised physical
exercise program (SPEP) (2 to 3 times a week, 90-minute sessions) and the
sedentary counterparts (group 2) of 2 men and 7 women, aged 37 +/- 15 years.
RESULTS: Group 1 presented higher values of Hb (14.2 +/- 2.6 vs 10.7 +/- 0.6
g/dL; P = .003), Hct (41.6% +/- 7.0% vs 32.3% +/- 1.4%; P = .004), and eGFR (64.4
+/- 19.4 vs 39.3 +/- 19.7 mL/min/1.73 m2; P = .015) when compared with group 2.
There was a positive and significant correlation between Hb and eGFR (r = 0.528;
P = .024), and between Hct and eGFR (r = .509; P = .031). CONCLUSIONS: Our
results suggest that regular physical activity seems to improve the biochemical
markers of anemia and also the renal function of KTPs, and these patients should
be advised to participate in SPEP.
PMID- 29661429
TI - Effects of a Physiotherapeutic Protocol in Respiratory Function, Aerobic Capacity
and Quality of Life After Kidney Transplantation.
AB - INTRODUCTION: Chronic kidney disease can lead to dysfunction of the respiratory,
cardiac, and musculoskeletal systems, altering the body's metabolism. Renal
transplantation and hospital physiotherapy, through specific protocols, can
improve these dysfunctions. OBJECTIVES: This study evaluates the impact of a
hospital physiotherapeutic protocol in quality of life (QoL), respiratory muscle
strength, peak expiratory flow, and 6-minute walk test (6MWT) in the
preoperative, first, and fifth days after renal transplantation. METHODS: We
evaluated 39 patients who received a renal transplant at Clinics Hospital of
University of Campinas for respiratory muscle strength, expiratory peak flow, and
functional capacity by the 6MWT. The short form-36 quality of life questionnaire
was applied to 12 patients. RESULTS: We observed a significant reduction in
respiratory muscle strength and peak expiratory flow in the first postoperative
day. On postoperative day 5, there was improvement in respiratory muscle strength
and expiratory peak flow. However, aerobic capacity measured by 6MWT remained
below predicted. Analysis of QoL showed an improvement in almost all analyzed
domains after transplantation. CONCLUSION: A specific physiotherapeutic protocol
applied early after transplantation provided recovery of respiratory muscle
strength and QoL. However, longer training is necessary to obtain adequate
aerobic rehabilitation.
PMID- 29661430
TI - Access to Liver Transplantation in Different ABO-Blood Groups and "Exceptions
Points" in a Model for End-Stage Liver Disease Allocation System: A Brazilian
Single-Center Study.
AB - BACKGROUND: In the Model for End-Stage Liver Disease (MELD) system, patients with
"MELD exceptions" points may have unfair privilege in the competition for liver
grafts. Furthermore, organ distribution following identical ABO blood types may
also result in unjust organ allocation. The aim of this study was to investigate
access to liver transplantation in a tertiary Brazilian center, regarding "MELD
exceptions" situations and among ABO-blood groups. METHODS: A total of 465 adult
patients on the liver waitlist from August 2015 to August 2016 were followed up
until August 2017. Patients were divided into groups according to ABO-blood type
and presence of "exceptions points." RESULTS: No differences in outcomes were
observed among ABO-blood groups. However, patients from B and AB blood types
spent less time on the list than patients from A and O groups (median, 46, 176,
415, and 401 days, respectively; P = .03). "Exceptions points" were granted for
141 patients (30.1%), hepatocellular carcinoma being the most common reason
(52.4%). Patients with "exceptions points" showed higher transplantation rate,
lower mortality on the list, and lower delta-MELD than non-exceptions patients
(56.7% vs 19.1% [P < .01]; 18.4% vs 38.5% [P < .01], and 2.0 +/- 2.6 vs 6.9 +/-
7.0 [P < .01], respectively). Patients with refractory ascites had a higher
mortality rate than those with other "exceptions" or without (48%). CONCLUSIONS:
The MELD system provides equal access to liver transplantation among ABO-blood
types, despite shorter time on the waitlist for AB and B groups. The current MELD
exception system provides advantages for candidates with "exception points,"
resulting in superior outcomes compared with those without exceptions.
PMID- 29661431
TI - Stratifying Mortality in a Model for End-Stage Liver Disease Waiting List: A
Brazilian Single-Center Study.
AB - BACKGROUND: The Model for End-Stage Liver Disease (MELD) system reliably predicts
mortality in cirrhotic patients. However, the etiology of liver disease and
presence of portal vein thrombosis are not directly taken into account in MELD
score. Its impact on the outcomes of patients on the waiting list is still
unclear. The aim of this study was to investigate mortality and access to
transplantation regarding etiology of liver disease and portal vein thrombosis
(PVT). METHODS: A total of 465 adult patients on the liver waiting list from
August 2015 to August 2016 were followed up until August 2017. Patients were
divided into groups according to the etiology of liver disease and presence of
PVT. RESULTS: The most frequent etiologies were hepatitis C (26.88%), alcoholic
cirrhosis (26.02%) and cryptogenic cirrhosis (10.75%). Death while on the waiting
list occurred in 168 patients (36.1%) and was more frequent in nonalcoholic
steatohepatitis (NASH, 65.4%) and alcoholic cirrhosis (41.3%). A total of 142
(30.5%) patients underwent transplantation and viral, autoimmune, and biliary
diseases showed higher proportion of transplantation (36.3%, 53.8%, and 34%,
respectively; P < .01). Mean delta-MELD at the study endpoint was higher in
patients with autoimmune hepatitis, biliary diseases, and NASH (8.3 +/- 7.2, 8.3
+/- 9.1, and 7.5 +/- 9.1, respectively; P < .01). A total 77 patients (16.7%)
presented PVT. There was no significant difference in outcomes between patients
with and without PVT. CONCLUSIONS: Patients with NASH and alcoholic liver disease
had higher mortality while on the waiting list, whereas patients with viral and
autoimmune hepatitis had higher transplantation rate. Outcomes were not
influenced by PVT.
PMID- 29661432
TI - Evaluation of Lung Function in Liver Transplant Candidates.
AB - INTRODUCTION: A wide variety of pulmonary conditions are found in cirrhotic
patients and may compromise the pleura, diaphragm, parenchyma, and pulmonary
vasculature, influencing the results of liver transplantation. OBJECTIVE: To
evaluate the pulmonary function (lung capacities, volumes, and gasometric study)
of patients with liver cirrhosis awaiting liver transplantation. PATIENTS AND
METHODS: Cirrhotic patients, subdivided into 3 groups stratified by liver disease
severity using the Child-Pugh-Turcotte score, were compared with a control group
of healthy volunteers. In spirometry, the parameters evaluated were total lung
capacity, forced volume in the first second, and the relationship between forced
volume in the first minute and forced vital capacity. Blood gas analysis was
performed. In the control group, arterial oxygenation was evaluated by peripheral
oxygen saturation by pulse oximetry. RESULTS: Of the 55 patients (75% men, 51 +/-
12.77 years), 11 were Child A (73% men, 52 +/- 14.01 years), 23 were Child B (75%
men, 51 +/- 12.77 years), and 21 were Child C (95% men, 50 +/- 12.09 years). The
control group had 20 individuals (50% men, 47 +/- 8.15 years). Pulmonary
capacities and volumes by the parameters evaluated were within the normal range.
Arterial blood gas analysis detected no hypoxemia, but a tendency to low partial
gas pressure was noted. CONCLUSION: In this population of cirrhotic patients the
parameters of spirometry were normal in relation to the lung capacities and
volumes in the different groups. No hypoxemia was detected, but a tendency to
hypocapnia in the blood gas was noted.
PMID- 29661433
TI - Better Selection Criteria With Prognostic Factors for Liver Transplantation.
AB - BACKGROUND: Liver transplantation has evolved significantly in recent years, with
each advancement part of the effort toward increasing patient and graft survival
as well as quality of life. The objective of this study was to evaluate the
prognostic factors and selection criteria for liver transplantation. METHODS: Our
study was a statistical analysis, logistic regression, and survival evaluation of
a total of 80 liver transplants that were performed between June 1, 2016 and
September 24, 2016. Recipient factors evaluated included age, retransplantation,
hemodialysis, cardiac risk, portal vein thrombosis, hospitalization, fulminant
hepatitis, previous surgery, renal failure, and Model for End-stage Liver Disease
(MELD) score. Donor factors included age, cardiac arrest, acidosis, days in the
intensive care unit, steatosis, and vasoactive drug use. RESULTS: Of the 80
patients transplanted, 65 deceased donor liver transplants (DDLTs) and 15 living
donor liver transplants (LDLTs) were performed. LDLT overall 1-year patient
survival was 77.5% and graft survival 75%, and DDLT overall patient survival was
89.23% and graft survival was 86.15%. On evaluated score criteria analyzed we
observed a significant score on recipient (P = .01) and not significant on donor
(P =.45). Isolated factors evaluated included recipient age (relative risk [RR]
3.15, 95% confidence interval [CI] 0.89 to 11.09; P = .074), retransplant (RR
4.22, 95% CI 1.36 to 13.1; P = .013), and hemodialysis (RR 4.23, 95% CI 1.45 to
12.31, P = .008). On donor evaluation, we observed moderate and severe steatosis
(RR 3.8, 95% CI 0.86 to 16.62; P = .06). CONCLUSION: In conclusion, we
demonstrate a relevant model of criteria selection of liver transplant patients
that is able to make a better match between the donor and recipient allocation
for a better graft and patient survival.
PMID- 29661434
TI - Daclatasvir and Sofosbuvir With or Without Ribavirin in Liver Transplant
Recipients: A Single-Center Real-World Study.
AB - BACKGROUND: Treatment with direct-acting antiviral drugs in interferon-free
regimens is currently recommended for viral hepatitis C recurrence after liver
transplantation. There are limited data regarding its results in this population,
and no optimal treatment scheme has yet been singled out. METHODS: We report our
real-world results in liver transplant (LT) recipients. All patients were
hepatitis C virus (HCV) monoinfected and completed a 12-week treatment course,
followed 12 weeks later by HCV polymerase chain reaction testing with 12 IU/mL
sensibility. Liver fibrosis was graded with the use of biopsies taken <12 months
before treatment and stratified as early (0-1) or moderate to advanced (2-4)
according to the Metavir score. RESULTS: Median postoperative time was 5.2 years.
Genotype 3 was found in 66.7% of the sample. The following regimens were
prescribed: daclatasvir-sofosbuvir with (n = 11) or without (n = 28) ribavirin.
Genotypes 1 and 3 were evenly distributed between the regimens. Sustained
virologic response (SVR) was obtained in 24 out of 28 patients (85.7%) who
received daclatasvir-sofosbuvir and in all patients (100%) who received
daclatasvir-sofosbuvir-ribavirin (global SVR 89.7%). All patients that failed
treatment had genotype 3 HCV. Fibrosis was evaluated in 79.5% of the sample:
48.4% had early and 51.6% had moderate to advanced fibrosis, for which ribavirin
was more commonly prescribed (P = .001). CONCLUSIONS: The SVR rate in our LT
recipients was similar to that previously reported in the literature. The
addition of ribavirin to DAA treatment appears to be justified in this
population.
PMID- 29661435
TI - Transient Elastography in Acute Cellular Rejection Following Liver
Transplantation: Systematic Review.
AB - BACKGROUND: Transient elastography (TE) is a noninvasive technique that measures
liver stiffness. When an inflammatory process is present, this is shown by
elevated levels of stiffness. Acute cellular rejection (ACR) is a consequence of
an inflammatory response directed at endothelial and bile epithelial cells, and
it is diagnosed through liver biopsy. This is a systematic review of the
viability of TE in ACR following liver transplantation. METHODS: The Cochrane
Library, Embase, and Medline PubMed databases were searched and updated to
November 2016. The MESH terms used were "Liver Transplantation," "Graft
Rejection," "Elasticity Imaging Techniques" (PubMed), and "Elastography"
(Cochrane and Embase). RESULTS: Seventy studies were retrieved and selected using
the PICO (patient, intervention, comparison or control, outcome) criteria. Three
prospective studies were selected to meta-analysis and evaluation. A total of 33
patients with ACR were assessed with TE. One study showed a cutoff point of >7.9
kPa to define graft damage and <5.3 kPa to exclude graft damage (receiver
operating characteristic 0.93; P < .001). Another study showed elevated levels of
liver stiffness in ACR patients. However, in this study, no cutoff point for ACR
was suggested. The final prospective study included 27 patients with ACR at liver
biopsy. Cutoff points were defined as TE > 8.5 kPa, moderate to severe ACR, with
a specificity of 100% and receiver operating characteristic curve of 0.924. The
measurement of TE < 4.2 kPa excludes the possibility of any ACR (P = .02).
CONCLUSIONS: TE may be an important tool for the severity of ACR in patients
following liver transplantation. Further studies should be performed to better
define the cutoff points and applicability of the exam.
PMID- 29661436
TI - Model for End-Stage Liver Disease Score Before Hepatic Transplantation and Root
Mean Square of the Diaphragmatic Domes Affect Postoperative Extubation Time.
AB - INTRODUCTION: The liver transplantation procedure, in addition to its prolonged
surgical time, also predisposes to complications such as changes in respiratory
mechanics, lung volumes, and gas exchange. OBJECTIVE: This study aims to verify
if clinical factors related to the recipient, namely immediate pretransplant
Model for End-Stage Liver Disease (MELD) score, surgical time, and root square
metric (RMS) of the diaphragmatic domes, affect the extubation time after liver
transplantation. METHOD: A prospective study, with a sample collected for
convenience, gathered age (years), sex (male or female), MELD score immediately
prior to transplantation (without the addition of special situation scores), and
surgical time and time for extubation (in minutes). The latter were obtained from
the physiotherapy team records, and surface electromyography was performed within
30 minutes after elective extubation, by a single researcher, with supplemental
oxygen support, maintaining SpO2 >= 95% and following protocol of positioning and
acquisition of electromyographic signals based on the study of Oliveira et al
(2012). RESULTS: For the 21 patients studied, the RMS of the left dome showed a
moderate-intensity correlation (-0.56) with the time of extubation, and linear
multiple regression model the left dome (P = .013) and preoperative MELD score (P
= .048) showed significant correlation with extubation time. CONCLUSION: The
preoperative MELD score and the RMS values of the left dome significantly
correlate with the time for patient extubation after liver transplantation,
showing the effect of previously acquired muscle weakness and preoperative MELD
score on postoperative outcome.
PMID- 29661437
TI - Development of Routine Dental Care for Liver Transplant Outpatients.
AB - BACKGROUND: Liver transplantation has become commonplace for patients with end
stage liver disease. The liver is a bodily organ of great importance, and its
dysfunction can cause significant complications throughout the body. Patients
with hepatic disease should be able to acquire knowledge of the physiology of the
liver via the dental profession, and it is also necessary to modify some aspects
of dental treatment even in healthy patients. Problems such as excessive bleeding
and hepatotoxicity caused by some of the drugs used in dental treatment can lead
to a decrease in systemic health. Otherwise, patients with liver disease will
have poorer oral health than the general population. Thus, it is important to
have well-established routine dental care in this patient group and offer
management of oral health in view of the effects of liver disease. METHODS: The
objective of this work was to undertake a bibliographic review of the dental
approaches to patients with liver disease and liver transplant recipients and to
propose a dental care routine for such patients in an outpatient setting.
RESULTS: A search was carried out on the main scientific databases (PubMed,
Medline, and SciELO) for publications related to this subject and, particularly
those published after 2010. The articles selected describe poor oral hygiene
among patients, independent of the etiology of their liver disease. These
patients also had a high index of xerostomia, caries, periodontal disease, apical
lesions, and fungical infections. To control bleeding during and after surgery,
hemostatic measures must be understood and adopted. CONCLUSION: There are no data
about routine dental care among liver disease/transplantation patients. Thus, our
findings will hopefully encourage other services to structure their approaches
and consider enhancing their dental care protocols for patients with liver
related complications.
PMID- 29661438
TI - Clinical Profile of Patients With Diabetes Mellitus and Liver Transplantation:
Results After a Multidisciplinary Team Intervention.
AB - BACKGROUND: Over the years, survival after liver transplantation has increased
and metabolic complications are becoming more common, contributing to patients'
morbidity and mortality. The objectives of this study were to describe a
population of patients with hepatic transplantation and diabetes mellitus (DM),
evaluate the frequency of metabolic complications, and assess the impact of a
multidisciplinary team on DM management. MATERIALS AND METHODS: This was a
retrospective study involving interview and medical record analysis of 46
consecutive patients followed at the diabetes mellitus and liver transplantation
unit of a tertiary university hospital, all evaluated by a multidisciplinary
team. RESULTS: Of all patients, 76.1% were men, with a median age 60 years old
(interquartile range: 56 to 65 years) and liver transplantation time of 5 years
(interquartile range: 0.6-9 years). Hypertension, hypercholesterolemia,
hypertriglyceridemia, alcoholism, and smoking were present in 47.8%, 34.8%,
23.9%, 34.8%, and 30.4% of the patients, respectively. The most frequent
immunosuppressant in use was tacrolimus (71.1%). Regarding nutritional status,
37.9% of patients were classified as overweight according to body mass index, and
41.2% were considered overweight according to the triceps skin fold. The median
glycosylated hemoglobin and weight before and after intervention of the
multidisciplinary team in all 46 patients were, respectively, 7.6% (5.7% to 8.8%)
versus 6.5% (5.7% to 7.7%); P = .022 and 70.5 kg (64.7 to 82.0 kg) versus 71.6 kg
(65.0 to 85.0 kg); P = .18. CONCLUSIONS: Hypertension and dyslipidemia were
common in transplanted patients with DM. Intervention of the multidisciplinary
team resulted in a significant improvement in glycosylated hemoglobin without
significant weight gain.
PMID- 29661439
TI - Frequency of Thyroid Dysfunction in Patients With Diabetes Mellitus Before and
After Liver Transplantation.
AB - BACKGROUND: There is mutual influence between the liver and thyroid hormone
metabolism. Patients with diabetes mellitus (DM) also have an increased
prevalence of thyroid disorders (TDs). The objectives of this study were to
evaluate the frequency of TD before and after liver transplantation (LT) in a
population of patients with DM as a whole and when categorized by sex. MATERIALS
AND METHODS: This was a retrospective study involving interview and medical
record analysis of 46 consecutive patients followed at the diabetes mellitus and
liver transplantation unit of a tertiary university hospital. RESULTS: Of all
patients, 76.1% were men with a median age of 60 years old (interquartile range:
56 to 65 years) and time since LT of 5 years (range, 0.6 to 9 years).
Hypertension, hypercholesterolemia, hypertriglyceridemia, alcoholism, and smoking
were present in 47.8%, 34.8%, 23.9%, 34.8%, and 30.4% of the patients,
respectively. The most frequent immunosuppressant in use was tacrolimus (71.1%).
TD was present in 4.3% and 13% before and after LT, respectively (P = .058). In
women and men, these frequencies were 9.1% and 18.2% (P = .563), and 2.9% and
11.8% (P = .045), respectively. CONCLUSIONS: Frequency of TD was high both before
and after LT. After transplantation, prevalence of TD increased in men and
differences between males and females almost disappeared. Further studies are
needed to assess if screening for TD before and after LT in patients with DM
might be beneficial, especially in men.
PMID- 29661440
TI - Renopancreatic Transplantation: Evaluation of 15 Years in 131 Patients.
AB - BACKGROUND: The most common multiple-organ transplant is the simultaneous
pancreas-kidney transplantation (SPK). It is usually offered to patients who have
insulin-dependent diabetes mellitus and those with diabetic nephropathy and renal
failure that has already been established. In this study we present the results
of 15 years of SPK in a transplant hospital center in Parana, Brazil, and
evaluated survival, immunosuppression, and transplant-related problems. METHODS:
This study was a retrospective analysis of 131 SPK transplants performed at the
Angelina Caron Hospital between January 2001 and December 2015. RESULTS: The mean
age of SPK recipients was 34 years, with slight a predominance of males (50.4%).
Mean graft ischemia time was 11 hours. Exocrine drainage was predominantly
vesical, but this approach was abandoned after 2011. As for immunosuppression,
induction was performed with basiliximab or thymoglobulin and maintained with
prednisone, mycophenolate mofetil, tacrolimus, and/or sirolimus. Patient survival
increased from 68.1% in 2001 to 2005 to 77.6% in 2011 to 2015. Graft survival at
the end of the period was 85.7% for kidney and 75.5% for pancreas. The main
surgery-derived problems for pancreas and kidney was thrombosis (15% and 6%,
respectively). The main clinical problems were rejection of the pancreas (18.3%)
and urinary infection of the kidney (33.3%). The main cause of death was intra
abdominal sepsis (11.4%). CONCLUSION: There was an improvement in survival rates
over the time frame observed, but it remains necessary to adopt measures to
reduce transplant-derived problems, including review of the antibiotic therapy
protocol and measures to avoid graft thrombosis.
PMID- 29661441
TI - Clinical Evolution of Patients Using Ventricular Assist Devices as a Bridge for
Transplantation.
AB - OBJECTIVE: To evaluate the clinical evolution of patients with implantation of
ventricular assist device (VAD) and identify the intervening factors for death.
METHODS: This analytical, retrospective study was carried out in a public
reference hospital in cardiopulmonary diseases located in northeastern Brazil.
The study population encompassed the medical records of 16 patients who underwent
VAD implantation. Data collection took place from January to August 2016, through
the consultation of medical records. Descriptive analysis, odds ratio, and the
Fisher's Exact, Wilcoxon, Friedman and t-tests were used to analyze the data.
RESULTS: All patients experienced complications during the use of the device,
with bleeding being the main cause (11 [68.8%]). There was a significant decrease
in noradrenaline (P = .025), milrinone (Primacor; P = .007), and dobutamine (P =
.046) flow rates with the clinical evolution of patients. Regarding hematologic
parameters, the use of VAD promoted a significant improvement in hemoglobin (P <
.001), hematocrit (P = .003), activated partial thromboplastin time (P = .013),
and fibrinogen (P = .049) values at the 3 time points analyzed. Regarding the
clinical outcome of the patients, the majority (10 [62.5%]) underwent cardiac
transplantation. CONCLUSIONS: This study allowed for better knowledge of the
clinical evolution of patients with VAD implantation, highlighting the benefits
of this type of device as a bridge for heart transplantation.
PMID- 29661442
TI - Weight Gain and Acute Rejection in Patients Submitted to Pulmonary
Transplantation: A Retrospective Cohort of 10 Years.
AB - OBJECTIVE: Acute rejection is one of the most common complications after
pulmonary transplantation. The aim of this work was to verify the association of
nutritional status and weight gain with acute rejection in the recipient during
the 1st year after pulmonary transplantation. METHODS: Retrospective cohort study
with patients submitted to pulmonary transplantation at a pulmonary
transplantation center in the state of Sao Paulo. Data on sex, age, underlying
disease, type of transplantation, and presence, degree, and frequency of
rejection according to the transbronchial biopsy results were collected, along
with body mass index (BMI) and weight variation over the course of 1 year. The
difference between groups was analyzed by means of Student t test and the
association by means of chi-square test. Significance was considered with P <
.05. RESULTS: A total of 117 patients were included, of which 71 (60.7%) were
male. The average age was 39.8 +/- 15.5 years. There were 77.8% with acute
rejection in the 1st year after transplantation. The nutritional status of
eutrophy prevailed according to BMI in both adolescents and adults, with no
association with acute rejection (P = .80), and there was a greater weight gain
among the individuals who showed rejection, with an increase of 7.58 kg (95%
confidence interval [CI] 6.35-8.81) compared with those who did not present
rejection, whose average weight gain was 4.12 kg (95% CI 1.28-6.95; P = .01).
CONCLUSIONS: Nutritional status was not associated with acute cell rejection in
the 1st year after transplantation, although weight gain was greater in those who
had rejection.
PMID- 29661443
TI - Cyclosporine Versus Tacrolimus: Which Calcineurin Inhibitor Has Influence on
Cytomegalovirus Infection in Cardiac Transplantation?
AB - INTRODUCTION: Cytomegalovirus (CMV) infection is a relevant cause of morbidity
and mortality in transplantation patients. Its major incidence is in the first
year and viral replication is related to acute rejection, survival reduction, and
graft vascular disease. OBJECTIVE: This study aims to evaluate retrospectively
whether a high dose of calcineurin inhibitors correlates with CMV-positive
polymerase chain reaction (PCR), need for treatment, and death in cardiac
transplantation patients. METHODS: This is a case-control study including
patients who underwent transplantation between 2014 and 2016. They were separated
into two groups (positive or negative PCR) and evaluated for dosage serum levels
of cyclosporine and tacrolimus. Patients were classified with adequate dose of
immunosuppressant or high dose, and was analyzed that there was any association
with those and positive CMV-PCR, need for treatment for CMV, and deaths. For
statistical analysis, the Student t test was used for the quantitative variables
and the Fisher's Exact Test for qualitative variables. To show CMV-free survival,
the Kaplan-Meier curve was used. The level of significance was set at 5%.
RESULTS: CMV-positive PCR in the sample was 72% for a total of 50 individuals.
Positive PCR correlated with a high dose of calcineurin inhibitors in a
statistically significant way (P = .002), as did a high dose of cyclosporine (P =
.004); however, a high dose of tacrolimus had no such association (P = .17). When
a high dose was assessed with a need for treatment, the chance of needing
treatment increased more than eight times (P = .024; odds ratio = 8.25; 95% CI =
1.33 to 51.26), which was different from results found with high-dose tacrolimus
(P = 1.0). However, no significant association was found in relation to deaths.
CONCLUSIONS: Tacrolimus serum levels showed no association with CMV-PCR, which
was different from serum cyclosporine, which showed association with CMV-PCR
positivity, increasing the need for treatment approximately 8-fold, without
association with death.
PMID- 29661444
TI - Single- and Double-Lung Transplantation: Results of an Initial Experience of 39
Cases in Ceara (Northeast Brazil).
AB - INTRODUCTION: The lung transplantation (LTx) program began in Ceara in 2011 and
the first LTx was performed on June 11, 2011. The aim of this study was to
present the initial results of the 6-year experience of our program. METHODS: We
retrospectively reviewed our experience on LTx from June 2011 to August 2017.
Data on recipients and transoperative and postoperative outcomes were recorded in
a database. RESULTS: Twenty-two (56.4%) were single LTx, 15 (38.5%) were double,
and 2 (5.1%) bilateral lobar. The mean age was 47.5 +/- 15 years, and 26 (66.7%)
were men. Twenty-eight (71.8%) had pulmonary fibrosis; 5 (12.8%) had pulmonary
emphysema, 3 (7.7%) had bronchiectasis; 2 (5.1%) had pulmonary hypertension, and
1 (2.6%) had lymphangioleiomyomatosis. Complications occurred in 82% (32/39) and
in-hospital mortality was 30.8% (single LTx = 27.8% and double LTx = 33.3%). The
main complications were infection in 17 (43.5%) cases and primary graft
dysfunction in 7 (17.9%). There was a significant improvement in pulmonary
function in the first year of follow-up (forced expiratory volume pre-LTx = 37%
+/- 16% and 12 months post-LTx = 72% +/- 22%, P = .001); and overall survival at
36 months was 59.0%, with no difference between single- and double-lung
transplants. CONCLUSIONS: Idiopathic pulmonary fibrosis was the most common
underlying disease and single LTx was the most commonly performed operation.
There was a high incidence of postoperative complications and in-hospital
mortality, but the 36-month follow-up showed a marked improvement in lung
function and a global survival similar to the literature.
PMID- 29661445
TI - Epidemiologic Profile of Patients Transplanted With Hematopoietic Stem Cells in a
Reference Service in the State of Rio Grande do Norte, Brazil.
AB - BACKGROUND: Hematopoietic stem cell transplantation (HSCT) consists of the
intravenous infusion of healthy hematopoietic stem cells to restore the medullary
and immunologic function of patients affected by a series of hematologic,
oncologic, immunologic, malignant and nonmalignant inherited or acquired
diseases, with the possibility of cure or increase of disease-free survival.
OBJECTIVE: To characterize the epidemiologic profile and the cases of death of
patients who underwent HSCT. METHODS: This is a cohort quantitative study, nested
with a retrospective, descriptive, and analytical study of a hospital-based
cohort that included the patients who underwent HSCT at a referral service in the
state of Rio Grande do Norte, a region of northeastern Brazil. RESULTS: There was
a slight male prevalence (52.94%), the age of the patients ranged from 2 to 73
years old, 18.38% were brown, 47.06% were married, 15.07% were students, 78.31%
had a diagnosis of multiple myeloma, 93.38% developed gastrointestinal
toxicities, all patients received chemotherapeutic treatment, 54.78% had
allogeneic HSCT, and the cause of the most recorded deaths was septic shock
(48.19%). CONCLUSIONS: This study showed relevant scientific evidence on the
clinical and epidemiologic profile of patients who underwent HSCT. In general,
sociodemographic data are similar to national and international research results.
PMID- 29661446
TI - Multivisceral Transplantation Rehabilitation Program-Case Report.
AB - Multivisceral transplantation is the treatment for multiple abdominal organ
failure. The patient experiences reduced food intake and absorption of nutrients,
contributing to weight loss and decreased muscle mass, reducing functional
capacity. A physical and nutritional rehabilitation program based on adequate
caloric intake associated with supervised physical exercise seems to support a
gain of muscle mass, re-establishing its capacity and functional independence. A
rehabilitation program was carried out, consisting of low-intensity aerobic
exercise on treadmill, exercises of global strengthening (50% of 1 maximum
repetition [1RM], with progressive increase), and nutritional monitoring (oral
hypercaloric diet, hyperproteic supplementation daily and after exercise).
Initial and final evaluation included weight, muscle mass index, brachial
circumference (BC), tricipital cutaneous fold (TCF), hand grip strength (HGS), 6
minute walk test (6MWT), 1RM, vital capacity (VC), and respiratory muscle
strength. After the program, functional capacity was evaluated through the 6MWT
(92%), 1RM test, VC (55%), respiratory muscle strength, HGS at 5 kg, weight gain
(4.75%), increase of BC in 2 cm, and TCF in 2 mm. The program contributed to
functional independence, improved quality of life, and social reintegration,
suggesting the importance of a supervised physical activity program associated
with adequate nutritional intake after multivisceral transplantation.
PMID- 29661448
TI - Epidemiologic Profile of Transplant Patients Treated by the Physiotherapy.
AB - Transplant candidates have advanced chronic disease, which often leads to
muscular and respiratory impairment contributing to reduced quality of life and
daily life activities, and these patients are therefore referred to physiotherapy
service. Because of this, it is necessary to know the epidemiologic and
functional profile for a better physiotherapeutic approach. Inpatients of kidney,
liver, heart, lung and multivisceral transplantation programs were followed up
for 1 year. A comparative analysis between groups was performed, taking into
account hospital stay time and functional independence measure (FIM). In all, 157
patients (88 post-transplant) were evaluated, with mean age of 54 years, and 99
were men. Prevalence of transplantation included liver: 87 (56%); kidney: 51
(32%); heart: 10 (6%); lung: 8 (5%); multivisceral: 1 (1%). The average length of
hospital stay was 23 days for kidney patients, 19 days for lung patients, 18 days
for heart patients, 15 days for liver patients, and the 15 days for multivisceral
patients. The mean of FIM score was 94 for heart patients; 95 for liver patients,
96 for kidney patients, 99 for lung patients, and 120 for multivisceral patients.
The highest incidence of hospitalization was of liver patients, although renal
patients had a longer time of hospitalization. FIM score was higher at hospital
discharge, if compared with the values at the moment of evaluation, but through
the comparison of FIM previous with FIM final scores, the patients still
presented functional limitation at the time of hospital discharge. Specific
protocols for each transplant group, focused on the improvement of the
functionality, are necessary.
PMID- 29661447
TI - Chronological Factors of the Process of Donation and Classification of Corneal
Tissue.
AB - INTRODUCTION: The quality of corneal tissue is influenced by several factors
inherent to the recipient, donor, donation process, and transplantation that may
primarily or secondarily interfere in the survival of a corneal graft. OBJECTIVE:
The objective of this study was to identify the factors inherent to the donation
process, specifically related to the harvesting and processing of tissue and to
the donor, that may interfere with the quality of corneal tissue. MATERIALS AND
METHODS: This was a cross-sectional, descriptive, and analytical study carried
out from January to April 2015 at the Onofre Lopes University Hospital, Natal/RN,
Brazil. A survey of the keratoplasties performed between the years 2010 and 2014
was carried out, totaling 258 donated corneas. RESULTS: The mean age of donors
was 42.08 years, ranging from 2-80 years. As for quality, 64.45% of the corneas
were classified as good, 23.05% as regular, 11.33% as poor, and 1.17% as
excellent. The mean time between death and preservation was approximately 7 hours
and 15 minutes, and the mean time elapsed until use was 10 days after immersion
in preservation medium. DISCUSSION AND CONCLUSIONS: Factors inherent in the
donation process that may interfere with the quality of the corneal graft
correspond to factors of a chronological nature: donor age and time elapsed
between death and preservation of the graft.
PMID- 29661449
TI - Genetic Mechanisms Involved in the Generation of HLA Alleles in Brazilians:
Description and Comparison of HLA Alleles.
AB - BACKGROUND: The HLA genes show high levels of diversity as indicated by the
number of HLA alleles. There are almost 11,000 classical HLA-A, -B, -DRB1 alleles
in populations around the world, making the search for compatible donors
difficult. HLA diversity is generated by different genetic mechanisms, such as
point mutations, which result in single nucleotide polymorphisms, insertion and
deletion, and recombination. The aim of this study was to describe genetic
mechanisms involved in the generation of HLA alleles in Brazilians. METHODS:
Twenty-six alleles indentified in the Brazilian bone marrow donors were include
in the study. Data regarding new HLA alleles by sequence-based typing were also
used to elucidate what genetics mechanism was involved in the HLA variability.
The new alleles were officially named by the World Health Organization
Nomenclature Committee. RESULTS: The new alleles described were HLA
DRB1*11:04:14, HLA-A*33:117, and HLA-B*41:48. The DRB1*11:04:14 allele was
generated by synonymous point mutation at codon 48. The A*33:117 allele was
generated by nonsynonymous nucleotide mutation leading to amino acid substitution
at codon 74. The B*41:48 allele was generated by an intralocus gene conversion
between the HLA alleles from groups HLA-B*13, B*35, B*53, or B*58 and an allele
from the HLA-B*41 group. CONCLUSIONS: Different genetic mechanisms introduce new
mutant HLA alleles into the human population requiring attentive and rigorous
specialists and the use of different methodologies to identify these mutations in
HLA typing routine.
PMID- 29661450
TI - Effect of Hepatic Preconditioning with the Use of Methylene Blue on the Liver of
Wistar Rats Submitted to Ischemia and Reperfusion.
AB - BACKGROUND: The liver may be injured in situations where it is submitted to
ischemia, such as partial hepatectomy and liver transplantation. In all cases,
ischemia is followed by reperfusion and, although it is essential for the
reestablishment of tissue function, reperfusion may cause greater damage than
ischemia, an injury characterized as ischemia-reperfusion (I/R) damage. The aim
of this work was to analyze the effect of ischemic preconditioning with the use
of methylene blue (MB; 15 mg/kg) 5 or 15 minutes before I/R (IRMB5' and IRMB15',
respectively) on the hepatic injury occurring after I/R. METHODS: Twenty-eight
male Wistar rats were used, and liver samples submitted to partial ischemia (IR)
or not (NI) were obtained from the same animal. The samples were divided into 7
groups. Data were analyzed statistically by means of the nonparametric Mann
Whitney test and Wilcoxon Matched test, with the level of significance set at 5%
(P < .05). RESULTS: The rate of oxygen consumption by state 3 mitochondria was
inhibited in all ischemic groups compared with the sham group (SH vs IR: P =
.0052; SH vs IRMB5': P = .0006; SH vs IRMB15': P = .0048), which did not occur in
the nonischemic contralateral portion of the same liver (SH vs NI: P = .7652; SH
vs NIMB5': P = .059; SH vs NIMB15': P = .3153). The inhibition of the rate of
oxygen consumption by state 3 mitochondria was maintained in the presence of MB
(IR vs IRMB5': P = .4563; IR vs IRMB15': P = .9021). The respiratory control
ratio was reduced in all ischemic groups compared with the sham group, owing to
the inhibition of oxygen consumption in state 3 (SH vs IR: P = .0151; SH vs
IRMB5': P = .005; SH vs IRMB15': P = .0007). CONCLUSIONS: Methylene blue had no
effect on the mitochondrial respiratory parameters studied, but was able to
reduce lipid peroxidation, preventing the production of reactive oxygen species
(SH vs IRMB15': P = .0210).
PMID- 29661451
TI - Ischemia With Preconditioning in Wistar Rats Maintains Mitochondrial Respiration,
Even With Mild Hepatocellular Disturbance.
AB - INTRODUCTION: In hepatectomy or liver transplantation, preconditioning is a
procedure indicated to protect the organ from ischemia-reperfusion injury (I-R).
OBJECTIVE: Evaluate the effect of preconditioning after hepatic I-R in Wistar
rats, through mitochondrial respiration, liver histology, and profile. METHOD:
Twenty male Wistar rats, weighing on average 307.1 g, were anesthetized with
sodium thiopental (25 mg/kg) intravenously and xylazine hydrochloride (30 mg/kg)
intramuscularly. The animals were divided into 2 groups: the preconditioning
group (PCG), which contained 10 animals, and the hepatic pedicle was isolated and
submitted to clamping with microvascular clamp (10 minutes of ischemia and 10
minutes of reperfusion, followed by 30 minutes of ischemia and 30 minutes of
reperfusion); and the simulated operation group (SOG), which contained 10 animals
submitted to manipulation of the hepatic pedicle and observation for the same
length of time, with blood collected for transaminase dosage measurements, and
liver biopsy for evaluation of mitochondrial respiration and histologic liver
analysis and after sacrificed under anesthesia. The project was approved by the
Ethics Committee on Animal Experimentation CEEA/UNICAMP under protocol number
3905-1. RESULT: The PCG mitochondria showed the same respiration level as the
SOG, when stimulated with the addition of adenosine diphosphate or carbonyl
cyanide p-trifluoromethoxyphenylhydrazone. In the respiratory control ratio and
resting of velocity of respiration the groups behaved in a similar way. The PCG
presented high aspartate and alanine transaminases (P < .03) and about 60% of
sinusoidal congestion and venous congestion in the histologic analysis when
compared with SOG. CONCLUSION: We found that ischemia with preconditioning in
Wistar rats can lead to mild histologic and biochemical dysfunction without
leading to impairment of mitochondrial respiration.
PMID- 29661452
TI - Staphylococcus Infection-Associated Glomerulonephritis in a Kidney Transplant
Patient: Case Report.
AB - BACKGROUND: Staphylococcus infection-associated glomerulonephritis is a rare
cause of graft dysfunction in kidney transplant. Suspicion should be high in the
setting of elevation of serum creatinine, active urinary sediment, with or
without hypocomplementemia, and simultaneous Staphylococcus aureus infection. A
kidney biopsy is usually diagnostic. CASE REPORT: A 56-year-old man, who received
a kidney transplant in 1998, with basal serum creatinine of 1.2 mg/dL and normal
urinary sediment, was admitted to our kidney transplantation unit with graft
dysfunction and a urinary tract infection caused by S aureus with septicemia,
treated with antibiotics, in the context of recently intensified
immunosuppression for a primary immune thrombocytopenia diagnosed 3 weeks
earlier. After antibiotic treatment, the patient persisted with graft
dysfunction, edema, and hypertension, with a S aureus isolation in the urine
culture, active urinary sediment, and low C3. A kidney biopsy was performed,
showing diffuse proliferative endocapillary and mesangial glomerulonephritis,
with IgA(++) and C3(++) mesangial and endocapillary deposits in
immunofluorescence. The patient was treated symptomatically and maintained his
regular immunosuppression. At the last follow-up, his serum creatinine value was
stable at 2.5 mg/dL. CONCLUSIONS: The onset of a nephritic syndrome with a
simultaneous S aureus infection should lead to suspicion of this uncommon entity,
confirmed histologically. Despite its association with poor graft survival, our
patient's graft survival remained stable.
PMID- 29661453
TI - Late-Onset Post-transplantation Central Nervous System Lymphoproliferative
Disorder: Case Report.
AB - Post-transplantation lymphoproliferative disorder (PTLD) is a heterogeneous group
of conditions that complicate organ transplantation and are due to
immunosuppression. Central nervous system (CNS)-PTLD is rare but its incidence is
increasing. It often occurs late and is associated with kidney transplantation
and Epstein-Barr virus (EBV) infection. Outcomes are poor. We present the case of
a 77-year-old white male who received a cadaveric kidney transplant in 2003.
Maintenance immunosuppression consisted of mycofenolate mofetil (MMF),
cyclosporine, and prednisolone. In 2017, while admitted for other cause, he
presented with de novo epileptic seizures. Because the patient had a pacemaker,
magnetic resonance imaging (MRI) could not be performed. The final diagnosis of
CNS-PTLD was known through brain biopsy, after a suitable image was obtained with
contrasted brain computed tomography (CT). EBV was positive in brain biopsy,
cefalospinal fluid, and blood. Treatment was attempted with reduction of
immunosuppression. Cyclosporine was switched to sirolimus. The patient died
before administration of rituximab. The patient's performance status was poor.
There must be awareness for neurological symptoms after kidney transplantation to
timely diagnose CNS-PTLD. Contrasted brain CT may be useful to obtain a biopsy
specimen in cases where MRI is impossible to use.
PMID- 29661454
TI - Successful Treatment of Strongyloides stercoralis Hyperinfection in a Kidney
Transplant Recipient: Case Report.
AB - Strongyloides stercoralis (SS) can cause hyperinfection and disseminated
infection in immunosuppressed individuals, with risk of mortality. We report the
case of a cadaveric kidney transplant recipient who developed gastrointestinal
symptoms and eosinophilia, approximately 3 months after transplantation. Stool
examination and esophagogastroduodenoscopy with biopsies were positive for SS
larvae. The patient was started on oral ivermectin and immunosuppression was
reduced, but still the clinical picture got worse with metabolic ileus and
respiratory symptoms, with the need for administration of subcutaneous ivermectin
and combined therapy with albendazol. The patient survived and graft function was
preserved. The patient was unlikely to be the source of infection. We also
present a review of cases of SS infection in kidney transplant recipients.
PMID- 29661455
TI - Management of Juvenile Idiopathic Arthritis in ABO-incompatible Kidney
Transplantation: A Case Report.
AB - Biologic agents are a beneficial therapy for juvenile idiopathic arthritis (JIA).
However, there is a lack of evidence with regard to management of these agents
for JIA patients who undergo kidney transplantation (KTx). A 36-year-old woman
with JIA who was treated with tocilizumab targeting interleukin-6 (IL-6) receptor
underwent ABO-incompatible kidney transplantation (ABOi KTx). To prevent over
immunosuppression, tocilizumab was discontinued before ABOi KTx. Rituximab,
tacrolimus, mycophenolate mofetil, everolimus, and methylprednisolone were used
for immunosuppression. Clinical remission of joint pain was maintained for over 3
years despite complete discontinuation of tocilizumab. Both serum IL-6 and
soluble IL-6 receptor levels were markedly decreased, suggesting that
multitargeted immunosuppression for ABOi KTx induced long-term clinical remission
of JIA through inhibition of the IL-6 pathway. However, levels of C-reactive
protein (CRP) and matrix metalloproteinase-3 (MMP-3) gradually increased
thereafter and abatacept was initiated to prevent joint deterioration. These
levels decreased without any adverse events. The patient's renal graft function
was well maintained.
PMID- 29661456
TI - Severe Renal Allograft Rejection Resulting from Lenalidomide Therapy for Multiple
Myeloma: Case Report.
AB - Lenalidomide, a thalidomide analogue, is an immunomodulatory drug currently used
as a chemotherapeutic agent in treating certain hematologic malignancies,
including multiple myeloma. The antineoplastic effect of lenalidomide may be due
to its ability to modulate different components of the immune system as well as
its antiangiogenic, antiproliferative, and direct cytotoxic activity. Given its
immunomodulatory effects, lenalidomide may potentially elicit unintended immune
activity against allografts in solid organ transplant recipients. Here, we
present a case of a renal transplant recipient who developed multiple myeloma
after transplantation and was treated with the use of lenalidomide, which
precipitated severe acute T-cell-mediated rejection. Lenalidomide was thought to
be causative, and after cessation of the drug her renal function stabilized.
PMID- 29661457
TI - Progressive Multifocal Leukoencephalopathy Following Combined Rituximab-Based
Immune-Chemotherapy for Post-transplant Lymphoproliferative Disorder in a Renal
Transplant Recipient: A Case Report.
AB - BACKGROUND: Transplant recipients are at risk of developing progressive
multifocal leukoencephalopathy (PML), an opportunistic infection due to
reactivation of JC virus. Post-transplant lymphoproliferative disorders (PTLDs)
represent a common malignancy in this population, and antiCD20-therapy has become
an established component of its treatment. CASE PRESENTATION: We describe the
first case of a renal allograft transplant recipient with PTLD who received
rituximab-based immune-chemotherapy and developed PML shortly thereafter. Despite
early suspicion and diagnosis, the disease ran a relentlessly progressive course,
and the patient succumbed to his illness shortly thereafter. CONCLUSION: PML
should be strongly suspected whenever unusual neurologic symptoms appear in the
context of immunosuppression. Clinicians and patients should be aware of the
potential for PML after rituximab therapy.
PMID- 29661459
TI - Midpole Ureterocalycostomy for Renal Transplant Salvage: A Pediatric Case Report.
AB - BACKGROUND: Ureteral obstruction is the most common urological complication of
kidney transplantation. Obstruction secondary to ureteral stenosis can be an
early or late complication. CASE REPORT: We present a patient in whom ureteral
obstruction was initially identified at 2.5 months after transplant for which she
underwent a midpole ureterocalycostomy between the midpole calyx of the
transplant kidney and the native left ureter.
PMID- 29661458
TI - Ombitasvir-Paritaprevir-Ritonavir Therapy in a Kidney Transplant Recipient With
Chronic Hepatitis C Virus Genotype 1 Infection: A Case Report on the Importance
of Considering Drug-Drug Interactions and Monitoring Cyclosporine Levels.
AB - A 74-year-old Japanese man with a history of chronic hepatitis C and kidney
transplant (KT) was administered pegylated-interferon plus ribavirin therapy.
However, this therapy was ineffective. The patient was then hospitalized to
receive ombitasvir (OBV) plus paritaprevir (PTV) plus ritonavir (r) antiviral
combination therapy. He tested negative for the virus after 4 weeks, and
completed 12 weeks of treatment. The patient ultimately achieved a sustained
virological response after the 12 weeks of treatment. Cyclosporine (CyA) trough
levels, during the OBV-PTV-r therapy, reached a peak within 5 days of initiating
therapy, and increases in serum creatinine and total bilirubin were also
observed. However, onset of irreversible nephropathy and hepatopathy were avoided
by reducing the CyA dosage. The OBV-PTV-r therapy demonstrated a sufficient
antiviral effect and could be safely administered postoperatively to patients
having undergone KT. When a combination therapy with interferon-free, direct
acting antivirals is used in patients post-transplantation, consideration of drug
drug interactions with and monitoring CyA are of vital importance.
PMID- 29661460
TI - Partial Cystectomy of Paraganglioma of the Urinary Bladder Before Living Kidney
Transplantation: Case Report.
AB - BACKGROUND: Paraganglioma (extra-adrenal pheochromocytoma) of the bladder is a
very rare disease, accounting for 0.06% of all bladder tumors. Optimal management
of bladder paraganglioma before kidney transplantation is unknown. We report a
case of partial cystectomy for urinary bladder paraganglioma before living kidney
transplantation. CASE PRESENTATION: A 59-year-old man with a 27-year history of
hemodialysis was referred to our department for further examination of a bladder
tumor detected during pre-transplantation testing. Cystoscopy revealed a
submucosal tumor on the right side of the bladder. The patient experienced a
hypertensive crisis during transurethral resection of the bladder tumor.
Endocrinologic and pathologic examinations confirmed the diagnosis of
paraganglioma in the urinary bladder. A partial cystectomy was performed before
kidney transplantation. Nine months after partial cystectomy, the patient
underwent AB0-incompatible living kidney transplantation from his spouse. No
disease recurrence or graft rejection was observed 12 months after the
transplantation. CONCLUSIONS: To our knowledge, this is the 1st report on the
management of paraganglioma in the urinary bladder before living kidney
transplantation. Kidney transplantation after partial cystectomy is an option
that may be considered in patients with paraganglioma of the urinary bladder,
with careful observations of bladder function and vesicoureteral reflux to the
grafts.
PMID- 29661461
TI - Urgent Living-Donor Liver Transplantation in a Patient With Concurrent Active
Tuberculosis: A Case Report.
AB - BACKGROUND: Although active tuberculosis (TB) is considered a contraindication
for liver transplantation (LT), this is the only treatment in patients with liver
failure and concurrent active TB. We report a case with successful urgent living
donor LT for irreversible liver failure in the presence of active TB. CASE
PRESENTATION: A 48-year-old man, with a history of decompensated alcoholic liver
cirrhosis, was presented with stupor. At admission, his consciousness had
deteriorated to semi-coma, and his renal function also rapidly deteriorated to
hepatorenal syndrome. A preoperative computed tomography scan of the chest
revealed several small cavitary lesions in both upper lobes, and acid-fast
bacillus stain from his sputum was graded 2+. Adenosine deaminase levels from
ascites were elevated, suggesting TB peritonitis. A first-line anti-TB drug
regimen was started immediately (rifampin, isoniazid, levofloxacin, and
amikacin). An urgent living-donor LT was performed 2 days later. After LT, the
regimen was changed to second-line anti-TB drugs (amikacin, levofloxacin,
cycloserine, and pyridoxine). The sputum acid-fast bacillus stain tested negative
on postoperative day 10. His liver function remained well preserved, even after
the reversion to first-line anti-TB treatment. The patient recovered without any
anti-TB medication-related complications and was discharged. CONCLUSIONS: LT can
be prudently performed as a life-saving option, particularly for patients with
liver failure and concurrent active TB.
PMID- 29661462
TI - Donor-Derived Candida dubliniensis Resulting in Perigraft Abscesses in a Liver
Transplant Recipient Proven by Whole Genome Sequencing: A Case Report.
AB - BACKGROUND: The transmission of fungi via transplant, although well-known, has
not often been molecularly proven. We describe a case of donor-derived
candidiasis verified by whole genome sequencing. CASE DESCRIPTION: The multiorgan
donor was a 42-year-old woman with subdural hemorrhage. Procurement of the
thoracic organs was performed followed by the abdominal organs. Tissue from the
left bronchus grew Candida dubliniensis. The liver recipient was a 63-year-old
woman with cryptogenic liver cirrhosis. She was noted to have worsening
leukocytosis on postoperative day (POD) 9. Computed tomography of the abdomen and
pelvis showed multiple rim-enhancing collections around the graft. Percutaneous
drainage was performed. Fluid cultures grew C dubliniensis. C dubliniensis
isolated from the donor's left bronchus and the liver recipient's abscesses were
verified to be related by whole genome sequencing. We postulate that C
dubliniensis colonizing the donor's transected trachea could have contaminated
the inferior vena cava when the former was left open after explant of the donor's
lungs. A portion of the donor's contaminated inferior vena cava was transplanted
along with the liver graft, resulting in the infected collections in the
recipient. CONCLUSIONS: Our case report highlights the importance of maintaining
a sterile field during organ procurement, especially in a multiorgan donor whose
organs are explanted in succession.
PMID- 29661463
TI - Case Report of Multiple Bilobar Hepatic Arterio-Portal Fistulas Post-Liver
Transplantation Managed Conservatively.
AB - Multiple intrahepatic arterio-portal fistulas are rare. The majority are isolated
and occur secondary to liver trauma including iatrogenic interventions such as
liver biopsy. Post-liver transplantation 18 cases have been reported, all
secondary to an interventional radiological procedure. We report multiple bi
lobar arterio-portal fistulas in a liver transplant recipient recognized 1 year
after transplantation. The donor died due to intracerebral bleeding following
blunt head and abdominal trauma. In the present case, the etiology is not very
clear. The patient was managed conservatively and to date has not required
intervention.
PMID- 29661464
TI - Cauliflower-like Neoplasm of Duodenal Papilla in a Liver Transplant Recipient:
What Should We Think and Do? A Case Report.
AB - BACKGROUND: With the continuous improvement of liver transplantation technology,
the survival rate of liver transplantation has been improved, but recurrent or de
novo malignancy remains one of the major factors affecting the long-term survival
of liver transplant recipients. CASE REPORT: A 45-year-old Chinese man had a
plastic biliary stent placed on account of biliary anastomotic stenosis after 3
years of piggyback liver transplantation. He came to our hospital because of
recurrent fever and jaundice for 2 weeks, and his carcinoembryonic antigen-199
had increased. The patient's duodenal papillary was cauliflower-like at
endoscopic retrograde cholangiopancreatography to replace the biliary stent. He
was initially suspected of having duodenal papillary carcinoma after liver
transplantation. However, the pathology from endoscopic retrograde
cholangiopancreatography and endoscopic ultrasound-guided biopsy showed
inflammation. While awaiting the result of biopsy, his CA-199 decreased
significantly after anti-infection and symptomatic treatment. The patient was
diagnosed with biliary anastomotic stenosis and duodenal papillitis. He was
discharged uneventfully; to date, there is no evidence of malignant tumor.
CONCLUSIONS: We report this case to provide helpful information to clinicians
about the management of the duodenal papilla cauliflower-like neoplasm after
liver transplantation, which should be considered as inflammatory first. Perhaps
our view can avoid the risk of bringing an excessive medical treatment and
unnecessary economic burden to patients and their families.
PMID- 29661465
TI - Allograft Portacaval Shunt in Small-for-Size Liver in Deceased Donor Liver
Transplant.
AB - Portal hyperperfusion is detrimental to small-for-size livers (SFSLs) in liver
transplantation. Surgical techniques modulating portal inflow provide the most
effective approach to protect the SFSL. In this report, we describe a technique
creating an allograft portacaval shunt that effectively attenuates portal inflow
without a requirement of extensive surgical dissection in the recipient during
the transplantation.
PMID- 29661466
TI - Endobronchial Topical Amphotericin B Instillation for Pulmonary Chromomycosis
After Lung Transplantation: A Case Report.
AB - We report a very rare case of pulmonary chromomycosis caused by Scedosporium
prolificans that developed after lung transplantation and was successfully
treated with endobronchial topical amphotericin B instillation. The subject was a
woman in her 50s with a history of bilateral lobar lung transplantation from
living donors for idiopathic pulmonary hypertension. Eight years after the lung
transplantation, chest radiography X-ray and computed tomography showed an
abnormal shadow in the right lung. Bronchoscopic findings showed obstruction by a
fungal component at the laterobasal bronchus B9. She was diagnosed with pulmonary
chromomycosis after S. prolificans was detected in the bronchial aspirate.
Systemic antifungal treatment with itraconazole was ineffective. Therefore, we
administered topical amphotericin B weekly via endobronchial instillation and
replaced oral itraconazole with voriconazole. The endobronchial procedure was
safe and tolerable. Bronchial obstruction improved after three 3 instillations.
We continued topical amphotericin B instillation once every 3 months for 2 years,
and the abnormal shadow nearly disappeared. This case report describes infection
by S. prolificans, which rarely becomes an etiologic agent in lung transplant
patients, and shows that endobronchial topical amphotericin B instillation is a
therapeutic option when systemic antifungal treatment is ineffective.
PMID- 29661467
TI - Paradoxical Reaction of Tuberculosis in a Heart Transplant Recipient During
Antituberculosis Therapy: A Case Report.
AB - INTRODUCTION: Tuberculous paradoxical reactions (PRs) are excessive immune
reactions occurring after antituberculosis (TB) treatment and are commonly
observed in immunocompromised hosts such as patients infected with the human
immunodeficiency virus. CASE REPORT: We recently encountered a 63-year-old male
heart transplant recipient who developed tuberculous PR after treatment for
miliary TB. The patient had been receiving immunosuppressive therapy with
cyclosporine and mycophenolate mofetil for over 15 years. The diagnosis of
miliary TB was made based on the presence of intermittent fever and fatigue;
thus, anti-TB treatments (isoniazid, levofloxacin, ethambutol, and pyrazinamide)
were started, which led to rapid defervescence and regression of the granular
shadow and pleural effusion. However, a new persistent fever and confused state
developed 1 month after the anti-TB therapy was started. After excluding possible
etiologies of the patient's symptom, a PR was suspected, and anti-TB drugs were
continued; corticosteroids were added as anti-inflammatory agents. After that, he
has shown a favorable course with long-term anti-TB chemotherapy. CONCLUSION: A
PR should always be considered when the patients' symptoms of tuberculosis re
exacerbate after an appropriate anti-TB therapy. A PR commonly occurs in patients
with various immunologic conditions including heart transplant recipients.
PMID- 29661468
TI - Successful Treatment of Cytogenetically Normal Acute Myeloid Leukemia With Ten
Eleven Translocation 2-Isocitrate Dehydrogenase 2 and Additional Sex Comb-like 1
Nucleophosmin Co-mutations by HLA Haploidentical Stem Cell Transplantation: A
Case Report and Literature Review.
AB - The presence of recurrent gene mutations is increasingly important in acute
myeloid leukemia (AML) and sheds new insights into the understanding of
leukemogenesis, prognostic evaluation, and clinical therapeutic efficacy. Until
now, ten-eleven translocation 2 (TET2) and isocitrate dehydrogenase 2 (IDH2)
mutations were reported to be mutually exclusive in AML patients. Similarly,
nucleophosmin (NPM1) and additional sex comb-like 1 (ASXL1) mutations were rarely
coexisted in AML. A 47-year-old man diagnosed with high-risk AML presented
simultaneous mutations of TET2-IDH2 and NPM1-ASXL1 revealed by next-generation
sequencing. After successful treatment with chemotherapy followed by HLA
haploidentical transplantation, he achieved a clinical complete remission without
evidence of overt graft-versus-host disease. This case highlights that HLA
haploidentical transplantation might be a safe and feasible therapy for AML
patients who are characterized by TET2-IDH2 and NPM1-ASXL1 co-mutations.
PMID- 29661469
TI - Successful 7-Year Eculizumab Treatment of Plasmapheresis-Resistant Recurrent
Atypical Hemolytic-Uremic Syndrome due to Complement Factor H Hybrid Gene: A Case
Report.
AB - Atypical hemolytic-uremic syndrome (aHUS) is an extremely rare disease, and up to
70% of the patients have a genetic mutation in the encoding components of
complement activation or anti-complement factor H autoantibodies. The risk of
recurrence after kidney transplantation is 10% to 80%. Eculizumab, a monoclonal
antibody that binds complement protein C5, has shown to be highly effective in
patients with aHUS; however, there are only few reports on the efficacy and
safety of long-term eculizumab treatment in children with recurrent aHUS. Only 3
case reports regard treatment in patients with complement factor H
(CFH/CFHR1/CFHR3) hybrid gene. This report presents the efficacy and safety of
long-term eculizumab treatment in a child with recurrent aHUS who has been
successfully treated with eculizumab for more than 7 years. The patient presented
as a 9-year-old with aHUS due to CFH/CFHR1/CFHR3 hybrid gene and received
deceased donor kidney transplantation. After the transplantation, he experienced
recurrence of aHUS 2 months later. Daily plasma exchanges were ineffective in the
transplanted kidney; the patient became anuric and hemodialysis was needed.
Eculizumab was started as therapy and led to complete remission of aHUS including
restoration of diuresis. Eculizumab has been given as therapy for 7 years. The
young patient is in a sustained remission without any adverse events. This
patient is only the sixth patient reported with recurrent aHUS due to
CFH/CFHR1/CFHR3 hybrid gene and is the patient with the longest remission of
recurrent aHUS ever published.
PMID- 29661470
TI - Special issue on simulation of traffic safety in the era of advances in
technologies.
PMID- 29661471
TI - Do the diagnostic criteria for subclinical hypercortisolism exist?
AB - "Subclinical hypercortisolism" (SH) refers to a condition associated with a mild
chronic increase in cortisol secretion. By definition, patients with SH do not
exhibit specific symptoms of overt Cushing's syndrome (such as purple striae,
easy bruising, proximal muscle weakness), SH has been preferred to "subclinical
Cushing's syndrome", a semantic ambiguity since Cushing's syndrome is, by
definition, a set of symptoms; and to the term "preclinical Cushing syndrome"
because the progression toward overt clinical hypercortisolism is very rare.
However, SH still is misnomer as a number of studies suggest that this condition
may induce long-term non-specific adverse conditions related to the mild cortisol
excess (i.e. diabetes, hypertension, obesity, and osteoporosis). Various attempts
have been made to define SH that remains a matter of controversies and
uncertainties.
PMID- 29661472
TI - News about the genetics of congenital primary adrenal insufficiency.
AB - Primary adrenal insufficiency (PAI) is characterized by impaired production of
steroid hormones due to an adrenal cortex defect. This condition incurs a risk of
acute insufficiency which may be life-threatening. Today, 80% of pediatric forms
of PAI have a genetic origin but 5% have no clear genetic support. Recently
discovered mutations in genes relating to oxidative stress have opened the way to
research on genes unrelated to the adrenal gland. Identification of causal
mutations in a gene responsible for PAI allows genetic counseling, guidance of
follow-up and prevention of complications. This is particularly true for stress
oxidative anomalies, as extra-adrenal manifestations may occur due to the
sensitivity to oxidative stress of other organs such as the heart, thyroid,
liver, kidney and pancreas.
PMID- 29661473
TI - Silk fibroin preserves beta cell function under inflammatory stress while
stimulating islet cell surface GLUT2 expression.
AB - Silk fibroin is a novel biomaterial for enhancing transplanted islet cell
function and survival. This study investigated whether silk fibroin may have
unique properties that improve islet function in the face of inflammatory
mediated stress during transplantation. Murine islet function was tested in vitro
with either silk fibroin or alginate and challenged with inflammatory cytokines.
The glucose-stimulated insulin secretion index for all conditions decreased with
inflammatory cytokines, but was better preserved for islets exposed to silk
compared to those exposed to alginate or medium. GLUT2 transporter expression on
the cell surface of islets exposed to silk was increased compared to alginate or
medium alone. Upon cytokine stress, a greater percentage of islet cells exposed
to silk expressed GLUT2 on their surface. We conclude that preconditioning islets
with silk fibroin stimulates islet cell surface GLUT2 expression, an increase,
which persists under inflammatory stress, and may improve islet engraftment and
function after transplantation.
PMID- 29661474
TI - The role of hepatic macrophages in liver metastasis.
AB - The liver is a major target organ for metastasis of both gastrointestinal and
extra-gastrointestinal cancers. Due to its frequently inoperable nature, liver
metastasis represents a leading cause of cancer-associated death worldwide. In
the past years, the pivotal role of the immune system in this process is being
increasingly recognised. In particular, the role of the hepatic macrophages, both
recruited monocyte-derived macrophages (Mo-Mfs) and tissue-resident Kupffer cells
(KCs), has been shown to be more versatile than initially imagined. However, the
lack of tools to easily distinguish between these two macrophage populations has
hampered the assignment of particular functionalities to specific hepatic
macrophage subsets. In this Review, we highlight the most remarkable findings
regarding the origin and functions of hepatic macrophage populations, and we
provide a detailed description of their distinct roles in the different phases of
the liver metastatic process.
PMID- 29661475
TI - Usefulness of High-Dose Oral Flecainide for Termination of Recent-Onset Atrial
Fibrillation in Children.
AB - A high dose of oral flecainide has been used for acute termination of atrial
fibrillation (AF) and atrial flutter or intra-atrial re-entry tachycardia (AFL
IART) in adults. The use of flecainide for these conditions in children has not
been well described. We describe our institutional experience on acute
termination of AF or AFL-IART in children with a single high dose of oral
flecainide in a hospital setting. All patients who received a single high dose of
oral flecainide from 2009 to 2016 who were <21 years of age were included.
Patients were treated only if AF or AFL-IART was less than 24 hours of duration.
The dose was 300 mg for patients >70 kg, 200 mg for patients 40 to 70 kg, and 5
mg/kg for patients <40 kg. Charts were reviewed to determine demographic
information, flecainide dose, termination of arrhythmia, and time to termination.
There were 22 patients identified. The median age was 16 years (range 4.6 to
20.3) with a median weight of 75 kg (range 19 to 112). There were 13 patients
with AF (11 with a normal heart, 85%) and 9 patients with AFL-IART (1 with a
normal heart, 11%) (p <0.05). The median dose of flecainide given was 3.6 mg/kg
(range 2.7 to 6.1) or 136 mg/m2 (range 90 to 171). AF in all patients (13/13,
100%) and AFL-IART in 5 of 9 patients (55%) terminated acutely (p <0.05). All
patients with normal heart (12/12, 100%) and 6 of the 10 patients (60%) with
heart disease have their arrhythmia terminated acutely (p <0.05). The only
patients whose tachycardia did not terminate were 4 patients with IART and heart
disease. The arrhythmia terminated in a median time of 60 minutes (range 30 to
120). There were no adverse events or proarrhythmia encountered. In conclusion, a
single high dose of oral flecainide successfully terminated AF of less than 24
hours' duration in all pediatric patients without side effects. This approach is
less effective for AFL-IART in patients with heart disease.
PMID- 29661476
TI - Cutaneous presentation of adult T-cell leukemia/lymphoma (ATLL). Single-center
study on 37 patients in metropolitan France between 1996 and 2016.
AB - INTRODUCTION: Adult T-cell leukemia/lymphoma (ATLL) is a hematological malignancy
associated with chronic HTLV-1 infection. AIM: To describe skin lesions in ATLL.
METHODS: A descriptive, retrospective study between 1996 and 2016, including all
patients diagnosed with ATLL at Saint-Louis Hospital (Paris, France). RESULTS:
Thirty-seven ATLL patients were included. Fifteen patients (41%) had a cutaneous
localization of the disease, which was present from the beginning of the disease
for two thirds of them. ATLL types in patients with cutaneous localization of the
disease were as follows: lymphoma, n=5, chronic, n=4, smoldering, n=4, acute,
n=2. Half the patients had 2 or more cutaneous manifestations. The cutaneous
localizations observed were as follows: nodulotumoral (n=8), plaques (n=7),
multipapular (n=6), macular (n=4), purpuric (n=2). Among the 15 patients with
cutaneous localization, median overall survival was significantly shorter in the
acute and lymphoma types compared to the smoldering and chronic types (8.7 months
vs. 79 months, P=0.003). DISCUSSION: ATLL is a hematologic malignancy with
variable expression that is diagnosed only very rarely in metropolitan France,
but that should be sought in patients from countries with high HTLV-1 prevalence
in the event of a chronic eruption with patches, papules, plaques and/or tumors.
The chronic and smoldering types are relatively indolent, whereas the acute and
lymphoma forms have a poor prognosis.
PMID- 29661477
TI - Low diastolic blood pressure and adverse outcomes in heart failure with preserved
ejection fraction.
AB - BACKGROUND: It remains unknown whether a low diastolic blood pressure (DBP)
increases the risks of cardiovascular events and death in patients with heart
failure with preserved ejection fraction (HFpEF). METHODS: We used data from the
TOPCAT trial. The primary outcome was a composite of all-cause death, non-fatal
myocardial infarction, non-fatal stroke, or hospitalization for heart failure.
Hazard ratios (HRs) were analyzed for DBPs of <60, 60-69, 70-79, and >=90 mm Hg
in comparison with a DBP of 80-89 mm Hg using multivariable Cox proportional
hazard models. RESULTS: This study included 3417 patients with HFpEF who had a
controlled blood pressure. In the mean follow-up period of 3.0 years, 881
patients experienced at least one confirmed primary outcome event. Compared with
patients with a DBP of 80-89 mm Hg, the adjusted HRs for primary outcome events
were significantly higher in those with DBPs of <60 mm Hg (HR: 2.19 [95%
confidence interval,1.72-2.78]) and 60-69 mm Hg (HR: 1.52 [1.23-1.87]).
Similarly, the adjusted HRs for all-cause death, major cardiovascular events, and
hospitalization for heart failure, but not stroke, were significantly higher in
patients with a DBP of <70 mm Hg. A relationship between a low DBP and adverse
outcomes was found in HFpEF patients with a systolic blood pressure of >=120 mm
Hg; however, a low systolic blood pressure with a DBP of >=70 mm Hg was not
associated with these event risks. CONCLUSIONS: A low DBP increased the risks of
adverse outcomes in patients with HFpEF.
PMID- 29661478
TI - Chronic adriamycin treatment impairs CGRP-mediated functions of meningeal sensory
nerves.
AB - Adriamycin is a potent anthracycline-type antitumor agent, but it also exerts
potentially serious side effects due to its cardiotoxic and neurotoxic
propensity. Multiple impairments in sensory nerve functions have been recently
reported in various rat models. The present experiments were initiated in an
attempt to reveal adriamycin-induced changes in sensory effector functions of
chemosensitive meningeal afferents. Meningeal blood flow was measured with laser
Doppler flowmetry in the parietal dura mater of adult male Wistar rats. The dura
mater was repeatedly stimulated by topical applications of capsaicin, a transient
receptor potential vanilloid 1 (TRPV1) receptor agonist, or acrolein, a transient
receptor potential ankyrin 1 (TRPA1) receptor agonist, which induce the release
of calcitonin gene-related peptide (CGRP) from meningeal afferents. The blood
flow increasing effects of CGRP, histamine, acetylcholine and forskolin were also
measured. Capsaicin- and acrolein-induced CGRP release was measured with enzyme
linked immunoassay in an ex vivo dura mater preparation. TRPV1 content of
trigeminal ganglia and TRPV1-, CGRP- and CGRP receptor component-immunoreactive
structures were examined in dura mater samples obtained from control and
adriamycin-treated rats. The vasodilator effects of capsaicin, acrolein and CGRP
were significantly reduced in adriamycin-treated animals while histamine-,
acetylcholine- and forskolin-induced vasodilatation were unaffected. Measurements
of CGRP release in an ex vivo dura mater preparation revealed an altered dynamic
upon repeated stimulations of TRPV1 and TRPA1 receptors. In whole-mount dura
mater preparations immunohistochemistry revealed altered CGRP receptor component
protein (RCP)-immunoreactivity in adriamycin-treated animals, while CGRP receptor
activity modifying protein (RAMP1)-, TRPV1- and CGRP-immunostaining were left
apparently unaltered. Adriamycin-treatment slightly reduced TRPV1 protein content
of trigeminal ganglia. The present findings demonstrate that adriamycin-treatment
alters the function of the trigeminovascular system leading to reduced meningeal
sensory neurogenic vasodilatation that may affect the local regulatory and
protective mechanisms of chemosensitive afferents leading to alterations in
tissue integrity.
PMID- 29661479
TI - The Minimally Conscious State, the Disability Bias, and the Moral Authority of
Advance Directives.
PMID- 29661480
TI - Monitoring country progress and achievements by making global predictions: is the
tail wagging the dog?
PMID- 29661481
TI - Response to 'The use of laryngeal mask airway for adenoidectomy'.
PMID- 29661482
TI - Anisotropy and Spatial Heterogeneity in Quantitative Ultrasound Parameters:
Relevance to the Study of the Human Cervix.
AB - Imaging biomarkers based on quantitative ultrasound can offer valuable
information about properties that inform tissue function and behavior such as
microstructural organization (e.g., collagen alignment) and viscoelasticity
(i.e., compliance). For example, the cervix feels softer as its microstructure
remodels during pregnancy, an increase in compliance that can be objectively
quantified with shear wave speed and therefore shear wave speed estimation is a
potential biomarker of cervical remodeling. Other proposed biomarkers include
parameters derived from the backscattered echo signal, such as attenuation and
backscattered power loss, because such parameters can provide insight into tissue
microstructural alignment and organization. Of these, attenuation values for the
pregnant cervix have been reported, but large estimate variance reduces their
clinical value. That said, parameter estimates based on the backscattered echo
signal may be incorrect if assumptions they rely on, such as tissue isotropy and
homogeneity, are violated. For that reason, we explored backscatter and
attenuation parameters as potential biomarkers of cervical remodeling via careful
investigation of the assumptions of isotropy and homogeneity in cervical tissue.
Specifically, we estimated the angle- and spatial-dependence of parameters of
backscattered power and acoustic attenuation in the ex vivo human cervix, using
the reference phantom method and electronic steering of the ultrasound beam. We
found that estimates are anisotropic and spatially heterogeneous, presumably
because the tissue itself is anisotropic and heterogeneous. We conclude that
appropriate interpretation of imaging biomarkers of cervical remodeling must
account for tissue anisotropy and heterogeneity.
PMID- 29661483
TI - Quantitative Measurement of Erythrocyte Aggregation as a Systemic Inflammatory
Marker by Ultrasound Imaging: A Systematic Review.
AB - This systematic review is aimed at answering two questions: (i) Is erythrocyte
aggregation a useful biomarker in assessing systemic inflammation? (ii) Does
quantitative ultrasound imaging provide the non-invasive option to measure
erythrocyte aggregation in real time? The search was executed through
bibliographic electronic databases CINAHL, EMB Review, EMBASE, MEDLINE, PubMed
and the grey literature. The majority of studies correlated elevated erythrocyte
aggregation with inflammatory blood markers for several pathologic states. Some
studies used "erythrocyte aggregation" as an established marker of systemic
inflammation. There were limited but promising articles regarding the use of
quantitative ultrasound spectroscopy to monitor erythrocyte aggregation.
Similarly, there were limited studies that used other ultrasound techniques to
measure systemic inflammation. The quantitative measurement of erythrocyte
aggregation has the potential to be a routine clinical marker of inflammation as
it can reflect the cumulative inflammatory dynamics in vivo, is relatively simple
to measure, is cost-effective and has a rapid turnaround time. Technologies like
quantitative ultrasound spectroscopy that can measure erythrocyte aggregation non
invasively and in real time may offer the advantage of continuous monitoring of
the inflammation state and, thus, may help in rapid decision making in a critical
care setup.
PMID- 29661484
TI - The Cell Cycle Progression Score: Unclear Role in Renal Cell Carcinoma.
PMID- 29661485
TI - Re: Karim A. Touijer, Robert Jeffery Karnes, Niccolo Passoni, et al. Survival
Outcomes of Men with Lymph Node-positive Prostate Cancer After Radical
Prostatectomy: A Comparative Analysis of Different Postoperative Management
Strategies. Eur Urol 2018;73:890-6.
PMID- 29661486
TI - Pre-encoding stress induced changes in perceived stress, blood pressure and
cortisol are differentially associated with recollection and familiarity.
AB - Stress before encoding is often linked to impaired memory. Further influences of
stress on memory are arousal of the to be learned material and memory retrieval
type (free recall vs. recognition). In the current study we tested the influence
of stress on memory encoding for neutral and negative arousing pictures in
healthy young adults. A total of 80 participants (40 men) were subjected either
to the socially evaluated cold pressure test or a control condition before
encoding of arousing and neutral pictures. One day later participants underwent a
recognition test. Results show different relationships between the obtained
stress markers and recognition memory. Higher perceived stress ratings predicted
poorer overall accuracy for arousing material. Lower perceived stress ratings and
larger blood pressure increase predicted higher recollection values for arousing
material. In contrast, a larger cortisol increase predicted lower familiarity
values for arousing material. Concluding, activity of the sympathetic nervous
system (SNS) and a lower feeling of perceived stress predict better recollection.
HPA axis activity predicts lower familiarity. Pre-encoding induced changes in the
perceived feeling of stress, activity of the SNS, and activity of the HPA axis
show specific and distinct relationships to recognition memory.
PMID- 29661487
TI - RIPK1 downregulation in keratinocyte enhances TRAIL signaling in psoriasis.
AB - BACKGROUND: Psoriasis, a common inflammatory skin disorder characterized by scaly
erythema and plaques, is induced by dysregulation of dendritic cell- and T cell
mediated immune reaction. Receptor-interacting protein kinase 1 (RIPK1) regulates
inflammatory signaling in response to stimuli such as TNF-alpha, TRAIL, and TLRs,
resulting in apoptosis, necroptosis and NF-kappaB activation. However, the
physiological relevance in human epidermis remains elusive. OBJECTIVE: In this
study, we examined whether RIPK1 is involved in the pathogenesis of psoriasis
vulgaris. METHODS: Skin samples of eight patients with psoriasis vulgaris were
investigated by western blotting and immunohistochemistry. The functions of RIPK1
in keratinocytes were examined by RT-PCR and ELISA in vitro. TRAIL-neutralization
experiment was employed in an imiquimod-induced murine psoriasis model. RESULTS:
In lesional psoriatic epidermis, RIPK1-expression was decreased compared with
that in normal epidermis. Cytokines involved in the pathomechanism of psoriasis,
such as IL-1beta, IL-17A, IL-22 and TRAIL, reduced RIPK1-expression in normal
human epidermal keratinocytes (HEK) in vitro. In addition, RIPK1-knockdown
enhanced TRAIL-mediated expression of psoriasis-relating cytokines, such as IL
1beta, IL-6, IL-8, TNF-alpha, in HEK. Numerous TRAIL-positive cells were detected
in the dermis of lesional psoriatic skin, and TRAIL receptors were expressed in
psoriatic epidermis and HEK in conventional cultures. Moreover, TRAIL
neutralization in an imiquimod-induced murine psoriasis model remarkably improved
skin phenotypes, such as ear thickness, and TNF-alpha expression in lesional
skin. CONCLUSIONS: These results lead us to conclude that RIPK1-downregulation in
keratinocytes increases their susceptibility to TRAIL stimulation, and plays a
role in the pathogenesis of psoriasis vulgaris.
PMID- 29661488
TI - Psychometric evaluation of the WHOQOL-BREF, Taiwan version, across five kinds of
Taiwanese cancer survivors: Rasch analysis and confirmatory factor analysis.
AB - BACKGROUND: Quality of life (QoL) is important for clinicians to evaluate how
cancer survivors judge their sense of well-being, and WHOQOL-BREF may be a good
tool for clinical use. However, at least three issues remain unresolved: (1) the
psychometric properties of the WHOQOL-BREF for cancer patients are insufficient;
(2) the scoring method used for WHOQOL-BREF needs to be clarify; (3) whether
different types of cancer patients interpret the WHOQOL-BREF similarly. METHODS:
We recruited 1000 outpatients with head/neck cancer, 1000 with colorectal cancer,
965 with liver cancer, 1438 with lung cancer and 1299 with gynecologic cancers in
a medical center. Data analyses included Rasch models, confirmatory factor
analysis (CFA), and Pearson correlations. RESULTS: The mean WHOQOL-BREF domain
scores were between 13.34 and 14.77 among all participants. CFA supported
construct validity; Rasch models revealed that almost all items were embedded in
their expected domains and were interpreted similarly across five types of cancer
patients; all correlation coefficients between Rasch scores and original domain
scores were above 0.9. CONCLUSIONS: The linear relationship between Rasch scores
and domain scores suggested that the current calculations for domain scores were
applicable and without serious bias. Clinical practitioners may regularly collect
and record the WHOQOL-BREF domain scores into electronic health records.
PMID- 29661489
TI - Comparison of the effects of edoxaban, an oral direct factor Xa inhibitor, on
venous thromboembolism between patients with and without cancer.
AB - BACKGROUND: Venous thromboembolism (VTE) is a frequent and serious complication
of cancer. The current guidelines in the USA and Europe recommend low-molecular
weight heparin (LMWH) for the treatment of cancer-associated VTE. In Japan, LMWH
is not given for the treatment of VTE; instead edoxaban, an oral direct factor Xa
inhibitor, was approved for the treatment of VTE in September 2014. However, the
efficacy and safety of the factor Xa inhibitor in cancer patients have not been
fully elucidated. METHODS: Patients' charts were reviewed retrospectively, and
125 VTE patients (61 cancer patients) in whom edoxaban therapy was started
between September 2014 and September 2016 were included in this study. Patients'
demographics, changes in VTE amount, VTE recurrence, clinically relevant
bleeding, and outcomes until February 2017 were examined. RESULTS: Patients'
characteristics, including age, sex, weight, creatinine clearance, and duration
of administration of edoxaban were comparable between cancer and non-cancer
patients. No parenteral anticoagulant pretreatment before edoxaban was given in
37.5% and 55.7% of non-cancer and cancer patients, respectively. The incidence of
pulmonary embolism was also similar in the two groups. The amount of thrombosis
decreased ("improved") or disappeared ("normalized") in 89.6% and 94.1%,
respectively, of non-cancer and cancer patients who underwent at least two
imaging tests. The frequencies of recurrence of VTE and clinically relevant
bleeding were not significantly different between the two groups (p=0.414 and
0.516, respectively). However, 21 cancer patients died, 17 of whom died of
cancer, while none of the non-cancer patients died. CONCLUSION: The present study
showed that the efficacy and safety of edoxaban for the treatment of VTE is
comparable between cancer and non-cancer patients. Edoxaban may be a clinically
useful therapy for VTE in Japanese cancer patients.
PMID- 29661490
TI - Amygdala volume mediates the relationship between externalizing symptoms and
daily smoking in adolescence: A prospective study.
AB - The current study examined amygdala and orbitofrontal cortex (OFC) volumes as
mediators of the relationship between externalizing symptoms and daily smoking in
adolescence. Externalizing behaviors are among the most robust predictors of
adolescent smoking, and there is emerging evidence that volume reductions in the
amygdala and OFC are associated with risk for substance misuse as well as
aggressive, impulsive, and disinhibited tendencies. Using a prospective
longitudinal design, we recruited 109 adolescents who provided data on brain
volume and externalizing behaviors at age 12, and on smoking at age 18. Daily
smoking at age 18 (n = 27) was predicted by externalizing behaviors (measured by
the self-report Child Behavior Checklist, CBCL) as well as smaller right amygdala
volumes. Right amygdala volumes mediated the relationship between externalizing
symptoms and later smoking. These findings provide important insight into the
neurobiological risk factors associated with adolescent smoking, and, more
generally, into factors that may be associated with vulnerability to substance
use disorders and related psychopathology.
PMID- 29661491
TI - When to SAVR in the age of TAVR? A perspective on surgical aortic valve
replacement in 2018.
PMID- 29661492
TI - Wrist or Groin? Learning From the Wisdom of the Crowd.
PMID- 29661493
TI - Neuroimaging Findings in Normocephalic Zika Virus Infection.
PMID- 29661494
TI - Response to the Letter by Sora Yasri.
PMID- 29661495
TI - Narcotics reduction, quality and safety in gynecologic oncology surgery in the
first year of enhanced recovery after surgery protocol implementation.
AB - OBJECTIVES: Enhanced Recovery After Surgery (ERAS) programs are mechanisms for
achieving value-based improvements in surgery. This report provides a detailed
analysis of the impact of an ERAS program on patient outcomes as well as quality
and safety measures during implementation on a gynecologic oncology service at a
major academic medical center. METHODS: A retrospective review of gynecologic
oncology patients undergoing elective laparotomy during the implementation phase
of an ERAS program (January 2016 through December 2016) was performed. Patient
demographics, surgical variables, postoperative outcomes, and adherence to core
safety measures, including antimicrobial and venous thromboembolism (VTE)
prophylaxis, were compared to a historical patient cohort (January 2015 through
December 2015). Statistical analyses were performed using t-tests, Wilcoxon rank
sum tests, and Chi squared tests. RESULTS: The inaugural 109 ERAS program
participants were compared to a historical patient cohort (n=158). There was no
difference in BMI, race, malignancy, or complexity of procedure between cohorts.
ERAS patients required less narcotics (70.7 vs 127.4, p=0.007, oral morphine
equivalents) and PCA use (32.1% vs. 50.6%, p=0.002). Despite this substantial
reduction in narcotics, ERAS patients did not report more pain and in fact
reported significantly less pain by postoperative day 3. There were no
differences in length of stay (5days), complication rates (13.8% vs. 20.3%,
p=0.17) or 30-day readmission rates (9.5 vs 11.9%, p=0.54) between ERAS and
historical patients, respectively. Compliance with antimicrobial prophylaxis was
97.2%. However, 33.9% of ERAS patients received substandard preoperative VTE
prophylaxis. CONCLUSIONS: ERAS program implementation resulted in reductions in
narcotic requirements and PCA use without changes in length of stay or
readmission rates. Compliance should be diligently audited during the
implementation phase of ERAS programs, with special attention to adherence to pre
existing core safety measures.
PMID- 29661496
TI - Abuse, cancer and sexual dysfunction in women: A potentially vicious cycle.
AB - More than 30% of women have a history of abuse. Women with cancer may be at
substantially increased risk for abuse, but this issue is rarely discussed in the
course of oncology care. Women with a history of abuse who present for cancer
care commonly have a high prevalence of co-morbid illness. Sexual dysfunction, a
highly prevalent but under-recognized condition among women of all ages, is also
more common among both women with a history of abuse and women with cancer.
Although common after cancer, sexual dysfunction, like abuse, can be stigmatizing
and often goes undiagnosed and untreated. This review first examines the
literature for evidence of a relationship between any history of abuse and cancer
among women, addressing two questions: 1) How does abuse promote or create risk
for developing cancer? 2) How does cancer increase a woman's susceptibility to
abuse? We then examine evidence for a relationship between abuse and female
sexual dysfunction, followed by an investigation of the complex relationship
between all three factors: abuse, sexual dysfunction and cancer. The literature
is limited by a lack of harmonization of measures across studies, retrospective
designs, and small and idiosyncratic samples. Despite these limitations, it is
imperative that providers integrate the knowledge of this complex relationship
into the care of women with cancer.
PMID- 29661497
TI - Postoperative chemotherapy for node-positive cervical cancer: Results of a
multicenter phase II trial (JGOG1067).
AB - OBJECTIVE: This multicenter phase II Japanese Gynecologic Oncology Group study
(JGOG1067) was designed to evaluate the efficacy and safety of postoperative
chemotherapy in patients with node-positive cervical cancer. METHODS: Patients
with stage IB-IIA squamous cervical cancer who underwent radical hysterectomy and
were confirmed to have pelvic lymph node metastasis were eligible for this study.
The patients postoperatively received irinotecan (CPT-11; 60mg/m2 intravenously
on days 1 and 8) and nedaplatin (NDP; 80mg/m2 intravenously on day 1).
Chemotherapy administration commenced within 6weeks after surgery and was
repeated every 28days for up to 5cycles. The primary endpoint of this study was
the 2-year recurrence-free survival (RFS) rate. The secondary endpoints were the
5-year overall survival (OS) rate, 5-year RFS rate, and adverse events such as
complications of chemotherapy and lower-limb edema. RESULTS: Sixty-two patients
were analyzed according to our protocol, among whom 55 (88.7%) completed 5cycles
of scheduled treatment. The median follow-up period was 66.1months (range, 16.8
96.6months). The 2-year and 5-year RFS rates were 87.1% (95% confidence interval
[CI]: 75.9-99.3) and 77.2% (95% CI: 64.5-85.8), respectively. Fourteen patients
(22.5%) experienced recurrence during the follow-up period, 8 of whom died of the
disease. The 5-year OS rate in this study was 86.5% (95% CI: 74.8-93.0). Only
9.7% of the patients experienced lymphedema in their legs. CONCLUSION:
Postoperative chemotherapy without radiotherapy was found to be very effective in
high-risk patients with node-positive cervical cancer.
PMID- 29661498
TI - The intra-day dynamics of affect, self-esteem, tiredness, and suicidality in
Major Depression.
AB - Despite growing interest in the temporal dynamics of Major Depressive Disorder
(MDD), we know little about the intra-day fluctuations of key symptom constructs.
In a study of momentary experience, the Experience Sampling Method captured the
within-day dynamics of negative affect, positive affect, self-esteem, passive
suicidality, and tiredness across clinical MDD (N= 31) and healthy control groups
(N= 33). Ten symptom measures were taken per day over 6 days (N= 2231
observations). Daily dynamics were modeled via intra-day time-trends,
variability, and instability in symptoms. MDD participants showed significantly
increased variability and instability in negative affect, positive affect, self
esteem, and suicidality. Significantly different time-trends were found in
positive affect (increased diurnal variation and an inverted U-shaped pattern in
MDD, compared to a positive linear trend in controls) and tiredness (decreased
diurnal variation in MDD). In the MDD group only, passive suicidality displayed a
negative linear trend and self-esteem displayed a quadratic inverted U trend. MDD
and control participants thus showed distinct dynamic profiles in all symptoms
measured. As well as the overall severity of symptoms, intra-day dynamics appear
to define the experience of MDD symptoms.
PMID- 29661499
TI - The relationship between complement levels and disease activity in Japanese
family cases of hereditary angioedema with C1-INH deficiency.
PMID- 29661500
TI - Severity of eczema and mental health problems in Japanese schoolchildren: The
ToMMo Child Health Study.
AB - BACKGROUND: The association between eczema and mental health problems in
schoolchildren has been underexplored. We aimed to investigate this association
with the validated questionnaires. METHODS: Of 46,648 invited children, we
analyzed 9954 (21.3%) in the 2nd to the 8th grades from the ToMMo Child Health
Study conducted in 2014 and 2015, a cross-sectional survey in Miyagi Prefecture,
Japan. We defined eczema status as "normal," "mild/moderate," or "severe," based
on the presence of persistent flexural eczema and sleep disturbance, according to
the International Study of Asthma and Allergies in Childhood (ISAAC) Eczema
Symptom Questionnaire. Clinical ranges of Strengths and Difficulties
Questionnaire (SDQ) total difficulties scores and four SDQ subcategories of
emotional symptoms, conduct problems, hyperactivity/inattention, and peer
problems were defined as scores >=16, >=5, >=5, >=7, and >=5, respectively.
RESULTS: The mean SDQ total difficulties score significantly increased as eczema
status worsened (all P <= 0.004 for trend). The OR of scores in the clinical
range for SDQ total difficulties were 1.51 (95% CI, 1.31-1.74) for mild/moderate
eczema and 2.63 (95% CI, 1.91-3.63) for severe eczema (P < 0.001 for trend),
adjusted for sex, school grade, current wheeze, and disaster-related factors,
using normal eczema as a reference. The association between severity of eczema
and four SDQ subcategories showed a similar trend (all P <= 0.017 for trend).
CONCLUSIONS: We found a significant association between severity of eczema and
mental health problems. The presence of eczema was associated with four SDQ
subcategories.
PMID- 29661501
TI - Mechanical strain induced phospho-proteomic signaling in uterine smooth muscle
cells.
AB - Mechanical strain associated with the expanding uterus correlates with increased
preterm birth rates. Mechanical signals result in a cascading network of protein
phosphorylation events. These signals direct cellular activities and may lead to
changes in contractile phenotype and calcium signaling. In this study, the
complete phospho-proteome of uterine smooth muscle cells subjected to mechanical
strain for 5 min was compared to un-strained controls. Statistically significant,
differential phosphorylation events were annotated by Ingenuity Pathway Analysis
to elucidate mechanically induced phosphorylation networks. Mechanical strain
leads to the direct activation of ERK1/2, HSPB1, and MYL9, in addition to
phosphorylation of PAK2, vimentin, DOCK1, PPP1R12A, and PTPN11 at previously
unannotated sites. These results suggest a novel network reaction to mechanical
strain and reveal proteins that participate in the activation of contractile
mechanisms leading to preterm labor.
PMID- 29661502
TI - Unusual occurrence reporting system: Sharing a ten years experience from a
tertiary care JCIA accredited university hospital.
AB - PURPOSE: Identifying a true measure of safety is challenging in radiation
oncology. A culture of unusual reporting may however be used as an indirect
measure for it. The purpose of this study is to share our experience of unusual
occurrence reporting system, established in the Radiation Oncology section since
2006, the first of this nature in Pakistan. MATERIALS AND METHODS: Data is
collected over the last ten years. An in-house online reporting system has been
developed for reporting unusual events. All the reported events are evaluated
retrospectively. The stage of unusual occurrence along the radiation therapy
process, possible causes, severity and preventive measures taken are discussed.
RESULTS: Analysis of the 501 unusual occurrences reported over the last ten years
has shown a substantial decrease in the number of significant mistakes observed.
Of the total, 57 % unusual occurrences have been reported by radiation therapy
technologists, including treatment preparation processes. Oversight is supposed
to be the most common cause for unusual occurrences. CONCLUSIONS: The ten years
experience with reporting and documenting of unusual occurrences resulted in a
safety culture where every individual is willing to share any type of incident
with a free well. Our experience at the Aga Khan University Hospital (AKUH) shows
that the major reason for the occurrence of incidents was oversight. The majority
of unusual occurrences were reported by radiation therapy technologists, as
expected, since they handle the bulk of the treatment planning process.
PMID- 29661503
TI - Treatment in Fabry disease.
AB - Fabry disease is an X-linked inborn disease caused by deficit of alpha
galactosidaseA. This results in accumulation of glycosphingolipids in all cells
and tissues. All males should receive enzyme replacement treatment in case of
very low or undetectable levels of alpha-galactosidaseA. Female carriers and
males with marginally levels of alpha-galactosidaseA should be treated in case of
renal, neurologic o cardiac manifestations. There are two intravenous
formulations of human recombinant enzyme, agalsidase alpha and agalsidase beta,
showing similar efficacy and safety. Patients with amenable mutations of alpha
galactosidase can be treated with oral migalastat hydrochloride. Migalastat
hydrochloride is a pharmacological chaperone that facilitates trafficking of
alpha-galactosidaseA to lysosomes increasing enzyme activity. Patients treated
with migalastat hydrochloride had significant improvements in left ventricular
mass and gastrointestinal symptoms.
PMID- 29661504
TI - Stress-induced hyperglycemia on complications in non-critically elderly
hospitalized patients.
AB - AIMS: Hospital complications and hyperglycemia are common in elderly patients
during hospitalization. Our aim was to analyze the relationship between
hyperglycemia and hospital complications in an ageing population. METHODS: We
conducted an observational study to evaluate the association between maximum
blood glucose (MBG) levels and hospital complications. Patients were stratified
according to the quartiles of MBG levels. Diabetes mellitus (DM) was determined
by patient history and/or admission glycated hemoglobin (HbA1c) level >=6.5%.
Hyperglycemia in patients without DM was defined as stress-induced hyperglycemia
(SH). The composite primary end-point included frequent complications and/or all
cause hospital mortality. RESULTS: Among 461 patients, mean age 80+/-7.5years,
238 (51.6%) patients had DM, 20 had undiagnosed DM, and 162 (35.1%) developed
hospital complications. Patients with complications had higher mean daily BG
levels (215+/-84 vs 195+/-85mg/dl, P<.01). The incidence of complications was
directly associated with severity of hyperglycemia according to the quartiles of
MBG levels in patients without DM, namely SH (<140 mg/dl, 22.2%; 140-185mg/dl,
40%; 186-250mg/dl, 47%; >250mg/dl, 60%; P=.002), but not in patients with DM
(<140mg/dl, 26.3%; 140-185mg/dl, 40.4%; 186-250mg/dl, 35.6%; >250mg/dl, 37.4%;
P=.748). In the multivariate analyses, SH was independently associated with
complications: OR 2.60 (CI95%: 1.2-5.6), 2.82 (CI95%: 1.2-6.5), 5.50 (CI95%: 1.4
20.8) for the second, third and fourth quartile respectively (P=.01), as compared
to the first quartile. We found no association with readmissions and all-cause
mortality. CONCLUSIONS: SH in elderly patients is associated with hospital
complications, but not with all-cause mortality, compared to patients with
diabetes or normoglycemia.
PMID- 29661506
TI - Quality of life seems to be independent of severity of pretransplant illness in
lung transplant recipients.
PMID- 29661505
TI - Training cardiothoracic surgeons of the future: The UK experience.
AB - OBJECTIVE: The study aimed to assess targeted simulation courses, including live
animal operating, as complementary training tools with regard to 2 key surgical
skills in early cardiothoracic surgeon training. METHODS: Twenty UK surgical
trainees (equivalent to cardiothoracic surgery resident physicians in the United
States) in their first year of residency training were evaluated. Assessment of
skills in pulmonary wedge resection and cardiopulmonary bypass were undertaken
before and after 2 boot camp-style courses, including live animal operating (boot
camp 1 and boot camp 2). Resident performance was evaluated by surgical trainers
using objective structured assessment of technical skills matrices. Trainers
completed a survey on skill development and trainer confidence in the trainee
precourse and postcourse. RESULTS: Trainee assessment scores pre- and postcourses
were analyzed using a 2-tailed Wilcoxon signed-rank test demonstrating a
significant improvement in trainee performance in boot camp 1 in performing
cardiopulmonary bypass (median score, 21 precourse and 28 postcourse; P = .001)
and pulmonary wedge resection (median score, 25 precourse and 32 postcourse; P =
.012), and in boot camp 2, in performing cardiopulmonary bypass (median score, 28
precourse and 30.5 postcourse; P = .018) and pulmonary wedge resection (median
score, 31.5 precourse and 37 postcourse; P = .018). Trainers reported increased
confidence and decision-making competency of residents and increased confidence
of trainers to allow more participation in management. It was found that 83.3% of
trainers would support trainee attendance in subsequent years. CONCLUSIONS: Live
animal operating as a component of targeted cardiothoracic surgery skill training
courses significantly improves technical skills, builds confidence of surgical
trainers in resident physicians' practice, and should be supported by the surgery
community.
PMID- 29661507
TI - Discussion.
PMID- 29661508
TI - Variability in the multicentre National Registry in Active Surveillance; a
questionnaire for urologists.
AB - BACKGROUND: Our main objective was to report the current use of active
surveillance in Spain and to identify areas for potential improvement. METHODS: A
questionnaire generated by the Platform for Multicentre Studies of the Spanish
Urology Association (AEU/PIEM/2014/0001, NCT02865330) was sent to all associate
researchers from January to March 2016. The questionnaire included 7 domains
covering various aspects of active surveillance. RESULTS: Thirty-three of the 41
associate researchers responded to the questionnaire. Active surveillance is
mainly controlled by the urology departments (87.9%). There was considerable
heterogeneity in the classical clinical-pathological variables as selection
criteria. Only 36.4% of the associate researchers used prostate-specific antigen
density (PSAd). Multiparametric magnetic resonance imaging (mpMRI) was clearly
underused as initial staging (6%). Only 27.3% of the researchers stated that
their radiology colleagues had a high level of experience in mpMRI. In terms of
the confirmation biopsy, most of the centres used the transrectal pathway, and
only 2 out of 33 used the transperineal pathway or fusion software. Half of the
researchers interviewed applied active treatment when faced with disease
progression to Gleason 7 (3+4). There was no consensus on when to transition to
an observation strategy. CONCLUSIONS: The study showed the underutilisation of
informed consent and quality-of-life questionnaires. PSAd was not included as a
decisive element in the initial strategy for most researchers. There was a lack
of confidence in the urologists' mpMRI experience and an underutilisation of the
transperineal pathway. There was also no consensus on the follow-up protocols and
active treatment criteria, confirming the need for prospective studies to analyse
the role of mpMRI and biomarkers.
PMID- 29661509
TI - Distraction osteogenesis in the surgical management of syndromic
craniosynostosis: a comprehensive review of published papers.
AB - Our aim was to summarise current published evidence about the prognosis of
various techniques of craniofacial distraction osteogenesis, particularly its
indications, protocols, and complications. Published papers were acquired from
online sources using the keywords "distraction osteogenesis", "Le Fort III",
"monobloc", and "syndromic craniosynostosis" in combination with other keywords,
such as "craniofacial deformity" and "midface". The search was confined to
publications in English, and we followed the guidelines of the PRISMA statement.
We found that deformity of the skull resulted mainly from Crouzon syndrome.
Recently craniofacial distraction has been achieved by monobloc distraction
osteogenesis using an external distraction device during childhood, while Le Fort
III distraction osteogenesis was used in maturity. Craniofacial distraction was
indicated primarily to correct increased intracranial pressure, exorbitism, and
obstructive sleep apnoea in childhood, while midface hypoplasia was the main
indication in maturity. Overall the most commonly reported complications were
minor inflammatory reactions around the pins, and anticlockwise rotation when
using external distraction systems. The mean amount of bony advancement was
12.3mm for an external device, 18.6mm for an internal device and 18.7mm when both
external and internal devices were used. Treatment by craniofacial distraction
must be validated by long-term studies as there adequate data are lacking,
particularly about structural relapse and the assessment of function.
PMID- 29661510
TI - CFTR rescue with VX-809 and VX-770 favors the repair of primary airway epithelial
cell cultures from patients with class II mutations in the presence of
Pseudomonas aeruginosa exoproducts.
AB - BACKGROUND: Progressive airway damage due to bacterial infections, especially
with Pseudomonas aeruginosa remains the first cause of morbidity and mortality in
CF patients. Our previous work revealed a repair delay in CF airway epithelia
compared to non-CF. This delay was partially prevented after CFTR correction
(with VRT-325) in the absence of infection. Our goals were now to evaluate the
effect of the Orkambi combination (CFTR VX-809 corrector + VX-770 potentiator) on
the repair of CF primary airway epithelia, in infectious conditions. METHODS:
Primary airway epithelial cell cultures from patients with class II mutations
were mechanically injured and wound healing rates and transepithelial resistances
were monitored after CFTR rescue, in the absence and presence of P. aeruginosa
exoproducts. RESULTS: Our data revealed that combined treatment with VX-809 and
VX-770 elicited a greater beneficial impact on airway epithelial repair than VX
809 alone, in the absence of infection. The treatment with Orkambi was effective
not only in airway epithelial cell cultures from patients homozygous for the
F508del mutation but also from heterozygous patients carrying F508del and another
class II mutation (N1303 K, I507del). The stimulatory effect of the Orkambi
treatment was prevented by CFTR inhibition with GlyH101. Finally, Orkambi
combination elicited a slight but significant improvement in airway epithelial
repair and transepithelial resistance, despite the presence of P. aeruginosa
exoproducts. CONCLUSIONS: Our findings indicate that Orkambi may favor airway
epithelial integrity in CF patients with class II mutations. Complementary
approaches would however be needed to further improve CFTR rescue and airway
epithelial repair.
PMID- 29661511
TI - Developments in cystic fibrosis personalised epithelial assays: Science and
patient perspectives.
PMID- 29661512
TI - Organelle survival in a foreign organism: Hydra nematocysts in the flatworm
Microstomum lineare.
AB - Nematocysts are characteristic organelles of the phylum cnidaria. They are
designated kleptocnidae when sequestered in animals that feed on cnidaria.
Kleptocnidae are known for more than a century. Nevertheless it is still
enigmatic how selected nematocyst types survive in the predator and how they
reach their final destination in the foreign body. In the free-living
Platyhelminth Microstomum lineare the fate of nematocysts of the prey Hydra
oligactis was analyzed at the ultrastructural level and by fluorescence
microscopy using hydra polyps that had been stained in vivo with the fluorescent
dyes TROMI and TRITC. M. lineare digested hydra tissue in its intestine within 30
min and all nematocyst types were phagocytosed without adherent cytoplasm by
intestinal cnidophagocytes. Desmoneme and isorhiza nematocysts were digested
whereas cnidophagocytes containing the venom-loaded stenotele nematocysts started
to migrate out of the intestinal epithelia through the parenchyma to the
epidermis thereby traversing the subintestinal and subepidermal muscle layer.
Within one to two days, M. lineare began to form a muscle layer basolateral
around epidermal cnidophagocytes. Epidermal stenoteles survived in M. lineare for
at least four weeks. The ability of epidermal stenotele nematocysts to discharge
suggest that this hydra organelle preserved its physiological properties in the
new host.
PMID- 29661513
TI - Cinnamon: A systematic review of adverse events.
AB - Cinnamon, from the genus Cinnamomum and Lauraceae family, has been used as a
popular spice for thousands of years around the world. Many studies have shown
therapeutic effects of cinnamon including its antimicrobial, antiviral,
antifungal, antioxidant, antitumor, antihypertensive, antilipemic, antidiabetic,
gastroprotective, and immunomodulatory effects. Due to popular use of cinnamon
and several human reports on adverse events associated with short or long term
use of cinnamon, we aimed to systematically review its human reports of adverse
event. Databases including Medline, Scopus, Science Direct, Embase, PubMed
Central and Google scholar were searched using the key words "cinnamon" or
"cinnamomum" for clinical trials, case reports and case series. Also spontaneous
reports about adverse effects of cinnamon were collected from five national and
international spontaneous reporting schemes. Thirty eight clinical trials were
found, five of them reported adverse events. Twenty case reports and seven case
series, as well as, spontaneous reports including 160 adverse events were also
included. The most frequent adverse events were gastrointestinal disorders and
allergic reactions which were self-limiting in the majority of cases. The
available data suggests that despite the safety of cinnamon use as a spice and/or
flavoring agent, its use may be associated with significant adverse effects in
medicinal uses with larger doses or longer duration of use and should be
clinically monitored.
PMID- 29661514
TI - To Train or Not to Train: Admission of Pediatricians into Pediatric
Rehabilitation Medicine Fellowships.
PMID- 29661515
TI - The Role of Physiatrists in Natural Disasters.
PMID- 29661517
TI - Potentials of the Potential: The "Lower, Slower, and Brighter" Mantra.
PMID- 29661516
TI - Lisdexamfetamine Targets Amygdala Mechanisms That Bias Cognitive Control in
Attention-Deficit/Hyperactivity Disorder.
AB - BACKGROUND: Prefrontal-limbic circuits that form the neural architecture for
emotion to influence behavior have been implicated in the pathophysiology of
attention-deficit/hyperactivity disorder (ADHD) and represent a potentially
important target of medication treatment that has not been substantively
evaluated. This study tested the effect of the psychostimulant prodrug
lisdexamfetamine dimesylate on amygdala activation and connectivity during the
emotional bias of response execution and inhibition. METHODS: Twenty-five adults
with ADHD were scanned twice with event-related functional magnetic resonance
imaging while performing an emotional go/no-go task after 3 to 4 weeks of
lisdexamfetamine treatment and 3 weeks off medication in a randomized,
counterbalanced, hybrid crossover design. Drug, trial type, and face emotion
(happy, sad, or neutral) were included as within-subjects factors in repeated
measures analyses of activation and connectivity. RESULTS: Lisdexamfetamine was
associated with increased right amygdala activation and reduced
psychophysiological interactions with the orbital aspect of the left inferior
frontal gyrus specifically for responses to sad faces compared with placebo, but
there was no effect on the accuracy of response execution or inhibition. The
relative gain in right amygdala activation in response to sad faces for
lisdexamfetamine was correlated with a reduction in symptoms of ADHD.
CONCLUSIONS: Treatment with lisdexamfetamine potentiates affective encoding in
amygdala, purportedly via catecholaminergic mechanisms, but functionally
disconnects the amygdala from inferior frontal regions that encode behavioral
significance-resulting in reduced emotional bias of cognitive control.
Pinpointing the neurophysiologic underpinnings of therapeutic improvement with
lisdexamfetamine represents a first step in developing targeted approaches to
treatment of ADHD.
PMID- 29661518
TI - Radiation Risk Associated With X-Ray Mammography Screening: Communication and
Exchange of Information via Tweets.
PMID- 29661519
TI - Medically Engineered Solutions in Health Care: A Technology Incubator and Design
Thinking Curriculum for Radiology Trainees.
PMID- 29661520
TI - Utilization Trends in Diagnostic Imaging for a Commercially Insured Population: A
Study of Massachusetts Residents 2009 to 2013.
AB - PURPOSE: To report utilization trends in diagnostic imaging among commercially
insured Massachusetts residents from 2009 to 2013. MATERIALS AND METHODS: Current
Procedural Terminology codes were used to identify diagnostic imaging claims in
the Massachusetts All-Payer Claims Database for the years 2009 to 2013. We
reported utilization and spending annually by imaging modality using total
claims, claims per 1,000 individuals, total expenditures, and average per claim
payments. RESULTS: The number of diagnostic imaging claims per insured MA
resident increased only 0.6% from 2009 to 2013, whereas nonradiology claims
increased by 6% annually. Overall diagnostic imaging expenditures, adjusted for
inflation, were 27% lower in 2009 than 2013, compared with an 18% increase in
nonimaging expenditures. Average payments per claim were lower in 2013 than 2009
for all modalities except nuclear medicine. Imaging procedure claims per 1,000 MA
residents increased from 2009 to 2013 by 13% in MRI, from 147 to 166; by 17% in
ultrasound, from 453 to 530; and by 12% in radiography (x-ray), from 985 to
1,100. However, CT claims per 1,000 fell by 37%, from 341 to 213, and nuclear
medicine declined 57%, from 89 claims per 1,000 to 38. CONCLUSION: Diagnostic
imaging utilization exhibited negligible growth over the study period. Diagnostic
imaging expenditures declined, largely the result of falling payments per claim
in most imaging modalities, in contrast with increased utilization and spending
on nonimaging services. Utilization of MRI, ultrasound, and x-ray increased from
2009 to 2013, whereas CT and nuclear medicine use decreased sharply, although CT
was heavily impacted by billing code changes.
PMID- 29661521
TI - Retention Concerns About MR Studies Using Gadolinium-Based Contrast Agents.
PMID- 29661522
TI - 'You are what you eat' (not what you said you ate yesterday): Why a one-off 24
hour dietary recall fails capture usual dietary intake in schizophrenia.
PMID- 29661523
TI - A positive-psychological intervention reduces acute psychosis-proneness.
AB - BACKGROUND: While individuals at ultra-risk for schizophrenia are characterized
by high negative/disorganised but low positive schizotypy, schizophrenia patients
are usually high in all three schizotypy facets. Thus, avoiding increases in
positive schizotypy in ultra-high risk individuals may constitute of form of
schizophrenia-prevention. A possible method of reducing positive schizotypy could
be Positive-Psychological intervention (PI). METHODS: We present results from 2
independent studies, including a 12-month follow-up from study 1, using an easy
to-perform intervention based on Positive Psychology to reduce positive
schizotypy. RESULTS: A PI can significantly and sustainably reduce positive
schizotypy compared to a placebo-condition. Furthermore, our results show very
high response-rates to said intervention, with responsiveness to the intervention
increasing significantly with disorganised schizotypic traits. CONCLUSIONS: As
especially disorganised schizotypy is of relevance for the risk of transition
from high benign schizotypy to schizophrenia and is found most closely associated
to familial schizophrenia-risk and highly elevated in at-risk mental states, our
results are encouraging. We suggest, thus, that positive psychology can not only
reduce positive schizotypy, but may be increasingly useful with rising
schizophrenia-risk and, thus, be worthy of further investigation regarding it
potential in schizophrenia-prevention.
PMID- 29661524
TI - Expressed emotion as a predictor of the first psychotic episode - Results of the
European prediction of psychosis study.
AB - OBJECTIVE: To investigate the impact of expressed emotion (EE) on the risk of
developing the first psychotic episode (FEP). METHOD: The European Prediction of
Psychosis Study (EPOS) investigated 245 patients who were at clinical high risk
(CHR) of psychosis. The predictive value of EE alone and as a part of the
multivariate EPOS model was evaluated. RESULTS: "Perceived irritability", a
domain of the Level of Expressed Emotion Scale (LEE), was found to be predictive
for the First Psychotic Episode (FEP), even as an individual variable.
Furthermore, it was selected in the multivariate EPOS prediction model, thereby
replacing two of the original predictor variables. This led to an improved
revised version that enabled the identification of three significantly different
risk classes with a hazard rate of up to 0.911. CONCLUSIONS: CHR subjects who
perceive the most important person in their individual social environment to be
limited in their stress coping skills had a higher risk of conversion to the
first psychotic episode. The importance of this risk factor was further
demonstrated by an improvement of risk estimation in the original EPOS predictor
model. Perceiving a reference person as stress-prone and thus potentially
unreliable might amplify self-experienced uncertainty and anxiety, which are
often associated with the prodromal phase. Such an enforcement of stress-related
processes could promote a conversion to psychosis.
PMID- 29661525
TI - A Preliminary Study of Left Ventricular Rotational Mechanics in Children with
Noncompaction Cardiomyopathy: Do They Influence Ventricular Function?
AB - BACKGROUND: Current diagnostic criteria for noncompaction cardiomyopathy (NCC)
lack specificity, and the disease lacks prognostic indicators. Reverse apical
rotation (RAR) with abnormal rotation of the cardiac apex in the same clockwise
direction as the base has been described in adults with NCC. The aim of this
study was to test the hypothesis that RAR might differentiate between symptomatic
NCC and benign hypertrabeculations and might be associated with ventricular
dysfunction. METHODS: Echocardiograms from 28 children with NCC without cardiac
malformations were prospectively compared with those from 29 age-matched normal
control subjects. A chart review was performed to identify the patients'
histories and clinical characteristics. Speckle-tracking was used to measure
longitudinal strain, circumferential strain, and rotation. RESULTS: RAR occurred
in 39% of patients with NCC. History of left ventricular (LV) dysfunction or
arrhythmia was universal in, but not exclusive to, patients with RAR. Patients
with RAR had lower LV longitudinal strain but similar ejection fractions compared
with patients without RAR (median, -15.6% [interquartile range, -12.9% to -19.3%]
vs -19% [interquartile range, -14.5% to -21.9%], P < .01; 53% [interquartile
range, 43% to 68%] vs 61% [interquartile range, 58% to 67%], P = .08). Only a
pattern of contraction with RAR, early arrest of twisting by mid-systole, and
premature untwisting was associated with lower ejection fraction (46%;
interquartile range, 43% to 52%; P = .006). CONCLUSIONS: RAR is not a sensitive
but is a specific indicator of complications in children with NCC. Therefore, RAR
may have prognostic rather than diagnostic value. Premature untwisting of the
left ventricle during ejection may be an even more worrisome indicator of LV
dysfunction.
PMID- 29661526
TI - A Retrieval Analysis of Impingement in Dual-Mobility Liners.
AB - BACKGROUND: Implant-related impingement is likely a major causative factor of
total hip arthroplasty (THA) instability. Dual-mobility (DM) cups can
theoretically improve stability in THA, but impingement rates with DM cups are
not well studied. We examined retrieved DM THA liners to determine if less
evidence existed for prosthetic impingement between the neck and the polyethylene
liner than historical studies from our institution on fixed-bearing THAs.
METHODS: DM components from 93 THAs were identified from 164 THAs whose DM
components were revised between 2008 and 2015 through our institutional review
board-approved implant retrieval program. The mean age was 63 +/- 11 years, mean
body mass index was 30 +/- 7 kg/m2, and mean length of implantation was 2.08 +/-
1.89 years. Two independent graders scored each liner for the presence and
severity of impingement. Radiographs were evaluated for inclination, anteversion,
change in leg length, and combined offset. RESULTS: Only 21.5% (20/93) of DM cups
showed evidence of impingement compared to 77% (75/97) of fixed-bearing cups
found in a previous study performed at our institution (P < .001). Of the
revision components, 35.2% (5/14) demonstrated evidence of impingement compared
to 19.7% (14/71) implanted in primary surgery (P = .189). In the cohort revised
for instability, the rate of impingement was 35.3% (6/17); for the implants
revised for any other reason, the impingement rate was 18.4% (14/77) (P = .126).
CONCLUSION: This study demonstrates that DM liners significantly reduce the rate
of impingement (21.5%) when compared to fixed-bearing liners (77%).
PMID- 29661527
TI - Variability in Elongation and Failure of the Medial Collateral Ligament After Pie
Crusting With 16- and 18-Gauge Needles.
AB - BACKGROUND: In knee arthroplasty with preoperative varus deformity, medial
collateral ligament (MCL) release may be needed to achieve balance. Pie-crusting
allows for controlled release, but questions remain regarding its ability to
obtain predictable results. We compared 16- vs18-gauge needle punctures and
determined the number of punctures required to (1) lengthen the MCL by 1 mm and
(2) cause ligament failure. METHODS: Thirteen knees were dissected, leaving the
femur and tibia with an isolated MCL, and randomly assigned to 16- or 18-gauge
groups. Initial stiffness was assessed by cycling the ligament to 300 N for 5
cycles. The selected needle was used to make 10 punctures centered over the area
of greatest tension. Cyclic testing was repeated after each set of punctures.
Changes in MCL length and stiffness were measured. This process was repeated
until failure. RESULTS: No differences occurred between the 16- and 18-gauge
groups in cross-sectional area, initial stiffness, number of punctures to
lengthen the MCL by 1 mm, or number of punctures to failure. As the number of
punctures increased, a linear increase in elongation and decrease in stiffness
occurred. CONCLUSION: Needle size was not the influencing factor. Variability in
number of punctures, regardless of needle size, to elongate or fail the MCL shows
the difficulty in developing a reproducible pie-crusting technique. This suggests
that a standard number of punctures do not achieve controlled MCL lengthening for
all patients, but that the number of punctures needed can be calculated for an
individual knee based on the initial elongation after 10 punctures.
PMID- 29661528
TI - Meropenem time above the MIC exposure is predictive of response in cystic
fibrosis children with acute pulmonary exacerbations.
AB - Meropenem exposures from 15 children (8-17 years old) with cystic fibrosis (CF)
acute pulmonary exacerbation were analyzed to define the pharmacodynamic
threshold required for a positive response. The primary endpoint was the relative
increase in forced expiratory volume in 1 s (?FEV1) between pre- and
posttreatment. Meropenem pharmacodynamic indices (fT > MIC, fAUC/MIC, fCmin/MIC)
over the first 24 h were estimated for each participant based on their individual
parameter estimates and the isolated pathogen with the highest meropenem MIC.
Pseudomonas aeruginosa was the most common pathogen (n = 11/15). The mean +/- SD
?FEV1 was 18.8% +/- 11.3% posttreatment. The mean (range) fT > MIC exposure was
63% (0-100%). An Emax model determined a significant relationship between fT >
MIC and ?FEV1 (r2 = 0.8, P < 0.0004). 65% fT > MIC was a significant predictor of
response; the median (25th, 75th %) ?FEV1 was 28.5% (22.2%, 31.7%) in those
patients who achieved above 65% fT > MIC and 7.8% (1.1%, 12.6%) in those at or
below 65% fT > MIC (P = 0.001). This is the first study in CF children to link
meropenem exposure with a positive response as measured by ?FEV1. Larger studies
are required to confirm this exposure threshold.
PMID- 29661529
TI - In vitro activity of tedizolid and comparator agents against clinical Gram
positive isolates recovered from patients with cancer.
AB - A total of 248 Gram-positive isolates from cancer patients were tested for in
vitro susceptibility to tedizolid and 3 comparator agents using CLSI broth
microdilution methodology. Tedizolid inhibited 97% of isolates at <=0.5MUg/ml. It
was active against all Gram-positive species and consistently had 8 fold lower
MICs than linezolid, although based on % susceptibility using CLSI breakpoints,
most isolates were also susceptible to the comparators. Tedizolid was active
against MRSA isolates with vancomycin MICs of >=1.0MUg/ml.
PMID- 29661530
TI - Targeted Protein Degradation: Unlocking the Therapeutic Proteome.
PMID- 29661531
TI - Individual chamber segmentation in congenital heart disease.
PMID- 29661532
TI - Measurement of physical performance by field tests in programs of cardiac
rehabilitation: a systematic review and meta-analysis.
AB - INTRODUCTION: The literature concerning the effects of cardiac rehabilitation
(CR) on field tests results is inconsistent. PURPOSE: To perform a systematic
review with meta-analysis on field tests results after programs of CR. METHODS:
Studies published in PubMed and Web of Science databases until May 2016 were
analyzed. The standard difference in means correct by bias (Hedges' g) was used
as effect size (g) to measure que amount of modifications in performance of field
tests after CR period. Potential differences between subgroups were analyzed by Q
test based on ANOVA. RESULTS: Fifteen studies published between 1996 e 2016 were
included in the review, 932 patients and age ranged 54,4 - 75,3 years old.
Fourteen studies used the six-minutes walking test to evaluate the exercise
capacity and one study used the Shuttle Walk Test. The random Hedges's g was
0.617 (P<0.001), representing a drop of 20% in the performance of field test
after CR. The meta-regression showed significantly association (P=0.01) to
aerobic exercise duration, i.e., for each 1-min increase in aerobic exercise
duration, there is a 0.02 increase in effect size for performance in the field
test. CONCLUSION: Field tests can detect physical modification after CR, and the
large duration of aerobic exercise during CR was associated with a better result.
PMID- 29661533
TI - Design, synthesis, and evaluation of novel N-1 fluoroquinolone derivatives:
Probing for binding contact with the active site tyrosine of gyrase.
AB - Structural studies of topoisomerase-fluoroquinolone-DNA ternary complexes
revealed a cavity between the quinolone N-1 position and the active site
tyrosine. Fluoroquinolone derivatives having positively charged or aromatic
moieties extended from the N-1 position were designed to probe for binding
contacts with the phosphotyrosine residue in ternary complex. While alkylamine,
alkylphthalimide, and alkylphenyl groups introduced at the N-1 position afforded
derivatives that maintained modest inhibition of the supercoiling activity of DNA
gyrase, none retained ability to poison DNA gyrase. Thus, the addition of a large
and/or long moiety at the N-1 position disrupts ternary complex formation, and
retained ability to inhibit supercoiling is likely through interference with the
strand breakage reaction. Two derivatives were found to possess inhibitory
effects on the decatenation activity of human topoisomerase II.
PMID- 29661534
TI - A new Chlorin formulation promotes efficient photodynamic action in
choriocapillaris of rabbit's eyes.
AB - Age-related macular degeneration (AMD) as well as other choroidal diseases,
demand novel therapeutic methods. Photodynamic therapy (PDT), which uses light
and photosensitizer (PS) to cause specific vascular occlusion in the macula, is
an interesting alternative. The only drug approved for the PDT treatment of AMD
(Verteporfin) has a natural tendency to aggregate, demanding an expensive
separation procedure during purification. We report a novel and affordable PS
that is intrinsically protected against aggregation, the Monomeric Chlorin at
High Concentration (MCHC-Chlorin), whose liposomal formulation was developed to
provoke effective photodynamic action on the choroidal vasculature. Our report
starts by stablishing the conditions to allow the efficient synthesis of MCHC
Chlorin in high yields (92%). We then tested the light stimulated occlusion of
choriocapillary vessels in rabbit's eyes induced by the two MCHC-Chlorin isomers,
which are directly obtained from the synthetic route. The PS formulation was
infused in the rabbit's ear vein and eyes were immediately irradiated at 650 nm.
Indirect ophthalmoscopy, fundus photography, fluorescein angiography and
histopathological evaluations were used to evaluate levels of photo-thrombosis
and collateral damage. Choriocapillary occlusion was achieved in all treated
rabbits' eyes, while retina and sclera were completely preserved. There was no
photochemical reaction in none of the eyes that received LASER without PS. Both
MCHC-Chlorin isomers were separately tested and exhibited similar positive
results with no systemic toxicity. Therefore, PDT occurred equally well in all
treated eyes and none of the controls showed any effect in the ophthalmological
exams. MCHC-Chlorin offers great potential and should be further studied as an
alternative drug for choroidal diseases.
PMID- 29661535
TI - Synthesis of carbon-11-labeled 5-HT6R antagonists as new candidate PET
radioligands for imaging of Alzheimer's disease.
AB - Carbon-11-labeled serotonin (5-hydroxytryptamine) 6 receptor (5-HT6R)
antagonists, 1-[(2-bromophenyl)sulfonyl]-5-[11C]methoxy-3-[(4-methyl-1
piperazinyl)methyl]-1H-indole (O-[11C]2a) and 1-[(2-bromophenyl)sulfonyl]-5
methoxy-3-[(4-[11C]methyl-1-piperazinyl)methyl]-1H-indole (N-[11C]2a), 5
[11C]methoxy-3-((4-methylpiperazin-1-yl)methyl)-1-(phenylsulfonyl)-1H-indole (O
[11C]2b) and 5-methoxy-3-((4-[11C]methylpiperazin-1-yl)methyl)-1-(phenylsulfonyl)
1H-indole (N-[11C]2b), 1-((4-isopropylphenyl)sulfonyl)-5-[11C]methoxy-3-((4
methylpiperazin-1-yl)methyl)-1H-indole (O-[11C]2c) and 1-((4
isopropylphenyl)sulfonyl)-5-methoxy-3-((4-[11C]methylpiperazin-1-yl)methyl)-1H
indole (N-[11C]2c), 1-((4-fluorophenyl)sulfonyl)-5-[11C]methoxy-3-((4
methylpiperazin-1-yl)methyl)-1H-indole (O-[11C]2d) and 1-((4
fluorophenyl)sulfonyl)-5-methoxy-3-((4-[11C]methylpiperazin-1-yl)methyl)-1H
indole (N-[11C]2d), were prepared from their O- or N-desmethylated precursors
with [11C]CH3OTf through O- or N-[11C]methylation and isolated by HPLC combined
with SPE in 40-50% radiochemical yield, based on [11C]CO2 and decay corrected to
end of bombardment (EOB). The radiochemical purity was >99%, and the molar
activity (MA) at EOB was 370-740 GBq/MUmol with a total synthesis time of ~40-min
from EOB.
PMID- 29661536
TI - Thioether-bridged arylalkyl-linked N-phenylpyrazole derivatives: Design,
synthesis, insecticidal activities, structure-activity relationship and molecular
modeling studies.
AB - Owing to thioether diverse physicochemical properties by non-covalent
interactions with bio-macromolecules, thioether derivatives containing
heterocyclic moiety are known for their interesting insecticidal bioactivities
and attracting considerable attention as neuroactive insecticides. Here we
synthesis a series of novel thioether bridged N-phenylpyrazole derivatives
incorporating various (hetero)aromatic substituents into 4-position of the
pyrazole ring. Structure-activity relationship (SAR) studies resulted in
compounds 6d and 7d with the most potent insecticidal activity among the series
containing various substituted benzene substituents (LC50 = 13.70-25.47 MUg/g).
Further optimization to increase the lipophilicity and charge density of aromatic
substituents of compounds 6d and 7d resulted in compounds 12d, 14d and 16d with
sulfur-containing heterocycle substituents possessing good insecticidal activity
against Musca domestica L. among the series (LC50 = 0.67-1.30 MUg/g). The
thioether bridge N-phenylpyrazole derivatives, which exhibit different length of
the spacer arm introduced between N-phenylpyrazole moiety and the
(hetero)aromatic substituents, were also prepared and evaluated. By contrast, the
insecticidal activities of compounds containing the short thioether bridge, 1,2
bis((hetero)aromatic thio) ethane, are higher than that containing the long
thioether bridge, 1,3-bis((hetero)aromatic thio) propane. The results of
molecular docking and pharmacophore analyses indicated A299, T303, and L306 of a
subunit were essential to form non-covalent interactions contacts with the
ligands. Specially, the sulfur-containing heterocycle substituent derivatives 12d
and 14d as the sterically favored areas could form the important hydrophobic
interactions with the deeper residue P295.
PMID- 29661538
TI - An unusual case of anti-MOG CNS demyelination with concomitant mild anti-NMDAR
encephalitis.
AB - We report the case of a patient who presented with progressive unsteadiness and
narcoleptic attacks followed by behavioral change and psychosis, without visual
disturbances or seizures. MRI revealed multiple areas of fluid attenuation
inversion recovery (FLAIR) high-intensity lesions involving the cerebellum,
brainstem, thalamus and third ventricular peri-ependymal region consistent with
demyelination. Both the serum myelin oligodendrocyte glycoprotein-antibodies (MOG
Abs) and cerebral spinal fluid (CSF) anti-N-methyl-d-as-partate receptor (NMDAR)
antibodies were positive using transfected cell based assays. The patient
presented simultaneously with symptoms of MOG antibody disease and anti-NMDAR
encephalitis, an unusual clinical scenario, indicating the co-existence of the
two disorders.
PMID- 29661537
TI - Pyridoxine dependent epilepsy: Is late onset a predictor for favorable outcome?
AB - AIM: In pyridoxine dependent epilepsy (PDE), patients usually present with
neonatal seizures. A small subgroup is characterized by late-onset beyond 2
months of age. We aim to analyze the observation of relatively good cognitive
outcome in this subgroup of late-onset PDE patients. METHODS: We retrospectively
analyzed data from four metabolically and genetically confirmed late-onset
patients with PDE due to antiquitin (ALDH7A1) deficiency. Data were analyzed
regarding ALDH7A1 mutations, alpha-Aminoadipic semialdehyde (alpha-AASA) and
pipecolic acid (PA) levels, medication during pregnancy, delivery, treatment
delay, amount of seizures, pyridoxine dose, adjuvant therapy and findings on
brain MRI. RESULTS: Results showed that three patients had relatively good
outcome (IQ 80-97), while one patient did not undergo formal testing and was
considered mildly delayed. We were unable to find a clear association between the
above-mentioned variables and cognitive outcome, although a less severe genotype
may be present in three patients, and maternal medication could be accountable
for better outcome in two patients. INTERPRETATION: We suggest that favorable
outcome in late onset PDE might be explained by a combination of factors. A yet
unknown protective factor, different genetic variations, functional variation and
secondarily variation in treatment regimens and absence of neonatal seizure
induced brain damage.
PMID- 29661539
TI - miR-548k regulates CXCL13 expression in myasthenia gravis patients with thymic
hyperplasia and in Jurkat cells.
AB - Myasthenia gravis (MG) is a B cell-mediated and T cell-dependent autoimmune
disease. Thymic hyperplasia has great significance for MG pathogenesis and
treatment. MicroRNAs (miRNAs) are a newly recognized type of gene expression
regulatory factor that regulate gene expression at the post-transcriptional
level. Additionally, miRNAs are involved in immune regulation of the thymus and
the occurrence and development of autoimmune diseases. In this study, we found 33
miRNAs that were significantly dysregulated in thymic tissues from MG patients
with thymus hyperplasia (MGH) compared with thymic tissues from normal controls
using a miRNA microarray chip. We found a negative correlation between the miR
548k and CXCL13 mRNA levels in a large set of samples using quantitative real
time polymerase chain reaction (qRT-PCR). We found that the CXCL13 3'
untranslated region (UTR) was a target of miR-548k using bioinformatics analysis.
Next, we obtained direct evidence that CXCL13 is a target of miR-548k using a
luciferase reporter assay. Finally, we demonstrated negative regulation between
mir-548k and CXCL13 in Jurkat cells. Thus, miR-548k regulates the mRNA expression
of its target gene CXCL13 in the thymus of MGH patients and plays an important
role in MGH pathogenesis.
PMID- 29661540
TI - MIF functional polymorphisms (-794 CATT5-8 and -173 G>C) are associated with MIF
serum levels, severity and progression in male multiple sclerosis from western
Mexican population.
AB - Macrophage migration inhibitory factor (MIF) is a cytokine associated with tissue
damage in multiple autoimmune diseases such as systemic lupus erythematosus,
rheumatoid arthritis and psoriatic arthritis. The role of MIF in multiple
sclerosis (MS) and the contribution of its polymorphisms are unknown in our
population. Therefore, we decided to investigate the genetic association of -794
CATT5-8 (rs5844572) and -173 G>C (rs755622) MIF polymorphisms with MS, clinical
variables and MIF serum levels in the population of western Mexico. 230 MS
patients diagnosed according to McDonald criteria and 248 control subjects (CS)
were recruited for this study, both polymorphisms were genotyped by PCR and PCR
RFLP and MIF serum levels were measured by ELISA kit. Severity and progression of
MS were evaluated by EDSS and MSSS scores, respectively. Genotypes carrying the 5
repeats alleles of -794 CATT5-8MIF polymorphism present higher MIF serum levels
in comparison with no carriers, and the presence of 5,7 heterozygous genotype
contribute to the increase of disease severity and damage progression in MS
patients. Notably when we stratified by sex, an effect of risk alleles (7 repeats
and -173*C) of both MIF polymorphisms on EDSS and MSSS scores on males was found
(p < 0.01). This study suggests that polymorphic alleles of MIF polymorphisms
could act as sex-specific disease modifiers that increase the severity and
progression of MS in male Mexican-Mestizo western population.
PMID- 29661541
TI - CKD and ESRD in US Hispanics.
AB - Hispanics are the largest racial/ethnic minority group in the United States, and
they experience a substantial burden of kidney disease. Although the prevalence
of chronic kidney disease (CKD) is similar or slightly lower in Hispanics than
non-Hispanic whites, the age- and sex-adjusted prevalence rate of end-stage renal
disease is almost 50% higher in Hispanics compared with non-Hispanic whites. This
has been attributed in part to faster CKD progression among Hispanics.
Furthermore, Hispanic ethnicity has been associated with a greater prevalence of
cardiovascular disease risk factors, including obesity and diabetes, as well as
CKD-related complications. Despite their less favorable socioeconomic status,
which often leads to limited access to quality health care, and their high
comorbid condition burden, the risk for mortality among Hispanics appears to be
lower than for non-Hispanic whites. This survival paradox has been attributed to
a complex interplay between sociocultural and psychosocial factors, as well as
other factors. Future research should focus on evaluating the long-term impact of
these factors on patient-centered and clinical outcomes. National policies are
needed to improve access to and quality of health care among Hispanics with CKD.
PMID- 29661543
TI - Lunar and climatic effects on boar ejaculate traits.
AB - There is evidence that phases of the moon affect wild animal behaviors including
reproduction. There is, however, little evidence of moon phase effects on
domestic livestock reproduction. This study investigated the effects of moon
phase and climatic variables on boar ejaculate traits. Records of 4149 semen
collections from boars of nine different breeds at one boar stud were used. The
response variables were volume of ejaculate, concentration of sperm in the
ejaculate, and number of doses obtained per ejaculate. Moon phase, greatest daily
temperature (T), least daily T, average daily relative humidity (RH), temperature
humidity index (THI), season and the interaction of moon phase with season were
analyzed at the day of collection and 45 days prior to date of collection as a
proxy of initiation of spermatogenesis. For both dates analyzed season and the
interaction of season with moon had significant effects (P < 0.05) on the volume
of the ejaculate. Moon phase had a significant effect (P < 0.05) on volume of
ejaculate at the day of collection. Sperm concentration was affected (P < 0.05)
by the interaction of moon phase with season, high and low temperature, THI, RH
and breed. Season had an effect (P < 0.01) on concentration of sperm at the
initiation of spermatogenesis. For doses that could be used for AI that were
obtained/ejaculate, there were effects of moon phase, season, the interaction
between season and moon phase and breed (P < 0.05) at collection day and at the
initiation of spermatogenesis. There was an interaction (P < 0.0001) between
season and moon phase for volume of ejaculate, sperm concentration and number of
doses obtained per ejaculate at date of collection and at day of initiation of
spermatogenesis. The significant interaction of season and moon phase on boar
semen traits suggests that to maximize productivity of modern swine production
systems determining a collection schedule in some seasons relative to moon phase
may be advantageous.
PMID- 29661542
TI - Helping Patients With ESRD and Earlier Stages of CKD to Quit Smoking.
AB - Among the many adverse effects of tobacco exposure is the increased risk for
progression of kidney disease. Individuals with chronic kidney disease (CKD), who
already face increased cardiovascular event rates compared to the general
population, are at even greater risk if they smoke. Despite these risks and the
increased focus on smoking cessation in the general population in recent years,
national guidelines have not specifically targeted individuals with CKD. There
are similarly sparse data specific to individuals with CKD regarding the safety
and efficacy of evidence-based smoking cessation modalities. This review aims to
identify the risks of nicotine dependence in individuals with CKD and the
potential benefits of smoking cessation; discuss current strategies for smoking
cessation, including behavioral and pharmacologic therapies such as varenicline;
and extrapolate these interventions to the unique challenges of this population.
Much of the data presented stem from evidence for the general population but are
described with additional consideration in dosing of nicotine replacement
therapy, as well as non-nicotine pharmacotherapy and treatment modality for
individuals with CKD.
PMID- 29661544
TI - Renal cell carcinoma for the nephrologist.
AB - Renal cell carcinoma (RCC), a malignancy whose incidence is increasing, is
frequently encountered in general nephrology practice when acute and chronic
kidney disease occurs in the course of disease. Importantly, when kidney disease
develops in the setting of RCC, mortality is significantly increased with
patients often dying of a non-cancer-related complication of kidney disease. As
such, practicing nephrologists need to have a working knowledge of this cancer's
biology, treatment, and complications. Nephrologists should be involved in all
aspects of the care of patients with RCC including in the acute setting prior to
nephrectomy and in the chronic setting for patients with post-nephrectomy chronic
kidney disease and those receiving potentially nephrotoxic anti-cancer agents.
This collaborative approach to RCC care will hopefully improve patient outcomes.
PMID- 29661545
TI - A discussion paper: Do national maternity policy reviews take account of the
education and training of the future midwifery workforce? An example from
England.
AB - The development and provision of maternity services globally are continuing to
receive much attention in order to improve care and safety for women and babies.
In the UK national reviews of the maternity services have taken place, with local
services taking forward specific pilot projects to support the implementation of
policy recommendations. This paper argues that, in order to meet the requirements
of change in maternity services, there also needs to be a prompt review of the
education of student midwives in order to be confident that the workforce of the
future is equipped to implement these changes successfully. Using changes to
national policy in England, this paper raises the question of the need for
flexible national education standards, to ensure a curriculum can meet the needs
of the changing workforce without the need for constant revision of the
curriculum.
PMID- 29661546
TI - Strategy for the accurate preoperative evaluation of the number of metastatic
axillary lymph nodes in breast cancer.
AB - BACKGROUND: After the ACOSOG Z0011 trial, it became important to evaluate the
number of metastatic axillary lymph nodes (LNs) preoperatively. The purpose of
this paper is to confirm whether the number of metastases can be accurately
diagnosed by preoperative computed tomography (CT), ultrasound sonography (US),
and US-guided fine-needle aspiration cytology (FNAC). METHODS: We retrospectively
analyzed the axillary LNs finding of preoperative CT/US of 470 breast cancer
patients. Metastasis was suspected based on the following findings: LNs with a
long-axis diameter of >=10 mm or a short-axis diameter of >=5 mm on CT, and LNs
with the absence of a fatty hilum, focal cortical thickness or a cortical
thickness >=2 mm on US. We also examined the results of FNAC making a rapid
bedside diagnosis (bedside-FNAC) of 162 LNs that were suspected to metastatic
based on the US findings. RESULTS: On CT, all cases with >=3 LNs with a long-axis
diameter of >=10 mm and a short-axis diameter of >=5 mm had metastasis. However,
there was no relationship between the number of detected LNs and the number of
metastases. On US, 75.7% of LNs with the absence of a fatty hilum and all LNs
with cortical thickness >=6 mm had metastasis. The accuracy of bedside-FNAC for
suspicious LNs was 100%. CONCLUSIONS: Although we can pick up LNs that are likely
to have metastasis on CT/US, it was impossible to accurately predict the number
of metastases on CT/US. However, bedside-FNAC of suspicious LNs could accurately
predict the number of metastases.
PMID- 29661547
TI - Influence of Injection Volume on Rate of Subsequent Intervention in Carpal Tunnel
Syndrome Over 1-Year Follow-Up.
AB - PURPOSE: The optimal volume and dose of corticosteroid injections for treatment
of carpal tunnel syndrome (CTS) have not yet been established. It is unknown
whether the volume of injectate influences the outcome of carpal tunnel
injection. The purpose of this study was to assess whether there is an
association between the volume of injectate and subsequent intervention in the
treatment of CTS. METHODS: This study evaluated residents of Olmsted County, MN,
who were treated with a corticosteroid injection for CTS between 2001 and 2010.
Failure of treatment was the primary outcome, defined as a subsequent
intervention: either a second injection or carpal tunnel release within 1 year of
initial injection. General estimating equations logistic regression was used to
assess the association between injectate volume and rate of treatment failure,
adjusting for age, sex, effective dose of steroid, type of steroid injected,
electrodiagnostic severity, and the presence of comorbidities such as rheumatoid
arthritis, diabetes mellitus, peripheral neuropathy, and radiculopathy. RESULTS:
There were 856 affected hands in 651 patients. A total of 56% (n = 484) of
treated hands received subsequent treatment within 1 year. Multivariable analysis
showed that a larger injectate volume was significantly associated with reduced
rate of treatment failure within 1 year. Rheumatoid arthritis and ultrasound
guided procedures were also associated with a reduced rate of treatment failure,
whereas severe electrodiagnostic results were associated with an increased rate
of failure. CONCLUSIONS: This study showed that a larger volume of corticosteroid
injection is associated with reduced odds of subsequent intervention after a
single corticosteroid injection in CTS. Further research is needed to determine
the optimal volume for steroid injections in the treatment of CTS. TYPE OF
STUDY/LEVEL OF EVIDENCE: Prognostic IV.
PMID- 29661548
TI - Modal space three-state feedback control for electro-hydraulic servo plane
redundant driving mechanism with eccentric load decoupling.
AB - The shaking table based on electro-hydraulic servo parallel mechanism has the
advantage of strong carrying capacity. However, the strong coupling caused by the
eccentric load not only affects the degree of freedom space control precision,
but also brings trouble to the system control. A novel decoupling control
strategy is proposed, which is based on modal space to solve the coupling problem
for parallel mechanism with eccentric load. The phenomenon of strong dynamic
coupling among degree of freedom space is described by experiments, and its
influence on control design is discussed. Considering the particularity of plane
motion, the dynamic model is built by Lagrangian method to avoid complex
calculations. The dynamic equations of the coupling physical space are
transformed into the dynamic equations of the decoupling modal space by using the
weighted orthogonality of the modal main mode with respect to mass matrix and
stiffness matrix. In the modal space, the adjustments of the modal channels are
independent of each other. Moreover, the paper discusses identical closed-loop
dynamic characteristics of modal channels, which will realize decoupling for
degree of freedom space, thus a modal space three-state feedback control is
proposed to expand the frequency bandwidth of each modal channel for ensuring
their near-identical responses in a larger frequency range. Experimental results
show that the concept of modal space three-state feedback control proposed in
this paper can effectively reduce the strong coupling problem of degree of
freedom space channels, which verify the effectiveness of the proposed model
space state feedback control strategy for improving the control performance of
the electro-hydraulic servo plane redundant driving mechanism.
PMID- 29661549
TI - Adaptive nonsingular terminal sliding mode controller for micro/nanopositioning
systems driven by linear piezoelectric ceramic motors.
AB - In the field of nanotechnology, there is a growing demand to provide precision
control and manipulation of devices with the ability to interact with complex and
unstructured environments at micro/nano-scale. As a result, ultrahigh-precision
positioning stages have been turned into a key requirement of nanotechnology. In
this paper, linear piezoelectric ceramic motors (LPCMs) are adopted to drive
micro/nanopositioning stages since they have the ability to achieve high
precision in addition to being versatile to be implemented over a wide range of
applications. In the establishment of a control scheme for such manipulation
systems, the presence of friction, parameter uncertainties, and external
disturbances prevent the systems from providing the desired positioning accuracy.
The work in this paper focuses on the development of a control framework that
addresses these issues as it uses the nonsingular terminal sliding mode technique
for the precise position tracking problem of an LPCM-driven positioning stage
with friction, uncertain parameters, and external disturbances. The developed
control algorithm exhibits the following two attractive features. First, upper
bounds of system uncertainties/perturbations are adaptively estimated in the
proposed controller; thus, prior knowledge about uncertainty/disturbance bounds
is not necessary. Second, the discontinuous signum function is transferred to the
time derivative of the control input and the continuous control signal is
obtained after integration; consequently, the chattering phenomenon, which
presents a major handicap to the implementation of conventional sliding mode
control in real applications, is alleviated without deteriorating the robustness
of the system. The stability of the controlled system is analyzed, and the
convergence of the position tracking error to zero is analytically proven. The
proposed control strategy is experimentally validated and compared to the
existing control approaches.
PMID- 29661550
TI - Persistently-exciting signal generation for Optimal Parameter Estimation of
constrained nonlinear dynamical systems.
AB - This work presents a novel methodology for Sub-Optimal Excitation Signal
Generation and Optimal Parameter Estimation of constrained nonlinear systems. It
is proposed that the evaluation of each signal must also account for the
difference between real and estimated system parameters. However, this metric is
not directly obtained once the real parameter values are not known. The
alternative presented here is to adopt the hypothesis that, if a system can be
approximated by a white box model, this model can be used as a benchmark to
indicate the impact of a signal over the parametric estimation. In this way, the
proposed method uses a dual layer optimization methodology: (i) Inner Level; For
a given excitation signal a nonlinear optimization method searches for the
optimal set of parameters that minimizes the error between the outputs of the
optimized and benchmark models. (ii) At the outer level, a metaheuristic
optimization method is responsible for constructing the best excitation signal,
considering the fitness coming from the inner level, the quadratic difference
between its parameters and the cost related to the time and space required to
execute the experiment.
PMID- 29661551
TI - Control of a manipulator robot by neuro-fuzzy subsets form approach control
optimized by the genetic algorithms.
AB - In this paper, we describe a new form of neuro-fuzzy-genetic controller design
for nonlinear system derived from a manipulator robot. The proposed method
combines fuzzy logic and neuronal networks which are of growing interest in
robotics, the neuro-fuzzy controller does not require the knowledge of the robot
parameters values. Furtheremore, the genetic algorithms (GAs) for complex motion
planning of robots require an evaluation function which takes into account
multiple factors. An optimizing algorithm based on the genetic algorithms is
applied in order to provide the most adequate shape of the fuzzy subsets that are
considered as an interpolation functions. The proposed approach provides a well
learning of the manipulator robot dynamics whatever the assigned task. Simulation
and practical results illustrate the effectiveness of the proposed strategy. The
advantages of the proposed method and the possibilities of further improvements
are discussed.
PMID- 29661552
TI - Skin banking at a regional burns centre-The way forward.
AB - In India approximately 1 million people get burnt every year and most of them are
from the lower or middle income strata. Therefore it is obligatory to find out an
economic way of treatment for the affected populace. Since use of human skin
allograft is the gold standard for the treatment of burn wound, in-house skin
banking for a burn unit hospital is prerequisite to make the treatment procedure
affordable. Although, there was one skin bank at India till 2009, but it was
difficult for a single bank to cover the entire country's need. Looking at the
necessities, National Burns Centre (a tertiary burn care centre) along with
Rotary International and Euro Skin Bank collaborated and developed an effective
cadaveric skin banking model in Mumbai, Maharashtra in 2009. Initial two to three
years were formation phase; by the year 2013 the entire system was organized and
started running full fledged. The model has also been replicated in other states
of India to accommodate the large burn population of the country. This paper
therefore, gives a step by step account of how the bank evolved and its present
status.
PMID- 29661553
TI - Development of a contaminated ischemic porcine wound model and the evaluation of
bromelain based enzymatic debridement.
AB - OBJECTIVES: There are no well accepted animal models of chronic wounds, limiting
advances in understanding and treatment of chronic ulcers. We developed a porcine
wound model which combines multiple factors involved in chronic wounds to create
a contaminated necrotic eschar and evaluated the debriding efficacy of a novel
bromelain based enzymatic debriding agent (EscharEx). METHODS: Contaminated
ischemic wounds were created on the flanks of domestic pigs by 'sandwiching' the
skin between 2 'O' rings (1 placed on the surface of the skin and the other
underneath the skin) for 24h prior to dermatomal excision of the necrotic eschar
and its contamination with Staphylococcus aureus and Candida albicans. After
confirming the development of infected eschars, additional animals were used to
compare the effects of daily application of topical EscharEx or its hydrating
vehicle on eschar debridement as a control. RESULTS: In all cases, application of
the 'O' rings resulted in full thickness necrotic ecshars with invasive
infections, which did not reepithelialize and sloughed off spontaneously within
14-21 days. All wounds reepithelialized within 28-42 days forming contracted
scars. All EscharEx treated eschars were completely debrided within 7-9 days,
while no debridement was evident in eschars treated with the control gel.
CONCLUSIONS: Our model simulates the initial phase of chronic wounds
characterized by a contaminated necrotic eschar allowing evaluation of wound
debriding agents, and that a bromelain-based debriding agent completely debrides
the contaminated necrotic eschars within one week in this model.
PMID- 29661554
TI - Effect of skin graft thickness on scar development in a porcine burn model.
AB - Animal models provide a way to investigate scar therapies in a controlled
environment. It is necessary to produce uniform, reproducible scars with high
anatomic and biologic similarity to human scars to better evaluate the efficacy
of treatment strategies and to develop new treatments. In this study, scar
development and maturation were assessed in a porcine full-thickness burn model
with immediate excision and split-thickness autograft coverage. Red Duroc pigs
were treated with split-thickness autografts of varying thickness: 0.026in.
("thin") or 0.058in. ("thick"). Additionally, the thin skin grafts were meshed
and expanded at 1:1.5 or 1:4 to evaluate the role of skin expansion in scar
formation. Overall, the burn-excise-autograft model resulted in thick, raised
scars. Treatment with thick split-thickness skin grafts resulted in less
contraction and reduced scarring as well as improved biomechanics. Thin skin
autograft expansion at a 1:4 ratio tended to result in scars that contracted more
with increased scar height compared to the 1:1.5 expansion ratio. All treatment
groups showed Matrix Metalloproteinase 2 (MMP2) and Transforming Growth Factor
beta1 (TGF-beta1) expression that increased over time and peaked 4 weeks after
grafting. Burns treated with thick split-thickness grafts showed decreased
expression of pro-inflammatory genes 1 week after grafting, including insulin
like growth factor 1 (IGF-1) and TGF-beta1, compared to wounds treated with thin
split-thickness grafts. Overall, the burn-excise-autograft model using split
thickness autograft meshed and expanded to 1:1.5 or 1:4, resulted in thick,
raised scars similar in appearance and structure to human hypertrophic scars.
This model can be used in future studies to study burn treatment outcomes and new
therapies.
PMID- 29661555
TI - Directly quantified dietary n-3 fatty acid intakes of Canadian toddlers are lower
than current dietary recommendations.
AB - To date, few studies have evaluated the intake of dietary n-3 polyunsaturated
fatty acids (PUFA) in young North American children and current estimates are
based on indirect approaches which have concerning limitations. Furthermore,
there is a lack of available knowledge regarding the proportion of children
meeting current dietary recommendations for the consumption of long-chain n-3
PUFA as alpha-linolenic acid (ALA) and eicosapentaenoic acid
(EPA)/docosahexaenoic acid (DHA). The objective of the present study was to
directly quantify the intake of n-3 PUFA in toddlers aged 2 to 3 years and
determine if intakes met international recommendations. Given the low intakes of
fish in North America, we predicted that n-3 PUFA intakes in toddlers would fall
short of recommended intakes. Duplicated diets were collected from 20 Canadian
children over a 3-day period. Diets were then directly analyzed by gas
chromatography. Daily intakes (means +/- SEM) of ALA, EPA, and DHA were as
follows: 710.1 +/- 69.7, 9.6 +/- 2.9, and 19.2 +/- 6.8 mg/d, respectively.
Compared with North American dietary reference intakes, 45% of our children met
the minimal recommended intake of ALA, whereas only 5% consumed the target intake
of EPA plus DHA. These results indicate that Canadian children aged 2 to 3 years
struggle to consume adequate intakes of the n-3 PUFA ALA and particularly
EPA/DHA; efforts to narrow this gap should focus on increasing EPA and DHA
intakes by appropriate fish/seafood consumption along with enriched foods or
supplements if necessary.
PMID- 29661556
TI - Gastric Burkitt's lymphoma: A rare entity.
PMID- 29661557
TI - A new therapy prevents intellectual disability in mouse with phenylketonuria.
AB - Untreated phenylketonuria (PKU) results in severe neurodevelopmental disorders,
which can be partially prevented by an early and rigorous limitation of
phenylalanine (Phe) intake. Enzyme substitution therapy with recombinant Anabaena
variabilis Phe Ammonia Lyase (rAvPAL) proved to be effective in reducing blood
Phe levels in preclinical and clinical studies of adults with PKU. Aims of
present study were: a) to gather proofs of clinical efficacy of rAvPAL treatment
in preventing neurological impairment in an early treated murine model of PKU; b)
to test the advantages of an alternative delivering system for rAvPAL such as
autologous erythrocytes. BTBR-Pahenu2-/- mice were treated from 15 to 64 post
natal days with weekly infusions of erythrocytes loaded with rAvPAL. Behavioral,
neurochemical, and brain histological markers denoting untreated PKU were
examined in early treated adult mice in comparison with untreated and wild type
animals. rAvPAL therapy normalized blood and brain Phe; prevented cognitive
developmental failure, brain depletion of serotonin, dendritic spine
abnormalities, and myelin basic protein reduction. No adverse events or
inactivating immune reaction were observed. In conclusion present study testifies
the clinical efficacy of rAvPAL treatment in a preclinical model of PKU and the
advantages of erythrocytes as carrier of the enzyme in term of frequency of the
administrations and prevention of immunological reactions.
PMID- 29661558
TI - Genetic assessment and folate receptor autoantibodies in infantile-onset cerebral
folate deficiency (CFD) syndrome.
AB - INTRODUCTION: Cerebral folate deficiency (CFD) syndromes are defined as neuro
psychiatric conditions with low CSF folate and attributed to different causes
such as autoantibodies against the folate receptor-alpha (FR) protein that can
block folate transport across the choroid plexus, FOLR1 gene mutations or
mitochondrial disorders. High-dose folinic acid treatment restores many
neurologic deficits. STUDY AIMS AND METHODS: Among 36 patients from 33 families
the infantile-onset CFD syndrome was diagnosed based on typical clinical features
and low CSF folate. All parents were healthy. Three families had 2 affected
siblings, while parents from 4 families were first cousins. We analysed serum FR
autoantibodies and the FOLR1 and FOLR2 genes. Among three consanguineous families
homozygosity mapping attempted to identify a monogenetic cause. Whole exome
sequencing (WES) was performed in the fourth consanguineous family, where two
siblings also suffered from polyneuropathy as an atypical finding. RESULTS: Boys
(72%) outnumbered girls (28%). Most patients (89%) had serum FR autoantibodies
fluctuating over 5-6 weeks. Two children had a genetic FOLR1 variant without
pathological significance. Homozygosity mapping failed to detect a single
autosomal recessive gene. WES revealed an autosomal recessive polynucleotide
kinase 3'phosphatase (PNKP) gene abnormality in the siblings with polyneuropathy.
DISCUSSION: Infantile-onset CFD was characterized by serum FR autoantibodies as
its predominant pathology whereas pathogenic FOLR1 gene mutations were absent.
Homozygosity mapping excluded autosomal recessive inheritance of any single
responsible gene. WES in one consanguineous family identified a PNKP gene
abnormality that explained the polyneuropathy and also its contribution to the
infantile CFD syndrome because the PNKP gene plays a dual role in both
neurodevelopment and immune-regulatory function. Further research for candidate
genes predisposing to FRalpha-autoimmunity is suggested to include X-chromosomal
and non-coding DNA regions.
PMID- 29661559
TI - Stickler syndrome in children: a radiological review.
AB - AIM: To review the radiological findings of the largest cohort to date of
paediatric patients with Stickler syndrome, all with confirmed molecular genetic
analysis and sub-typing. PATIENTS AND METHODS: It is understood that the National
Health Service (NHS) commissioned service at Addenbrookes Hospital, Cambridge, UK
has the largest cohort of Stickler syndrome patients in the paediatric age group
worldwide with 240 registered children. Fifty-nine were assessed radiologically
and for their genotypes. These radiographs were reviewed and 74 knee, 45 pelvic,
and 47 spinal examinations were evaluated. RESULTS: Radiological features were
noted in 45.9% of knee radiographs, 11.1% of pelvic radiographs, and 42.6% of
spinal radiographs. The findings were reviewed in the light of each patient's
specific genetic Stickler syndrome subtype. CONCLUSION: The prevalence of
orthopaedic abnormalities overall in the present series is substantially below
those published in previous smaller case series. This would support the more
recent findings of an array of ocular only phenotypes of Stickler syndrome
described in the literature.
PMID- 29661560
TI - Observational Prospective Natural History of Patients with Sanfilippo Syndrome
Type B.
AB - OBJECTIVE: To evaluate the natural course of disease progression in patients with
Sanfilippo syndrome type B (mucopolysaccharidosis type IIIB), identify potential
end points for future therapy trials, and characterize biomarkers related to the
disease. STUDY DESIGN: A prospective, multicenter study was conducted. Baseline,
6-month, and 12-month assessments included neurodevelopmental status (Bayley
Scales of Infant Development, Third edition), adaptive status (Vineland Adaptive
Behavior Scales, Second Edition), volumetric brain magnetic resonance imaging,
cerebrospinal fluid heparan sulfate, and urine glycosaminoglycan (GAG)
measurements. RESULTS: Nineteen patients aged 1.6-31.7 years were enrolled. Over
12 months, cognition, adaptive behavior, and cortical gray matter volume (GMV)
declined in most patients. For patients diagnosed at <6 years, although there was
no overall mean change over 12 months, there were 10%-48%, 3%-66%, and 1%-14%
decreases in cognitive development quotient score, Vineland Adaptive Behavior
Scales, Second Edition development quotient score, and cortical GMV in 8/12,
9/11, and 10/11 patients, respectively. Mean urine GAG and cerebrospinal fluid
heparan sulfate levels were stable, but patients diagnosed at <6 years (n = 14)
had higher levels than those >=6 years at diagnosis (n = 4), which was likely
associated with age as they also were generally younger. CONCLUSIONS: Cognition,
adaptive behavior, and cortical GMV measures sensitively tracked deterioration in
patients with mucopolysaccharidosis type IIIB aged <=8.6 years. Biomarkers may
have prognostic value, but their sensitivity to disease progression requires
further investigation. These findings should help evaluate enzyme replacement and
gene therapy agents for this rare, devastating, neurodegenerative disease. TRIAL
REGISTRATION: ClinicalTrials.gov: NCT01509768.
PMID- 29661562
TI - Initial Metabolic Profiles Are Associated with 7-Day Survival among Infants Born
at 22-25 Weeks of Gestation.
AB - OBJECTIVE: To evaluate the association between early metabolic profiles combined
with infant characteristics and survival past 7 days of age in infants born at 22
25 weeks of gestation. STUDY DESIGN: This nested case-control consisted of 465
singleton live births in California from 2005 to 2011 at 22-25 weeks of
gestation. All infants had newborn metabolic screening data available. Data
included linked birth certificate and mother and infant hospital discharge
records. Mortality was derived from linked death certificates and death discharge
information. Each death within 7 days was matched to 4 surviving controls by
gestational age and birth weight z score category, leaving 93 cases and 372
controls. The association between explanatory variables and 7-day survival was
modeled via stepwise logistic regression. Infant characteristics, 42 metabolites,
and 12 metabolite ratios were considered for model inclusion. Model performance
was assessed via area under the curve. RESULTS: The final model included 1
characteristic and 11 metabolites. The model demonstrated a strong association
between metabolic patterns and infant survival (area under the curve [AUC] 0.885,
95% CI 0.851-0.920). Furthermore, a model with just the selected metabolites
performed better (AUC 0.879, 95% CI 0.841-0.916) than a model with multiple
clinical characteristics (AUC 0.685, 95% CI 0.627-0.742). CONCLUSIONS: Use of
metabolomics significantly strengthens the association with 7-day survival in
infants born extremely premature. Physicians may be able to use metabolic
profiles at birth to refine mortality risks and inform postnatal counseling for
infants born at <26 weeks of gestation.
PMID- 29661563
TI - Pharmacists in humanitarian crisis settings: Assessing the impact of pharmacist
delivered home medication management review service to Syrian refugees in Jordan.
AB - BACKGROUND: Refugees all over the world are facing several health-related
problems. Chronic diseases among Syrian refugees in Jordan are high. The Home
Medication Management Review (HMMR) service could be ideal to optimize refugees'
health management. OBJECTIVES: To assess the impact of the HMMR service on the
type and frequency of Treatment Related Problems (TRPs) among Syrian refugees
living in Jordan. METHODS: This prospective randomized single blinded
intervention-control study was conducted in three main cities in Jordan, between
May and October 2016. Syrian refugees with chronic conditions were recruited and
randomized into intervention and control groups. The HMMR service was conducted
for all patients to identify TRPs at baseline. Data were collected via two home
visits for all study participants. Clinical pharmacist's recommendations were
written in a letter format to the physicians managing the patients in the
intervention group only. Physicians' approved recommendations were conveyed to
the patients via the pharmacist. Interventions at the patient level were
delivered by the pharmacist directly. Patients were reassessed for their TRPs and
satisfaction 3 months after baseline. RESULTS: Syrian refugees (n = 106) were
recruited with no significant differences between the intervention (n = 53) and
control groups (n = 53). A total of 1141 TRPs were identified for both groups at
baseline, with a mean number of 10.8 +/- 4.2 TRPs per patient. At follow-up,
there was a significant decrease in the number of TRPs among the intervention
group (P < 0.001, paired sample t-test) but not among the control group (P =
0.116). Physicians' approval rate of the pharmacist's recommendations was high
(82.9%), and more than 70.0% of refugees in the intervention group reported high
satisfaction with the HMMR service. CONCLUSION: Identified TRPs are high amongst
Syrian refugees living in Jordan. The HMMR service significantly reduced the
number of TRPs, and was highly accepted by the physicians. Refugees reported high
satisfaction with this service.
PMID- 29661564
TI - A new technique for hepatic parenchymal transection using an articulating bipolar
5 cm radiofrequency device: results from the first 100 procedures.
AB - BACKGROUND: Parenchymal transection(PT) still remains a challenge in liver
resection. The outcomes of the first experience of a novel vessel-sealer for
hepatic transection were assessed. METHODS: A bipolar articulating vessel-sealer
(Caiman(r), Aesculap Inc., Center Valley, PA) was used in 100 liver resections
through both open (OLR) and laparoscopic (LLR) approaches. All data were
prospectively collected into an IRB-approved department database, and clinical,
surgical and perioperative parameters were analyzed. RESULTS: Fifty patients
underwent OLR and 50 patients underwent LLR. Eighty hepatectomies were performed
for malignancy. Median number of tumors was 1, with the largest focus measuring
an average of 5.1 cm. Forty-nine of the procedures were major liver resections.
Parenchymal transection time was 29.9 +/- 3.1 min in OLR and 29.9 +/- 3.6 min in
LLR. Median estimated blood loss was 300 cc (Inter-quartile range (IQR) 100-575
cc). Median hospital stay was 6 days for open and 3 days for laparoscopic
procedures. Ninety-day complication rate was 8% without any mortality. Bile leak
rate was 4%. Staplers were used for parenchymal transection in 16 cases.
CONCLUSION: This study introduces a new multifunctional device into the
armamentarium of the liver surgeon. In our experience, this device facilitated
the parenchymal transection by adding speed and consolidating the amount of
instrumentation used in liver resection without increasing complications.
PMID- 29661565
TI - Fetal growth restriction in twins.
AB - Twin pregnancies are more likely to be affected by fetal growth restriction
(FGR), preterm birth and perinatal loss. The management of fetal growth
restriction in multiple pregnancy, particularly where only one fetus is affected
is complicated by the need to consider the interests of both twins. Selective
growth restriction in monochorionic (MC) twins is a pathophysiological process
distinct from FGR in dichorionic (DC) pregnancies and management demands an
understanding of the monochorionic placental structure and interdependent fetal
circulations. The introduction of fetal therapy has introduced a range of
potential interventions for the antenatal management of sFGR including selective
fetal reduction and laser photocoagulation of the placental communicating
vessels. This review summarizes up to date evidence on diagnosis, classification
and management of sFGR and considers research directions likely to be of benefit
in the future.
PMID- 29661566
TI - Lichen Planopilaris and Frontal Fibrosing Alopecia as Model Epithelial Stem Cell
Diseases.
AB - Inflammation-associated, irreversible damage to epithelial stem cells (eSCs) of
the hair follicle in their immunologically privileged niche lies at the heart of
scarring alopecia, which causes permanent difficult-to-treat hair loss. We
propose that the two most common and closely related forms, lichen planopilaris
(LPP) and frontal fibrosing alopecia (FFA), provide excellent model diseases for
studying the biology and pathology of adult human eSCs in an easily accessible
human mini-organ. Emphasising the critical roles for interferon (IFN)-gamma and
peroxisome proliferator-activated receptor (PPAR)-gamma-mediated signalling in
immune privilege (IP) collapse and epithelial-mesenchymal transition (EMT) of
these eSCs respectively, we argue that these pathways deserve therapeutic
targeting in the future management of LPP/FFA and other eSC diseases associated
with IP collapse and EMT.
PMID- 29661561
TI - Clinically Actionable Hypercholesterolemia and Hypertriglyceridemia in Children
with Nonalcoholic Fatty Liver Disease.
AB - OBJECTIVE: To determine the percentage of children with nonalcoholic fatty liver
disease (NAFLD) in whom intervention for low-density lipoprotein cholesterol or
triglycerides was indicated based on National Heart, Lung, and Blood Institute
guidelines. STUDY DESIGN: This multicenter, longitudinal cohort study included
children with NAFLD enrolled in the National Institute of Diabetes and Digestive
and Kidney Diseases Nonalcoholic Steatohepatitis Clinical Research Network.
Fasting lipid profiles were obtained at diagnosis. Standardized dietary
recommendations were provided. After 1 year, lipid profiles were repeated and
interpreted according to National Heart, Lung, and Blood Institute Expert Panel
on Integrated Guidelines for Cardiovascular Health and Risk Reduction. Main
outcomes were meeting criteria for clinically actionable dyslipidemia at
baseline, and either achieving lipid goal at follow-up or meeting criteria for
ongoing intervention. RESULTS: There were 585 participants, with a mean age of
12.8 years. The prevalence of children warranting intervention for low-density
lipoprotein cholesterol at baseline was 14%. After 1 year of recommended dietary
changes, 51% achieved goal low-density lipoprotein cholesterol, 27% qualified for
enhanced dietary and lifestyle modifications, and 22% met criteria for
pharmacologic intervention. Elevated triglycerides were more prevalent, with 51%
meeting criteria for intervention. At 1 year, 25% achieved goal triglycerides
with diet and lifestyle changes, 38% met criteria for advanced dietary
modifications, and 37% qualified for antihyperlipidemic medications. CONCLUSIONS:
More than one-half of children with NAFLD met intervention thresholds for
dyslipidemia. Based on the burden of clinically relevant dyslipidemia, lipid
screening in children with NAFLD is warranted. Clinicians caring for children
with NAFLD should be familiar with lipid management.
PMID- 29661567
TI - Geriatric traumatic brain injury: An old challenge.
PMID- 29661568
TI - Cultivated bacterial diversity associated with the carnivorous plant Utricularia
breviscapa (Lentibulariaceae) from floodplains in Brazil.
AB - Carnivorous plant species, such as Utricularia spp., capture and digest prey.
This digestion can occur through the secretion of plant digestive enzymes and/or
by bacterial digestive enzymes. To comprehend the physiological mechanisms of
carnivorous plants, it is essential to understand the microbial diversity related
to these plants. Therefore, in the present study, we isolated and classified
bacteria from different organs of Utricularia breviscapa (stolons and utricles)
and from different geographic locations (Sao Paulo and Mato Grosso). We were able
to build the first bacterium collection for U. breviscapa and study the diversity
of cultivable bacteria. The results show that U. breviscapa bacterial diversity
varied according to the geographic isolation site (Sao Paulo and Mato Grosso) but
not the analyzed organs (utricle and stolon). We reported that six genera were
common to both sample sites (Sao Paulo and Mato Grosso). These genera have
previously been reported to be beneficial to plants, as well as related to the
bioremediation process, showing that these isolates present great
biotechnological and agricultural potential. This is the first report of an
Acidobacteria isolated from U. breviscapa. The role of these bacteria inside the
plant must be further investigated in order to understand their population
dynamics within the host.
PMID- 29661569
TI - Analysis of GWAS-linked variants in multiple system atrophy.
AB - A recent genome-wide association study performed in European population
identified 4 potentially interesting gene loci of multiple system atrophy (MSA),
including the EDN1 rs16872704, MAPT rs9303521, FBXO47 rs78523330, and ELOVL7
rs7715147. Because of the genetic heterogeneity, we aimed to explore the possible
genetic association between above 4 single nucleotide polymorphisms (SNPs) and
MSA in Chinese Han population from Mainland China, Taiwan, and Singapore. A total
of 1847 subjects comprising 906 MSA patients and 941 unrelated healthy controls
were genotyped by directly sequencing for these SNPs. No significant differences
in the genotype distributions, minor allele frequency of EDN1 rs16872704, MAPT
rs9303521, FBXO47 rs78523330, and ELOVL7 rs7715147 between MSA patients and
healthy controls, and between subtypes of MSA patients (MSA-C and MSA-P), were
found. In conclusion, we demonstrated that genome-wide association study-linked
SNPs in Caucasians do not confer a significant risk for MSA in the Chinese
population.
PMID- 29661570
TI - Is hip strengthening the best treatment option for females with patellofemoral
pain? A randomized controlled trial of three different types of exercises.
AB - OBJECTIVE: To evaluate the effect of three types of exercise intervention in
patients with patellofemoral pain and to verify the contributions of each
intervention to pain control, function, and lower extremity kinematics. METHODS:
A randomized controlled, single-blinded trial was conducted. Forty women with
patellofemoral pain were randomly allocated into four groups: hip exercises,
quadriceps exercises, stretching exercises and a control group (no intervention).
Pain (using a visual analog scale), function (using the Anterior Knee Pain
Scale), hip and quadriceps strength (using a handheld isometric dynamometer) and
measuring lower limb kinematics during step up and down activities were evaluated
at baseline and 8 weeks post intervention. RESULTS: All treatment groups showed
significant improvements on pain and Anterior Knee Pain Scale after intervention
with no statistically significant differences between groups except when compared
to the control group. Only hip and quadriceps groups demonstrated improvements in
muscle strength and knee valgus angle during the step activities. CONCLUSION: Hip
strengthening exercises were not more effective for pain relief and function
compared to quadriceps or stretching exercises in females with patellofemoral
pain. Only hip and quadriceps groups were able to decrease the incidence of
dynamic valgus during step-down activity. This study was approved by Brazilian
Clinical Trials Registry registration number: RBR-6tc7mj
(http://www.ensaiosclinicos.gov.br/rg/RBR-6tc7mj/).
PMID- 29661571
TI - Drug-related homicide in Europe-First review of data and sources.
AB - BACKGROUND: Drugs can act as facilitators for all types of violence, including
drug-related homicide (DRH). Addressing this phenomenon is not only of importance
given the severity of a homicide event and its high costs on society, but also
because DRH has the potential to act as a valuable indicator or proxy of wider
drug-related violent crime. However, there appears to be an important gap in
terms of available European data on DRH. This study aimed to identify relevant
European data sources on DRH, to assess the role of drugs in national homicide
data, and to assess these sources and data in terms of monitoring potential.
METHODS: A critical review was conducted of existing national and international
homicide data sources. A three-step approach was adopted, including systematic
searches for data sources and literature, snowballing methods, and contacting
professionals. RESULTS: Data on DRH is systematically prepared in the Czech
Republic, Denmark, Finland, Germany, Italy, the Netherlands, Norway, Slovakia,
Sweden, and the United Kingdom (England, Wales, and Scotland). Available data
suggests both between- and within country variability in relation to the role of
drugs in homicide events. Based on these findings, four key obstacles can be
identified in terms of the current ability to monitor DRH: missing data,
fragmented data, comparability issues and data quality reservations. CONCLUSION:
To overcome these obstacles, there is a need for an international monitoring
system that incorporates DRH. Ideally, the system should employ a single shared
definition, standardised terminology, one coordinating body, and the use of
multiple data sources. There are several approaches towards such a system,
notably expanding the European Homicide Monitor (EHM) framework. Options should
be explored to incorporate DRH into this existing and growing monitoring system.
PMID- 29661572
TI - Chemsex and mental health as part of syndemic in gay and bisexual men.
AB - A commentary is provided on the study reported by Garrett Prestage and his
colleagues, which examined the relations between mental health states, non
injection drug use and sexual risk behaviors in a cross-sectional sample of
3,017Australian gay and bisexual men. We provide a summary of the findings in
relation to the extant literature on the interconnectedness of these behaviors
and health states and interpret the findings in this regard, noting both
strengths and limitations. We couch our commentary in a theory of syndemics for
considering how these associations may manifest and for informing both research
and practice. While the data from this investigation posit risk they also point
to strength and suggest the application of a resilience framework for addressing
the health needs of gay and bisexual men.
PMID- 29661574
TI - Letter to the Editor.
PMID- 29661573
TI - Outcomes following laparoscopic versus open surgery for pediatric inguinal hernia
repair: Analysis using a national inpatient database in Japan.
AB - BACKGROUND: This study compared perioperative outcomes between laparoscopic
surgery (LS) and open surgery (OS) for pediatric inguinal hernia repair, using a
national inpatient database. METHODS: Using the Diagnosis Procedure Combination
database in Japan, we compared duration of anesthesia, postoperative
complications, recurrence, and metachronous hernia (MH) between LS and OS for
children undergoing inguinal hernia repair from July 2010 to March 2016. We used
multivariable logistic regression analysis for postoperative complications and
Cox regression analysis for recurrence. RESULTS: For 75,486 eligible patients (LS
20,186 vs. OS 55,300), the median follow-up was 815 (381-1350) days in LS and
1106 (576-1603) days in OS. The duration of anesthesia was significantly longer
in LS than in OS for unilateral surgery (80 vs. 70min, p<0.001) but shorter for
bilateral surgery (86 vs. 96min, p<0.001). LS had a lower proportion of MH than
OS (0.3% vs. 3.4%, p<0.001). There was no significant difference between LS and
OS in complications (odds ratio: 0.55; 95% confidence interval: 0.22-1.38;
p=0.20) or recurrence (hazard ratio: 1.24; 95% confidence interval: 0.86-1.79;
p=0.89). CONCLUSIONS: LS patients had lower proportions of MH than OS patients.
Complications and recurrence did not differ significantly between LS and OS. TYPE
OF STUDY: Retrospective study. LEVELS OF EVIDENCE: Level III.
PMID- 29661575
TI - Outcomes of laparoscopic resection of Meckel's diverticulum are equivalent to
open laparotomy.
AB - PURPOSE: Meckel's diverticulum (MD) is a common congenital anomaly caused by
failure of involution of the omphalomesenteric duct. Enthusiasm for minimally
invasive surgery (MIS) in children has burgeoned as technologies have advanced,
but the outcomes of laparoscopic resection in comparison to open laparotomy for
MD remain poorly defined. We queried a large national database to compare current
practice patterns and clinical outcomes between surgical approaches for MD in the
pediatric population. METHODS: The National Surgical Quality Improvement Program
Pediatric (NSQIP-Ped) database was queried for patients undergoing surgical
intervention for MD (2011-2014). Patients were stratified by surgical approach.
Baseline characteristics, intraoperative variables, and perioperative
complications were compared by univariate analysis using Pearson's chi2 test for
categorical variables and Kruskall-Wallis test for continuous variables. Primary
outcomes of interest were length of stay (LOS), rate of readmission, and 30-day
mortality. Secondary outcomes included operative time, anesthesia time,
postoperative complications, and rates of reoperation. RESULTS: A total of 148
cases of MD were identified, of which 73 (49.3%) were initially managed with a
laparoscopic approach and 75 (50.7%) were managed with an open approach. We found
a high rate of conversion from laparoscopy to an open approach (20/73 or 27.4%).
The median age of the laparoscopic group was higher than the open group (8.3 vs.
2.5years, p<0.001). Operative and anesthesia time, LOS, 30-day mortality, post
operative complications, and rates of reoperation and readmission were similar
between groups (all p>0.05). CONCLUSION: Nearly half of all resections for MD in
children are now approached laparoscopically. This approach has equivalent
outcomes to traditional open laparotomy. More widespread use of a hybrid approach
with laparoscopy and exteriorization of the small bowel through an extended port
site may facilitate avoiding open laparotomy. Routine conversion to open for
palpation of the MD or segmental small bowel resection should be avoided in the
absence of compelling intra-operative findings or operative complications. LEVEL
OF EVIDENCE: Level III (retrospective comparative study).
PMID- 29661577
TI - What matters in a transferable neural network model for relation classification
in the biomedical domain?
AB - A lack of sufficient labeled data often limits the applicability of advanced
machine learning algorithms to real life problems. However, the efficient use of
transfer learning (TL) has been shown to be very useful across domains. TL make
use of valuable knowledge learned in one task (source task), where sufficient
data is available, in order to improve performance on the task of interest
(target task). In the biomedical and clinical domain, a lack of sufficient
training data means that machine learning models cannot be fully exploited. In
this work, we present two unified recurrent neural models leading to three
transfer learning frameworks for relation classification tasks. We systematically
investigate the effectiveness of the proposed frameworks in transferring
knowledge from a source task to a target task when the characteristics of the
source data vary, such as similarity or relatedness between the source and target
tasks, and the size of training data for the source task. Our empirical results
show that the proposed frameworks, in general, improve the model performance.
However, these improvements do depend on characteristics of source and target
tasks. This dependence then finally determine the choice of a particular TL
framework.
PMID- 29661576
TI - Treatment of experimental necrotizing enterocolitis with stem cell-derived
exosomes.
AB - PURPOSE: Necrotizing enterocolitis (NEC) remains a devastating disease in
premature infants. We previously showed that four stem cell (SC) types
equivalently improve experimental NEC. Exosomes are intercellular nanovesicles
containing RNA, miRNA, DNA, and protein. Because SC therapy faces challenges, our
aim was to determine if the beneficial effects of SC are achievable with cell
free exosomes. METHODS: Exosomes from four SC types were compared: (1) amniotic
fluid-derived mesenchymal SC (AF-MSC); (2) bone marrow-derived MSC (BM-MSC); (3)
amniotic fluid-derived neural SC (AF-NSC); and (4) neonatal enteric NSC (E-NSC).
Rat pups exposed to NEC received a varying concentration of a single type of
exosome with control pups receiving PBS only. Intestinal damage was graded
histologically. RESULTS: The incidence of NEC was 0% in unstressed pups and 60.7%
in control pups subjected to NEC. Exosomes (4.0*108) reduced NEC incidence to: AF
MSC 25.0%; BM-MSC 23.1%; AF-NSC 11.1%; E-NSC 27.3%. When administered at a
concentration of at least 4.0*108, all groups demonstrated a significant
reduction in NEC compared to untreated pups. At this minimum concentration, there
was no difference in treatment efficacy between exosomes and the SC from which
they were derived. CONCLUSION: Stem cell-derived exosomes reduce the incidence
and severity of experimental NEC as effectively as the stem cells from which they
are derived, supporting the potential for novel cell-free exosome therapy for
NEC. TYPE OF STUDY: Basic science.
PMID- 29661578
TI - Optimization of the etch-and-rinse technique: New perspectives to improve resin
dentin bonding and hybrid layer integrity by reducing residual water using
dimethyl sulfoxide pretreatments.
AB - OBJECTIVE: To determine whether bonding effectiveness and hybrid layer integrity
on acid-etched dehydrated dentin would be comparable to the conventional wet
bonding technique through new dentin biomodification approaches using dimethyl
sulfoxide (DMSO). METHODS: Etched dentin surfaces from extracted sound molars
were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol
or DMSO/H2O as pretreatments using a simplified (Scotchbond Universal Adhesive,
3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP)
etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded
teeth (n=8) were stored in distilled water for 24h and sectioned into resin
dentin beams (0.8mm2) for microtensile bond strength test and quantitative
interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were
prepared for micropermeability assessment by CFLSM under simulated pulpar
pressure (20cm H2O) using 5mM fluorescein as a tracer. Microtensile data was
analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis
and Dunn-Bonferroni multiple comparison test (alpha=0.05). RESULTS: While dry
bonding of SBMP produced significantly lower bond strengths than wet-bonding
(p<0.05), DMSO/H2O and DMSO/ethanol produced significantly higher bond strengths
for SBMP irrespective of dentin condition (p<0.05). SU presented significantly
higher nanoleakage levels (p<0.05) and micropermeability than SBMP. Improvement
in hybrid layer integrity occurred for SBMP and SU for both pretreatments, albeit
most pronouncedly for DMSO/ethanol regardless of dentin moisture. CONCLUSION:
DMSO pretreatments may be used as a new suitable strategy to improve bonding of
water-based adhesives to demineralized air-dried dentin beyond conventional wet
bonding. Less porous resin-dentin interfaces with higher bond strengths on air
dried etched dentin were achieved; nonetheless, overall efficiency varied
according to DMSO's co-solvent and adhesive type. CLINICAL SIGNIFICANCE: DMSO
pretreatments permit etched dentin to be air-dried before hybridization
facilitating residual water removal and thus improving bonding effectiveness.
This challenges the current paradigm of wet-bonding requirement for the etch-and
rinse approach creating new possibilities to enhance the clinical longevity of
resin-dentin interfaces.
PMID- 29661579
TI - Effect of acid etching on tridimensional microstructure of etchable CAD/CAM
materials.
AB - OBJECTIVE: Evaluate if etching protocols affect superficial/internal
microstructural integrity of CAD/CAM ceramic materials. METHODS: Sixty blocks
(3*3*3mm) of IPS/Empress-LEU, IPS/e.max-LDC (Ivoclar-Vivadent) and Enamic-PIC
(VITA) were used. Lateral surfaces from each block were isolated with Teflon
strip and petroleum jelly to keep them untouched. Specimens were distributed into
6 groups (n=10): 1. no treatment (C); 2. hydrofluoric acid (HF) 5%, 20s
(HF5%20s); 3. HF5%60s; 4. HF10%20s; 5. HF10%60s; 6. Monobond Etch&Prime (MBEP).
Surface roughness (Sa) and 3D profile were obtained using a confocal-laser
optical-microscope (LEXT OLS 4000, Olympus), while element ratios (Si/K for LEU
and LDC; Si/C for PIC) were recorded using energy dispersive spectroscopy (EDS).
Superior (treated) and lateral (non-treated) surfaces were analyzed using
scanning electron microscopy (SEM) (JSM 5600 LV, JEOL). Etching depth was
measured on lateral surfaces. Data were submitted to ANOVA-One-Way and Tukey test
(alpha=0.05). RESULTS: For LEU, only HF10% treatments produced statistically
different roughness values and Si/K ratios compared to C group. Regarding LDC and
PIC, groups HF5%60s and HF10% showed higher roughness values than C group. In the
case of PIC, all treatments (except MBEP) produced lower Si/C ratios than C
group. All treatments (except MBEP) produced higher etching depth values than C
group for all materials, being HF10%60s the highest (LEU:403.2+/-11.4MUm;
LDC:617.4+/-75.7; PIC:291.6+/-6.5MUm). HF10% produced more aggressive etching
morphology patterns on superior and lateral surfaces (SEM). Treatments MBEP and
HF5%20s, produced the least aggressive structural alterations. Acid etching
produces superficial and internal alterations on ceramics' structural
configuration. SIGNIFICANCE: Aggressive etching protocols of glass-ceramics may
cause internal material loss, consequently, milder etching is recommended to
treat those materials before adhesion procedures.
PMID- 29661580
TI - Preparation and characterization of Bis-GMA-free dental composites with
dimethacrylate monomer derived from 9,9-Bis[4-(2-hydroxyethoxy)phenyl]fluorene.
AB - OBJECTIVE: Synthesize a new BPA-free monomer for use in methacrylate-based
materials and evaluate critical properties of resin and composite materials based
on the monomer. METHODS: Bis-EFMA was synthesized through reaction between 9,9
bis[4-(2-hydroxyethoxy)-phenyl]fluorene and 2-(methacryloyloxy)ethyl isocyanate.
Experimental Bis-EFMA-based resin (Bis-EFMA/TEGDMA=50/50, wt./wt.) and composite
were prepared. Critical properties were investigated according to standard or
referenced methods Bis-GMA/TEGDMA (50/50, wt./wt.) resin system, Bis-GMA-based
composite and 3M ESPE FiltekTM Z250 were used as controls. RESULTS: FT-IR and 1H
NMR spectra confirmed the structure of Bis-EFMA monomer. Cured resin materials:
Bis-EFMA-based and Bis-GMA-based resins had nearly the same degree of conversion
(p>0.05); Bis-EFMA-based resin had significantly lower shrinkage, water sorption
and solubility, and cytotoxicity than Bis-GMA-based resin (p<0.05); flexural
properties of Bis-EFMA-based resin were all higher than those of Bis-GMA-based
resin (p<0.05). Cured composite materials: There was no significant difference in
conversion (p>0.05); Bis-EFMA-based composite had significantly lower shrinkage
and solubility (p<0.05); water sorption of Bis-EFMA-based composite and Z250 were
similar (p>0.05), but lower compared to Bis-GMA-based composite (p<0.05); Bis
EFMA-based composite had the deepest curing depth (p<0.05); Before water
immersion, there was no significant difference in flexural strength between Bis
EFMA-based composite and each control composite (p>0.05), while FS became lower
than that of Z250 (p<0.05), but higher than that of Bis-GMA-based composite
(p<0.05) after water immersion; Flexural modulus of Bis-EFMA-based composite and
Z250 were nearly the same (p>0.05), higher than that of Bis-GMA-based composite
(p<0.05); Bis-EFMA-based composite showed less cytotoxicity than Bis-GMA-based
composite and Z250 (p<0.05). SIGNIFICANCE: Bis-EFMA has potential as a substitute
for Bis-GMA to prepare Bis-GMA-free dental composites.
PMID- 29661581
TI - Typhoid vaccines: WHO position paper, March 2018 - Recommendations.
AB - This article presented the World Health Organization's (WHO) recommendations on
the use of Typhoid vaccines excerpted from the Typhoid vaccines: WHO position
paper - March 2018 published in the Weekly Epidemiological Record (World Health
Organization, 2018) [1]. This position paper replaces the 2008 WHO position paper
on typhoid vaccines (WHO, 2008) [2]. It re-emphasizes the importance of
vaccination to control typhoid fever and presents the WHO recommendations on the
use of a new generation of typhoid conjugate vaccines. Footnotes to this paper
provide a number of core references including references to grading tables that
assess the quality of the scientific evidence, and to the evidence-to
recommendation tables. In accordance with its mandate to provide guidance to
Member States on health policy matters, WHO issues a series of regularly updated
position papers on vaccines and combinations of vaccines against diseases that
have an international public health impact. These papers are concerned primarily
with the use of vaccines in large-scale immunization programmes; they summarize
essential background information on diseases and vaccines, and conclude with
WHO's current position on the use of vaccines in the global context.
Recommendations on the use of cholera vaccines were discussed by the Strategic
Advisory Group of Experts (SAGE) in October 2017; evidence presented at these
meetings can be accessed at:
http://www.who.int/immunization/sage/meetings/2017/October/presentations_backgrou
d_docs/en/.
PMID- 29661582
TI - Parents' preferences for interventions to improve childhood immunization uptake
in northern Nigeria.
AB - BACKGROUND: Routine childhood immunization coverage has been low in northern
Nigeria. While local authorities and international partners have been working
hard to improve coverage, population preferences for interventions have not been
documented. This study aimed to understand parents' preferences and identify
possible interventions to improve uptake of childhood immunization. METHODS:
Preferences for immunization interventions were elicited using a best-worst
scaling (BWS) instrument among parents with children under five. We explored the
value of six program attributes (each varying across three levels) identified
through a literature review and engagement with local stakeholders. In each of 18
hypothetical programs identified through a main effect orthogonal design,
respondents selected the best and worst attributes that may facilitate
vaccination of children. Assuming sequential best-worst responses, we used
conditional logit to estimate preferences. We employed latent class analysis
(LCA) to categorize and examine respondents' preferences across interventions.
RESULTS: 97 men and 101 women in 198 households were surveyed. The most preferred
level for each attribute included door-to-door vaccinations, free food
supplements, bundling with nutritional support programs, involvement of religious
leaders, information dissemination through media campaigns, and strengthening of
health services by the government. Three types of preferences were recognized in
the LCA. The value-driven group (14%) characterized by youngest age,
predominantly female, and lower education perceived bundled services with food
and nutritional programs as the most important feature of an intervention.
Convenience and information seekers (28%) characterized by oldest age and the
lowest employment preferred door-to-door vaccinations and media campaigns. The
remaining complacent group (58%), characterized by highest education and highest
employment, did not show strong preferences to any intervention compared to the
other two groups. CONCLUSIONS: Routine immunization programs should consider
joining forces with food and nutritional programs to improve vaccination uptake.
Incorporating door-to-door visits and media campaigns to target older and
unemployed populations may increase childhood immunization uptake in northern
Nigeria.
PMID- 29661583
TI - Back to the future - Is the drug repositioning concept applicable to vaccines?
PMID- 29661584
TI - Strategies for increasing uptake of vaccination in pregnancy in high-income
countries: A systematic review.
AB - INTRODUCTION: Vaccination in pregnancy is an effective method to protect against
disease for the pregnant woman, foetus and new born infant. In England, it is
recommended that pregnant women are vaccinated against pertussis and influenza.
Improvement in the uptake of both pertussis and influenza vaccination among
pregnant women is needed to prevent morbidity and mortality for both the pregnant
women and unborn child. AIM: To identify effective strategies in increasing the
uptake of vaccination in pregnancy in high-income countries and to make
recommendations for England. METHODS: A systematic review of peer reviewed
literature was conducted using a keyword search strategy applied across six
databases (Medline, Embase, PsychInfo, PubMed, CINAHL and Web of Science).
Articles were screened against an inclusion and exclusion criteria and papers
included within the review were quality assessed. RESULTS AND CONCLUSIONS: Twenty
two articles were included in the review. The majority of the papers included
were conducted in the USA and looked at strategies to increase influenza
vaccination in pregnancy. There is limited high quality evidence for strategies
in high-income countries to increase coverage of pertussis and influenza
vaccination in pregnancy. A number of strategies have been found to be effective;
reminders about vaccination on antenatal healthcare records, midwives providing
vaccination, and education and information provision for healthcare staff and
patients. Future interventions to increase vaccination in pregnancy should be
evaluated to ensure efficacy and to contribute to the evidence base.
PMID- 29661585
TI - Effectiveness of influenza vaccination for children in Japan: Four-year
observational study using a large-scale claims database.
AB - BACKGROUND: To date, few large-scale comparative effectiveness studies of
influenza vaccination have been conducted in Japan, since marketing authorization
for influenza vaccines in Japan has been granted based only on the results of
seroconversion and safety in small-sized populations in clinical trial phases not
on the vaccine effectiveness. We evaluated the clinical effectiveness of
influenza vaccination for children aged 1-15 years in Japan throughout four
influenza seasons from 2010 to 2014 in the real world setting. METHODS: We
conducted a cohort study using a large-scale claims database for employee health
care insurance plans covering more than 3 million people, including enrollees and
their dependents. Vaccination status was identified using plan records for the
influenza vaccination subsidies. The effectiveness of influenza vaccination in
preventing influenza and its complications was evaluated. To control confounding
related to influenza vaccination, odds ratios (OR) were calculated by applying a
doubly robust method using the propensity score for vaccination. RESULTS: Total
study population throughout the four consecutive influenza seasons was over
116,000. Vaccination rate was higher in younger children and in the recent
influenza seasons. Throughout the four seasons, the estimated ORs for influenza
onset were statistically significant and ranged from 0.797 to 0.894 after doubly
robust adjustment. On age stratification, significant ORs were observed in
younger children. Additionally, ORs for influenza complication outcomes, such as
pneumonia, hospitalization with influenza and respiratory tract diseases, were
significantly reduced, except for hospitalization with influenza in the 2010/2011
and 2012/2013 seasons. CONCLUSIONS: We confirmed the clinical effectiveness of
influenza vaccination in children aged 1-15 years from the 2010/2011 to 2013/2014
influenza seasons. Influenza vaccine significantly prevented the onset of
influenza and was effective in reducing its secondary complications.
PMID- 29661586
TI - Australian rubella serosurvey 2012-2013: On track for elimination?
AB - BACKGROUND: The World Health Organization has targeted rubella virus for
elimination regionally. Australia was one of the first countries to implement a
nationally funded rubella immunisation program, in 1971, and conducts regular
national rubella serosurveillance studies. We aimed to estimate the
seroprevalence of rubella-specific IgG antibody in the Australian population by
age and sex in 2012-2013, to compare the results with three previous serosurveys
conducted in 1996-1999, 2002 and 2007 and to estimate the effective reproduction
numbers (Rn). METHODS: This study used 2729 serum and plasma specimens, randomly
selected from a specimen bank collected in 2012-2013 across Australia. Age groups
included in the sample ranged from 1 to 49 years. Sera were tested for rubella
specific IgG-antibody using the Enzygnost anti-rubella IgG enzyme immunoassay and
classified as positive, negative or equivocal according to rubella-specific IgG
concentrations of >7 IU/ml, <3 IU/ml and 3-7 IU/ml, respectively. RESULTS: The
overall proportions seropositive, seronegative and equivocal for rubella-specific
IgG were 92.1% (95% CI, 91.0-93.2), 6.7% (95% CI, 5.7-7.7) and 1.2% (95% CI, 0.8
1.6), respectively. The proportion of males seropositive was significantly lower
than females in the 30-34 (83.1% vs. 96.8%, p = 0.003), 35-39 (86.1% vs. 96.3%, p
= 0.02) and 40-44 (86.1% vs. 95.7%, p = 0.03) year age groups. Rn for rubella in
2012-2013 was estimated to be 0.33 (95% CI 0.28-0.39). DISCUSSION: The 2012-2013
national serosurvey showed levels of rubella-specific IgG seropositivity in the
Australian population are relatively high with no evidence of decrease compared
to previous serosurveys conducted in 1996-1999, 2002 and 2007. The lower
proportion of seropositive males aged 30-44 years likely reflects the initial
immunisation program targeting females only. To our knowledge this study
represents the longest period of serosurveillance following introduction of a
nationally funded rubella immunisation program. The lack of evidence of
decreasing rubella-specific IgG seropositivity is therefore reassuring for
Australia and other countries with longstanding high vaccine coverage.
PMID- 29661587
TI - Medium-term Follow-up of Vascular-targeted Photodynamic Therapy of Localized
Prostate Cancer Using TOOKAD Soluble WST-11 (Phase II Trials).
AB - BACKGROUND AND OBJECTIVE: To assess the medium-term tumor control in patients
with localized prostate cancer (PCa) treated with vascular-targeted photodynamic
(VTP) therapy with TOOKAD Soluble WST11 (VTP) and to assess the medium-term
tolerability of the treatment. DESIGN, SETTING, PARTICIPANTS, AND INTERVENTION:
During the clinical phase II studies, 68 patients were treated with VTP under
optimal treatment conditions (WST11 at 4mg/kg, light energy at 200J/cm, and a
light density index >=1) and have been included in a 3.5-yr follow-up. OUTCOME
MEASUREMENTS AND STATISTICAL ANALYSIS: Post-interventional visits were scheduled
every 6 mo and conducted as per local standard practice in each study center.
Cancer-free status was assessed by means of prostate-specific antigen kinetics,
multiparametric magnetic resonance imaging and/or prostate biopsies. RESULTS AND
LIMITATIONS: At the end of the 3.5-yr follow-up, overall successful focal
ablation was achieved for 51 patients (75%). Cancer was identified in the
untreated lobe in 17 patients (25%). In total, 34 patients (50%) were cancer-free
in both the prostate lobes. In case of recurrent/persistent malignancy, the
Gleason score remained consistent or changed at the maximum by one point
(upgrading by 1 Gleason point to 3+4 for eight patients and 4+3 for two
patients). There were 64 related adverse events (AEs): 48% were Clavien grade I,
47% were grade II, and 5% were grade III. There were no Clavien grade IV and V
AEs. Limitations included small sample size and heterogeneity in the follow-up
for some centers. CONCLUSIONS: VTP is a safe and efficient treatment and
represents an alternative option for localized low-risk PCa management over the
medium term. Precise diagnostic methods and imaging tools are thereby essential
requirements to ensure safe and complete targeted therapy. PATIENT SUMMARY: In
this report, we looked at the medium-term outcomes of focal photodynamic therapy
for early-stage prostate cancer. We found that this form of treatment is
efficient and might have the potential to become a therapeutic option for low
risk cancer. Effectiveness depends on precise diagnostic methods, such as
magnetic resonance imaging and accurate biopsy.
PMID- 29661588
TI - Impact of Metabolic Diseases, Drugs, and Dietary Factors on Prostate Cancer Risk,
Recurrence, and Survival: A Systematic Review by the European Association of
Urology Section of Oncological Urology.
AB - CONTEXT: To date, established risk factors for prostate cancer (PCa) are limited
to age, race, family history, and certain genetic polymorphisms. Despite great
research efforts, available evidence on potentially modifiable risk factors is
conflicting. Moreover, most studies on PCa risk factors did not consider the
impact of prostate-specific antigen (PSA) testing on PCa diagnosis. OBJECTIVE: To
provide a detailed overview of the latest evidence on the role of metabolic
diseases, drugs, and dietary factors for risk of PCa incidence, recurrence, and
survival in men exposed to PSA testing. EVIDENCE ACQUISITION: A systematic review
of the English-language literature was performed using the MEDLINE, Cochrane
Central Register of Controlled Trials, and Web of Science databases according to
the Preferred Reporting Items for Systematic Reviews and Meta-analyses
recommendations. Randomized, case-control, or cohort studies published during the
periods 2008-2017 (on drugs and metabolic diseases) and 2003-2017 (on dietary
factors), with extensive follow-up (>=8-10yr for studies on PCa risk; >=2-5yr for
studies on PCa recurrence, progression, and survival, depending on the review
subtopic) and adjusting of the analyses, beyond established risk factors, for
either rate of PSA testing (for risk analyses) or PCa stage and primary treatment
(for survival analyses), were eligible for inclusion. EVIDENCE SYNTHESIS:
Overall, 39 reports from 22 observational studies were included. Studies were
heterogeneous regarding definitions of exposure or outcomes, length of follow-up,
risk of bias, and confounding. For some risk factors, evidence was insufficient
to assess potential effects, while for others there was no evidence of an effect.
For selected risk factors, namely metformin, aspirin and statin use, diabetes,
obesity, and specific dietary intakes, there was low-quality evidence of modest
effects on PCa risk. CONCLUSIONS: Current evidence from long-term observational
studies evaluating the effect of drugs, metabolic diseases, and dietary factors
for PCa risk considering the impact of PSA testing is still not conclusive.
Future research is needed to confirm the associations suggested by our review,
exploring their potential biological explanations and selecting those risk
factors most likely to trigger effective public health interventions. PATIENT
SUMMARY: We reviewed the available studies published in the recent literature on
the potential role of drugs, metabolic diseases, and food and dietary factors for
the risk of prostate cancer, considering the impact of prostate-specific antigen
testing on prostate cancer diagnosis. We found that for some factors data are
currently insufficient to make definitive conclusions, while for others available
studies seem to indicate an effect on the risk of prostate cancer.
PMID- 29661589
TI - Challenges and research progress of the use of mesenchymal stem cells in the
treatment of ischemic stroke.
AB - Cerebral Ischemic Stroke (CIS) has become a hot issue in medical research because
of the diversity of risk factors and the uncertainty of prognosis. In the field
of regenerative medicine, mesenchymal stem cells (MSCs) have an increasingly
prominent position due to their advantages of multiple differentiation, low
immunogenicity and wide application. In the basic and clinical research of CIS,
there are still some problems to be solved in the treatment of CIS. This paper
will discuss the progresses and some obstacles of current MSCs for the treatment
of CIS.
PMID- 29661591
TI - Respiratory health in Italy.
PMID- 29661590
TI - Rituximab was effective for acute disseminated encephalomyelitis followed by
recurrent optic neuritis with anti-myelin oligodendrocyte glycoprotein
antibodies.
AB - BACKGROUND: The effect of rituximab on acute disseminated encephalomyelitis
(ADEM) followed by recurrent optic neuritis (ON) is not yet known. PATIENT: We
are reporting the case of a 4-year-old Japanese girl who was diagnosed with anti
myelin oligodendrocyte glycoprotein (MOG) antibody positive ADEM followed by
recurrent ON. She developed altered mental status, left facial paralysis, left
paresis, and experienced three episodes of ON. She was treated with rituximab and
azathioprine (AZA) as prevention for recurrent ON. She relapsed under treatment
with AZA when CD19 cells reappeared 6 months after the first rituximab infusion.
However, she has not relapsed since her CD19 count was reduced and kept low with
rituximab infusion. CONCLUSIONS: It is conceivable that anti-MOG antibodies are
involved in the pathology of "ADEM followed by recurrent ON," and that the early
introduction of rituximab, which is involved in the suppression of antibody
production and has effects on CD20 T lymphocytes, may be a feasible treatment for
ON. Due to the small number of patients, additional reports on prospectively
followed patients are needed.
PMID- 29661592
TI - Monopolar vs. bipolar transurethral resection for non-muscle invasive bladder
carcinoma: A post-hoc analysis from a randomized controlled trial.
AB - PURPOSE: Traditionally, transurethral resection of bladder tumors (TURB) is
performed using monopolar technique. Bipolar resection has been postulated to
reduce complications. In this study we compare safety and efficacy between
monopolar TURB (mTURB) and bipolar TURB (bTURB) for patients with primary non
muscle invasive bladder cancer (NMIBC). MATERIALS AND METHODS: Data were obtained
from an international multicenter randomized clinical trial that compared the use
of white light cystoscopy with narrow band imaging-assisted TURB using the
Olympus system between 2010 and 2014. Main outcomes of interest were operative
time, perioperative, and postoperative complications, and 12-month recurrence
free survival. RESULTS: In total, 716 patients were treated for primary NMIBC
with mTURB (310 patients) or bTURB (406 patients). The use of white light
cystoscopy or narrow band imaging was equally distributed between the 2 resection
techniques. Multilevel logistic and linear regression corrected for possible
confounders showed no significant difference between mTURB and bTURB for
postoperative complications (OR = 1.76, P = 0.180), postoperative bleeding (OR =
1.27, P = 0.722), and the combination of intra + postoperative bleeding (OR =
1.992, P = 0.108). Additionally, no significant difference was found between
mTURB and bTURB concerning operative time (1.05min. longer for bTURB, P = 0.536),
intraoperative bleeding requiring intervention (OR:1.38, P=0.809), incidence of
obturator reflex (OR = 0.93, P = 0.854), and bladder perforation (OR = 3.05, P =
0.195). In total, 185 patients (25.8%) developed a recurrence (mTURB = 88, bTURB
= 97). Recurrence-free survival at 12 months in the mTURB and bTURB group was 70%
and 74% (P = 0.410), respectively. CONCLUSION: Based on these results, bTURB is
as safe and effective as mTURB in treatment of primary NMIBC. bTURB seems to have
no evident advantages over mTURB with respect to operation time, perioperative
and postoperative complication rates, and recurrence rates at 12 months.
PMID- 29661593
TI - [Diagnostic workup in front of an atypical non hemolytic anemia].
AB - The most potential causes of "non hemolytic" anemias are iron, folate or vitamin
B12 deficiencies, severe renal impairment, endocrine diseases, inflammation and
medullary disorders. In a non-exceptionnal way no cause is found, sometimes
because of a wrong interpretation of analysis results and sometimes because of a
little known etiology. The goal of this review is to point out analytical
difficulties and to remember some rarer etiologies.
PMID- 29661594
TI - Accidental injuries among older adults: An incidence study.
AB - BACKGROUND: To date, the majority of studies assessing accidental injuries among
the elderly have focused on fall injuries, while studies of other mechanisms of
injuries have been lacking. Therefore, the main objective of this study was to
investigate all injury-related visits among older adults to an emergency
department and risk factors for injuries. METHODS: Data were collected on all
registered visits of adults, >=67 years old, living in the capital of Iceland, to
the emergency department of Landspitali, the National University Hospital, in
2011 and 2012. RESULTS: The yearly incidence rate for injuries was 106 per 1000
adults, >=67 years old. Of all injuries (n = 4,469), falls were the most common
mechanism of injury (78 per 1000), followed by being struck or hit (12 per 1000)
and being crushed, cut or pierced (8 per 1000). Other mechanisms of injury, such
as acute overexertion, foreign body in natural orifice, injuries caused by
thermal and chemical effect and other and unspecified mechanism were less common
(8 per 1000). Fractures were the most common consequences of injuries (36 per
1000). The most frequent place of injury was in or around homes (77 per 1000),
with men being more likely than women to be injured outside of the home (60 per
1000 vs. 36 per 1000). CONCLUSION: Results indicate that falls are the main cause
of accidental injuries, followed by being struck and hit injuries but other
causes contributed to the rest. Falls constitute a major public health problem
and fall-related injuries can have a substantial impact on the lives of older
adults. As life expectancy continues to increase, fall risk is expected to
increase. Since falls constitute a major impact on the lives of older adults and
can lead to not only declines in physical activity and functional status, but to
considerable health care costs, the health care system needs to intervene.
PMID- 29661595
TI - Excitability of sensory axons in amyotrophic lateral sclerosis.
AB - OBJECTIVE: To evaluate the excitability of sensory axons in patients with
amyotrophic lateral sclerosis (ALS). METHODS: Comprehensive sensory nerve
excitability studies were prospectively performed on 28 sporadic ALS patients,
compared to age-matched controls. Sensory nerve action potentials were recorded
from digit 2 following median nerve stimulation at the wrist. Disease severity
was measured using motor unit number estimation (MUNE), the revised ALS
Functional Rating Scale (ALSFRS-R) and the MRC scale. RESULTS: There were no
significant differences in standard and extended measures of nerve excitability
between ALS patients and controls. These unchanged excitability measures included
accommodation to long-lasting hyperpolarization and the threshold changes after
two supramaximal stimuli during the recovery cycle. Excitability parameters did
not correlate with MUNE, ALSFRS-R, APB MRC scale or disease duration.
CONCLUSIONS: This cross-sectional study has identified normal axonal membrane
properties in myelinated sensory axons of ALS patients. Previously described
sensory abnormalities could be the result of axonal fallout, possibly due to a
ganglionopathy, or to involvement of central sensory pathways rostral to gracile
and cuneate nuclei. SIGNIFICANCE: These results demonstrate the absence of
generalized dysfunction of the membrane properties of sensory axons in ALS in the
face of substantial deficits in motor function.
PMID- 29661596
TI - Deep brain stimulation and motor synergies in Parkinson's disease.
PMID- 29661597
TI - Clinical performance of a dedicated self-apposing stent for the treatment of left
main stem disease. Results of the left Main AngioplasTy wIth a Self-apposing
StEnt - the MATISSE study.
AB - BACKGROUND: In the recent years percutaneous treatment of the left main stem (LM)
has gained a precise role as a result of consistent scientific evidence vs.
coronary artery bypass. A self-apposing stent offers an improved adaptation to
the vessel wall, especially in case of tapered vessels; aim of this study was to
investigate the role of a novel self-apposing, sirolimus-eluting stent (Stentys,
France) for the treatment of LM coronary artery disease. METHODS AND RESULTS:
MATISSE is a retrospective, multicenter registry, which enrolled 151 patients
treated with the device at 17 international centers. Primary study endpoint was
the occurrence of major adverse cardiovascular events (MACE), a composite
endpoint of cardiac death, target lesion revascularization (TLR) and target
vessel myocardial infarction, at 9months clinical follow-up. Secondary endpoints
included procedural success, the single determinants of MACE and stent
thrombosis. Lesions were located in distal LM bifurcation in 84% of the patients.
Procedural success was achieved in 150 patients. The average follow-up length was
348+/-52days. MACE occurred in 14 (9.3%) patients with 2 (1.3%) cardiac deaths.
TLR occurred in 8 patients (5.3%). There were 2 cases of definite stent
thrombosis, 1 acute and 1 very late. CONCLUSIONS: A self-apposing stent, when
used for LM PCI in a real world, high risk population, showed good immediate
procedural results with low rates of adverse events at mid-term follow-up.
PMID- 29661598
TI - Vitamin D supplementation, bone turnover, and inflammation in HIV-infected
patients.
AB - OBJECTIVE: To assess whether vitamin D supplementation could be associated with a
modification of inflammatory markers and bone turnover in HIV-1-infected
patients. PATIENTS AND METHODS: Patients who participated in an initial survey in
2010 and who were followed in the same department were included in a new study in
2012. Between 2010 and 2012, vitamin D supplementation was offered to patients
presenting with hypovitaminosis D as per appropriate guidelines. Clinical
examinations were performed, and fasting blood samples were taken for
inflammation and bone marker evaluations. RESULTS: Of the 263 patients who
participated in the 2010 study, 198 were included in the 2012 study.
Hypovitaminosis D was observed in 47% (36/77) of participants supplemented as per
appropriate guidelines, in 78% (75/97) of transiently or incompletely
supplemented participants, and in 71% (17/24) of non-supplemented participants
(mainly because vitamin D levels in 2010 were normal). No significant correlation
between vitamin D supplementation and the 2-year inflammation outcome (IL-6 and
hsCRP) or C-terminal telopeptide levels was observed. However, a decrease in IL6
levels over the 2 years significantly correlated with reaching a normal vitamin D
level (OR=0.89 per+1pg/mL IL6 increase, 95% CI=0.81-0.97, P=0.015). CONCLUSIONS:
Vitamin D supplementation decreases the risk of hypovitaminosis D but does not
decrease the risk of inflammation nor bone turnover, unless normal 25-OH vitamin
D levels are reached.
PMID- 29661599
TI - Transcutaneous nerve stimulation via the tragus: are we really stimulating the
vagus nerve?
PMID- 29661600
TI - Directional Deep Brain Stimulation: First experiences in centers across the
globe.
PMID- 29661601
TI - Corrigendum to "Evaluation of Texture Analysis Parameter for Response Prediction
in Patients with Hepatocellular Carcinoma Undergoing Drug-Eluting Bead
Transarterial Chemoembolization (DEB-TACE) Using Biphasic Contrast-Enhanced CT
Image Data Correlation with Liver Perfusion CT" [Academic Radiology 24 (2017):
1352-1363].
PMID- 29661602
TI - Automated Breast Ultrasound Interpretation Times: A Reader Performance Study.
AB - RATIONALE AND OBJECTIVES: This study aimed to determine the average time for
breast radiologists of varied experience to interpret automated breast ultrasound
(ABUS) examinations. MATERIALS AND METHODS: A reader performance study was
conducted on female patients, with ACR BI-RADS 4 breast density classifications
of C or D, who received both an ABUS screening examination and a digital
mammogram from 2013 to 2014 at an academic institution. Three faculty breast
radiologists with varied levels of ABUS experience (advanced, intermediate,
novice) read all ABUS examinations, with interpretation times and final
impressions (categorized as "normal" or "abnormal") recorded for each
examination. RESULTS: Ninety-nine patients were included, with all readers
demonstrating an average ABUS interpretation time of less than 3 minutes.
Compared to the other two readers, the intermediate reader had a significantly
longer mean interpretation time at 2.6 minutes (95% confidence interval 2.4-2.8;
P < .001). In addition to having the shortest mean interpretation time, the
novice reader also demonstrated reduced times in subsequent interpretations, with
a significant decrease in interpretation times of 3.1 seconds (95% confidence
interval 0.4-5.8) for every 10 ABUS examinations interpreted (P < .05).
CONCLUSIONS: Overall, mean ABUS interpretation time by radiologists of all
experience levels was short, at less than 3 minutes per examination, which should
not deter radiologists from incorporating ABUS examinations into a busy clinical
environment.
PMID- 29661603
TI - Insulin-induced skin complication in an anti-insulin antibody positive patient
with type 2 diabetes.
PMID- 29661604
TI - Cardiovascular risk assessment in prediabetic patients in a hypertensive
population: The role of cystatin C.
AB - BACKGROUND: The aim of our study was to determine whether prediabetes increases
cardiovascular (CV) risk compared to the non-prediabetic patients in our
hypertensive population. Once this was achieved, the objective was to identify
relevant CV prognostic features among prediabetic individuals. METHODS: We
included hypertensive 1652 patients. The primary outcome was a composite of
incident CV events: cardiovascular death, stroke, heart failure and myocardial
infarction. We performed a Cox proportional hazard regression to assess the CV
risk of prediabetic patients compared to non-prediabetic and to produce a
survival model in the prediabetic cohort. RESULTS: The risk of developing a CV
event was higher in the prediabetic cohort than in the non-prediabetic cohort,
with a hazard ratio (HR) = 1.61, 95% CI 1.01-2.54, p = 0.04. Our Cox proportional
hazard model selected age (HR = 1.04, 95% CI 1.02-1.07, p < 0.001) and cystatin C
(HR = 2.4, 95% CI 1.26-4.22, p = 0.01) as the most relevant prognostic features
in our prediabetic patients. CONCLUSIONS: Prediabetes was associated with an
increased risk of CV events, when compared with the non-prediabetic patients. Age
and cystatin C were found as significant risk factors for CV events in the
prediabetic cohort.
PMID- 29661605
TI - Effect of alive probiotic on insulin resistance in type 2 diabetes patients:
Randomized clinical trial.
AB - BACKGROUND: Probiotics have beneficial effect on obesity related disorders in
animal models. Despite a large number of animal data, randomized placebo
controlled trials (RCT) concluded that probiotics have a moderate effect on
glycemic control-related parameters. However, effect of probiotics on insulin
resistance are inconsistent. AIM: In a double-blind single center RCT, effect of
alive multistrain probiotic vs. placebo on insulin resistance in type 2 diabetes
patient were assessed. METHODS: A total of 53 patients met the criteria for
inclusion. They were randomly assigned to receive multiprobiotic "Symbiter"
(concentrated biomass of 14 probiotic bacteria genera Bifidobacterium,
Lactobacillus, Lactococcus, Propionibacterium) or placebo for 8-weeks
administered as a sachet formulation. The primary main outcome was the change
HOMA-IR (homeostasis model assessment-estimated insulin resistance) which
calculated using Matthews et al.'s equation. Secondary outcomes were the changes
in glycemic control-related parameters, anthropomorphic variables and cytokines.
RESULTS: Supplementation with alive multiprobiotic for 8 weeks was associated
with significant reduction of HOMA-IR from 6.85 +/- 0.76 to 5.13 +/- 0.49 (p =
0.047), but remained static in the placebo group. With respect to our secondary
outcomes, HbA1c insignificant decreased by 0.09% (p = 0.383) and 0.24% (p =
0.068) respectively in placebo and probiotics groups. However, in probiotic
responders (n = 22, patient with decrease in HOMA-IR) after supplementation a
significant reduction in HbA1c by 0.39% (p = 0.022) as compared to non-responders
was observed. In addition, from markers of chronic systemic inflammatory state
only TNF-alpha and IL-1beta changes significantly after treatment with
probiotics. CONCLUSION: Probiotic therapies modestly improved insulin resistance
in patients with type 2 diabetes.
PMID- 29661606
TI - Potential effect of 2-isopropyl-5-methylphenol (thymol) alone and in combination
with fluconazole against clinical isolates of Candida albicans, C. glabrata and
C. krusei.
AB - Limitations of antifungals used in the treatment of candidiasis, as the
development of resistant strains, are known by the scientific community. In this
context, the aim of this study was to investigate the activity of 2-isopropyl-5
methylphenol (thymol) in combination with fluconazole (FLZ) against clinical
Candida strains. The antifungal activity of thymol along with FLZ was evaluated
by the Clinical Laboratory Standards Institute (CLSI) M27-A2 broth microdilution
method. In addition, synergism was observed for clinical strains of Candida spp.
with combination of thymol-FLZ evaluated by the chequerboard microdilution
method. The mean of minimum inhibitory concentration (MIC) values of thymol and
FLZ were 49.37 and 0.475MUg/ml for C. albicans, 51.25 and 18.80MUg/ml for C.
glabrata and 70 and 179.20MUg/ml for C. krusei strains, respectively. Thymol in
combination with FLZ exhibited the synergistic effects against all species of
Candida tested. FICI values for thymol plus FLZ ranged from 0.366 to 0.607 for C.
albicans strains, 0.367 to 0.482 for C. glabrata strains, and 0.375 to 0.563 for
C. krusei strains. No antagonistic activity was seen in the strains tested.
Thymol was found to have a fungicidal effect on Candida species and a synergistic
effect when combined with FLZ.
PMID- 29661607
TI - Catheter-related bloodstream infection due to Rhodotorula mucilaginosa with
normal serum (1->3)-beta-D-glucan level.
AB - Rhodotorula species are environmental basidiomycete yeasts that have emerged as a
cause of fungemia in immunocompromised hosts. The insertion of a central venous
catheter was identified as a major risk factor for Rhodotorula fungemia. Few
cases reports have reported (1->3)-beta-D-glucan testing at the onset of
Rhodotorula mucilaginosa fungemia. We report a case of catheter-related
bloodstream infection due to R. mucilaginosa. Serum beta-D-glucan level was
normal at the onset of the bloodstream infection. It took 5 days to culture the
isolate. The patient's fever persisted after empiric treatment with micafungin,
and a switch to oral voriconazole immediately resolved the fungemia.
PMID- 29661608
TI - Modafinil alleviates levodopa-induced excessive nighttime sleepiness and restores
monoaminergic systems in a nocturnal animal model of Parkinson's disease.
AB - Treatment with dopaminergic agents result excessive daytime sleepiness (EDS) and
some studies have shown the benefit of using modafinil for treating excessive
daytime sleepiness of Parkinson's disease (PD) patient. We investigated whether
modafinil have ameliorative properties against levodopa induced excessive
nighttime sleepiness (ENS) in MPTP-treated murine nocturnal PD model. Our EEG
analyses of whole day recordings revealed that modafinil reduce ENS of this
nocturnal PD models with levodopa medications. Therefore, we investigated
whether, modafinil post-treatment followed by MPTP shows any effect on monoamine
contents of brain and found to robustly increased noradrenaline (NA)
concentration of MPTP treated mice. Modafinil post-treatment, in neurorestorative
context (5 days post-lesion) led to increased striatal dopamine (DA)
concentrations of MPTP-treated mice. Here, we first confirmed that modafinil
ameliorates levodopa induced excessive sleepiness and restores monoaminergic
systems. The arousal and anti-parkinsonian effects displayed by modafinil
indicate that in combination with dopaminergic agents, modafinil co
administration may be worthwhile in trying to suppress the excessive daytime
sleepiness and progressive dopaminergic neuron loss in PD.
PMID- 29661609
TI - Assessing the Effect of an Educational Intervention on Nurses' and Patient Care
Assistants' Comprehension and Documentation of Functional Ability in Pediatric
Patients with Sickle Cell Disease.
AB - PURPOSE: In 2014, the Youth Acute Pain Functional Ability Questionnaire (YAPFAQ)
was developed to investigate patient's self-rated functional ability during times
of acute pain in the inpatient clinical setting. Although it has great potential,
the application of this tool has not been made a standard of care. The purpose of
this multiple methods study was to determine if, through an educational
intervention, hospital staff could consistently document the YAPFAQ in children
with sickle cell disease (SCD) during a vaso-occlusive episode. DESIGN AND
METHODS: Twenty-two staff members participated in an educational intervention and
semi-structured group discussions. Pre/post surveys measured knowledge of the
YAPFAQ before and after the intervention. Group discussions were recorded,
transcribed verbatim, and analyzed for thematic clusters. Retrospective chart
reviews of children with SCD were reviewed for YAPFAQ documentation frequency
before and after the intervention. RESULTS: Staff knowledge of who completes the
YAPFAQ increased after the intervention, (p<.001). YAPFAQ documentation decreased
after the intervention, (p<.001). Qualitative analysis identified personal,
physical, and patient barriers to completing the YAPFAQ and multiple
recommendations to change the method of documentation in the electronic health
records (EHR). CONCLUSIONS: Although the staff expressed high interest in
utilizing the YAPFAQ, application was inhibited by delayed translation to the
EHR. The YAPFAQ continues to hold high potential for directing nursing care, but
requires staff investment for clinical practice change. PRACTICAL APPLICATIONS: A
seamless integration between nursing education and translation through EHR is
recommended as technology continues to integrate into nursing practice.
PMID- 29661610
TI - Office-based endoscopic botulinum toxin injection in laryngeal movement
disorders.
AB - INTRODUCTION: Botulinum toxin injection is widely used for the treatment of
laryngeal movement disorders. Electromyography-guided percutaneous injection is
the technique most commonly used to perform intralaryngeal botulinum toxin
injection. OBJECTIVE: We describe an endoscopic approach for intralaryngeal
botulinum toxin injection under local anaesthesia without using electromyography.
TECHNIQUE: A flexible video-endoscope with an operating channel is used. After
local anaesthesia of the larynx by instillation of lidocaine, a flexible needle
is inserted into the operating channel in order to inject the desired dose of
botulinum toxin into the vocal and/or vestibular folds. CONCLUSION: Endoscopic
botulinum toxin injection under local anaesthesia is a reliable technique for the
treatment of laryngeal movement disorders. It can be performed by any
laryngologist without the need for electromyography. It is easy to perform for
the operator and comfortable for the patient.
PMID- 29661611
TI - Nasal polyposis (or chronic olfactory rhinitis).
AB - The concept of chronic rhinosinusitis with or without polyps is founded on the
structural and functional unicity of the pituitary mucosa and its united response
to environmental aggression by allergens, viruses, bacteria, pollution, etc. The
present review sets this concept against the evo-devo three-nose theory, in which
nasal polyposis is distinguished as specific to the olfactory nose and in
particular to the non-olfactory mucosa of the ethmoid, which is considered to be
not a sinus but rather the skull-base bone harboring the olfactory mucosa. The
evo-devo approach enables simple and precise positive diagnosis of nasal
polyposis and its various clinical forms, improves differential diagnosis by
distinguishing chronic diseases of the respiratory nose and those of the
paranasal sinuses, hypothesizes an autoimmune origin specifically aimed at
olfactory system auto-antigens, and supports the surgical concept of nasalization
against that of functional sinus and ostiomeatal-complex surgery. The ventilation
function of the sinuses seems minor compared to their production, storage and
active release of nitric oxide (NO) serving to oxygenate arterial blood in the
pulmonary alveoli. This respiratory function of the paranasal sinuses may indeed
be their most important. NO trapped in the ethmoidal spaces also accounts for
certain radiographic aspects associated with nasal polyposis.
PMID- 29661612
TI - Hearing, self-motion perception, mobility, and aging.
AB - Hearing helps us know where we are relative to important events and objects in
our environment and it allows us to track our changing position dynamically over
space and time. Auditory cues are used in combination with other sensory inputs
(visual, vestibular, proprioceptive) to help us perceive our own movements
through space, known as self-motion perception. Whether we are maintaining
standing balance, walking, or driving, audition can provide unique and important
information to help optimize self-motion perception, and consequently to support
safe mobility. Recent epidemiological and experimental studies have provided
evidence that hearing loss is associated with greater walking difficulties,
poorer overall physical functioning, and a significantly increased risk of
falling in older adults. Importantly, the mechanisms underlying the associations
between hearing status and mobility are poorly understood. It is also critical to
consider that age-related hearing loss is often concomitant with declines in
other sensory, motor, and cognitive functions and that these declines may
interact, particularly during realistic, everyday tasks. Overall, exploring the
role of auditory cues and the effects of hearing loss on self-motion perception
specifically, and mobility more generally, are important to both building
fundamental knowledge about the perceptual processes underlying the ability to
perceive our movements through space, as well as to optimizing mobility-related
interventions for those with hearing loss so that they can function better when
confronted by everyday, real-world, sensory-motor challenges. The goal of this
paper is to explore the role of hearing in self-motion perception across a range
of mobility-related behaviors. First, we briefly review the ways in which
auditory cues are used to perceive self-motion and how sound inputs affect
behaviors such as standing balance, walking, and driving. Next, we consider age
related changes in auditory self-motion perception and the potential consequences
to performance on mobility-related tasks. We then describe how hearing loss is
associated with declines in mobility-related abilities and increased adverse
outcomes such as falls. We describe age-related changes to other sensory and
cognitive functions and how these may interact with hearing loss in ways that
affect mobility. Finally, we briefly consider the implications of the hearing
mobility associations with respect to applied domains such as screening for
mobility problems and falls risk in those with hearing loss and developing
interventions and training approaches targeting safe and independent mobility
throughout the lifespan.
PMID- 29661613
TI - Functions of CaBP1 and CaBP2 in the peripheral auditory system.
AB - CaBPs are a family of Ca2+ binding proteins related to calmodulin. Two CaBP
family members, CaBP1 and CaBP2, are highly expressed in the cochlea. Here, we
investigated the significance of CaBP1 and CaBP2 for hearing in mice lacking
expression of these proteins (CaBP1 KO and CaBP2 KO) using auditory brain
responses (ABRs) and distortion product otoacoustic emissions (DPOAEs). In CaBP1
KO mice, ABR wave I was larger in amplitude, and shorter in latency and faster in
decay, suggestive of enhanced synchrony of auditory nerve fibers. This
interpretation was supported by the greater excitability of CaBP1 KO than WT
neurons in whole-cell patch clamp recordings of spiral ganglion neurons in
culture, and normal presynaptic function of CaBP1 KO IHCs. DPOAEs and ABR
thresholds were normal in 4-week old CaBP1 KO mice, but elevated ABR thresholds
became evident at 32 kHz at 9 weeks, and at 8 and 16 kHz by 6 months of age. In
contrast, CaBP2 KO mice exhibited significant ABR threshold elevations at 4 weeks
of age that became more severe in the mid-frequency range by 9 weeks. Though
normal at 4 weeks, DPOAEs in CaBP2 KO mice were significantly reduced in the mid
frequency range by 9 weeks. Our results reveal requirements for CaBP1 and CaBP2
in the peripheral auditory system and highlight the diverse modes by which CaBPs
influence sensory processing.
PMID- 29661614
TI - A fluorescence-based imaging approach to pharmacokinetic analysis of
intracochlear drug delivery.
AB - Advances in microelectromechanical systems (MEMS) technologies are enhancing the
development of intracochlear delivery devices for the treatment of hearing loss
with emerging pharmacological therapies. Direct intracochlear delivery addresses
the limitations of systemic and intratympanic delivery. However, optimization of
delivery parameters for these devices requires pharmacokinetic assessment of the
spatiotemporal drug distribution inside the cochlea. Robust methods of measuring
drug concentration in the perilymph have been developed, but lack spatial
resolution along the tonotopic axis or require complex physiological
measurements. Here we describe an approach for quantifying distribution of
fluorescent drug-surrogate probe along the cochlea's sensory epithelium with high
spatial resolution enabled by confocal fluorescence imaging. Fluorescence from FM
1-43 FX, a fixable endocytosis marker, was quantified using confocal fluorescence
imaging of whole mount sections of the organ of Corti from cochleae resected and
fixed at several time points after intracochlear delivery. Intracochlear delivery
of FM 1-43 FX near the base of the cochlea produces a base-apex gradient of
fluorescence in the row of inner hair cells after 1 h post-delivery that is
consistent with diffusion-limited transport along the scala tympani. By 3 h post
delivery there is approximately an order of magnitude decrease in peak average
fluorescence intensity, suggesting FM 1-43 FX clearance from both the perilymph
and inner hair cells. The increase in fluorescence intensity at 72 h post
delivery compared to 3 h post-delivery may implicate a potential radial transport
pathway into the scala media.
PMID- 29661615
TI - A perspective on brain-behavior relationships and effects of age and hearing
using speech-in-noise stimuli.
AB - Understanding speech in background noise is often more difficult for individuals
who are older and have hearing impairment than for younger, normal-hearing
individuals. In fact, speech-understanding abilities among older individuals with
hearing impairment varies greatly. Researchers have hypothesized that some of
that variability can be explained by how the brain encodes speech signals in the
presence of noise, and that brain measures may be useful for predicting
behavioral performance in difficult-to-test patients. In a series of experiments,
we have explored the effects of age and hearing impairment in both brain and
behavioral domains with the goal of using brain measures to improve our
understanding of speech-in-noise difficulties. The behavioral measures examined
showed effect sizes for hearing impairment that were 6-10 dB larger than the
effects of age when tested in steady-state noise, whereas electrophysiological
age effects were similar in magnitude to those of hearing impairment. Both age
and hearing status influence neural responses to speech as well as speech
understanding in background noise. These effects can in turn be modulated by
other factors, such as the characteristics of the background noise itself.
Finally, the use of electrophysiology to predict performance on receptive speech
in-noise tasks holds promise, demonstrating root-mean-square prediction errors as
small as 1-2 dB. An important next step in this field of inquiry is to sample the
aging and hearing impairment variables continuously (rather than categorically) -
across the whole lifespan and audiogram - to improve effect estimates.
PMID- 29661616
TI - Feasibility of Providing Pediatric Neurology Telemedicine Care to Youth with
Headache.
PMID- 29661617
TI - Caring for Military Children: Implications for Nurse Practitioners.
AB - Nearly two million American children belong to a military family. Armed Forces
families are located in almost every state and around the globe. Military
children are a unique and at times vulnerable population. Military children and
adolescents may face significant stressors throughout their lives compared to
their civilian counterparts. Military families encounter frequent moves and over
half of these children have encountered at least one parental deployment since
September 11th, 2001. Civilian health care providers often care for military
children and adolescents. The purpose of this article is to provide pediatric
providers with an understanding of the deployment cycle and how it relates to
childhood development, to discuss common military stressors and their impact on
the family, and to describe strategies and resources pediatric providers can
utilize to care for this unique population.
PMID- 29661618
TI - ?
AB - Cognitive complications are common after surgery in the elderly, and with an
increased number of elderly patients undergoing surgery, a potential impact of
anaesthesia and surgery on long term cognition, and especially dementia would be
concerning. The question whether anaesthesia and surgery in itself induce
structural changes in the brain and thereby cognitive deterioration, or reveal a
preexisting cognitive impairment remains unresolved. Several studies show an
increased risk of reversible cognitive impairment after surgery in the elderly,
but the risk of inducing dementia remains speculative. Further studies are needed
to elucidate this potential association. Meanwhile, elderly frail patients need
to be closely followed including preoperative cognitive screening, since they are
at increased risk of cognitive deterioration after surgery and discharge.
PMID- 29661619
TI - Gastrointestinal stromal tumor as a cause of cancer-associated thrombosis.
PMID- 29661620
TI - Tolerability, Safety, and Outcomes of Neoadjuvant Chemoradiotherapy With
Capecitabine for Patients Aged >= 70 Years With Locally Advanced Rectal Cancer.
AB - INTRODUCTION: In studies of colorectal cancer, the elderly have been frequently
underrepresented because comorbid conditions and functional status often lead to
study exclusion. For elderly patients with an indication for neoadjuvant
chemoradiotherapy (nCRT), physicians usually decide using clinical factors
whether nCRT should be offered. The aim of the present retrospective study was to
assess the tolerability of nCRT with capecitabine and the surgical outcomes in
patients aged >= 70 years with locally advanced rectal cancer. PATIENTS AND
METHODS: Data from 1372 rectal cancer patients diagnosed from 2002 to 2012 at 4
Dutch hospitals were used. Patients aged >= 70 years were included if they had
received nCRT, and their data were analyzed for treatment deviations,
postoperative complications, mortality, disease-free survival (DFS), and overall
survival (OS). The data were stratified into 3 age groups (ie, 70-74, 75-79, and
>= 80 years). RESULTS: We identified 447 patients aged >= 70 years. Of these
patients, 42 had received nCRT, and 37 (88%) had completed nCRT. Radiation
dermatitis, fatigue, and diarrhea were reported in 62%, 57%, and 43% of the 42
patients, respectively. Of the 42 patients, 40 (95%) underwent surgery, 1 patient
refused resection, and 1 patient died during nCRT of severe mucositis due to
dihydropyrimidine dehydrogenase deficiency. The postoperative complication rate
was 30%, and the 30-day mortality rate was 0%. A pathologic complete response was
found in 7.5%. The 2- and 5-year DFS and OS rates were 58.5% and 40.7% and 81.0%
and 58.2%, respectively. CONCLUSION: The results of the present multicenter study
have shown that if selected on clinical factors, nCRT with capecitabine is safe
and well tolerated in elderly patients. No negative effect on surgical outcome
was measured, and the beneficial effect (pathologic complete response, DFS, and
OS) seemed comparable to that for younger age groups. We believe that elderly
patients should not be excluded from nCRT on the basis of age only.
PMID- 29661621
TI - Predictive Nomogram for Recurrence of Stage I Colorectal Cancer After Curative
Resection.
AB - BACKGROUND: Patients with stage I colorectal cancer (CRC) have excellent
prognosis after curative surgery. However, approximately 5% to 10% of patients
experience recurrence and have a poor prognosis. Because the incidence of stage I
CRC is increasing with active screening programs worldwide, a more accurate and
easy-to-use predictive tool for recurrence is becoming more important. This study
aimed to develop a predictive nomogram for recurrence in stage I CRC. PATIENTS
AND METHODS: A total of 1538 patients who underwent curative surgery for stage I
CRC were enrolled. Predictive factors for recurrence were determined by
multivariate Cox regression model and were used to develop a predictive nomogram.
This model was internally validated, and performance was evaluated through
calibration plots. RESULTS: The cumulative recurrence rate at 5 years after
surgery for stage I CRC was 5.3%. In multivariate Cox analysis, independent
predictors of recurrence were tumor location at rectum, pT2 stage, and presence
of lymphovascular invasion. The 5-year recurrence rate was significantly
different depending on the number of risk factors (0.7% for 0, 5.8% for 1, and
9.7% for >= 2 risk factors). On this basis, a nomogram for recurrence-free
survival was developed and internally validated. The concordance index of the
nomogram was 0.71, and the performance was acceptable. CONCLUSION: We developed
and internally validated a nomogram that can predict postoperative recurrence in
stage I CRC patients. This nomogram may be used to more accurately stratify the
risk of recurrence and to perform personalized postoperative surveillance in
stage I CRC patients.
PMID- 29661623
TI - Nonventilator hospital-acquired pneumonia: Epidemiology to support prevention
strategies.
PMID- 29661622
TI - Rational design and screening of peptide-based inhibitors of heat shock factor 1
(HSF1).
AB - Heat shock factor 1 (HSF1) is a stress-responsive transcription factor that
regulates expression of protein chaperones and cell survival factors. In cancer,
HSF1 plays a unique role, hijacking the normal stress response to drive a cancer
specific transcriptional program. These observations suggest that HSF1 inhibitors
could be promising therapeutics. However, HSF1 is activated through a complex
mechanism, which involves release of a negative regulatory domain, leucine zipper
4 (LZ4), from a masked oligomerization domain (LZ1-3), and subsequent binding of
the oligomer to heat shock elements (HSEs) in HSF1-responsive genes. Recent
crystal structures have suggested that HSF1 oligomers are held together by
extensive, buried contact surfaces, making it unclear whether there are any
possible binding sites for inhibitors. Here, we have rationally designed a series
of peptide-based molecules based on the LZ4 and LZ1-3 motifs. Using a plate
based, fluorescence polarization (FP) assay, we identified a minimal region of
LZ4 that suppresses binding of HSF1 to the HSE. Using this information, we
converted this peptide into a tracer and used it to understand how binding of LZ4
to LZ1-3 suppresses HSF1 activation. Together, these results suggest a previously
unexplored avenue in the development of HSF1 inhibitors. Furthermore, the
findings highlight how native interactions can inspire the design of inhibitors
for even the most challenging protein-protein interactions (PPIs).
PMID- 29661624
TI - Long-term care facility National Healthcare Safety Network enrollment challenges,
2016.
AB - The Centers for Medicare & Medicaid Services has a national goal to increase the
number of long-term care facilities reporting Clostridium difficile infection
data to the Centers for Disease Control and Prevention. A partnership between the
Tennessee Department of Health and the quality improvement organization, Qsource,
helped facilitate successful enrollment into the National Healthcare Safety
Network for nursing homes in the state of Tennessee.
PMID- 29661625
TI - Unusual presentation of Middle East respiratory syndrome coronavirus leading to a
large outbreak in Riyadh during 2017.
AB - BACKGROUND: The hallmark of Middle East respiratory syndrome coronavirus (MERS
CoV) disease is the ability to cause major health care-associated nosocomial
outbreaks with superspreading events leading to massive numbers of cases and
excessive morbidity and mortality. In this report, we describe a patient who
presented with acute renal failure requiring hemodialysis and became a MERS-CoV
superspreader, igniting a recent multihospital outbreak in Riyadh. MATERIAL AND
RESULTS: Between May 31 and June 15, 2017, 44 cases of MERS-CoV infection were
reported from 3 simultaneous clusters from 3 health care facilities in Riyadh,
Saudi Arabia, including 11 fatal cases. Out of the total reported cases, 29 cases
were reported from King Saud Medical City. The cluster at King Saud Medical City
was ignited by a single superspreader patient who presented with acute renal
failure. After 14 hours in the open area of the emergency department and 2
hemodialysis sessions he was diagnosed with MERS-CoV. One hundred twenty contacts
who had direct unprotected exposure were screened. Among those contacts, 9 out of
107 health care workers (5 nurses, 3 physicians, and 1 paramedic) and 7 out of 13
patients tested positive for MERS-CoV. CONCLUSIONS: This hospital outbreak
demonstrated the difficulties in diagnosing pneumonia in patients with renal and
cardiac failure, which leads to delayed suspicion of MERS-CoV and hence delay in
applying the proper infection control procedures. In MERS-CoV endemic countries
there is an urgent need for developing rapid point-of-care testing that would
assist emergency department staff in triaging suspected cases of MERS-CoV to
ensure timely isolation and management of their primary illness and prevent major
MERS-CoV outbreaks.
PMID- 29661626
TI - Influence of an independent quarterly audit on publicly reported vancomycin
resistant enterocococi bacteremia data in Ontario, Canada.
AB - BACKGROUND: All Ontario hospitals are mandated to self-report vancomycin
resistant enterocococi (VRE) bacteremias to Ontario's Ministry of Health and Long
term Care for public reporting purposes. Independent quarterly audits of publicly
reported VRE bacteremias between September 2013 and June 2015 were carried out by
Public Health Ontario. VRE bacteremia case-reporting errors between January 2009
and August 2013 were identified by a single retrospective audit. METHODS:
Employing a quasiexperimental pre-post study design, the relative risk of VRE
bacteremia reporting errors before and after quarterly audits were modeled using
Poisson regression adjusting for hospital type, case counts reported to the
Ministry of Health and Long-term Care, and autocorrelation via generalized
estimating equation. RESULTS: Overall, 24.5% (126 out of 514) of VRE bacteremias
were reported in error; 114 out of 367 (31%) VRE bacteremias reported before
quarterly audits and 12 out of 147 (8.1%) reported after audits were found to be
incorrect. In adjusted analysis, quarterly audits of VRE bacteremias were
associated with significant reductions in reporting errors when compared with
before quarterly auditing (relative risk, 0.17; 95% confidence interval, 0.05
0.63). Risk of reporting errors among community hospitals were greater than acute
teaching hospitals of the region (relative risk, 4.39; 95% CI, 3.07-5.70).
CONCLUSIONS: This study found independent quarterly audits of publicly reported
VRE bacteremias to be associated with significant reductions in reporting errors.
Public reporting systems should consider adopting routine data audits and
hospital-targeted training to improve data accuracy.
PMID- 29661627
TI - Is retained bone debris in cannulated orthopedic instruments sterile after
autoclaving?
AB - AIMS: Cannulated surgical instruments may retain biologic debris after routine
cleaning and sterilization. Residual debris after cleaning is assumed to be
sterile; however, there is no experimental basis for this assumption. The purpose
of this study was to determine the sterility of retained biodebris found within
cannulated surgical instruments after autoclave sterilization. MATERIALS AND
METHODS: Fifteen cannulated drill bits were used to drill pig scapulae to create
a plug of bone that was exposed to a mixture of Bacillus cereus, Pseudomonas
aeruginosa, and methicillin-resistant Staphylococcus aureus for 60, 120, or 180
minutes prior to sterilization. The drill bits were autoclave sterilized using
standard settings. The "sterilized" bone cores were then incubated in solution
and streak-plated on blood agar. RESULTS: All 3 positive controls were positive
for the experimental bacteria. Two negative controls were positive for
contaminant bacteria. A B. cereus strain was recovered from 1 of the experimental
group drill bits in the 180-minute group. Pulsed-field gel electrophoresis
confirmed that the recovered B. cereus strain was identical to the experimental
inoculate. CONCLUSION: Retained biodebris in cannulated drills may not be sterile
after standard autoclave sterilization. In addition, delay of surgical instrument
reprocessing may increase the risk of resistant contamination.
PMID- 29661628
TI - Virtual Zika transmission and spread on Twitter.
PMID- 29661629
TI - A collaborative program to increase adult pneumococcal vaccination rates among a
high-risk patient population receiving care at urgent care clinics.
AB - OBJECTIVE: We report a project that utilized pharmacy students to increase
pneumococcal vaccination rates in patients aged 19-64 years with high-risk
medical conditions within urgent care clinics. The study also sought to better
identify the number of patients previously vaccinated for pneumococcal disease. A
total of 1,178 patients were considered eligible for pneumococcal vaccination
during the study period, 287 (24.4%) of whom were determined to be previously
vaccinated through chart assessment or patient interview. Of the remaining 891
patients, chart documentation of pneumococcal vaccination administered at the
time of the urgent care visit was present for 96 patients (10.7%) in the
intervention clinics compared with 6 patients in 2 control clinics who received
the usual standard of care (P < .0001).
PMID- 29661630
TI - Rapid diagnostics for bloodstream infections: A primer for infection
preventionists.
AB - Accurate and rapid antimicrobial susceptibility testing with pathogen
identification in bloodstream infections is critical to life results for early
sepsis intervention. Advancements in rapid diagnostics have shortened the time to
results from days to hours and have had positive effects on clinical outcomes and
on efforts to combat antimicrobial resistance when paired with robust
antimicrobial stewardship programs. This article provides infection
preventionists with a working knowledge of available rapid diagnostics for
bloodstream infections.
PMID- 29661631
TI - Chlorhexidine-containing dressings in the prevention of central venous catheter
related bloodstream infections: A cost and resource utilization analysis.
AB - BACKGROUND: A recent study reported a reduction in probable/definite central
venous catheter (CVC)-related bloodstream infections (CRBSIs) in neutropenic high
risk patients using CVC dressings with a chlorhexidine-containing gel pad.
METHODS: Based on published data, a health-economic analysis was performed to
analyze the economic effect of using CVC dressings with a chlorhexidine
containing gel pad compared to non-chlorhexidine control dressings. A micro
costing approach was used to determine CRBSI-related direct treatment cost
factors. RESULTS: Between February 2012 and September 2014, 356 patients (178
patients in both groups) were analyzed. Distribution of probable and definite
CRBSI in the chlorhexidine group and control group were 12 (7%) vs 18 (10%) and 9
(5%) vs 21 (12%), respectively (P = .011). Median overall length of stay (25 vs
27.5 days; P = .630) and days on treatment with antibacterials (10 vs 12 days; P
= .140) were similar between the chlorhexidine and control groups. The most
important cost driver in both groups was treatment on general ward (?4275 [US$
5173], interquartile range [IQR]: ?592 - ?6504 [US$ 716 - US$ 7871] vs ?4560 [US$
5518], IQR: ?1227 - ?8567 [US$ 1485 - US$ 10,367]; P = .120), resulting in median
overall direct treatment costs of ?13,881 (US$ 16,798) [IQR: ?10,922 - ?25,457
(US$ 13,217 - US$ 30,807) vs ?13,929 [US$ 16,856] [IQR: ?11,295 - ?23,561 (US$
13,669 - US$ 28,512); P = .640]). CONCLUSION: Our study shows similar results in
overall direct treatment costs, meaning that higher acquisition costs of
chlorhexidine-containing dressings did not translate into higher costs. Expenses
were primarily outweighed by a lower rate of probable/definite CRBSI and reduced
associated costs.
PMID- 29661632
TI - Environmental effectiveness of pulsed-xenon light in the operating room.
AB - BACKGROUND: Manual cleaning and disinfection of the operating room (OR)
environment may be inadequate due to human error. No-touch technologies, such as
pulsed-xenon ultraviolet light (PX-UV), can be used as an adjunct to manual
cleaning processes to reduce surface contamination in the OR. This article
reports the cumulative results from 23 hospitals across the United States that
performed microbiologic validation of PX-UV disinfection after manual cleaning.
METHODS: We obtained samples from 732 high-touch surfaces in 136 ORs at 23
hospitals, after manual terminal cleaning, and again after PX-UV disinfection (n
= 1464 surface samples). Samples were enumerated after incubation, and the
results are reported as total colony-forming units (CFU). RESULTS: The average
CFU after manual cleaning ranged from 5.8 to 34.37, and after PX-UV, from 0.69 to
6.43. With manual cleaning alone, 67% of surfaces were still positive for CFUs;
after PX-UV disinfection, that number decreased to 38% of all sampled surfaces-a
44% reduction. When comparing manual cleaning to PX-UV, the reduction in CFU
count was statistically significant. CONCLUSION: When used after the manual
cleaning process, the PX-UV device significantly reduced contamination on high
touch surfaces in the OR.
PMID- 29661633
TI - Managing social media rumors and misinformation during outbreaks.
PMID- 29661634
TI - Assessing patient risk of central line-associated bacteremia via machine
learning.
AB - BACKGROUND: Central line-associated bloodstream infections (CLABSIs) contribute
to increased morbidity, length of hospital stay, and cost. Despite progress in
understanding the risk factors, there remains a need to accurately predict the
risk of CLABSIs and, in real time, prevent them from occurring. METHODS: A
predictive model was developed using retrospective data from a large academic
healthcare system. Models were developed with machine learning via construction
of random forests using validated input variables. RESULTS: Fifteen variables
accounted for the most significant effect on CLABSI prediction based on a
retrospective study of 70,218 unique patient encounters between January 1, 2013,
and May 31, 2016. The area under the receiver operating characteristic curve for
the best-performing model was 0.82 in production. DISCUSSION: This model has
multiple applications for resource allocation for CLABSI prevention, including
serving as a tool to target patients at highest risk for potentially cost
effective but otherwise time-limited interventions. CONCLUSIONS: Machine learning
can be used to develop accurate models to predict the risk of CLABSI in real time
prior to the development of infection.
PMID- 29661636
TI - Tackling malaria transmission in sub-Saharan Africa.
PMID- 29661635
TI - Assessment of community-level effects of intermittent preventive treatment for
malaria in schoolchildren in Jinja, Uganda (START-IPT trial): a cluster
randomised trial.
AB - BACKGROUND: Intermittent preventive treatment (IPT) is a well established malaria
control intervention. Evidence that delivering IPT to schoolchildren could
provide community-level benefits is limited. We did a cluster-randomised
controlled trial to assess the effect of IPT of primary schoolchildren with
dihydroartemisinin-piperaquine (DP) on indicators of malaria transmission in the
community, in Jinja, Uganda. METHODS: We included 84 clusters, each comprising
one primary school and the 100 closest available households. The clusters were
randomly assigned 1:1 to receive IPT with DP or standard care (control) by
restricted randomisation to ensure balance by geography and school type. Children
in intervention schools received IPT monthly for up to six rounds (June to
December, 2014). We did cross-sectional community surveys in randomly selected
households at baseline and in January to April, 2015, during which we measured
participants' temperatures and obtained finger-prick blood smears for measurement
of parasite prevalence by microscopy. We also did entomological surveys 1 night
per month in households from 20 randomly selected IPT and 20 control clusters.
The primary trial outcome was parasite prevalence in the final community survey.
The primary entomological survey outcome was the annual entomological inoculation
rate (aEIR) from July, 2014, to April, 2015. This trial is registered at
ClinicalTrials.gov, number NCT02009215. FINDINGS: Among 23 280 students
registered in the 42 intervention schools, 10 079 (43%) aged 5-20 years were
enrolled and received at least one dose of DP. 9286 (92%) of 10 079 received at
least one full course of DP (three doses). Community-level parasite prevalence
was lower in the intervention clusters than in the control clusters (19% vs 23%,
adjusted risk ratio 0.85, 95% CI 0.73-1.00, p=0.05). The aEIR was lower in the
intervention group than in the control group, but not significantly so (10.1 vs
15.2 infective bites per person, adjusted incidence rate ratio 0.80, 95% CI 0.36
1.80, p=0.59). INTERPRETATION: IPT of schoolchildren with DP might have a
positive effect on community-level malaria indicators and be operationally
feasible. Studies with greater IPT coverage are needed. FUNDING: UK Medical
Research Council, UK Department for International Development, and Wellcome
Trust.
PMID- 29661637
TI - [Epidermolytic palmoplantar keratoderma of Vorner].
PMID- 29661638
TI - [Anetoderma secondary to molluscum contagiosum].
PMID- 29661639
TI - Age of patient at the extraction of the third molar.
AB - The purpose of this study was to assess the age of patients at the time of
extraction of third molars. Our data included all routine and surgical
extractions of third molars (n=8199 teeth) performed by general and specialist
dentists of the public oral health services of the city of Helsinki over the
period 2013-2014. Measurements included patient's age, gender, the identified
third molar, the type of anaesthesia, the method of extraction, and the diagnosis
at extraction. Patients' ages ranged from 10 to 99years. We found significant
differences between younger and older age groups: third molar extractions
occurred more often for women than for men below the age of 30years (P<0.001) and
vice versa for patients older than 30. Extractions were more prevalent for the
upper jaw (P<0.001), and surgical extractions were more common than routine
extractions (P<0.001) below the age of 40years, but the corresponding prevalences
reversed after the age of 40years. Diagnoses at extraction differed between
younger and older patients. We conclude that the treatment pattern of third
molars at public health services varies greatly over a lifetime, and that a
greater variety exists than had been reported previously from oral and
maxillofacial units.
PMID- 29661640
TI - Corrigendum to "Photosensitized rose bengal induced phototoxicity on human
melanoma cell line under natural sunlight exposure" [J. Photochem. Photobiol. B
Biol. 156(March 2016) 87-99].
PMID- 29661641
TI - Targeted muscle reinnervation for pain control in an elective transradial
amputation.
PMID- 29661642
TI - An Abstinence and Safer Sex Intervention for Adolescents Attending the Public
Sexually Transmitted Infection Clinic in Singapore.
AB - PURPOSE: The objective of this study was to evaluate the efficacy of a behavioral
intervention in increasing secondary abstinence and safer sex among
heterosexually active adolescents aged 16-19 years. METHODS: This was a
randomized controlled trial conducted at the only national sexually transmitted
infection clinic in Singapore. The intervention focused on information giving,
motivation, and skills building to abstain or practice safer sex. The outcome
measures were self-reported secondary abstinence, consistent condom use, and
keeping to one partner in the past 6 months over a 12-month period. We recruited
688 adolescents, with 337 participants receiving intervention and 351 receiving
standard care (control). RESULTS: At the 12-month follow-up, 187 (56%)
intervention participants and 189 (54%) control participants were retained. Over
the 12-month period, the intervention had a significant effect on secondary
abstinence in adolescent boys (42% vs. 27%, adjusted risk ratio [aRR] 1.80, 95%
confidence interval [CI] 1.29-2.34) but not in adolescent girls (21% vs. 24%, aRR
1.10, 95% CI .68-1.66). Consistent condom use was higher among intervention
adolescent girls than control adolescent girls (40% vs. 20%, aRR 2.01, 95% CI
1.32-2.82), but this effect was not evident in adolescent boys (51% vs. 43%, aRR
1.27, 95% CI .78-1.88). Intervention effect on keeping to one partner was evident
in both adolescent boys (76% vs. 45%, aRR 1.35, 95% CI 1.06-1.50) and adolescent
girls (79% vs. 65%, aRR 1.20, 95% CI 1.02-1.23). CONCLUSIONS: An intervention
targeting adolescents in a clinical care setting did achieve an increase in
secondary abstinence in adolescent boys, consistent condom use in adolescent
girls, and keeping to one partner in both genders at 1-year assessment.
PMID- 29661643
TI - Muscle ultrasound elastography and MRI in preschool children with Duchenne
muscular dystrophy.
AB - The aim of this study was to determine muscle tissue elasticity, measured with
shear-wave elastography, in selected lower limb muscles of patients affected by
Duchenne muscular dystrophy (DMD) and to correlate the values obtained with those
recorded in healthy children and with muscle magnetic resonance imaging (MRI)
data from the same DMD children, specifically the pattern on T1-weighted (w) and
short-tau inversion recovery (STIR) sequences. Five preschool DMD children and
five age-matched healthy children were studied with shear-wave elastography. In
the DMD children, muscle stiffness was moderately higher compared with the muscle
stiffness in HC, in the rectus femoris, vastus lateralis, adductor magnus and
gluteus maximus muscles. On muscle MRI T1-w images showed fatty replacement in
3/5 patients at the level of the GM, while thigh and leg muscles were affected in
2/5; hyperintensity on STIR images was identified in 4/5 patients. No significant
correlation was observed between stiffness values and MRI scoring. Our study
demonstrated that lower limb muscles of preschool DMD patients show fatty
replacement and patchy edema on muscle MRI and increased stiffness on shear-wave
elastography. In conclusion, although further studies in larger cohorts are
needed, shear-wave elastography could be considered a useful non-invasive tool to
easily monitor muscle changes in early stages of the disease.
PMID- 29661644
TI - Structural brain alterations in autism and environmental exposure to nitrous
oxide.
PMID- 29661645
TI - Therapeutic management of people with epilepsy in low- and middle-income
countries.
PMID- 29661646
TI - Physical Activity to Improve Erectile Function: A Systematic Review of
Intervention Studies.
AB - INTRODUCTION: The leading cause of erectile dysfunction (ED) is arterial
dysfunction, with cardiovascular disease as the most common comorbidity.
Therefore, ED is typically linked to a web of closely interrelated cardiovascular
risk factors such as physical inactivity, obesity, hypertension, and metabolic
syndrome. Physical activity (PA) has proved to be a protective factor against
erectile problems, and it has been shown to improve erectile function for men
affected by vascular ED. This systematic review estimated the levels of PA needed
to decrease ED for men with physical inactivity, obesity, hypertension, metabolic
syndrome, and/or manifest cardiovascular diseases. AIM: To provide
recommendations of levels of PA needed to decrease ED for men with physical
inactivity, obesity, hypertension, metabolic syndrome, and/or cardiovascular
diseases. METHODS: In accord with the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses (PRISMA) guidelines, a systematic review was performed
of research articles specifically investigating PA as a possible treatment of ED.
The review included research on ED from physical inactivity, obesity,
hypertension, metabolic syndrome, and/or cardiovascular diseases. All available
studies from 2006 through 2016 were checked for the predetermined inclusion and
exclusion criteria to analyze the levels of PA needed to decrease ED. RESULTS: 10
articles met the inclusion criteria, all suggesting various levels of PA needed
to decrease ED for men with relevant risk factors for ED. The results of the
review provided sufficient research evidence for conclusions regarding the levels
of PA necessary to decrease ED. CONCLUSION: Recommendations of PA to decrease ED
should include supervised training consisting of 40 minutes of aerobic exercise
of moderate to vigorous intensity 4 times per week. Overall, weekly exercise of
160 minutes for 6 months contributes to decreasing erectile problems in men with
ED caused by physical inactivity, obesity, hypertension, metabolic syndrome,
and/or cardiovascular diseases. Gerbild H, Larsen CM, Graugaard C, Areskoug
Josefsson K. Physical Activity to Improve Erectile Function: A Systematic Review
of Intervention Studies. Sex Med 2018;6:75-89.
PMID- 29661647
TI - Which Ischemic Stroke Subtype Is Associated with Hyperhomocysteinemia?
AB - BACKGROUND: Stroke is still a major global health problem in both developed and
developing countries. Defining stroke subtype and underlying etiologies is a
major step to choose the best method for prophylaxis. Homocysteine is an
endothelial toxin and elevated levels have been associated with stroke risk. In
this study, we hypothesized that serum total homocysteine level may be related
with specific atherothrombotic ischemic stroke subtypes and aimed to find if high
serum homocysteine levels are correlated with any specific ischemic stroke
subtype. METHODS: Patients with ischemic stroke and aged between 18 and 65 are
included. Ischemic stroke subtype is defined according to Causative
Classification System. Hospital records are examined retrospectively to define
patient demographics, ischemic stroke subtype, vascular risk factors, serum
homocysteine, B12, and folic acid levels. RESULTS: A total of 262 patients were
included. Serum homocysteine level was elevated (>=16 umol/L) in 99 patients
(37.79%). The rate of patients with hyperhomocysteinemia was significantly more
common in strokes due to intracranial stenosis (72.41%) (odds ratio 8.138; 95%
confidence interval 2.366-27.989; P < .01) than extracranial large artery
stenosis (52.00%), craniocervical arterial dissections (35.71%), cardioembolic
strokes (27.87%), and lacunar infarctions (25.00%) after adjustment for other
risk factors. High homocysteine levels were significantly more common in men and
smokers (P < .05). CONCLUSIONS: Elevated levels of serum homocysteine are
correlated with ischemic strokes due to intracranial large artery stenosis in
young and middle-aged patients. This association may have an implication in
stroke prophylaxis for intracranial atherosclerosis by using homocysteine
lowering therapies.
PMID- 29661648
TI - One year Rat Study of iBTA-induced "Microbiotube" Microvascular Grafts With an
Ultra-Small Diameter of 0.6 mm.
AB - OBJECTIVE: The world's smallest calibre "microbiotube" vascular graft was
recently developed, with an inner diameter of 0.6 mm. It was formed using in-body
tissue architecture (iBTA) and has a high degree of patency and capacity for
regeneration in the acute phase, 1 month after implantation. This consecutive
study investigated the compatibility and stability of microbiotubes in the
chronic phase of implantation for 12 months for potential application in
microsurgery. METHODS: This was an in vivo experimental study. The microbiotubes
were prepared by embedding the mould subcutaneously in rats for 2 months.
Allogenic microbiotubes (n = 16) were implanted into the bilateral femoral
arteries (inner diameter 0.5 mm) of eight Wistar rats in an end to end
anastomosis manner for 12 months. Follow up 7-Tesla magnetic resonance angiograms
were performed every 3 months. Histological observation was performed 12 months
after implantation. RESULTS: All patent grafts (n = 12, patency 75%) one month
after implantation maintained their patency up to 12 months without any abnormal
morphological changes or calcification. Histological observation at 12 months
showed that layered alpha-smooth muscle actin positive cells with a monolayer
luminal covering of endothelial cells had formed from the proximal to the distal
anastomoses. A thin elastic fibre layer formed in the luminal area. After
implantation, all components of the microbiotube were similar to those of a
native artery. CONCLUSIONS: This study suggests that microbiotubes have high
compatibility, stability, and durability as replacement grafts over the short to
mid-term period.
PMID- 29661649
TI - [Overal cognitive assessment in Basque-speaking people with advanced dementia.
Validation to the Basque language of the Severe Mini-Mental State Examination
SMMSE (SMMSE-eus)].
AB - INTRODUCTION: Adaptation and validation to the Basque language of tests to assess
advanced cognitive impairment is a not covered need for Basque-speaking people.
The present work shows the validation of the Basque version of the Severe Mini
Mental State Examination (SMMSE). MATERIAL AND METHODS: A total of 109 people
with advanced dementia (MEC<15) took part in the validation study, and were
classified as GDS 5-7 on the Geriatric Depression Scale (GDS). All participants
were Spanish-Basque bilingual. RESULTS: It was shown that SMMSE-eus has a high
internal consistency (alpha=0.92), a good test-retest reliability (r=0.88;
P<.01), and a high inter-rater reliability (CCI=0.99; P<.00) for the overall
score, as well as for each item. CONCLUSIONS: Both the high internal consistency
and inter-rater reliability, and to a lesser extent, test-retest reliability,
made the SMMSE-eus a valid test for the brief assessment of cognitive status in
people with advanced dementia in Basque-speaking people. For this reason, the
SMMSE-eus is a usable and reliable alternative for assessing Basque-speaking
people in their mother-tongue, or preferred language.
PMID- 29661650
TI - Community-acquired methicillin-resistant Staphylococcus aureus can persist in the
throat.
AB - Colonization by Staphylococcus aureus is an important factor in infections caused
by this microorganism. Among the colonization niches of staphylococci are the
nose, skin, intestinal tract, and, recently, the throat has been given relevance.
Infections caused by community-acquired methicillin-resistant Staphylococcus
aureus (CA-MRSA) can be fatal. Persistence of S. aureus is an important process
in the pathogenesis of this microorganism and must be studied. The aim of this
study was to determine the persistence of S. aureus in the throat, and
characterized the strains. We studied the persistence of S. aureus for 6 years in
the throat of apparently healthy people. The isolated strains from the persistent
carriers were characterized through PFGE, spa-typing, SCCmec typing, resistance
to methicillin, presence of virulence genes (adhesins and toxins), and the
formation of biofilm. We found persistent and intermittent carriers of S. aureus
in the throat, with methicillin-sensitive (MSSA), methicillin-resistant (MRSA)
strains, and confirmed for the first time that CA-MRSA colonizes this niche.
These strains can colonize persistently the throat for four years or more.
Typification of strains through PFGE and spa-typing revealed that some carriers
present the same strain, whereas others present different strains along the
period of persistence. Almost all strains induced a strong biofilm formation. All
strains presented adhesin and toxin genes, but no shared genotype was found. We
conclude that S. aureus, including CA-MRSA strains, can remain persistently in
the throat, finding a wide variability among the persistent strains.
PMID- 29661651
TI - [Preferences of general practitioners in metropolitan France with regard to the
delegation of medico-administrative tasks to secretaries assisting medico-social
workers: Study in conjoint analysis].
AB - BACKGROUND: A general practitioner's office is an economic unit where task
delegation is an essential component in improving the quality and performance of
work. AIM: To classify the preferences of general practitioners regarding the
delegation of medical-administrative tasks to assistant medical-social
secretaries. METHOD: Conjoint analysis was applied to a random sample of 175
general practitioners working in metropolitan France. Ten scenarios were
constructed based on seven attributes: training for medical secretaries,
logistical support during the consultation, delegation of management planning,
medical records, accounting, maintenance, and taking initiative on the telephone.
A factorial design was used to reduce the number of scenarios. Physicians' socio
demographic variables were collected. RESULTS: One hundred and three physicians
responded and the analysis included 90 respondents respecting the transitivity of
preferences hypothesis. Perceived difficulty was scored 2.8 out of 5. The high
rates of respondents (59%; 95% CI [51.7-66.3]) and transitivity (87.5%; 95% CI
[81.1-93.9]) showed physicians' interest in this topic. Delegation of tasks
concerning management planning (OR=2.91; 95% CI [2.40-13.52]) and medical records
(OR=1.88; 95% CI [1.56-2.27]) were the two most important attributes for
physicians. The only variable for which the choice of a secretary was not taken
into account was logistical support. CONCLUSION: This is a first study examining
the choices of general practitioners concerning the delegation of tasks to
assistants. These findings are helpful to better understand the determinants of
practitioners' choices in delegating certain tasks or not. They reveal doctors'
desire to limit their ancillary tasks in order to favor better use of time for
"medical" tasks. They also expose interest for training medical secretaries and
widening their field of competence, suggesting the emergence of a new
professional occupation that could be called "medical assistant".
PMID- 29661652
TI - Next generation sequencing reveals a high frequency of CXCR4 utilizing viruses in
HIV-1 chronically infected drug experienced individuals in South Africa.
AB - BACKGROUND: Entry inhibitors, such as Maraviroc, bind to CCR5 inhibiting entry of
CCR5 utilizing viruses (R5 viruses). In the course of HIV infection, CXCR4
utilizing viruses (X4 viruses) may emerge and outgrow R5 viruses, and potentially
limit the effectiveness of Maraviroc. The use of Maraviroc is reserved for
salvage therapy in South Africa. OBJECTIVE: In this study, we examined the
frequency of R5 and X4 viruses, using next generation sequencing, in patients
under treatment to draw inferences on the utility of Maraviroc in a South African
population. STUDY DESIGN: Proviral DNA was isolated from peripheral blood
mononuclear cells (PBMC) of 72 chronically HIV infected patients on
antiretroviral treatment. HIV V3 loop gene was amplified and sequenced on an
Illumina MiniSeq platform. Viral subtypes were determined by the jumping profile
Hidden Markov Model (jpHMM) and REGA genotyping tools. De Novo consensus
sequences were derived for the majority and minority populations for each patient
using Geneious(r) software version 8.1.5. HIV-1 tropism was inferred using
PSSMsinsi, Geno2pheno and Phenoseq-C web-based tools. RESULTS: Quality V3 loop
sequences were obtained from 72 patients, with 5 years (range: 0-16) median
duration on treatment. Subtypes A1, B and C viruses were identified at
frequencies of 4% (3/72), 4% (3/72) and 92% (66/72) respectively. Fifty four
percent (39/72) of patients exclusively harboured R5 viral quasispecies; and 21%
(15/72) exclusively harbored X4 viral quasispecies. Twenty five percent of
patients (18/72) harbored dual/mixture of R5X4 quasispecies. Of these 18
patients, about 28% (5/18) harbored the R5+X4, a mixture with a majority R5 and
minority X4 viruses, while about 72% (13/18) harbored the R5X4+ mixture with a
majority X4 and minority R5 viruses. The proportion of all patients who harbored
X4 viruses either exclusively or dual/mixture was 46% (33/72). Thirty-five
percent (23/66) of the patients who were of HIV-1 subtype C harboured X4 viruses
(chi2 = 3.58; p = .058), and 57% of these (13/23) harbored X4 viruses
exclusively. CD4+ cell count less than 350 cell/MUl was associated with the
presence of X4 viruses (chi2 = 4.99; p = .008). CONCLUSION: The effectiveness of
Maraviroc as a component in salvage therapy may be compromised for a significant
number of chronically infected patients harboring CXCR4 utilizing viruses.
PMID- 29661653
TI - Radium-223 in the treatment of bone metastasis in patients with castration
resistant prostate cancer. Review and procedure.
AB - Bone metastatic disease is the main cause of morbidity / mortality in patients
with prostate cancer, presenting frequently as bone pain, pathological fractures
or spinal cord compression, which requires early and timely therapy. Although,
for the moment, the therapeutic window for its use has not been definitively
established, radium-223 (223Ra), an alpha particle emitter, has proved to be an
effective therapeutic tool, pre or post-chemotherapy, in patients with castration
resistant prostate cancer with symptomatic bone metastases and absence of
visceral metastases, significantly modifying the prognosis of the disease. It is
therefore imperative to define the ideal scenarios and the correct protocol for
the use of this therapy and thus offer the greatest possible clinical benefit to
the patient.
PMID- 29661654
TI - The Tick Project: Testing Environmental Methods of Preventing Tick-borne
Diseases: (Trends in Parasitology Vol 34, 447-450 2018).
PMID- 29661655
TI - Reprint of Using ecological momentary assessments to predict relapse after adult
substance use treatment.
AB - BACKGROUND: A key component of relapse prevention is to self-monitor the internal
(feelings or cravings) and external (people, places, activities) factors
associated with relapse. Smartphones can deliver ecological momentary assessments
(EMA) to help individuals self-monitor. The purpose of this exploratory study was
to develop a model for predicting an individual's risk of future substance use
after each EMA and validate it using a multi-level model controlling for repeated
measures on persons. METHODS: Data are from 21,897 observations from 43 adults
following their initial episode of substance use treatment in Chicago from 2015
to 2016. Participants were provided smartphones for six months and asked to
complete two to three minute EMAs at five random times per day (81% completion).
In any given EMA, 2.7% reported substance use and 8% reported any use in the next
five completed EMA. Chi-square Automatic Interaction Detector (CHAID) was used to
classify EMAs into six levels of risk and then validated with a hierarchical
linear model (HLM). RESULTS: The major predictors of substance use in the next
five completed EMAs were substance use pattern over the current and prior five
EMAs (no recent/current use, either recent or current use [but not both],
continued use [both recent and current]), negative affect (feelings), and craving
(rating). Negative affect was important for EMAs with no current or recent use
reported; craving was important for EMAs with either recent or current use; and
neither mattered for EMAs with continued use. The CHAID gradated EMA risk from
0.7% to 36.6% of the next five completed EMAs with substance use reported. It
also gradated risk of "any" use in the next five completed EMAs from 3% to 82%.
CONCLUSIONS: This study demonstrated the potential of using smartphone-based EMAs
to monitor and provide feedback for relapse prevention in future studies.
PMID- 29661656
TI - Introduction to the special issue: Utilizing ambulatory assessment to better
understand the etiology, maintenance, treatment, and remission of addictive
disorders.
PMID- 29661657
TI - Reprint of The effect of N-acetylcysteine and working memory training on cocaine
use, craving and inhibition in regular cocaine users: correspondence of lab
assessments and Ecological Momentary Assessment.
AB - INTRODUCTION: Effective treatment for cocaine use disorder should dampen
hypersensitive cue-induced motivational processes and/or strengthen executive
control. Using a randomized, double-blind, placebo-controlled intervention, the
primary aim of this study was to investigate the effect of N-Acetylcysteine (NAC)
and working memory (WM)-training to reduce cocaine use and craving and to improve
inhibition assessed in the laboratory and during Ecological Momentary Assessment
(EMA). The second aim was to examine correspondence between laboratory and EMA
data. METHODS: Twenty-four of 38 cocaine-using men completed a 25-day
intervention with 2400mg/day NAC or placebo and WM-training as well as two lab
visits assessing cocaine use, craving and inhibition (Stop Signal task).
Additionally, cocaine use, craving and cognition (Stroop task) were assessed
using EMA during treatment, with 26 participants completing 819 assessments.
RESULTS: Cocaine problems according to the Drug Use Disorder Identification Test
(DUDIT) decreased more after NAC than after placebo, and the proportion of
cocaine-positive urines at lab-visit 2 was lower in the NAC group. No NAC effects
were found on craving. For cocaine use and craving, results from the lab data
were generally similar to EMA results. NAC also showed some effects on cognitive
control: improved inhibition assessed with the Stop Signal task in the lab, and
decreased classic Stroop performance during EMA. There were no significant
effects of number of completed WM-training sessions. CONCLUSIONS: Overall this
study revealed mixed findings regarding the treatment of cocaine use disorders
with NAC and WM-training. The effect of NAC on inhibition should be further
investigated.
PMID- 29661658
TI - Is Transcellular Potassium Shifting With Insulin, Albuterol, or Sodium
Bicarbonate in Emergency Department Patients With Hyperkalemia Associated With
Recurrent Hyperkalemia After Dialysis?
AB - BACKGROUND: Emergency department (ED) treatment of hyperkalemia often involves
shifting potassium into the intracellular space. There is uncertainty whether
transcellular shifting causes insufficient potassium removal during hemodialysis,
resulting in a subsequent need for further medical therapy or multiple sessions
of hemodialysis. OBJECTIVE: We sought to determine whether transcellular
potassium shifting in ED patients with hyperkalemia who undergo hemodialysis is
associated with recurrent hyperkalemia with or without repeat hemodialysis within
24 h. METHODS: This was a retrospective observational study of ED patients with a
potassium value > 5.3 mmol/L and >=1 hemodialysis run. Transcellular shifting
medications were defined as albuterol, insulin, and sodium bicarbonate. Primary
outcomes were recurrent hyperkalemia with and without repeat hemodialysis within
24 h of the initial dialysis run. Generalized estimating equation models were
created for the outcomes using administration of a shifting medication as the
primary predictor. RESULTS: Four hundred seventy-nine encounters were identified.
In 238 (50%) encounters, a shifting medication was administered. There were 85
outcomes of recurrent hyperkalemia and 36 outcomes of recurrent hyperkalemia with
repeat hemodialysis. After adjustment, administration of shifting medications was
not associated with recurrent hyperkalemia (adjusted odds ratio 1.26, 95%
confidence interval 0.71-2.23) or recurrent hyperkalemia with repeat dialysis
(adjusted odds ratio 1.90, 95% confidence interval 0.80-4.48). CONCLUSIONS:
Administration of transcellular shifting medications for hyperkalemia in the ED
was not associated with either recurrent hyperkalemia after hemodialysis or the
need for a second dialysis session within 24 h. Our findings address the
uncertainty regarding transcellular potassium shifting before emergent dialysis
and support safe ED administration of medications that shift potassium to the
intracellular space.
PMID- 29661659
TI - The Association Between Heat Waves and Other Meteorological Parameters and
Snakebites: Israel National Study.
AB - BACKGROUND: Published annual estimates report a global burden of 2.5 million
snakebite cases and >100,000 deaths. In Israel, envenomations are the third most
frequent cause of poisonings that are of moderate to major clinical severity.
Most studies focus on the clinical descriptions of snakebites in tropical
climates, and we sought to investigate the association between snakebite
frequency and meteorological parameters. OBJECTIVE: We sought to investigate the
seasonality of snakebites and evaluate the association between increasingly
common heat waves and other meteorological parameters and snakebite frequency in
a semiarid nontropical climate. METHODS: We obtained data for all medical
evacuations (2008-2015) because of snakebites in Israel. Climate data included
daily 24-hour average temperature ( degrees C) and relative humidity (%). We used
a time-stratified case crossover method, in which a conditional logistic
regression was applied to estimate the association, and we also stratified our
analysis by season and by region. RESULTS: We identified 1234 snakebite cases
over 8 years, of which most (74.2%) occurred in hot seasons and between 6 pm and
9 pm. The risk of snakebite was positively associated with temperature >23
degrees C (odds ratio [OR] 1.24, 95% confidence interval [CI] 1.01-1.53) and
inversely with humidity >40% (OR 0.74, 95% CI 0.57-0.97). We also found an
association with heat waves both in cold (OR 1.62, 95% CI 1.01-2.60) and hot
seasons (OR 1.50, 95% CI 1.18-1.92). CONCLUSIONS: In a semiarid nontropical
climate, we observed an association between an increase in the number of
snakebite cases and higher temperatures and lower humidity. Moreover, heat waves
increased the frequency of snakebites in both cold and hot seasons.
PMID- 29661660
TI - Epipremnum aureum and Dracaena braunii as indoor plants for enhanced bio
electricity generation in a plant microbial fuel cell with electrochemically
modified carbon fiber brush anode.
AB - In this study, two different unexploited indoor plants, Epipremnum aureum and
Dracaena braunii were used to produce clean and sustainable bio-electricity in a
plant microbial fuel cell (PMFC). Acid modified carbon fiber brush electrodes as
well as bare electrodes were used in both the PMFCs. A bentonite based clay
membrane was successfully integrated in the PMFCs. Maximum performance of E.
aureum was 620 mV which was 188 mV higher potential than D. braunii. The bio
electricity generation using modified electrode was 154 mV higher than the bare
carbon fiber, probably due to the effective bacterial attachment to the carbon
fiber owing to hydrogen bonding. Maximum power output of 15.38 mW/m2 was obtained
by E. aureum with an internal resistance of 200 Omega. Higher biomass yield was
also obtained in case of E. aureum during 60 days of experiment, which may
correlate with the higher bio-electricity generation than D. braunii.
PMID- 29661661
TI - Three-dimensional study of 31 cases of synostotic anterior plagiocephaly before
and after surgical management the Lille protocol.
AB - Synostotic anterior plagiocephaly is a rare pathological cranial malformation.
Therapeutic options are rarely studied due to the rarity of the malformation and
difficulties in diagnosis and care management. The objective of this study was to
analyze the results obtained with the Lille protocol based on 62 CT-scans done
before and after surgery in 31 patients. A specific analysis was designed for
this work. Nine cephalometric measures enabled to evidence on each CT-Scan the
corrections made on the fronto-orbital bandeau and the potential impact of
surgery on the craniofacial structures. Results show that surgical symmetry of
the fronto-orbital bandeau in the transversal plane, according to the symmetrical
axis of the semicircular canals, allows a normalization of the skull's growth and
morphogenesis for the surgically affected structures but also adjacent ones.
PMID- 29661662
TI - Multimodal analysis using flowmeter analysis, laser-Doppler spectrophotometry,
and indocyanine green videoangiography for the detection of venous compromise in
flaps in rats.
AB - Venous congestion results in tissue damage and remains the most common failure of
free microvascular transfer if it is not recognized early. The purpose of this
experimental study was to evaluate venous congestion and describe the findings
with two different monitoring tools. A standardized epigastric flap was raised,
and total occlusion of the draining vein was temporarily applied for 4, 5, 6, or
7 h. Blood flow measurements, including laser-Doppler flowmetry, and tissue
spectrophotometry (O2C) and indocyanine green (ICG) videoangiography using the
FLOW(r) 800 tool, were performed systematically after each surgical step, an
interval of venous occlusion, and 1 week of clinical observation. Both monitoring
tools were capable of detecting acute venous occlusion. ICG videoangiography data
showed a significant decrease in the first and second maximum, and the area under
the curve, during venous occlusion, whereas hemoglobin levels in the O2C analysis
remained stable. Changes in fluorescence values in border areas of the flap
correlated significantly with the incidence of necrosis. O2C data later showed
significant correlation with the area of necrosis, and more individual changes
during flap monitoring. ICG videoangiography might therefore be useful in the
prediction of flap necrosis in critical areas of perfusion.
PMID- 29661663
TI - Right on target?
AB - Targets have become an integral part of health care, whether we agree with them
or not. Many accept the progress made with the use of targets, but our duty as
health professionals is to ensure that their use and interpretation is undertaken
with the same care and understanding of their limitations that we apply to our
clinical practice, and that they are not mis-interpreted or used to justify the
latest political idioms. Currently the healthcare profession is failing to
understand the consequences of setting targets, or the wider implications of
hitting them. This article aims to summarise how we can learn from past
experiences to become more aware of the benefits and draw-backs of targets in
healthcare, and how we can utilise them more efficiently in health care.
PMID- 29661664
TI - Temporal variations in dispatcher-assisted and bystander-initiated resuscitation
efforts.
AB - PURPOSE: To investigate temporal variations in dispatcher-assisted and bystander
initiated resuscitation efforts and their association with survival after
bystander-witnessed out-of-hospital cardiac arrests (OHCAs). METHODS: We
retrospectively analyzed the neurologically favorable 1-month survival and the
parameters related to dispatcher assisted cardiopulmonary resuscitation (DA-CPR)
and bystander CPR (BCPR) for 227,524 OHCA patients between 2007 and 2013 in
Japan. DA-CPR sensitivity for OHCAs, bystander's compliance to DA-CPR assessed by
the proportion of bystanders who follow DA-CPR, and performance of BCPR measured
by the rate of bystander-initiated CPR in patients without DA-CPR were calculated
as indices of resuscitation efforts. RESULTS: Performance of BCPR was only
similar to temporal variations in the survival (correlation between hourly paired
values, R2=0.263, P=0.01): a lower survival rate (3.4% vs 4.2%) and performance
of BCPR (23.1% vs 30.8%) during night-time (22:00-5:59) than during non-night
time. In subgroup analyses based on interaction tests, all three indices
deteriorated during night-time when OHCAs were witnessed by non-family (adjusted
odds ratio, 0.73-0.82), particularly in non-elderly patients. The rate of public
access defibrillation for these OHCAs markedly decreased during night-time
(adjusted odds ratio, 0.49) with delayed emergency calls and BCPR initiation.
Multivariable logistic regression analyses revealed that the survival rate of non
family-witnessed OHCAs was 1.83-fold lower during night-time than during non
night-time. CONCLUSIONS: Dispatcher-assisted and bystander-initiated
resuscitation efforts are low during night-time in OHCAs witnessed by non-family.
A divisional alert system to recruit well-trained individuals is needed in order
to improve the outcomes of night-time OHCAs witnessed by non-family bystanders.
PMID- 29661665
TI - Combining early post-resuscitation EEG and HRV features improves the prognostic
performance in cardiac arrest model of rats.
AB - OBJECTIVE: Early and reliable prediction of neurological outcome remains a
challenge for comatose survivors of cardiac arrest (CA). The purpose of this
study was to evaluate the predictive ability of EEG, heart rate variability (HRV)
features and the combination of them for outcome prognostication in CA model of
rats. METHODS: Forty-eight male Sprague-Dawley rats were randomized into 6 groups
(n=8 each) with different cause and duration of untreated arrest. Cardiopulmonary
resuscitation was initiated after 5, 6 and 7min of ventricular fibrillation or 4,
6 and 8min of asphyxia. EEG and ECG were continuously recorded for 4h under
normothermia after resuscitation. The relationships between features of early
post-resuscitation EEG, HRV and 96-hour outcome were investigated. Prognostic
performances were evaluated using the area under receiver operating
characteristic curve (AUC). RESULTS: All of the animals were successfully
resuscitated and 27 of them survived to 96h. Weighted-permutation entropy (WPE)
and normalized high frequency (nHF) outperformed other EEG and HRV features for
the prediction of survival. The AUC of WPE was markedly higher than that of nHF
(0.892 vs. 0.759, p<0.001). The AUC was 0.954 when WPE and nHF were combined
using a logistic regression model, which was significantly higher than the
individual EEG (p=0.018) and HRV (p<0.001) features. CONCLUSIONS: Earlier post
resuscitation HRV provided prognostic information complementary to quantitative
EEG in the CA model of rats. The combination of EEG and HRV features leads to
improving performance of outcome prognostication compared to either EEG or HRV
based features alone.
PMID- 29661666
TI - Pseudo-Wellens' syndrome and intermittent left bundle branch block in acute
cholecystitis.
AB - We present a case of a 54-year-old male patient who was admitted to our Emergency
Department (ED) with localized epigastric pain. Inflammation markers, ultrasound,
and CT scan were inconsistent with an initial diagnosis of cholecystitis.
However, there was additional evidence of cholecystolithiasis. The ECG showed new
anterior biphasic T waves typical for a Wellens' type A ECG. Additionally, the
patient had an intermittent left bundle branch block (LBBB). The diagnostic
challenges in differentiating possible diagnoses will be described, to which in
this case, were either acute cholecystitis or acute coronary syndrome (ACS). A
laparoscopic study confirmed acute cholecystitis. Coronary angiography showed no
pathological processes associated with ACS. ECG abnormalities were initially
ongoing, but were no longer detectable during an 8month follow up assessment.
PMID- 29661667
TI - Quantifying the operational impact of boarding inpatients on emergency department
radiology services.
PMID- 29661668
TI - No association between metoclopramide treatment in ED and reduced risk of post
concussion headache.
AB - OBJECTIVE: There is a lack of definitive pediatric literature on effective
pharmacotherapy for persistent post-concussion headache symptoms. This study
assessed whether acute metoclopramide treatment in the Emergency Department (ED)
was associated with a reduction in persistent headache in children at 1- and 4
weeks post-concussion. METHODS: Children aged 8-17years with acute concussion
presenting to 9-Canadian Pediatric EDs were enrolled in a prospective cohort
study, from August 2013-June 2015. Primary and secondary outcomes were persistent
headache at 1- and 4-week post-injury respectively. Headache persistence was
based on the one and four-week headache scores minus recalled pre-injury score
using the Post-Concussion Symptom Inventory. The association between
metoclopramide and headache persistence at 1- and 4-weeks were examined using
unadjusted and adjusted regression and 1:4 propensity score matching model.
RESULTS: Baseline assessments were completed in 2095 participants; 65 (3.1%)
received metoclopramide within 48-hours of injury. At 1- and 4-weeks, 54%
(963/1808) and 26% (456/1780) of participants had persistent headache relative to
baseline respectively. In unadjusted analysis, no association between
metoclopramide and headache persistence at 1-week was found [treated vs.
untreated: 1-week (53% vs. 53%; relative risk (RR)=1.0 (95%CI: 0.8, 1.3); 4-weeks
(27.3% vs. 25.6%; RR=1.0 (95% CI: 0.9, 1.2)]. Metoclopramide was not associated
with lower headache risk on propensity score matching [treated vs. untreated: 1
week, n=220 (52% vs. 59.4%; RR=0.8 (95%CI: 0.6, 1.2) and 4-weeks, n=225 (27.1%
vs. 32.8%; RR=0.9 (95%CI: 0.8, 1.1)]. CONCLUSION: Metoclopramide administration
was not associated with a reduction in headache persistence in children seeking
ED care due to a concussion. Further research is necessary to determine which
pharmacotherapies may be effective for acute and persistent post-concussive
headache.
PMID- 29661669
TI - Interventional catheterization and echocardiography: An indefectible link
illustrated by atrial septal defect closure.
PMID- 29661670
TI - [Community-acquired pneumonia in patients with chronic obstructive pulmonary
disease treated with inhaled corticosteroids or other bronchodilators. Study
PNEUMOCORT].
AB - OBJECTIVES: To analyse the risk of pneumonia and/or exacerbations in patients
with chronic obstructive pulmonary disease (COPD) who receive treatment with
inhaled corticosteroids (CI), in comparison with those who are not treated with
inhaled corticosteroids (NCI). To estimate the risk of pneumonia according to CI
dose. DESIGN: Population-based cohort study. SETTING: Primary Healthcare.
Institut Catala de la Salut. PARTICIPANTS: Patients >=45 years-old diagnosed with
COPD between 2007 and 2009 in the Information System for Research in Primary Care
(SIDIAP). INTERVENTION: Two cohorts; patients initiating CI and patients
initiating bronchodilators after COPD diagnosis. MAIN MEASUREMENTS: Demographics,
smoking, medical history, pneumonias, exacerbations, vaccinations, and drug
therapy. RESULTS: A total of 3,837 patients were included, 58% in the CI and 42%
in the NCI group. Higher incidence rates of pneumonia and exacerbations were
detected in the CI group compared with the NCI (2.18 vs. 1.37). The risk of
pneumonia and severe exacerbations was not significantly different between
groups, HR; 1.17 (95% CI; 0.87-1.56) and 1.06 (95% CI; 0.87-1.31), respectively.
Patients in the CI group had a higher risk of mild exacerbations, HR; 1.28 (95%
CI; 1.10-1.50). Variables associated with a higher risk of pneumonia were age,
diabetes, previous pneumonias and bronchitis, very severe COPD, treatment with
low doses of beta2-adrenergic or anticholinergic agents, and previous treatment
with oral corticosteroids. CONCLUSIONS: There were no differences between cohorts
in the risk of pneumonia and severe exacerbations. The risk of mild exacerbations
was higher in the CI group. Pneumonias and severe exacerbations were more
frequent in patients with severe COPD and in patients receiving high doses of CI.
PMID- 29661671
TI - [Behaviour and attitudes towards the sexuality of the pregnant woman during the
last trimester. Phenomenological study].
AB - OBJECTIVE: To explore the life experiences on sexual relationships in the third
trimester of pregnancy in primiparous women. DESIGN: Phenomenological qualitative
study, SITE: Caceres (Extremadura). PARTICIPANTS: Primiparous women in the third
trimester of their pregnancy. METHODS: We use theoretical sampling, was conducted
on pregnant primiparous. The study included 15 participants. The data was
collected using in-depth interviews, that were voiced recorded and later
transcribed. The analysis was made using Giorgi's proposal. RESULTS: The results
show three main points. Fear of doing damage, mediated by the obstetric history
and the desire to have the long-awaited child. Exploring new routes: forms of
sexual expression are modified by the physical changes, the fears, and the
mobility. Highlighting the importance of other displays of affection and love
(kisses and caresses). The Sex Taboo: lack of information against sexuality
during pregnancy is still common. CONCLUSIONS: Women in the third trimester of
their pregnancy put aside their sexual appetite and that of their partners, and
concentrate in the wellbeing of their new born baby. It highlights the role of
the mother before the couple. The more desired and difficult the pregnancy has
been, the more the sexual life is reduced. The Health Professionals must advise
and inform the couples with an open-minded attitude.
PMID- 29661672
TI - Development and Validation of the Foot Union Scoring Evaluation Tool for
Arthrodesis of Foot Structures.
AB - Reliable evaluation of osseous consolidation after pedal arthrodesis can be
difficult, and the presence or absence of radiographic healing often dictates
care. Plain radiographs remain the mainstay imaging tool owing to their cost,
efficiency, and low radiation exposure. Applying radiographic parameters that can
reliably determine osseous healing is essential. However, currently, no reliable
or validated measures are available to determine osseous union of any joint in
the foot or ankle. The purpose of the present study was to develop a radiographic
healing scoring system that would enhance the diagnostic healing assessment after
joint arthrodesis of the foot or ankle. We adapted several existing scales
previously validated for fracture healing in the leg, because no study has
attempted to apply this to a joint fusion model. A total of 150 cases were
evaluated by 6 blinded assessors to test the interrater reliability of the
subjective healing assessment compared with the proposed scoring system. The
radiographs were classified by the postoperative period: <=4 weeks, 5 to 12
weeks, and >12 weeks. The initial proposed scale was found to have high
interrater reliability but was burdensome. Using a priori item reduction
protocols, a limited 5-item scale further improved the internal consistency and
reduced the burden. The result was excellent interrater reliability (alpha =
0.978, standard deviation 0.02, 95% confidence interval 0.96 to 0.99) among all
assessors compared with the reduced reliability (alpha = 0.752) for subjective
arthrodesis healing. Intrarater reliability was also found to be superior using a
test-retest method. The reliability of this system appeared superior to the
subjective assessment of arthrodesis healing, even in the absence of clinical
correlates, after foot arthrodesis.
PMID- 29661673
TI - The Use of Pediatric Flexible Intramedullary Nails for Minimally Invasive Fibular
Fracture Fixation.
AB - Fibular fractures in the setting of an unstable ankle joint require surgical
fixation; however, several factors contradict open surgical correction. Severe
soft tissue compromise can delay adequate fracture reduction and preclude the
standard incisional approach. The soft tissue envelope in the setting of obesity,
diabetes, and/or peripheral vascular disease further complicates definitive
treatment. Poorly timed open fixation can lead to delayed healing of the incision
site, with wound breakdown and the potential for hardware failure. Proximal
fibular fractures are also at unique risk of neurovascular compromise with open
reduction and internal fixation. Surgical fixation has now focused on minimizing
the soft tissue insult using percutaneous techniques in the comorbid patient. We
present a case that highlights a minimally invasive technique that provides
dynamic stable internal fixation of fibular fractures with the use of flexible
pediatric intramedullary nails, typically used in long bone fractures of
children.
PMID- 29661674
TI - Evaluation of Transsyndesmotic Fixation and Primary Deltoid Ligament Repair in
Ankle Fractures With Suspected Combined Deltoid Ligament Injury.
AB - The present prospective study examined the utility of the intraoperative tap
test/technique for distal tibiofibular syndesmosis in the diagnosis of deltoid
ligament rupture and compared the outcomes of transsyndesmotic fixation to
deltoid ligament repair with suture anchor. This diagnostic technique was
performed in 59 ankle fractures with suspected deltoid ligament injury. The width
of the medial clear space of 59 cases was evaluated to assess the sensitivity and
specificity. Those with deltoid ligament rupture were randomly assigned to 2
groups and treated with deltoid ligament repair with a suture anchor or with
syndesmosis screw fixation. All the patients were assessed with the American
Orthopaedic Foot and Ankle Society (AOFAS) ankle-hindfoot scale, short-form 36
item questionnaire (SF-36), and visual analog scale (VAS). The tap test was
positive in 53 cases. However, surgical exploration demonstrated that 51 cases
(86.4%) had a combined deltoid ligament injury and fracture. The sensitivity and
specificity of the tap test was 100.0% and 75.0%, respectively. Finally, 26 cases
(96.3%) in the syndesmosis screw group and 22 (91.7%) in the deltoid repair group
were followed up. No statistically significant differences were found in the
AOFAS ankle-hindfoot scale score, SF-36 score, or VAS score between the 2 groups.
The malreduction rate in the syndesmosis screw group was 34.6% and that in the
deltoid repair group was 9.09%. The tap test is an intraoperative diagnostic
method to use to evaluate for deltoid ligament injury. Deltoid ligament repair
with a suture anchor had good functional and radiologic outcomes comparable to
those with syndesmotic screw fixation but has a lower malreduction rate. We did
not encounter the issue of internal fixation failure or implant removal.
PMID- 29661675
TI - Burnt sugarcane harvesting is associated with rhinitis symptoms and inflammatory
markers.
AB - INTRODUCTION: Burnt sugarcane harvesting requires intense physical exertion in an
environment with high temperatures and exposure to particulate matter. OBJECTIVE:
To evaluate the effects of burnt sugarcane harvesting on rhinitis symptoms and
inflammatory markers in sugarcane workers. METHODS: 32 male sugarcane workers
were evaluated with questionnaire for rhinitis symptoms, and for inflammatory
markers on peripheral blood and nasal lavage, in the non-harvesting, and 3 and 6
months into the sugarcane harvesting period. Weather data and particulate matter
fine concentrations were measured in the same day. RESULTS: The particulate
matter concentrations in sugarcane harvesting were 27 (23-33MUg/m3), 112 (96
122MUg/m3), and 63 (17-263MUg/m3); 24h temperatures were 32.6 (25.4-37.4 degrees
C), 32.3 (26.7-36.7 degrees C) and 29.7 (24.1-34.0 degrees C) and relative
humidities were 45.4 (35.0-59.7%), 47.9 (39.1-63.0%), and 59.9 (34.7-63.2%) in
the non-harvesting period, three and 6 months of the harvesting period. The age
was 37.4+/-10.9 years. The prevalence of rhinitis symptoms was significantly
higher at 3 months of the harvesting period (53.4%), compared to non-harvesting
period (26.7%; p=0.039) and at 6 months into the harvesting period (20%;
p=0.006). Concentrations of interleukin 6 (IL-6) in nasal lavage increased after
3 months of the harvesting period compared to the non-harvesting period
(p=0.012). The presence of rhinitis symptoms, after 3 months of the harvesting
period, was directly associated with blood eosinophils and inversely associated
with neutrophils. CONCLUSIONS: After 3 months of work in burnt sugarcane
harvesting the prevalence of rhinitis symptoms and IL-6 in nasal lavage
increased. Furthermore, eosinophil counts were directly associated with the
rhinitis symptoms in the period of higher concentration of particulate matter.
PMID- 29661676
TI - ?
PMID- 29661677
TI - Orthodontic-periodontal interactions: Orthodontic extrusion in interdisciplinary
regenerative treatments.
AB - Orthodontics is a periodontal treatment. "Guided orthodontic regeneration" (GOR)
procedures use orthodontic movements in perio-restorative patients. The GOR
technique includes a guided orthodontic "soft tissue" regeneration (GOTR) and a
guided orthodontic "bone" regeneration (GOBR) with a plastic soft tissue approach
and a regenerating reality. The increased amount of soft tissue gained with
orthodontic movement can be used for subsequent periodontal regenerative
techniques. The increased amount of bone can as well improve primary implant
stability and, eventually, simplify a GTR technique to regenerate soft tissues,
to restore tooth with external resorption in aesthetic zone or to extract a tooth
to create new hard-soft tissue for adjacent teeth.
PMID- 29661678
TI - Effect of predosing versus slow administration of propofol on the dose required
for anaesthetic induction and on physiologic variables in healthy dogs.
AB - OBJECTIVE: To investigate the effects of the timing of propofol administration on
the dose required for induction of anaesthesia and commonly measured
physiological effects. STUDY DESIGN: Randomized, investigator-blinded clinical
study. ANIMALS: A group of 32 healthy dogs aged 6-144 months and weighing 3.5
47.2 kg. METHODS: Premedication was intramuscular acepromazine (0.025 mg kg-1)
and methadone (0.25 mg kg-1). After 30 minutes, one of three treatments was
administered to the dogs: propofol (0.5 mg kg-1; group PP), an equivalent volume
of saline (group CP) or a propofol infusion (1.3 mg kg-1 minute-1; group SI). Two
minutes later, a propofol infusion (4 mg kg-1 minute-1) was started in PP and CP,
whereas the propofol infusion was continued in SI. At this stage an investigator,
blinded to the group assignments, entered the room and decided when each animal
was ready for intubation and stopped the propofol infusion. After intubation,
management of anaesthesia was standardized. Pulse rate (PR), respiratory rate
(fR) and mean arterial pressure (MAP) were recorded before induction, 2 minutes
later and 0, 2 and 5 minutes after intubation. Apnoea >30 seconds was recorded
and managed. Sedation, quality of induction and endotracheal intubation were
scored using simple descriptive scales. Data are presented as mean+/-standard
deviation. RESULTS: Propofol dose requirement was lower in SI (3.5+/-1.2 mg kg-1)
compared with PP and CP (5.0+/-0.9 and 4.8+/-0.6 mg kg-1; p=0.002 and 0.012),
respectively. No statistically significant differences were found among groups
for PR, fR, MAP or incidence of apnoea. Sedation score and quality of induction
were similar among groups. CONCLUSIONS: Slow administration of propofol reduced
the anaesthetic induction dose required compared with predosing and control
groups. Effects on PR, fR, MAP and apnoea were similar among groups. CLINICAL
RELEVANCE: Slower injection of propofol reduces the dose required for induction
of anaesthesia.
PMID- 29661679
TI - Methodological challenges in using point-prevalence versus cohort data in risk
factor analyses of nosocomial infections.
AB - PURPOSE: To explore the impact of length-biased sampling on the evaluation of
risk factors of nosocomial infections (NIs) in point-prevalence studies. METHODS:
We used cohort data with full information including the exact date of the NI and
mimicked an artificial 1-day prevalence study by picking a sample from this
cohort study. Based on the cohort data, we studied the underlying multistate
model which accounts for NI as an intermediate and discharge/death as competing
events. Simple formulas are derived to display relationships between risk,
hazard, and prevalence odds ratios. RESULTS: Due to length-biased sampling, long
stay and thus sicker patients are more likely to be sampled. In addition,
patients with NIs usually stay longer in hospital. We explored mechanisms that
are-due to the design-hidden in prevalence data. In our example, we showed that
prevalence odds ratios were usually less pronounced than risk odds ratios but
more pronounced than hazard ratios. CONCLUSIONS: Thus, to avoid
misinterpretation, knowledge of the mechanisms from the underlying multistate
model is essential for the interpretation of risk factors derived from point
prevalence data.
PMID- 29661680
TI - Individual-level key associations and modes of exposure for hepatitis C virus
infection in the Middle East and North Africa: a systematic synthesis.
AB - PURPOSE: To identify, map, and synthesize the individual-level key associations
and modes of exposure for hepatitis C virus (HCV) infection in the Middle East
and North Africa (MENA), the most affected region by HCV. METHODS: Source of data
was the MENA HCV Epidemiology Synthesis Project database, populated through
systematic literature searches. Risk factors determined to be statistically
significant after adjustment for confounders were extracted and categorized into
key associations or modes of exposure. RESULTS: In total, 329 risk factors were
identified from 109 articles in 14 of 24 MENA countries. Among key associations,
age was most frequently reported (n = 39; 34.2%), followed by other
infections/diseases (n = 20; 17.5%), and incarceration (n = 17; 14.9%). Among
modes of exposure, health care-related exposures were most frequently reported (n
= 127; 59.5%), followed by injecting drug use exposures (n = 45; 20.9%),
community-related exposures (n = 34; 15.8%), and sexual-related exposures (n = 8;
3.7%). Blood transfusion, hemodialysis, surgical and other medical procedures,
dental work, and medical injections were identified as key health care-related
exposures. CONCLUSIONS: Health care appears to be the primary driver of prevalent
(and possibly incident) infections in MENA, followed by injecting drug use. HCV
screening should target the identified modes of exposure. Commitment to
prevention should be an integral component of HCV response to achieve HCV
elimination by 2030, with focus on strengthening infection control in health care
facilities, improving injection safety and blood screening, and expanding harm
reduction services for people who inject drugs.
PMID- 29661681
TI - A Rapamycin-Activated Caspase 9-Based Suicide Gene.
AB - Engineered T cell therapies show considerable promise in the treatment of
refractory malignancies. Given the ability of engineered T cells to engraft and
persist for prolonged periods along with unpredicted toxicities, incorporation of
a suicide gene to allow selective depletion after administration is desirable.
Rapamycin is a safe and widely available immunosuppressive pharmaceutical that
acts by heterodimerization of FKBP12 with the FRB fragment of mTOR. The apical
caspase caspase 9 is activated by homodimerization through its CARD domain. We
developed a rapamycin-induced caspase 9 suicide gene. First, we showed that
caspase 9 could be activated by a two-protein format with replacement of the CARD
domain with both FRB and FKBP12. We next identified an optimal compact single
protein rapamycin caspase 9 (rapaCasp9) by fusing both FRB and FKBP12 with the
catalytic domain of caspase 9. Functionality of rapaCasp9 when co-expressed with
a CD19 CAR was demonstrated in vitro and in vivo.
PMID- 29661682
TI - Absolute immature platelet counts in the setting of suspected heparin-induced
thrombocytopenia may predict anti-PF4-heparin immunoassay testing results.
AB - BACKGROUND: Heparin-induced-thrombocytopenia (HIT) is a disease mediated by
antibodies to platelet factor 4 (PF4)-heparin complexes. Immature platelet
fraction (%-IPF) and absolute immature platelet count (A-IPC) measure newly
released platelets into circulation and can prove useful in differentiating
patients with thrombocytopenic presentations due to consumptive or hypoproduction
processes. Therefore, we evaluated utility of A-IPC in a cohort of
thrombocytopenic patients suspected of HIT. PATIENTS AND METHODS: Twenty-six
thrombocytopenic patients (<150 * 109/L) tested for anti-PF4-heparin and 36 non
thrombocytopenic controls were included. Platelet count, %-IPF, and A-IPC were
determined at time of anti-PF4-heparin testing. RESULTS: Sixteen patients tested
anti-PF4-heparin negative and 10 tested positive. Patients with positive anti-PF4
heparin did not differ in A-IPC from normal range (7.2 +/- 2.9 * 109/L vs. 7.1 +/
3.2 * 109/L respectively; p = 0.97). However, there was a significant A-IPC
decrease in patients negative for anti-PF4-heparin compared to normal range and
those testing anti-PF4-heparin positive (4.2 +/- 3.1 * 109/L vs. 7.1 +/- 3.2 *
109/L vs. 7.2 +/- 2.9 * 109/L respectively, p < 0.01). An A-IPC of greater than 5
* 109/L characterized 80% of anti-PF4-heparin positive cases. CONCLUSION: A-IPC
measurements can complement anti-PF4-heparin testing of patients suspected of HIT
while potentially predicting anti-PF4-heparin immunoassay results.
PMID- 29661683
TI - Healthy living: A health promotion program for adults with intellectual
disability.
AB - BACKGROUND: Adults with intellectual disability are more likely to experience a
range of physical and mental health problems in comparison to the general
population. However with access to appropriate health care and promotion, many of
these health problems can be prevented. OBJECTIVE: To explore the perspectives of
stakeholders of a health promotion program established for adults with
intellectual disability. METHODS: Semi-structured interviews were conducted with
12 stakeholders of a health promotion program. Stakeholders included adults with
intellectual disability (n = 6), their support persons (n = 4) and program
presenters (n = 2). Adults with intellectual disability included three males and
three females with a mean age of 45.5 years (range 37-51 years). Interviews were
digitally recorded and transcribed verbatim. Transcripts were analysed using
thematic analysis. RESULTS: Four main themes emerged from the data. The first
theme highlights the positive feedback all stakeholders, especially adults with
intellectual disability, had for the program and the second focuses on
suggestions for changes to improve it. The third and final themes explore how
having input from adults with intellectual disability and their support persons,
who have a unique understanding of their needs, could be better incorporated into
the development of the program. CONCLUSIONS: This health promotion program has
been well received by people with intellectual disability when incorporated into
their weekly social club meetings With encouragement and training, people with
intellectual disability and their support workers could be more involved in the
development of the program to ensure it is relevant to their needs.
PMID- 29661684
TI - Trabecular Bone Score and Hip Structural Analysis in Patients With Atypical Femur
Fractures.
AB - Bisphosphonate use has declined dramatically in recent years, partly because of
fear of rare side effects like atypical femur fractures (AFFs). It is therefore
desirable to have a diagnostic method to identify those at risk of AFF to prevent
this serious complication. We compared trabecular microarchitecture and hip
geometry between 30 patients with AFF and 141 controls of similar age and sex,
using bisphosphonates. Trabecular bone score (TBS) and hip structural analysis
(HSA) were used to assess trabecular microarchitecture and macroscopic hip
geometry from dual-energy X-ray absorptiometry images of the lumbar spine and
hip, respectively. General characteristics, TBS, and HSA were compared between
patients with AFF and controls using Student's t tests and chi-square statistics.
Associations between AFF and TBS and femur geometric characteristics by HSA were
adjusted for sex, age, height, weight, ethnicity, duration of bisphosphonate use,
and glucocorticoid use. Additionally, the analysis of TBS was adjusted for lumbar
spine bone mineral density and the time difference between dual-energy X-ray
absorptiometry scanning and the diagnosis of AFF. Patients with AFF had
significantly higher body mass index than controls, had used bisphosphonates
longer, and glucocorticoids and proton pump inhibitors more frequently. Sex
specific T-score was significantly higher in patients with AFF at the lumbar
spine (p = 0.004), but not at the femoral neck (p = 0.190) after adjustment for
age, height, and weight. TBS did not differ significantly between patients with
AFF and controls. Neither neck shaft angle nor any geometric variables at the
femoral shaft measured by HSA differed between patients with AFF and controls. At
the narrow neck, patients with AFF had lower buckling ratio and higher centroid
position, consistent with a lower risk of classical fragility hip fractures. The
findings at narrow neck and higher bone mineral density might be explained by the
fact that the majority of patients with AFF used bisphosphonates to prevent
glucocorticoid-induced osteoporosis. Based on our results, TBS and HSA do not
appear to have value in detecting patients at risk of AFF.
PMID- 29661685
TI - Natural Course of Local Bone Mineralization After Treatment of Benign or
Borderline Bone Tumors and Cysts With a Composite Ceramic Bone Graft Substitute.
AB - After surgical bone tumor removal, filling of the bone defect is frequently
performed using a bone graft or bone graft substitute. During follow-up, precise
quantification of changes in bone mineral density, within the treated bone
defect, is very difficult using conventional X-ray examinations. The objectives
of this study were to characterize the pattern of resorption/biodegradation of a
composite calcium sulfate/hydroxyapatite bone graft substitute and to quantify
the bone defect healing with repeated dual-energy X-ray absorptiometry (DXA)
measurements. Seventeen patients treated for 18 benign bone lesions, with
subsequent defect filling using 2 variants of a composite ceramic bone graft
substitute (CERAMENTTM|BONE VOID FILLER or CERMAMENTTM|G, BONESUPPORT AB, Lund,
Sweden), were scanned postoperatively and after 2, 6, 12, 26, and 52 wk using
DXA. After an initial increase in bone mineral density after implantation of the
bone graft substitute, bone mineral density decreased in the bone defect region
throughout the 52 wk: rapidly in the first 12 wk and slower in the remaining
weeks. Despite this continuous decrease, bone mineral density remained, on
average, 25% higher in the operated extremity, compared with the nonoperated
extremity, after 52 wk. The observed pattern of reduction in bone mineral density
is consistent with the anticipated resorption of calcium sulfate within the bone
graft substitute during the first 12 wk after surgery. We believe the DXA
technique provides a precise method for quantification of bone graft resorption,
but for evaluation of new bone formation, 3-dimensional imaging is needed.
PMID- 29661686
TI - Utilization of BIA-Derived Bone Mineral Estimates Exerts Minimal Impact on Body
Fat Estimates via Multicompartment Models in Physically Active Adults.
AB - The purpose of this study was to compare body fat estimates and fat-free mass
(FFM) characteristics produced by multicompartment models when utilizing either
dual energy X-ray absorptiometry (DXA) or single-frequency bioelectrical
impedance analysis (SF-BIA) for bone mineral content (BMC) in a sample of
physically active adults. Body fat percentage (BF%) was estimated with 5
compartment (5C), 4-compartment (4C), 3-compartment (3C), and 2-compartment (2C)
models, and DXA. The 5C-Wang with DXA for BMC (i.e., 5C-WangDXA) was the
criterion. 5C-Wang using SF-BIA for BMC (i.e., 5C-WangBIA), 4C-WangDXA (DXA for
BMC), 4C-WangBIA (BIA for BMC), and 3C-Siri all produced values similar to 5C
WangDXA (r > 0.99; total error [TE] < 0.83%; standard error of estimate < 0.67%;
95% limits of agreement [LOAs] < +/-1.35%). The 2C models (2C-Pace, 2C-Siri, and
2C-Brozek) and DXA each produced similar standard error of estimate and 95% LOAs
(2.13%-3.12% and +/-4.15%-6.14%, respectively). Furthermore, 3C-LohmanDXA
(underwater weighing for body volume and DXA for BMC) and 3C-LohmanBIA
(underwater weighing for body volume and SF-BIA for BMC) produced the largest 95%
LOAs (+/-5.94%-8.63%). The FFM characteristics (i.e., FFM density, water/FFM,
mineral/FFM, and protein/FFM) for 5C-WangDXA and 5C-WangBIA were each compared
with the "reference body" cadavers of Brozek et al. 5C-WangBIA FFM density
differed significantly from the "reference body" in women (1.103 +/- 0.007 g/cm3;
p < 0.001), but no differences were observed for 5C-WangDXA or either 5C model in
men. Moreover, water/FFM and mineral/FFM were significantly lower in men and
women when comparing 5C-WangDXA and 5C-WangBIA with the "reference body," whereas
protein/FFM was significantly higher (all p <= 0.001). 3C-LohmanBIA and 3C
LohmanDXA produced error similar to 2C models and DXA and are therefore not
recommended multicompartment models. Although more advanced multicompartment
models (e.g., 4C-Wang and 5C-Wang) can utilize BIA-derived BMC with minimal
impact on body fat estimates, the increased accuracy of these models over 3C-Siri
is minimal.
PMID- 29661687
TI - Impact of Weight Loss With Intragastric Balloon on Bone Density and
Microstructure in Obese Adults.
AB - The historical concept that obesity protects against bone fractures has been
questioned. Weight loss appears to reduce bone mineral density (BMD); however,
the results in young adults are inconsistent, and data on the effects of weight
loss on bone microstructure are limited. This study aimed to evaluate the impact
of weight loss using an intragastric balloon (IGB) on bone density and
microstructure. Forty obese patients with metabolic syndrome (mean age 35.1 +/-
7.3 yr) used an IGB continuously for 6 mo. Laboratory tests, areal BMD, and body
composition measurements via dual-energy X-ray absorptiometry, and volumetric BMD
and bone microstructure measurements via high-resolution peripheral quantitative
computed tomography were conducted before IGB placement and after IGB removal.
The mean weight loss was 11.5%. After 6 mo, there were significant increases in
vitamin D and carboxyterminal telopeptide of type 1 collagen levels. After IGB
use, areal BMD increased in the spine but decreased in the total femur and the
33% radius. Cortical BMD increased in the distal radius but tended to decrease in
the distal tibia. The observed trabecular bone loss in the distal tibia
contributed to the decline in the total volumetric BMD at this site. There was a
negative correlation between the changes in leptin levels and the measures of
trabecular quality in the tibia on high-resolution peripheral quantitative
computed tomography. Weight loss may negatively impact bone microstructure in
young patients, especially for weight-bearing bones, in which obesity has a more
prominent effect.
PMID- 29661688
TI - Effect of Positioning of the ROI on BMD of the Forearm and Its Subregions.
AB - Inconsistent positioning of patients and region of interest (ROI) is known to
influence the precision of bone mineral density (BMD) measurements in the spine
and hip. However, it is unknown whether minor shifts in the positioning of the
ROI along the shaft of the radius affect the measurement of forearm BMD and its
subregions. The ultradistal (UD-), mid-, one-third, and total radius BMDs of 50
consecutive clinical densitometry patients were acquired. At baseline the distal
end of the ROI was placed at the tip of the ulnar styloid as usual, and then the
forearm was reanalyzed 10 more times, each time shifting the ROI 1 mm proximally.
No corrections for multiple comparisons were necessary since the differences that
were significant were significant at p < 0.001. The UD-radius BMD increased as
the ROI was shifted proximally; the increase was significant when shifted even 1
mm proximally (p < 0.001). These same findings held true for the mid- and total
radius bone density, though the percent increase with moving proximally was
significantly greater for the UD radius than for the other subregions. However,
there was no significant change in the one-third radius BMD when shifted
proximally 1-10 mm. Minor proximal shifts of the forearm ROI substantially affect
the BMD of the UD-, mid- and total radius, while having no effect on the one
third radius BMD. Since the one-third radius is the only forearm region usually
reported, minor proximal shifts of the ROI should not influence forearm BMD
results significantly.
PMID- 29661689
TI - Cardiometabolic Risk and Female Sexuality-Part II. Understanding (and Overcoming)
Gender Differences: The Key Role of an Adequate Methodological Approach.
AB - INTRODUCTION: Although basic science and clinical research indicate that the
vascular physiopathology of male and female sexual dysfunction (FSD) is similar,
to date the association between FSD and cardiovascular (CV) diseases has been
only marginally explored. AIM: To discuss the potential reasons for differences
in the role of CV diseases and risk factors in sexual function in women vs men in
the 2nd part of a 2-part review. METHODS: A thorough literature search of peer
reviewed publications on the topic was performed using the PubMed database. MAIN
OUTCOME MEASURES: We present a review of the main factors that could account for
this gap: (i) actual physiologic discrepancies and (ii) factors related to the
inadequacy of the methodologic approach used to investigate CV risk in patients
with FSD. A summary of the available methods to assess female sexual response,
focusing on genital vascularization, is reported. RESULTS: The microanatomy and
biochemistry of the male and female peripheral arousal response are similar; in
contrast, there are differences in the interplay between the metabolic profile
and sex steroid milieu, in the relative weighting of cardiometabolic risk factors
in the pathogenesis of CV disease, and their clinical presentation and
management. CV diseases in women are under-recognized, leading to less aggressive
treatment strategies and poorer outcomes. Moreover, evaluation of hemodynamic
events that regulate the female sexual response has thus far been plagued by
methodologic problems. CONCLUSION: To clarify whether sexuality can be a mirror
for CV health in women, the female genital vascular district should be
objectively assessed with standardized and validated methods. Studies designed to
establish normative values and longitudinal intervention trials on the effect of
the treatment of CV risk factors on FSD are urgently needed. Maseroli E, Scavello
I, Vignozzi L. Cardiometabolic Risk and Female Sexuality-Part II. Understanding
(and Overcoming) Gender Differences: The Key Role of an Adequate Methodological
Approach. Sex Med Rev 2018;6:525-534.
PMID- 29661690
TI - The History of Testosterone and the Evolution of its Therapeutic Potential.
AB - INTRODUCTION: Testosterone therapy has been controversial since its synthesis in
the 1930s to the present day. Testosterone's history provides depth and context
for current controversies. AIM: To review the history of testosterone therapy
from its initial synthesis in the 1930s to the modern day. METHODS: Expert review
of the literature. MAIN OUTCOME MEASURES: Impactful events in the history of
testosterone. RESULTS: By the 1940s there was already a fascinating literature
that described the many symptomatic benefits of testosterone therapy that are
recognized today. Numerous early reports suggested testosterone therapy improved
angina pectoris and peripheral vascular disease. The assertion by Huggins and
Hodges (Cancer Res 1941;1:293-297) in 1941 that testosterone activated prostate
cancer (PCa) cast a pall for the next 70 years. The introduction of the
radioimmunoassay in the 1970s shifted the diagnosis of testosterone deficiency
from signs and symptoms to an undue emphasis on blood test results. The fear of
PCa was the primary obstacle to the adoption of testosterone therapy for decades.
Prescription rates increased as accumulated evidence showed testosterone therapy
was not associated with increased PCa risks. The observation that androgenic
stimulation of PCa reaches a maximum at relatively low testosterone
concentrations-the saturation model-provided the theoretical framework for
understanding the relation between androgens and PCa and led to multiple case
series documenting reassuring results of testosterone therapy in men with PCa.
Recent concerns regarding cardiovascular risks also have diminished because new
evidence suggests testosterone therapy might actually be cardioprotective. In
2016 the Testosterone Trials provided high-quality evidence of multiple benefits
of testosterone therapy, nearly all of which had been recognized by clinicians by
1940. CONCLUSIONS: If the past has any lessons for the future, it is likely that
research will continue to demonstrate health benefits of testosterone therapy,
while it remains one of the most controversial topics in medicine. Morgentaler A,
Traish A. The History of Testosterone and the Evolution of its Therapeutic
Potential. Sex Med Rev 2018;X:XXX-XXX.
PMID- 29661691
TI - Morphological and phylogenetic analyses of Rhipicephalus microplus ticks from
Bangladesh, Pakistan and Myanmar.
AB - Ticks of the Boophilus subgenus, classified in the genus Rhipicephalus, are
widespread in subtropical and tropical regions, but knowledge on their
distribution in Asia is fragmentary. The most important representatives belong to
the Rhipicephalus (Boophilus) microplus complex, which is composed of species
that share many morphological similarities and are therefore difficult to
distinguish. In this study, adult Rhipicephalus (Boophilus) ticks from
Bangladesh, Myanmar and Pakistan were subjected to phylogenetic and Scanning
Electron Microscopy (SEM) analyses. Phylogenetic analyses of cytochrome oxidase 1
(cox1), internal transcribed spacer 2 (ITS2) and 12S rRNA gene sequences
confirmed that the R. microplus complex consists of at least five taxa: R.
annulatus, R. australis, and R. microplus clades A-C. Ticks from Bangladesh,
Myanmar and Pakistan were assigned to R. microplus clade C. SEM images revealed a
wide range of variation in the morphology of the clade C adults, including
morphological features previously identified as critical for distinguishing R.
microplus from R. australis, which is illustrative for the complications in
identifying species within the R. microplus complex using morphology only.
Further morphological, genomic and crossbreeding studies are required to
elucidate the species status of R. microplus clades A-C.
PMID- 29661692
TI - Hospital ambulatory medicine: A leading strategy for Internal Medicine in Europe.
AB - Addressing the current collision course between growing healthcare demands,
rising costs and limited resources is an extremely complex challenge for most
healthcare systems worldwide. Given the consensus that this critical reality is
unsustainable from staff, consumer, and financial perspectives, our aim was to
describe the official position and approach of the Working Group on Professional
Issues and Quality of Care of the European Federation of Internal Medicine
(EFIM), for encouraging internists to lead a thorough reengineering of hospital
operational procedures by the implementation of innovative hospital ambulatory
care strategies. Among these, we include outpatient and ambulatory care
strategies, quick diagnostic units, hospital-at-home, observation units and
daycare hospitals. Moving from traditional 'bed-based' inpatient care to hospital
ambulatory medicine may optimize patient flow, relieve pressure on hospital bed
availability by avoiding hospital admissions and shortening unnecessary hospital
stays, reduce hospital-acquired complications, increase the capacity of hospitals
with minor structural investments, increase efficiency, and offer patients a
broader, more appropriate and more satisfactory spectrum of delivery options.
PMID- 29661694
TI - Descriptive epidemiology of parkinsonism in the Canton of Geneva, Switzerland.
AB - OBJECTIVES: A large descriptive cross-sectional population-based prevalence study
as well as a retrospective incidence study were undertaken to ascertain the
frequency of Parkinson's Disease (PD) and other types of degenerative and non
degenerative parkinsonism in the Canton of Geneva, Switzerland. METHODS: An
extensive case-finding approach including records from public hospitals, private
neurologists and nursing homes was utilized. All patients with a diagnosis of
parkinsonism established between 2003 and 2012 were included. Diagnosis of
parkinsonism was ascertained by a movement disorders specialist, based on
published and validated consensus diagnostic criteria. RESULTS: A total of 1235
living patients with parkinsonism were identified, from which 80% presented with
a degenerative form and 20% with a non-degenerative form of parkinsonism. Among
the former, PD was the most frequent diagnosis (81%, age-adjusted prevalence:
183/105 inhabitants, age-adjusted average annual incidence: 20/105/year) followed
by dementia with Lewy bodies (9%), progressive supranuclear palsy (3.9%),
multisystem atrophy (1.9%) and corticobasal syndrome (1.4%). Among non
degenerative parkinsonism, drug-induced parkinsonism was the most frequent
diagnosis (43.4%), followed by vascular parkinsonism (37%), normal pressure
hydrocephalus (5.1%) and parkinsonism in the context of a psychiatric disorder or
functional parkinsonism (3.8%). Crude, age-, sex-specific and age-adjusted
prevalence and incidence of all types of parkinsonism are detailed for each
diagnosis. CONCLUSION: This is the first Swiss population-based epidemiological
study of PD and parkinsonism. It provides an extensive overview of the prevalence
and incidence of degenerative and non-degenerative forms of parkinsonism. These
data may assist clinicians with their clinical workup.
PMID- 29661693
TI - Phosphorylation of Beta-3 adrenergic receptor at serine 247 by ERK MAP kinase
drives lipolysis in obese adipocytes.
AB - OBJECTIVE: The inappropriate release of free fatty acids from obese adipose
tissue stores has detrimental effects on metabolism, but key molecular mechanisms
controlling FFA release from adipocytes remain undefined. Although obesity
promotes systemic inflammation, we find activation of the inflammation-associated
Mitogen Activated Protein kinase ERK occurs specifically in adipose tissues of
obese mice, and provide evidence that adipocyte ERK activation may explain
exaggerated adipose tissue lipolysis observed in obesity. METHODS AND RESULTS: We
provide genetic and pharmacological evidence that inhibition of the MEK/ERK
pathway in human adipose tissue, mice, and flies all effectively limit adipocyte
lipolysis. In complementary findings, we show that genetic and obesity-mediated
activation of ERK enhances lipolysis, whereas adipose tissue specific knock-out
of ERK2, the exclusive ERK1/2 protein in adipocytes, dramatically impairs
lipolysis in explanted mouse adipose tissue. In addition, acute inhibition of
MEK/ERK signaling also decreases lipolysis in adipose tissue and improves insulin
sensitivity in obese mice. Mice with decreased rates of adipose tissue lipolysis
in vivo caused by either MEK or ATGL pharmacological inhibition were unable to
liberate sufficient White Adipose Tissue (WAT) energy stores to fuel
thermogenesis from brown fat during a cold temperature challenge. To identify a
molecular mechanism controlling these actions, we performed unbiased
phosphoproteomic analysis of obese adipose tissue at different time points
following acute pharmacological MEK/ERK inhibition. MEK/ERK inhibition decreased
levels of adrenergic signaling and caused de-phosphorylation of the beta3
adrenergic receptor (beta3AR) on serine 247. To define the functional
implications of this phosphorylation, we showed that CRISPR/Cas9 engineered cells
expressing wild type beta3AR exhibited beta3AR phosphorylation by ERK2 and
enhanced lipolysis, but this was not seen when serine 247 of beta3AR was mutated
to alanine. CONCLUSION: Taken together, these data suggest that ERK activation in
adipocytes and subsequent phosphorylation of the beta3AR on S247 are critical
regulatory steps in the enhanced adipocyte lipolysis of obesity.
PMID- 29661695
TI - Acute cholecystitis induced by surgery for levodopa-carbidopa intestinal gel
therapy: Possible relationship to pre-existing gallstones.
PMID- 29661696
TI - Use of Highly Effective Reversible Contraception in Title X Clinics: Variation by
Selected State Characteristics.
AB - BACKGROUND: The use of long-acting reversible contraceptive (LARC) methods, such
as intrauterine devices (IUDs) and implants has demonstrated high effectiveness
in preventing pregnancy. While LARC use in Title X programs has increased over
the past decade, little is know about the extent to which gains are occurring
uniformly across states. METHODS: We examined state-level changes in LARC use
among Title X clients between 2012 and 2016 using a repeated cross-sectional
study design. States were characterized by the proportion of reproductive age
women in need of publicly funded contraception. Variation in LARC use by level of
need was examined using GEE models. RESULTS: Across all states, LARC use in Title
X clinics increased from 9.1% to 16.2% during the study period. In 2012, LARC use
in the states with the highest and lowest level of need differed by 2.3
percentage points (7.8% compared to 10.1%). By 2015 the gap in LARC use between
high and low need states widened to reach 5.3 percentage points, more than double
what was observed in 2012. However, by 2016 the margin of the gap narrowed.
CONCLUSIONS: Observed increases in LARC use among states with the highest level
of need for publically funded services are much lower than what is observed among
states with the lowest level of need. However, we did find this gap is narrowing.
This finding is important given states with greater need are those with higher
proportions of low-income and younger women who are at greater risk for
experiencing unintended pregnancies.
PMID- 29661698
TI - Reproductive Health Care Priorities and Barriers to Effective Care for LGBTQ
People Assigned Female at Birth: A Qualitative Study.
AB - BACKGROUND: Little research documents the self-identified reproductive health
priorities and health care experiences of lesbian, gay, bisexual, transgender,
queer (LGBTQ)-identified individuals who may be in need of services. METHODS: We
conducted in-depth interviews with a diverse sample of 39 female-assigned-at
birth individuals (ages 18-44) who also identified as lesbian, bisexual, queer,
and/or genderqueer, or transmasculine. Interviews were primarily conducted in
person in the Bay Area of California, and Baltimore, Maryland, with 11 conducted
remotely with participants in other U.S. LOCATIONS: We asked participants about
their current reproductive health care needs, topics they felt researchers should
pursue, and past reproductive health care experiences. Data were analyzed using a
framework method, incorporating deductive and inductive thematic analysis
techniques. RESULTS: Reproductive health care needs among participants varied
widely and included treatment of polycystic ovary syndrome and irregular menses,
gender-affirming hysterectomies, and fertility assistance. Many faced challenges
getting their needs met. Themes related to these challenges cross-cutting across
identity groups included primary focus on fertility, provider lack of LGBTQ
health competency relevant to reproductive health priorities and treatment, and
discriminatory comments and treatment. Across themes and identity groups,
participants highlighted that sexual activity and reproduction were central
topics in reproductive health care settings. These topics facilitated identity
disclosures to providers, but also enhanced vulnerability to discrimination.
CONCLUSIONS: Reproductive health priorities of LGBTQ individuals include needs
similar to cisgender and heterosexual groups (e.g., abortion, contraception,
PCOS) as well as unique needs (e.g., gender affirming hysterectomies, inclusive
safer sex guidance) and challenges in pursuing care. Future reproductive health
research should pursue health care concerns prioritized by LGBTQ populations.
PMID- 29661697
TI - Cardiovascular Disease Risk in Sexual Minority Women (18-59 Years Old): Findings
from the National Health and Nutrition Examination Survey (2001-2012).
AB - OBJECTIVE: Sexual minority women (lesbian and bisexual) experience significant
stigma, which may increase their cardiovascular disease (CVD) risk. The purpose
of this study was to examine the prevalence of modifiable risk factors for CVD
(including mental distress, health behaviors, blood pressure, glycosylated
hemoglobin, and total cholesterol) and CVD in sexual minority women compared with
their heterosexual peers. MATERIALS AND METHODS: A secondary analysis of the
National Health and Nutrition Examination Survey (2001-2012) was conducted.
Multiple imputation with chained equations was performed. Logistic regression
models adjusted for relevant covariates were run. Self-report (medical history
and medication use) and biomarkers for hypertension, diabetes, and high total
cholesterol were examined. RESULTS: The final analytic sample consisted of 7,503
that included 346 sexual minority women (4.6%). Sexual minority women were more
likely to be younger, single, have a lower income, and lack health insurance.
After covariate adjustment, sexual minority women exhibited excess CVD risk
related to higher rates of frequent mental distress (adjusted odds ratio [AOR],
2.05; 95% confidence interval [CI], 1.45-2.88), current tobacco use (AOR, 2.11;
95% CI, 1.53-2.91), and binge drinking (AOR, 1.66; 95% CI, 1.17-2.34). Sexual
minority women were more likely to be obese (AOR, 1.61; 95% CI, 1.23-2.33) and
have glycosylated hemoglobin consistent with prediabetes (AOR, 1.56; 95% CI, 1.04
2.34). No differences were observed for other outcomes. CONCLUSIONS: Sexual
minority women demonstrated increased modifiable risk factors for CVD, but no
difference in CVD diagnoses. Several emerging areas of research are highlighted,
in particular, the need for CVD prevention efforts that target modifiable CVD
risk in sexual minority women.
PMID- 29661700
TI - Correction to Lancet Diabetes Endocrinol 2018; 6: 274.
PMID- 29661699
TI - Effect of neprilysin inhibition on renal function in patients with type 2
diabetes and chronic heart failure who are receiving target doses of inhibitors
of the renin-angiotensin system: a secondary analysis of the PARADIGM-HF trial.
AB - BACKGROUND: Neprilysin inhibition has favourable effects on experimental diabetic
nephropathy. We sought to assess the effects of neprilysin inhibition on the
course of renal function in patients with type 2 diabetes. METHODS: In the
randomised, double-blind PARADIGM-HF trial, the effects of sacubitril/valsartan
(97 mg/103 mg twice daily) were compared with enalapril (10 mg twice daily) in
8399 patients with mild-to-moderate chronic heart failure and systolic
dysfunction. In this secondary intention-to-treat analysis, we assessed the
change in estimated glomerular filtration rate (eGFR) over a 44-month follow-up
period in patients with (n=3784) and those without (n=4615) diabetes. PARADIGM-HF
is registered with ClinicalTrials.gov, number NCT01035255. FINDINGS: eGFR
decreased by 1.1 mL/min per 1.73 m2 per year (95% CI 1.0-1.2) in patients without
diabetes, but by 2.0 mL/min per 1.73 m2 per year (1.9-2.1) in those with diabetes
(p<0.0001). Compared with patients treated with enalapril, those treated with
sacubitril/valsartan had a slower rate of decline in eGFR (-1.3 vs -1.8 mL/min
per 1.73 m2 per year; p<0.0001), and the magnitude of the benefit was larger in
patients with versus those without diabetes (difference 0.6 mL/min per 1.73 m2
per year [95% CI 0.4-0.8] in patients with vs 0.3 mL/min per 1.73 m2 per year
[0.2-0.5] in those without diabetes; pinteraction=0.038). The greater effect of
neprilysin inhibition in patients with diabetes could not be explained by the
effects of treatment on the course of heart failure or on HbA1c. The incremental
benefit of sacubitril/valsartan in patients with diabetes was no longer apparent
when changes in eGFR were adjusted for urinary cyclic guanosine monophosphate
(p=0.41). INTERPRETATION: In patients in whom the renin-angiotensin system is
already maximally blocked, the addition of neprilysin inhibition attenuates the
effect of diabetes to accelerate the deterioration of renal function that occurs
in patients with chronic heart failure. FUNDING: Novartis.
PMID- 29661701
TI - Correction to Lancet Diabetes Endocrinol 2017; 5: 680-81.
PMID- 29661702
TI - Adolescent cancer patients' perceived quality of cancer care: The roles of
patient engagement and supporting independence.
AB - OBJECTIVES: A lack of focus on variation in engagement among cancer populations
of differing developmental stages led us to examine the associations between
patient engagement, the patient-provider relationship, cognitive development,
readiness to transition to adulthood (transitional readiness) and perceived
quality of care. METHODS: A sample of 101 adolescent cancer patients (diagnosed
10-20 years) completed survey items concerning patient engagement, dimensions of
the patient-provider relationship, cognitive development, transitional readiness,
and demographic characteristics using an iPad/tablet during a routine clinic
visit. RESULTS: Patient engagement was not significantly associated with
perceived quality of care (b = .02, 95% CI: -0.06, 0.11). Instead, adolescents
with providers that supported their independence (b = .34, 95% CI: 0.17, 0.52)
were significantly more likely to perceive higher quality care. CONCLUSION:
Supportive patient-provider relationships are an integral part of adolescents'
perceptions of quality of care. Adolescents are still gaining important skills
for navigating the medical system, and the patient-provider relationship may
provide an important scaffolding relationship to help adolescents build
independence in their treatment experience. PRACTICE IMPLICATIONS: Identifying
potential mechanisms through which adolescents can provide their opinion, ask
questions, and participate in their treatment plan will help in supporting
adolescent independence and improve quality of care.
PMID- 29661703
TI - Strengthening patient and family engagement in healthcare - The New Haven
Recommendations.
AB - OBJECTIVE: Present and discuss the development and basic structure of a
multilevel approach to strengthen patient and family engaged care, "The New Haven
Recommendations on partnering with patients, families and citizens to enhance
performance and quality in health promoting hospitals and health services".
METHODS: A generic literature review was conducted followed by a Delphi procedure
to prepare the New Haven Recommendations. From systems theory perspective, three
conceptual levels are used to map action areas to enhance patient and family
engaged care. RESULTS: The recommendations propose a multilevel approach to
enable patient, family, (and citizen representatives') involvement (a) within
direct service provision; (b) among hospitals and health services; (c) in
planning healthcare delivery systems and policy. CONCLUSION: The New Haven
Recommendations provide a strategic tool and practical recommendations, which can
be used for reflection on current practices or generating new ways of thinking
about patient and family engaged care. They support the development of patient
and family engaged care as core aspect of high quality healthcare, and can
contribute to achieving the Ottawa Charter's claim of reorienting health
services. PRACTICE IMPLICATIONS: The potential benefit of the multilevel approach
is to reorient the basic culture of healthcare towards patient- and health
centered care.
PMID- 29661704
TI - Becoming an eCoach: Training therapists in online cognitive-behavioral therapy
for chronic pain.
AB - OBJECTIVE: Online cognitive-behavioral therapy (iCBT) is effective in supporting
patients' self-management. Since iCBT differs from face-to-face CBT on several
levels, proper training of therapists is essential. This paper describes the
development and evaluation of a therapist training based on theoretical domains
that are known to influence implementation behavior, for an iCBT for chronic
pain. METHODS: The training consists of 1.5 days and covers the implementation
domains "knowledge", "skills", "motivation", and "organization", by focusing on
the therapy's rationale, iCBT skills, and implementation strategies. Using an
evaluation questionnaire, implementation determinants (therapist characteristics,
e-health attitude, and implementation domains) and iCBT acceptance were assessed
among participants after training. RESULTS: Twenty-two therapists participated,
who generally showed positive e-health attitudes, positive implementation
expectations, and high iCBT acceptance. Organizational aspects (e.g., policy
regarding iCBT implementation) were rated neutrally. CONCLUSIONS: An iCBT
therapist training was developed and initial evaluations among participants
showed favorable implementation intentions. PRACTICE IMPLICATIONS: Therapists'
positive training evaluations are promising regarding the dissemination of iCBT
in daily practice. Organizational support is vital and needs to be attended to
when selecting organizations for iCBT implementation.
PMID- 29661705
TI - Corrections.
PMID- 29661706
TI - No one knows what tomorrow might bring.
PMID- 29661707
TI - The genetic architecture of long QT syndrome: A critical reappraisal.
AB - Collectively, the completion of the Human Genome Project and subsequent
development of high-throughput next-generation sequencing methodologies have
revolutionized genomic research. However, the rapid sequencing and analysis of
thousands upon thousands of human exomes and genomes has taught us that most
genes, including those known to cause heritable cardiovascular disorders such as
long QT syndrome, harbor an unexpected background rate of rare, and presumably
innocuous, non-synonymous genetic variation. In this Review, we aim to reappraise
the genetic architecture underlying both the acquired and congenital forms of
long QT syndrome by examining how the clinical phenotype associated with and
background genetic variation in long QT syndrome-susceptibility genes impacts the
clinical validity of existing gene-disease associations and the variant
classification and reporting strategies that serve as the foundation for
diagnostic long QT syndrome genetic testing.
PMID- 29661708
TI - Editorial commentary: The caveats of cardiac imaging in Ebstein anomaly.
PMID- 29661709
TI - Editorial commentary: Are cytokines ready for prime time? Insights from markers
and trials.
PMID- 29661710
TI - Editorial commentary: Nox2: A new challenge for antiplatelet treatment?
PMID- 29661711
TI - Editorial commentary: Diagnosing spontaneous coronary artery dissection in women:
A call for early coronary angiography.
PMID- 29661712
TI - NADPH oxidase 2 (NOX2): A key target of oxidative stress-mediated platelet
activation and thrombosis.
AB - Oxidative stress represents an imbalance between the production of reactive
oxygen species (ROS) and the cellular antioxidant system. Increased levels of
oxidative stress contribute to the development of atherosclerosis that eventually
leads to thrombosis; a principle cause of heart attacks and strokes. Thrombosis
is a consequence of platelet activation and aggregate formation within the
circulation. Platelet ROS are mostly generated by reduced nicotinamide adenine
dinucleotide phosphate (NADPH) oxidase. NOX2 is an isoform from NADPH oxidase
expressed in platelets and an important regulator of platelet activation
associated thrombosis. The present article aims to highlight the relative
contribution of NOX2 as a key target of different platelet activation pathways
and antiplatelet treatment.
PMID- 29661713
TI - Review with novel markers facilitates precise categorization of 41 cases of
diagnostically challenging, "undifferentiated small round cell tumors". A
clinicopathologic, immunophenotypic and molecular analysis.
AB - BACKGROUND: Despite extensive immunohistochemical (IHC) and molecular studies
combined with morphologic findings, a group of round/ovoid cell tumors
histologically similar to Ewing sarcomas (ES) but lacking EWSR1-rearrangements
may remain unclassifiable. DESIGN: We retrospectively analyzed 41 Ewing-like
tumors (formalin-fixed, paraffin-embedded) previously determined as negative or
non-informative for EWSR1-rearrangements by FISH and/or RT-PCR. A new
histopathology revision and additional IHC and molecular analyses were carried
out in order to investigate whether additional IHC and/or molecular testing in
combination with the morphological findings may help in reaching a definitive
diagnosis. RESULTS: Almost all the tumors (n=40) involved soft tissue and/or bone
and half the patients died of disease. In the archival cases all diagnoses were
Ewing sarcoma (ES), Ewing-like sarcoma (ELS), myoepithelial tumor and
undifferentiated sarcoma (US). In the new review all the tumors were re
classified as, ES (n=16), Ewing-like tumor with EWSR1 rearrangement and
amplification and possible EWSR1-NFATC2 gene fusion (n=1), CIC-rearranged
sarcomas or undifferentiated sarcoma, most consistent with CIC-rearranged sarcoma
(n=7), sarcoma with BCOR-alteration or undifferentiated sarcoma, consistent with
BCOR-associated sarcoma (n=3), neuroblastoma (n=2), unclassifiable neoplasm with
neuroblastic differentiation (n=1), malignant rhabdoid tumor (n=2), lymphoblastic
lymphoma (n=1), clear cell sarcoma of the gastrointestinal tract (n=1), small
cell carcinoma (n=1), sclerosing rhabdomyosarcoma (n=1), desmoplastic small round
cell tumor (n=1), malignant peripheral sheath nerve tumor (n=1), poorly
differentiated synovial sarcoma (n=1), Possible gastrointestinal stromal
tumor/GIST with predominant round cells (n=1) and possible SMARCA4-deficient
sarcoma (n=1). NKX2.2, ETV4 and BCOR immunoreactivity was observed in all ES, CIC
rearranged sarcomas and sarcomas with BCOR alteration, respectively. CIC
rearrangement by FISH was observed in many of the CIC-rearranged sarcomas.
CONCLUSION: Our analysis of 41 Ewing-like tumors confirms that there may be a
significant pathological and IHC overlap among Ewing-like tumors, with prognostic
and therapeutic impacts. Additional IHC (NKX2.2, ETV4 and BCOR) and molecular
studies including FUS, CIC or BCOR analysis may support the final diagnosis when
FISH or RT-PCR fail to detect EWSR1-rearrangements. Any molecular findings should
always be interpreted in relation to the specific clinical and pathological
context.
PMID- 29661714
TI - microRNA 155 up regulation in the CNS is strongly correlated to Down's syndrome
dementia.
AB - This study examined the molecular correlates of Down's dementia. qRTPCR for
chromosome 21 microRNAs was correlated with in situ hybridization,
immunohistochemistry for microRNA targets, mRNAs located on chromosome 21, and
neurofibrillary tangles in human and the Ts65 dn mouse Down's model. qRTPCR for
the microRNAs on the triplicated chromosome showed miR-155 dominance in brain
tissues (14.3 fold increase, human and 24.2 fold increase, mouse model) that co
expressed with hyperphosphorylated tau protein. miR-155 was not elevated in
Alzheimer's disease or neonates with Downs' syndrome. Chromosome 21 genes APP/BA
42, DYRK1a and BACH1 were not correlated to pathologic changes in Down's
dementia. Validated CNS targets of miR-155 that were present in controls and
Alzheimer's disease but lacking in Down's dementia brains included BACH1,
CoREST1, bcl6, BIM, bcl10, cyclin D, and SAPK4. It is concluded that Down's
dementia strongly correlated with overexpression of chromosome 21 microRNA 155
with concomitant reduction of multiple CNS-functional targets. This study
highlights the need for anatomic pathologists to determine the specific and
diverse pathways cells may take to form neurofibrillary tangles in the different
dementias.
PMID- 29661716
TI - Small cell lymphocytic variant of marginal zone lymphoma: A distinct form of
marginal zone lymphoma derived from naive B cells as a cutaneous counterpart to
the naive marginal zone lymphoma of splenic origin.
PMID- 29661715
TI - Expression and clinical significance of retinoid X receptor alpha in esophageal
carcinoma.
AB - PURPOSE: Esophageal carcinoma (EC) is one of the most aggressive type cancers and
dysregulation of retinoid X receptor alpha (RXRalpha) involves various tumors.
However, the relationship of RXRalpha with the clinicopathological factors of EC,
particularly prognostic characteristics, remains unclear. This present study was
to evaluate the effect of RXRalpha expression in the development of EC. METHODS:
The mRNA and protein expression level of RXRalpha in EC and normal esophageal
tissues using reverse transcription-polymerase chain reaction (RT-PCR) and
Western blot, respectively. The subcellular localization was detected by
immunohistochemistry (IHC) analysis. The clinicopathological parameters were
included age, sex, tumor size, differentiation, TNM stages and lymph node
metastasis. Kaplan-Meier method and Cox's regression analyses were performed to
evaluate the prognosis of 60 patients with EC. RESULTS: RXRalpha was elevated in
EC tissues comparing with normal esophageal tissues at both mRNA and protein
levels. The overexpression level of RXRalpha was closely associated to the tumor
differentiation, TNM stage and lymph node metastasis of patients with EC. In
addition, EC patients with RXRalpha high expression had significantly lower
disease-free survival (DFS) and overall survival (OS). Multivariate analysis
showed RXRalpha expression as an independent predictor for the DFS and OS rate of
patients with EC. CONCLUSIONS: Our results showed that overexpression of RXRalpha
was correlated with unfavorable prognosis, suggesting that RXRalpha may serve as
a potential targeted therapeutic marker in the treatment of EC.
PMID- 29661717
TI - Primary breast carcinomas with neuroendocrine features: Clinicopathological
features and analysis of tumor growth patterns in 36 cases.
AB - Primary breast carcinoma with neuroendocrine features (NEBC) is an uncommon
tumor. In the classification of WHO 2012, these tumors were categorized as: 1-
neuroendocrine tumor, well-differentiated; 2- neuroendocrine carcinoma, poorly
differentiated/small cell carcinoma; and 3- invasive breast carcinoma with
neuroendocrine differentiation. In this study, we reviewed NEBC except poorly
differentiated/small cell carcinoma variant in order to define the morphological
growth patterns and cytonuclear details of these tumors. All breast surgical
excision materials between 2007 and 2016 were re-evaluated in terms of
neuroendocrine differentiation. Thirty-six cases showing positive staining for
synaptophysin and/or chromogranin A in >=50% of tumor cells were included in the
study. All cases were female with a mean age of 67.4. Mean tumor diameter was 26
mm. Multifocality was noted in 5 cases. Grossly, they were mostly infiltrative
mass lesions. T stages, identified in 34 cases, were as follows: 13 cases with
pT1; 19 pT2 and 2 pT3. We described schematically 4 types of patterns depending
on predominant growth pattern, except one case: 1) Large-sized solid cohesive
groups (6 cases), 2) Small- to medium-sized solid cohesive groups with
trabeculae/ribbons and glandular structures (6 cases), 3) Mixed growth patterns
(20 cases), 4) Invasive tumor with prominent extracellular and/or intracellular
mucin (3 cases). The tumor cells were mostly polygonal-oval with
eosinophilic/eosinophilic-granular cytoplasm. The nuclei of tumor cells were
mostly round to oval with evenly distributed chromatin. Only 5 cases showed high
grade nuclear and histological features. Molecular subtypes of the cases were as
follows: 33 luminal A, 2 luminal B, and 1 triple negative. NEBC should come to
mind when a tumor display one of the morphological patterns described above,
composed of monotonous cells with mild to moderate nuclear pleomorphism and
abundant eosinophilic/eosinophilic granular or clear cytoplasm, especially in
elderly patients.
PMID- 29661718
TI - The Gleason pattern 4 in radical prostatectomy specimens in current practice -
Quantification, morphology and concordance with biopsy.
AB - PURPOSE: The upgrading of a prostate acinar adenocarcinoma grade group 1 (GG1)
between needle biopsy and prostatectomy is common. The extent of high-grade tumor
and cribriform morphology are currently suggested as prognostic factors. METHODS:
We reviewed 159 prostatectomy specimens from a private laboratory and an
academic/public institution in Salvador, Bahia. RESULTS: Tumors signed as GG1 at
biopsy were upgraded in 59% of all cases. These tumors showed a low frequency of
non-focal extraprostatic extension (one case, 3%), extensive positive surgical
margins (two cases, 6%) and seminal vesicle invasion (one case, 3%). Among GG2
and GG3 tumors at prostatectomy, the percentage of Gleason pattern 4 (Gp4)
involving the gland at <=1%, 2-5% and >5% was associated with extensive
extraprostatic extension (9%, 8% and 42%, respectively) and seminal vesicle
invasion (1%, 10% and 31%, respectively). The volume of Gp4 of <=1ml, >1 to 2ml
and >2ml was associated with extensive extraprostatic extension (8%, 26% and 38%,
respectively), seminal vesicle invasion (2%, 21% and 33%, respectively) and non
focal positive surgical margins (12%, 26% and 29%, respectively). Some GG2 tumors
(~20%) indeed showed at least one measurement of Gp4 higher than one quarter of
GG3 carcinomas. Cribriform morphology showed no significant associations for
other adverse pathologic prognostic factors. CONCLUSION: Upgrading from GG1 to
GG2 is associated with a very low frequency of morphologic features associated
with poor prognosis. Routine quantification of Gp4 is feasible in radical
prostatectomy products and seems to better stratify tumors regarding the
association with other morphologic parameters of prognostic importance.
PMID- 29661719
TI - Rectal ulcer and pseudomalignant epithelial changes after prostate seed
brachytherapy: A rare complication with a diagnostic pitfall.
AB - BACKGROUND: Implant brachytherapy (IBT) is a well-recognized treatment modality
for early stage prostate cancer. Rectal ulcer and rectourethral fistula
complicating IBT may cause an alteration of the normal anatomic landmarks. In
this context, pseudomalignant radiation-induced changes within prostatic
epithelium may be misinterpreted as a primary rectal malignancy. Such challenging
and misleading findings have not been described, and may not be recognized as
such. MATERIALS AND METHODS: We present the clinical and pathologic aspects of
two patients who underwent IBT for low stage prostate cancer that was complicated
by deep rectal ulcer. Both patients underwent extensive palliative surgical
resection for disease control. RESULTS: The histologic changes in both cases were
noteworthy for extensive necrosis and inflammation of the prostate, associated
with loss of recto-prostatic anatomical landmarks. Prostatic glands showed
striking radiation-induced atypia and pseudomalignant epithelial changes
extending to the rectal ulcer bed, with no residual viable tumor. The first
patient had undergone a biopsy of the rectal ulcer bed that was misinterpreted as
a rectal adenocarcinoma prior to surgery. The similarity between atypical glands
of the biopsy and the benign prostatic tissue with radiation-induced atypia in
resection specimen confirmed their benign nature. CONCLUSIONS: Deep rectal ulcer
complicating IBT may lead to distortion of the normal recto-prostatic anatomical
landmarks, resulting in detection of pseudo-malignant prostatic glands at the
ulcer base. Such findings may be mistaken for a primary rectal malignancy in
limited biopsy material if not familiar to the pathologist.
PMID- 29661720
TI - PD-L1 immuno-expression assay in thymomas: Study of 84 cases and review of
literature.
AB - BACKGROUND AND AIMS: Programmed death ligand 1 (PD-L1), an immune check point
inhibitor, is known to be expressed in several malignancies and is being
considered as a prognostic factor and a potential immunotherapeutic target. The
aim of this study was to characterize PD-L1 expression in thymomas and to
determine correlation with clinicopathological features and previously published
studies in the literature. METHODS: Tissue microarrays were prepared from
selected blocks of thymomas and immunohistochemistry (IHC) for PD-L1 was
performed. Cases were considered as PD-L1 positive or negative depending on
whether the percentage of stained thymic epithelial cells were <25 or >25%.
Results were compared clinically and with previously published studies using
Google and Pubmed search engines. RESULTS: Of 84 cases of thymoma, 69 (82.1%)
revealed PD-L1 positivity in >25% cells. 94.23% of type B thymoma subtypes
(B1/B2/B3) were PD-L1 positive (P < 0.001). There was no correlation of PD-L1
with age, gender, myasthenia gravis, the tumor size or stage of disease. Nine
studies were available in the literature; most of which showed PD-L1 expression
in higher stage and B subtype however percentage positivity varied from 53.7% to
over 90%. CONCLUSIONS: PD-L1 expression is frequent in type B (B1/B2/B3)
thymomas. It can be easily evaluated by IHC even on small biopsies in
unresectable cases, thereby enabling improved clinical evaluation as well as
prognostic stratification of patients. It will serve as a potential indicator for
benefit from anti-PD-L1 antibody immunotherapy in thymomas.
PMID- 29661721
TI - High expression of PXDN is associated with poor prognosis and promotes
proliferation, invasion as well as migration in ovarian cancer.
AB - BACKGROUND: Peroxidasin (PXDN) is an extracellular matrix protein with peroxidase
activity. PXDN has been reported to participate in the processes of epithelial
mesenchymal transition. However, the roles of PXDN in progression of cancers are
still rare. METHODS: Expression profiles of PXDN in ovarian cancer (OC) tissues
were obtained from GEO and TCGA database. Quantitative reverse transcription
polymerase chain reaction (qRT-PCR) was performed to measure the expression of
PXDN in OC cells. Kaplan-Meier method was used to analyze the overall survival of
OC patients. Furthermore, effects of PXDN knockdown on the proliferation,
invasion as well as migration of HEY cells were examined by Cell Counting kit-8
(CCK-8), wound healing and transwell assay. Additionally, western blot assay was
conducted to detect the levels of several key proteins in PI3K/Akt pathway.
RESULTS: PXDN was highly expressed in OC tissues and cells. OC Patients with high
PXDN expression showed poorer overall survival rate compared to the OC patients
with low PXDN expression. The results of the present study demonstrated that
knockdown of PXDN significantly suppressed the proliferation, invasion and
migration of HEY cells. In addition, after silencing PXDN in HEY cells, the
expression levels of the key protein phosphorylation in PI3K/Akt pathway were
obviously decreased, including p-PI3K and p-Akt, that resulting in the inhibition
of PI3K/Akt pathway activation. CONCLUSION: PXDN might play a promoter role in
the proliferation, invasion and migration of OC cells through regulating the
activation of PI3K/Akt pathway. Therefore, PXDN might be regarded as a potential
target for OC therapy.
PMID- 29661722
TI - The knockdown of the mediator complex subunit MED30 suppresses the proliferation
and migration of renal cell carcinoma cells.
AB - BACKGROUND: The mediator complex consists of 33 subunits and plays a central role
in transcription. Studies have already described the involvement of individual
subunits, especially in carcinogenesis. With regard to the subunit MED30, this
has, so far, only been confirmed in gastric and breast carcinoma. The role of
MED30 in urological tumours is unknown. MATERIALS AND METHODS: First, a database
analysis using cBioPortal was performed for the mRNA expression and survival
analysis of MED30 in clear cell renal cell carcinoma (ccRCC) and papillary RCC
(pRCC). The immunohistochemical analysis (IHC) against MED30 was performed on
tissue microarrays (TMA), with benign, ccRCC, pRCC samples, and ccRCC-metastases.
Intensity evaluation was performed using the IRS (Immunoreactive Score). The
ccRCC cell lines ACHN and A-498 were used for the functional investigation of
proliferation, migration, and invasion after the knockdown of MED30 by siRNA.
RESULTS: In a database analysis by cBioPortal, it was shown that mRNA
overexpression of MED30 in the pRCC was significantly associated with a poorer
overall survival and progression-free survival. In the IHC, pRCC showed the
highest level of MED30 expression, unfortunately without significant results in
the survival analysis. The knockdown of MED30 resulted in a significant decrease
in proliferation, migration, and invasion in ccRCC. CONCLUSION: In summary, MED30
seems to be involved in the progression of the RCC.
PMID- 29661723
TI - High levels of intratumor heterogeneity characterize the expression of epithelial
mesenchymal transition markers in high-grade clear cell renal cell carcinoma.
AB - Immunohistochemistry is a basic routine in establishing the diagnosis of many
tumors. However, immunomarkers are often irregularly distributed across different
regions of the same tumor, alternating positive and negative areas without any
apparent cause. Full identification of this type of intratumor heterogeneity is
crucial for patients since the expression of many markers is linked to the
prognosis and/or treatment of some tumors. We have quantified this variability
testing 406 tumor samples from eight clear cell renal cell carcinomas using four
epithelial-mesenchymal transition markers (vimentin, ZEB-1, beta-catenin, and E
cadherin) and two different sampling protocols. Routine sampling included an
amount of 59 samples (average, 7.3 samples/case) and multisite tumor sampling did
a total of 347 samples (average, 43.3 samples/case). High variability of
immunostaining was detected with E-cadherin and ZEB-1 in all high-grade cases.
Irregular patterns of expression were detected in all tumors including all
histologically homogeneous low-grade tumors. Multisite tumor sampling protocol
detected a significant decreased number of E-cadherin, beta-catenin and ZEB-1
positive samples in high-grade tumors. We conclude that high levels of intratumor
heterogeneity characterize the immunohistochemical expression of epithelial
mesenchymal transition markers in high-grade clear cell renal cell carcinomas.
Multisite tumor sampling protocol outperforms routine sampling in detecting
immunohistochemical intratumor heterogeneity.
PMID- 29661724
TI - Variation in nuclear size and PD-L2 positivity correlate with aggressive
chromophobe renal cell carcinoma.
AB - Chromophobe renal cell carcinoma (CRCC) is not amenable to International Society
for Urologic Pathology-endorsed nucleolar grading. Novel grading approaches were
proposed, but the rarity of adverse pathology hampers their discriminatory value.
We investigate simple linear micrometer measurements and a proposed immunostain
in CRCCs. 32 patients' CRCCs were studied: 12 adverse cases (stage pT3,
recurrence, or metastasis), 15 controls (stage <=pT2, no recurrence or metastasis
after >3 years), and 8 metastases (3 were paired with primary adverse cases). The
ratio of greatest dimensions of largest and smallest nuclei, in each of 5 "worst"
high-power fields, excluding those with degenerative features, was designated
variation in nuclear size (VNS). Percent multinucleate cells (PMC) were also
counted. Mouse anti PD-L2 monoclonal antibody immunostaining was performed. Mean
VNS measured in adverse primary and control primary tumors were 3.7 +/- 0.5 and
2.4 +/- 0.4 respectively (P < .001), and 3.4 +/- 0.4 for metastases (P < .001).
Optimal VNS cut-off was 2.5, with sensitivity and specificity 0.85 and 0.81,
respectively. PMCs were 6.0 +/- 3.0 for adverse group, 5.7 +/- 2.7 for controls,
and 4.1 +/- 1.6 for metastases (P = NS). PD-L2 could not discriminate adverse
versus good primary tumors (chi21.6, P = .2), but was higher in metastases (chi2
6.9, P < .01), or metastases plus adverse primary tumors (chi2 4.8, P = .03),
compared to good-pathology primary tumors. In conclusion, VNS is an easily
obtained measurement that can predict adverse behavior of chromophobe RCC, and
may impart value for needle biopsy reporting and the choice of active
surveillance. PD-L2 was elevated in metastases but was less useful for primary
tumors.
PMID- 29661725
TI - Importin-beta and exportin-5 are indicators of acute viral infection: Correlation
of their detection with commercially available detection kits.
AB - This work focused on immunohistochemistry markers of acute viral infections.
Viral infected cells were detected by in situ based methods (reovirus, rabies
virus) or cytologic changes (human papillomavirus, molloscum contagiosum virus,
herpes simplex virus). Two proteins involved in nuclear trafficking, importin
beta and exportin-5, were detected in the infected cells for each virus and not
in the control tissues. A wide variety of other proteins, including caspase-3,
and bcl-2 family members (bcl2, bclX, MCL1, BAK, BAX, BIM, BAD) showed wide
variations in expression among the different viral infections. Specificity of the
importin-beta and exportin-5 signals varied greatly with different commercially
available peroxidase conjugates. It is concluded that immunohistochemistry
detection of importin-beta and exportin-5 may be useful markers of acute viral
infection, which suggests that increased nuclear trafficking may be an important
concomitant of viral proliferation.
PMID- 29661726
TI - Detection of synchronous primary lung adenocarcinomas with genomic sequencing.
AB - In the setting of synchronous pulmonary carcinomas, distinguishing between a
monoclonal process with intrapulmonary metastasis and two independent tumors has
significant therapeutic and prognostic implications. We describe two cases in
which molecular profiling was used to characterize synchronous, primary pulmonary
tumors and guide clinical management. In both cases, the patients underwent
surgical resection without adjuvant chemotherapy or radiation and remain free of
disease.
PMID- 29661727
TI - Investigation of the relationship of Epstein-Barr virus with in situ
hybridization in renal-cell carcinomas.
PMID- 29661728
TI - Overexpression of COX2 indicates poor survival in urothelial bladder cancer.
AB - BACKGROUND: COX2 is a cyclo-oxygenase enzyme expressed in the tumor cells,
inflammatory cells, stromal and non-epithelial cells. The study was conducted to
evaluate the expression of COX2 in Urothelial carcinoma and find the association
with progression and recurrence. METHODS: The expression of COX2 was evaluated by
real-time PCR and immunohistochemistry. RESULTS: Gene expression of COX2 was
found to be upregulated >28-fold in urothelial cancer compared to adjacent normal
bladder mucosa. Inflammatory cell expression of COX2 was found in 92% cases
whereas only 37% cases showed COX2 overexpression in tumor cells. Tumor cell COX2
overexpression was significantly associated with invasion and recurrence.
CONCLUSION: COX2 expression is a marker of invasion, recurrence and poor survival
and may have a role in predicting the cases which will benefit from additional
treatment with COX2 inhibitors in urothelial carcinoma.
PMID- 29661729
TI - Myositis ossificans - Another condition with USP6 rearrangement, providing
evidence of a relationship with nodular fasciitis and aneurysmal bone cyst.
AB - Myositis ossificans is defined as a self-limiting pseudotumor composed of
reactive hypercellular fibrous tissue and bone. USP6 rearrangements have been
identified as a consistent genetic driving event in aneurysmal bone cyst and
nodular fasciitis. It is therefore an integral part of the diagnostic workup when
dealing with (myo)fibroblastic lesions of soft tissue and bone. Two cases of
myositis ossificans with USP6 rearrangement were published so far. We determine
herein the incidence of USP6 rearrangement in myositis ossificans using USP6
fluorescence in situ hybridization analysis (FISH). Of the 11 cases included,
seven patients were female and four were male. Age ranged from 6 to 56 years
(mean 27 years). Lesions were located in the thigh (n = 5), knee (n = 1), lower
leg (n = 1), lower arm (n = 1), perineum (n = 1), gluteal (n = 1) and thoracic
wall (n = 1). All assessable cases except one (8/9) showed rearrangement of USP6
providing evidence that myositis ossificans is genetically related to nodular
fasciitis and aneurysmal bone cyst.
PMID- 29661730
TI - Distribution of lymphoid neoplasms in Northwest China: Analysis of 3244 cases
according to WHO classification in a single institution.
AB - To explore the distribution of lymphoid neoplasms in Northwest China, the
clinical and pathological data of lymphoma patients from 2006 to 2014 were
analyzed according to the WHO classification in Xijing Hospital. Of the 3244
cases, mature B-cell neoplasms occupied 60.7%, while mature T/NK-cell neoplasms
and Hodgkin's lymphomas (HL) occupied 26.2% and 8.1%, respectively. The most
common subtype of lymphoma was diffuse large B-cell lymphoma (35.0%), followed by
extranodal NK/T-cell lymphoma, nasal type (ENKTCL) (12.9%) and marginal zone B
cell lymphoma (7.8%). Mixed cellularity (34.0%) was the most common subtype of
HL. The especially high proportion of ENKTCL was the most outstanding feature of
our study in comparison to previous reports. The mean age of all lymphoid
neoplasms cases was 51years and most subtypes showed male predominance, with an
average male-female ratio of 1.6. Extranodal lymphomas took up about 60% of all
cases and gastrointestinal tract was the most frequently involved site. In
conclusion, the distribution of lymphoid neoplasms of Northwest China showed some
features similar to previous reports of China and other countries, but some
subtypes presented distinct features.
PMID- 29661731
TI - Myofibroblasts and mast cells: influences on biological behavior of odontogenic
lesions.
AB - Odontogenic lesions differ in their rate of recurrence and aggressiveness. This
study aimed to evaluate the presence of myofibroblasts and mast cells in
odontogenic lesions. Sample consisted of 20 cases each of dentigerous cysts,
odontogenic keratocysts, and solid ameloblastomas. Histologic sections were
submitted to immunohistochemistry using anti-alpha-smooth muscle actin and anti
tryptase antibodies. Myofibroblasts and mast cells were counted at *400
magnification in 5 and 10 fields, respectively. Myofibroblasts were more frequent
in ameloblastomas (24.41), followed by odontogenic keratocysts (16.21) and
dentigerous cysts (11.85; P=.002). Granulated and degranulated mast cells were
more frequent in dentigerous cysts (7.88 and 8.96, respectively), followed by
odontogenic keratocysts (6.53 and 7.08) and ameloblastomas (5.21 and 1.88). The
difference was only significant for degranulated mast cells (P<.05). Analysis of
the correlation between myofibroblasts and mast cells (granulated and
degranulated) revealed a moderate positive correlation only in ameloblastomas
(R=0.621, P=.003). Probably, myofibroblasts are related to the biological
behavior of the odontogenic lesions studied, particularly their aggressiveness.
On the other hand, mast cells seem to be associated with inflammatory processes,
which are more frequent in cystic lesions than in benign neoplasms. In addition,
mast cells may induce the differentiation of fibroblasts into myofibroblasts,
thus increasing the number of the latter.
PMID- 29661732
TI - MCM-2 expression differentiates potentially malignant verrucous lesions from oral
carcinomas.
AB - BACKGROUND: Mcm-2 is a biomarker belonging to Mcm family of proteins which has
rarely been used in oral potentially malignant and malignant lesions of the
verrucous type. The objective of this study is to assess the expression of Mcm-2
in Normal Oral Mucosa (NM), Verrucous Hyperplasia (VH), Verrucous Carcinoma (VC)
and Oral Squamous Cell Carcinoma (OSCC) and compare it with the
clinicopathological characteristics. METHODOLOGY: A total of 70 formalin fixed
paraffin embedded tissue samples (10 cases of Normal Mucosa NM- Group A, 10 cases
of Verrucous Hyperplasia- VH without Dysplasia- Group B, 10 cases of Verrucous
Hyperplasia- VH with Dysplasia- Group C, 20 cases of Verrucous Carcinoma VC-Group
D, 20 cases of Oral Squamous Cell Carcinoma OSCC- Group E) were subjected to
immunohistochemistry with Mcm-2 antibody. Statistical analysis was carried out
with various tests like ANOVA, Tukey HSD, Chi-Square and Shapiro-Wilk test by
using the SPSS software. RESULTS: There was a significant difference in Mcm-2
expression with quantitative analysis among all the groups (p < 0.05). There was
a significant progressive increase in nuclear Labelling Indices (nLI) from NM
(49.08%), VC (60.45%), VH with Dysplasia (64.10%), and OSCC (89.22%). CONCLUSION:
The findings suggest that Mcm-2 may be a sensitive proliferation marker in oral
potentially malignant and malignant lesions which may be useful for
differentiating between VH with/ without dysplasia, VC and OSCC.
PMID- 29661734
TI - Pathology resident perspectives about early autopsy experiences.
AB - The performance of autopsies remains an integral part of residency training in
Anatomic Pathology. A number of medical schools no longer require an autopsy
experience; therefore, a subset of pathology residents has never seen an autopsy
performed prior to commencement of residency training. Although much as been
written regarding student's perspectives on their medical school anatomy
experiences, practically nothing has been written about resident perspectives on
the autopsy experience. Surveys were sent to all Pathology resident trainees (n =
27) in a training program exploring resident perspectives on their early autopsy
experiences. Of the 13 residents who completed the survey, ten indicated a
discomfort level of 3 or 4 (Likert scale of 1-5 with 1 = no discomfort and 5 =
very uncomfortable) associated with their first autopsy; the most commonly cited
reasons included discomfort with odors/body fluids (n = 6), fear of making a
mistake (n = 5), and uncertainty about what to do (n = 4). Six residents felt it
would be worthwhile to engage in a discussion around the first autopsy experience
to help process it. In summary, a subset of residents experience discomfort
around their first autopsy experience. Sensitivity to and acknowledgement of this
discomfort and an opportunity to vet feelings and concerns should be considered
as part of Pathology residency education.
PMID- 29661733
TI - Analysis of EML4-ALK rearrangement in non-small cell lung cancer in Argentina.
AB - INTRODUCTION: Identification of EML4-ALK rearrangement by FISH test has become
standard in advanced NSCLC patients. There is limited information about the
prevalence and clinical characteristics of ALK translocation in Latin America.
The aim of our study was to evaluate this lung cancer subtype features in
Argentinian patients and the factibility of FISH test with different methods used
for obtaining tissue samples. METHODS: Between August 2014 and February 2017, 183
non-squamous NSCLC patients were prospectively enrolled from five Argentinian
institutions. Different techniques and procedures were used to obtained tissue
samples material. ALK determination was performed by FISH and
immunohistochemistry (IHC). Correlation with clinico-pathological information and
different biopsy procedures was assessed. RESULTS: From 183 non-squamous NSCLC
samples, 131 could perform FISH test, finding 123 (93.9%) negative and 8 (6.1%)
positive patients. Fifty-one samples were not evaluable by FISH, 35 because of
technical problems and 16 due to not/weak signal. The difficulties in obtaining
adequate FISH tests were observed significantly more frequently for fine-needle
aspiration (FNA) and core-needle biopsy than for excisional and incisional biopsy
(p = 0.009). Regarding the procedures, surgery was the most efficient, obtaining
only 12.7% (10/79) of not evaluable samples for FISH, while CT guided biopsy and
transbronchial biopsy (TBB) failed in 43.8% (21/48) and 41.3% (19/46) of patients
respectively (p < 0.001). We observed a significant association between ALK
translocation and never smoking habit (p = 0.004). CONCLUSION: Our ALK
rearrangements frequency (6.1%) was similar to the reports worldwide. One of the
major determinants for the ALK FISH test success is the quality of the tissue
sample obtained.
PMID- 29661735
TI - Fine needle aspiration of alveolar soft part sarcoma in a child:
Cytomorphological clues for the surgical pathologist.
AB - Alveolar soft part sarcoma (ASPS) is a rare soft tissue neoplasm generally
affecting adolescents and young adults. Its unique histologic and ultrastructural
features have been well-described; however, the cytopathological features of ASPS
are less well-characterized, and recognition of this entity's features on
cytologic preparations can ensure that the specimen adequacy and
appropriate/rapid tissue allocation for additional testing. Herein we report a
FNA case of ASPS with emphasis on cytomorphologic characteristics.
PMID- 29661736
TI - Loss of PD-L1 (SP-142) expression characterizes renal vein tumor thrombus
microenvironment in clear cell renal cell carcinoma.
AB - Immunotherapy is a promising tool in the treatment of patients with advancer
renal cancer, in particular the blockage of immune checkpoint inhibitors. Clear
cell renal cell carcinoma is an example of heterogeneous neoplasm and this
particular characteristic is responsible of many therapeutic failures so far.
Since variations in the local microenvironment across a tumor may conditionate
the effect of this new therapy, a deeper knowledge of this issue seems advisable
for any treatment success. We have analyzed the PD-L1 (SP142) expression in three
different areas in the tumor and in two areas in the renal vein/caval thrombi in
39 advanced clear cell renal cell carcinomas to determine the extent and
potential clinical significance of this regional variability. A statistically
significant decrease in PD-L1 expression has been detected between the main tumor
and its thrombus faction (p < 0.0001). Also, we have observed a high variability
in the PD-L1 positivity across the three different areas of the main tumor
tested, with only three cases being uniformly positive in all tested areas. In
conclusion, PD-L1 expression display a highly variable distribution in clear cell
renal cell carcinomas and this particularity should be kept in mind when
selecting the tumor samples to be tested for immunotherapy.
PMID- 29661737
TI - A rapid triage protocol to optimize cold ischemic time for breast resection
specimens.
AB - Prolonged time from specimen excision to adequate formalin exposure, or cold
ischemic time (CIT), negatively impacts estrogen receptor (ER), progesterone
receptor (PR) and HER-2 biomarker studies routinely performed on breast
specimens. Current guidelines recommend CIT of <=1 h. Since formalin penetrates
resections slowly, optimal fixation requires incision. We evaluated the efficacy
of a rapid triage protocol developed to optimize CIT. We identified 2821
specimens: 650 (23.0%) excisional biopsies (EB), 1051 (37.3%) lumpectomies, and
1120 (39.7%) mastectomies. CIT was available for 2362 (83.7%), with 1845 (78.1%)
<=1 h and 2323 (98.3%) <=4 h. IHC was performed in 533/2821 (18.9%) and was
associated with lumpectomy and mastectomy procedures when compared to EB.
However, IHC was also performed on 11.1% (72/650) of EB specimens despite EB
being significantly less likely to have CIT recorded (468/650; 72% for EB vs.
1894/2171; 87.2% for lumpectomies/mastectomies). Our study highlights the need
for rapid triage of breast resections with known or suspected malignant diagnoses
and outlines our procedure for optimizing CIT. Additionally, we advocate treating
ALL breast resections as having the potential of being malignant and requiring
biomarker studies for which optimal CIT is of great importance.
PMID- 29661738
TI - Overexpression of Lox in triple-negative breast cancer.
AB - BACKGROUND: Triple negative breast cancer (TNBC) accounts for approximately 15%
of breast cancers. It is associated with a poor prognosis and typically earlier
onset of metastasis in comparison with other breast cancer subtypes. Since TNBC
lacks the expression of estrogen and progesterone receptors and Her2 status is
also negative, there is currently no target that can be used for systemic
therapy. Epithelial-mesenchymal transition (EMT) plays an important role in tumor
progression and metastasis. In this study, we examined a subset of EMT markers
consisting of Snail, Twist-1 and Lox in TNBC and non-TNBC breast cancer subtypes
and analyzed their expression pattern in regard to subtype, clinico-pathological
parameters and prognosis. EXPERIMENTAL DESIGN: We analyzed 659 breast cancer
samples from two tissue microarrays. Breast cancer samples were categorized into
two groups according to hormone receptor expression and Her2 status (n = 146 were
triple negative, n = 513 were non triple-negative). Immunohistochemical
expression of Snail, Twist-1 and Lox was semi-quantitatively analyzed using a
three-tiered (weak-moderate-strong) scoring system. Results were statistically
analyzed and correlated to clinico-pathological parameters and overall survival.
RESULTS: Strong overexpression of Lox was significantly higher in triple negative
breast cancers when compared to non triple-negative breast cancers (p < 0.001).
No difference was seen between the groups regarding Snail and Twist expression (p
> 0.05). In addition, Lox expression was significantly stronger in poorly
differentiated (G3) breast cancers (p < 0.001 for Lox). CONCLUSIONS: The EMT
marker Lox has a differential expression pattern in breast cancer, being
significantly overexpressed in triple negative breast cancers. We could not link
this expression to prognosis, however, this marker might be explored in future
studies as possible target for systemic therapy of TNBC.
PMID- 29661739
TI - Eosinophilic Fasciitis in Association With Hypereosinophilic Syndrome in an HIV
Infected Patient With Severe Multiorgan Involvement.
PMID- 29661740
TI - Tolerability and Immunological Effect of Short Up-Dosing Immunotherapy With 2
Standardized Native Allergen Extracts Derived From the Pollen of Salsola kali and
Cupressus arizonica.
PMID- 29661741
TI - Recall Urticaria: Aspirin Also Induces It.
PMID- 29661742
TI - Overlap Between DRESS Syndrome and Exanthema Induced by Sulfadiazine in a Patient
Treated With Sulfamethoxazole: Utility of the Lymphocyte Transformation Test for
Identification of the Culprit Drug.
PMID- 29661743
TI - Anaphylaxis to Etoricoxib.
PMID- 29661744
TI - Allergy To Limanda aspera (Yellowfin Sole): Report of a Case of Food Allergy in a
Child.
PMID- 29661745
TI - Evolution of analgesic prescriptions in ambulatory care patients aged 75 and
over, three months after a hospitalization in geriatric medicine.
AB - The main objective is to analyze the evolution of analgesic treatment three to
five months after a hospitalization in geriatric medicine for patients aged 75
and over, considering the influences of various factors and reasons of
modifications. In this single institution and cohort study, patients were
included from geriatric medicine at the University Hospital of Caen. Analgesic
treatments were collected during hospitalization. Three months post
hospitalization, general practitioners were contacted regarding the evolution of
the analgesic treatment. Patients included were hospitalized between June and
September 2015. Three months after hospitalization, 75 patients had useful data.
There were changes of treatment for 58.7 % of patients (n=44), most of whom had a
reduction of treatment (n=23). The main cause for change was the occurrence of an
intercurrent event, 34.1 % (n=15), in particular a new hospitalization (n=11),
and pain improvement : 31.9 % (n=14). The presence of tramadol on discharge
prescriptions was an influent factor of the treatment change three months post
hospitalization. After a hospitalization, general practitioners have an important
role in the necessary adaptation of pain treatment in the patient's everyday
life.
PMID- 29661746
TI - Effects of oral vitamin D3 supplementation in stage 3 chronic kidney disease
subjects: insulin resistance syndrome and hormonal disturb interactions.
AB - The 1-25-hydroxyvitamine D (1-25OHD) or calcitriol deficiency in chronic kidney
disease (CKD) patients was associated with increases vascular calcification risk,
nephrons reduction, bone deficit and cardiovascular mortality by atherosclerosis.
The objective of this study was to investigate the pleiotropic effects of 200.000
IU (D200 group) every 3 months versus 30.000 IU (D30 group) every month dose
vitamin D supplementation in stage 3 CKD patients. A cohort of 132 adult subjects
was randomized into 2 groups according to dose vitamin D supplementation in
deficient subjects (25OHD <50 nmol/L or <20 ng/mL). Serum 25OHD levels were
assessed before and after 6 and 12 months of vitamin D supplementation. Patients
were phenotyped for IRS according to NCEP/ATPIII. Glomerular filtration rate
(GFR) by the MDRD formula. Insulin resistance was evaluated by the Homa-IR model.
IRS clusters by Cobas Integra 400(r). PTH, Cortisol and IGF-1 were determined by
radioimmunologic methods. The 25OHD profile was analyzed by LC-MS/MS. Results
showed that vitamin D supplementation increased serum 25OHD concentrations (>75
nmol/L or >30 ng/mL) in both groups; however, the supplementation benefits are
more significant in D30 group than in D200 group. We noted a highlighted
improvement of kidney function, an inhibition of GFR collaps, a safe reduction of
proteinuria, a significant PTH and C-reactive protein (inflammation) levels
attenuation, concomitantly with cortisolemia normalization and decreased IGF-1
depletion. Nevertheless, homocysteine and Lp(a) concentrations remain increased,
not modulated by vitamin D treatment. This study shows that continuous low doses
(30.000 IU every month) are recommended for intermittent high doses (200.000 IU
every 3 months) vitamin D supplementation. Our study suggests that the serum
25OHD profile can be considered a reliable biomarker in the bioclinic CKD status
to stage stabilization and inhibit its evolution.
PMID- 29661747
TI - Patient Continued Use of Online Health Care Communities: Web Mining of Patient
Doctor Communication.
AB - BACKGROUND: In practice, online health communities have passed the adoption stage
and reached the diffusion phase of development. In this phase, patients equipped
with knowledge regarding the issues involved in health care are capable of
switching between different communities to maximize their online health community
activities. Online health communities employ doctors to answer patient questions,
and high quality online health communities are more likely to be acknowledged by
patients. Therefore, the factors that motivate patients to maintain ongoing
relationships with online health communities must be addressed. However, this has
received limited scholarly attention. OBJECTIVE: The purpose of this study was to
identify the factors that drive patients to continue their use of online health
communities where doctor-patient communication occurs. This was achieved by
integrating the information system success model with online health community
features. METHODS: A Web spider was used to download and extract data from one of
the most authoritative Chinese online health communities in which communication
occurs between doctors and patients. The time span analyzed in this study was
from January 2017 to March 2017. A sample of 469 valid anonymous patients with
9667 posts was obtained (the equivalent of 469 respondents in survey research). A
combination of Web mining and structural equation modeling was then conducted to
test the research hypotheses. RESULTS: The results show that the research
framework for integrating the information system success model and online health
community features contributes to our understanding of the factors that drive
patients' relationships with online health communities. The primary findings are
as follows: (1) perceived usefulness is found to be significantly determined by
three exogenous variables (ie, social support, information quality, and service
quality; R2=0.88). These variables explain 87.6% of the variance in perceived
usefulness of online health communities; (2) similarly, patient satisfaction was
found to be significantly determined by the three variables listed above
(R2=0.69). These variables explain 69.3% of the variance seen in patient
satisfaction; (3) continuance use (dependent variable) is significantly
influenced by perceived usefulness and patient satisfaction (R2=0.93). That is,
the combined effects of perceived usefulness and patient satisfaction explain
93.4% of the variance seen in continuance use; and (4) unexpectedly, individual
literacy had no influence on perceived usefulness and satisfaction of patients
using online health communities. CONCLUSIONS: First, this study contributes to
the existing literature on the continuance use of online health communities using
an empirical approach. Second, an appropriate metric was developed to assess
constructs related to the proposed research model. Additionally, a Web spider
enabled us to acquire objective data relatively easily and frequently, thereby
overcoming a major limitation of survey techniques.
PMID- 29661748
TI - Virtual Reality Cue Refusal Video Game for Alcohol and Cigarette Recovery
Support: Summative Study.
AB - BACKGROUND: New technologies such as virtual reality, augmented reality, and
video games hold promise to support and enhance individuals in addiction
treatment and recovery. Quitting or decreasing cigarette or alcohol use can lead
to significant health improvements for individuals, decreasing heart disease risk
and cancer risks (for both nicotine and alcohol use), among others. However,
remaining in recovery from use is a significant challenge for most individuals.
OBJECTIVE: We developed and assessed the Take Control game, a partially immersive
Kinect for Windows platform game that allows users to counter substance cues
through active movements (hitting, kicking, etc). METHODS: Formative analysis
during phase I and phase II guided development. We conducted a small wait-list
control trial using a quasi-random sampling technique (systematic) with 61
participants in recovery from addiction to alcohol or tobacco. Participants used
the game 3 times and reported on substance use, cravings, satisfaction with the
game experience, self-efficacy related to recovery, and side effects from
exposure to a virtual reality intervention and substance cues. RESULTS:
Participants found the game engaging and fun and felt playing the game would
support recovery efforts. On average, reported substance use decreased for
participants during the intervention period. Participants in recovery for alcohol
use saw more benefit than those in recovery for tobacco use, with a statistically
significant increase in self-efficacy, attitude, and behavior during the
intervention. Side effects from the use of a virtual reality intervention were
minor and decreased over time; cravings and side effects also decreased during
the study. CONCLUSIONS: The preliminary results suggest the intervention holds
promise as an adjunct to standard treatment for those in recovery, particularly
from alcohol use.
PMID- 29661749
TI - A Website Supporting Sensitive Religious and Cultural Advance Care Planning
(ACPTalk): Formative and Summative Evaluation.
AB - BACKGROUND: Advance care planning (ACP) promotes conversations about future
health care needs, enacted if a person is incapable of making decisions at end-of
life that may be communicated through written documentation such as advance care
directives. To meet the needs of multicultural and multifaith populations in
Australia, an advance care planning website, ACPTalk, was funded to support
health professionals in conducting conversations within diverse religious and
cultural populations. ACPTalk aimed to provide religion-specific advance care
planning content and complement existing resources. OBJECTIVE: The purpose of
this paper was to utilize the context, input, process, and product (CIPP)
framework to conduct a formative and summative evaluation of ACPTalk. METHODS:
The CIPP framework was used, which revolves around 4 aspects of evaluation:
context, input, process, and product. Context: health professionals' solutions
for the website were determined through thematic analysis of exploratory key
stakeholder interviews. Included religions were determined through an
environmental scan, Australian population statistics, and documentary analysis of
project steering committee meeting minutes. Input: Project implementation and
challenges were examined through documentary analysis of project protocols and
meeting minutes. Process: To ensure religion-specific content was accurate and
appropriate, a website prototype was built with content review and functionality
testing by representatives from religious and cultural organizations and other
interested health care organizations who completed a Web-based survey. Product:
Website analytics were used to report utilization, and stakeholder perceptions
were captured through interviews and a website survey. RESULTS: Context: A total
of 16 key stakeholder health professional (7 general practitioners, 2 primary
health nurses, and 7 palliative care nurses) interviews were analyzed. Website
solutions included religious and cultural information, communication ideas, legal
information, downloadable content, and Web-based accessibility. Christian and non
Christian faiths were to be included in the religion-specific content. Input:
Difficulties gaining consensus on religion-specific content were overcome by
further state and national religious organizations providing feedback. Process: A
total of 37 content reviewers included representatives of religious and cultural
organizations (n=29), health care (n=5), and community organizations (n=3). The
majority strongly agree or agree that the content used appropriate language and
tone (92%, 34/37), would support health professionals (89%, 33/37), and was
accurate (83%, 24/29). Product: Resource usage within the first 9 months was
12,957 page views in 4260 sessions; majority were (83.45%, 3555/4260) from
Australia. A total of 107 Australian-based users completed the website survey;
most felt information was accurate (77.6%, 83/107), easy to understand (82.2%,
88/107), useful (86.0%, 92/107), and appropriate (86.0%, 92/107). A total of 20
nurses (general practice n=10, palliative care n=8, and both disciplines n=2)
participated in stakeholder interviews. Qualitative findings indicated overall
positivity in relation to accessibility, functionality, usefulness, design, and
increased knowledge of advance care planning. Recommended improvements included
shortened content, a comparable website for patients and families, and
multilingual translations. CONCLUSIONS: The CIPP framework was effectively
applied to evaluate the development and end product of an advance care planning
website.Although overall findings were positive, further advance care planning
website development should consider the recommendations derived from this study.
PMID- 29661750
TI - Relationship Between Weekly Patterns of Caloric Intake and Reported Weight Loss
Outcomes: Retrospective Cohort Study.
AB - BACKGROUND: Although millions of overweight and obese adults use mobile phone
apps for weight loss, little is known about the predictors of success. OBJECTIVE:
The objective of this study was to understand the relationship between weight
loss outcomes and weekly patterns of caloric intake among overweight and obese
adults using a mobile phone app for weight loss. METHODS: We examined the
relationship between weekly patterns of caloric intake and weight loss outcomes
among adults who began using a weight loss app in January 2016 and continued
consistent use for at least 5 months (N=7007). Unadjusted and adjusted linear
regression analyses were used to evaluate the predictors of percentage of
bodyweight lost for women and men separately, including age, body mass index
category, weight loss plan, and difference in daily calories consumed on weekend
days (Saturday and Sunday) versus Monday. RESULTS: In adjusted linear regression,
percentage of bodyweight lost was significantly associated with age (for women),
body mass index (for men), weight loss plan, and differences in daily caloric
intake on Mondays versus weekend days. Compared with women consuming at least 500
calories more on weekend days than on Mondays, those who consumed 50 to 250
calories more on weekend days or those with balanced consumption (+/-50 calories)
lost 1.64% more and 1.82% more bodyweight, respectively. Women consuming 250 to
500 calories or more than 500 calories more on Mondays than on weekend days lost
1.35% more and 3.58% more bodyweight, respectively. Compared with men consuming
at least 500 calories more on weekend days than on Mondays, those consuming 250
to 500 calories or more than 500 calories more on Mondays than on weekend days
lost 2.27% and 3.42% less bodyweight, respectively. CONCLUSIONS: Consistent
caloric intake on weekend days and Mondays or consuming slightly fewer calories
per day on Mondays versus weekend days was associated with more successful weight
loss. TRIAL REGISTRATION: ClinicalTrials.gov NCT03136692;
https://clinicaltrials.gov/ct2/show/NCT03136692 (Archived by WebCite at
http://www.webcitation.org/6y9JvHya4).
PMID- 29661751
TI - Comparison of Online Survey Recruitment Platforms for Hard-to-Reach Pregnant
Smoking Populations: Feasibility Study.
AB - BACKGROUND: Recruiting hard-to-reach populations for health research is
challenging. Web-based platforms offer one way to recruit specific samples for
research purposes, but little is known about the feasibility of online
recruitment and the representativeness and comparability of samples recruited
through different Web-based platforms. OBJECTIVE: The objectives of this study
were to determine the feasibility of recruiting a hard-to-reach population
(pregnant smokers) using 4 different Web-based platforms and to compare
participants recruited through each platform. METHODS: A screener and survey were
distributed online through Qualtrics Panel, Soapbox Sample, Reddit, and Amazon
Mechanical Turk (mTurk). Descriptive statistics were used to summarize results of
each recruitment platform, including eligibility yield, quality yield, income,
race, age, and gestational age. RESULTS: Of the 3847 participants screened for
eligibility across all 4 Web-based platforms, 535 were eligible and 308 completed
the survey. Amazon mTurk yielded the fewest completed responses (n=9), 100% (9/9)
of which passed several quality metrics verifying pregnancy and smoking status.
Qualtrics Panel yielded 14 completed responses, 86% (12/14) of which passed the
quality screening. Soapbox Sample produced 107 completed surveys, 67% (72/107) of
which were found to be quality responses. Advertising through Reddit produced the
highest completion rate (n=178), but only 29.2% (52/178) of those surveys passed
the quality metrics. We found significant differences in eligibility yield,
quality yield, age, number of previous pregnancies, age of smoking initiation,
current smokers, race, education, and income (P<.001). CONCLUSIONS: Although each
platform successfully recruited pregnant smokers, results varied in quality,
cost, and percentage of complete responses. Moving forward, investigators should
pay careful attention to the percentage yield and cost of online recruitment
platforms to maximize internal and external validity.
PMID- 29661753
TI - Margaret McCartney: Let's start talking to colleagues.
PMID- 29661752
TI - A Novel Information Retrieval Tool to Find Hospital Care Team Members:
Development and Usability Study.
AB - BACKGROUND: Hospital communication among members of a patient's care team is a
central part of clinical workflow and consumes a large amount of a health care
provider's time. Oftentimes the complexity of hospital care leads to difficulty
in finding the appropriate contact, which can lead to inefficiencies and
frustration. Squire is a Web-based information retrieval app created to improve
the speed and efficiency in reaching the appropriate team member during the care
of a hospitalized patient. OBJECTIVE: The objective of the study was to design
and develop Squire and to evaluate the usage, usability, and perceived effect of
the app on finding the correct contact within a hospital. METHODS: We used a
mixed-methods design using a before-after survey methodology combined with one-on
one interviews to understand the perceived effect of Squire. The study took place
at an academic medical center with internal medicine resident physicians. We
surveyed residents on demographics, as well as time and efficiency of hospital
communication before and after the use of Squire. After using Squire,
participants were also asked to evaluate Squire's Net Promoter Score (NPS). A
subset of voluntary participants participated in one-on-one interviews and
completed the System Usability Scale (SUS). We performed descriptive statistics
on participant characteristics, app usage data, and responses to surveys. Survey
results were compared before and after Squire adoption using the Wilcoxon rank
sum test and a general linear model. Interview data were analyzed using content
analysis with a qualitative description approach to review and categorize
feedback from participants. RESULTS: There was a 67.9% (74/109) response rate to
the pre-Squire survey and 89.9% (98/109) response rate to the post-Squire survey.
At baseline, there was an average of 22.2 (95% CI 18.4-26.0) minutes/day spent
searching for the right contact, and this decreased to 16.3 (95% CI 13.9-18.7)
minutes/day after Squire was launched (P=.01). There were favorable usability
scores, with an average SUS of 84.7, and a marginal NPS of +6.1. Overall, the use
of Squire included 22,283 page views, most commonly to contact the admissions
office or portable chest x-ray technician. Interviews highlighted common benefits
of Squire, including decreased perceived time spent on hold with operators and
improvement in connecting with the appropriate contact in specialized, complex
departments. Future opportunities were also identified to improve Squire
including adding a two-way communication between physician and nursing staff and
providing offline access. CONCLUSIONS: Squire decreased the perceived time
required to find an appropriate contact and had a favorable usability score;
however, the NPS was marginal and several opportunities were identified to
improve Squire for future use.
PMID- 29661754
TI - Approaching the crisis in medical research funding: an important role for
nonprofit organizations and medical societies.
PMID- 29661756
TI - Prevention of rickets and osteomalacia in the UK: political action overdue.
AB - The consequences of vitamin D and dietary calcium deficiency have become a huge
public health concern in the UK. The burden of disease from these deficiencies
includes rickets, and hypocalcaemic seizures, dilated cardiomyopathy and mostly
occult myopathy and osteomalacia. The increasing burden of the disease is
intrinsically linked to ethnicity and the population demographic changes in the
UK. Three facts have led to the resurfacing of the English disease: (1) the UK
has no ultraviolet sunlight for at least 6 months of the year, (2) dark skin
produces far less vitamin D than white skin per unit ultraviolet light exposure,
and (3) non-European Union immigration over the last century. To date, the UK
government demonstrates incomplete understanding of these three facts, and its
failure to adjust its prevention programmes to changing demographics is
endangering the health and life of UK residents with dark skin, of whom infants
are the most vulnerable. Establishing accountability through the implementation
of monitored antenatal and infantile supplementation programmes and mandatory
food fortification is overdue.
PMID- 29661755
TI - A CD123-targeting antibody-drug conjugate, IMGN632, designed to eradicate AML
while sparing normal bone marrow cells.
AB - The outlook for patients with refractory/relapsed acute myeloid leukemia (AML)
remains poor, with conventional chemotherapeutic treatments often associated with
unacceptable toxicities, including severe infections due to profound
myelosuppression. Thus there exists an urgent need for more effective agents to
treat AML that confer high therapeutic indices and favorable tolerability
profiles. Because of its high expression on leukemic blast and stem cells
compared with normal hematopoietic stem cells and progenitors, CD123 has emerged
as a rational candidate for molecularly targeted therapeutic approaches in this
disease. Here we describe the development and preclinical characterization of a
CD123-targeting antibody-drug conjugate (ADC), IMGN632, that comprises a novel
humanized anti-CD123 antibody G4723A linked to a recently reported DNA mono
alkylating payload of the indolinobenzodiazepine pseudodimer (IGN) class of
cytotoxic compounds. The activity of IMGN632 was compared with X-ADC, the ADC
utilizing the G4723A antibody linked to a DNA crosslinking IGN payload. With low
picomolar potency, both ADCs reduced viability in AML cell lines and patient
derived samples in culture, irrespective of their multidrug resistance or disease
status. However, X-ADC exposure was >40-fold more cytotoxic to the normal myeloid
progenitors than IMGN632. Of particular note, IMGN632 demonstrated potent
activity in all AML samples at concentrations well below levels that impacted
normal bone marrow progenitors, suggesting the potential for efficacy in AML
patients in the absence of or with limited myelosuppression. Furthermore, IMGN632
demonstrated robust antitumor efficacy in multiple AML xenograft models. Overall,
these findings identify IMGN632 as a promising candidate for evaluation as a
novel therapy in AML.
PMID- 29661757
TI - Hip hip: no hurray.
PMID- 29661758
TI - High TMB Predicts Immunotherapy Benefit.
AB - The first data from the phase III CheckMate-227 trial of ipilimumab plus
nivolumab for the treatment of non-small cell lung cancer suggests that the two
drugs boost progression-free survival in patients with a high tumor mutation
burden. After 1 year, progression-free survival was 43% for patients treated with
the checkpoint inhibitor combination, compared with 13% for patients treated with
chemotherapy.
PMID- 29661759
TI - Neoadjuvant Nivolumab Checks Lung Cancer.
AB - The PD-1 inhibitor nivolumab given prior to surgical removal of early-stage non
small cell lung cancer is safe and produces a high rate of pathologic response,
especially among those with high tumor mutation burden.
PMID- 29661760
TI - April 16th Question.
PMID- 29661761
TI - Ministers seek to stop GPs charging victims of domestic abuse for information.
PMID- 29661762
TI - NHS trusts launch legal challenge against councils over business rates.
PMID- 29661763
TI - Outcomes of Contemporary Family Screening in Hypertrophic Cardiomyopathy.
AB - BACKGROUND: Contemporary hypertrophic cardiomyopathy (HCM) family screening
includes clinical evaluation and genetic testing (GT). This screening strategy
requires the identification of a pathogenic mutation in the proband. Our aim was
to examine the results of this HCM screening strategy. METHODS: Between 1985 and
2016, 777 relatives of 209 probands were assessed in the context of HCM
screening. Genotype-positive (G+) relatives and relatives without genetic testing
(GT) underwent repeated clinical evaluations. In genotype-negative (G-) relatives
mortality was assessed during follow-up. RESULTS: A pathogenic mutation was
identified in 72% of probands. After counseling, GT was performed in 620 (80%)
relatives: 264 (43%) were G+ (age 41+/-18 y) and 356 (57%) were G- (age 48+/-17
y). At first screening, HCM was diagnosed in 98 (37%) G+ relatives and 28 (17%)
relatives without GT (p<0.001). During 9 years follow-up of relatives diagnosed
with HCM, 8 (6%) underwent septal reduction therapy, 16 (16%) received primary
prevention ICDs, and cardiac mortality was 0.3%/year. During 7 years follow-up of
relatives without HCM, 29 (16%) developed HCM. Survival at 5/10 years was 99%/95%
in G+ relatives, 97%/94% in G- relatives (p=0.8), and 100%/100% in relatives
without GT. CONCLUSIONS: HCM was identified in 30% of relatives at first
screening, and 16% developed HCM during 7 years of repeated evaluation. GT led to
a discharge from clinical follow-up in 46% of the study population. Survival in
the relatives was good.
PMID- 29661764
TI - Family Matters: Outcomes of Hypertrophic Cardiomyopathy Family Screening.
PMID- 29661765
TI - In This Issue: April.
PMID- 29661766
TI - First concussion did not increase the risk of subsequent concussion when patients
were managed appropriately.
PMID- 29661767
TI - 'Pre-activity movement control exercise programme to prevent injuries in youth
rugby': some concerns.
PMID- 29661768
TI - The Effect of Learning Styles on Adverse Events in Home Hemodialysis Patients.
PMID- 29661769
TI - Introduction to Nephropharmacology for the Clinician: A New CJASN Series.
PMID- 29661770
TI - Hydroxychloroquine Use and Risk of CKD in Patients with Rheumatoid Arthritis.
AB - BACKGROUND AND OBJECTIVES: Hydroxychloroquine is widely used in patients with
rheumatoid arthritis. However, large-scale studies examining the long-term
effects of hydroxychloroquine on the development of kidney disease in patients
with rheumatoid arthritis are lacking. We aimed to assess the long-term
association of hydroxychloroquine use with the risk of developing CKD in this
population. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We conducted an
observational cohort study for patients with newly diagnosed rheumatoid arthritis
who were enrolled prospectively in Taiwan's National Health Insurance Research
Database between January 1, 2000 and December 31, 2013. We used multivariable Cox
proportional hazard regression to analyze the association of hydroxychloroquine
use with incident CKD. RESULTS: A total of 2619 patients, including 1212
hydroxychloroquine users and 1407 hydroxychloroquine nonusers, were analyzed.
Incident CKD was reported in 48 of 1212 hydroxychloroquine users and 121 of 1407
hydroxychloroquine nonusers. The incidence rate of CKD was lower in
hydroxychloroquine users than in hydroxychloroquine nonusers (10.3 versus 13.8
per 1000 person-years). After multivariable adjustment, hydroxychloroquine users
still had a lower risk of incident CKD (adjusted hazard ratio, 0.64; 95%
confidence interval, 0.45 to 0.90; P=0.01) than hydroxychloroquine nonusers. The
lower risk of subsequent CKD development was dose dependent and consistent across
subgroup analyses. CONCLUSIONS: Hydroxychloroquine use in patients with newly
diagnosed rheumatoid arthritis is associated with a significantly lower risk of
incident CKD compared with in nonusers.
PMID- 29661771
TI - Antimalarial Drugs for the Prevention of Chronic Kidney Disease in Patients with
Rheumatoid Arthritis: The Importance of Controlling Chronic Inflammation?
PMID- 29661772
TI - Communication Strategies to Address Conflict about Dialysis Decision Making for
Critically Ill Patients.
PMID- 29661773
TI - Integrated Genomic and Immunophenotypic Classification of Pancreatic Cancer
Reveals Three Distinct Subtypes with Prognostic/Predictive Significance.
AB - Purpose: Current clinical classification of pancreatic ductal adenocarcinoma
(PDAC) is unable to predict prognosis or response to chemo- or immunotherapy and
does not take into account the host reaction to PDAC cells. Our aim is to
classify PDAC according to host- and tumor-related factors into
clinically/biologically relevant subtypes by integrating molecular and
microenvironmental findings.Experimental Design: A well-characterized PDAC cohort
(n = 110) underwent next-generation sequencing with a hot spot cancer panel while
next-generation tissue microarrays were immunostained for CD3, CD4, CD8, CD20, PD
L1, p63, hyaluronan-mediated motility receptor (RHAMM), and DNA mismatch repair
proteins. Previous data on FOXP3 were integrated. Immune cell counts and protein
expression were correlated with tumor-derived driver mutations, clinicopathologic
features (TNM 8th edition, 2017), survival, and epithelial-mesenchymal transition
(EMT)-like tumor budding.Results: Three PDAC subtypes were identified: the
"immune escape" (54%), poor in T and B cells and enriched in FOXP3+ regulatory T
cells (Treg), with high-grade budding, frequent CDKN2A, SMAD4, and PIK3CA
mutations, and poor outcome; the "immune rich" (35%), rich in T and B cells and
poorer in FOXP3+ Tregs, with infrequent budding, lower CDKN2A and PIK3CA mutation
rate, and better outcome and a subpopulation with tertiary lymphoid tissue (TLT),
mutations in DNA damage response genes (STK11 and ATM), and the best outcome; and
the "immune exhausted" (11%), with immunogenic microenvironment and two
subpopulations-one with PD-L1 expression and a high PIK3CA mutation rate and a
microsatellite-unstable subpopulation with a high prevalence of JAK3 mutations.
The combination of low budding, low stromal FOXP3 counts, presence of TLTs, and
absence of CDKN2A mutations confers significant survival advantage in patients
with PDAC.Conclusions: Immune host responses correlate with tumor
characteristics, leading to morphologically recognizable PDAC subtypes with
prognostic/predictive significance. Clin Cancer Res; 24(18); 4444-54. (c)2018
AACRSee related commentary by Khalil and O'Reilly, p. 4355.
PMID- 29661774
TI - Accuracy, Safety, and Reliability of Novel Phase I Trial Designs.
AB - A number of novel model-based and model-assisted designs have been proposed to
find the MTD in phase I clinical trials, but their differences and relative pros
and cons are not clear to many practitioners. We review three model-based
designs, including the continual reassessment method (CRM), dose escalation with
overdose control (EWOC), and Bayesian logistic regression model (BLRM), and three
model-assisted designs, including the modified toxicity probability interval
(mTPI), Bayesian optimal interval (BOIN), and keyboard (equivalently mTPI-2)
designs. We conduct numerical studies to assess their accuracy, safety, and
reliability and the practical implications of various empirical rules used in
some designs, such as skipping a dose and imposing overdose control. Our results
show that the CRM outperforms EWOC and BLRM with higher accuracy of identifying
the MTD. For the CRM, skipping a dose is not recommended, as it substantially
increases the chance of overdosing patients while providing limited gain for
identifying the MTD. EWOC and BLRM appear excessively conservative. They are safe
but have relatively poor accuracy of finding the MTD. The BOIN and keyboard
(equivalently mTPI-2) designs have similar operating characteristics,
outperforming the mTPI, but the BOIN is more intuitive and transparent. The BOIN
yields competitive performance comparable with the CRM but is simpler to
implement and free of the issue of irrational dose assignment caused by model
misspecification, thereby providing an attractive approach for designing phase I
trials. Clin Cancer Res; 24(18); 4357-64. (c)2018 AACR.
PMID- 29661775
TI - Prospective Clinical Trial of Ixazomib, Dexamethasone, and Rituximab as Primary
Therapy in Waldenstrom Macroglobulinemia.
AB - Purpose: Proteasome inhibition is of proven efficacy in patients with Waldenstrom
macroglobulinemia (WM). However, WM remains incurable with standard treatments.
Novel agents, safe and effective, are needed.Patients and Methods: We designed a
prospective phase II study evaluating the combination of ixazomib, dexamethasone,
and rituximab (IDR) as primary therapy in symptomatic patients with WM. Protocol
therapy consisted of oral ixazomib, 4 mg, with intravenous or oral dexamethasone,
20 mg, on days 1, 8, and 15 every 4 weeks for induction cycles 1 and 2, and in
combination with intravenous rituximab, 375 mg/m2, on day 1, every 4 weeks for
cycles 3 to 6. Maintenance therapy followed 8 weeks later with IDR given every 8
weeks for 6 cycles.Results: Twenty-six patients were enrolled. All patients had
the MYD88 L265P mutation, and 15 patients (58%) had a CXCR4 mutation. The median
time to response was 8 weeks, which was longer (12 weeks) in WM patients with
CXCR4 mutations (P = 0.03). The overall response rate was 96%, and the major
response rate was 77%. With a median follow-up of 22 months, the median
progression-free survival was not reached. Grade >=2 adverse events reported in
>1 patient included infusion-related reactions (19%), rash (8%), and insomnia
(8%).Conclusions: IDR offers a highly effective and well tolerated, neuropathy
sparing regimen for primary therapy in patients with WM. This trial is registered
at www.clinicaltrials.gov under ID NCT02400437 Clin Cancer Res; 24(14); 3247-52.
(c)2018 AACR.
PMID- 29661776
TI - Targeting the Leukemia Antigen PR1 with Immunotherapy for the Treatment of
Multiple Myeloma.
AB - Purpose: PR1 is a human leukocyte antigen (HLA)-A2 nonameric peptide derived from
neutrophil elastase (NE) and proteinase 3 (P3). We have previously shown that PR1
is cross-presented by solid tumors, leukemia, and antigen-presenting cells,
including B cells. We have also shown that cross-presentation of PR1 by solid
tumors renders them susceptible to killing by PR1-targeting immunotherapies. As
multiple myeloma is derived from B cells, we investigated whether multiple
myeloma is also capable of PR1 cross-presentation and subsequently capable of
being targeted by using PR1 immunotherapies.Experimental Design: We tested
whether multiple myeloma is capable of cross-presenting PR1 and subsequently
becomes susceptible to PR1-targeting immunotherapies, using multiple myeloma cell
lines, a xenograft mouse model, and primary multiple myeloma patient
samples.Results: Here we show that multiple myeloma cells lack endogenous NE and
P3, are able to take up exogenous NE and P3, and cross-present PR1 on HLA-A2.
Cross-presentation by multiple myeloma utilizes the conventional antigen
processing machinery, including the proteasome and Golgi, and is not affected by
immunomodulating drugs (IMiD). Following PR1 cross-presentation, we are able to
target multiple myeloma with PR1-CTL and anti-PR1/HLA-A2 antibody both in vitro
and in vivoConclusions: Collectively, our data demonstrate that PR1 is a novel
tumor-associated antigen target in multiple myeloma and that multiple myeloma is
susceptible to immunotherapies that target cross-presented antigens. Clin Cancer
Res; 24(14); 3386-96. (c)2018 AACR.
PMID- 29661777
TI - Interferon-Stimulated Genes Are Involved in Cross-resistance to Radiotherapy in
Tamoxifen-Resistant Breast Cancer.
AB - Purpose: Treatment resistance is the main cause of adverse disease outcome in
breast cancer patients. Here, we aimed to investigate common features in
tamoxifen-resistant and radioresistant breast cancer, as tamoxifen-resistant
breast cancer cells are cross-resistant to irradiation in vitroExperimental
Design: RNA sequencing of tamoxifen-resistant and radioresistant breast cancer
cells was performed and validated by quantitative PCR. Pathways were further
investigated in vitro and in breast cancer patient cohorts to establish their
relation with treatment resistance.Results: Both tamoxifen-resistant and
radioresistant breast cancer cells had increased expression levels of genes
involved in type I IFN signaling compared with nonresistant cells. IFN-stimulated
genes (ISG) were induced in a dose-dependent and time-dependent manner after
tamoxifen treatment and irradiation. Tamoxifen treatment also led to ssDNA
presence in the cytoplasm, which is known to induce expression of ISGs, a
phenomenon that has already been described for irradiation. Moreover, in a breast
cancer patient cohort, high expression levels of ISGs were found in the primary
tumor in around half of the patients. This was associated with a tumor
infiltrating lymphocyte (TIL) expression signature, although the ISGs were also
expressed by the tumor cells themselves. Importantly, the expression of ISGs
correlated with outcome in breast cancer patients treated with adjuvant tamoxifen
or radiotherapy, but not in systemically untreated patients or chemotherapy
treated patients.Conclusions: Our data indicate that expression of ISGs by tumor
cells is involved in acquired, treatment-induced resistance to tamoxifen and
radiotherapy, and might play a role in intrinsic resistance via interaction with
TILs. Clin Cancer Res; 24(14); 3397-408. (c)2018 AACR.
PMID- 29661778
TI - Immunogenomic Analyses of Advanced Serous Ovarian Cancer Reveal Immune Score is a
Strong Prognostic Factor and an Indicator of Chemosensitivity.
AB - Purpose: Ovarian cancer is one of the first human cancers for which in situ
immune response was reported to be important for the clinical outcome. To
elucidate the mechanistic relationship between immune repertoire and cancer
genotype in ovarian cancer, the development of a well-defined immune score for
ovarian cancer is required.Experimental Design: From a collection of 2,203
patient samples of advanced ovarian cancer from public available resources, we
evaluated the prognostic values for a compendium of immune marker genes and
proposed an immune score. The relationships between immune score, tumor
infiltrating immune cells, cancer genotypes, and their impact on patient outcome
were characterized.Results: Loss of chemokine and IFNgamma pathway genes is
frequent in ovarian cancer and is significantly associated with low immune score
and poor outcome. Chemotherapy can increase the immune score of tumors by
inducing the expression of IFNgamma inducible chemokines. High immune score is
significantly associated with BRCA1/2 mutation status and the response to
chemotherapy. Multivariate analysis revealed that immune score is a strong
predictor of patient survival and the response to immunotherapy.Conclusions: Our
results reveal the drivers of the immune repertoire of advanced ovarian cancer
and demonstrate the importance of immune score as an independent prognostic
signature and a potent indicator of intratumoral immune status. Clin Cancer Res;
24(15); 3560-71. (c)2018 AACR.
PMID- 29661779
TI - Report from the SWOG Radiation Oncology Committee: Research Objectives Workshop
2017.
AB - The Radiation Therapy Committee of SWOG periodically evaluates its strategic plan
in an effort to maintain a current and relevant scientific focus, and to provide
a standard platform for future development of protocol concepts. Participants in
the 2017 Strategic Planning Workshop included leaders in cancer basic sciences,
molecular theragnostics, pharmaceutical and technology industries, clinical trial
design, oncology practice, and statistical analysis. The committee discussed high
priority research areas, such as optimization of combined modality therapy,
radiation oncology-specific drug design, identification of molecular profiles
predictive of radiation-induced local or distant tumor responses, and methods for
normal tissue-specific mitigation of radiation toxicity. The following concepts
emerged as dominant questions ready for national testing: (i) what is the role of
radiotherapy in the treatment of oligometastatic, oligorecurrent, and
oligoprogressive disease? (ii) How can combined modality therapy be used to
enhance systemic and local response? (iii) Can we validate and optimize liquid
biopsy and other biomarkers (such as novel imaging) to supplement current
response criteria to guide therapy and clinical trial design endpoints? (iv) How
can we overcome deficiencies of randomized survival endpoint trials in an era of
increasing molecular stratification factors? And (v) how can we mitigate
treatment-related side effects and maximize quality of life in cancer survivors?
The committee concluded that many aspects of these questions are ready for
clinical evaluation and example protocol concepts are provided that could improve
rates of cancer cure and quality of survival. Clin Cancer Res; 24(15); 3500-9.
(c)2018 AACR.
PMID- 29661780
TI - Gender and survival from out-of-hospital cardiac arrest: a New Zealand registry
study.
AB - OBJECTIVE: To determine the relationships between survival from all-cause out-of
hospital cardiac arrest (OHCA) and gender in New Zealand. METHODS: A
retrospective observational study was conducted using data compliant with the
Utstein guidelines from the St John New Zealand OHCA Registry for adult patients
who were treated for an OHCA between 1 October 2013 and 30 September 2015.
Univariate logistic regression was used to investigate factors associated with
return of spontaneous circulation sustained to handover at hospital and survival
to 30 days. Multivariate logistic regression models were used to investigate
outcome differences in survival according to gender at 30 days postevent.
RESULTS: Women survived to hospital handover in 29% of cases, which was not
significantly different from men (31%). When adjusted for age, location,
aetiology, initial rhythm and witnessed status, there was no significant
difference in 30-day survival between men (16%) and women (13%) (adjusted OR
1.22, 95% CI (0.96 to 1.55), p=0.11). CONCLUSION: No statistical differences were
found in 30-day survival between genders when adjustments for unfavourable
Utstein variables were accounted for.
PMID- 29661781
TI - Relation of Aortic Stiffness to Left Ventricular Remodeling in Younger Adults
With Type 2 Diabetes.
AB - Individuals with type 2 diabetes have a three- to fivefold increased risk of
developing heart failure. Diabetic cardiomyopathy is typified by left ventricular
(LV) concentric remodeling, which is a recognized predictor of adverse
cardiovascular events. Although the mechanisms underlying LV remodeling in type 2
diabetes are unclear, progressive aortic stiffening may be a key determinant. The
aim of this study was to assess the relationship between aortic stiffness and LV
geometry in younger adults with type 2 diabetes, using multiparametric
cardiovascular MRI. We prospectively recruited 80 adults (aged 18-65 years) with
type 2 diabetes and no cardiovascular disease and 20 age- and sex-matched healthy
control subjects. All subjects underwent comprehensive bio-anthropometric
assessment and cardiac MRI, including measurement of aortic stiffness by aortic
distensibility (AD). Type 2 diabetes was associated with increased LV mass,
concentric LV remodeling, and lower AD compared with control subjects. On
multivariable linear regression, AD was independently associated with concentric
LV remodeling in type 2 diabetes. Aortic stiffness may therefore be a potential
therapeutic target to prevent the development of heart failure in type 2
diabetes.
PMID- 29661782
TI - Doc2b Protects beta-Cells Against Inflammatory Damage and Enhances Function.
AB - Loss of functional beta-cell mass is an early feature of type 1 diabetes. To
release insulin, beta-cells require soluble N-ethylmaleimide-sensitive factor
attachment protein receptor (SNARE) complexes, as well as SNARE complex
regulatory proteins like double C2 domain-containing protein beta (Doc2b). We
hypothesized that Doc2b deficiency or overabundance may confer susceptibility or
protection, respectively, to the functional beta-cell mass. Indeed, Doc2b+/-
knockout mice show an unusually severe response to multiple-low-dose
streptozotocin (MLD-STZ), resulting in more apoptotic beta-cells and a smaller
beta-cell mass. In addition, inducible beta-cell-specific Doc2b-overexpressing
transgenic (betaDoc2b-dTg) mice show improved glucose tolerance and resist MLD
STZ-induced disruption of glucose tolerance, fasting hyperglycemia, beta-cell
apoptosis, and loss of beta-cell mass. Mechanistically, Doc2b enrichment enhances
glucose-stimulated insulin secretion (GSIS) and SNARE activation and prevents the
appearance of apoptotic markers in response to cytokine stress and thapsigargin.
Furthermore, expression of a peptide containing the Doc2b tandem C2A and C2B
domains is sufficient to confer the beneficial effects of Doc2b enrichment on
GSIS, SNARE activation, and apoptosis. These studies demonstrate that Doc2b
enrichment in the beta-cell protects against diabetogenic and proapoptotic
stress. Furthermore, they identify a Doc2b peptide that confers the beneficial
effects of Doc2b and may be a therapeutic candidate for protecting functional
beta-cell mass.
PMID- 29661784
TI - MECHANISMS IN ENDOCRINOLOGY: A sense of time of the glucocorticoid circadian
clock: from the ontogeny to the diagnosis of Cushing's syndrome.
AB - The circadian rhythm of glucocorticoids has long been recognised within the last
75 years. Since the beginning, researchers have sought to identify basic
mechanisms underlying the origin and emergence of the corticosteroid circadian
rhythmicity among mammals. Accordingly, Young, Hall and Rosbash, laureates of the
2017 Nobel Prize in Physiology or Medicine, as well as Takahashi's group among
others, have characterised the molecular cogwheels of the circadian system,
describing interlocking transcription/translation feedback loops essential for
normal circadian rhythms. Plasma glucocorticoid circadian variation depends on
the expression of intrinsic clock genes within the anatomic components of the
hypothalamic-pituitary-adrenal axis, which are organised in a hierarchical
manner. This review presents a general overview of the glucocorticoid circadian
clock mechanisms, highlighting the ontogeny of the pituitary-adrenal axis diurnal
rhythmicity as well as the involvement of circadian rhythm abnormalities in the
physiopathology and diagnosis of Cushing's disease.
PMID- 29661783
TI - Conserved and divergent functions of Pax6 underlie species-specific neurogenic
patterns in the developing amniote brain.
AB - The evolution of unique organ structures is associated with changes in conserved
developmental programs. However, characterizing the functional conservation and
variation of homologous transcription factors (TFs) that dictate species-specific
cellular dynamics has remained elusive. Here, we dissect shared and divergent
functions of Pax6 during amniote brain development. Comparative functional
analyses revealed that the neurogenic function of Pax6 is highly conserved in the
developing mouse and chick pallium, whereas stage-specific binary functions of
Pax6 in neurogenesis are unique to mouse neuronal progenitors, consistent with
Pax6-dependent temporal regulation of Notch signaling. Furthermore, we identified
that Pax6-dependent enhancer activity of Dbx1 is extensively conserved between
mammals and chick, although Dbx1 expression in the developing pallium is highly
divergent in these species. Our results suggest that spatiotemporal changes in
Pax6-dependent regulatory programs contributed to species-specific neurogenic
patterns in mammalian and avian lineages, which underlie the morphological
divergence of the amniote pallial architectures.
PMID- 29661785
TI - The prevalence of the metabolic syndrome and associated cardiovascular
complications in adult-onset GHD during GH replacement: a KIMS analysis.
AB - BACKGROUND: Adult-onset growth hormone deficiency (AO-GHD) is associated with an
increased prevalence of the metabolic syndrome (MetS). AIM: To determine the
effect of GH replacement on the prevalence of MetS in AO-GHD and to study the
impact of MetS on the incidence of cardiovascular events during GH replacement.
PATIENTS AND METHODS: 1449 AO-GHD patients (males 48.9%; mean age 48.9 +/- 12.8
year) were retrieved from KIMS (Pfizer International Metabolic Database). The
prevalence of MetS (using International Diabetes Federation criteria) and its
components were calculated at baseline and after one year of GH replacement. The
relative risk to develop cardiovascular events according to the presence of MetS
at baseline was assessed in another group of 3282 patients after prolonged GH
replacement. RESULTS: The prevalence of MetS was 46.9% at baseline and 48.2%
after one year of GH replacement (P = NS). The percentage of patients with
abnormal waist circumference decreased significantly (80.3 vs 77.4%; P < 0.001),
but impaired glucose metabolism (17.1 vs 23.3%; P < 0.001) increased and HDL
cholesterol (48.2 vs 50.9%; P = 0.011) decreased. Switch from MetS to NoMS
(18.5%) and from NoMS to MetS (18.8%) occurred. All patients showed a significant
and comparable amelioration of quality of life. During seven years of GH
replacement patients with MetS had a 66% higher risk (P = 0.0016) to develop a
new coronary disease compared to NoMS. CONCLUSION: MetS prevalence remains
unchanged in AO-GHD during one year of GH replacement whereas its components are
differentially affected. Besides GH replacement, consequent pharmacotherapy of
all risk factors and endorsement of lifestyle intervention appears to be of
uttermost importance together with early GHD diagnosis to prevent cardiovascular
disease during prolonged treatment.
PMID- 29661787
TI - Thromboembolism in acute lymphoblastic leukemia: results of NOPHO ALL2008
protocol treatment in patients aged 1 to 45 years.
AB - Thromboembolism frequently occurs during acute lymphoblastic leukemia (ALL)
therapy. We prospectively registered thromboembolic events during the treatment
of 1772 consecutive Nordic/Baltic patients with ALL aged 1 to 45 years who were
treated according to the Nordic Society of Pediatric Hematology and Oncology
ALL2008 protocol (July 2008-April 2017). The 2.5-year cumulative incidence of
thromboembolism (N = 137) was 7.9% (95% confidence interval [CI], 6.6-9.1); it
was higher in patients aged at least 10 years (P < .0001). Adjusted hazard ratios
(HRas) were associated with greater age (range, 10.0-17.9 years: HRa, 4.9 [95%
CI, 3.1-7.8; P < .0001]; 18.0-45.9 years: HRa, 6.06 [95% CI, 3.65-10.1; P <
.0001]) and mediastinal mass at ALL diagnosis (HRa, 2.1; 95% CI, 1.0-4.3; P =
.04). In a multiple absolute risk regression model addressing 3 thromboembolism
risk factors, age at least 10 years had the largest absolute risk ratio (RRage,
4.7 [95% CI, 3.1-7.1]; RRenlarged lymph nodes, 2.0 [95% CI, 1.2-3.1];
RRmediastinal mass, 1.6 [95% CI, 1.0-2.6]). Patients aged 18.0 to 45.9 years had
an increased hazard of pulmonary embolism (HRa, 11.6; 95% CI, 4.02-33.7; P <
.0001), and patients aged 10.0 to 17.9 years had an increased hazard of cerebral
sinus venous thrombosis (HRa, 3.3; 95% CI, 1.5-7.3; P = .003) compared with
children younger than 10.0 years. Asparaginase was truncated in 38/128 patients
with thromboembolism, whereas thromboembolism diagnosis was unassociated with
increased hazard of relapse (P = .6). Five deaths were attributable to
thromboembolism, and patients younger than 18.0 years with thromboembolism had
increased hazard of dying compared with same-aged patients without
thromboembolism (both P <= .01). In conclusion, patients aged at least 10 years
could be candidates for preemptive antithrombotic prophylaxis. However, the
predictive value of age 10 years or older, enlarged lymph nodes, and mediastinal
mass remain to be validated in another cohort.
PMID- 29661788
TI - Germ line tissues for optimal detection of somatic variants in myelodysplastic
syndromes.
PMID- 29661789
TI - Late mortality after autologous blood or marrow transplantation in childhood: a
Blood or Marrow Transplant Survivor Study-2 report.
AB - Autologous blood or marrow transplantation (BMT) is a curative option for several
types of childhood cancer. However, there is little information regarding the
risk of late mortality. We examined all-cause mortality, relapse-related
mortality (RRM), and nonrelapse-related mortality (NRM) in 2-year survivors of
autologous BMT performed before age 22 between 1980 and 2010 at 1 of 2 US
transplant centers. Vital status information was collected using medical records,
National Death Index, and Accurint databases. Overall survival was calculated
using Kaplan-Meier techniques. Cumulative incidence of mortality used competing
risk methods. Standardized mortality ratio (SMR) was calculated using age-, sex-,
and calendar-specific mortality rates from Centers for Disease Control and
Prevention. Cox regression analysis was used to determine predictors of all-cause
late mortality. Among the 345 2-year survivors, 103 deaths were observed,
yielding an overall survival of 70.3% 15 years post-BMT. The leading causes of
death included primary disease (50.0%), subsequent neoplasm (21.4%), and
infection (18.2%). Overall, the cohort was at a 22-fold increased risk of late
mortality (SMR, 21.8; 95% CI, 17.9-26.3), compared with the general population.
Mortality rates remained elevated among the 10-year survivors (SMR, 20.6; 95% CI,
9.9-37.2) but approached those of the general population >=15 years post-BMT. The
10-year cumulative incidence of RRM (14.3%) exceeded that of NRM (10.4%). The 10
year cumulative mortality rate declined over time (<1990, 35.1%; 1990-1999,
25.6%; 2000-2010, 21.8%; P = .05). In conclusion, childhood autologous BMT
recipients have an increased risk of late mortality, compared with the general
population. The late mortality rates have declined over the past 3 decades.
PMID- 29661786
TI - Historical perspective: phosphatidylserine and phosphatidylethanolamine from the
1800s to the present.
AB - This article provides a historical account of the discovery, chemistry, and
biochemistry of two ubiquitous phosphoglycerolipids, phosphatidylserine (PS) and
phosphatidylethanolamine (PE), including the ether lipids. In addition, the
article describes the biosynthetic pathways for these phospholipids and how these
pathways were elucidated. Several unique functions of PS and PE in mammalian
cells in addition to their ability to define physical properties of membranes are
discussed. For example, the translocation of PS from the inner to the outer
leaflet of the plasma membrane of cells occurs during apoptosis and during some
other specific physiological processes, and this translocation is responsible for
profound life-or-death events. Moreover, mitochondrial function is severely
impaired when the PE content of mitochondria is reduced below a threshold level.
The discovery and implications of the existence of membrane contact sites between
the endoplasmic reticulum and mitochondria and their relevance for PS and PE
metabolism, as well as for mitochondrial function, are also discussed. Many of
the recent advances in these fields are due to the use of isotope labeling for
tracing biochemical pathways. In addition, techniques for disruption of specific
genes in mice are now widely used and have provided major breakthroughs in
understanding the roles and metabolism of PS and PE in vivo.
PMID- 29661790
TI - Translation in Prokaryotes.
AB - This review summarizes our current understanding of translation in prokaryotes,
focusing on the mechanistic and structural aspects of each phase of translation:
initiation, elongation, termination, and ribosome recycling. The assembly of the
initiation complex provides multiple checkpoints for messenger RNA (mRNA) and
start-site selection. Correct codon-anticodon interaction during the decoding
phase of elongation results in major conformational changes of the small
ribosomal subunit and shapes the reaction pathway of guanosine triphosphate (GTP)
hydrolysis. The ribosome orchestrates proton transfer during peptide bond
formation, but requires the help of elongation factor P (EF-P) when two or more
consecutive Pro residues are to be incorporated. Understanding the choreography
of transfer RNA (tRNA) and mRNA movements during translocation helps to place the
available structures of translocation intermediates onto the time axis of the
reaction pathway. The nascent protein begins to fold cotranslationally, in the
constrained space of the polypeptide exit tunnel of the ribosome. When a stop
codon is reached at the end of the coding sequence, the ribosome, assisted by
termination factors, hydrolyzes the ester bond of the peptidyl-tRNA, thereby
releasing the nascent protein. Following termination, the ribosome is dissociated
into subunits and recycled into another round of initiation. At each step of
translation, the ribosome undergoes dynamic fluctuations between different
conformation states. The aim of this article is to show the link between ribosome
structure, dynamics, and function.
PMID- 29661791
TI - Interferon gamma and Its Important Roles in Promoting and Inhibiting Spontaneous
and Therapeutic Cancer Immunity.
AB - Originally identified in studies of cellular resistance to viral infection,
interferon (IFN)-gamma is now known to represent a distinct member of the IFN
family and plays critical roles not only in orchestrating both innate and
adaptive immune responses against viruses, bacteria, and tumors, but also in
promoting pathologic inflammatory processes. IFN-gamma production is largely
restricted to T lymphocytes and natural killer (NK) cells and can ultimately lead
to the generation of a polarized immune response composed of T helper (Th)1 CD4+
T cells and CD8+ cytolytic T cells. In contrast, the temporally distinct
elaboration of IFN-gamma in progressively growing tumors also promotes a state of
adaptive resistance caused by the up-regulation of inhibitory molecules, such as
programmed-death ligand 1 (PD-L1) on tumor cell targets, and additional host
cells within the tumor microenvironment. This review focuses on the diverse
positive and negative roles of IFN-gamma in immune cell activation and
differentiation leading to protective immune responses, as well as the
paradoxical effects of IFN-gamma within the tumor microenvironment that determine
the ultimate fate of that tumor in a cancer-bearing individual.
PMID- 29661793
TI - Cell-Free Plasma DNA for Disease Stratification and Prognosis in Head and Neck
Cancer.
AB - BACKGROUND: Clinicians face many challenges in disease stratification and outcome
prediction in head and neck squamous cancer cell (HNSCC) patients. Given the
limitations of currently used clinical scoring, repetitive biopsies, and imaging
techniques, liquid biopsy approaches may provide valuable additional diagnostic
and prognostic information. METHODS: A noninterventional, single-center
observational study was performed with clinical data and plasma samples from
HNSCC patients. Cell-free tumor DNA-derived copy number aberrations (CNAs) were
determined in 116 patients by low-coverage next-generation sequencing (NGS).
Significant CNAs were combined in a genome-wide copy number instability score
(CNI), which was evaluated with respect to conventional clinical staging and
patient outcome. RESULTS: Receiver-operating characteristic (ROC) curve analysis
comparing the presurgery CNI in patients (n = 103) with that in tumor-free
controls (n = 142) yielded an area under the ROC curve of 87.2% (95% CI, 79.4%
93.3%). At a specificity of 95%, the sensitivity to detect tumors varied between
46% (pT1) and 94% (pT4). A CNI above the median (i.e., >72) had a positive
predictive value of 90% (95% CI, 79%-96%) for lymph node involvement (LNI), while
the negative predictive value was 57% (95% CI, 43%-70%). For a CNI >72, overall
survival (OS) was worse (hazard ratio, 4.89; 95% CI, 1.39-17.17; P = 0.01) with
62% and 90% survivors 3 years after surgery for a CNI >72 and <=72, respectively.
In multivariable models, the CNI was a superior predictor of OS compared to
established disease features, including LNI. CONCLUSIONS: The CNI may assist in
predicting LNI and prognosis in HNSCC with direct therapeutic implications
concerning the need for neck dissection or more aggressive treatment.
PMID- 29661792
TI - Using single-cell genomics to understand developmental processes and cell fate
decisions.
AB - High-throughput -omics techniques have revolutionised biology, allowing for
thorough and unbiased characterisation of the molecular states of biological
systems. However, cellular decision-making is inherently a unicellular process to
which "bulk" -omics techniques are poorly suited, as they capture ensemble
averages of cell states. Recently developed single-cell methods bridge this gap,
allowing high-throughput molecular surveys of individual cells. In this review,
we cover core concepts of analysis of single-cell gene expression data and
highlight areas of developmental biology where single-cell techniques have made
important contributions. These include understanding of cell-to-cell
heterogeneity, the tracing of differentiation pathways, quantification of gene
expression from specific alleles, and the future directions of cell lineage
tracing and spatial gene expression analysis.
PMID- 29661794
TI - Reciprocal regulation of TEAD4 and CCN2 for the trophectoderm development of the
bovine blastocyst.
AB - The first segregation at the blastocyst stage is the symmetry-breaking event to
characterize two cell components; namely, inner cell mass (ICM) and trophectoderm
(TE). TEA domain transcription factor 4 (TEAD4) is a well-known regulator to
determine TE properties of blastomeres in rodent models. However, the roles of
bovine TEAD4 in blastocyst development have been unclear. We here aimed to
clarify the mechanisms underlining TE characterization by TEAD4 in bovine
blastocysts. We first found that the TEAD4 mRNA expression level was greater in
TE than in ICM, which was further supported by TEAD4 immunofluorescent staining.
Subsequently, we examined the expression patterns of TE-expressed genes; CDX2,
GATA2 and CCN2, in the TEAD4-knockdown (KD) blastocysts. These expression levels
significantly decreased in the TEAD4 KD blastocysts compared with controls. Of
these downregulated genes, the CCN2 expression level decreased the most. We
further analyzed the expression levels of TE-expressed genes; CDX2, GATA2 and
TEAD4 in the CCN2 KD blastocysts. Strikingly, the CCN2 KD blastocysts showed the
downregulation of CDX2, GATA2 and TEAD4 Furthermore, the ratio of TE-to-ICM cell
numbers in the CCN2 KD blastocysts significantly decreased compared to controls.
To our knowledge, this is the first study showing the regulation of CCN2
expression thorough TEAD4 in mammalian embryos. Not only that, this study also
provides evidence that reciprocal regulation of TEAD4 and CCN2 is required for TE
development with appropriate gene expression in bovine blastocysts.
PMID- 29661795
TI - Machine Learning Analysis of Left Ventricular Function to Characterize Heart
Failure With Preserved Ejection Fraction.
AB - BACKGROUND: Current diagnosis of heart failure with preserved ejection fraction
(HFpEF) is suboptimal. We tested the hypothesis that comprehensive machine
learning (ML) of left ventricular function at rest and exercise objectively
captures differences between HFpEF and healthy subjects. METHODS AND RESULTS: One
hundred fifty-six subjects aged >60 years (72 HFpEF+33 healthy for the initial
analyses; 24 hypertensive+27 breathless for independent evaluation) underwent
stress echocardiography, in the MEDIA study (Metabolic Road to Diastolic Heart
Failure). Left ventricular long-axis myocardial velocity patterns were analyzed
using an unsupervised ML algorithm that orders subjects according to their
similarity, allowing exploration of the main trends in velocity patterns. ML
identified a continuum from health to disease, including a transition zone
associated to an uncertain diagnosis. Clinical validation was performed (1) to
characterize the main trends in the patterns for each zone, which corresponded to
known characteristics and new features of HFpEF; the ML-diagnostic zones differed
for age, body mass index, 6-minute walk distance, B-type natriuretic peptide, and
left ventricular mass index (P<0.05) and (2) to evaluate the consistency of the
proposed groupings against diagnosis by current clinical criteria; correlation
with diagnosis was good (kappa, 72.6%; 95% confidence interval, 58.1-87.0); ML
identified 6% of healthy controls as HFpEF. Blinded reinterpretation of imaging
from subjects with discordant clinical and ML diagnoses revealed abnormalities
not included in diagnostic criteria. The algorithm was applied independently to
another 51 subjects, classifying 33% of hypertensive and 67% of breathless
controls as mild-HFpEF. CONCLUSIONS: The analysis of left ventricular long-axis
function on exercise by interpretable ML may improve the diagnosis and
understanding of HFpEF.
PMID- 29661796
TI - Imaging Heart Failure With Artificial Intelligence: Improving the Realism of
Synthetic Wisdom.
PMID- 29661797
TI - Fank1 and Jazf1 promote multiciliated cell differentiation in the mouse airway
epithelium.
AB - The airways are lined by secretory and multiciliated cells which function
together to remove particles and debris from the respiratory tract. The
transcriptome of multiciliated cells has been extensively studied, but the
function of many of the genes identified is unknown. We have established an assay
to test the ability of over-expressed transcripts to promote multiciliated cell
differentiation in mouse embryonic tracheal explants. Overexpression data
indicated that Fibronectin type 3 and ankyrin repeat domains 1 (Fank1) and JAZF
zinc finger 1 (Jazf1) promoted multiciliated cell differentiation alone, and
cooperatively with the canonical multiciliated cell transcription factor Foxj1.
Moreover, knock-down of Fank1 or Jazf1 in adult mouse airway epithelial cultures
demonstrated that these factors are both required for ciliated cell
differentiation in vitro This analysis identifies Fank1 and Jazf1 as novel
regulators of multiciliated cell differentiation. Moreover, we show that they are
likely to function downstream of IL6 signalling and upstream of Foxj1 activity in
the process of ciliated cell differentiation. In addition, our in vitro explant
assay provides a convenient method for preliminary investigation of over
expression phenotypes in the developing mouse airways.This article has an
associated First Person interview with the first author of the paper.
PMID- 29661800
TI - Chorionic somatomammotropin impacts early fetal growth and placental gene
expression.
AB - Several developmental windows, including placentation, must be negotiated to
establish and maintain pregnancy. Impaired placental function can lead to
preeclampsia and/or intrauterine growth restriction (IUGR), resulting in
increased infant mortality and morbidity. It has been hypothesized that chorionic
somatomammotropin (CSH) plays a significant role in fetal development,
potentially by modifying maternal and fetal metabolism. Recently, using
lentiviral-mediated in vivo RNA interference in sheep, we demonstrated
significant reductions in near-term (135 days of gestation; dGA) fetal and
placental size, and altered fetal liver gene expression, resulting from CSH
deficiency. We sought to examine the impact of CSH deficiency on fetal and
placental size earlier in gestation (50 dGA), and to examine placental gene
expression at 50 and 135 dGA. At 50 dGA, CSH-deficient pregnancies exhibited a
41% reduction (P <= 0.05) in uterine vein concentrations of CSH, and significant
(P <= 0.05) reductions (~21%) in both fetal body and liver weights. Placentae
harvested at 50 and 135 dGA exhibited reductions in IGF1 and IGF2 mRNA
concentrations, along with reductions in SLC2A1 and SLC2A3 mRNA. By contrast,
mRNA concentrations for various members of the System A, System L and System y+
amino acid transporter families were not significantly impacted. The IUGR
observed at the end of the first-third of gestation indicates that the near-term
IUGR reported previously, began early in gestation, and may have in part resulted
from deficits in the paracrine action of CSH within the placenta. These results
provide further compelling evidence for the importance of CSH in the progression
and outcome of pregnancy.
PMID- 29661799
TI - The role of beta cell heterogeneity in islet function and insulin release.
AB - It is becoming increasingly apparent that not all insulin-secreting beta cells
are equal. Subtle differences exist at the transcriptomic and protein expression
levels, with repercussions for beta cell survival/proliferation, calcium
signalling and insulin release. Notably, beta cell heterogeneity displays
plasticity during development, metabolic stress and type 2 diabetes mellitus
(T2DM). Thus, heterogeneity or lack thereof may be an important contributor to
beta cell failure during T2DM in both rodents and humans. The present review will
discuss the molecular and cellular features of beta cell heterogeneity at both
the single-cell and islet level, explore how this influences islet function and
insulin release and look into the alterations that may occur during obesity and
T2DM.
PMID- 29661801
TI - Anti-CA15.3 and Anti-CA125 Antibodies and Ovarian Cancer Risk: Results from the
EPIC Cohort.
AB - Background: Neoplastic and non-neoplastic events may raise levels of mucins,
CA15.3, and CA125, and generate antibodies against them, but their impact on
epithelial ovarian cancer (EOC) risk has not been fully defined.Methods: CA15.3,
CA125, and IgG1 antibodies against them were measured in 806 women who developed
EOC and 1,927 matched controls from the European Prospective Investigation of
Nutrition and Cancer. Associations between epidemiologic factors and anti-mucin
antibodies were evaluated using generalized linear models; EOC risks associated
with anti-mucin antibodies, by themselves or in combination with respective
antigens, were evaluated using conditional logistic regression.Results: In
controls, lower antibodies against both mucins were associated with current
smoking; and, in postmenopausal women, higher levels with longer oral
contraceptive use and later-age-at and shorter-interval-since last birth. Lower
anti-CA15.3 antibodies were associated with higher body mass and, in
premenopausal women, more ovulatory cycles. Higher anti-CA15.3 and anti-CA125
antibodies were associated with higher risk for mucinous EOC occurring >= 3 years
from enrollment. Long-term risk for serous EOC was reduced in women with low
CA125 and high anti-CA125 antibodies relative to women with low concentrations of
both.Conclusions: We found general support for the hypothesis that anti-mucin
antibody levels correlate with risk factors for EOC. Antibodies alone or in
combinations with their antigen may predict longer term risk of specific EOC
types.Impact: Anti-CA125 and anti-CA15.3 antibodies alone or in perspective of
antigens may be informative in the pathogenesis of EOC subtypes, but less useful
for informing risk for all EOC. Cancer Epidemiol Biomarkers Prev; 27(7); 790-804.
(c)2018 AACR.
PMID- 29661802
TI - Genetic unrelatedness of co-occurring pancreatic adenocarcinomas and IPMNs
challenges current views of clinical management.
PMID- 29661803
TI - Proton pump inhibitors and risk of gastric cancer in a case-control study.
PMID- 29661805
TI - Slashed cost of hepatitis C drugs spurs drive to eliminate the disease.
PMID- 29661804
TI - Combined use of two supervised learning algorithms to model sea turtle behaviours
from tri-axial acceleration data.
AB - Accelerometers are becoming ever more important sensors in animal-attached
technology, providing data that allow determination of body posture and movement
and thereby helping to elucidate behaviour in animals that are difficult to
observe. We sought to validate the identification of sea turtle behaviours from
accelerometer signals by deploying tags on the carapace of a juvenile loggerhead
(Caretta caretta), an adult hawksbill (Eretmochelys imbricata) and an adult green
turtle (Chelonia mydas) at Aquarium La Rochelle, France. We recorded tri-axial
acceleration at 50 Hz for each species for a full day while two fixed cameras
recorded their behaviours. We identified behaviours from the acceleration data
using two different supervised learning algorithms, Random Forest and
Classification And Regression Tree (CART), treating the data from the adult
animals as separate from the juvenile data. We achieved a global accuracy of
81.30% for the adult hawksbill and green turtle CART model and 71.63% for the
juvenile loggerhead, identifying 10 and 12 different behaviours, respectively.
Equivalent figures were 86.96% for the adult hawksbill and green turtle Random
Forest model and 79.49% for the juvenile loggerhead, for the same behaviours. The
use of Random Forest combined with CART algorithms allowed us to understand the
decision rules implicated in behaviour discrimination, and thus remove or group
together some 'confused' or under--represented behaviours in order to get the
most accurate models. This study is the first to validate accelerometer data to
identify turtle behaviours and the approach can now be tested on other captive
sea turtle species.
PMID- 29661807
TI - Genetically Engineered Mouse Models of Prostate Cancer in the Postgenomic Era.
AB - Recent genomic sequencing analyses have unveiled the spectrum of genomic
alterations that occur in primary and advanced prostate cancer, raising the
question of whether the corresponding genes are functionally relevant for
prostate tumorigenesis, and whether such functions are associated with particular
disease stages. In this review, we describe genetically engineered mouse models
(GEMMs) of prostate cancer, focusing on those that model genomic alterations
known to occur in human prostate cancer. We consider whether the phenotypes of
GEMMs based on gain or loss of function of the relevant genes provide reliable
counterparts to study the predicted consequences of the corresponding genomic
alterations as occur in human prostate cancer, and we discuss exceptions in which
the GEMMs do not fully emulate the expected phenotypes. Last, we highlight future
directions for the generation of new GEMMs of prostate cancer and consider how we
can use GEMMs most effectively to decipher the biological and molecular
mechanisms of disease progression, as well as to tackle clinically relevant
questions.
PMID- 29661808
TI - Immunization against Hepatitis A.
AB - Worldwide, there are multiple formaldehyde-inactivated and at least two live
attenuated hepatitis A vaccines now in clinical use. The impressive
immunogenicity of inactivated vaccines is reflected in rapid seroconversion
rates, enabling both preexposure and postexposure prophylaxis. Universal
childhood vaccination programs targeting young children have led to significant
drops in the incidence of hepatitis A both in toddlers and in susceptible
nonimmune adults in regions with intermediate endemicity for hepatitis A.
Although the safety of inactivated vaccines is well established, further studies
are needed concerning the implications of fecal virus shedding by recipients of
attenuated vaccines, as well as the long-term persistence of immune memory in
children receiving novel immunization schedules consisting of single doses of
inactivated vaccines.
PMID- 29661806
TI - Daclizumab Therapy for Multiple Sclerosis.
AB - Daclizumab is a humanized monoclonal antibody that prevents formation of high
affinity interleukin (IL)-2 receptor (IL-2R). Because activated T cells up
regulate high-affinity IL-2R and IL-2 is used to grow activated T cells in vitro,
daclizumab was envisioned to selectively inhibit activated T cells. However, the
mechanism of action (MOA) of daclizumab is surprisingly broad and it includes
many unanticipated effects on innate immunity. Specifically, daclizumab modulates
the development of innate lymphoid cells, leading to expansion of
immunoregulatory CD56bright natural killer (NK) cells. Activated CD56bright NK
cells migrate to the intrathecal compartment in multiple sclerosis (MS) and
regulate autoreactive T cells via cytotoxicity. Finally, daclizumab also
restricts initial steps of T-cell activation by blocking trans-presentation of IL
2 by dendritic cells to antigen-specific T cells. In conclusion, daclizumab has
complex immunomodulatory effects with resultant inhibition of central nervous
system inflammation in MS.
PMID- 29661809
TI - The Multiple Roles of B Cells in Multiple Sclerosis and Their Implications in
Multiple Sclerosis Therapies.
AB - Increasing evidence has suggested that both antibody-dependent and antibody
independent functions of B cells are involved in multiple sclerosis (MS). The
contrasting results of distinct B-cell targeting therapies in MS patients
underscores the importance of elucidating these multiple B-cell functions. In
this review, we discuss the generation of autoreactive B cells, migration of B
cells into the central nervous system (CNS), and how different functions of B
cells may contribute to MS disease activity and potentially mitigation in both
the periphery and CNS compartments. In addition, we propose several future
therapeutic strategies that may better target/shape B-cell responses for long
term treatment of MS.
PMID- 29661810
TI - Metastases in Prostate Cancer.
AB - Prostate cancer (PCa) prognosis and clinical outcome is directly dependent on
metastatic occurrence. The bone microenvironment is a favorable metastatic niche.
Different biological processes have been suggested to contribute to the
osteotropism of PCa such as hemodynamics, bone-specific signaling interactions,
and the "seed and soil" hypothesis. However, prevalence of disseminating tumor
cells in the bone is not proportional to the actual occurrence of metastases, as
not all patients will develop bone metastases. The fate and tumor-reforming
ability of a metastatic cell is greatly influenced by the microenvironment. In
this review, the molecular mechanisms of bone and soft-tissue metastasis in PCa
are discussed. Specific attention is dedicated to the residual disease, novel
approaches, and animal models used in oncological translational research are
illustrated.
PMID- 29661811
TI - Murine Models of Hepatitis A Virus Infection.
AB - Mechanistic analyses of hepatitis A virus (HAV)-induced pathogenesis have long
been thwarted by the lack of tractable small animal models that recapitulate
disease observed in humans. Several approaches have shown success, including
infection of chimeric mice with human liver cells. Other recent studies show that
HAV can replicate to high titer in mice lacking expression of the type I
interferon (IFN) receptor (IFN-alpha/beta receptor) or mitochondrial antiviral
signaling (MAVS) protein. Mice deficient in the IFN receptor show critical
features of type A hepatitis in humans when challenged with human HAV, including
histological evidence of liver damage, leukocyte infiltration, and the release of
liver enzymes into blood. Acute pathogenesis is caused by MAVS-dependent
signaling that leads to intrinsic apoptosis of hepatocytes.
PMID- 29661812
TI - Resisting influence from agri-food industries on Canada's new food guide.
PMID- 29661813
TI - Quality primary health care will drive the realization of universal health
coverage.
PMID- 29661815
TI - Why strengthening primary health care is essential to achieving universal health
coverage.
PMID- 29661814
TI - Psychometric findings and normative values for the CLEFT-Q based on 2434 children
and young adult patients with cleft lip and/or palate from 12 countries.
AB - BACKGROUND: Patients with cleft lip and/or palate can undergo numerous procedures
to improve appearance, speech, dentition and hearing. We developed a cleft
specific patient-reported outcome instrument to facilitate rigorous international
measurement and benchmarking. METHODS: Data were collected from patients aged 8
29 years with cleft lip and/or palate at 30 hospitals in 12 countries between
October 2014 and November 2016. Rasch measurement theory analysis was used to
refine the scales and to examine reliability and validity. Normative CLEFT-Q
values were computed for age, sex and cleft type. RESULTS: Analysis led to the
refinement of an eating and drinking checklist and 12 scales measuring appearance
(of the face, nose, nostrils, teeth, lips, jaws and cleft lip scar), health
related quality of life (psychological, social, school, speech distress) and
speech function. All scales met the requirements of the Rasch model. Analysis to
explore differential item functioning by age, sex and country provided evidence
to support the use of a common scoring algorithm for each scale for international
use. Lower (worse) scores on CLEFT-Q scales were associated with having a speech
problem, being unhappy with facial appearance, and needing future cleft-related
treatments, providing evidence of construct validity. Normative values for age,
sex and cleft type showed poorer outcomes associated with older age, female sex
and having a visible cleft. INTERPRETATION: The CLEFT-Q represents a rigorously
developed instrument that can be used internationally to collect and compare
evidence-based outcomes data from patients aged 8-29 years of age with cleft lip
and/or palate.
PMID- 29661816
TI - Mycotic aneurysm formation after bacillus Calmette-Guerin instillation for
recurrent bladder cancer.
PMID- 29661817
TI - Powassan virus - an emerging public health concern.
PMID- 29661818
TI - Canada's future health care system.
PMID- 29661819
TI - Whole-family treatment of neonatal abstinence syndrome.
PMID- 29661820
TI - Rise of women in medicine not matched by leadership roles.
PMID- 29661821
TI - Review calls for major overhaul of federally funded health organizations.
PMID- 29661822
TI - Chronic pain associations struggle to raise awareness of "silent epidemic".
PMID- 29661823
TI - Cutting Edge: Blockade of Inhibitor of Apoptosis Proteins Sensitizes Neutrophils
to TNF- but Not Lipopolysaccharide-Mediated Cell Death and IL-1beta Secretion.
AB - The mammalian inhibitor of apoptosis proteins (IAPs) are key regulators of cell
death and inflammation. A major function of IAPs is to block the formation of a
cell death-inducing complex, termed the ripoptosome, which can trigger caspase-8
dependent apoptosis or caspase-independent necroptosis. Recent studies report
that upon TLR4 or TNF receptor 1 (TNFR1) signaling in macrophages, the
ripoptosome can also induce NLRP3 inflammasome formation and IL-1beta maturation.
Whether neutrophils have the capacity to assemble a ripoptosome to induce cell
death and inflammasome activation during TLR4 and TNFR1 signaling is unclear. In
this study, we demonstrate that murine neutrophils can signal via TNFR1-driven
ripoptosome assembly to induce both cell death and IL-1beta maturation. However,
unlike macrophages, neutrophils suppress TLR4-dependent cell death and NLRP3
inflammasome activation during IAP inhibition via deficiencies in the CD14/TRIF
arm of TLR4 signaling.
PMID- 29661824
TI - Development of Dengue Virus Serotype-Specific NS1 Capture Assays for the Rapid
and Highly Sensitive Identification of the Infecting Serotype in Human Sera.
AB - Dengue fever can be caused by one of four distinct dengue virus (DENV) serotypes
that cocirculate in many parts of the world. Point of care serotype-specific
nonstructural protein-1 (NS1) capture assays for the rapid serotyping of DENV in
human sera would greatly support epidemiological surveillance and potentially
also prognosis in individual patients. To ensure both serotype specificity and
broad coverage of variants within serotypes, we have applied an innovative
approach for the generation and selection of serotype-specific anti-NS1 mAbs. To
elicit mAbs against conformational epitopes, NMRI mice were immunized with living
HEK 293 transfectants expressing the native target Ags in multiple display on the
cell surface. For each serotype, three different NS1 sequence variants were
sequentially used for immunization of mice, hybridoma selection, and capture
assay development, respectively. Selection of optimal combinations of capturing
and detecting mAbs yielded highly sensitive and specific NS1 serotyping ELISAs
(st-ELISAs) for the four serotypes. st-ELISA testing of 41 dengue patient sera
showed a 100% concordance with the serotype determined by serotype-specific
reverse transcriptase real-time quantitative PCR. The respective NS1 variants
could be detected for ~10 d after the onset of illness. Ab-dependent enhancement
of DENV infections may be associated with a specific range of pre-existing anti
DENV serological Ab titers. Testing of patient sera with the developed st-ELISAs
will not only be useful for epidemiological studies and surveillance, but it may
also help to develop and validate assays that can distinguish protective versus
enhancing Ab responses for risk assessment for the development of severe dengue
disease in individual patients.
PMID- 29661825
TI - NKp46 Calibrates Tumoricidal Potential of Type 1 Innate Lymphocytes by Regulating
TRAIL Expression.
AB - NK cells are a subset of group 1 innate lymphocytes that recognize and eliminate
virus-infected and transformed cells. During the course of their development, NK
cells acquire a repertoire of activating and inhibitory receptors, which
ultimately define their reactivity against target cells. The array of receptors
and their specificity during early developmental stages will control and imprint
functional properties of NK cells, a process known as "NK cell education." Innate
lymphoid cells (ILCs) are a diverse group of lymphocytes, which, like NK cells,
do not rely on somatically rearranged Ag receptors for recognition. Among ILC
subsets, ILC1s are most like NK cells functionally. Prototypic ILC1s reside in
the liver, and a large part of their function is attributed to the expression of
TRAIL, a TNF superfamily member with a well-documented antitumor activity. In
this article, we show that TRAIL expression on mouse ILC1s is controlled by an
activating receptor NKp46, which has been previously shown to control NK cell
education. In the absence of NKp46, ILC1s fail to express normal levels of TRAIL
on the surface, which results in diminished cytotoxicity toward TRAIL receptor
positive targets. To our knowledge, these findings provide the first evidence of
a role of NKp46 in ILC1s that calibrates their antitumor response.
PMID- 29661826
TI - Tumor Tolerance-Promoting Function of Regulatory T Cells Is Optimized by CD28,
but Strictly Dependent on Calcineurin.
AB - Regulatory T cells (Treg) restrain immune responses against malignant tumors, but
their global depletion in cancer patients will likely be limited by systemic
autoimmune toxicity. Instead, approaches to "tune" their activities may allow for
preferential targeting of tumor-reactive Treg. Although Ag recognition regulates
Treg function, the roles of individual TCR-dependent signaling pathways in
enabling Treg to promote tumor tolerance are not well characterized. In this
study, we examined in mouse tumor models the role of calcineurin, a key mediator
of TCR signaling, and the role of the costimulatory receptor CD28 in the
differentiation of resting central Treg into effector Treg endowed with tumor
tropism. We find that calcineurin, although largely dispensable for suppressive
activity in vitro, is essential for upregulation of ICOS and CTLA-4 in Treg, as
well as for expression of chemokine receptors driving their accumulation in
tumors. In contrast, CD28 is not critical, but optimizes the formation of tumor
homing Treg and their fitness in tumor tissue. Accordingly, although deletion of
either CnB or CD28 strongly impairs Treg-mediated tumor tolerance, lack of CnB
has an even more pronounced impact than lack of CD28. Hence, our studies reveal
distinct roles for what has classically been defined as signal 1 and signal 2 of
conventional T cell activation in the context of Treg-mediated tumor tolerance.
PMID- 29661827
TI - Oral NaHCO3 Activates a Splenic Anti-Inflammatory Pathway: Evidence That
Cholinergic Signals Are Transmitted via Mesothelial Cells.
AB - We tested the hypothesis that oral NaHCO3 intake stimulates splenic anti
inflammatory pathways. Following oral NaHCO3 loading, macrophage polarization was
shifted from predominantly M1 (inflammatory) to M2 (regulatory) phenotypes, and
FOXP3+CD4+ T-lymphocytes increased in the spleen, blood, and kidneys of rats.
Similar anti-inflammatory changes in macrophage polarization were observed in the
blood of human subjects following NaHCO3 ingestion. Surprisingly, we found that
gentle manipulation to visualize the spleen at midline during surgical laparotomy
(sham splenectomy) was sufficient to abolish the response in rats and resulted in
hypertrophy/hyperplasia of the capsular mesothelial cells. Thin collagenous
connections lined by mesothelial cells were found to connect to the capsular
mesothelium. Mesothelial cells in these connections stained positive for the pan
neuronal marker PGP9.5 and acetylcholine esterase and contained many
ultrastructural elements, which visually resembled neuronal structures. Both
disruption of the fragile mesothelial connections or transection of the vagal
nerves resulted in the loss of capsular mesothelial acetylcholine esterase
staining and reduced splenic mass. Our data indicate that oral NaHCO3 activates a
splenic anti-inflammatory pathway and provides evidence that the signals that
mediate this response are transmitted to the spleen via a novel neuronal-like
function of mesothelial cells.
PMID- 29661829
TI - MicroRNA-27b Modulates Inflammatory Response and Apoptosis during Mycobacterium
tuberculosis Infection.
AB - Mycobacterium tuberculosis poses a significant global health threat. MicroRNAs
play an important role in regulating host anti-mycobacterial defense; however,
their role in apoptosis-mediated mycobacterial elimination and inflammatory
response remains unclear. In this study, we explored the role of microRNA-27b
(miR-27b) in murine macrophage responses to M. tuberculosis infection. We
uncovered that the TLR-2/MyD88/NF-kappaB signaling pathway induced the expression
of miR-27b and miR-27b suppressed the production of proinflammatory factors and
the activity of NF-kappaB, thereby avoiding an excessive inflammation during M.
tuberculosis infection. Luciferase reporter assay and Western blotting showed
that miR-27b directly targeted Bcl-2-associated athanogene 2 (Bag2) in
macrophages. Overexpression of Bag2 reversed miR-27b-mediated inhibition of the
production of proinflammatory factors. In addition, miR-27b increased p53
dependent cell apoptosis and the production of reactive oxygen species and
decreased the bacterial burden. We also showed that Bag2 interacts with p53 and
negatively regulates its activity, thereby controlling cell apoptosis and
facilitating bacterial survival. In summary, we revealed a novel role of the miR
27b/Bag2 axis in the regulation of inflammatory response and apoptosis and
provide a potential molecular host defense mechanism against mycobacteria.
PMID- 29661828
TI - Nasopharyngeal Exposure to Streptococcus pneumoniae Induces Extended Age
Dependent Protection against Pulmonary Infection Mediated by Antibodies and
CD138+ Cells.
AB - Streptococcus pneumoniae commonly resides asymptomatically in the nasopharyngeal
(NP) cavity of healthy individuals but can cause life-threatening pulmonary and
systemic infections, particularly in the elderly. NP colonization results in a
robust immune response that protects against invasive infections. However, the
duration, mechanism, and cellular component of such responses are poorly
understood. In this study, we found that repeated NP exposure of mice to S.
pneumoniae TIGR4 strain results in pneumococcal-specific Ab responses that
protect against lethal lung challenge. Abs were necessary and sufficient for
protection because Ab-deficient MUMT mice did not develop postexposure
protection, only becoming resistant to lung infection after transfer of immune
sera from NP-exposed mice. T cells contributed to immunity at the time of NP
exposure, but neither CD4+ nor CD8+ T cells were required. The protective
activity was detectable 20 wk after exposure and was maintained in irradiated
mice, suggesting involvement of long-lived Ab-secreting cells (ASC), which are
radioresistant and secrete Abs for extended periods of time in the absence of T
cells or persistent Ag. CD138+ bone marrow cells, likely corresponding to long
lived ASC, were sufficient to confer protection. NP exposure of aged mice failed
to protect against subsequent lung infection despite eliciting a robust Ab
response. Furthermore, transfer of CD138+ bone marrow cells or sera from NP
exposed old mice failed to protect naive young mice. These findings suggest that
NP exposure elicits extended protection against pneumococcal lung infection by
generating long-lived CD138+ ASC and that the protective efficacy of these
responses declines with age.
PMID- 29661830
TI - Sustained Adrenergic Signaling Promotes Intratumoral Innervation through BDNF
Induction.
AB - Mounting clinical and preclinical evidence supports a key role for sustained
adrenergic signaling in the tumor microenvironment as a driver of tumor growth
and progression. However, the mechanisms by which adrenergic neurotransmitters
are delivered to the tumor microenvironment are not well understood. Here we
present evidence for a feed-forward loop whereby adrenergic signaling leads to
increased tumoral innervation. In response to catecholamines, tumor cells
produced brain-derived neurotrophic factor (BDNF) in an ADRB3/cAMP/Epac/JNK
dependent manner. Elevated BDNF levels in the tumor microenvironment increased
innervation by signaling through host neurotrophic receptor tyrosine kinase 2
receptors. In patients with cancer, high tumor nerve counts were significantly
associated with increased BDNF and norepinephrine levels and decreased overall
survival. Collectively, these data describe a novel pathway for tumor
innervation, with resultant biological and clinical implications.Significance:
Sustained adrenergic signaling promotes tumor growth and metastasis through BDNF
mediated tumoral innervation. Cancer Res; 78(12); 3233-42. (c)2018 AACR.
PMID- 29661831
TI - Differential Effects of Estrogen Receptor beta Isoforms on Glioblastoma
Progression.
AB - The estrogen receptor beta (ERbeta) functions as a tumor suppressor in
glioblastoma (GBM) cells. However, the in vivo significance of endogenous ERbeta
and the roles of its isoforms in GBM are incompletely understood. Using ERbeta
isoform-specific PCR screening, we found that GBM cells predominantly express
ERbeta1 and ERbeta5, along with low levels of ERbeta2 and ERbeta4. We observed
greater ERbeta5 expression in higher grades of glioma than in lower grades. In
CRISPR-based ERbeta knockout (KO) cells and ERbeta KO cells uniquely expressing
ERbeta1 or ERbeta5 only, ERbeta1 significantly reduced proliferation. Compared
with parental GBM cells, ERbeta KO cells exhibited high migratory and invasive
potentials, and reexpression of ERbeta1 resulted in the reduction of this
phenotype. Interestingly, ERbeta5 expression increased foci formation and
anchorage-independent growth of NIH3T3 cells and increased motile structure
formation, including filopodia and ruffles in GBM cells. Only ERbeta1-expressing
tumors resulted in longer mouse survival. RNA-Seq analysis revealed unique
pathways modulated by ERbeta1 and ERbeta5. Compared with ERbeta KO cells, ERbeta1
cells exhibited lower activation of mTOR signaling molecules, including p-mTOR, p
S6K, and p-S6, and ERbeta5-expressing cells had enhanced mTOR downstream
signaling. Unique proteins including several that function as regulators of mTOR,
immunomodulatory, and apoptosis pathways bound to ERbeta1 and ERbeta5 isoforms.
Our work confirms the tumor-suppressive potential of ERbeta1 and reveals the
acquired oncogenic ability of ERbeta5 in GBM cells. ERbeta isoform status and
their unique interactions with oncogenic pathways may have important implications
in GBM progression.Significance: These findings suggest that only ERbeta isoform
1 has tumor suppressor function in GBM and that ERbeta isoform switching
contributes to GBM progression. Cancer Res; 78(12); 3176-89. (c)2018 AACR.
PMID- 29661832
TI - Kinase activity in the olfactory bulb is required for odor memory consolidation.
AB - Long-term fear memory formation in the hippocampus and neocortex depends upon
brain-derived neurotrophic factor (BDNF) signaling after acquisition.
Incremental, appetitive odor discrimination learning is thought to depend
substantially on the differentiation of adult-born neurons within the olfactory
bulb (OB)-a process that is closely associated with BDNF signaling. We sought to
elucidate the role of neurotrophin signaling within the OB on odor memory
consolidation. Male mice were trained on odor-reward associative discriminations
after bilateral infusion of the kinase inhibitor K252a, or vehicle control, into
the OB. K252a is a partially selective inhibitor of tyrosine kinase (Trk)
receptors, including the TrkB receptor for BDNF, though it also inhibits other
plasticity-related kinases such as PKC and CaMKII/IV. K252a infusion into the OB
did not impair odor acquisition or short-term (2 h) memory for the learned
discriminations, but significantly impaired long-term (48 h) odor memory (LTM).
This LTM deficit also was associated with reduced selectivity for the conditioned
odorant in a reward-seeking digging task. Infusions of K252a immediately prior to
testing did not impair LTM recall. These results indicate that kinase activation
in the OB is required for the consolidation of odor memory of incrementally
acquired information.
PMID- 29661833
TI - NO is required for memory formation and expression of memory, and for minor
behavioral changes during training with inedible food in Aplysia.
AB - A learning experience may lead to changes in behavior during the experience, and
also to memory expressed at a later time. Are signals causing changes in behavior
during the learning experience related to the formation and expression of memory?
We examined this question, using learning that food is inedible in Aplysia
Treatment of an isolated buccal ganglia preparation with an NO donor elicited
rejection-like motor programs. Rejection initiated by NO production is consistent
with aspects of behavioral changes seen while animals learn, and with memory
formation. Nonetheless, applying the NO donor during training had only minor
effects on behavior during the training, and did not improve memory, indicating
that the induction of rejection in the buccal ganglia is unlikely to be the means
by which NO during training contributes to memory formation. Block of NO during
memory retrieval prevented the expression of memory, as measured by a lack of
savings in time to stop responding to food. Applying an NO donor to the cerebral
ganglion while eliciting fictive feeding inhibited the expression of feeding
activity, indicating that some NO effects on memory consolidation and on
expression of memory may be via effects on the cerebral ganglion.
PMID- 29661834
TI - miR-132 couples the circadian clock to daily rhythms of neuronal plasticity and
cognition.
AB - The microRNA miR-132 serves as a key regulator of a wide range of plasticity
associated processes in the central nervous system. Interestingly, miR-132
expression has also been shown to be under the control of the circadian timing
system. This finding, coupled with work showing that miR-132 is expressed in the
hippocampus, where it influences neuronal morphology and memory, led us to test
the idea that daily rhythms in miR-132 within the forebrain modulate cognition as
a function of circadian time. Here, we show that hippocampal miR-132 expression
is gated by the time-of-day, with peak levels occurring during the circadian
night. Further, in miR-132 knockout mice and in transgenic mice, where miR-132 is
constitutively expressed under the control of the tetracycline regulator system,
we found that time-of-day dependent memory recall (as assessed via novel object
location and contextual fear conditioning paradigms) was suppressed. Given that
miRNAs exert their functional effects via the suppression of target gene
expression, we examined the effects that transgenic miR-132 manipulations have on
MeCP2 and Sirt1-two miR-132 targets that are associated with neuronal plasticity
and cognition. In mice where miR-132 was either knocked out, or transgenically
expressed, rhythmic expression of MeCP2 and Sirt1 was suppressed. Taken together,
these results raise the prospect that miR-132 serves as a key route through which
the circadian timing system imparts a daily rhythm on cognitive capacity.
PMID- 29661835
TI - Sexual differentiation of contextual fear responses.
AB - Development and sex differentiation impart an organizational influence on the
neuroanatomy and behavior of mammalian species. Prior studies suggest that brain
regions associated with fear motivated defensive behavior undergo a protracted
and sex-dependent development. Outside of adult animals, evidence for
developmental sex differences in conditioned fear is sparse. Here, we examined in
male and female Long-Evans rats how developmental age and sex affect the long
term retention and generalization of Pavlovian fear responses. Experiments 1 and
2 describe under increasing levels of aversive learning (three and five trials)
the long-term retrieval of cued and context fear in preadolescent (P24 and P33),
periadolescent (P37), and adult (P60 and P90) rats. Experiments 3 and 4 examined
contextual processing under minimal aversive learning (1 trial) procedures in
infant (P19, P21), preadolescent (P24), and adult (P60) rats. Here, we found that
male and female rats display a divergent developmental trajectory in the
expression of context-mediated freezing, such that context fear expression in
males tends to increase toward adulthood, while females displayed an opposite
pattern of decreasing context fear expression toward adulthood. Longer (14 d)
retention intervals produced an overall heightened context fear expression
relative to shorter (1 d) retention intervals an observation consistent with fear
incubation. Male, but not Female rats showed increasing generalization of context
fear across development. Collectively, these findings provide an initial
demonstration that sexual differentiation of contextual fear conditioning emerges
prior to puberty and follows a distinct developmental trajectory toward adulthood
that strikingly parallels sex differences in the etiology and epidemiology of
anxiety and trauma- and stressor-related disorders.
PMID- 29661837
TI - Sodium Handling by the Blood Vessel Wall: Critical for Hypertension Development.
PMID- 29661836
TI - Fear memory consolidation in sleep requires protein kinase A.
AB - It is well established that protein kinase A (PKA) is involved in hippocampal
dependent memory consolidation. Sleep is also known to play an important role in
this process. However, whether sleep-dependent memory consolidation involves PKA
activation has not been clearly determined. Using behavioral observation, animals
were categorized into sleep and awake groups. We show that intrahippocampal
injections of the PKA inhibitor Rp-cAMPs in post-contextual fear conditioning
sleep produced a suppression of long-term fear memory, while injections of Rp
cAMPs during an awake state, at a similar time point, had no effect. In contrast,
injections of the PKA activator Sp-cAMPs in awake state, rescued sleep
deprivation-induced memory impairments. These results suggest that following
learning, PKA activation specifically in sleep is required for the consolidation
of long-term memory.
PMID- 29661839
TI - Targeting Mitochondria in Age-Related Vascular Changes: A New Arrow to the Bow of
Antioxidant Treatment?
PMID- 29661838
TI - Chronic Supplementation With a Mitochondrial Antioxidant (MitoQ) Improves
Vascular Function in Healthy Older Adults.
AB - : Excess reactive oxygen species production by mitochondria is a key mechanism of
age-related vascular dysfunction. Our laboratory has shown that supplementation
with the mitochondrial-targeted antioxidant MitoQ improves vascular endothelial
function by reducing mitochondrial reactive oxygen species and ameliorates
arterial stiffening in old mice, but the effects in humans are unknown. Here, we
sought to translate our preclinical findings to humans and determine the safety
and efficacy of MitoQ. Twenty healthy older adults (60-79 years) with impaired
endothelial function (brachial artery flow-mediated dilation <6%) underwent 6
weeks of oral supplementation with MitoQ (20 mg/d) or placebo in a randomized,
placebo-controlled, double-blind, crossover design study. MitoQ was well
tolerated, and plasma MitoQ was higher after the treatment versus placebo period
(P<0.05). Brachial artery flow-mediated dilation was 42% higher after MitoQ
versus placebo (P<0.05); the improvement was associated with amelioration of
mitochondrial reactive oxygen species-related suppression of endothelial function
(assessed as the increase in flow-mediated dilation with acute, supratherapeutic
MitoQ [160 mg] administration; n=9; P<0.05). Aortic stiffness (carotid-femoral
pulse wave velocity) was lower after MitoQ versus placebo (P<0.05) in
participants with elevated baseline levels (carotid-femoral pulse wave velocity
>7.60 m/s; n=11). Plasma oxidized LDL (low-density lipoprotein), a marker of
oxidative stress, also was lower after MitoQ versus placebo (P<0.05). Participant
characteristics, endothelium-independent dilation (sublingual nitroglycerin), and
circulating markers of inflammation were not different (all P>0.1). These
findings in humans extend earlier preclinical observations and suggest that MitoQ
and other therapeutic strategies targeting mitochondrial reactive oxygen species
may hold promise for treating age-related vascular dysfunction. CLINICAL TRIAL
REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier: NCT02597023.
PMID- 29661840
TI - Therapeutic Potential of a Novel Necrosis Inhibitor, 7-Amino-Indole, in
Myocardial Ischemia-Reperfusion Injury.
AB - Opening of mitochondrial permeability transition pore and Ca2+ overload are main
contributors to myocardial ischemia-reperfusion injury, which paradoxically
causes a wide variety of myocardial damage. We investigated the protective role
of a novel necrosis inhibitor (NecroX-7; NecX) against myocardial ischemia
reperfusion injury using in vitro and in vivo models. H9C2 rat cardiomyoblasts
and neonatal cardiomyocytes were exposed to hypoxia-reoxygenation stress after
pre-treatment with NecX, vitamin C, a combination of vitamin C and E, N
acetylcysteine, an apoptosis inhibitor (Z-VAD-fmk), or cyclosporine A. The main
mechanism of cell death after hypoxia-reoxygenation stress was not apoptosis but
necrosis, which was prevented by NecX. Protective effect of NecX was based on its
potent reactive oxygen species scavenging activity, especially on mitochondrial
reactive oxygen species. NecX preserved mitochondrial membrane potential through
prevention of Ca2+ influx and inhibition of mitochondrial permeability transition
pore opening, which was more potent than that by cyclosporine A. Using Sprague
Dawley rats exposed to myocardial ischemia for 45 minutes followed by
reperfusion, we compared therapeutic efficacies of NecX with cyclosporine A,
vitamin C, a combination of vitamin C and E, and 5% dextrose, each administered 5
minutes before reperfusion. NecX markedly inhibited myocardial necrosis and
reduced fibrotic area to a greater extent than did cyclosporine A and other
treated groups. In addition, NecX preserved systolic function and prevented
pathological dilatory remodeling of left ventricle. The novel necrosis inhibitor
has a significant protective effect against myocardial ischemia-reperfusion
injury through inhibition of mitochondrial permeability transition pore opening,
indicating that it is a promising candidate for cardioprotective adjunctive
measure on top of reperfusion therapy.
PMID- 29661841
TI - Angiotensin II Short-Loop Feedback: Is There a Role of Ang II for the Regulation
of the Renin System In Vivo?
AB - The activity of the renin-angiotensin-aldosterone system is triggered by the
release of the protease renin from the kidneys, which in turn is controlled in
the sense of negative feedback loops. It is widely assumed that Ang II
(angiotensin II) directly inhibits renin expression and secretion via a short
loop feedback by an effect on renin-producing cells (RPCs) mediated by AT1 (Ang
II type 1) receptors. Because the concept of such a direct short-loop negative
feedback control, which originates mostly from in vitro experiments, has not yet
been systematically proven in vivo, we aimed to test the validity of this concept
by studying the regulation of renin synthesis and secretion in mice lacking Ang
II-AT1 receptors on RPCs. We found that RPCs of the kidney express Ang II-AT1
receptors. Mice with conditional deletion of Ang II-AT1 receptors in RPCs were
normal with regard to the number of renin cells, renal renin mRNA, and plasma
renin concentrations. Renin expression and secretion of these mice responded to
Ang I (angiotensin I)-converting enzyme inhibition and to Ang II infusion like in
wild-type (WT) controls. In summary, we did not obtain evidence that Ang II-AT1
receptors on RPCs are of major relevance for the normal regulation of renin
expression and secretion in mice. Therefore, we doubt the existence of a direct
negative feedback function of Ang II on RPCs.
PMID- 29661842
TI - Accounting for Genotype-by-Environment Interactions and Residual Genetic
Variation in Genomic Selection for Water-Soluble Carbohydrate Concentration in
Wheat.
AB - Abiotic stress tolerance traits are often complex and recalcitrant targets for
conventional breeding improvement in many crop species. This study evaluated the
potential of genomic selection to predict water-soluble carbohydrate
concentration (WSCC), an important drought tolerance trait, in wheat under field
conditions. A panel of 358 varieties and breeding lines constrained for maturity
was evaluated under rainfed and irrigated treatments across two locations and two
years. Whole-genome marker profiles and factor analytic mixed models were used to
generate genomic estimated breeding values (GEBVs) for specific environments and
environment groups. Additive genetic variance was smaller than residual genetic
variance for WSCC, such that genotypic values were dominated by residual genetic
effects rather than additive breeding values. As a result, GEBVs were not
accurate predictors of genotypic values of the extant lines, but GEBVs should be
reliable selection criteria to choose parents for intermating to produce new
populations. The accuracy of GEBVs for untested lines was sufficient to increase
predicted genetic gain from genomic selection per unit time compared to
phenotypic selection if the breeding cycle is reduced by half by the use of GEBVs
in off-season generations. Further, genomic prediction accuracy depended on
having phenotypic data from environments with strong correlations with target
production environments to build prediction models. By combining high-density
marker genotypes, stress-managed field evaluations, and mixed models that model
simultaneously covariances among genotypes and covariances of complex trait
performance between pairs of environments, we were able to train models with good
accuracy to facilitate genetic gain from genomic selection.
PMID- 29661844
TI - Complexes of plexin-A4 and plexin-D1 convey semaphorin-3C signals to induce
cytoskeletal collapse in the absence of neuropilins.
AB - Class-3 semaphorin guidance factors bind to receptor complexes containing
neuropilin and plexin receptors. A semaphorin may bind to several receptor
complexes containing somewhat different constituents, resulting in diverse
effects on cell migration. U87MG glioblastoma cells express both neuropilins and
the four class-A plexins. Here, we show that these cells respond to Sema3A or
Sema3B by cytoskeletal collapse and cell contraction but fail to contract in
response to Sema3C, Sema3D, Sema3G or Sema3E, even when class-A plexins are
overexpressed in the cells. In contrast, expression of recombinant plexin-D1
enabled contraction in response to these semaphorins. Surprisingly, unlike Sema3D
and Sema3G, Sema3C also induced the contraction and repulsion of plexin-D1
expressing U87MG cells in which both neuropilins were knocked out using
CRISPR/Cas9. In the absence of neuropilins, the EC50 of Sema3C was 5.5 times
higher, indicating that the neuropilins function as enhancers of plexin-D1
mediated Sema3C signaling but are not absolutely required for Sema3C signal
transduction. Interestingly, in the absence of neuropilins, plexin-A4 formed
complexes with plexin-D1, and was required in addition to plexin-D1 to enable
Sema3C-induced signal transduction.
PMID- 29661843
TI - Functional Analysis of Hif1 Histone Chaperone in Saccharomyces cerevisiae.
AB - The Hif1 protein in the yeast Saccharomyces cerevisie is an evolutionarily
conserved H3/H4-specific chaperone and a subunit of the nuclear Hat1 complex that
catalyzes the acetylation of newly synthesized histone H4. Hif1, as well as its
human homolog NASP, has been implicated in an array of chromatin-related
processes including histone H3/H4 transport, chromatin assembly and DNA repair.
In this study, we elucidate the functional aspects of Hif1 Initially we establish
the wide distribution of Hif1 homologs with an evolutionarily conserved pattern
of four tetratricopeptide repeats (TPR) motifs throughout the major fungal
lineages and beyond. Subsequently, through targeted mutational analysis, we
demonstrate that the acidic region that interrupts the TPR2 is essential for Hif1
physical interactions with the Hat1/Hat2-complex, Asf1, and with histones H3/H4.
Furthermore, we provide evidence for the involvement of Hif1 in regulation of
histone metabolism by showing that cells lacking HIF1 are both sensitive to
histone H3 over expression, as well as synthetic lethal with a deletion of
histone mRNA regulator LSM1 We also show that a basic patch present at the
extreme C-terminus of Hif1 is essential for its proper nuclear localization.
Finally, we describe a physical interaction with a transcriptional regulatory
protein Spt2, possibly linking Hif1 and the Hat1 complex to transcription
associated chromatin reassembly. Taken together, our results provide novel
mechanistic insights into Hif1 functions and establish it as an important protein
in chromatin-associated processes.
PMID- 29661846
TI - The GET pathway can increase the risk of mitochondrial outer membrane proteins to
be mistargeted to the ER.
AB - Tail-anchored (TA) proteins are anchored to their corresponding membrane via a
single transmembrane segment (TMS) at their C-terminus. In yeast, the targeting
of TA proteins to the endoplasmic reticulum (ER) can be mediated by the guided
entry of TA proteins (GET) pathway, whereas it is not yet clear how mitochondrial
TA proteins are targeted to their destination. It has been widely observed that
some mitochondrial outer membrane (MOM) proteins are mistargeted to the ER when
overexpressed or when their targeting signal is masked. However, the mechanism of
this erroneous sorting is currently unknown. In this study, we demonstrate the
involvement of the GET machinery in the mistargeting of suboptimal MOM proteins
to the ER. These findings suggest that the GET machinery can, in principle,
recognize and guide mitochondrial and non-canonical TA proteins. Hence, under
normal conditions, an active mitochondrial targeting pathway must exist that
dominates the kinetic competition against other pathways.
PMID- 29661845
TI - Lysosome enlargement during inhibition of the lipid kinase PIKfyve proceeds
through lysosome coalescence.
AB - Lysosomes receive and degrade cargo from endocytosis, phagocytosis and autophagy.
They also play an important role in sensing and instructing cells on their
metabolic state. The lipid kinase PIKfyve generates phosphatidylinositol-3,5
bisphosphate to modulate lysosome function. PIKfyve inhibition leads to impaired
degradative capacity, ion dysregulation, abated autophagic flux and a massive
enlargement of lysosomes. Collectively, this leads to various physiological
defects, including embryonic lethality, neurodegeneration and overt inflammation.
The reasons for such drastic lysosome enlargement remain unclear. Here, we
examined whether biosynthesis and/or fusion-fission dynamics contribute to
swelling. First, we show that PIKfyve inhibition activates TFEB, TFE3 and MITF,
enhancing lysosome gene expression. However, this did not augment lysosomal
protein levels during acute PIKfyve inhibition, and deletion of TFEB and/or
related proteins did not impair lysosome swelling. Instead, PIKfyve inhibition
led to fewer but enlarged lysosomes, suggesting that an imbalance favouring
lysosome fusion over fission causes lysosome enlargement. Indeed, conditions that
abated fusion curtailed lysosome swelling in PIKfyve-inhibited cells.
PMID- 29661847
TI - The Ajuba family protein Wtip regulates actomyosin contractility during
vertebrate neural tube closure.
AB - Ajuba family proteins are implicated in the assembly of cell junctions and have
been reported to antagonize Hippo signaling in response to cytoskeletal tension.
To assess the role of these proteins in actomyosin contractility, we examined the
localization and function of Wtip, a member of the Ajuba family, in Xenopus early
embryos. Targeted in vivo depletion of Wtip inhibited apical constriction in
neuroepithelial cells and elicited neural tube defects. Fluorescent protein
tagged Wtip showed predominant punctate localization along the cell junctions in
the epidermis and a linear junctional pattern in the neuroectoderm. In cells
undergoing Shroom3-induced apical constriction, the punctate distribution was
reorganized into a linear pattern. Conversely, the linear junctional pattern of
Wtip in neuroectoderm changed to a more punctate distribution in cells with
reduced myosin II activity. The C-terminal fragment of Wtip physically associated
with Shroom3 and interfered with Shroom3 activity and neural fold formation. We
therefore propose that Wtip is a tension-sensitive cytoskeletal adaptor that
regulates apical constriction during vertebrate neurulation.This article has an
associated First Person interview with the first author of the paper.
PMID- 29661848
TI - Mitochondrial cAMP exerts positive feedback on mitochondrial Ca2+ uptake via the
recruitment of Epac1.
AB - We have previously demonstrated in H295R adrenocortical cells that the Ca2+
dependent production of mitochondrial cAMP (mt-cAMP) by the matrix soluble
adenylyl cyclase (sAC; encoded by ADCY10) is associated with enhanced aldosterone
production. Here, we examined whether mitochondrial sAC and mt-cAMP fine tune
mitochondrial Ca2+ metabolism to support steroidogenesis. Reduction of mt-cAMP
formation resulted in decelerated mitochondrial Ca2+ accumulation in intact cells
during K+-induced Ca2+ signalling and also in permeabilized cells exposed to
elevated perimitochondrial [Ca2+]. By contrast, treatment with the membrane
permeable cAMP analogue 8-Br-cAMP, inhibition of phosphodiesterase 2 and
overexpression of sAC in the mitochondrial matrix all intensified Ca2+ uptake
into the organelle. Identical mt-cAMP dependence of mitochondrial Ca2+ uptake was
also observed in HeLa cells. Importantly, the enhancing effect of mt-cAMP on Ca2+
uptake was independent from both the mitochondrial membrane potential and Ca2+
efflux, but was reduced by Epac1 (also known as RAPGEF3) blockade both in intact
and in permeabilized cells. Finally, overexpression of sAC in the mitochondrial
matrix potentiated aldosterone production implying that the observed positive
feedback mechanism of mt-cAMP on mitochondrial Ca2+ accumulation may have a role
in the rapid initiation of steroidogenesis.This article has an associated First
Person interview with the first author of the paper.
PMID- 29661849
TI - Feedback regulation by antagonistic epigenetic factors potentially maintains
developmental homeostasis in Drosophila.
AB - Drosophila Polycomb group (PcG) repressors confer epigenetically heritable
silencing on key regulatory genes through histone H3 trimethylation on lysine 27
(H3K27me3). How the silencing state withstands antagonistic activities from co
expressed trithorax group (trxG) activators is unclear. Upon overexpression of
Trx H3K4 methylase, to perturb the silenced state, we find a dynamic process
triggered in a stepwise fashion to neutralize the inductive impacts from excess
Trx. Shortly after Trx overexpression, there are global increases in H3K4
trimethylation and RNA polymerase II phosphorylation, marking active
transcription. Subsequently, these patterns diminish at the same time as the
levels of Set1, an abundant H3K4 methylase involved in productive transcription,
reduce. Concomitantly, the global H3K27me3 level is markedly reduced,
corresponding to an increase in the amount of Utx demethylase. Finally, excess Pc
repressive complex 1 (PRC1) is induced and located to numerous ectopic
chromosomal sites independently of H3K27me3 and several key recruitment factors.
The observation that PRC1 becomes almost completely colocalized with Trx suggests
new aspects of recruitment and antagonistic interaction. We propose that these
events represent a feedback circuitry ensuring the stability of the silenced
state.
PMID- 29661850
TI - Distinct 3' UTRs regulate the life-cycle-specific expression of two TCTP paralogs
in Trypanosoma brucei.
AB - The translationally controlled tumor protein (TCTP; also known as TPT1 in
mammals) is highly conserved and ubiquitously expressed in eukaryotes. It is
involved in growth and development, cell cycle progression, protection against
cellular stresses and apoptosis, indicating the multifunctional role of the
protein. Here, for the first time, we characterize the expression and function of
TCTP in the human and animal pathogen, Trypanosoma brucei We identified two
paralogs (TCTP1 and TCTP2) that are differentially expressed in the life cycle of
the parasite. The genes have identical 5' untranslated regions (UTRs) and almost
identical open-reading frames. The 3'UTRs differ substantially in sequence and
length, and are sufficient for the exclusive expression of TCTP1 in procyclic-
and TCTP2 in bloodstream-form parasites. Furthermore, we characterize which parts
of the 3'UTR are needed for TCTP2 mRNA stability. RNAi experiments demonstrate
that TCTP1 and TCTP2 expression is essential for normal cell growth in procyclic-
and bloodstream-form parasites, respectively. Depletion of TCTP1 in the procyclic
form cells leads to aberrant cell and mitochondrial organelle morphology, as well
as enlarged, and a reduced number of, acidocalcisomes.
PMID- 29661853
TI - Towards Prevention of Breast Cancer: What Are the Clinical Challenges?
AB - The dramatic increase in breast cancer incidence compels a paradigm shift in our
preventive efforts. There are several barriers to overcome before prevention
becomes an established part of breast cancer management. The objective of this
review is to identify the clinical challenges for improved breast cancer
prevention and discuss current knowledge on breast cancer risk assessment
methods, risk communication, ethics, and interventional efforts with the aim of
covering the aspects relevant for a breast cancer prevention trial. Herein, the
following five areas are discussed: (i) Adequate tools for identification of
women at high risk of breast cancer suggestively entitled Prevent! Online. (ii)
Consensus on the definition of high risk, which is regarded as mandatory for all
risk communication and potential prophylactic interventions. (iii) Risk
perception and communication regarding risk information. (iv) Potential ethical
concerns relevant for future breast cancer prevention programs. (v) Risk-reducing
programs involving multileveled prevention depending on identified risk. Taken
together, devoted efforts from both policy makers and health care providers are
warranted to improve risk assessment and risk counseling in women at risk for
breast cancer to optimize the prevention of breast cancer. Cancer Prev Res;
11(5); 255-64. (c)2018 AACR.
PMID- 29661852
TI - Integrated Transcriptomic and Proteomic Analyses Suggest the Participation of
Endogenous Protease Inhibitors in the Regulation of Protease Gene Expression in
Helicoverpa armigera.
AB - Insects adapt to plant protease inhibitors (PIs) present in their diet by
differentially regulating multiple digestive proteases. However, mechanisms
regulating protease gene expression in insects are largely enigmatic. Ingestion
of multi-domain recombinant Capsicum annuum protease inhibitor-7 (CanPI-7)
arrests growth and development of Helicoverpa armigera (Lepidoptera: Noctuidae).
Using de novo RNA sequencing and proteomic analysis, we examined the response of
H. armigera larvae fed on recombinant CanPI-7 at different time intervals. Here,
we present evidence supporting a dynamic transition in H. armigera protease
expression on CanPI-7 feeding with general down-regulation of protease genes at
early time points (0.5 to 6 h) and significant up-regulation of specific trypsin,
chymotrypsin and aminopeptidase genes at later time points (12 to 48 h). Further,
coexpression of H. armigera endogenous PIs with several digestive protease genes
were apparent. In addition to the differential expression of endogenous H.
armigera PIs, we also observed a distinct novel isoform of endogenous PI in CanPI
7 fed H. armigera larvae. Based on present and earlier studies, we propose
potential mechanism of protease regulation in H. armigera and subsequent
adaptation strategy to cope with anti-nutritional components of plants.
PMID- 29661854
TI - Targeting Toll-like Receptors in Cancer Prevention.
AB - There is a pressing need for the development of new prevention strategies for the
most common worldwide malignancy, nonmelanoma skin cancer (NMSC), as sun
protection efforts have not proven to be completely effective. Interestingly,
despite the known circumstance that individuals undergoing chronic
immunosuppression are at a substantially increased risk for developing NMSC, in
this issue of Cancer Prevention Research, Blohm-Mangone and colleagues provide
new evidence that topical application of the Toll-like receptor 4 (TLR4)
antagonist resatorvid may be efficacious as a chemopreventive agent in NMSC
specifically via blocking UV-induced inflammatory signaling. These new findings
highlight a potentially delicate dichotomy between the role of innate immune
receptors in the normal, protective immunosurveillance of damaged cells in the
skin and the pathogenic UV-induced overstimulation of cutaneous inflammation that
promotes photocarcinogenesis. Given the tremendous cancer burden incurred by
NMSC, further exploration of the use of TLR4 antagonists in NMSC chemoprevention
strategies is certainly warranted. Cancer Prev Res; 11(5); 251-4. (c)2018 AACRSee
related article by Blohm-Mangone et al., p. 265.
PMID- 29661855
TI - The heptad repeat domain 1 of Mitofusin has membrane destabilization function in
mitochondrial fusion.
AB - Mitochondria are double-membrane-bound organelles that constantly change shape
through membrane fusion and fission. Outer mitochondrial membrane fusion is
controlled by Mitofusin, whose molecular architecture consists of an N-terminal
GTPase domain, a first heptad repeat domain (HR1), two transmembrane domains, and
a second heptad repeat domain (HR2). The mode of action of Mitofusin and the
specific roles played by each of these functional domains in mitochondrial fusion
are not fully understood. Here, using a combination of in situ and in vitro
fusion assays, we show that HR1 induces membrane fusion and possesses a conserved
amphipathic helix that folds upon interaction with the lipid bilayer surface. Our
results strongly suggest that HR1 facilitates membrane fusion by destabilizing
the lipid bilayer structure, notably in membrane regions presenting lipid packing
defects. This mechanism for fusion is thus distinct from that described for the
heptad repeat domains of SNARE and viral proteins, which assemble as membrane
bridging complexes, triggering close membrane apposition and fusion, and is more
closely related to that of the C-terminal amphipathic tail of the Atlastin
protein.
PMID- 29661856
TI - Glutamine-utilizing transaminases are a metabolic vulnerability of TAZ/YAP
activated cancer cells.
AB - The transcriptional regulators TAZ and YAP (TAZ/YAP) have emerged as pro
tumorigenic factors that drive many oncogenic traits, including induction of cell
growth, resistance to cell death, and activation of processes that promote
migration and invasion. Here, we report that TAZ/YAP reprogram cellular
energetics to promote the dependence of breast cancer cell growth on exogenous
glutamine. Rescue experiments with glutamine-derived metabolites suggest an
essential role for glutamate and alpha-ketoglutarate (AKG) in TAZ/YAP-driven cell
growth in the absence of glutamine. Analysis of enzymes that mediate the
conversion of glutamate to AKG shows that TAZ/YAP induce glutamic-oxaloacetic
transaminase (GOT1) and phosphoserine aminotransferase (PSAT1) expression and
that TAZ/YAP activity positively correlates with transaminase expression in
breast cancer patients. Notably, we find that the transaminase inhibitor
aminooxyacetate (AOA) represses cell growth in a TAZ/YAP-dependent manner,
identifying transamination as a potential vulnerable metabolic requirement for
TAZ/YAP-driven breast cancer.
PMID- 29661857
TI - Science, politics and ideologies: Global political developments towards
isolationism, ideology or disregard of facts have scientists concerned about the
free exchange of ideas and people.
PMID- 29661858
TI - RNA sensor LGP2 inhibits TRAF ubiquitin ligase to negatively regulate innate
immune signaling.
AB - The production of type I interferon (IFN) is essential for cellular barrier
functions and innate and adaptive antiviral immunity. In response to virus
infections, RNA receptors RIG-I and MDA5 stimulate a mitochondria-localized
signaling apparatus that uses TRAF family ubiquitin ligase proteins to activate
master transcription regulators IRF3 and NFkappaB, driving IFN and antiviral
target gene expression. Data indicate that a third RNA receptor, LGP2, acts as a
negative regulator of antiviral signaling by interfering with TRAF family
proteins. Disruption of LGP2 expression in cells results in earlier and
overactive transcriptional responses to virus or dsRNA LGP2 associates with the C
terminus of TRAF2, TRAF3, TRAF5, and TRAF6 and interferes with TRAF ubiquitin
ligase activity. TRAF interference is independent of LGP2 ATP hydrolysis, RNA
binding, or its C-terminal domain, and LGP2 can regulate TRAF-mediated signaling
pathways in trans, including IL-1beta, TNFalpha, and cGAMP These findings provide
a unique mechanism for LGP2 negative regulation through TRAF suppression and
extend the potential impact of LGP2 negative regulation beyond the IFN antiviral
response.
PMID- 29661859
TI - Mitochondria and the dynamic control of stem cell homeostasis.
AB - The maintenance of cellular identity requires continuous adaptation to
environmental changes. This process is particularly critical for stem cells,
which need to preserve their differentiation potential over time. Among the
mechanisms responsible for regulating cellular homeostatic responses,
mitochondria are emerging as key players. Given their dynamic and multifaceted
role in energy metabolism, redox, and calcium balance, as well as cell death,
mitochondria appear at the interface between environmental cues and the control
of epigenetic identity. In this review, we describe how mitochondria have been
implicated in the processes of acquisition and loss of stemness, with a specific
focus on pluripotency. Dissecting the biological functions of mitochondria in
stem cell homeostasis and differentiation will provide essential knowledge to
understand the dynamics of cell fate modulation, and to establish improved stem
cell-based medical applications.
PMID- 29661860
TI - Substrate specificities and efflux efficiencies of RND efflux pumps of
Acinetobacter baumannii.
AB - Antibiotic resistant Acinetobacter baumannii causes infections that are extremely
difficult to treat. A significant role in these resistance profiles is attributed
to multidrug efflux pumps, especially those belonging to Resistance-Nodulation
cell Division (RND) superfamily of transporters. In this study, we analyzed
functions and properties of RND efflux pumps in A. baumannii ATCC 17978. This
strain is susceptible to antibiotics and does not contain mutations that are
commonly selected upon exposure to high concentrations of antibiotics. We
constructed derivatives of ATCC 17978 lacking chromosomally encoded RND pumps and
complemented these strains by the plasmid-borne genes. We analyzed the substrate
selectivities and efficiencies of the individual pumps in the context of native
outer membranes and their hyperporinated variants. Our results show that
inactivation of AdeIJK provides the strongest potentiation of antibiotic
activities, whereas inactivation of AdeFGH triggers the overexpression of AdeAB.
The plasmid-borne overproduction complements the hypersusceptible phenotypes of
the efflux deletion mutants to the levels of the parental ATCC 17978. Only a few
antibiotics strongly benefitted from the overproduction of efflux pumps and
antibacterial activities of some of those depended on the synergistic interaction
with the low permeability barrier of the outer membrane. Either overproduction or
inactivation of efflux pumps change dramatically the lipidome of ATCC 17978. We
conclude that efflux pumps of A. baumannii are tightly integrated into physiology
of this bacterium and that clinical levels of antibiotic resistance in A.
baumannii isolates are unlikely to be reached solely due to overproduction of RND
efflux pumps.Importance RND-type efflux pumps are important contributors in
development of clinical antibiotic resistance in A. baumannii However, their
specific roles and the extent of contribution to antibiotic resistance remain
unclear. We analyzed antibacterial activities of antibiotics in strains with
different permeability barriers and found that the role of active efflux in
antibiotic resistance of A. baumannii is limited to a few select antibiotics. Our
results further show that the impact of efflux pump overproduction on antibiotic
susceptibility is significantly lower than the previously reported for clinical
isolates. Additional mechanisms of resistance, in particular those that improve
the permeability barriers of bacterial cells and act synergistically with active
efflux pumps are likely involved in antibiotic resistance of clinical A.
baumannii isolates.
PMID- 29661861
TI - Forespore Targeting of SpoVD in Bacillus subtilis Is Mediated by the N-Terminal
Part of the Protein.
AB - SpoVD and PBP4b are structurally very similar high-molecular-weight, class B
penicillin-binding proteins produced early during sporulation in Bacillus
subtilis SpoVD is known to be essential for endospore cortex synthesis and
thereby the production of heat-resistant spores. The role of PBP4b is still
enigmatic. Both proteins are synthesized in the cytoplasm of the mother cell.
PBP4b remains in the cytoplasmic membrane of the mother cell, whereas SpoVD
accumulates in the forespore outer membrane. By the use of SpoVD/PBP4b chimeras
with swapped protein domains, we show that the N-terminal part of SpoVD,
containing the single transmembrane region, determines the forespore targeting of
the protein.IMPORTANCE Beta-lactam-type antibiotics target penicillin-binding
proteins (PBPs), which function in cell wall peptidoglycan synthesis. Bacteria of
a subset of genera, including Bacillus and Clostridium species, can form
endospores. The extreme resistance of endospores against harsh physicochemical
conditions is of concern in clinical microbiology and the food industry.
Endospore cortex layer biogenesis constitutes an experimental model system for
research on peptidoglycan synthesis. The differentiation of a vegetative
bacterial cell into an endospore involves the formation of a forespore within the
cytoplasm of the sporulating cell. A number of proteins, including some PBPs,
accumulate in the forespore. An understanding of the molecular mechanisms behind
such subcellular targeting of proteins in bacterial cells can, for example, lead
to a means of blocking the process of sporulation.
PMID- 29661862
TI - Increased Isoprenoid Quinone Concentration Modulates Membrane Fluidity in
Listeria monocytogenes at Low Growth Temperatures.
AB - Listeria monocytogenes is a food pathogen capable of growing at a broad
temperature range from 50 degrees C to refrigerator temperatures. A key
requirement for bacterial activity and growth at low temperatures is the ability
to adjust the membrane lipid composition to maintain cytoplasmic membrane
fluidity. In this study, we confirmed earlier findings that the extents of fatty
acid profile adaptation differed between L. monocytogenes strains. We were able
to demonstrate for isolates from food that growth rates at low temperatures and
resistance to freeze-thaw stress were not impaired by a lower adaptive response
of the fatty acid composition. This indicated the presence of a second adaptation
mechanism besides temperature-regulated fatty acid synthesis. For strains that
showed weaker adaptive responses in their fatty acid profiles to low growth
temperature, we could demonstrate a significantly higher concentration of
isoprenoid quinones. Three strains even showed a higher quinone concentration
after growth at 6 degrees C than at 37 degrees C, which is contradictory to the
reduced respiratory activity at lower growth temperatures. Analyses of the
membrane fluidity in vivo by measuring generalized polarization and anisotropy
revealed modulation of the transition phase. Strains with increased quinone
concentrations showed an expanded membrane transition phase in contrast to
strains with pronounced adaptations of fatty acid profiles. The correlation
between quinone concentration and membrane transition phase expansion was
confirmed by suppression of quinone synthesis. A reduced quinone concentration
resulted in a narrower transition phase. Expansion of the phase transition zone
by increasing the concentration of non-fatty acid membrane lipids is discussed as
an additional mechanism improving adaptation to temperature shifts for L.
monocytogenes strains.IMPORTANCEListeria monocytogenes is a foodborne pathogen
with an outstanding temperature range for growth. The ability for growth at
temperatures close to the freezing point constitutes a serious contamination
potential for cold stored food. The only known mechanism of the species for
adaptation of membrane fluidity is modification of the membrane fatty acid
composition. We were able to demonstrate that, at least for some strains, this
adaptation mechanism is supported by regulation of the menaquinone concentration.
The increase of this neutral membrane lipid is correlated with fluidization of
the membrane under low-temperature conditions and therefore represents a fatty
acid-independent mechanism for adaptation to low temperatures.
PMID- 29661863
TI - Vibrio cholerae outer membrane vesicles inhibit bacteriophage infection.
AB - Novel preventatives could help in efforts to limit Vibrio cholerae infection and
the spread of cholera. Bacteriophage (or phage) treatment has been proposed to be
an alternative intervention, given the rapid replication of virulent phages, prey
specificity, and relative ease of finding new virulent phages. Phage tropism is
dictated in part by the presence of phage receptors on the bacterial surface.
While many phages that can kill V. cholerae have been isolated, whether this
pathogen is able to defend itself by neutralizing phage binding is unknown. Here
we show that secreted outer membrane vesicles (OMVs) act as a defense mechanism
that confers protection to V. cholerae against phage predation and that this OMV
mediated inhibition is phage receptor-dependent. Our results suggest that phage
therapy or prophylaxis should take into consideration the production of OMVs as a
bacterial decoy mechanism that could influence the outcome of phage
treatment.IMPORTANCE Phages have been increasingly realized for the significance
of their interactions with bacterial cells in multiple environments. Bacteria use
myriad strategies to defend against phage infection, including: restriction
modification, abortive infection, phase variation of cell surface receptors,
phage-inducible chromosomal islands, and CRISPR-Cas systems. The data presented
here suggest that the apparently passive process of OMV release can also
contribute to phage defense. By considering the effect of OMVs on infection of V.
cholerae by three unique virulent phages, ICP1, ICP2 and ICP3, we show that, in
vitro, a reproducible reduction in bacterial killing is both dose- and phage
receptor-dependent. This work supports a role for OMVs as natural decoys to
defend bacteria from phage predation.
PMID- 29661864
TI - Source of the Fitness Defect in Rifamycin-Resistant Mycobacterium tuberculosis
RNA Polymerase and the Mechanism of Compensation by Mutations in the beta'
Subunit.
AB - Mycobacterium tuberculosis is a critical threat to human health due to the
increased prevalence of rifampin resistance (RMPr). Fitness defects have been
observed in RMPr mutants with amino acid substitutions in the beta subunit of RNA
polymerase (RNAP). In clinical isolates, this fitness defect can be ameliorated
by the presence of secondary mutations in the double-psi beta-barrel (DPBB)
domain of the beta' subunit of RNAP. To identify factors contributing to the
fitness defects observed in vivo, several in vitro RNA transcription assays were
utilized to probe initiation, elongation, termination, and 3'-RNA hydrolysis with
the wild-type and RMPrM. tuberculosis RNAPs. We found that the less prevalent
RMPr mutants exhibit significantly poorer termination efficiencies relative to
the wild type, an important factor for proper gene expression. We also found that
several mechanistic aspects of transcription of the RMPr mutant RNAPs are
impacted relative to the wild type. For the clinically most prevalent mutant, the
betaS450L mutant, these defects are mitigated by the presence of
secondary/compensatory mutations in the DPBB domain of the beta' subunit.
PMID- 29661865
TI - Modeling and Simulation of Pretomanid Pharmacokinetics in Pulmonary Tuberculosis
Patients.
AB - Pretomanid is a nitroimidazole antibiotic in late-phase clinical testing as a
component of several novel antituberculosis (anti-TB) regimens. A population
pharmacokinetic model for pretomanid was constructed using a Bayesian analysis of
data from two phase 2 studies, PA-824-CL-007 and PA-824-CL-010, conducted with
adult (median age, 27 years) patients in Cape Town, South Africa, with newly
diagnosed pulmonary TB. Combined, these studies included 63 males and 59 females
administered once-daily oral pretomanid doses of 50, 100, 150, 200, 600, 1,000,
or 1,200 mg for 14 days. The observed pretomanid plasma concentration-time
profiles for all tested doses were described by a one-compartment model with
first-order absorption and elimination and a sigmoidal bioavailability dependent
on dose, time, and the predose fed state. Allometric scaling with body weight
(normalized to 70 kg) was used for volume of distribution and clearance, with the
scaling exponents equal to 1 and 3/4, respectively. The posterior population
geometric means for the clearance and volume of distribution allometric constants
were 4.8 +/- 0.2 liters/h and 130 +/- 5 liters, respectively, and the posterior
population geometric mean for the half-maximum-effect dose for the reduction of
bioavailability was 450 +/- 50 mg. Interindividual variability, described by the
percent coefficient of variation, was 32% +/- 3% for clearance, 17% +/- 4% for
the volume of distribution, and 74% +/- 9% for the half-maximum-effect dose. This
model provides a dose-exposure relationship for pretomanid in adult TB patients
with potential applications to dose selection in individuals and to further
clinical testing of novel pretomanid-containing anti-TB regimens.
PMID- 29661866
TI - Reciprocal Effects of Antiretroviral Drugs Used To Treat HIV Infection on the
Fibroblast Growth Factor 21/beta-Klotho System.
AB - Following antiretroviral therapy, HIV-infected patients show increased
circulating levels of the antidiabetic hormone fibroblast growth factor 21
(FGF21). In contrast, the expression of the FGF21-obligatory coreceptor beta
Klotho (KLB) is reduced in target tissues. This situation is comparable to the
FGF21 resistance status observed in obesity and type 2 diabetes. Here, we
performed the first systematic study of the effects of distinct members of
different antiretroviral drug classes on the FGF21/KLB system in human hepatic,
adipose, and skeletal muscle cells. Most protease inhibitors and the
nonnucleoside reverse transcriptase inhibitor efavirenz induced FGF21 gene
expression. Neither nucleoside reverse transcriptase inhibitors nor the viral
entry inhibitor maraviroc had any effect. Among the integrase inhibitors,
elvitegravir significantly induced FGF21 expression, whereas raltegravir had
minor effects only in adipose cells. In human hepatocytes and adipocytes, known
target cells of FGF21 action, efavirenz, elvitegravir, and the lopinavir
ritonavir combination exerted inhibitory effects on KLB gene expression. Drug
treatments that elicited FGF21 induction/KLB repression were those found to
induce endoplasmic reticulum (ER) stress and oxidative stress. Notably, the
pharmacological agents thapsigargin and tunicamycin, which induce these stress
pathways, mimicked the effects of drug treatments. Moreover, pharmacological
inhibitors of either ER or oxidative stress significantly impaired lopinavir
ritonavir-induced regulation of FGF21, but not KLB. In conclusion, the present in
vitro screen study identifies the antiretroviral drugs that affect FGF21/KLB
expression in human cells. The present results could have important implications
for the management of comorbidities resulting from side effects of specific
antiretroviral drugs for the treatment of HIV-infected patients.
PMID- 29661867
TI - Triclosan Is an Aminoglycoside Adjuvant for Eradication of Pseudomonas aeruginosa
Biofilms.
AB - One of the most important clinical obstacles in cystic fibrosis (CF) treatment is
antibiotic treatment failure due to biofilms produced by Pseudomonas aeruginosa
The ability of this pathogen to survive eradication by tobramycin and pathoadapt
into a hyperbiofilm state leading to chronic infections is key to its success.
Retrospective studies have demonstrated that preventing this pathoadaptation by
improving eradication is essential to extend the lives of CF patients. To
identify adjuvants that enhance tobramycin eradication of P. aeruginosa, we
performed a high-throughput screen of 6,080 compounds from four drug-repurposing
libraries. We identified that the Food and Drug Administration (FDA)-approved
compound triclosan, in combination with tobramycin, resulted in a 100-fold
reduction of viable cells within biofilms at 6 h, but neither compound alone had
significant antimicrobial activity against biofilms. This synergistic treatment
significantly accelerated the killing of biofilms compared to that with
tobramycin treatment alone, and the combination was effective against 6/7 CF
clinical isolates compared to tobramycin treatment alone, including a tobramycin
resistant strain. Further, triclosan and tobramycin killed persister cells,
causing a 100-fold reduction by 8 h and complete eradication by 24 h. Triclosan
also enhances tobramycin killing of multiple Burkholderia cenocepacia and
Staphylococcus aureus clinical isolates grown as biofilms. Additionally,
triclosan showed synergy with other aminoglycosides, such as gentamicin or
streptomycin. Triclosan is a well-tolerated aminoglycoside adjuvant shown to be
safe for human use that could improve the treatment of biofilm-based infections.
PMID- 29661868
TI - Spread of Clonally Related Escherichia coli Strains Harboring an IncA/C1 Plasmid
Encoding IMP-8 and Its Recruitment into an Unrelated MCR-1-Containing Isolate.
AB - Ten IMP-8-producing Escherichia coli isolates were recovered from surveillance
cultures of a neonatal intensive care unit; eight of the isolates were clonally
related. A 168.2-kb blaIMP-8 plasmid was fully sequenced, and it corresponded to
the recently described IncA/C1-ST13 plasmid. This plasmid was detected in all
isolates, even in those that were not clonally related. One unrelated isolate was
also resistant to colistin and positive for mcr-1 This marker was located in a
62.7-kb IncI2 plasmid, which was also fully sequenced.
PMID- 29661869
TI - Moxifloxacin Replacement in Contemporary Tuberculosis Drug Regimens Is
Ineffective against Persistent Mycobacterium tuberculosis in the Cornell Mouse
Model.
AB - Tuberculosis (TB), which is caused by Mycobacterium tuberculosis, remains a
leading killer worldwide, and disease control is hampered by the ineffective
control of persistent infections. Substitution of moxifloxacin for isoniazid or
ethambutol in standard anti-TB regimens reduces the treatment duration and
relapse rates in animal studies, and 4-month regimens were not noninferior in
clinical trials. Resuscitation-promoting factor (RPF)-dependent bacilli have
recently been implicated in M. tuberculosis persistence. We aimed to investigate
the therapeutic effects of the substitution of moxifloxacin for a drug used in
the standard drug regimen in eradicating CFU count-positive and RPF-dependent
persistent M. tuberculosis using the Cornell murine model. M. tuberculosis
infected mice were treated with regimens in which either isoniazid or ethambutol
was replaced by moxifloxacin in the standard regimen. The efficacy of the
regimens for bacterial CFU count elimination and removal of persistent tubercle
bacilli, evaluated using culture filtrate (CF) derived from M. tuberculosis
strain H37Rv, was compared to that of the standard regimen. We also measured
disease relapse rates. The regimen in which moxifloxacin replaced isoniazid
achieved total organ CFU count clearance at 11 weeks posttreatment, which was
faster than that by the standard regimen (14 weeks), and showed a 34% lower
relapse rate. The regimen in which moxifloxacin replaced ethambutol was similar
to standard regimens in these regards. Importantly, neither the regimen in which
moxifloxacin replaced isoniazid or ethambutol nor the standard regimen could
remove CF-dependent persistent bacilli. The finding of CF-dependent persistent M.
tuberculosis in TB treatment requires confirmation in human studies and has
implications for future drug design, testing, and clinical applications.
PMID- 29661870
TI - Amikacin Inhalation as Salvage Therapy for Refractory Nontuberculous
Mycobacterial Lung Disease.
AB - Although guidelines recommend amikacin (AMK) inhalation therapy for difficult-to
treat nontuberculous mycobacterial lung disease (NTM-LD), data are limited
regarding the safety and clinical efficacy of this salvage therapy. We
retrospectively evaluated the treatment outcomes of 77 patients with refractory
NTM-LD caused by Mycobacterium abscessus complex (MABC) or M. avium complex (MAC)
who initiated AMK inhalation therapy between February 2015 and June 2016. MABC
was the most common etiology (n = 48, 62%), followed by MAC (n = 20, 26%) and
mixed infections (n = 9, 12%). Isolates with macrolide resistance and baseline
AMK resistance were identified in 63 (82%) patients and 5 (6%) patients,
respectively. At 12 months after AMK inhalation therapy, 49% of patients had
symptomatic improvement, whereas 42% had radiological improvement. Conversion to
a negative sputum culture occurred in 14 (18%) patients, and the culture
conversion rate was higher in patients infected with macrolide-susceptible
isolates (7/14, 50%) than in those infected with macrolide-resistant isolates
(7/63, 11%) (P = 0.003). Significant decreases in sputum semiquantitative culture
positivity occurred after AMK inhalation therapy (P < 0.001). On multivariate
analysis, conversion to a negative sputum culture was associated with mixed
infections (P = 0.009), a forced expiratory volume in 1 s of greater than 60% (P
= 0.008), and the absence of macrolide resistance (P = 0.003). Thirty-eight
percent of patients experienced adverse effects, with ototoxicity (n = 15) being
the most common. AMK inhalation salvage therapy may improve the treatment
responses in some patients with refractory NTM-LD. However, considering the
common adverse effects, further evaluation of the optimal dosage and intervals
for AMK inhalation therapy is needed.
PMID- 29661871
TI - The Genetic Polymorphism UGT1A4*3 Is Associated with Low Posaconazole Plasma
Concentrations in Hematological Malignancy Patients Receiving the Oral
Suspension.
AB - The metabolism of posaconazole is mediated mainly by uridine 5'-diphospho
glucuronosyltransferase (UGT) enzymes, especially UGT1A4. The aim of this study
was to investigate the effects of genetic polymorphisms on the posaconazole
plasma concentration (PPC). This prospective study was conducted from September
2014 to August 2016. We enrolled patients with acute myeloid leukemia or
myelodysplastic syndrome treated with posaconazole oral suspension (200 mg) three
times daily for fungal prophylaxis. The patients were examined for the multidrug
resistance gene 1 3435C>T and 2677G>T/A variations and the UGT1A4*3 allele by
direct sequencing of DNA from peripheral whole-blood samples. We defined poor
absorbers to be those with PPCs of <200 ng/ml and the optimal PPC to be >=700
ng/ml on day 8. The associations between genetic polymorphisms and the PPC were
evaluated using multivariate logistic regression analysis including clinical
variables. During the study period, 132 patients were enrolled. Six patients
(4.5%) were defined as poor absorbers, and 49 patients (37.1%) did not reach the
optimal PPC on day 8. In multivariate analysis, the independent risk factors for
a poor absorber were at least one UGT1A4*3 allele (adjusted odds ratio [aOR],
18.81; 95% confidence interval [CI], 1.09 to 324.44; P = 0.043) and poor oral
food intake (aOR per -100 kcal, 1.44; 95% CI, 1.04 to 1.99; P = 0.029). There was
no statistically significant association between the genetic polymorphisms and
achievement of the optimal PPC on day 8. The UGT1A4*3 polymorphism is an
independent risk factor for being a poor absorber of posaconazole oral suspension
in patients with hematological malignancies.
PMID- 29661872
TI - Treating Anthrax-Induced Meningitis in Rabbits.
AB - Treatment of anthrax is challenging, especially during the advanced stages of the
disease. Recently, the Centers for Disease Control and Prevention (CDC) updated
its recommendations for postexposure prophylaxis and treatment of exposed
populations (before and after symptom onset). These recommendations
distinguished, for the first time, between systemic disease with and without
meningitis, a common and serious complication of anthrax. The CDC considers all
systemic cases meningeal unless positively proven otherwise. The treatment of
patients suffering from systemic anthrax with suspected or confirmed meningitis
includes the combination of three antibiotics, i.e., a fluoroquinolone
(levofloxacin or ciprofloxacin), a beta-lactam (meropenem or imipenem), and a
protein synthesis inhibitor (linezolid or clindamycin). In addition, treatment
with an antitoxin (anti-protective antigen antibodies) and dexamethasone should
be applied. Since the efficacy of most of these treatments has not been
demonstrated, especially in animal meningitis models, we developed an anthrax
meningitis model in rabbits and tested several of these recommendations. We
demonstrated that, in this model, ciprofloxacin, linezolid, and meropenem were
ineffective as single treatments, while clindamycin was highly effective.
Furthermore, combined treatments of ciprofloxacin and linezolid or ciprofloxacin
and dexamethasone failed in treating rabbits with meningitis. We demonstrated
that dexamethasone actually hindered blood-brain barrier penetration by
antibiotics, reducing the effectiveness of antibiotic treatment of anthrax
meningitis in this rabbit model.
PMID- 29661873
TI - Characterizing Blood-Stage Antimalarial Drug MIC Values In Vivo Using Reinfection
Patterns.
AB - The MIC is an essential quantitative measure of the asexual blood-stage effect of
an antimalarial drug. In areas of high malaria transmission, and thus frequent
individual infection, patients who are treated with slowly eliminated
antimalarials become reinfected as drug concentrations decline. In the frequent
relapse forms of Plasmodium vivax and in Plasmodium ovale malaria, recurrent
infection occurs from relapses which begin to emerge from the liver approximately
2 weeks after the primary illness. An important determinant of the interval from
starting treatment of a symptomatic infection to the patency of these recurrent
infections is the in vivo concentration-response relationship and thus the in
vivo MIC. Using mechanistic knowledge of parasite asexual replication and the
pharmacokinetic and pharmacodynamic properties of the antimalarial drugs, a
generative statistical model was derived which relates the concentration-response
relationship to time of reinfection patency. This model was used to estimate the
in vivo MIC of chloroquine in the treatment of Plasmodium vivax malaria.
PMID- 29661874
TI - Characterization of Extensively Drug-Resistant or Pandrug-Resistant Sequence Type
147 and 101 OXA-48-Producing Klebsiella pneumoniae Causing Bloodstream Infections
in Patients in an Intensive Care Unit.
AB - Carbapenem-resistant Klebsiella pneumoniae causes important health care
associated infections worldwide. An outbreak of sequence type 11 (ST11) OXA-48
producing K. pneumoniae (OXA-48-Kp) isolates occurred in Tzaneio Hospital in 2012
and was contained until 2014, when OXA-48-Kp reemerged. The present study
involved 19 bloodstream infection (BSI) OXA-48-Kp isolates recovered from 19
intensive care unit (ICU) patients hospitalized between August 2014 and July
2016. MICs were determined by broth microdilution. Beta-lactamase genes were
detected by PCR. All isolates were typed by pulsed-field gel
electrophoresis/multilocus sequence typing (PFGE/MLST), and 10 representative
isolates were typed by next-generation sequencing (NGS). Of the 19 study
patients, 9 had previous hospitalizations, and 10 carried OXA-48-Kp prior to BSI
isolation; median time from ICU admission to BSI was 29 days. Four OXA-48-Kp
isolates belonged to PFGE profile A (ST147) and were pandrug resistant (PDR),
while 15 isolates exhibited PFGE profile B (ST101) and were extensively drug
resistant. Genes detected via NGS resistome analysis accounted for most of the
resistance phenotypes, except for tigecycline and fosfomycin. Insertional
inactivation of mgrB (distinct per clone) conferred colistin resistance in all 19
isolates. NGS single nucleotide polymorphism (SNP) analysis validated the clonal
relatedness of the ST147 and ST101 strains and revealed the possible presence of
two index ST147 strains and the microevolution of ST101 strains. Distinct, but
highly related, IncL OXA-48-encoding plasmid lineages were identified; plasmids
of the ST147 strains were identical with the plasmid of ST11 OXA-48-Kp which
caused the 2012 outbreak. In conclusion, biclonal circulation of OXA-48-Kp and,
alarmingly, emergence of a PDR clone are reported. These observations, along with
the challenging phenotypic detection of OXA-48 producers and the high reported
transmissibility of blaOXA-48, necessitate intensive efforts to prevent their
further spread.
PMID- 29661875
TI - HC2091 Kills Mycobacterium tuberculosis by Targeting the MmpL3 Mycolic Acid
Transporter.
AB - Tuberculosis, caused by the intracellular pathogen Mycobacterium tuberculosis, is
a deadly disease that requires a long course of treatment. The emergence of drug
resistant strains has driven efforts to discover new small molecules that can
kill the bacterium. Here, we report characterizations of the compound HC2091,
which kills M. tuberculosis in a time- and dose-dependent manner in vitro and
inhibits M. tuberculosis growth in macrophages. Whole-genome sequencing of
spontaneous HC2091-resistant mutants identified single-nucleotide variants in the
mmpL3 mycolic acid transporter gene. HC2091-resistant mutants do not exhibit
cross-resistance with the well-characterized Mycobacterium membrane protein large
3 (MmpL3) inhibitor SQ109, suggesting a distinct mechanism of interaction with
MmpL3. Additionally, HC2091 does not modulate bacterial membrane potential or
kill nonreplicating M. tuberculosis, thus acting differently from other known
MmpL3 inhibitors. RNA sequencing (RNA-seq) transcriptional profiling and lipid
profiling of M. tuberculosis treated with HC2091 or SQ109 show that the two
compounds target a similar pathway. HC2091 has a chemical structure dissimilar to
those of previously described MmpL3 inhibitors, supporting the notion that HC2091
is a new class of MmpL3 inhibitor.
PMID- 29661876
TI - Antimicrobial and Antibiofilm Efficacy of Graphene Oxide against Chronic Wound
Microorganisms.
AB - Chronic wounds represent an increasing problem worldwide. Graphene oxide (GO) has
been reported to exhibit strong antibacterial activity toward both Gram-positive
and Gram-negative bacteria. The aim of this work was to investigate the in vitro
antimicrobial and antibiofilm efficacy of GO against wound pathogens.
Staphylococcus aureus PECHA 10, Pseudomonas aeruginosa PECHA 4, and Candida
albicans X3 clinical isolates were incubated with 50 mg/liter of GO for 2 and 24
h to evaluate the antimicrobial effect. Optical and atomic force microscopy
images were performed to visualize the effect of GO on microbial cells. Moreover,
the antibiofilm effect of GO was tested on biofilms, both in formation and
mature. Compared to the respective time controls, GO significantly reduced the S.
aureus growth both at 2 and 24 h in a time-dependent way, and it displayed a
bacteriostatic effect in respect to the GO t = 0; an immediate (after 2 h)
slowdown of bacterial growth was detected for P. aeruginosa, whereas a tardive
effect (after 24 h) was recorded for C. albicans Atomic force microscopy images
showed the complete wrapping of S. aureus and C. albicans with GO sheets, which
explains its antimicrobial activity. Moreover, significant inhibition of biofilm
formation and a reduction of mature biofilm were recorded for each detected
microorganism. The antibacterial and antibiofilm properties of GO against chronic
wound microorganisms make it an interesting candidate to incorporate into wound
bandages to treat and/or prevent microbial infections.
PMID- 29661877
TI - Therapeutic Efficacy of Bumped Kinase Inhibitor 1369 in a Pig Model of Acute
Diarrhea Caused by Cryptosporidium hominis.
AB - Recent reports highlighting the global significance of cryptosporidiosis among
children have renewed efforts to develop control measures. We evaluated the
efficacy of bumped kinase inhibitor (BKI) 1369 in the gnotobiotic piglet model of
acute diarrhea caused by Cryptosporidium hominis, the species responsible for
most human cases. Five-day treatment with BKI 1369 reduced signs of disease early
during treatment compared to those of untreated animals. Piglets treated with BKI
1369 exhibited significant reductions of oocyst excretion, mucosal colonization
by C. hominis, and mucosal lesions, which resulted in considerable symptomatic
improvement. BKI 1369 reduced the parasite burden and disease severity in the
gnotobiotic pig model. Together these data suggest that a BKI-mediated
therapeutic may be an effective treatment against cryptosporidiosis.
PMID- 29661878
TI - Plasticity of Aminoglycoside Binding to Antibiotic Kinase APH(2")-Ia.
AB - The APH(2")-Ia aminoglycoside resistance enzyme forms the C-terminal domain of
the bifunctional AAC(6')-Ie/APH(2")-Ia enzyme and confers high-level resistance
to natural 4,6-disubstituted aminoglycosides. In addition, reports have suggested
that the enzyme can phosphorylate 4,5-disubstituted compounds and aminoglycosides
with substitutions at the N1 position. Previously determined structures of the
enzyme with bound aminoglycosides have not indicated how these noncanonical
substrates may bind and be modified by the enzyme. We carried out
crystallographic studies to directly observe the interactions of these compounds
with the aminoglycoside binding site and to probe the means by which these
noncanonical substrates interact with the enzyme. We find that APH(2")-Ia
maintains a preferred mode of binding aminoglycosides by using the conserved
neamine rings when possible, with flexibility that allows it to accommodate
additional rings. However, if this binding mode is made impossible because of
additional substitutions to the standard 4,5- or 4,6-disubstituted aminoglycoside
architecture, as in lividomycin A or the N1-substituted aminoglycosides, it is
still possible for these aminoglycosides to bind to the antibiotic binding site
by using alternate binding modes, which explains the low rates of noncanonical
phosphorylation activities seen in enzyme assays. Furthermore, structural studies
of a clinically observed arbekacin-resistant mutant of APH(2")-Ia revealed an
altered aminoglycoside binding site that can stabilize an alternative binding
mode for N1-substituted aminoglycosides. This mutation may alter and expand the
aminoglycoside resistance spectrum of the wild-type enzyme in response to newly
developed aminoglycosides.
PMID- 29661880
TI - Refractory Hypokalemia from Syndrome of Apparent Mineralocorticoid Excess on Low
Dose Posaconazole.
PMID- 29661879
TI - Accelerating Early Antituberculosis Drug Discovery by Creating Mycobacterial
Indicator Strains That Predict Mode of Action.
AB - Due to the rise of drug-resistant forms of tuberculosis, there is an urgent need
for novel antibiotics to effectively combat these cases and shorten treatment
regimens. Recently, drug screens using whole-cell analyses have been shown to be
successful. However, current high-throughput screens focus mostly on stricto
sensu life/death screening that give little qualitative information. In doing so,
promising compound scaffolds or nonoptimized compounds that fail to reach
inhibitory concentrations are missed. To accelerate early tuberculosis (TB) drug
discovery, we performed RNA sequencing on Mycobacterium tuberculosis and
Mycobacterium marinum to map the stress responses that follow upon exposure to
subinhibitory concentrations of antibiotics with known targets, ciprofloxacin,
ethambutol, isoniazid, streptomycin, and rifampin. The resulting data set
comprises the first overview of transcriptional stress responses of mycobacteria
to different antibiotics. We show that antibiotics can be distinguished based on
their specific transcriptional stress fingerprint. Notably, this fingerprint was
more distinctive in M. marinum We decided to use this to our advantage and
continue with this model organism. A selection of diverse antibiotic stress genes
was used to construct stress reporters. In total, three functional reporters were
constructed to respond to DNA damage, cell wall damage, and ribosomal inhibition.
Subsequently, these reporter strains were used to screen a small anti-TB compound
library to predict the mode of action. In doing so, we identified the putative
modes of action for three novel compounds, which confirms the utility of our
approach.
PMID- 29661881
TI - Genetic Diversity among Staphylococcus aureus Isolates Showing Oxacillin and/or
Cefoxitin Resistance Not Linked to the Presence of mec Genes.
AB - Methicillin-resistant Staphylococcus aureus isolates lacking mec genes (n = 32),
collected from Belgian hospitals, were characterized for their beta-lactamase
production and the presence of mutations in pbp genes, the pbp4 promoter, and
genes involved in penicillin-binding protein 4 overproduction (gdpP and yjbH).
Twelve isolates were beta-lactamase hyperproducers (BHPs), while 12 non-BHP
isolates might produce an incomplete GdpP protein. Most isolates showed
nucleotide missense mutations in pbp genes. A few isolates also showed mutations
in the pbp4 promoter.
PMID- 29661882
TI - In Vitro Antimicrobial Susceptibility of Clinical Isolates of Borrelia miyamotoi.
AB - Borrelia miyamotoi is an emerging relapsing fever (RF) Borrelia species that is
reported to cause human disease in regions in which Lyme borreliosis is endemic.
We recently showed that B. miyamotoi tick isolates are resistant to amoxicillin
in vitro; however, clinical isolates have not been studied. Therefore, our aim
was to show the antimicrobial susceptibility of recently obtained clinical
isolates of B. miyamotoi A dilution series of various antibiotics was made in
modified Kelly-Pettenkofer medium with 10% fetal calf serum. The susceptibilities
of different B. miyamotoi clinical, B. miyamotoi tick, RF Borrelia, and Borrelia
burgdorferisensu lato isolates were tested by measuring MICs through colorimetric
changes and by counting motile spirochetes by dark-field microscopy after 72 h of
incubation. The ceftriaxone and azithromycin MIC ranges of the six B. miyamotoi
clinical isolates tested were 0.03 to 0.06 mg/liter and 0.0016 to 0.0032
mg/liter, respectively. These values are similar to MICs for RF Borrelia strains
and B. miyamotoi tick isolates. All tested RF Borrelia strains were susceptible
to doxycycline (microscopic MIC range, 0.0625 to 0.25 mg/liter). In contrast to
the MICs of the tested B. burgdorferi sensu lato strains and in line with our
previous findings, the amoxicillin MICs (range, 8 to 32 mg/liter) of all RF
Borrelia strains, including B. miyamotoi clinical isolates, were above the
clinical breakpoint for resistance (<=4 mg/liter). Clinical isolates of B.
miyamotoi are highly susceptible to doxycycline, azithromycin, and ceftriaxone in
vitro Interestingly, as described previously for tick isolates, amoxicillin shows
poor in vitro activity against B. miyamotoi clinical isolates.
PMID- 29661883
TI - Unexpected Replication Boost by Simeprevir for Simeprevir-Resistant Variants in
Genotype 1a Hepatitis C Virus.
AB - Simeprevir is a novel NS3/4A protease inhibitor (PI) of hepatitis C virus (HCV).
The baseline polymorphism NS3-Q80K is frequently observed in genotype (GT) 1a HCV
and often associated with treatment failure in simeprevir-containing regimens. We
aimed to elucidate mechanisms of treatment failure due to NS3-Q80K. We included a
Q80R mutation in our study and generated a series of Huh-7.5 cell lines, each of
which harbored either wild-type GT 1a strain H77S.3 or the Q80K or Q80R variant.
The cells were cultured with increasing concentrations of simeprevir, and NS3
domain sequences were determined. The mutations identified by sequence analyses
were subsequently introduced into H77S.3. The sensitivity of each mutant to the
NS3/4A PIs simeprevir, asunaprevir, grazoprevir, and paritaprevir was analyzed.
We introduced the mutations into GT 1b strain N.2 and compared the sensitivity to
simeprevir with that of GT 1a strain H77S.3. While simeprevir treatment selected
mutations at residue D168, such as D168A/V in the wild-type virus, an additional
mutation at residue R155, R155K, was selected in Q80K/R variants at simeprevir
concentrations of <2.5 MUM. Sensitivity analyses showed that simeprevir
concentrations of <1 MUM significantly boosted the replication of Q80K/R R155K
variants. Interestingly, this boost was not observed with the other NS3/4A PIs or
in Q80R R155Q/G/T/W variants or GT 1b isolates. The boosted replication of the
Q80K+R155K variant by simeprevir could be related to treatment failure in
simeprevir-containing antiviral treatments in GT 1a HCV-infected patients with
the NS3-Q80K polymorphism. This result provides new insight into how resistance
associated variants can cause treatment failure.
PMID- 29661884
TI - Molecular Characterization of qnrVC Genes and Their Novel Alleles in Vibrio spp.
Isolated from Food Products in China.
AB - This study reports the prevalences of qnrVC genes in 74 ciprofloxacin-resistant
Vibrio sp. isolates. Two novel functional qnrVC alleles, qnrVC8 and qnrVC9,
sharing 98% and 99% nucleotide similarity with qnrVC6 and qnrVC7, respectively,
were identified. Our findings suggested that carriage of qnrVC alleles, together
with target mutations in gyrA and parC genes, may contribute to the development
of fluoroquinolone resistance in Vibrio species, posing a serious threat to
public health.
PMID- 29661888
TI - What's happening in Neurology(r).
PMID- 29661887
TI - In search of a putative imaging biomarker for Fabry disease: Go with the flow?
PMID- 29661885
TI - The long non-coding RNA Paupar promotes KAP1-dependent chromatin changes and
regulates olfactory bulb neurogenesis.
AB - Many long non-coding RNAs (lncRNAs) are expressed during central nervous system
(CNS) development, yet their in vivo roles and mechanisms of action remain poorly
understood. Paupar, a CNS-expressed lncRNA, controls neuroblastoma cell growth by
binding and modulating the activity of transcriptional regulatory elements in a
genome-wide manner. We show here that the Paupar lncRNA directly binds KAP1, an
essential epigenetic regulatory protein, and thereby regulates the expression of
shared target genes important for proliferation and neuronal differentiation.
Paupar promotes KAP1 chromatin occupancy and H3K9me3 deposition at a subset of
distal targets, through the formation of a ribonucleoprotein complex containing
Paupar, KAP1 and the PAX6 transcription factor. Paupar-KAP1 genome-wide co
occupancy reveals a fourfold enrichment of overlap between Paupar and KAP1 bound
sequences, the majority of which also appear to associate with PAX6. Furthermore,
both Paupar and Kap1 loss-of-function in vivo disrupt olfactory bulb
neurogenesis. These observations provide important conceptual insights into the
trans-acting modes of lncRNA-mediated epigenetic regulation and the mechanisms of
KAP1 genomic recruitment, and identify Paupar and Kap1 as regulators of
neurogenesis in vivo.
PMID- 29661889
TI - What's happening in Neurology(r)Clinical Practice.
PMID- 29661886
TI - Distinct in vivo roles of secreted APP ectodomain variants APPsalpha and APPsbeta
in regulation of spine density, synaptic plasticity, and cognition.
AB - Increasing evidence suggests that synaptic functions of the amyloid precursor
protein (APP), which is key to Alzheimer pathogenesis, may be carried out by its
secreted ectodomain (APPs). The specific roles of APPsalpha and APPsbeta
fragments, generated by non-amyloidogenic or amyloidogenic APP processing,
respectively, remain however unclear. Here, we expressed APPsalpha or APPsbeta in
the adult brain of conditional double knockout mice (cDKO) lacking APP and the
related APLP2. APPsalpha efficiently rescued deficits in spine density, synaptic
plasticity (LTP and PPF), and spatial reference memory of cDKO mice. In contrast,
APPsbeta failed to show any detectable effects on synaptic plasticity and spine
density. The C-terminal 16 amino acids of APPsalpha (lacking in APPsbeta) proved
sufficient to facilitate LTP in a mechanism that depends on functional nicotinic
alpha7-nAChRs. Further, APPsalpha showed high-affinity, allosteric potentiation
of heterologously expressed alpha7-nAChRs in oocytes. Collectively, we identified
alpha7-nAChRs as a crucial physiological receptor specific for APPsalpha and show
distinct in vivo roles for APPsalpha versus APPsbeta. This implies that reduced
levels of APPsalpha that might occur during Alzheimer pathogenesis cannot be
compensated by APPsbeta.
PMID- 29661890
TI - What's happening in Neurology(r)Genetics.
PMID- 29661891
TI - What's happening in Neurology(r)Neuroimmunology & Neuroinflammation.
PMID- 29661892
TI - Metabolic coupling of axons and glial cells: Implications for multiple sclerosis
progression.
PMID- 29661893
TI - Video NeuroImages: Head titubation in anti-mGluR1 autoantibody-associated
cerebellitis.
PMID- 29661894
TI - Clinical Reasoning: A 56-year-old woman with acute vertigo and diplopia.
PMID- 29661895
TI - Editors' note: Teaching Video NeuroImages: Olivary enlargement and pharyngeal
nystagmus.
PMID- 29661896
TI - Reader response: Teaching Video NeuroImages: Olivary enlargement and pharyngeal
nystagmus.
PMID- 29661897
TI - Reader response: Teaching Video NeuroImages: Olivary enlargement and pharyngeal
nystagmus.
PMID- 29661899
TI - Alemtuzumab CARE-MS I 5-year follow-up: Durable efficacy in the absence of
continuous MS therapy.
PMID- 29661898
TI - Author response: Teaching Video NeuroImages: Olivary enlargement and pharyngeal
nystagmus.
PMID- 29661900
TI - Increased resting cerebral blood flow in adult Fabry disease: MRI arterial spin
labeling study.
AB - OBJECTIVE: To assess resting cerebral blood flow (CBF) in the whole-brain and
cerebral white matter (WM) and gray matter (GM) of adults with Fabry disease
(FD), using arterial spin labeling (ASL) MRI, and to investigate CBF correlations
with WM hyperintensity (WMH) volume and the circulating biomarker lyso-Gb3.
METHODS: This cross-sectional, case-control study included 25 patients with
genetically confirmed FD and 18 age-matched healthy controls. We quantified
resting CBF using Quantitative Signal Targeting With Alternating Radiofrequency
Labeling of Arterial Regions (QUASAR) ASL MRI. We measured WMH volume using
semiautomated software. We measured CBF in regions of interest in whole-brain,
WM, and deep GM, and assessed correlations with WMH volume and plasma lyso-Gb3.
RESULTS: The mean age (% male) for FD and healthy controls was 42.2 years (44%)
and 37.1 years (50%). Mean whole-brain CBF was 27.56 mL/100 mL/min (95%
confidence interval [CI] 23.78-31.34) for FD vs 22.39 mL/100 mL/min (95% CI 20.08
24.70) for healthy controls, p = 0.03. In WM, CBF was higher in FD (22.42 mL/100
mL/min [95% CI 17.72-27.12] vs 16.25 mL/100 mL/min [95% CI 14.03-18.48], p =
0.05). In deep GM, CBF was similar between groups (40.41 mL/100 mL/min [95% CI
36.85-43.97] for FD vs 37.46 mL/100 mL/min [95% CI 32.57-42.35], p = 0.38). In
patients with FD with WMH (n = 20), whole-brain CBF correlated with WMH volume (r
= 0.59, p = 0.006), not with plasma lyso-Gb3. CONCLUSION: In FD, resting CBF is
increased in WM but not deep GM. In FD, CBF correlates with WMH, suggesting that
cerebral perfusion changes might contribute to, or result from, WM injury.
PMID- 29661903
TI - Body mass index, sitting time, and risk of Parkinson disease.
AB - OBJECTIVE: Causes of Parkinson disease are largely unknown, but recent evidence
suggests associations with physical activity and anthropometric measures.
METHODS: We prospectively analyzed a cohort of 41,638 Swedish men and women by
detailed assessment of lifestyle factors at baseline in 1997. Complete follow-up
until 2010 was achieved through linkage to population-based registers. We used
multivariable Cox proportional hazards models to estimate hazard ratios with 95%
confidence intervals (CIs). RESULTS: We identified 286 incident cases of
Parkinson disease during follow-up. Multivariable adjusted hazard ratios were
1.06 (95% CI 0.76-1.47) for sitting time >=6 vs <6 hours per day; and 1.13 (95%
CI 0.60-2.12) for body mass index >=30 vs <25 kg/m2. Results did not differ by
sex. CONCLUSIONS: No association between prolonged sitting time per day or
obesity and risk of Parkinson disease was found.
PMID- 29661902
TI - Brain structure and cognition 3 years after the end of an early menopausal
hormone therapy trial.
AB - OBJECTIVE: The effects of 2 frequently used formulations of menopausal hormone
therapy (mHT) on brain structure and cognition were investigated 3 years after
the end of a randomized, placebo-controlled trial in recently menopausal women
with good cardiovascular health. METHODS: Participants (aged 42-56 years; 5-36
months past menopause) were randomized to one of the following: 0.45 mg/d oral
conjugated equine estrogen (oCEE); 50 MUg/d transdermal 17beta-estradiol (tE2);
or placebo pills and patch for 4 years. Oral progesterone (200 mg/d) was given to
mHT groups for 12 days each month. MRIs were performed at baseline, at the end of
4 years of mHT, and 3 years after the end of mHT (n = 75). A subset of
participants also underwent Pittsburgh compound B-PET (n = 68). RESULTS:
Ventricular volumes increased more in the oCEE group compared to placebo during
the 4 years of mHT, but the increase in ventricular volumes was not different
from placebo 3 years after the discontinuation of mHT. Increase in white matter
hyperintensity volume was similar in the oCEE and tE2 groups, but it was
statistically significantly greater than placebo only in the oCEE group. The
longitudinal decline in dorsolateral prefrontal cortex volumes was less in the
tE2 group compared to placebo, which correlated with lower cortical Pittsburgh
compound B uptake. Rates of global cognitive change in mHT groups were not
different from placebo. CONCLUSIONS: The effects of oCEE on global brain
structure during mHT subside after oCEE discontinuation but white matter
hyperintensities continue to increase. The relative preservation of dorsolateral
prefrontal cortical volume in the tE2 group over 7 years indicates that mHT may
have long-term effects on the brain. CLASSIFICATION OF EVIDENCE: This study
provides Class III evidence that the rates of change in global brain volumes and
cognitive function in recently menopausal women receiving mHT (tE2 or oCEE) were
not significantly different from women receiving placebo, as measured 3 years
after exposure to mHT.
PMID- 29661901
TI - Megalencephalic leukoencephalopathy with subcortical cysts: Characterization of
disease variants.
AB - OBJECTIVE: To provide an overview of clinical and MRI characteristics of the
different variants of the leukodystrophy megalencephalic leukoencephalopathy with
subcortical cysts (MLC) and identify possible differentiating features. METHODS:
We performed an international multi-institutional, cross-sectional observational
study of the clinical and MRI characteristics in patients with genetically
confirmed MLC. Clinical information was obtained by questionnaires for physicians
and retrospective chart review. RESULTS: We included 204 patients with classic
MLC, 187 of whom had recessive mutations in MLC1 (MLC1 variant) and 17 in
GLIALCAM (MLC2A variant) and 38 patients with remitting MLC caused by dominant
GLIALCAM mutations (MLC2B variant). We observed a relatively wide variability in
neurologic disability among patients with classic MLC. No clinical differences
could be identified between patients with MLC1 and MLC2A. Patients with MLC2B
invariably had a milder phenotype with preservation of motor function, while
intellectual disability and autism were relatively frequent. Systematic MRI
review revealed no MRI features that distinguish between MLC1 and MLC2A.
Radiologic improvement was observed in all patients with MLC2B and also in 2
patients with MLC1. In MRIs obtained in the early disease stage, absence of
signal abnormalities of the posterior limb of the internal capsule and cerebellar
white matter and presence of only rarefied subcortical white matter instead of
true subcortical cysts were suggestive of MLC2B. CONCLUSION: Clinical and MRI
features did not distinguish between classic MLC with MLC1 or GLIALCAM mutations.
Absence of signal abnormalities of the internal capsule and cerebellar white
matter are MRI findings that point to the remitting phenotype.
PMID- 29661904
TI - Increased cerebral functional connectivity in ALS: A resting-state
magnetoencephalography study.
AB - OBJECTIVE: We sought to assess cortical function in amyotrophic lateral sclerosis
(ALS) using noninvasive neural signal recording. METHODS: Resting-state
magnetoencephalography was used to measure power fluctuations in neuronal
oscillations from distributed cortical parcels in 24 patients with ALS and 24
healthy controls. A further 9 patients with primary lateral sclerosis and a group
of 15 asymptomatic carriers of genetic mutations associated with ALS were also
studied. RESULTS: Increased functional connectivity, particularly from the
posterior cingulate cortex, was demonstrated in both patient groups compared to
healthy controls. Directionally similar patterns were also evident in the
asymptomatic genetic mutation carrier group. CONCLUSION: Increased cortical
functional connectivity elevation is a quantitative marker that reflects ALS
pathology across its clinical spectrum, and may develop during the presymptomatic
period. The amelioration of pathologic magnetoencephalography signals might be a
marker sensitive enough to provide proof-of-principle in the development of
future neuroprotective therapeutics.
PMID- 29661906
TI - Clinical Reasoning: A young woman with symmetric weakness and behavioral
disturbance.
PMID- 29661905
TI - Randomized study of adjunctive belimumab in participants with generalized
myasthenia gravis.
AB - OBJECTIVE: To investigate the efficacy and safety of belimumab, a fully human
immunoglobulin G1lambda monoclonal antibody against B-lymphocyte stimulator, in
participants with generalized myasthenia gravis (MG) who remained symptomatic
despite standard of care (SoC) therapy. METHODS: Eligible participants with MG
were randomized 1:1 to receive IV belimumab 10 mg/kg or placebo in this phase II,
placebo-controlled, multicenter, double-blind study (NCT01480596; BEL115123).
Participants received SoC therapies throughout the 24-week treatment phase and 12
week follow-up period. The primary efficacy endpoint was mean change from
baseline in the Quantitative Myasthenia Gravis (QMG) scale at week 24; safety
assessments included the frequency and severity of adverse events (AEs) and
serious AEs. RESULTS: Forty participants were randomized (placebo n = 22;
belimumab n = 18). The mean change in QMG score from baseline at week 24 was not
significantly different for belimumab vs placebo (p = 0.256). There were no
statistically significant differences between treatment groups for secondary
endpoints, including the MG Composite and MG-Activity of Daily Living scores.
Acetylcholine receptor antibody levels decreased over time in both treatment
groups. No unexpected AEs were identified and occurrence was similar in the
belimumab (78%) and placebo (91%) groups. One participant receiving placebo died
(severe sepsis) during the treatment phase. CONCLUSIONS: The primary endpoint was
not met for belimumab in participants with generalized MG receiving SoC. There
was no significant difference in mean change in the QMG score at week 24 for
belimumab vs placebo. The safety profile of belimumab was consistent with
previous systemic lupus erythematosus studies. CLASSIFICATION OF EVIDENCE: This
study provides Class I evidence that for participants with generalized MG,
belimumab did not significantly improve QMG score compared with placebo.
PMID- 29661907
TI - Teaching NeuroImages: Facial ulceration in stroke: An unusual and lesser-known
complication described by Wallenberg.
PMID- 29661908
TI - Teaching NeuroImages: DWI and EEG findings in Creutzfeldt-Jakob disease.
PMID- 29661909
TI - A novel combination treatment against melanoma with NRAS mutation and therapy
resistance.
PMID- 29661910
TI - Myeloid cell deficiency of p38gamma/p38delta protects against candidiasis and
regulates antifungal immunity.
AB - Candida albicans is a frequent aetiologic agent of sepsis associated with high
mortality in immunocompromised patients. Developing new antifungal therapies is a
medical need due to the low efficiency and resistance to current antifungal
drugs. Here, we show that p38gamma and p38delta regulate the innate immune
response to C. albicans We describe a new TAK1-TPL2-MKK1-ERK1/2 pathway in
macrophages, which is activated by Dectin-1 engagement and positively regulated
by p38gamma/p38delta. In mice, p38gamma/p38delta deficiency protects against C.
albicans infection by increasing ROS and iNOS production and thus the antifungal
capacity of neutrophils and macrophages, and by decreasing the hyper-inflammation
that leads to severe host damage. Leucocyte recruitment to infected kidneys and
production of inflammatory mediators are decreased in p38gamma/delta-null mice,
reducing septic shock. p38gamma/p38delta in myeloid cells are critical for this
effect. Moreover, pharmacological inhibition of p38gamma/p38delta in mice reduces
fungal burden, revealing that these p38MAPKs may be therapeutic targets for
treating C. albicans infection in humans.
PMID- 29661912
TI - Microtubule end tethering of a processive kinesin-8 motor Kif18b is required for
spindle positioning.
AB - Mitotic spindle positioning specifies the plane of cell division during anaphase.
Spindle orientation and positioning are therefore critical to ensure symmetric
division in mitosis and asymmetric division during development. The control of
astral microtubule length plays an essential role in positioning the spindle. In
this study, using gene knockout, we show that the kinesin-8 Kif18b controls
microtubule length to center the mitotic spindle at metaphase. Using in vitro
reconstitution, we reveal that Kif18b is a highly processive plus end-directed
motor that uses a C-terminal nonmotor microtubule-binding region to accumulate at
growing microtubule plus ends. This region is regulated by phosphorylation to
spatially control Kif18b accumulation at plus ends and is essential for Kif18b
dependent spindle positioning and regulation of microtubule length. Finally, we
demonstrate that Kif18b shortens microtubules by increasing the catastrophe rate
of dynamic microtubules. Overall, our work reveals that Kif18b uses its motile
properties to reach microtubule ends, where it regulates astral microtubule
length to ensure spindle centering.
PMID- 29661911
TI - PATL2 is a key actor of oocyte maturation whose invalidation causes infertility
in women and mice.
AB - The genetic causes of oocyte meiotic deficiency (OMD), a form of primary
infertility characterised by the production of immature oocytes, remain largely
unexplored. Using whole exome sequencing, we found that 26% of a cohort of 23
subjects with OMD harboured the same homozygous nonsense pathogenic mutation in
PATL2, a gene encoding a putative RNA-binding protein. Using Patl2 knockout mice,
we confirmed that PATL2 deficiency disturbs oocyte maturation, since oocytes and
zygotes exhibit morphological and developmental defects, respectively. PATL2's
amphibian orthologue is involved in the regulation of oocyte mRNA as a partner of
CPEB However, Patl2's expression profile throughout oocyte development in mice,
alongside colocalisation experiments with Cpeb1, Msy2 and Ddx6 (three oocyte RNA
regulators) suggest an original role for Patl2 in mammals. Accordingly,
transcriptomic analysis of oocytes from WT and Patl2-/- animals demonstrated that
in the absence of Patl2, expression levels of a select number of highly relevant
genes involved in oocyte maturation and early embryonic development are
deregulated. In conclusion, PATL2 is a novel actor of mammalian oocyte maturation
whose invalidation causes OMD in humans.
PMID- 29661913
TI - Premenstrual syndrome and alcohol consumption: a systematic review and meta
analysis.
AB - OBJECTIVE: Premenstrual syndrome (PMS) is a very common disorder worldwide which
carries an important economic burden. We conducted a systematic review and a meta
analysis to assess the role of alcohol in the occurrence of PMS. METHODS: We
searched MEDLINE, EMBASE, the five regional bibliographic databases of the WHO,
the Proceedings database and the Open Access Thesis and Dissertations (OATD) from
inception to May 2017. We also reviewed the references of every article retrieved
and established personal contact with researchers to trace further publications
or reports. We did not include any language limitations. Studies were included
if: (1) they presented original data from cohort, case-control or cross-sectional
studies, (2) PMS was clearly defined as the outcome of interest, (3) one of the
exposure factors was alcohol consumption, (4) they provided estimates of odds
ratios, relative risks, or any other effect measure and their confidence
intervals, or enough data to calculate them. RESULTS: We identified 39 studies of
which 19 were eligible. Intake of alcohol was associated with a moderate increase
in the risk of PMS (OR=1.45, 95% CI: 1.17 to 1.79). Heavy drinking yielded a
larger increase in the risk than any drinking (OR=1.79, 95% CI: 1.39 to 2.32).
DISCUSSION: Our results suggest that alcohol intake presents a moderate
association with PMS risk. Future studies should avoid cross-sectional designs
and focus on determining whether there is a threshold of alcohol intake under
which the harmful effect on PMS is non-existent.
PMID- 29661914
TI - Trends, geographical variation and factors associated with prescribing of gluten
free foods in English primary care: a cross-sectional study.
AB - OBJECTIVES: There is substantial disagreement about whether gluten-free foods
should be prescribed on the National Health Service. We aim to describe time
trends, variation and factors associated with prescribing gluten-free foods in
England. SETTING: English primary care. PARTICIPANTS: English general practices.
PRIMARY AND SECONDARY OUTCOME MEASURES: We described long-term national trends in
gluten-free prescribing, and practice and Clinical Commissioning Group (CCG)
level monthly variation in the rate of gluten-free prescribing (per 1000
patients) over time. We used a mixed-effect Poisson regression model to determine
factors associated with gluten-free prescribing rate. RESULTS: There were 1.3
million gluten-free prescriptions between July 2016 and June 2017, down from 1.8
million in 2012/2013, with a corresponding cost reduction from L25.4 million to
L18.7 million. There was substantial variation in prescribing rates among
practices (range 0 to 148 prescriptions per 1000 patients, IQR 7.3-31.8), driven
in part by substantial variation at the CCG level, likely due to differences in
prescribing policy. Practices in the most deprived quintile of deprivation score
had a lower prescribing rate than those in the highest quintile (incidence rate
ratio 0.89, 95% CI 0.87 to 0.91). This is potentially a reflection of the lower
rate of diagnosed coeliac disease in more deprived populations. CONCLUSION:
Gluten-free prescribing is in a state of flux, with substantial clinically
unwarranted variation between practices and CCGs.
PMID- 29661915
TI - Residues contributing to drug transport by ABCG2 are localised to multiple drug
binding pockets.
AB - Multidrug binding and transport by the ATP-binding cassette transporter ABCG2 is
a factor in the clinical resistance to chemotherapy in leukaemia, and a
contributory factor to the pharmacokinetic profiles of many other prescribed
drugs. Despite its importance, the structural basis of multidrug transport, i.e.
the ability to transport multiple distinct chemicals, has remained elusive.
Previous research has shown that at least two residues positioned towards the
cytoplasmic end of transmembrane helix 3 (TM3) of the transporter play a role in
drug transport. We hypothesised that other residues, either in the longitudinal
span of TM3, or a perpendicular slice through the intracellular end of other TM
helices would also contribute to drug binding and transport by ABCG2. Single
point mutant isoforms of ABCG2 were made at ~30 positions and were analysed for
effects on protein expression, localisation (western blotting, confocal
microscopy) and function (flow cytometry) in a mammalian stable cell line
expression system. Our data were interpreted in terms of recent structural data
on the ABCG protein subfamily and enabled us to propose a surface-binding site
for the drug mitoxantrone (MX) as well as a second, buried site for the same
drug. Further mutational analysis of residues that spatially separate these two
sites prompts us to suggest a molecular and structural pathway for MX transport
by ABCG2.
PMID- 29661916
TI - Sustained Reduction in Severe Hypoglycemia in Adults With Type 1 Diabetes
Complicated by Impaired Awareness of Hypoglycemia: Two-Year Follow-up in the
HypoCOMPaSS Randomized Clinical Trial.
AB - OBJECTIVE: Severe hypoglycemia is a feared complication of type 1 diabetes; yet,
few trials have targeted prevention using optimized self-management (educational,
therapeutic, and technological support). We aimed to investigate whether improved
awareness and reduced severe hypoglycemia, achieved during an intensive
randomized clinical trial (RCT), were sustained after return to routine care.
RESEARCH DESIGN AND METHODS: Ninety-six adults with type 1 diabetes (29 +/- 12
years' duration) and impaired awareness of hypoglycemia at five U.K. tertiary
referral diabetes centers were recruited into a 24-week 2 * 2 factorial RCT
(HypoCOMPaSS). Participants were randomized to pump (continuous subcutaneous
insulin infusion [CSII]) or multiple daily injections (MDIs) and real-time
continuous glucose monitoring (RT-CGM) or self-monitoring of blood glucose
(SMBG), with equal education/attention to all groups. At 24 weeks, participants
returned to routine care with follow-up until 24 months, including free choice of
MDI/CSII; RT-CGM vs. SMBG comparison continued to 24 months. Primary outcome was
mean difference (baseline to 24 months [between groups]) in hypoglycemia
awareness. RESULTS: Improvement in hypoglycemia awareness was sustained (Gold
score at baseline 5.1 +/- 1.1 vs. 24 months 3.7 +/- 1.9; P < 0.0001). Severe
hypoglycemia rate was reduced from 8.9 +/- 12.8 episodes/person-year over the 12
months prestudy to 0.4 +/- 0.8 over 24 months (P < 0.0001). HbA1c improved
(baseline 8.2 +/- 3.2% [66 +/- 12 mmol/mol] vs. 24 months 7.7 +/- 3.1% [61 +/- 10
mmol/mol]; P = 0.003). Improvement in treatment satisfaction and reduced fear of
hypoglycemia were sustained. There were no significant differences between
interventions at 24 months. CONCLUSIONS: Optimized insulin replacement and
glucose monitoring underpinned by hypoglycemia-focused structured education
should be provided to all with type 1 diabetes complicated by impaired awareness
of hypoglycemia.
PMID- 29661918
TI - Characteristics of new solid nodules detected in incidence screening rounds of
low-dose CT lung cancer screening: the NELSON study.
AB - PURPOSE: New nodules after baseline are regularly found in low-dose CT lung
cancer screening and have a high lung cancer probability. It is unknown whether
morphological and location characteristics can improve new nodule risk
stratification by size. METHODS: Solid non-calcified nodules detected during
incidence screening rounds of the randomised controlled Dutch-Belgian lung cancer
screening (NELSON) trial and registered as new or previously below detection
limit (15 mm3) were included. A multivariate logistic regression analysis with
lung cancer as outcome was performed, including previously established volume cut
offs (<30 mm3, 30-<200 mm3 and >=200 mm3) and nodule characteristics (location,
distribution, shape, margin and visibility <15 mm3 in retrospect). RESULTS:
Overall, 1280 new nodules were included with 73 (6%) being lung cancer. Of
nodules >=30 mm3 at detection and visible <15 mm3 in retrospect, 22% (6/27) were
lung cancer. Discrimination based on volume cut-offs (area under the receiver
operating characteristic curve (AUC): 0.80, 95% CI 0.75 to 0.84) and continuous
volume (AUC: 0.82, 95% CI 0.77 to 0.87) was similar. After adjustment for volume
cut-offs, only location in the right upper lobe (OR 2.0, P=0.012), central
distribution (OR 2.4, P=0.001) and visibility <15 mm3 in retrospect (OR 4.7,
P=0.003) remained significant predictors for lung cancer. The Hosmer-Lemeshow
test (P=0.75) and assessment of bootstrap calibration curves indicated adequate
model fit. Discrimination based on the continuous model probability (AUC: 0.85,
95% CI 0.81 to 0.89) was superior to volume cut-offs alone, but when stratified
into three risk groups (AUC: 0.82, 95% CI 0.78 to 0.86), discrimination was
similar. CONCLUSION: Contrary to morphological nodule characteristics, growth
independent characteristics may further improve volume-based new nodule lung
cancer prediction, but in a three-category stratification approach, this is
limited. TRIAL REGISTRATION NUMBER: ISRCTN63545820; pre-results.
PMID- 29661917
TI - Association of Hemoglobin A1c and Wound Healing in Diabetic Foot Ulcers.
AB - OBJECTIVE: This study evaluated the association between hemoglobin A1c (A1C) and
wound outcomes in patients with diabetic foot ulcers (DFUs). RESEARCH DESIGN AND
METHODS: We conducted a retrospective analysis of an ongoing prospective, clinic
based study of patients with DFUs treated at an academic institution during a 4.7
year period. Data from 270 participants and 584 wounds were included in the
analysis. Cox proportional hazards regression was used to assess the incidence of
wound healing at any follow-up time in relation to categories of baseline A1C and
the incidence of long-term (>=90 days) wound healing in relation to tertiles of
nadir A1C change and mean A1C change from baseline, adjusted for potential
confounders. RESULTS: Baseline A1C was not associated with wound healing in
univariate or fully adjusted models. Compared with a nadir A1C change from
baseline of -0.29 to 0.0 (tertile 2), a nadir A1C change of 0.09 to 2.4 (tertile
3) was positively associated with long-term wound healing in the subset of
participants with baseline A1C <7.5% (hazard ratio [HR] 2.07; 95% CI 1.08-4.00),
but no association with wound healing was seen with the mean A1C change from
baseline in this group. Neither nadir A1C change nor mean A1C change were
associated with long-term wound healing in participants with baseline A1C >=7.5%.
CONCLUSIONS: There does not appear to be a clinically meaningful association
between baseline or prospective A1C and wound healing in patients with DFUs. The
paradoxical finding of accelerated wound healing and increase in A1C in
participants with better baseline glycemic control requires confirmation in
further studies.
PMID- 29661919
TI - Moving more: our heart cares but do our lungs?
PMID- 29661920
TI - DHTKD1 Deficiency Causes Charcot-Marie-Tooth Disease in Mice.
AB - DHTKD1, a part of 2-ketoadipic acid dehydrogenase complex, is involved in lysine
and tryptophan catabolism. Mutations in DHTKD1 block the metabolic pathway and
cause 2-aminoadipic and 2-oxoadipic aciduria (AMOXAD), an autosomal recessive
inborn metabolic disorder. In addition, a nonsense mutation in DHTKD1 that we
identified previously causes Charcot-Marie-Tooth disease (CMT) type 2Q, one of
the most common inherited neurological disorders affecting the peripheral nerves
in the musculature. However, the comprehensive molecular mechanism underlying
CMT2Q remains elusive. Here, we show that Dhtkd1-/- mice mimic the major aspects
of CMT2 phenotypes, characterized by progressive weakness and atrophy in the
distal parts of limbs with motor and sensory dysfunctions, which are accompanied
with decreased nerve conduction velocity. Moreover, DHTKD1 deficiency causes
severe metabolic abnormalities and dramatically increased levels of 2-ketoadipic
acid (2-KAA) and 2-aminoadipic acid (2-AAA) in urine. Further studies revealed
that both 2-KAA and 2-AAA could stimulate insulin biosynthesis and secretion.
Subsequently, elevated insulin regulates myelin protein zero (Mpz) transcription
in Schwann cells via upregulating the expression of early growth response 2
(Egr2), leading to myelin structure damage and axonal degeneration. Finally, 2
AAA-fed mice do reproduce phenotypes similar to CMT2Q phenotypes. In conclusion,
we have demonstrated that loss of DHTKD1 causes CMT2Q-like phenotypes through
dysregulation of Mpz mRNA and protein zero (P0) which are closely associated with
elevated DHTKD1 substrate and insulin levels. These findings further indicate an
important role of metabolic disorders in addition to mitochondrial insufficiency
in the pathogenesis of peripheral neuropathies.
PMID- 29661921
TI - Poly(ADP-Ribose) Polymerase 1 Promotes the Human Heat Shock Response by
Facilitating Heat Shock Transcription Factor 1 Binding to DNA.
AB - The heat shock response (HSR) is characterized by the rapid and robust induction
of heat shock proteins (HSPs), including HSP70, in response to heat shock and is
regulated by heat shock transcription factor 1 (HSF1) in mammalian cells.
Poly(ADP-ribose) polymerase 1 (PARP1), which can form a complex with HSF1 through
the scaffold protein PARP13, has been suggested to be involved in the HSR.
However, its effects on and the regulatory mechanisms of the HSR are not well
understood. Here we show that prior to heat shock, the HSF1-PARP13-PARP1 complex
binds to the HSP70 promoter. In response to heat shock, activated and auto
PARylated PARP1 dissociates from HSF1-PARP13 and is redistributed throughout the
HSP70 locus. Remarkably, chromatin in the HSP70 promoter is initially PARylated
at high levels and decondensed, whereas chromatin in the gene body is moderately
PARylated afterwards. Activated HSF1 then binds to the promoter efficiently and
promotes the HSR. Chromatin PARylation and HSF1 binding to the promoter are also
facilitated by the phosphorylation-dependent dissociation of PARP13. Furthermore,
the HSR and proteostasis capacity are reduced by pretreatment with genotoxic
stresses, which disrupt the ternary complex. These results illuminate one of the
priming mechanisms of the HSR that facilitates the binding of HSF1 to DNA during
heat shock.
PMID- 29661922
TI - Gpn2 and Rba50 Directly Participate in the Assembly of the Rpb3 Subcomplex in the
Biogenesis of RNA Polymerase II.
AB - RNA polymerase II (RNAPII) is one of the central enzymes in cell growth and
organizational development. It is a large macromolecular complex consisting of 12
subunits. Relative to the clear definition of RNAPII structure and biological
function, the molecular mechanism of how RNAPII is assembled is poorly
understood, and thus the key assembly factors acting for the assembly of RNAPII
remain elusive. In this study, we identified two factors, Gpn2 and Rba50, that
directly participate in the assembly of RNAPII. Gpn2 and Rba50 were demonstrated
to interact with Rpb12 and Rpb3, respectively. An interaction between Gpn2 and
Rba50 was also demonstrated. When Gpn2 and Rba50 are functionally defective, the
assembly of the Rpb3 subcomplex is disrupted, leading to defects in the assembly
of RNAPII. Based on these results, we conclude that Gpn2 and Rba50 directly
participate in the assembly of the Rpb3 subcomplex and subsequently the
biogenesis of RNAPII.
PMID- 29661923
TI - Association between semantic dementia and progressive supranuclear palsy.
PMID- 29661924
TI - C9orf72 expansion is associated with accelerated decline of respiratory function
and decreased survival in amyotrophic lateral sclerosis.
PMID- 29661925
TI - Changing antiepilepsy drug-prescribing trends in women with epilepsy in the UK
and Ireland and the impact on major congenital malformations.
AB - OBJECTIVES: After 20 years of data collection, pregnancy registers have informed
prescribing practice. Various populations show trends for a reduction in
valproate prescribing, which is associated with an increased risk of anatomical
teratogenesis and neurodevelopmental effects in those exposed in utero. Our aim
was to determine if any shifts in prescribing trends have occurred in the UK and
Ireland Epilepsy and Pregnancy Register cohort and to assess if there had been
any change in the overall major congenital malformation (MCM) rate over time.
METHODS: The UK and Ireland Epilepsy and Pregnancy Register, a prospective,
observational, registration and follow-up study established in 1996, was used to
determine the changes in antiepileptic drugs (AEDs) utilised during pregnancy and
the MCM rate between 1996 and 2016. Linear regression analysis was used to assess
changes in AED utilisation, and Poisson regression was used for the analysis of
trends in the MCM rates. RESULTS: Outcome data for 9247 pregnancies showed a
stable percentage of monotherapy to polytherapy prescribing habits over time.
After Bonferroni correction, statistically significant (p<0.003) changes were
found in monotherapy prescribing with increases in lamotrigine and levetiracetam
and decreases in valproate and carbamazepine use. Between 1996 and 2016, the
total MCM rate showed a 2.1% reduction per year (incidence risk ratio 0.979 (95%
CIs 0.956 to 1.002) but Poisson regression analysis showed that this was not
statistically significant p=0.08). CONCLUSION: Significant changes are seen in
the prescribing habits in this cohort over 20 years, but a statistically
significant change in the MCM rate was not detected. This work should be
replicated on a larger scale to determine if significant changes are occurring in
the MCM rate, which would allow a robust economic estimate of the benefits of
improvements in prescribing practice and the personal effect of such changes.
PMID- 29661926
TI - Disruptions in gut microbial-host co-metabolism and the development of metabolic
disorders.
AB - The microbial-mammalian metabolic axis has become recognized as an important
component governing the overall homeostatic balance of the mammalian host.
Disruption of the state of homeostasis among the gut microbiota has been shown to
be causally linked to the development of host metabolic diseases including
obesity, cardiovascular, diabetes, and fatty liver disease. This disruption is
often referred to as gut dysbiosis. Gut dysbiosis leads to altered metabolic
products derived from the microbiota and these in turn, typically shift the
homeostatic metabolic balance of the host towards a low-grade chronic
inflammation, a hallmark of metabolic syndrome. The primary objective of this
review is to examine and discuss some very current research that has been done to
study the effect of bacterial metabolites on host metabolism, sometimes referred
to as microbiota-host co-metabolism. The metabolic conditions reviewed here
include obesity, a known risk factor for all of the other metabolic conditions,
as well as, cardiovascular disease, diabetes and nonalcoholic fatty liver
disease. Only by further understanding the cause and result of gut dysbiosis will
an adequate solution be found for metabolic disease, a viewpoint shared by many.
PMID- 29661927
TI - T Cell-Independent Gamma Interferon and B Cells Cooperate To Prevent Mortality
Associated with Disseminated Chlamydia muridarum Genital Tract Infection.
AB - CD4 T cells and antibody are required for optimal acquired immunity to Chlamydia
muridarum genital tract infection, and T cell-mediated gamma interferon (IFN
gamma) production is necessary to clear infection in the absence of humoral
immunity. However, the role of T cell-independent immune responses during primary
infection remains unclear. We investigated this question by inoculating wild-type
and immune-deficient mice with C. muridarum CM001, a clonal isolate capable of
enhanced extragenital replication. Genital inoculation of wild-type mice resulted
in transient dissemination to the lungs and spleen that then was rapidly cleared
from these organs. However, CM001 genital infection proved lethal for STAT1-/-
and IFNG-/- mice, in which IFN-gamma signaling was absent, and for Rag1-/- mice,
which lacked T and B cells and in which innate IFN-gamma signaling was retained.
In contrast, B cell-deficient muMT mice, which can generate a Th1 response, and T
cell-deficient mice with intact B cell and innate IFN-gamma signaling survived.
These data collectively indicate that IFN-gamma prevents lethal CM001
dissemination in the absence of T cells and suggests a B cell corequirement.
Adoptive transfer of convalescent-phase immune serum but not naive IgM to Rag1-/-
mice infected with CM001 significantly increased the survival time, while
transfer of naive B cells completely rescued Rag1-/- mice from CM001 lethality.
Protection was associated with a significant reduction in the lung chlamydial
burden of genitally infected mice. These data reveal an important cooperation
between T cell-independent B cell responses and innate IFN-gamma in chlamydial
host defense and suggest that interactions between T cell-independent antibody
and IFN-gamma are essential for limiting extragenital dissemination.
PMID- 29661928
TI - Identification and Evaluation of Novel Protective Antigens for the Development of
a Candidate Tuberculosis Subunit Vaccine.
AB - The development of a vaccine against tuberculosis (TB), a disease caused by
Mycobacterium tuberculosis, is urgently needed. The only currently available
vaccine, M. bovis BCG, has variable efficacy. One approach in the global vaccine
development effort is focused on boosting BCG using subunit vaccines. The
identification of novel antigens for inclusion in subunit vaccines is a critical
step in the TB vaccine development pathway. We selected four novel mycobacterial
antigens recognized during the course of human infection. A replication-deficient
chimpanzee adenovirus (ChAdOx1) was constructed to express each antigen
individually, and these vectors were evaluated for protective efficacy in murine
M. tuberculosis challenge experiments. One antigen, PPE15 (Rv1039c), conferred
significant and reproducible protection when administered alone and as a boost to
BCG vaccination. We identified immunodominant epitopes to define the protective
immune responses using tetramers and intravascular staining. Lung parenchymal
CD4+ and CD8+ CXCR3+ KLRG1- T cells, previously associated with protection
against M. tuberculosis, were enriched in the vaccinated groups compared to the
control groups. Further work to evaluate the protective efficacy of PPE15 in more
stringent preclinical animal models, together with the identification of further
novel protective antigens using this selection strategy, is now merited.
PMID- 29661929
TI - Arginase-1 Expression in Myeloid Cells Regulates Staphylococcus aureus Planktonic
but Not Biofilm Infection.
AB - Staphylococcus aureus is a leading cause of device-associated biofilm infections,
which represent a serious health care concern based on their chronicity and
antibiotic resistance. We previously reported that S. aureus biofilms
preferentially recruit myeloid-derived suppressor cells (MDSCs), which promote
monocyte and macrophage anti-inflammatory properties. This is associated with
increased myeloid arginase-1 (Arg-1) expression, which has been linked to anti
inflammatory and profibrotic activities that are observed during S. aureus
biofilm infections. To determine whether MDSCs and macrophages utilize Arg-1 to
promote biofilm infection, Arg-1 was deleted in myeloid cells by use of Tie-2Cre
mice. Despite Arg-1 expression in biofilm-associated myeloid cells, bacterial
burdens and leukocyte infiltrates were similar between wild-type (WT) and Arg
1fl/fl;Tie-2Cre conditional knockout (KO) mice from days 3 to 14 postinfection in
both orthopedic implant and catheter-associated biofilm models. However,
inducible nitric oxide synthase (iNOS) expression was dramatically elevated in
biofilm-associated MDSCs from Arg-1fl/fl;Tie-2Cre animals, suggesting a potential
Arg-1-independent compensatory mechanism for MDSC-mediated immunomodulation.
Treatment of Arg-1fl/fl;Tie-2Cre mice with the iNOS inhibitor N6-(1-iminoethyl)-l
lysine (l-NIL) had no effect on biofilm burdens or immune infiltrates, whereas
treatment of WT mice with the Arg-1/ornithine decarboxylase inhibitor
difluoromethylornithine (DFMO) increased bacterial titers, but only in the
surrounding soft tissues, which possess attributes of a planktonic environment. A
role for myeloid-derived Arg-1 in regulating planktonic infection was confirmed
using a subcutaneous abscess model, in which S. aureus burdens were significantly
increased in Arg-1fl/fl;Tie-2Cre mice compared to those in WT mice. Collectively,
these results indicate that the effects of myeloid Arg-1 are context dependent
and are manifest during planktonic but not biofilm infection.
PMID- 29661930
TI - The Critical Role of Zinc in a New Murine Model of Enterotoxigenic E. coli (ETEC)
Diarrhea.
AB - Enterotoxigenic E. coli are major causes of traveler's diarrhea as well as
endemic diarrhea and stunting in children in developing areas. However a small
mammal model has been badly needed to better understand and assess mechanisms,
vaccines and interventions. We report a murine model of ETEC diarrhea, weight
loss and enteropathy, and investigate the role of zinc on the outcomes. LT+ST
producing enterotoxigenic E. coli (ETEC) given to weaned C57BL/6 mice after
antibiotic disruption of normal microbiota cause growth impairment, watery
diarrhea, heavy stool shedding and mild to moderate intestinal inflammation, the
latter worse with zinc deficiency. Zinc treatment promoted growth in zinc
deficient infected mice, and subinhibitory zinc reduced expression of ETEC
virulence genes cfa1, cexE, sta2 and degP, but not eltA in vitro. Zinc
supplementation increased shedding and ileal burden of WT ETEC, but decreased
shedding and tissue burden of LTKO ETEC. LTKO ETEC infected mice had delayed
disease onset and also had less inflammation by fecal MPO assessment These
findings provide a new murine model of ETEC infection that can help elucidate
mechanisms of growth, diarrhea and inflammatory responses as well as potential
vaccines and interventions.
PMID- 29661931
TI - Role of Neuraminidase-Producing Bacteria in Exposing Cryptic Carbohydrate
Receptors for Streptococcus gordonii Adherence.
AB - Streptococcus gordonii is an early colonizer of the oral cavity. Although a
variety of S. gordonii adherence mechanisms have been described, current dogma is
that the major receptor for S. gordonii is sialic acid. However, as many
bacterial species in the oral cavity produce neuraminidase that can cleave
terminal sialic acid, it is unclear whether S. gordonii relies on sialic acid for
adherence to oral surfaces or if this species has developed alternative binding
strategies. Previous studies have examined adherence to immobilized
glycoconjugates and identified binding to additional glycans, but no prior
studies have defined the contribution of these different glycan structures in
adherence to oral epithelial cells. We determined that the majority of S.
gordonii strains tested did not rely on sialic acid for efficient adherence. In
fact, adherence of some strains was significantly increased following
neuraminidase treatment. Further investigation of representative strains that do
not rely on sialic acid for adherence revealed binding not only to sialic acid
via the serine-rich repeat protein GspB but also to beta-1,4-linked galactose.
Adherence to this carbohydrate occurs via an unknown adhesin distinct from those
utilized by Streptococcus oralis and Streptococcus pneumoniae Demonstrating the
potential biological relevance of binding to this cryptic receptor, we
established that S. oralis increases S. gordonii adherence in a neuraminidase
dependent manner. These data suggest that S. gordonii has evolved to
simultaneously utilize both terminal and cryptic receptors in response to the
production of neuraminidase by other species in the oral environment.
PMID- 29661932
TI - Chlamydia muridarum Genital and Gastrointestinal Infection Tropism Is Mediated by
Distinct Chromosomal Factors.
AB - Some members of the genus Chlamydia, including the human pathogen Chlamydia
trachomatis, infect multiple tissues, including the genital and gastrointestinal
(GI) tracts. However, it is unknown if bacterial targeting to these sites is
mediated by multifunctional or distinct chlamydial factors. We previously showed
that disruption of individual large clostridial toxin homologs encoded within the
Chlamydia muridarum plasticity zone were not critical for murine genital tract
infection. Here, we assessed whether cytotoxin genes contribute to C. muridarum
GI tropism. Infectivity and shedding of wild-type (WT) C. muridarum and three
mutants containing nonsense mutations in different cytotoxin genes, tc0437,
tc0438, and tc0439, were compared in mouse genital and GI infection models. One
mutant, which had a nonsense mutation in tc0439, was highly attenuated for GI
infection and had a GI 50% infectious dose (ID50) that was 1,000 times greater
than that of the WT. GI inoculation with this mutant failed to elicit anti
chlamydial antibodies or to protect against subsequent genital tract infection.
Genome sequencing of the tc0439 mutant revealed additional chromosomal mutations,
and phenotyping of additional mutants suggested that the GI attenuation might be
linked to a nonsense mutation in tc0600 The molecular mechanism underlying this
dramatic difference in tissue-tropic virulence is not fully understood. However,
isolation of these mutants demonstrates that distinct chlamydial chromosomal
factors mediate chlamydial tissue tropism and provides a basis for vaccine
initiatives to isolate chlamydia strains that are attenuated for genital
infection but retain the ability to colonize the GI tract and elicit protective
immune responses.
PMID- 29661933
TI - Interleukin-22 Immunotherapy during Severe Influenza Enhances Lung Tissue
Integrity and Reduces Secondary Bacterial Systemic Invasion.
AB - Severe bacterial (pneumococcal) infections are commonly associated with influenza
and are significant contributors to the excess morbidity and mortality of
influenza. Disruption of lung tissue integrity during influenza participates in
bacterial pulmonary colonization and dissemination out of the lungs. Interleukin
22 (IL-22) has gained considerable interest in anti-inflammatory and anti
infection immunotherapy over the last decade. In the current study, we
investigated the effect of exogenous IL-22 delivery on the outcome of
pneumococcal superinfection postinfluenza. Our data show that exogenous treatment
of influenza virus-infected mice with recombinant IL-22 reduces bacterial
dissemination out of the lungs but is without effect on pulmonary bacterial
burden. Reduced systemic bacterial dissemination was linked to reinforced
pulmonary barrier functions, as revealed by total protein measurement in the
bronchoalveolar fluids, intratracheal fluorescein isothiocyanate-dextran
tracking, and histological approaches. We describe an IL-22-specific gene
signature in the lung tissue of influenza A virus (IAV)-infected (and naive) mice
that might explain the observed effects. Indeed, exogenous IL-22 modulates the
gene expression profile in a way that suggests reinforcement of tissue integrity.
Our results open the way to alternative approaches for limiting postinfluenza
bacterial superinfection, particularly, systemic bacterial invasion.
PMID- 29661934
TI - Nut consumption and incidence of seven cardiovascular diseases.
AB - BACKGROUND: Nut consumption has been found to be inversely associated with
cardiovascular disease mortality, but the association between nut consumption and
incidence of specific cardiovascular diseases is unclear. We examined the
association between nut consumption and incidence of seven cardiovascular
diseases. METHODS: This prospective study included 61 364 Swedish adults who had
completed a Food Frequency Questionnaire and were followed up for 17 years
through linkage with the Swedish National Patient and Death Registers. RESULTS:
Nut consumption was inversely associated with risk of myocardial infarction,
heart failure, atrial fibrillation and abdominal aortic aneurysm in the age
adjusted and sex-adjusted analysis. However, adjustment for multiple risk factors
attenuated these associations and only a linear, dose-response, association with
atrial fibrillation (ptrend=0.004) and a non-linear association (pnon
linearity=0.003) with heart failure remained. Compared with no consumption of
nuts, the multivariable HRs (95% CI) of atrial fibrillation across categories of
nut consumption were 0.97 (0.93 to 1.02) for 1-3 times/month, 0.88 (0.79 to 0.99)
for 1-2 times/week and 0.82 (0.68 to 0.99) for >=3 times/week. For heart failure,
the corresponding HRs (95% CI) were 0.87 (0.80 to 0.94), 0.80 (0.67 to 0.97) and
0.98 (0.76 to 1.27). Nut consumption was not associated with risk of aortic valve
stenosis, ischaemic stroke or intracerebral haemorrhage. CONCLUSIONS: These
findings suggest that nut consumption or factors associated with this nutritional
behaviour may play a role in reducing the risk of atrial fibrillation and
possibly heart failure. TRIAL REGISTRATION NUMBER: NCT01127711 and
NCT01127698;Results.
PMID- 29661935
TI - The labile iron pool attenuates peroxynitrite-dependent damage and can no longer
be considered solely a pro-oxidative cellular iron source.
AB - The ubiquitous cellular labile iron pool (LIP) is often associated with the
production of the highly reactive hydroxyl radical, which forms through a redox
reaction with hydrogen peroxide. Peroxynitrite is a biologically relevant
peroxide produced by the recombination of nitric oxide and superoxide. It is a
strong oxidant that may be involved in multiple pathological conditions, but
whether and how it interacts with the LIP are unclear. Here, using fluorescence
spectroscopy, we investigated the interaction between the LIP and peroxynitrite
by monitoring peroxynitrite-dependent accumulation of nitrosated and oxidized
fluorescent intracellular indicators. We found that, in murine macrophages,
removal of the LIP with membrane-permeable iron chelators sustainably accelerates
the peroxynitrite-dependent oxidation and nitrosation of these indicators. These
observations could not be reproduced in cell-free assays, indicating that the
chelator-enhancing effect on peroxynitrite-dependent modifications of the
indicators depended on cell constituents, presumably including LIP, that react
with these chelators. Moreover, neither free nor ferrous-complexed chelators
stimulated intracellular or extracellular oxidative and nitrosative chemistries.
On the basis of these results, LIP appears to be a relevant and competitive
cellular target of peroxynitrite or its derived oxidants, and thereby it reduces
oxidative processes, an observation that may change the conventional notion that
the LIP is simply a cellular source of pro-oxidant iron.
PMID- 29661936
TI - Ssy5 is a signaling serine protease that exhibits atypical biogenesis and marked
S1 specificity.
AB - Ssy5 is a signaling endoprotease that plays a key role in regulating central
metabolism, cellular aging, and morphological transitions important for growth
and survival of yeast (Saccharomyces cerevisiae) cells. In response to
extracellular amino acids, Ssy5 proteolytically activates the transcription
factors Stp1 and Stp2, leading to enhanced Ssy1-Ptr3-Ssy5 (SPS) sensor-regulated
gene expression. Ssy5 comprises a catalytic (Cat) domain and an extensive
regulatory prodomain. Ssy5 is refractory to both broad-spectrum and serine
protease-specific inhibitors, confounding its classification as a protease, and
no information about Ssy5's cleavage-site preferences and its mechanism of
substrate selection is available. Here, using mutational and inhibition
experiments, we investigated the biogenesis and catalytic properties of Ssy5 and
conclusively show that it is a serine protease. Atypical for the majority of
serine proteases, Ssy5's prodomain was obligatorily required in cis during
biogenesis for the maturation of the proteolytic activity of the Cat domain.
Autolysis and Stp1 and Stp2 cleavage occurred between a cysteine (at the P1 site)
and a serine or alanine (at the P'1 site) and required residues with short side
chains at the P1 site. Substitutions in the Cat domain affecting substrate
specificity revealed that residues Phe-634, His-661, and Gly-671 in the S1
binding pocket of this domain are important for Ssy5 catalytic function. This
study confirms that the signaling protease Ssy5 is a serine protease and provides
a detailed understanding of the biogenesis and intrinsic properties of this key
enzyme in yeast.
PMID- 29661937
TI - A charge-sensing region in the stromal interaction molecule 1 luminal domain
confers stabilization-mediated inhibition of SOCE in response to S-nitrosylation.
AB - Store-operated Ca2+ entry (SOCE) is a major Ca2+ signaling pathway facilitating
extracellular Ca2+ influx in response to the initial release of intracellular
endo/sarcoplasmic reticulum (ER/SR) Ca2+ stores. Stromal interaction molecule 1
(STIM1) is the Ca2+ sensor that activates SOCE following ER/SR Ca2+ depletion.
The EF-hand and the adjacent sterile alpha-motif (EFSAM) domains of STIM1 are
essential for detecting changes in luminal Ca2+ concentrations. Low ER Ca2+
levels trigger STIM1 destabilization and oligomerization, culminating in the
opening of Orai1-composed Ca2+ channels on the plasma membrane. NO-mediated S
nitrosylation of cysteine thiols regulates myriad protein functions, but its
effects on the structural mechanisms that regulate SOCE are unclear. Here, we
demonstrate that S-nitrosylation of Cys49 and Cys56 in STIM1 enhances the
thermodynamic stability of its luminal domain, resulting in suppressed
hydrophobic exposure and diminished Ca2+ depletion-dependent oligomerization.
Using solution NMR spectroscopy, we pinpointed a structural mechanism for STIM1
stabilization driven by complementary charge interactions between an
electropositive patch on the core EFSAM domain and the S-nitrosylated
nonconserved region of STIM1. Finally, using live cells, we found that the
enhanced luminal domain stability conferred by either Cys49 and Cys56S
nitrosylation or incorporation of negatively charged residues into the EFSAM
electropositive patch in the full-length STIM1 context significantly suppresses
SOCE. Collectively, our results suggest that S-nitrosylation of STIM1 inhibits
SOCE by interacting with an electropositive patch on the EFSAM core, which
modulates the thermodynamic stability of the STIM1 luminal domain.
PMID- 29661940
TI - Disparities for LGBTQ and Gender Nonconforming Adolescents.
AB - OBJECTIVES: To identify patterns of childhood adversity in a sample of
adolescents and assess disparities in these experiences for lesbian, gay,
bisexual, transgender, and questioning adolescents and by level of gender
nonconformity. METHOD: By using the cross-sectional, statewide, anonymous 2016
Minnesota Student Survey, 81 885 students were included in the current study
(50.59% male; mean age = 15.51). Participants were enrolled in grades 9 and 11 in
a total of 348 schools. RESULTS: Four patterns of childhood adversity were
identified with sex-stratified latent class analyses (entropy = 0.833 males;
0.833 females), ranging from relatively low levels of abuse (85.3% males; 80.1%
females) to polyvictimization (0.84% males; 1.98% females). A regression analysis
showed that compared with heterosexual adolescents, gay, lesbian, bisexual, and
questioning adolescents were more likely to be classified into profiles
characterized by polyvictimization (odds ratio [OR] 1.81-7.53) and psychological
and/or physical abuse (OR 1.29-3.12), than no or low adversity. Similarly,
compared with nontransgender adolescents, transgender adolescents were more
likely to be classified into profiles characterized by patterns of
polyvictimization (OR 1.49-2.91) and psychological and/or physical abuse (OR 1.23
1.96). A higher level of gender nonconformity predicted a higher likelihood of
being classified into each adversity profile compared with the no or low
adversity profile (OR 1.14-1.45). CONCLUSIONS: Sexual minority adolescents and
adolescents with high levels of gender nonconformity are vulnerable to experience
adversity. The disparities for lesbian, gay, bisexual, transgender, and
questioning adolescents and adolescents with high gender nonconformity highlight
the variation in patterns of childhood adversity that these youth are at risk of
experiencing. The findings reveal the need for further research on the benefits
and harm of screening for childhood adversity by physicians and pediatricians.
PMID- 29661939
TI - Sexual Orientation and Depressive Symptoms in Adolescents.
AB - OBJECTIVES: Sexual orientation disparities in adolescent depressive symptoms are
well established, but reasons for these disparities are less well understood. We
modeled sexual orientation disparities in depressive symptoms from late
adolescence into young adulthood and evaluated family satisfaction, peer support,
cyberbullying victimization, and unmet medical needs as potential mediators.
METHODS: Data were from waves 2 to 6 of the NEXT Generation Health Study (n =
2396), a population-based cohort of US adolescents. We used latent growth models
to examine sexual orientation disparities in depressive symptoms in participants
aged 17 to 21 years, conduct mediation analyses, and examine sex differences.
RESULTS: Relative to heterosexual adolescents, sexual minority adolescents (those
who are attracted to the same or both sexes or are questioning; 6.3% of the
weighted sample) consistently reported higher depressive symptoms from 11th grade
to 3 years after high school. Mediation analyses indicated that sexual minority
adolescents reported lower family satisfaction, greater cyberbullying
victimization, and increased likelihood of unmet medical needs, all of which were
associated with higher depressive symptoms. The mediating role of cyberbullying
victimization was more pronounced among male than female participants.
CONCLUSIONS: Sexual minority adolescents reported higher depressive symptoms than
heterosexual adolescents from late adolescence into young adulthood.
Collectively, low family satisfaction, cyberbullying victimization, and unmet
medical needs accounted for >45% of differences by sexual orientation. Future
clinical research is needed to determine if interventions targeting these
psychosocial and health care-related factors would reduce sexual orientation
disparities in depressive symptoms and the optimal timing of such interventions.
PMID- 29661938
TI - Dual functionality of beta-tryptase protomers as both proteases and cofactors in
the active tetramer.
AB - Human beta-tryptase, a tetrameric trypsin-like serine protease, is an important
mediator of the allergic inflammatory responses in asthma. During acute
hypersensitivity reactions, mast cells degranulate, releasing active tetramer as
a complex with proteoglycans. Extensive efforts have focused on developing
therapeutic beta-tryptase inhibitors, but its unique activation mechanism is less
well-explored. Tryptase is active only after proteolytic removal of the pro
domain followed by tetramer formation via two distinct symmetry-related
interfaces. We show that the cleaved I16G mutant cannot tetramerize, likely due
to impaired insertion of its N terminus into its "activation pocket," indicating
allosteric linkage at multiple sites on each protomer. We engineered cysteines
into each of the two distinct interfaces (Y75C for small or I99C for large) to
assess the activity of each tetramer and disulfide-locked dimer. Using size
exclusion chromatography and enzymatic assays, we demonstrate that the two large
tetramer interfaces regulate enzymatic activity, elucidating the importance of
this protein-protein interaction for allosteric regulation. Notably, the I99C
large interface dimer is active, even in the absence of heparin. We show that a
monomeric beta-tryptase mutant (I99C*/Y75A/Y37bA, where C* is cysteinylated Cys
99) cannot form a dimer or tetramer, yet it is active but only in the presence of
heparin. Thus heparin both stabilizes the tetramer and allosterically conditions
the active site. We hypothesize that each beta-tryptase protomer in the tetramer
has two distinct roles, acting both as a protease and as a cofactor for its
neighboring protomer, to allosterically regulate enzymatic activity, providing a
rationale for direct correlation of tetramer stability with proteolytic activity.
PMID- 29661942
TI - A Closer Look at the Psychosocial Realities of LGBTQ Youth.
PMID- 29661943
TI - Skills and characteristics of the e-health literate patient.
PMID- 29661941
TI - Mental Health of Transgender and Gender Nonconforming Youth Compared With Their
Peers.
AB - BACKGROUND: Understanding the magnitude of mental health problems, particularly
life-threatening ones, experienced by transgender and/or gender nonconforming
(TGNC) youth can lead to improved management of these conditions. METHODS:
Electronic medical records were used to identify a cohort of 588 transfeminine
and 745 transmasculine children (3-9 years old) and adolescents (10-17 years old)
enrolled in integrated health care systems in California and Georgia. Ten male
and 10 female referent cisgender enrollees were matched to each TGNC individual
on year of birth, race and/or ethnicity, study site, and membership year of the
index date (first evidence of gender nonconforming status). Prevalence ratios
were calculated by dividing the proportion of TGNC individuals with a specific
mental health diagnosis or diagnostic category by the corresponding proportion in
each reference group by transfeminine and/or transmasculine status, age group,
and time period before the index date. RESULTS: Common diagnoses for children and
adolescents were attention deficit disorders (transfeminine 15%; transmasculine
16%) and depressive disorders (transfeminine 49%; transmasculine 62%),
respectively. For all diagnostic categories, prevalence was severalfold higher
among TGNC youth than in matched reference groups. Prevalence ratios (95%
confidence intervals [CIs]) for history of self-inflicted injury in adolescents 6
months before the index date ranged from 18 (95% CI 4.4-82) to 144 (95% CI 36
1248). The corresponding range for suicidal ideation was 25 (95% CI 14-45) to 54
(95% CI 18-218). CONCLUSIONS: TGNC youth may present with mental health
conditions requiring immediate evaluation and implementation of clinical, social,
and educational gender identity support measures.
PMID- 29661944
TI - Atrial Fibrillation Burden: Moving Beyond Atrial Fibrillation as a Binary Entity:
A Scientific Statement From the American Heart Association.
AB - Our understanding of the risk factors and complications of atrial fibrillation
(AF) is based mostly on studies that have evaluated AF in a binary fashion
(present or absent) and have not investigated AF burden. This scientific
statement discusses the published literature and knowledge gaps related to
methods of defining and measuring AF burden, the relationship of AF burden to
cardiovascular and neurological outcomes, and the effect of lifestyle and risk
factor modification on AF burden. Many studies examine outcomes by AF burden
classified by AF type (paroxysmal versus nonparoxysmal); however, quantitatively,
AF burden can be defined by longest duration, number of AF episodes during a
monitoring period, and the proportion of time an individual is in AF during a
monitoring period (expressed as a percentage). Current guidelines make identical
recommendations for anticoagulation regardless of AF pattern or burden; however,
a review of recent evidence suggests that higher AF burden is associated with
higher risk of stroke. It is unclear whether the risk increases continuously or
whether a threshold exists; if a threshold exists, it has not been defined.
Higher burden of AF is also associated with higher prevalence and incidence of
heart failure and higher risk of mortality, but not necessarily lower quality of
life. A structured and comprehensive risk factor management program targeting
risk factors, weight loss, and maintenance of a healthy weight appears to be
effective in reducing AF burden. Despite this growing understanding of AF burden,
research is needed into validation of definitions and measures of AF burden,
determination of the threshold of AF burden that results in an increased risk of
stroke that warrants anticoagulation, and discovery of the mechanisms underlying
the weak temporal correlations of AF and stroke. Moreover, developments in
monitoring technologies will likely change the landscape of long-term AF
monitoring and could allow better definition of the significance of changes in AF
burden over time.
PMID- 29661947
TI - Return of Oral Anticoagulation in Chronic Stable Coronary Disease.
PMID- 29661948
TI - Continuing Conundrum of Multiple Arterial Conduits for Coronary Artery Bypass
Grafting.
PMID- 29661945
TI - Cigarette Smoking and Incident Heart Failure: Insights From the Jackson Heart
Study.
AB - BACKGROUND: Cigarette smoking has been linked with several factors associated
with cardiac dysfunction. We hypothesized that cigarette smoking is associated
with left ventricular (LV) structure and function, and incident heart failure
(HF) hospitalization. METHODS: We investigated 4129 (never smoker n=2884, current
smoker n=503, and former smoker n=742) black participants (mean age, 54 years;
63% women) without a history of HF or coronary heart disease at baseline in the
Jackson Heart Study. We examined the relationships between cigarette smoking and
LV structure and function by using cardiac magnetic resonance imaging among 1092
participants, cigarette smoking and brain natriuretic peptide levels among 3325
participants, and incident HF hospitalization among 3633 participants with
complete data. RESULTS: After adjustment for confounding factors, current smoking
was associated with higher mean LV mass index and lower mean LV circumferential
strain (P<0.05, for both) in comparison with never smoking. Smoking status,
intensity, and burden were associated with higher mean brain natriuretic peptide
levels (all P<0.05). Over 8.0 years (7.7-8.0) median follow-up, there were 147
incident HF hospitalizations. After adjustment for traditional risk factors and
incident coronary heart disease, current smoking (hazard ratio, 2.82; 95%
confidence interval, 1.71-4.64), smoking intensity among current smokers (>=20
cigarettes/d: hazard ratio, 3.48; 95% confidence interval, 1.65-7.32), and
smoking burden among ever smokers (>=15 pack-years: hazard ratio, 2.06; 95%
confidence interval, 1.29-3.3) were significantly associated with incident HF
hospitalization in comparison with never smoking. CONCLUSIONS: In blacks,
cigarette smoking is an important risk factor for LV hypertrophy, systolic
dysfunction, and incident HF hospitalization even after adjusting for effects on
coronary heart disease.
PMID- 29661949
TI - Getting to Dry.
PMID- 29661950
TI - Why Don't We Kill 2 Birds with 1 Stone? Less Adverse Cardiac Events and Improved
Survival With Multiarterial Coronary Artery Bypass Grafting.
PMID- 29661951
TI - Role of Invasive Functional Assessment in Surgical Revascularization of Coronary
Artery Disease.
AB - In patients with stable coronary artery disease, percutaneous coronary
intervention is associated with improved outcomes if the lesion is deemed
significant by invasive functional assessment using fractional flow reserve.
Recent studies have shown that a revascularization strategy using instantaneous
wave-free ratio is noninferior to fractional flow reserve in patients with
intermediate-grade stenoses. The decision to perform coronary artery bypass
grafting surgery is usually based on anatomic assessment of stenosis severity by
coronary angiography. The data on the role of invasive functional assessment in
guiding surgical revascularization are limited. In this review, we discuss the
diagnostic and prognostic significance of invasive functional assessment in
patients considered for coronary artery bypass grafting. In addition, we
critically discuss ongoing and future clinical trials on the role of invasive
functional assessment in surgical revascularization.
PMID- 29661952
TI - Shifting Landscape for Bundled Payments for Heart Conditions.
PMID- 29661953
TI - Cardiac Arrest With ST-Segment-Elevation in V1 and V2: Differential Diagnosis.
PMID- 29661954
TI - Inpatient Echocardiography Use for Common Cardiovascular Conditions.
PMID- 29661955
TI - Letter by Bulluck and Hausenloy Regarding Article, "Dynamic Edematous Response of
the Human Heart to Myocardial Infarction: Implications for Assessing Myocardial
Area at Risk and Salvage".
PMID- 29661956
TI - Letter by Sacha and Feusette Regarding Article, "Dynamic Edematous Response of
the Human Heart to Myocardial Infarction: Implications for Assessing Myocardial
Area at Risk and Salvage".
PMID- 29661957
TI - Letter by Stiermaier et al Regarding Article, "Dynamic Edematous Response of the
Human Heart to Myocardial Infarction: Implications for Assessing Myocardial Area
at Risk and Salvage".
PMID- 29661958
TI - Response by Fernandez-Jimenez et al to Letters Regarding Article, "Dynamic
Edematous Response of the Human Heart to Myocardial Infarction: Implications for
Assessing Myocardial Area at Risk and Salvage".
PMID- 29661959
TI - Letter by Jin-shan and Xue-bin Regarding Article, "Cardioprotective Role of Tumor
Necrosis Factor Receptor-Associated Factor 2 by Suppressing Apoptosis and
Necroptosis".
PMID- 29661960
TI - Response by Liu to Letter Regarding Article, "Cardioprotective Role of Tumor
Necrosis Factor Receptor-Associated Factor 2 by Suppressing Apoptosis and
Necroptosis".
PMID- 29661961
TI - Letter by Mewton et al Regarding Article, "Validity of Cardiovascular Data From
Electronic Sources: The Multi-Ethnic Study of Atherosclerosis and HealthLNK".
PMID- 29661962
TI - Response by Ahmad et al to Letter Regarding Article, "Validity of Cardiovascular
Data From Electronic Sources: The Multi-Ethnic Study of Atherosclerosis and
HealthLNK".
PMID- 29661963
TI - Obesity suppresses tumor attributable PSA, affecting risk categorization.
AB - Obesity is linked with more aggressive prostate cancer and higher rates of
disease recurrence post treatment. It is unclear if this is due to specific tumor
promoting effects of obesity or diagnostic bias. Patients undergoing
prostatectomy were categorized according to their body mass index (BMI). Expected
prostate-specific antigen (PSA) levels were calculated for each patient based on
tumor characteristics. The effect of obesity on the accuracy of pre-treatment
risk categorization was determined, and mediation analysis was used to identify
the contribution of biologic vs non-biologic mechanisms to the observed increased
risk of biochemical recurrence. Residual tumor-promoting effects were estimated
in a survival model controlling for diagnostic error. The following results were
obtained. The analysis included 1587 patients. Despite similar rates of adverse
pathological features at prostatectomy, biochemical recurrence rates were
significantly higher in very obese patients, which persisted after adjustment for
stage, grade and PSA. Tumor volume however correlated significantly with BMI (P =
0.004), and the difference in predicted and observed 'tumor-attributable' PSA
(Delta-PSA) in very obese patients was greater than three times higher than that
of healthy patients (P = 0.0067). Regression analysis indicated that the effect
of BMI on tumor volume was fully mediated indirectly by its effect on PSA.
Inclusion of this diagnostic error as a covariate in the survival analysis
attenuated the effect of BMI on recurrence. In conclusion, being very obese
suppresses tumor-associated PSA resulting in a diagnostic bias that is
responsible for errors in risk classification, and potentially contributes to a
delay in initial presentation.
PMID- 29661964
TI - Refinement of Spatial Receptive Fields in the Developing Mouse Lateral Geniculate
Nucleus Is Coordinated with Excitatory and Inhibitory Remodeling.
AB - Receptive field properties of individual visual neurons are dictated by the
precise patterns of synaptic connections they receive, including the arrangement
of inputs in visual space and features such as polarity (On vs Off). The inputs
from the retina to the lateral geniculate nucleus (LGN) in the mouse undergo
significant refinement during development. However, it is unknown how this
refinement corresponds to the establishment of functional visual response
properties. Here we conducted in vivo and in vitro recordings in the mouse LGN,
beginning just after natural eye opening, to determine how receptive fields
develop as excitatory and feedforward inhibitory retinal afferents refine.
Experiments used both male and female subjects. For in vivo assessment of
receptive fields, we performed multisite extracellular recordings in awake mice.
Spatial receptive fields at eye-opening were >2 times larger than in adulthood,
and decreased in size over the subsequent week. This topographic refinement was
accompanied by other spatial changes, such as a decrease in spot size preference
and an increase in surround suppression. Notably, the degree of specificity in
terms of On/Off and sustained/transient responses appeared to be established
already at eye opening and did not change. We performed in vitro recordings of
the synaptic responses evoked by optic tract stimulation across the same time
period. These recordings revealed a pairing of decreased excitatory and increased
feedforward inhibitory convergence, providing a potential mechanism to explain
the spatial receptive field refinement.SIGNIFICANCE STATEMENT The development of
precise patterns of retinogeniculate connectivity has been a powerful model
system for understanding the mechanisms underlying the activity-dependent
refinement of sensory systems. Here we link the maturation of spatial receptive
field properties in the lateral geniculate nucleus (LGN) to the remodeling of
retinal and inhibitory feedforward convergence onto LGN neurons. These findings
should thus provide a starting point for testing the cell type-specific
plasticity mechanisms that lead to refinement of different excitatory and
inhibitory inputs, and for determining the effect of these mechanisms on the
establishment of mature receptive fields in the LGN.
PMID- 29661965
TI - Neural Activity in Ventral Medial Prefrontal Cortex Is Modulated More Before
Approach Than Avoidance During Reinforced and Extinction Trial Blocks.
AB - Ventromedial prefrontal cortex (vmPFC) is thought to provide regulatory control
over Pavlovian fear responses and has recently been implicated in appetitive
approach behavior, but much less is known about its role in contexts in which
appetitive and aversive outcomes can be obtained and avoided, respectively. To
address this issue, we recorded from single neurons in vmPFC while male rats
performed our combined approach and avoidance task under reinforced and non
reinforced (extinction) conditions. Surprisingly, we found that cues predicting
reward modulated cell firing in vmPFC more often and more robustly than cues
preceding avoidable shock; in addition, firing of vmPFC neurons was both response
(press or no-press) and outcome (reinforced or extinction) selective. These
results suggest a complex role for vmPFC in regulating behavior and support its
role in appetitive contexts during both reinforced and non-reinforced
conditions.SIGNIFICANCE STATEMENT Selecting context-appropriate behaviors to gain
reward or avoid punishment is critical for survival. Although the role of
ventromedial prefrontal cortex (vmPFC) in mediating fear responses is well
established, vmPFC has also been implicated in the regulation of reward-guided
approach and extinction. Many studies have used indirect methods and simple
behavioral procedures to study vmPFC, which leaves the literature incomplete. We
recorded vmFPC neural activity during a complex cue-driven combined approach and
avoidance task and during extinction. Surprisingly, we found very little vmPFC
modulation to cues predicting avoidable shock, whereas cues predicting reward
approach robustly modulated vmPFC firing in a response- and outcome-selective
manner. This suggests a more complex role for vmPFC than current theories
suggest, specifically regarding context-specific behavioral optimization.
PMID- 29661966
TI - Pallidal Deep-Brain Stimulation Disrupts Pallidal Beta Oscillations and Coherence
with Primary Motor Cortex in Parkinson's Disease.
AB - In Parkinson's disease (PD), subthalamic nucleus beta band oscillations are
decreased by therapeutic deep-brain stimulation (DBS) and this has been proposed
as important to the mechanism of therapy. The globus pallidus is a common
alternative target for PD with similar motor benefits as subthalamic DBS, but
effects of pallidal stimulation in PD are not well studied, and effects of
pallidal DBS on cortical function in PD are unknown. Here, in 20 PD and 14
isolated dystonia human patients of both genders undergoing pallidal DBS lead
implantation, we recorded local field potentials from the globus pallidus and in
a subset of these, recorded simultaneous sensorimotor cortex ECoG potentials. PD
patients had elevated resting pallidal low beta band (13-20 Hz) power compared
with dystonia patients, whereas dystonia patients had elevated resting pallidal
theta band (4-8 Hz) power compared with PD. We show that this results in disease
specific patterns of interaction between the pallidum and motor cortex: PD
patients demonstrated relatively elevated phase coherence with the motor cortex
in the beta band and this was reduced by therapeutic pallidal DBS. Dystonia
patients had greater theta band phase coherence. Our results support the
hypothesis that specific motor phenomenology observed in movement disorders are
associated with elevated network oscillations in specific frequency bands, and
that DBS in movement disorders acts in general by disrupting elevated
synchronization between basal ganglia output and motor cortex.SIGNIFICANCE
STATEMENT Perturbations in synchronized oscillatory activity in brain networks
are increasingly recognized as important features in movement disorders. The
globus pallidus is a commonly used target for deep-brain stimulation (DBS) in
Parkinson's disease (PD), however, the effects of pallidal DBS on basal ganglia
and cortical oscillations are unknown. Using invasive intraoperative recordings
in patients with PD and isolated dystonia, we found disease-specific patterns of
elevated oscillatory synchronization within the pallidum and in coherence between
pallidum and motor cortex. Therapeutic pallidal DBS in PD suppresses these
elevated synchronizations, reducing the influence of diseased basal ganglia on
cortical physiology. We propose a general mechanism for DBS therapy in movement
disorders: functional disconnection of basal ganglia output and motor cortex by
coherence suppression.
PMID- 29661968
TI - Correction: Oral pharmacological chaperone migalastat compared with enzyme
replacement therapy in Fabry disease: 18-month results from the randomised phase
III ATTRACT study.
PMID- 29661967
TI - Detachment of Chain-Forming Neuroblasts by Fyn-Mediated Control of cell-cell
Adhesion in the Postnatal Brain.
AB - In the rodent olfactory system, neuroblasts produced in the ventricular
subventricular zone of the postnatal brain migrate tangentially in chain-like
cell aggregates toward the olfactory bulb (OB) through the rostral migratory
stream (RMS). After reaching the OB, the chains are dissociated and the
neuroblasts migrate individually and radially toward their final destination. The
cellular and molecular mechanisms controlling cell-cell adhesion during this
detachment remain unclear. Here we report that Fyn, a nonreceptor tyrosine
kinase, regulates the detachment of neuroblasts from chains in the male and
female mouse OB. By performing chemical screening and in vivo loss-of-function
and gain-of-function experiments, we found that Fyn promotes somal disengagement
from the chains and is involved in neuronal migration from the RMS into the
granule cell layer of the OB. Fyn knockdown or Dab1 (disabled-1) deficiency
caused p120-catenin to accumulate and adherens junction-like structures to be
sustained at the contact sites between neuroblasts. Moreover, a Fyn and N
cadherin double-knockdown experiment indicated that Fyn regulates the N-cadherin
mediated cell adhesion between neuroblasts. These results suggest that the Fyn
mediated control of cell-cell adhesion is critical for the detachment of chain
forming neuroblasts in the postnatal OB.SIGNIFICANCE STATEMENT In the postnatal
brain, newly born neurons (neuroblasts) migrate in chain-like cell aggregates
toward their destination, where they are dissociated into individual cells and
mature. The cellular and molecular mechanisms controlling the detachment of
neuroblasts from chains are not understood. Here we show that Fyn, a nonreceptor
tyrosine kinase, promotes the somal detachment of neuroblasts from chains, and
that this regulation is critical for the efficient migration of neuroblasts to
their destination. We further show that Fyn and Dab1 (disabled-1) decrease the
cell-cell adhesion between chain-forming neuroblasts, which involves adherens
junction-like structures. Our results suggest that Fyn-mediated regulation of the
cell-cell adhesion of neuroblasts is critical for their detachment from chains in
the postnatal brain.
PMID- 29661969
TI - Mutations in plasmalemma vesicle-associated protein cause severe syndromic
protein-losing enteropathy.
AB - BACKGROUND: Protein-losing enteropathy (PLE) is characterised by gastrointestinal
protein leakage due to loss of mucosal integrity or lymphatic abnormalities. PLE
can manifest as congenital diarrhoea and should be differentiated from other
congenital diarrhoeal disorders. Primary PLEs are genetically heterogeneous and
the underlying genetic defects are currently emerging. OBJECTIVES: We report an
infant with fatal PLE for whom we aimed to uncover the underlying pathogenic
mutation. METHODS: We performed whole exome sequencing (WES) for the index
patient. Variants were classified based on the American College of Medical
Genetics and Genomics guidelines. WES results and our detailed clinical
description of the patient were compared with the literature. RESULTS: We
discovered a novel homozygous stop mutation (c.988C>T, p.Q330*) in the
Plasmalemma Vesicle-Associated Protein (PLVAP) gene in a newborn with fatal PLE,
facial dysmorphism, and renal, ocular and cardiac anomalies. The Q330* mutation
is predicted to result in complete loss of PLVAP protein expression leading to
deletion of the diaphragms of endothelial fenestrae, resulting in plasma protein
extravasation and PLE. Recently, another single homozygous stop mutation in PLVAP
causing lethal PLE in an infant was reported. CONCLUSIONS: Our findings validate
PLVAP mutations as a cause of syndromic PLE. Prenatal anomalies, severe PLE and
syndromic features may guide the diagnosis of this rare disease.
PMID- 29661970
TI - Consensus for genes to be included on cancer panel tests offered by UK genetics
services: guidelines of the UK Cancer Genetics Group.
AB - Genetic testing for hereditary cancer predisposition has evolved rapidly in
recent years with the discovery of new genes, but there is much debate over the
clinical utility of testing genes for which there are currently limited data
regarding the degree of associated cancer risk. To address the discrepancies that
have arisen in the provision of these tests across the UK, the UK Cancer Genetics
Group facilitated a 1-day workshop with representation from the majority of
National Health Service (NHS) clinical genetics services. Using a preworkshop
survey followed by focused discussion of genes without prior majority agreement
for inclusion, we achieved consensus for panels of cancer genes with sufficient
evidence for clinical utility, to be adopted by all NHS genetics services. To
support consistency in the delivery of these tests and advice given to families
across the country, we also developed management proposals for individuals who
are found to have pathogenic mutations in these genes. However, we fully
acknowledge that the decision regarding what test is most appropriate for an
individual family rests with the clinician, and will depend on factors including
specific phenotypic features and the family structure.
PMID- 29661971
TI - CM-Score: a validated scoring system to predict CDKN2A germline mutations in
melanoma families from Northern Europe.
AB - BACKGROUND: Several factors have been reported that influence the probability of
a germline CDKN2A mutation in a melanoma family. Our goal was to create a scoring
system to estimate this probability, based on a set of clinical features present
in the patient and his or her family. METHODS: Five clinical features and their
association with CDKN2A mutations were investigated in a training cohort of 1227
Dutch melanoma families (13.7% with CDKN2A mutation) using multivariate logistic
regression. Predefined features included number of family members with melanoma
and with multiple primary melanomas, median age at diagnosis and presence of
pancreatic cancer or upper airway cancer in a family member. Based on these five
features, a scoring system (CDKN2A Mutation(CM)-Score) was developed and
subsequently validated in a combined Swedish and Dutch familial melanoma cohort
(n=421 families; 9.0% with CDKN2A mutation). RESULTS: All five features were
significantly associated (p<0.05) with a CDKN2A mutation. At a CM-Score of 16 out
of 49 possible points, the threshold of 10% mutation probability is approximated
(9.9%; 95% CI 9.8 to 10.1). This probability further increased to >90% for
families with >=36 points. A CM-Score under 16 points was associated with a low
mutation probability (<=4%). CM-Score performed well in both the training cohort
(area under the curve (AUC) 0.89; 95% CI 0.86 to 0.92) and the external
validation cohort (AUC 0.94; 95% CI 0.90 to 0.98). CONCLUSION: We developed a
practical scoring system to predict CDKN2A mutation status among melanoma-prone
families. We suggest that CDKN2A analysis should be recommended to families with
a CM-Score of >=16 points.
PMID- 29661972
TI - Gravitational-wave astronomy: delivering on the promises.
AB - Now that LIGO and Virgo have begun to detect gravitational-wave events with
regularity, the field of gravitational-wave astronomy is beginning to realize its
promise. Binary black holes and, very recently, binary neutron stars have been
observed, and we are already learning much from them. The future, with improved
sensitivity, more detectors and detectors like LISA in different frequency bands,
has even more promise to open a completely hidden side of the Universe to our
exploration.This article is part of a discussion meeting issue 'The promises of
gravitational-wave astronomy'.
PMID- 29661973
TI - Quasi-monolithic mirror suspensions in ground-based gravitational-wave detectors:
an overview and look to the future.
AB - At the commencement of a new era in astrophysics, with added information from
direct detections of gravitational-wave (GW) signals, this paper is a testament
to the quasi-monolithic suspensions of the test masses of the GW detectors that
have enabled the opening of a new window on the universe. The quasi-monolithic
suspensions are the final stages in the seismic isolation of the test masses in
GW detectors, and are specifically designed to introduce as little thermal noise
as possible. The history of the development of the fused-silica quasi-monolithic
suspensions, which have been so essential for the first detections of GWs, is
outlined and a glimpse into the status of research towards quasi-monolithic
suspensions made of sapphire and silicon is given.This article is part of a
discussion meeting issue 'The promises of gravitational-wave astronomy'.
PMID- 29661974
TI - Development of mirror coatings for gravitational-wave detectors.
AB - Gravitational waves are detected by measuring length changes between mirrors in
the arms of kilometre-long Michelson interferometers. Brownian thermal noise
arising from thermal vibrations of the mirrors can limit the sensitivity to
distance changes between the mirrors, and, therefore, the ability to measure
gravitational-wave signals. Thermal noise arising from the highly reflective
mirror coatings will limit the sensitivity both of current detectors (when they
reach design performance) and of planned future detectors. Therefore, the
development of coatings with low thermal noise, which at the same time meet
strict optical requirements, is of great importance. This article gives an
overview of the current status of coatings and of the different approaches for
coating improvement.This article is part of a discussion meeting issue 'The
promises of gravitational-wave astronomy'.
PMID- 29661975
TI - Gravitational waves from neutron stars and asteroseismology.
AB - Neutron stars are born in the supernova explosion of massive stars. Neutron stars
rotate as stably as atomic clocks and possess densities exceeding that of atomic
nuclei and magnetic fields millions to billions of times stronger than those
created in laboratories on the Earth. The physical properties of neutron stars
are determined by many areas of fundamental physics, and detection of
gravitational waves can provide invaluable insights into our understanding of
these areas. Here, we describe some of the physics and astrophysics of neutron
stars and how traditional electromagnetic wave observations provide clues to the
sorts of gravitational waves we expect from these stars. We pay particular
attention to neutron star fluid oscillations, examining their impact on
electromagnetic and gravitational wave observations when these stars are in a
wide binary or isolated system, then during binary inspiral right before merger,
and finally at times soon after merger.This article is part of a discussion
meeting issue 'The promises of gravitational-wave astronomy'.
PMID- 29661976
TI - Characterizing transient noise in the LIGO detectors.
AB - Data from the LIGO detectors typically contain many non-Gaussian noise transients
which arise due to instrumental and environmental conditions. These non-Gaussian
transients can be an issue for the modelled and unmodelled transient
gravitational-wave searches, as they can mask or mimic a true signal. Data
quality can change quite rapidly, making it imperative to track and find new
sources of transient noise so that data are minimally contaminated. Several
examples of transient noise and the tools used to track them are presented. These
instances serve to highlight the diverse range of noise sources present at the
LIGO detectors during their second observing run.This article is part of a
discussion meeting issue 'The promises of gravitational-wave astronomy'.
PMID- 29661977
TI - Gravitational wave detection using laser interferometry beyond the standard
quantum limit.
AB - Interferometric gravitational wave detectors (such as advanced LIGO) employ high
power solid-state lasers to maximize their detection sensitivity and hence their
reach into the universe. These sophisticated light sources are ultra-stabilized
with regard to output power, emission frequency and beam geometry; this is
crucial to obtain low detector noise. However, even when all laser noise is
reduced as far as technically possible, unavoidable quantum noise of the laser
still remains. This is a consequence of the Heisenberg Uncertainty Principle, the
basis of quantum mechanics: in this case, it is fundamentally impossible to
simultaneously reduce both the phase noise and the amplitude noise of a laser to
arbitrarily low levels. This fact manifests in the detector noise budget as two
distinct noise sources-photon shot noise and quantum radiation pressure noise
which together form a lower boundary for current-day gravitational wave detector
sensitivities, the standard quantum limit of interferometry. To overcome this
limit, various techniques are being proposed, among them different uses of non
classical light and alternative interferometer topologies. This article explains
how quantum noise enters and manifests in an interferometric gravitational wave
detector, and gives an overview of some of the schemes proposed to overcome this
seemingly fundamental limitation, all aimed at the goal of higher gravitational
wave event detection rates.This article is part of a discussion meeting issue
'The promises of gravitational-wave astronomy'.
PMID- 29661979
TI - Microelectromechanical system gravimeters as a new tool for gravity imaging.
AB - A microelectromechanical system (MEMS) gravimeter has been manufactured with a
sensitivity of 40 ppb in an integration time of 1 s. This sensor has been used to
measure the Earth tides: the elastic deformation of the globe due to tidal
forces. No such measurement has been demonstrated before now with a MEMS
gravimeter. Since this measurement, the gravimeter has been miniaturized and
tested in the field. Measurements of the free-air and Bouguer effects have been
demonstrated by monitoring the change in gravitational acceleration measured
while going up and down a lift shaft of 20.7 m, and up and down a local hill of
275 m. These tests demonstrate that the device has the potential to be a useful
field-portable instrument. The development of an even smaller device is underway,
with a total package size similar to that of a smartphone.This article is part of
a discussion meeting issue 'The promises of gravitational-wave astronomy'.
PMID- 29661980
TI - The prospects of pulsar timing with new-generation radio telescopes and the
Square Kilometre Array.
AB - Pulsars are highly magnetized and rapidly rotating neutron stars. As they spin,
the lighthouse-like beam of radio emission from their magnetic poles sweeps
across the Earth with a regularity approaching that of the most precise clocks
known. This precision combined with the extreme environments in which they are
found, often in compact orbits with other neutron stars and white dwarfs, makes
them excellent tools for studying gravity. Present and near-future pulsar
surveys, especially those using the new generation of telescopes, will find more
extreme binary systems and pulsars that are more precise 'clocks'. These
telescopes will also greatly improve the precision to which we can measure the
arrival times of the pulses. The Square Kilometre Array will revolutionize pulsar
searches and timing precision. The increased number of sources will reveal rare
sources, including possibly a pulsar-black hole binary, which can provide the
most stringent tests of strong-field gravity. The improved timing precision will
reveal new phenomena and also allow us to make a detection of gravitational waves
in the nanohertz frequency regime. It is here where we expect to see the
signature of the binary black holes that are formed as galaxies merge throughout
cosmological history.This article is part of a discussion meeting issue 'The
promises of gravitational-wave astronomy'.
PMID- 29661978
TI - Control of cell behaviour through nanovibrational stimulation: nanokicking.
AB - Mechanical signals are ubiquitous in our everyday life and the process of
converting these mechanical signals into a biological signalling response is
known as mechanotransduction. Our understanding of mechanotransduction, and its
contribution to vital cellular responses, is a rapidly expanding field of
research involving complex processes that are still not clearly understood. The
use of mechanical vibration as a stimulus of mechanotransduction, including
variation of frequency and amplitude, allows an alternative method to control
specific cell behaviour without chemical stimulation (e.g. growth factors).
Chemical-independent control of cell behaviour could be highly advantageous for
fields including drug discovery and clinical tissue engineering. In this review,
a novel technique is described based on nanoscale sinusoidal vibration. Using
finite-element analysis in conjunction with laser interferometry, techniques that
are used within the field of gravitational wave detection, optimization of
apparatus design and calibration of vibration application have been performed. We
further discuss the application of nanovibrational stimulation, or 'nanokicking',
to eukaryotic and prokaryotic cells including the differentiation of mesenchymal
stem cells towards an osteoblast cell lineage. Mechanotransductive mechanisms are
discussed including mediation through the Rho-A kinase signalling pathway.
Optimization of this technique was first performed in two-dimensional culture
using a simple vibration platform with an optimal frequency and amplitude of 1
kHz and 22 nm. A novel bioreactor was developed to scale up cell production, with
recent research demonstrating that mesenchymal stem cell differentiation can be
efficiently triggered in soft gel constructs. This important step provides first
evidence that clinically relevant (three-dimensional) volumes of osteoblasts can
be produced for the purpose of bone grafting, without complex scaffolds and/or
chemical induction. Initial findings have shown that nanovibrational stimulation
can also reduce biofilm formation in a number of clinically relevant bacteria.
This demonstrates additional utility of the bioreactor to investigate
mechanotransduction in other fields of research.This article is part of a
discussion meeting issue 'The promises of gravitational-wave astronomy'.
PMID- 29661981
TI - High-energy astrophysics and the search for sources of gravitational waves.
AB - The dawn of the gravitational-wave (GW) era has sparked a greatly renewed
interest into possible links between sources of high-energy radiation and GWs.
The most luminous high-energy sources-gamma-ray bursts (GRBs)-have long been
considered as very likely sources of GWs, particularly from short-duration GRBs,
which are thought to originate from the merger of two compact objects such as
binary neutron stars and a neutron star-black hole binary. In this paper, we
discuss: (i) the high-energy emission from short-duration GRBs; (ii) what other
sources of high-energy radiation may be observed from binary mergers; and (iii)
how searches for high-energy electromagnetic counterparts to GW events are
performed with current space facilities. While current high-energy facilities,
such as Swift and Fermi, play a crucial role in the search for electromagnetic
counterparts, new space missions will greatly enhance our capabilities for joint
observations. We discuss why such facilities, which incorporate new technology
that enables very wide-field X-ray imaging, are required if we are to truly
exploit the multi-messenger era.This article is part of a discussion meeting
issue 'The promises of gravitational-wave astronomy'.
PMID- 29661982
TI - The promises of gravitational-wave astronomy.
PMID- 29661983
TI - The evolution of CpG density and lifespan in conserved primate and mammalian
promoters.
AB - Gene promoters are evolutionarily conserved across holozoans and enriched in CpG
sites, the target for DNA methylation. As animals age, the epigenetic pattern of
DNA methylation degrades, with highly methylated CpG sites gradually becoming
demethylated while CpG islands increase in methylation. Across vertebrates, aging
is a trait that varies among species. We used this variation to determine whether
promoter CpG density correlates with species' maximum lifespan. Human promoter
sequences were used to identify conserved regions in 131 mammals and a subset of
28 primate genomes. We identified approximately 1000 gene promoters (5% of the
total), that significantly correlated CpG density with lifespan. The correlations
were performed via the phylogenetic least squares method to account for trait
similarity by common descent using phylogenetic branch lengths. Gene set
enrichment analysis revealed no significantly enriched pathways or processes,
consistent with the hypothesis that aging is not under positive selection.
However, within both mammals and primates, 95% of the promoters showed a positive
correlation between increasing CpG density and species lifespan, and two thirds
were shared between the primate subset and mammalian datasets. Thus, these genes
may require greater buffering capacity against age-related dysregulation of DNA
methylation in longer-lived species.
PMID- 29661984
TI - Unrepaired DNA damage in macrophages causes elevation of particulate matter-
induced airway inflammatory response.
AB - The inflammatory cascade can be initiated with the recognition of damaged DNA.
Macrophages play an essential role in particulate matter (PM)-induced airway
inflammation. In this study, we aim to explore the PM induced DNA damage response
of macrophages and its function in airway inflammation. The DNA damage response
and inflammatory response were assessed using bone marrow-derived macrophages
following PM treatment and mouse model instilled intratracheally with PM. We
found that PM induced significant DNA damage both in vitro and in vivo and
simultaneously triggered a rapid DNA damage response, represented by nuclear RPA,
53BP1 and gammaH2AX foci formation. Genetic ablation or chemical inhibition of
the DNA damage response sensor amplified the production of cytokines including
Cxcl1, Cxcl2 and Ifn-gamma after PM stimulation in bone marrow-derived
macrophages. Similar to that seen in vitro, mice with myeloid-specific deletion
of RAD50 showed higher levels of airway inflammation in response to the PM
challenge, suggesting a protective role of DNA damage sensor during inflammation.
These data demonstrate that PM exposure induces DNA damage and activation of DNA
damage response sensor MRN complex in macrophages. Disruption of MRN complex lead
to persistent, unrepaired DNA damage that causes elevated inflammatory response.
PMID- 29661985
TI - A net-shaped multicellular formation facilitates the maturation of hPSC-derived
cardiomyocytes through mechanical and electrophysiological stimuli.
AB - The use of human-induced pluripotent stem cell-derived cardiomyocytes (hiPSC-CMs)
is limited in drug discovery and cardiac disease mechanism studies due to cell
immaturity. Although many approaches have been reported to improve the maturation
of hiPSC-CMs, the elucidation of the process of maturation is crucial. We applied
a small-molecule-based differentiation method to generate cardiomyocytes (CMs)
with multiple aggregation forms. The motion analysis revealed significant
physical differences in the differently shaped CMs, and the net-shaped CMs had
larger motion amplitudes and faster velocities than the sheet-shaped CMs. The net
shaped CMs displayed accelerated maturation at the transcriptional level and were
more similar to CMs with a prolonged culture time (30 days) than to sheet-d15.
Ion channel genes and gap junction proteins were up-regulated in net-shaped CMs,
indicating that robust contraction was coupled with enhanced ion channel and
connexin expression. The net-shaped CMs also displayed improved myofibril
ultrastructure under transmission electron microscopy. In conclusion, different
multicellular hPSC-CM structures, such as the net-shaped pattern, are formed
using the conditioned induction method, providing a useful tool to improve
cardiac maturation.
PMID- 29661986
TI - Maxillary sinus mucocele with orbital complications.
AB - INTRODUCTION: Maxillary sinus mucocele is an unusual clinic entity (incidence of
3-10%), represented by mucous secretion lined by respiratory stratified
pavemented epithelium and its origin is mainly secondary to infections,
inflammations, surgery, trauma or neoplasia. CASE REPORT: We present a case of
maxillary sinus mucocele. Subject of the study is a 74 aged patient referring
positive anamnesis for nasal cavity surgery. His symptoms appeared six months ago
and he complainted vertical ocular diplopia and ocular tension sensation, without
rhinologic complaints. Oculistic examination confirmed an isolated left inferior
rectus palsy, and CT showed mucous secretion occupying left maxillary sinus, with
bone erosion to the orbital floor until orbital muscles. MRI is the gold standard
for differential diagnosis with neoplastic lesions but the patient refused to
perform this radio-diagnostic exam. He underwent to Endoscopic sinus surgery,
consisting in middle meatal antrostomy and removal of the mucocele. Four months
later the endoscopic follow-up showed regular maxillary cavity and regular ocular
motility. CONCLUSION: In our opinion the rebuilding of the eroded bone is not
mandatory if the integrity of the maxillary upper wall mucosa is respected, and
antrostomy with drainage allows to recover ocular and paranasal sinus function.
KEY WORDS:, Diplopia, Endoscopic sinus surgery.
PMID- 29661987
TI - Review of the Latest Percutaneous Devices in Critical Limb Ischemia.
AB - Critical limb ischemia (CLI) is a terminal stage of peripheral arterial disease
that, in the absence of intervention, may lead to lower extremity amputation or
death. Endovascular interventions have become a first-line approach to the
management of CLI and have advanced considerably within the past decade. This
review summarizes the types of percutaneous devices and the techniques that are
available for the management of CLI and the data supporting their use. These
include devices that establish and maintain vessel patency, including
percutaneous transluminal angioplasty, drug-coated balloons, bare metal stents,
drug-eluting stents, bioresorbable vascular scaffolds, and atherectomy; devices
that provide protection from embolization; and, cell-based therapies.
Additionally, ongoing trials with important implications for the field are
discussed.
PMID- 29661988
TI - The Effect of Axon Resealing on Retrograde Neuronal Death after Spinal Cord
Injury in Lamprey.
AB - Failure of axon regeneration in the central nervous system (CNS) of mammals is
due to both extrinsic inhibitory factors and to neuron-intrinsic factors. The
importance of intrinsic factors is illustrated in the sea lamprey by the 18 pairs
of large, individually identified reticulospinal (RS) neurons, whose axons are
located in the same spinal cord tracts but vary greatly in their ability to
regenerate after spinal cord transection (TX). The neurons that are bad
regenerators also undergo very delayed apoptosis, signaled early by activation of
caspases. We noticed that the neurons with a low probability of axon regeneration
tend to be larger than the good regenerators. We postulate that the poorly
regenerating larger neurons have larger caliber axons, which reseal more slowly,
allowing more prolonged entry of toxic signals (e.g., Ca++) into the axon at the
injury site. To test this hypothesis, we used a dye-exclusion assay, applying
membrane-impermeable dyes to the cut ends of spinal cords at progressively longer
post-TX intervals. Axons belonging to the very small neurons (not individually
identified) of the medial inferior RS nucleus resealed within 15 min post-TX.
Almost 75% of axons belonging to the medium-sized identified RS neurons resealed
within 3 h. At this time, only 36% of the largest axons had resealed, often
taking more than 24 h to exclude the dye. There was an inverse relationship
between an RS neuron's size and the probability that its axon would regenerate (r
= -0.92) and that the neuron would undergo delayed apoptosis, as indicated by
staining with a fluorescently labeled inhibitor of caspases (FLICA; r = 0.73).
The artificial acceleration of resealing with polyethylene glycol (PEG) reduced
retrograde neuronal apoptosis by 69.5% at 2 weeks after spinal cord injury (SCI),
suggesting that axon resealing is a critical determinant of cell survival. Ca++
free Ringer's solution with EGTA prolonged the sealing time and increased
apoptotic signaling, suggesting that factors other than Ca++ diffusion into the
injured tip contribute to retrograde death signaling. A longer distance of the
lesion from the cell body reduced apoptotic signaling independent of the axon
sealing time.
PMID- 29661989
TI - Preconcentration and Determination of Perfluoroalkyl Substances (PFASs) in Water
Samples by Bamboo Charcoal-Based Solid-Phase Extraction Prior to Liquid
Chromatography-Tandem Mass Spectrometry.
AB - In this work, bamboo charcoal was used as solid-phase extraction adsorbent for
the enrichment of six perfluoroalkyl acids (PFAAs) in environmental water samples
before liquid chromatography-tandem mass spectrometry analysis. The specific
porous structure, high specific surface area, high porosity, and stability of
bamboo charcoal were characterized. Several experimental parameters which
considerably affect extraction efficiency were investigated and optimized in
detail. The experimental data exhibited low limits of detection (LODs) (0.01-1.15
ng/L), wide linear range (2-3 orders of magnitude and R >= 0.993) within the
concentration range of 0.1-1000 ng/L, and good repeatability (2.7-5.0%, n = 5
intraday and 4.8-8.3%, n = 5 interday) and reproducibility (5.3-8.0%, n = 3).
Bamboo charcoal was successfully used for the enrichment and determination of
PFAAs in real environmental water samples. The bamboo charcoal-based solid-phase
extraction coupled with liquid chromatography-tandem mass spectrometry analysis
possessed great potential in the determination of trace PFAA levels in
environmental water samples.
PMID- 29661990
TI - Effect of Traffic Noise and Relaxations Sounds on Pedestrian Walking Speed.
AB - Exposure to noise in everyday urban life is considered to be an environmental
stressor. A specific outcome of reactions to environmental stress is a fast pace
of life that also includes a faster pedestrian walking speed. The present study
examined the effect of listening to annoying acoustical stimuli (traffic noise)
compared with relaxation sounds (forest birdsong) on walking speed in a real
outdoor urban environment. The participants (N = 83) walked along an urban route
of 1.8 km. They listened to either traffic noise or forest birdsong, or they
walked without listening to any acoustical stimuli in the control condition. The
results showed that participants listening to traffic noise walked significantly
faster on the route than both the participants listening to forest birdsong
sounds and the participants in the control condition. Participants who listened
to forest birdsong walked slightly slower than those under control conditions;
however, this difference was not significant. Analysis of the walk experience
showed that participants who listened to forest birdsong during the walk liked
the route more than those who listened to traffic sounds. The study demonstrated
that exposure to traffic noise led to an immediate increase in walking speed. It
was also shown that exposure to noise may influence participants' perception of
an environment. The same environment may be more liked in the absence of noise or
in the presence of relaxation sounds. The study also documented the positive
effect of listening to various kinds of relaxation sounds while walking in an
outdoor environment with traffic noise.
PMID- 29661991
TI - Information to Improve Public Perceptions of the Food and Drug Administration
(FDA's) Tobacco Regulatory Role.
AB - While the Food and Drug Administration (FDA) has had regulatory authority over
tobacco products since 2009, public awareness of this authority remains limited.
This research examines several broad types of information about FDA tobacco
regulatory mission that may improve the perceptions of FDA as a tobacco
regulator. Using Amazon Mechanical Turk, 1766 adults, smokers and non-smokers,
were randomly assigned to view a statement about FDA regulatory authority that
varied three information types in a 2 * 2 * 2 between subjects experimental
design: (1) FDA's roles in regulating tobacco (yes/no); (2) The scientific basis
of regulations (yes/no); and (3) A potential protective function of regulations
(yes/no). Using factorial ANOVA, we estimated the main and interactive effects of
all three types of information and of smoking status on the perceptions of FDA.
Participants that were exposed to information on FDA roles reported higher FDA
credibility and a greater perceived knowledge of FDA than those who did not.
Exposure to information about the scientific basis of regulations led to more
negative views of the tobacco industry. Participants who learned of the FDA's
commitment to protecting the public reported higher FDA credibility and more
positive attitudes toward regulations than those who did not learn of this
commitment. We observed no significant interaction effects. The findings suggest
that providing information about the regulatory roles and protective
characterization of the FDA's tobacco regulatory mission positively influence
public perceptions of FDA and tobacco regulations.
PMID- 29661992
TI - Controlled Synthesis of Cu and Cu2O NPs and Incorporation of Octahedral Cu2O NPs
in Cellulose II Films.
AB - In this study, Cu and Cu2O nanoparticles (NPs) were synthesized through chemical
reduction of soluble copper-chelating ligand complexes using formaldehyde as a
reducing agent. The influence of various chelating ligands, such as
ethylenediaminetetraacetic acid (EDTA), diethylenetriaminepentaacetic acid
(DTPA), and a surface-active derivative of DTPA (C12-DTPA), as well as
surfactants (i.e., hexadecyltrimethylammonium bromide (CTAB),
dodecyltrimethylammonium chloride (DoTAC), sodium dodecyl sulfate (SDS), and
dimethyldodecylamine-N-oxide (DDAO)), on morphology and the composition of
produced NPs was investigated. In the absence of surfactants, spherical copper
particles with polycrystalline structure could be obtained. X-ray diffraction
(XRD) analysis revealed that, in the presence of EDTA, the synthesized NPs are
mainly composed of Cu with a crystallite size on the order of 35 nm, while with
DTPA and C12-DTPA, Cu2O is also present in the NPs as a minority phase. The
addition of ionic surfactants to the copper-EDTA complex solution before
reduction resulted in smaller spherical particles, mainly composed of Cu.
However, when DDAO was added, pure Cu2O nano-octahedrons were formed, as verified
by high-resolution scanning electron microscopy (HR-SEM) and XRD. Furthermore, a
hybrid material could be successfully prepared by mixing the octahedral Cu2O NPs
with cellulose dissolved in a LiOH/urea solvent system, followed by spin-coating
on silica wafers. It is expected that this simple and scalable route to prepare
hybrid materials could be applied to a variety of possible applications.
PMID- 29661993
TI - The Cellular Mechanisms that Ensure an Efficient Secretion in Streptomyces.
AB - Gram-positive soil bacteria included in the genus Streptomyces produce a large
variety of secondary metabolites in addition to extracellular hydrolytic enzymes.
From the industrial and commercial viewpoints, the S. lividans strain has
generated greater interest as a host bacterium for the overproduction of
homologous and heterologous hydrolytic enzymes as an industrial application,
which has considerably increased scientific interest in the characterization of
secretion routes in this bacterium. This review will focus on the secretion
machinery in S. lividans.
PMID- 29661994
TI - Baseline Apparent Diffusion Coefficient as a Predictor of Response to Liver
Directed Therapies in Hepatocellular Carcinoma.
AB - Predicting outcomes in patients with hepatocellular carcinoma (HCC) who undergo
locoregional therapies remains a substantial clinical challenge. The purpose of
this study was to investigate pre-procedure diffusion weighted magnetic resonance
imaging (DW-MRI) as an imaging biomarker for tumoral response to therapy for
patients with HCC undergoing drug eluting embolic (DEE) chemoembolization and
radioembolization. A retrospective review of HCC patients who underwent DEE
chemoembolization or radioembolization was performed. Of the 58 patients who
comprised the study population, 32 underwent DEE chemoembolization and 26
underwent radioembolization. There was no significant difference in median
apparent diffusion coefficient (ADC) values across the two treatment groups (1.01
* 10-3 mm2/s, P = 0.25). The immediate objective response (OR) rate was 71%
(40/56). Tumors with high ADC values were found to have a higher probability of
OR within 90 days (odds ratio 4.4, P = 0.03). Moreover, index lesion specific
progression free survival (PFS) was greater for high ADC tumors, independent of
conventional predictors of treatment response (hazard ratio 0.44, P = 0.01). Low
ADC was associated with poorer PFS (P = 0.02). Pre-procedure ADC < 1.01 * 10-3
mm2/s is an independent predictor of poorer immediate OR and index lesion
specific PFS in patients with HCC undergoing DEE chemoembolization or
radioembolization.
PMID- 29661995
TI - Greater Glycaemic Response to an Oral Glucose Load in Healthy, Lean, Active and
Young Chinese Adults Compared to Matched Caucasians.
AB - There are ethnic differences recorded in glycaemic response and rates of type 2
diabetes mellitus (DM) between Chinese and Caucasian populations. Whether these
differences are evident in matched healthy, lean, active, young adults is
unclear. This study compares the postprandial glycaemic response of a group of
Chinese participants (n = 49) with a group of similar Caucasians, (n = 48) aged
23.8 (+/-4.35 years), body mass index (BMI) 22.7 (+/-2.6) kg/m2, healthy (free
from non-communicable disease), and lean (body fat % 23.28% (+/-5.04)).
Participants undertook an oral glucose tolerance test to identify any significant
differences in postprandial blood glucose response. Body fat percentage, body
mass, age, physical activity, baseline glucose and HbA1c did not significantly
differ between groups. Data from food frequency questionnaires indicated that the
Chinese participants consumed less starchy foods, candy and "other" sweets and
sugary drinks, and more rice than the Caucasians (all p <= 0.001), but not a
greater overall intake of carbohydrates or any other macronutrient (all p >
0.05). The two groups' postprandial blood glucose responses and 2-h incremental
area under the curve values (iAUC)-156.67 (74.12) mmol/L 120 min for Caucasians
versus 214.03 (77.49) mmol/L 120 min for Chinese-indicate significant differences
(p = 0.003 and p < 0.001 respectively) between groups. Findings suggest that the
difference between the two groups' iAUC values do not relate to obvious lifestyle
factors. The Chinese group were eating the least sugary and starchy food but had
the highest iAUC. It is argued that the Chinese group in this investigation have
the most favourable BMI, body fat percentage, and body mass, yet "poorest"
glycaemic response.
PMID- 29661996
TI - Iron Is the Active Site in Nickel/Iron Water Oxidation Electrocatalysts.
AB - Efficient catalysis of the oxygen-evolution half-reaction (OER) is a pivotal
requirement for the development of practical solar-driven water splitting
devices. Heterogeneous OER electrocatalysts containing first-row transition metal
oxides and hydroxides have attracted considerable recent interest, owing in part
to the high abundance and low cost of starting materials. Among the best
performing OER electrocatalysts are mixed Fe/Ni layered double hydroxides (LDH).
A review of the available experimental data leads to the conclusion that iron is
the active site for [NiFe]-LDH-catalyzed alkaline water oxidation.
PMID- 29661997
TI - Implementation of Safe-by-Design for Nanomaterial Development and Safe
Innovation: Why We Need a Comprehensive Approach.
AB - Manufactured nanomaterials (MNMs) are regarded as key components of innovations
in various fields with high potential impact (e.g., energy generation and
storage, electronics, photonics, diagnostics, theranostics, or drug delivery
agents). Widespread use of MNMs raises concerns about their safety for humans and
the environment, possibly limiting the impact of the nanotechnology-based
innovation. The development of safe MNMs and nanoproducts has to result in a safe
as well as functional material or product. Its safe use, and disposal at the end
of its life cycle must be taken into account too. However, not all MNMs are
similarly useful for all applications, some might bear a higher hazard potential
than others, and use scenarios could lead to different exposure probabilities. To
improve both safety and efficacy of nanotechnology, we think that a new proactive
approach is necessary, based on pre-regulatory safety assessment and dialogue
between stakeholders. On the basis of the work carried out in different European
Union (EU) initiatives, developing and integrating MNMs Safe-by-Design and
Trusted Environments (NANoREG, ProSafe, and NanoReg2), we present our point of
view here. This concept, when fully developed, will allow for cost effective
industrial innovation, and an exchange of key information between regulators and
innovators. Regulators are thus informed about incoming innovations in good time,
supporting a proactive regulatory action. The final goal is to contribute to the
nanotechnology governance, having faster, cheaper, effective, and safer nano
products on the market.
PMID- 29661998
TI - Association and Expression of Virulence from Plasmids of the Group B Strain in
Pseudomonas syringae pv. eriobotryae.
AB - Pseudomonas syringae pv. eriobotryae causes serious stem canker in loquat
(Eriobotrya japonica) trees. This study was conducted to determine whether
plasmids are involved with its virulence. The strain NAE89, which belonged to the
B group, harbored two plasmids at approximately 6.2 and 50 Mdal that caused stem
canker and halo leaf spots on loquat plants. Following digestion with BamHI and
ligation into the BamHI cloning site of the broad range host cosmid pLAFR3, four
DNA fragments at 3.8, 6.6, 12.3, and 22.8 kb were generated. Although the plasmid
encoded virulence gene psvA was undigested with the BamHI, the halo leaf spot
gene may be adjacent to the psvA gene was digested. A pLAFR3 cosmid clone was
introduced into the non-pathogenic PE0 and NAE89-1 strains by triparental matings
and the pathogenicity was recovered. As a result, the pLAFR3 cosmid clone was
introduced into the largest size DNA fragment of 22.8 kb and determined to be the
causal agent of canker on the stem of the loquat. This study revealed that the
psvA gene, previously found in the 50 Mdal plasmid, was also observed in the 22.8
kb DNA fragment.
PMID- 29661999
TI - Performance Analysis of BDS Medium-Long Baseline RTK Positioning Using an
Empirical Troposphere Model.
AB - For GPS medium-long baseline real-time kinematic (RTK) positioning, the
troposphere parameter is introduced along with coordinates, and the model is ill
conditioned due to its strong correlation with the height parameter. For BeiDou
Navigation Satellite System (BDS), additional difficulties occur due to its
special satellite constellation. In fact, relative zenith troposphere delay
(RZTD) derived from high-precision empirical zenith troposphere models can be
introduced. Thus, the model strength can be improved, which is also called the
RZTD-constrained RTK model. In this contribution, we first analyze the factors
affecting the precision of BDS medium-long baseline RTK; thereafter, 15 baselines
ranging from 38 km to 167 km in different troposphere conditions are processed to
assess the performance of RZTD-constrained RTK. Results show that the troposphere
parameter is difficult to distinguish from the height component, even with long
time filtering for BDS-only RTK. Due to the lack of variation in geometry for the
BDS geostationary Earth orbit satellite, the long convergence time of ambiguity
parameters may reduce the height precision of GPS/BDS-combined RTK in the initial
period. When the RZTD-constrained model was used in BDS and GPS/BDS-combined
situations compared with the traditional RTK, the standard deviation of the
height component for the fixed solution was reduced by 52.4% and 34.0%,
respectively.
PMID- 29662000
TI - Habitual Flavonoid Intake from Fruit and Vegetables during Adolescence and Serum
Lipid Levels in Early Adulthood: A Prospective Analysis.
AB - Flavonoids have been implicated in the prevention of cardiovascular diseases
(CVD). In a prospective approach, we investigated whether habitual flavonoid
intake from fruit, vegetables and juices (FlavFVJ) during adolescence is
associated with adult levels of serum lipids, one of the main CVD risk factors.
This analysis included healthy participants from the Dortmund Nutritional and
Anthropometric Longitudinally Designed (DONALD) study, who had provided a fasting
blood sample in adulthood (aged 18-39 years), data on FlavFVJ intake during
adolescence (females: 9-15 years, males: 10-16 years)-estimated either from
multiple 3-day weighed dietary records (n = 257), or from validated biomarker
hippuric acid (uHA) excretion from multiple 24-h urine samples (n = 233)-together
with information on relevant covariates. In multivariable linear regression
analyses, a higher FlavFVJ intake during adolescence was independently associated
with higher serum high-density lipoprotein cholesterol (HDL-C) levels among males
(Ptrend = 0.038); however, the inclusion of adult waist circumference attenuated
this association (Ptrend = 0.053). FlavFVJ was not associated with triglycerides
(TG), total cholesterol (TC) or low-density lipoprotein cholesterol (LDL-C; all
Ptrend >= 0.1), nor was uHA excretion with any serum lipid outcome among males
(all Ptrend >= 0.5). Neither FlavFVJ intake nor uHA excretion was associated with
serum lipids among women (all Ptrend >= 0.1). However, a higher flavonoid intake
from fruit and vegetables was independently related to lower LDL-C levels (Ptrend
= 0.021), while a higher intake from juices was associated with higher LDL-C
levels (Ptrend = 0.016) among females. In conclusion, a higher flavonoid intake
from fruit, vegetables and/or juices during adolescence may be linked to
cholesterol levels in early adulthood in a sex- and food source-specific manner.
PMID- 29662001
TI - Association between Ambient Temperatures and Mental Disorder Hospitalizations in
a Subtropical City: A Time-Series Study of Hong Kong Special Administrative
Region.
AB - Background: Mental disorders have been found to be positively associated with
temperature in cool to cold climatic regions but the association in warmer
regions is unclear. This study presented the short-term association between
temperatures and mental disorder hospitalizations in a subtropical city with a
mean annual temperature over 21 degrees C. Methods: Using Poisson-generalized
additive models and distributed-lagged nonlinear models, daily mental disorder
hospitalizations between 2002 and 2011 in Hong Kong were regressed on daily mean
temperature, relative humidity, and air pollutants, adjusted for seasonal trend,
long-term trend, day-of-week, and holiday. Analyses were stratified by disease
class, gender and age-group. Results: 44,600 admissions were included in the
analysis. Temperature was positively associated with overall mental-disorder
hospitalizations (cumulative relative risk at 28 degrees C vs. 19.4 degrees C
(interquartile range, lag 0-2 days) = 1.09 (95% confidence interval 1.03, 1.15)),
with the strongest effect among the elderly (>=75 years old). Transient mental
disorders due to conditions classified elsewhere and episodic mood disorders also
showed strong positive associations with temperature. Conclusion: This study
found a positive temperature-mental-disorder admissions association in a warm
subtropical region and the association was most prominent among older people.
With the dual effect of global warming and an aging population, targeted
strategies should be designed to lower the disease burden.
PMID- 29662002
TI - Carotenoid Lutein Selectively Inhibits Breast Cancer Cell Growth and Potentiates
the Effect of Chemotherapeutic Agents through ROS-Mediated Mechanisms.
AB - Increasing evidence suggests that dietary carotenoids may reduce the risk of
breast cancer. However, anti-breast cancer effects of carotenoids have been
controversial, albeit understudied. Here, we investigated the effects of specific
carotenoids on a wide range of breast cancer cell lines, and found that among
several carotenoids (including beta-carotene, lutein, and astaxanthin), lutein
significantly inhibits breast cancer cell growth by inducing cell-cycle arrest
and caspase-independent cell death, but it has little effect on the growth of
primary mammary epithelial cells (PmECs). Moreover, lutein-mediated growth
inhibition of breast cancer cells is quantitatively similar to that induced by
chemotherapeutic taxanes, paclitaxel and docetaxel, and exposure to lutein plus
taxanes additively inhibits breast cancer cell growth. Analysis of mechanisms
showed that lutein treatment significantly increases the intracellular reactive
oxygen species (ROS) production in triple-negative breast cancer (TNBC) cells,
but not in normal PmECs. Lutein-induced growth inhibition is also attenuated by
the radical oxygen scavenger N-acetyl cysteine, suggesting a role for ROS
generation in the growth inhibitory effect of lutein on TNBC cells. Additionally,
we found that the p53 signaling pathway is activated and HSP60 levels are
increased by lutein treatment, which may contribute partly to the induction of
growth inhibition in TNBC cells. Our findings show that lutein promotes growth
inhibition of breast cancer cells through increased cell type-specific ROS
generation and alternation of several signaling pathways. Dietary lutein
supplementation may be a promising alternative and/or adjunct therapeutic
candidate against breast cancer.
PMID- 29662004
TI - UV Light-Assisted Synthesis of Highly Efficient Pd-Based Catalyst over NiO for
Hydrogenation of o-Chloronitrobenzene.
AB - Supported Pd-based catalyst over active nickel oxide (NiO) was repared using the
impregnation method companying with UV-light irradiation. Moreover, the catalytic
performance of the obtained Pd-based catalysts was evaluated towards the
hydrogenation of o-chloronitrobenzene (o-CNB). Observations indicate that the as
prepared UV-irradiated Pd/NiO catalyst with a mole fraction 0.2% (0.2%Pd/NiO) has
higher activity and selectivity in the o-CNB hydrogenation. Especially, UV-light
irradiation played a positive role in the improvement of catalytic activity of
0.2%Pd/NiO catalyst, exhibiting an excess 11-fold activity superiority in
contrast with non-UV-irradiated 0.2%Pd/NiO catalyst. In addition, it was
investigated that effects of varied factors (i.e., reaction time, temperature, o
CNB/Pd ratio, Pd loading, hydrogen pressure) on the selective hydrogenation of
omicron-CNB catalyzed by UV-irradiated 0.2%Pd/NiO catalyst. Under the reaction
conditions of 60 degrees C, 0.5 h, 1 MPa H2 pressure, 100% conversion of o-CNB,
and 81.1% o-CAN selectivity were obtained, even at high molar ratio (8000:1) of o
CNB to Pd.
PMID- 29662005
TI - Complexes of CO2 with the Azoles: Tetrel Bonds, Hydrogen Bonds and Other
Secondary Interactions.
AB - Ab initio MP2/aug'-cc-pVTZ calculations have been performed to investigate the
complexes of CO2 with the azoles pyrrole, pyrazole, imidazole, 1,2,3- and 1,2,4
triazole, tetrazole and pentazole. Three types of complexes have been found on
the CO2:azole potential surfaces. These include ten complexes stabilized by
tetrel bonds that have the azole molecule in the symmetry plane of the complex;
seven tetrel-bonded complexes in which the CO2 molecule is perpendicular to the
symmetry plane; and four hydrogen-bonded complexes. Eight of the planar complexes
are stabilized by Nx...C tetrel bonds and by a secondary interaction involving an
adjacent Ny-H bond and an O atom of CO2. The seven perpendicular CO2:azole
complexes form between CO2 and two adjacent N atoms of the ring, both of which
are electron-pair donors. In three of the four hydrogen-bonded complexes, the
proton-donor Nz-H bond of the ring is bonded to two C-H bonds, thereby precluding
the planar and perpendicular complexes. The fourth hydrogen-bonded complex forms
with the strongest acid pentazole. Binding energies, charge-transfer energies and
changes in CO2 stretching and bending frequencies upon complex formation provide
consistent descriptions of these complexes. Coupling constants across tetrel
bonds are negligibly small, but 2hJ(Ny-C) across Nz-H...C hydrogen bonds are
larger and increase as the number of N atoms in the ring increases.
PMID- 29662006
TI - Hyperinsulinemia Promotes Esophageal Cancer Development in a Surgically-Induced
Duodeno-Esophageal Reflux Murine Model.
AB - Hyperinsulinemia could have a role in the growing incidence of esophageal
adenocarcinoma (EAC) and its pre-cancerous lesion, Barrett's Esophagus, a
possible consequence of Gastro-Esophageal Reflux Disease. Obesity is known to
mediate esophageal carcinogenesis through different mechanisms including insulin
resistance leading to hyperinsulinemia, which may mediate cancer progression via
the insulin/insulin-like growth factor axis. We used the hyperinsulinemic non
obese FVB/N (Friend leukemia virus B strain) MKR (muscle (M)-IGF1R-lysine (K)
arginine (R) mouse model to evaluate the exclusive role of hyperinsulinemia in
the pathogenesis of EAC related to duodeno-esophageal reflux. FVB/N wild-type
(WT) and MKR mice underwent jejunum-esophageal anastomosis side-to end with the
exclusion of the stomach. Thirty weeks after surgery, the esophagus was processed
for histological, immunological and insulin/Insulin-like growth factor 1 (IGF1)
signal transduction analyses. Most of the WT mice (63.1%) developed dysplasia,
whereas most of the MKR mice (74.3%) developed squamous cell and adenosquamous
carcinomas, both expressing Human Epidermal growth factor receptor 2 (HER2).
Hyperinsulinemia significantly increased esophageal cancer incidence in the
presence of duodenal-reflux. Insulin receptor (IR) and IGF1 receptor (IGF1R) were
overexpressed in the hyperinsulinemic condition. IGF1R, through ERK1/2 mitogenic
pattern activation, seems to be involved in cancer onset. Hyperinsulinemia
induced IGF1R and HER2 up-regulation could also increase the possibility of
forming of IGF1R/HER2 heterodimers to support cell
growth/proliferation/progression in esophageal carcinogenesis.
PMID- 29662003
TI - PPAR Agonists and Metabolic Syndrome: An Established Role?
AB - Therapeutic approaches to metabolic syndrome (MetS) are numerous and may target
lipoproteins, blood pressure or anthropometric indices. Peroxisome proliferator
activated receptors (PPARs) are involved in the metabolic regulation of lipid and
lipoprotein levels, i.e., triglycerides (TGs), blood glucose, and abdominal
adiposity. PPARs may be classified into the alpha, beta/delta and gamma subtypes.
The PPAR-alpha agonists, mainly fibrates (including newer molecules such as
pemafibrate) and omega-3 fatty acids, are powerful TG-lowering agents. They
mainly affect TG catabolism and, particularly with fibrates, raise the levels of
high-density lipoprotein cholesterol (HDL-C). PPAR-gamma agonists, mainly
glitazones, show a smaller activity on TGs but are powerful glucose-lowering
agents. Newer PPAR-alpha/delta agonists, e.g., elafibranor, have been designed to
achieve single drugs with TG-lowering and HDL-C-raising effects, in addition to
the insulin-sensitizing and antihyperglycemic effects of glitazones. They also
hold promise for the treatment of non-alcoholic fatty liver disease (NAFLD) which
is closely associated with the MetS. The PPAR system thus offers an important
hope in the management of atherogenic dyslipidemias, although concerns regarding
potential adverse events such as the rise of plasma creatinine, gallstone
formation, drug-drug interactions (i.e., gemfibrozil) and myopathy should also be
acknowledged.
PMID- 29662008
TI - An Embedded Sensory System for Worker Safety: Prototype Development and
Evaluation.
AB - At a construction site, workers mainly rely on two senses, which are sight and
sound, in order to perceive their physical surroundings. However, they are often
hindered by the nature of most construction sites, which are usually dynamic,
loud, and complicated. To overcome these challenges, this research explored a
method using an embedded sensory system that might offer construction workers an
artificial sensing ability to better perceive their surroundings. This study
identified three parameters (i.e., intensity, signal length, and delay between
consecutive pulses) needed for tactile-based signals for the construction workers
to communicate quickly. We developed a prototype system based on these
parameters, conducted experimental studies to quantify and validate the
sensitivity of the parameters for quick communication, and analyzed test data to
reveal what was added by this method in order to perceive information from the
tactile signals. The findings disclosed that the parameters of tactile-based
signals and their distinguishable ranges could be perceived in a short amount of
time (i.e., a fraction of a second). Further experimentation demonstrated the
capability of the identified unit signals combined with a signal mapping
technique to effectively deliver simple information to individuals and offer an
additional sense of awareness to the surroundings. The findings of this study
could serve as a basis for future research in exploring advanced tactile-based
messages to overcome challenges in environments for which communication is a
struggle.
PMID- 29662009
TI - Design and Investigation of Optical Properties of N-(Rhodamine-B)-Lactam
Ethylenediamine (RhB-EDA) Fluorescent Probe.
AB - This study presents chemical modification of a Rhodamine B (RhB) sensor probe by
ethylenediamine (EDA), and investigation of its spectral as well as sensor
properties to the various metals. The synthesised N-(Rhodamine-B)-lactam
ethylenediamine (RhB-EDA) fluorescent probe shows interesting optical sensor
properties, and high sensitivity and selectivity to Ag+ ions among all the tested
metal ions (K+, Mg2+, Cu2+, Ni2+, Fe2+, Pb2+, Na+, Mn2+, Li+, Al3+, Co2+, Hg2+,
Sr2+, Ca2+, Ag+, Cd2+ and Zn2+), while the well-known Rhodamine B (RhB)
fluorescent probe shows much less sensitivity to Ag+ ions, but high sensitivity
to Fe2+ ions. The novel fluorescent sensor probe RhB-EDA has the capabilities to
sense Ag+ ions up to uM ranges by using the fluorescence quenching approach. The
probe displayed a dynamic response to Ag+ in the range of 0.43 * 10-3-10-6 M with
a detection limit of 0.1 MUM. The sensing system of an RhB-EDA novel fluorescent
probe was optimised according to the spectral properties, effect of pH and
buffer, photostability, incubation time, sensitivity, and selectivity. Since all
the spectral and sensing properties were tested in green aqueous media, although
many other similar sensor systems rely on organic solvent solutions, the RhB-EDA
sensing probe may be a good candidate for measuring Ag+ ions in real-life
applications.
PMID- 29662007
TI - MicroRNAs as Potential Regulators of Glutathione Peroxidases Expression and Their
Role in Obesity and Related Pathologies.
AB - Glutathione peroxidases (GPxs) belong to the eight-member family of
phylogenetically related enzymes with different cellular localization, but
distinct antioxidant function. Several GPxs are important selenoproteins.
Dysregulated GPx expression is connected with severe pathologies, including
obesity and diabetes. We performed a comprehensive bioinformatic analysis using
the programs miRDB, miRanda, TargetScan, and Diana in the search for hypothetical
microRNAs targeting 3'untranslated regions (3'UTR) of GPxs. We cross-referenced
the literature for possible intersections between our results and available
reports on identified microRNAs, with a special focus on the microRNAs related to
oxidative stress, obesity, and related pathologies. We identified many microRNAs
with an association with oxidative stress and obesity as putative regulators of
GPxs. In particular, miR-185-5p was predicted by a larger number of programs to
target six GPxs and thus could play the role as their master regulator. This
microRNA was altered by selenium deficiency and can play a role as a feedback
control of selenoproteins' expression. Through the bioinformatics analysis we
revealed the potential connection of microRNAs, GPxs, obesity, and other redox
imbalance related diseases.
PMID- 29662011
TI - Using Ontologies for the Online Recognition of Activities of Daily Living.
AB - The recognition of activities of daily living is an important research area of
interest in recent years. The process of activity recognition aims to recognize
the actions of one or more people in a smart environment, in which a set of
sensors has been deployed. Usually, all the events produced during each activity
are taken into account to develop the classification models. However, the instant
in which an activity started is unknown in a real environment. Therefore, only
the most recent events are usually used. In this paper, we use statistics to
determine the most appropriate length of that interval for each type of activity.
In addition, we use ontologies to automatically generate features that serve as
the input for the supervised learning algorithms that produce the classification
model. The features are formed by combining the entities in the ontology, such as
concepts and properties. The results obtained show a significant increase in the
accuracy of the classification models generated with respect to the classical
approach, in which only the state of the sensors is taken into account. Moreover,
the results obtained in a simulation of a real environment under an event-based
segmentation also show an improvement in most activities.
PMID- 29662010
TI - Metabolic Features of Multiple Myeloma.
AB - Cancer is known for its cellular changes contributing to tumour growth and cell
proliferation. As part of these changes, metabolic rearrangements are identified
in several cancers, including multiple myeloma (MM), which is a condition whereby
malignant plasma cells accumulate in the bone marrow (BM). These metabolic
changes consist of generation, inhibition and accumulation of metabolites and
metabolic shifts in MM cells. Changes in the BM micro-environment could be the
reason for such adjustments. Enhancement of glycolysis and glutaminolysis is
found in MM cells compared to healthy cells. Metabolites and enzymes can be
upregulated or downregulated and play a crucial role in drug resistance.
Therefore, this review will focus on changes in glucose and glutamine metabolism
linked with the emergence of drug resistance. Moreover, metabolites do not only
affect other metabolic components to benefit cancer development; they also
interfere with transcription factors involved in proliferation and apoptotic
regulation.
PMID- 29662012
TI - Influence of Highly Accessible Urban Food Environment on Weight Management: A
Qualitative Study in Seoul.
AB - We explored the characteristics of the food environment and its influence on
weight management in Seoul, Korea. Photo elicitation interviews were conducted
with 73 participants who took three photographs per topic related to their food
environment and discussed these photographs in groups. Through thematic analysis,
we identified four themes concerning participants' perceptions of the food
environment and weight management: (1) "convenience comes first," (2) "tempting
food environment," (3) "alcohol and anju," and (4) "burden of individual effort
to manage weight." A systematic change toward an environment supportive of
healthy eating and weight management is recommended.
PMID- 29662013
TI - Rolling Bearing Fault Diagnosis Based on an Improved HTT Transform.
AB - When rolling bearing failure occurs, vibration signals generally contain
different signal components, such as impulsive fault feature signals, background
noise and harmonic interference signals. One of the most challenging aspects of
rolling bearing fault diagnosis is how to inhibit noise and harmonic interference
signals, while enhancing impulsive fault feature signals. This paper presents a
novel bearing fault diagnosis method, namely an improved Hilbert time-time (IHTT)
transform, by combining a Hilbert time-time (HTT) transform with principal
component analysis (PCA). Firstly, the HTT transform was performed on vibration
signals to derive a HTT transform matrix. Then, PCA was employed to de-noise the
HTT transform matrix in order to improve the robustness of the HTT transform.
Finally, the diagonal time series of the de-noised HTT transform matrix was
extracted as the enhanced impulsive fault feature signal and the contained fault
characteristic information was identified through further analyses of amplitude
and envelope spectrums. Both simulated and experimental analyses validated the
superiority of the presented method for detecting bearing failures.
PMID- 29662015
TI - Structural Characterization and Interaction with RCA120 of a Highly Sulfated
Keratan Sulfate from Blue Shark (Prionace glauca) Cartilage.
AB - As an important glycosaminoglycan, keratan sulfate (KS) mainly exists in corneal
and cartilage, possessing various biological activities. In this study, we
purified KS from blue shark (Prionace glauca) cartilage and prepared KS
oligosaccharides (KSO) through keratanase II-catalyzed hydrolysis. The structures
of KS and KSO were characterized using multi-dimensional nuclear magnetic
resonance (NMR) spectra and liquid chromatography-mass spectrometry (LC-MS).
Shark cartilage KS was highly sulfated and modified with ~2.69% N
acetylneuraminic acid (NeuAc) through alpha(2,3)-linked to galactose.
Additionally, KS exhibited binding affinity to Ricinus communis agglutinin I
(RCA120) in a concentration-dependent manner, a highly toxic lectin from beans of
the castor plant. Furthermore, KSO from dp2 to dp8 bound to RCA120 in the
increasing trend while the binding affinity of dp8 was superior to
polysaccharide. These results define novel structural features for KS from
Prionace glauca cartilage and demonstrate the potential application on ricin
antidote exploitation.
PMID- 29662014
TI - Interferon Independent Non-Canonical STAT Activation and Virus Induced
Inflammation.
AB - Interferons (IFNs) are a group of secreted proteins that play critical roles in
antiviral immunity, antitumor activity, activation of cytotoxic T cells, and
modulation of host immune responses. IFNs are cytokines, and bind receptors on
cell surfaces to trigger signal transduction. The major signaling pathway
activated by IFNs is the JAK/STAT (Janus kinase/signal transducer and activator
of transcription) pathway, a complex pathway involved in both viral and host
survival strategies. On the one hand, viruses have evolved strategies to escape
from antiviral host defenses evoked by IFN-activated JAK/STAT signaling. On the
other hand, viruses have also evolved to exploit the JAK/STAT pathway to evoke
activation of certain STATs that somehow promote viral pathogenesis. In this
review, recent progress in our understanding of the virus-induced IFN-independent
STAT signaling and its potential roles in viral induced inflammation and
pathogenesis are summarized in detail, and perspectives are provided.
PMID- 29662016
TI - Persistent Borrelia Infection in Patients with Ongoing Symptoms of Lyme Disease.
AB - INTRODUCTION: Lyme disease is a tickborne illness that generates controversy
among medical providers and researchers. One of the key topics of debate is the
existence of persistent infection with the Lyme spirochete, Borreliaburgdorferi,
in patients who have been treated with recommended doses of antibiotics yet
remain symptomatic. Persistent spirochetal infection despite antibiotic therapy
has recently been demonstrated in non-human primates. We present evidence of
persistent Borrelia infection despite antibiotic therapy in patients with ongoing
Lyme disease symptoms. METHODS: In this pilot study, culture of body fluids and
tissues was performed in a randomly selected group of 12 patients with persistent
Lyme disease symptoms who had been treated or who were being treated with
antibiotics. Cultures were also performed on a group of ten control subjects
without Lyme disease. The cultures were subjected to corroborative microscopic,
histopathological and molecular testing for Borrelia organisms in four
independent laboratories in a blinded manner. RESULTS: Motile spirochetes
identified histopathologically as Borrelia were detected in culture specimens,
and these spirochetes were genetically identified as Borreliaburgdorferi by three
distinct polymerase chain reaction (PCR)-based approaches. Spirochetes identified
as Borrelia burgdorferi were cultured from the blood of seven subjects, from the
genital secretions of ten subjects, and from a skin lesion of one subject.
Cultures from control subjects without Lyme disease were negative for Borrelia
using these methods. CONCLUSIONS: Using multiple corroborative detection methods,
we showed that patients with persistent Lyme disease symptoms may have ongoing
spirochetal infection despite antibiotic treatment, similar to findings in non
human primates. The optimal treatment for persistent Borrelia infection remains
to be determined.
PMID- 29662017
TI - Use of Unpalatable Forages by Ruminants: The Influence of Experience with the
Biophysical and Social Environment.
AB - Unpalatable forage resources (low nutrient density, potentially toxic
metabolites) are widespread and represent a challenge for ruminant nutrition,
health, and welfare. Our objective was to synthesize the role of biophysical and
social experience on the use of unpalatable forages by ruminants, and highlight
derived behavioural solutions for the well-being of soils, plants, and animals.
Environmental experiences early in life modulate gene expression and promote
learning, which alters morpho-physiological and psychological mechanisms that
modify behavioural responses and change food and habitat selection. In this
process, ruminants can become better adapted to the habitat where they are
reared. Moreover, experiential learning provides flexibility in diet selection,
which is critical for changing foraging environments. Learned associations
between unpalatable and palatable foods, if ingested in appropriate amounts,
sequence, and close temporal association, induce the development of preference
for the former type of food. In this way, a more uniform use of resources can be
achieved from the landscape level down to the individual plant, with the
associated benefits to ecosystem integrity and stability. Ruminants can also
learn the medicinal benefits of ingesting foods with toxins (e.g., condensed
tannins and saponins with antiparasitic properties). This knowledge on
behavioural processes can be translated into behavioural applications that
provide low-cost solutions to many challenges that producers face in managing
sustainable livestock production systems.
PMID- 29662018
TI - Rheological and Mechanical Properties of Thermoresponsive Methylcellulose/Calcium
Phosphate-Based Injectable Bone Substitutes.
AB - In this study, a novel injectable bone substitute (IBS) was prepared by
incorporating a bioceramic powder in a polymeric solution comprising of
methylcellulose (MC), gelatin and citric acid. Methylcellulose was utilized as
the polymeric matrix due to its thermoresponsive properties and biocompatibility.
2.5 wt % gelatin and 3 wt % citric acid were added to the MC to adjust the
rheological properties of the prepared IBS. Then, 0, 20, 30 and 50 wt % of the
bioceramic component comprising tetracalcium phosphate/hydroxyapatite (TTCP/HA),
dicalcium phosphate dehydrate (DCPD) and calcium sulfate dehydrate (CSD) were
added into the prepared polymeric component. The prepared IBS samples had a
chewing gum-like consistency. IBS samples were investigated in terms of their
chemical structure, rheological characteristics, and mechanical properties. After
that, in vitro degradation studies were carried out by measurement of pH and %
remaining weight. Viscoelastic characteristics of the samples indicated that all
of the prepared IBS were injectable and they hardened at approximately 37
degrees C. Moreover, with increasing wt % of the bioceramic component, the
degradation rate of the samples significantly reduced and the mechanical
properties were improved. Therefore, the experimental results indicated that the
P50 mix may be a promising candidates to fill bone defects and assist bone
recovery for non-load bearing applications.
PMID- 29662020
TI - Pheochromocytoma (PC12) Cell Response on Mechanobactericidal Titanium Surfaces.
AB - Titanium is a biocompatible material that is frequently used for making
implantable medical devices. Nanoengineering of the surface is the common method
for increasing material biocompatibility, and while the nanostructured materials
are well-known to represent attractive substrata for eukaryotic cells, very
little information has been documented about the interaction between mammalian
cells and bactericidal nanostructured surfaces. In this study, we investigated
the effect of bactericidal titanium nanostructures on PC12 cell attachment and
differentiation—a cell line which has become a widely used in vitro model
to study neuronal differentiation. The effects of the nanostructures on the cells
were then compared to effects observed when the cells were placed in contact with
non-structured titanium. It was found that bactericidal nanostructured surfaces
enhanced the attachment of neuron-like cells. In addition, the PC12 cells were
able to differentiate on nanostructured surfaces, while the cells on non
structured surfaces were not able to do so. These promising results demonstrate
the potential application of bactericidal nanostructured surfaces in biomedical
applications such as cochlear and neuronal implants.
PMID- 29662019
TI - Liposomal Drug Delivery Systems and Anticancer Drugs.
AB - Cancer is a life-threatening disease contributing to ~3.4 million deaths
worldwide. There are various causes of cancer, such as smoking, being overweight
or obese, intake of processed meat, radiation, family history, stress,
environmental factors, and chance. The first-line treatment of cancer is the
surgical removal of solid tumours, radiation therapy, and chemotherapy. The
systemic administration of the free drug is considered to be the main clinical
failure of chemotherapy in cancer treatment, as limited drug concentration
reaches the tumour site. Most of the active pharmaceutical ingredients (APIs)
used in chemotherapy are highly cytotoxic to both cancer and normal cells.
Accordingly, targeting the tumour vasculatures is essential for tumour treatment.
In this context, encapsulation of anti-cancer drugs within the liposomal system
offers secure platforms for the targeted delivery of anti-cancer drugs for the
treatment of cancer. This, in turn, can be helpful for reducing the cytotoxic
side effects of anti-cancer drugs on normal cells. This short-review focuses on
the use of liposomes in anti-cancer drug delivery.
PMID- 29662021
TI - Roles of Polyploid/Multinucleated Giant Cancer Cells in Metastasis and Disease
Relapse Following Anticancer Treatment.
AB - Tumors and tumor-derived cell lines contain polyploid giant cells with
significantly elevated genomic content, often with multiple nuclei. The frequency
of giant cells can increase markedly following anticancer treatment. Although
giant cells enter a dormant phase and therefore do not form macroscopic colonies
(aggregates of >=50 cells) in the conventional in vitro colony formation assay,
they remain viable and metabolically active. The purpose of this commentary is to
underscore the potential importance of polyploid/multinucleated giant cells in
metastasis and cancer recurrence following exposure to anticancer agents. We also
discuss the possibility that most preclinical (cell-based and animal model) drug
discovery approaches might not account for delayed responses that are associated
with dormant giant cells.
PMID- 29662022
TI - Parental Opinions and Attitudes about Children's Vaccination Safety in Silesian
Voivodeship, Poland.
AB - Despite mandatory vaccinations in Poland, the final decision on vaccination in
children is taken by their parents or legal guardians. Understanding parents'
attitudes and opinions regarding vaccinations is essential for planning and
undertaking extensive and properly targeted educational actions aimed at
preventing their hesitancy. In 2016, a cross-sectional study was conducted in the
Silesian Voivodeship (Poland) in 11 randomly selected educational institutions.
The authors' self-administered questionnaire contained 24 mixed-type questions.
It was distributed among 3000 parents or legal guardians of children aged 6-13
years; prior consent of the relevant bioethics committee had been obtained. The
response rate was 41.3% (N = 1239). Data were analysed using descriptive and
analytical statistics, and focused on parental opinions regarding the safety of
vaccines. Results of simple and multivariable analyses showed that perceived risk
of adverse vaccine reaction (AVR), contraindications and perception of the
qualification procedure for vaccination as substandard were significant factors
associated with the rating of children's vaccination as unsafe (p < 0.001).
Respondents with a lower level of education, compared with those with higher,
more often declared vaccinations to be safe (p = 0.03); however, results of
multivariable analysis did not confirm that effect. AVR occurrence, finding of
contraindication to vaccinations and perception of qualification procedure for
vaccination were found to be the most important factors responsible for
influencing general public opinions in the field of vaccination safety.
PMID- 29662023
TI - Serum Is Not Necessary for Prior Pharmacological Activation of AMPK to Increase
Insulin Sensitivity of Mouse Skeletal Muscle.
AB - Exercise, contraction, and pharmacological activation of AMP-activated protein
kinase (AMPK) by 5-aminoimidazole-4-carboxamide ribonucleotide (AICAR) have all
been shown to increase muscle insulin sensitivity for glucose uptake.
Intriguingly, improvements in insulin sensitivity following contraction of
isolated rat and mouse skeletal muscle and prior AICAR stimulation of isolated
rat skeletal muscle seem to depend on an unknown factor present in serum. One
study recently questioned this requirement of a serum factor by showing serum
independency with muscle from old rats. Whether a serum factor is necessary for
prior AICAR stimulation to increase insulin sensitivity of mouse skeletal muscle
is not known. Therefore, we investigated the necessity of serum for this effect
of AICAR in mouse skeletal muscle. We found that the ability of prior AICAR
stimulation to improve insulin sensitivity of mouse skeletal muscle did not
depend on the presence of serum during AICAR stimulation. Although prior AICAR
stimulation did not enhance proximal insulin signaling, insulin-stimulated
phosphorylation of Tre-2/BUB2/CDC16- domain family member 4 (TBC1D4) Ser711 was
greater in prior AICAR-stimulated muscle compared to all other groups. These
results imply that the presence of a serum factor is not necessary for prior AMPK
activation by AICAR to enhance insulin sensitivity of mouse skeletal muscle.
PMID- 29662024
TI - PKIDB: A Curated, Annotated and Updated Database of Protein Kinase Inhibitors in
Clinical Trials.
AB - The number of protein kinase inhibitors (PKIs) approved worldwide continues to
grow steadily, with 39 drugs approved in the period between 2001 and January
2018. PKIs on the market have been the subject of many reviews, and structure
property relationships specific to this class of drugs have been inferred.
However, the large number of PKIs under development is often overlooked. In this
paper, we present PKIDB (Protein Kinase Inhibitor Database), a monthly-updated
database gathering approved PKIs as well as PKIs currently in clinical trials.
The database compiles currently 180 inhibitors ranging from phase 0 to 4 clinical
trials along with annotations extracted from seven public resources. The
distribution and property ranges of standard physicochemical properties are
presented. They can be used as filters to better prioritize compound selection
for future screening campaigns. Interestingly, more than one-third of the kinase
inhibitors violate at least one Lipinski's rule. A Principal Component Analysis
(PCA) reveals that Type-II inhibitors are mapped to a distinct chemical space as
compared to orally administrated drugs as well as to other types of kinase
inhibitors. Using a Principal Moment of Inertia (PMI) analysis, we show that PKIs
under development tend to explore new shape territories as compared to approved
PKIs. In order to facilitate the analysis of the protein space, the kinome tree
has been annotated with all protein kinases being targeted by PKIs. Finally, we
analyzed the pipeline of the pharmaceutical companies having PKIs on the market
or still under development. We hope that this work will assist researchers in the
kinase field in identifying and designing the next generation of kinase
inhibitors for still untargeted kinases. The PKIDB database is freely accessible
from a website at http://www.icoa.fr/pkidb and can be easily browsed through a
user-friendly spreadsheet-like interface.
PMID- 29662025
TI - Biochemical Characterization and Degradation Pattern of a Unique pH-Stable PolyM
Specific Alginate Lyase from Newly Isolated Serratia marcescens NJ-07.
AB - Enzymatic preparation of alginate oligosaccharides with versatile bioactivities
by alginate lyases has attracted increasing attention due to its featured
characteristics, such as wild condition and specific products. In this study,
AlgNJ-07, a novel polyM-specific alginate lyase with high specific activity and
pH stability, has been purified from the newly isolated marine bacterium Serratia
marcescens NJ-07. It has a molecular weight of approximately 25 kDa and exhibits
the maximal activity of 2742.5 U/mg towards sodium alginate under 40 degrees C
at pH 9.0. Additionally, AlgNJ-07 could retain more than 95% of its activity at
pH range of 8.0-10.0, indicating it possesses excellent pH-stability. Moreover,
it shows high activity and affinity towards polyM block and no activity to polyG
block, which suggests that it is a strict polyM-specific alginate lyase. The
degradation pattern of AlgNJ-07 has also been explored. The activity of AlgNJ-07
could be activated by NaCl with a low concentration (100-300 mM). It can be
observed that AlgNJ-07 can recognize the trisaccharide as the minimal substrate
and hydrolyze the trisaccharide into monosaccharide and disaccharide. The TLC and
ESI-MS analysis indicate that it can hydrolyze substrates in a unique endolytic
manner, producing not only oligosaccharides with Dp of 2-5 but also a large
fraction of monosaccharide. Therefore, it may be a potent tool to produce
alginate oligosaccharides with lower Dps (degree of polymerization).
PMID- 29662027
TI - Pharmacokinetic-Pharmacodynamic Model for the Testosterone-Suppressive Effect of
Leuprolide in Normal and Prostate Cancer Rats.
AB - This study developed the pharmacokinetic (PK)-pharmacodynamic (PD) model of the
testosterone-suppressive effect of leuprolide for evaluation of the sustained
release (SR) depot and leuprolide solution in rats with or without prostate
cancer. Six groups of rats were divided by the routes of administration
(intravenous and subcutaneous injection) and kinds of formulation (vehicle,
leuprolide solution, and SR depot). The PK profile after subcutaneous injection
of leuprolide solution could be well-described by the one-compartment model. The
absorption rate constant, the total body clearance, and the volume of
distribution were estimated at 16.67 h-1, 514.46 mL/h, and 487.40 mL. Using PK
parameters in the solution-administered group, the PK model for the SR depot was
developed. The PK-PD model was constructed by describing the testosterone
suppressive effect of leuprolide using the feedback turnover model. The response
of testosterone after administration of each formulation was well described using
this PK-PD model for the estimation of PD parameters (EC50, Emax, h) and systemic
parameters (kin, kout, kf on, kf off). The developed PK-PD model containing an
inhibitory feedback system could successfully describe the testosterone
suppressive effect of leuprolide in the type of formulation. The PK-PD model
developed would be useful for evaluating the formulation of similar drugs whose
effect is regulated through the feedback mechanism.
PMID- 29662026
TI - The Hard Way towards an Antibody-Based HIV-1 Env Vaccine: Lessons from Other
Viruses.
AB - Although effective antibody-based vaccines have been developed against multiple
viruses, such approaches have so far failed for the human immunodeficiency virus
type 1 (HIV-1). Despite the success of anti-retroviral therapy (ART) that has
turned HIV-1 infection into a chronic disease and has reduced the number of new
infections worldwide, a vaccine against HIV-1 is still urgently needed. We
discuss here the major reasons for the failure of "classical" vaccine approaches,
which are mostly due to the biological properties of the virus itself. HIV-1 has
developed multiple mechanisms of immune escape, which also account for vaccine
failure. So far, no vaccine candidate has been able to induce broadly
neutralizing antibodies (bnAbs) against primary patient viruses from different
clades. However, such antibodies were identified in a subset of patients during
chronic infection and were shown to protect from infection in animal models and
to reduce viremia in first clinical trials. Their detailed characterization has
guided structure-based reverse vaccinology approaches to design better HIV-1
envelope (Env) immunogens. Furthermore, conserved Env epitopes have been
identified, which are promising candidates in view of clinical applications.
Together with new vector-based technologies, considerable progress has been
achieved in recent years towards the development of an effective antibody-based
HIV-1 vaccine.
PMID- 29662028
TI - Nitrate Reductases Are Relocalized to the Nucleus by AtSIZ1 and Their Levels Are
Negatively Regulated by COP1 and Ammonium.
AB - Nitrate reductases (NRs) catalyze the first step in the reduction of nitrate to
ammonium. NR activity is regulated by sumoylation through the E3 ligase activity
of AtSIZ1. However, it is not clear how NRs interact with AtSIZ1 in the cell, or
how nitrogen sources affect NR levels and their cellular localization. Here, we
show that the subcellular localization of NRs is modulated by the E3 SUMO (Small
ubiquitin-related modifier) ligase AtSIZ1 and that NR protein levels are
regulated by nitrogen sources. Transient expression analysis of GFP fusion
proteins in onion epidermal cells showed that the NRs NIA1 and NIA2 localize to
the cytoplasmic membrane, and that AtSIZ1 localizes to the nucleoplasm, including
nuclear bodies, when expressed separately, whereas NRs and AtSIZ1 localize to the
nucleus when co-expressed. Nitrate did not affect the subcellular localization of
the NRs, but it caused AtSIZ1 to move from the nucleus to the cytoplasm. NRs were
not detected in ammonium-treated cells, whereas the localization of AtSIZ1 was
not altered by ammonium treatment. NR protein levels increased in response to
nitrate but decreased in response to ammonium. In addition, NR protein levels
increased in response to a 26S proteasome inhibitor and in cop1-4 and DN-COP1
overexpressing transgenic plants. NR protein degradation occurred later in cop1-4
than in the wild-type, although the NR proteins did not interact with COP1.
Therefore, AtSIZ1 controls nuclear localization of NR proteins, and ammonium
negatively regulates their levels. The function and stability of NR proteins
might be post-translationally modulated by ubiquitination.
PMID- 29662029
TI - Stryphnodendron Species Known as "Barbatimao": A Comprehensive Report.
AB - Stryphnodendron spp., popularly known as "barbatimao", is the native Brazilian
tree most often employed to treat wounds and infections. The aim of the present
study was to highlight the importance of S. adstringens, as well as other
Stryphnodendron species recognized as "barbatimao", to human health, depicting
the relevance of ethnopharmacological knowledge to scientific evidence for uses,
related chemical compounds, development of pharmaceutical formulations, and the
establishment of toxicity parameters. For this purpose, the literature databases
PubMed, Scielo, Lilacs, CAPES Thesis and Google Scholar were searched until 2017.
It was observed that stem bark was the primary part of the plant used, mainly as
a decoction, for wound healing and treatment of infectious and inflammatory
disorders. Confirmed biological activities, including wound healing, anti
inflammatory, antioxidant, and antimicrobial activities, were related to the
presence of compounds from tannin class, mostly proanthocyanidins. Toxicity
parameters for stem bark were inconclusive, but toxicity was observed to a
significant extent when seeds were ingested by cattle or other animals. Due to
these important and confirmed biological activities, government policy encourages
the phytotherapic use of S. adstringens, and some formulations with stem bark
extracts were developed and patented. Furthermore, antiprotozoal, hypoglycemic
and antiviral activities were identified as promising.
PMID- 29662030
TI - From Protein Features to Sensing Surfaces.
AB - Proteins play a major role in biosensors in which they provide catalytic activity
and specificity in molecular recognition. However, the immobilization process is
far from straightforward as it often affects the protein functionality. Extensive
interaction of the protein with the surface or significant surface crowding can
lead to changes in the mobility and conformation of the protein structure. This
review will provide insights as to how an analysis of the physico-chemical
features of the protein surface before the immobilization process can help to
identify the optimal immobilization approach. Such an analysis can help to
preserve the functionality of the protein when on a biosensor surface.
PMID- 29662031
TI - The Associations between Sleep Duration and Sleep Quality with Body-Mass Index in
a Large Sample of Young Adults.
AB - BACKGROUND: The main aims of this study were to explore the associations between
time spent in bed (as a proxy of sleep duration) and sleep quality with
overweight/obesity status in a large sample of young adults. METHODS: In this
cross-sectional study, participants were 2100 university students (49.6% of
women). We used Pittsburgh Sleep Quality Index (PSQI) questionnaire to assess
time spent in bed and sleep quality. Body-mass index (BMI) was self-reported and
dichotomized as normal (<25 kg/m2) vs. overweight/obesity (>=25 kg/m2) status.
RESULTS: In model 1, both short (<6 h/day, OR = 2.72; 95% CI 1.27 to 5.84) and
long (>10 h/day, OR = 3.38; 95% CI 2.12 to 5.40) time spent in bed were
associated with a greater likelihood of being overweight/obese. In model 2, poor
sleep quality (>5 points, OR = 1.45; 95% CI 1.14 to 1.83) was associated with a
greater likelihood of being overweight/obese. After entering time spent in bed
and sleep quality simultaneously into the model 3, both short (OR = 2.64; 95% CI
1.23 to 5.66) and long (OR = 3.27; 95% CI 2.04 to 5.23) time spent in bed and
poor sleep quality (OR = 1.40; 95% CI 1.10 to 1.78) were associated with
overweight/obesity status. CONCLUSIONS: Our results show that both short and long
time spent in bed and poor sleep quality are associated with overweight/obesity
status in young adults. Special interventions and policies that use both sleep
duration and sleep quality as protective factors against overweight/obesity are
warranted.
PMID- 29662032
TI - Cardiovascular-Related Outcomes in U.S. Adults Exposed to Lead.
AB - Cardiovascular-related clinical markers were evaluated in this cross-sectional
study of United States adults (aged >= 20) exposed to lead via the National
Health and Nutrition Examination Survey 2007-2008 and the 2009-2010 datasets. In
four quartiles of exposure-0-2 MUg/dL, 2-5 MUg/dL, 5-10 MUg/dL, and 10 MUg/dL and
over, clinical and anthropometric markers were evaluated-to examine how the
markers manifested in the quartiles. Associations were determined via linear
regression. Finally, clinical makers, and how they manifested between exposed and
less-exposed occupations, were explored in addition to how duration of exposure
altered these clinical markers. In regression analysis, Diastolic Blood Pressure
(DBP) and high-density lipoprotein (HDL) cholesterol, were significantly
associated with blood lead level (BLL). In the occupational analysis, Systolic
Blood Pressure (SBP), DBP, C-reactive protein (CRP), triglycerides, low-density
lipoprotein (LDL) cholesterol, high-density lipoprotein (HDL) cholesterol, showed
differences between populations in the exposed and less-exposed occupations.
Regarding Agriculture, Forestry & Fishing, the duration of exposure altered SBP,
CRP, and LDL cholesterol. With mining, the duration of exposure altered SBP, DBP,
triglycerides, and HDL cholesterol, whereas in construction, the duration in
occupation altered SBP, triglycerides, and CRP. In conclusion, lead exposure has
a profound effect on the cardiovascular system, with potentially adverse outcomes
existing at all exposure levels.
PMID- 29662033
TI - Evaluating Molecular Properties Involved in Transport of Small Molecules in
Stratum Corneum: A Quantitative Structure-Activity Relationship for Skin
Permeability.
AB - The skin permeability (Kp) defines the rate of a chemical penetrating across the
stratum corneum. This value is widely used to quantitatively describe the
transport of molecules in the outermost layer of epidermal skin and indicate the
significance of skin absorption. This study defined a Kp quantitative structure
activity relationship (QSAR) based on 106 chemical substances of Kp measured
using human skin and interpreted the molecular interactions underlying transport
behavior of small molecules in the stratum corneum. The Kp QSAR developed in this
study identified four molecular descriptors that described the molecular
cyclicity in the molecule reflecting local geometrical environments, topological
distances between pairs of oxygen and chlorine atoms, lipophilicity, and
similarity to antineoplastics in molecular properties. This Kp QSAR considered
the octanol-water partition coefficient to be a direct influence on transdermal
movement of molecules. Moreover, the Kp QSAR identified a sub-domain of molecular
properties initially defined to describe the antineoplastic resemblance of a
compound as a significant factor in affecting transdermal permeation of solutes.
This finding suggests that the influence of molecular size on the chemical's skin
permeating capability should be interpreted with other relevant physicochemical
properties rather than being represented by molecular weight alone.
PMID- 29662034
TI - Inline Determination of Residence Time Distribution in Hot-Melt-Extrusion.
AB - In the framework of Quality-by-Design (QbD), the inline determination of process
parameters or quality attributes of a product using sufficient process analytical
technology (PAT) is a center piece for the establishment of continuous processes
as a standard pharmaceutical technology. In this context, Twin-Screw-Extrusion
(TSE) processes, such as Hot-Melt-Extrusion (HME), are one key aspect of current
research. The main benefit of this process technology is the combination of
different unit operations. Several of these sub-processes are linked to the
Residence Time Distribution (RTD) of the material within the apparatus. In this
study a UV/Vis spectrophotometer from ColVisTec was tested regarding the
suitability for the inline determination of the RTD of an HME process. Two
different measuring positions within a co-rotating Twin-Screw-Extruder were
compared to an offline HPLC-UV as reference method. The obtained results were
overall in good agreement and therefore the inline UV/Vis spectrophotometer is
suitable for the determination of the RTD in TSE. An influence of the measuring
position on repeatability was found and has to be taken into consideration for
the implementation of PATs. An effect of the required amount of marker on process
rheology is not likely due to the low Limit-of-Quantification (LoQ).
PMID- 29662035
TI - Structure and Magnetism of Mn5Ge3 Nanoparticles.
AB - In this work, we investigated the magnetic and structural properties of isolated
Mn5Ge3 nanoparticles prepared by the cluster-beam deposition technique. Particles
with sizes between 7.2 and 12.6 nm were produced by varying the argon pressure
and power in the cluster gun. X-ray diffraction (XRD)and selected area
diffraction (SAD) measurements show that the nanoparticles crystallize in the
hexagonal Mn5Si3-type crystal structure, which is also the structure of bulk
Mn5Ge3. The temperature dependence of the magnetization shows that the as-made
particles are ferromagnetic at room temperature and have slightly different Curie
temperatures. Hysteresis-loop measurements show that the saturation magnetization
of the nanoparticles increases significantly with particle size, varying from 31
kA/m to 172 kA/m when the particle size increases from 7.2 to 12.6 nm. The
magnetocrystalline anisotropy constant K at 50 K, determined by fitting the high
field magnetization data to the law of approach to saturation, also increases
with particle size, from 0.4 * 105 J/m3 to 2.9 * 105 J/m3 for the respective
sizes. This trend is mirrored by the coercivity at 50 K, which increases from
0.04 T to 0.13 T. A possible explanation for the magnetization trend is a radial
Ge concentration gradient.
PMID- 29662036
TI - Electrochemical Aptamer-Based Sensors for Rapid Point-of-Use Monitoring of the
Mycotoxin Ochratoxin A Directly in a Food Stream.
AB - The ability to measure the concentration of specific small molecules continuously
and in real-time in complex sample streams would impact many areas of
agriculture, food safety, and food production. Monitoring for mycotoxin taint in
real time during food processing, for example, could improve public health.
Towards this end, we describe here an inexpensive electrochemical DNA-based
sensor that supports real-time monitor of the mycotoxin ochratoxin A in a flowing
stream of foodstuffs.
PMID- 29662037
TI - Towards the Development of Global Nano-Quantitative Structure-Property
Relationship Models: Zeta Potentials of Metal Oxide Nanoparticles.
AB - Zeta potential indirectly reflects a charge of the surface of nanoparticles in
solutions and could be used to represent the stability of the colloidal solution.
As processes of synthesis, testing and evaluation of new nanomaterials are
expensive and time-consuming, so it would be helpful to estimate an approximate
range of properties for untested nanomaterials using computational modeling. We
collected the largest dataset of zeta potential measurements of bare metal oxide
nanoparticles in water (87 data points). The dataset was used to develop
quantitative structure-property relationship (QSPR) models. Essential features of
nanoparticles were represented using a modified simplified molecular input line
entry system (SMILES). SMILES strings reflected the size-dependent behavior of
zeta potentials, as the considered quasi-SMILES modification included information
about both chemical composition and the size of the nanoparticles. Three
mathematical models were generated using the Monte Carlo method, and their
statistical quality was evaluated (R2 for the training set varied from 0.71 to
0.87; for the validation set, from 0.67 to 0.82; root mean square errors for both
training and validation sets ranged from 11.3 to 17.2 mV). The developed models
were analyzed and linked to aggregation effects in aqueous solutions.
PMID- 29662038
TI - Alignment of Boron Nitride Nanofibers in Epoxy Composite Films for Thermal
Conductivity and Dielectric Breakdown Strength Improvement.
AB - Development of polymer-based composites with simultaneously high thermal
conductivity and breakdown strength has attracted considerable attention owing to
their important applications in both electronic and electric industries. In this
work, boron nitride (BN) nanofibers (BNNF) are successfully prepared as fillers,
which are used for epoxy composites. In addition, the BNNF in epoxy composites
are aligned by using a film casting method. The composites show enhanced thermal
conductivity and dielectric breakdown strength. For instance, after doping with
BNNF of 2 wt%, the thermal conductivity of composites increased by 36.4% in
comparison with that of the epoxy matrix. Meanwhile, the breakdown strength of
the composite with 1 wt% BNNF is 122.9 kV/mm, which increased by 6.8% more than
that of neat epoxy (115.1 kV/mm). Moreover, the composites have maintained a low
dielectric constant and alternating current conductivity among the range of full
frequency, and show a higher thermal decomposition temperature and glass
transition temperature. The composites with aligning BNNF have wide application
prospects in electronic packaging material and printed circuit boards.
PMID- 29662039
TI - Poly(vinyl Chloride) Photostabilization in the Presence of Schiff Bases
Containing a Thiadiazole Moiety.
AB - Five Schiff bases containing a thiadiazole moiety have been used as poly(vinyl
chloride) photostabilizers at low concentrations. The efficiency of Schiff bases
as photostabilizers was investigated using various techniques, for example, the
changes in poly(vinyl chloride) infrared spectra, molecular weight, chain
scission quantum yield, and surface morphology were monitored upon irradiation
with an ultraviolet light. Evidently, all the additives used inhibited poly(vinyl
chloride) photodegradation at a significant level. The most efficient Schiff base
exhibited a high level of aromaticity and contained a hydroxyl group. It seems
possible that such photostabilization could be due to the direct absorption of
ultraviolet radiation by the additives. In addition, Schiff bases could act as
radical scavengers and proton transfer facilitators to stabilize the polymeric
materials.
PMID- 29662040
TI - Liquid Membranes as a Tool for Chemical Speciation of Metals in Natural Waters:
Organic and Inorganic Complexes of Nickel.
AB - The different species of nickel present in natural waters exhibit different
transport behaviour through bulk liquid membranes (BLMs). This fact has been used
to design and optimise a separation/pre-concentration system applicable to
separate labile and non-labile nickel fractions. A hydrazone derivative-1,2
cyclohexanedione bis-benzoyl-hydrazone (1,2-CHBBH) dissolved in toluene/dimethyl
formamide (2% DMF)-was used as a chemical carrier of nickel species, from an
aqueous source solution (sample) to a receiving acidic solution. Both chemical
and hydrodynamic conditions controlling the transport system were studied and
optimised. Under optimum conditions, variations in the transport of nickel ions
as a function of organic (humic acids) and inorganic (chloride ions) ligands were
studied. Relationships between the permeability coefficient (P) or recovery
efficiency (%R) and the concentrations of ligands and nickel species were
analysed using Winhumic V software. A negative correlation between P and the
concentration of organic nickel complexes was found, suggesting that only labile
nickel species are transported through the liquid membrane, with non-labile
complexes remaining in the water sample; allowing for their separation and
subsequent quantification in natural waters.
PMID- 29662042
TI - One-Pot, Highly Stereoselective Synthesis of Dithioacetal-alpha,alpha
Diglycosides.
AB - A one-step access to dithioacetal-alpha,alpha-diglycosides is reported. The
synthetic strategy is based on the thioacetalization of aldehydes or ketones via
highly stereoselective ring-opening of 1,6 anhydrosugars with
bis(trimethylsilyl)sulfide.
PMID- 29662041
TI - Formulation, Development, and In Vitro Evaluation of a CD22 Targeted Liposomal
System Containing a Non-Cardiotoxic Anthracycline for B Cell Malignancies.
AB - Doxorubicin cardiotoxicity has led to the development of superior
chemotherapeutic agents such as AD 198. However, depletion of healthy neutrophils
and thrombocytes from AD 198 therapy must be limited. This can be done by the
development of a targeted drug delivery system that delivers AD 198 to the
malignant cells. The current research highlights the development and in vitro
analysis of targeted liposomes containing AD 198. The best lipids were identified
and optimized for physicochemical effects on the liposomal system. Physiochemical
characteristics such as size, ζ-potential, and dissolution were also
studied. Active targeting to CD22 positive cells was achieved by conjugating anti
CD22 Fab’ to the liposomal surface. Size and ζ-potential of the
liposomes was between 115 and 145 nm, and −8 to−15 mV. 30% drug was
released over 72 h. Higher cytotoxicity was observed in CD22+ve Daudi cells
compared to CD22−ve Jurkat cells. The route of uptake was a clathrin- and
caveolin-independent pathway. Intracellular localization of the liposomes was in
the endolysosomes. Upon drug release, apoptotic pathways were activated partly by
the regulation of apoptotic and oncoproteins such as caspase-3 and c-myc. It was
observed that the CD22 targeted drug delivery system was more potent and specific
compared to other untargeted formulations.
PMID- 29662043
TI - Big Data Clustering via Community Detection and Hyperbolic Network Embedding in
IoT Applications.
AB - In this paper, we present a novel data clustering framework for big sensory data
produced by IoT applications. Based on a network representation of the relations
among multi-dimensional data, data clustering is mapped to node clustering over
the produced data graphs. To address the potential very large scale of such
datasets/graphs that test the limits of state-of-the-art approaches, we map the
problem of data clustering to a community detection one over the corresponding
data graphs. Specifically, we propose a novel computational approach for
enhancing the traditional Girvan-Newman (GN) community detection algorithm via
hyperbolic network embedding. The data dependency graph is embedded in the
hyperbolic space via Rigel embedding, allowing more efficient computation of edge
betweenness centrality needed in the GN algorithm. This allows for more efficient
clustering of the nodes of the data graph in terms of modularity, without
sacrificing considerable accuracy. In order to study the operation of our
approach with respect to enhancing GN community detection, we employ various
representative types of artificial complex networks, such as scale-free, small
world and random geometric topologies, and frequently-employed benchmark datasets
for demonstrating its efficacy in terms of data clustering via community
detection. Furthermore, we provide a proof-of-concept evaluation by applying the
proposed framework over multi-dimensional datasets obtained from an operational
smart-city/building IoT infrastructure provided by the Federated Interoperable
Semantic IoT/cloud Testbeds and Applications (FIESTA-IoT) testbed federation. It
is shown that the proposed framework can be indeed used for community
detection/data clustering and exploited in various other IoT applications, such
as performing more energy-efficient smart-city/building sensing.
PMID- 29662044
TI - Vitamin D Deficiency Prevalence and Predictors in Early Pregnancy among Arab
Women.
AB - Data regarding the prevalence and predictors of vitamin D deficiency during early
pregnancy are limited. This study aims to fill this gap. A total of 578 Saudi
women in their 1st trimester of pregnancy were recruited between January 2014 and
December 2015 from three tertiary care antenatal clinics in Riyadh, Saudi Arabia.
Information collected includes socio-economic, anthropometric, and biochemical
data, including serum vitamin D (25(OH)D) levels, intake of calcium and vitamin
D, physical activity, and sun exposure indices. Pregnant women with 25(OH)D
levels <50 nmol/L were considered vitamin D deficient. The majority of
participants (n = 468 (81%)) were vitamin D deficient. High levels of indoor
activity, whole body clothing, multiparity, total cholesterol/HDL ratio(>3.5),
low HDL-cholesterol, and living in West Riyadh were significant independent
predictors for vitamin D deficiency, with odds ratios (ORs) (95% confidence
interval) of 25.4 (5.5–117.3), 17.8 (2.3–138.5), 4.0 (1.7–9.5),
3.3 (1.4–7.9), 2.8 (1.2–6.4), and 2.0 (1.1–3.5), respectively.
Factors like increased physical activity, sun exposure at noon, sunrise or
sunset, high educational status, and residence in North Riyadh were protective
against vitamin D deficiency with ORs 0.2 (0.1–0.5); 0.2 (0.1–0.6);
0.3 (0.1–0.9); and 0.4 (0.2–0.8), respectively. All ORs were adjusted
for age, BMI, sun exposure, parity, summer season, vitamin D intake, multivitamin
intake, physical activity, education, employment, living in the north, and
coverage with clothing. In conclusion, the prevalence of vitamin D deficiency
among Saudi women during early pregnancy was high (81%). Timely detection and
appropriate supplementation with adequate amounts of vitamin D should reduce the
risks of vitamin D deficiency and its complications during pregnancy.
PMID- 29662046
TI - Near-Drowning: To Be or Not to Be ... Is It the Question?
PMID- 29662045
TI - Macronutrient and Major Food Group Intake in a Cohort of Southern Italian Adults.
AB - BACKGROUND: Dietary intake of macronutrient and foods is considered crucial to
decrease the risk of diet-related non-communicable diseases. METHODS: The aim of
this study was to describe the intake of major food groups and macronutrients in
a random sample of 1838 southern Italian adults. RESULTS: No significant
differences of macronutrient consumption between sexes were found. By contrast,
younger individuals had significantly higher intake of animal protein than older
ones. Men reported consuming significantly more total processed meats and less
eggs than women; egg consumption significantly increased by age groups.
Significantly lower intake of fruit in the younger age group compared to older
ones was found. Various patterns of correlation between food groups were
described. More than half of individuals reached the suggested recommendations
for carbohydrate and fiber intake, and about two-thirds met the recommendations
for total protein and cholesterol intake, while only a minority met for total fat
intake. Total and plant protein, monounsaturated and omega-6 fatty acids, were
significantly inversely related with BMI (body mass index), while trans fatty
acids and cholesterol were directly correlated. A direct association with
unprocessed meats and an inverse association with processed meats was also found.
CONCLUSIONS: The overall findings suggest that relatively healthy dietary habits
are common in southern Italy.
PMID- 29662047
TI - Mechanisms and FEM Simulation of Chip Formation in Orthogonal Cutting In-Situ
TiB2/7050Al MMC.
AB - The in-situ TiB2/7050Al composite is a new kind of Al-based metal matrix
composite (MMC) with super properties, such as low density, improved strength,
and wear resistance. This paper, for a deep insight into its cutting performance,
involves a study of the chip formation process and finite element simulation
during orthogonal cutting in-situ TiB2/7050Al MMC. With chips, material
properties, cutting forces, and tool geometry parameters, the Johnson-Cook (J-C)
constitutive equation of in-situ TiB2/7050Al composite was established. Then, the
cutting simulation model was established by applying the Abaqus-Explicit method,
and the serrated chip, shear plane, strain rate, and temperature were analyzed.
The experimental and simulation results showed that the obtained material's
constitutive equation was of high reliability, and the saw-tooth chips occurred
commonly under either low or high cutting speed and small or large feed rate.
From result analysis, it was found that the mechanisms of chip formation included
plastic deformation, adiabatic shear, shearing slip, and crack extension. In
addition, it was found that the existence of small, hard particles reduced the
ductility of the MMC and resulted in segmental chips.
PMID- 29662048
TI - "What Is a Step?" Differences in How a Step Is Detected among Three Popular
Activity Monitors That Have Impacted Physical Activity Research.
AB - (1) Background: This study compared manually-counted treadmill walking steps from
the hip-worn DigiwalkerSW200 and OmronHJ720ITC, and hip and wrist-worn ActiGraph
GT3X+ and GT9X; determined brand-specific acceleration amplitude (g) and/or
frequency (Hz) step-detection thresholds; and quantified key features of the
acceleration signal during walking. (2) Methods: Twenty participants (Age: 26.7
+/- 4.9 years) performed treadmill walking between 0.89-to-1.79 m/s (2-4 mph)
while wearing a hip-worn DigiwalkerSW200, OmronHJ720ITC, GT3X+ and GT9X, and a
wrist-worn GT3X+ and GT9X. A DigiwalkerSW200 and OmronHJ720ITC underwent shaker
testing to determine device-specific frequency and amplitude step-detection
thresholds. Simulated signal testing was used to determine thresholds for the
ActiGraph step algorithm. Steps during human testing were compared using bias and
confidence intervals. (3) Results: The OmronHJ720ITC was most accurate during
treadmill walking. Hip and wrist-worn ActiGraph outputs were significantly
different from the criterion. The DigiwalkerSW200 records steps for movements
with a total acceleration of >=1.21 g. The OmronHJ720ITC detects a step when
movement has an acceleration >=0.10 g with a dominant frequency of >=1 Hz. The
step-threshold for the ActiLife algorithm is variable based on signal frequency.
Acceleration signals at the hip and wrist have distinctive patterns during
treadmill walking. (4) Conclusions: Three common research-grade physical activity
monitors employ different step-detection strategies, which causes variability in
step output.
PMID- 29662049
TI - Acute Lymphoblastic Leukemia Presenting with Liver Infiltration and Severe Lactic
Acidosis.
AB - BACKGROUND Type-B lactic acidosis is a rare complication of solid tumors and
hematological malignancies. It occurs secondary to Warburg effect, when glucose
metabolism in cancer cells switches from the oxidative pathway to the glycolytic
pathway. Malignant lactic acidosis is a life-threatening condition if not
promptly diagnosed and treated urgently. CASE REPORT We report the case of a 58
year-old male patient who presented with severe chest pain, dyspnea, systemic
symptoms, leukopenia, normocytic anemia, and severe lactic acidosis. He was
admitted with a possible diagnosis of acute pericarditis and lactic acidosis.
Sodium bicarbonate replacement did not improve the lactic acidosis. Liver biopsy
was performed because of persistently elevated alkaline phosphatase and gamma
glutamyl transferase; the biopsy showed atypical lymphoblasts and bone marrow
biopsy confirmed the diagnosis of precursor B acute lymphoblastic leukemia.
Lactic acidosis normalized after initiation of chemotherapy. CONCLUSIONS Cancer,
particularly hematological malignancy, should be considered as an etiology and
differential diagnosis of type-B lactic acidosis. Prompt recognition and urgent
initiation of specific therapy to control the underlying malignancy are critical
to manage this serious metabolic complication.
PMID- 29662050
TI - Does Vitamin D Affect Chronic Renal Allograft Function in Pediatric Transplant
Patients?
AB - BACKGROUND Correction of hypovitaminosis D is simple, but it is unclear whether
it is associated with an accelerated decline of renal allograft function in
pediatric renal transplantation patients. This retrospective single center cohort
study aimed at analyzing the effect of vitamin D and covariates on the slope of
1/creatinine after the first year. MATERIAL AND METHODS After ethics committee
approval, 37 (14 male) pediatric renal transplant recipients on mycophenolate
mofetil, who were followed between 2006 and 2014, were included in this study. We
analyzed the slope of 1/creatinine, length of follow-up, average vitamin D
levels, calcium, phosphate, alkaline phosphatase levels, intact parathyroid
hormone (PTH) levels, and therapeutic drug monitoring parameters. RESULTS Median
slope of 1/creatinine was -2.587e-006 L/umol. We divided the 37 patients into two
groups based on slope: 18 patients with a poorer slope and 19 patients with a
good slope, with the median slope of 1/creatinine being significantly different
between the two groups. Creatinine and cystatin C at one-year post
transplantation did not differ between the two groups. Average vitamin D levels
were 71.4+/-31.01 pmol/L and identical in each group (averages 71.67 and 69.23
pmol/L, respectively). Only the mycophenolic acid coefficient of variation (MPA
CV), which may promote formation of donor-specific antibodies, and PTH levels
were significantly associated with 1/creatinine slope. CONCLUSIONS Our data
suggest that the impact of mild and moderate decreased levels of vitamin D can
have a mild impact on the progression of allograft dysfunction in transplant
recipients. However, given the medication burden and adherence challenges in
adolescents, correction of mildly decreased vitamin D levels may not be
necessary.
PMID- 29662051
TI - [Knowledge and attitudes toward organ donation among health professionals in a
third level hospital].
AB - OBJECTIVE: The Spanish model is the model adopted by many countries to increase
their donation rate, being the implication of the healthcare professionals one of
the keys to this success. The attitude of these before the donation is crucial
for the hour of influence on the population. Organ transplantation has been
established as an effective treatment that has been improving over the years. The
objective was to determine the knowledge and attitudes of health professionals
before the donation of organs. METHODS: Cross-sectional descriptive study. An ad
hoc questionnaire was conducted and distributed among the health professionals
(medical staff, nurses and nursing assistants) of a tertiary hospital during
February 2015. A total of 615 potential participants were estimated in the
different areas of the hospital. A total of 342 completed questionnaires were
collected (55%). The statistical analysis with SPSS(r) Statistics for Windows.
Version 20.0. A level of significance P lower than 0.05 was used in all the
analyses. RESULTS: The average age of the respondents was 43.34 (SD = 10.37)
years, being 86.6% women and 60% nurses. 35.5% showed good knowledge about the
donation process, being higher in men (51.1% Vs 33.1%, p lower than 0.05),
medical personnel (55% vs 34.3% vs 31.9%). %; p lower than 0.05) and lower in
those services with a direct relationship with the donation process (36.8% vs
31.9%, p lower than 0.05). 71% of the professionals expressed their willingness
to donate their organs, with special sensitivity towards donation those services
in direct relation with the donation program (82.2% vs 65.9%, OR: 1.24, p lower
than 0.001 ). 50% of the professionals would donate the organs of a family
member; the medical group had the highest percentage (70% vs 50.7% vs 40.4%, OR:
3.8, p lower than 0.05). 74.5% knew some Spanish legal document about donation
and transplants. CONCLUSIONS: Health professionals as a whole have a low level of
knowledge; but a good attitude towards donation.
PMID- 29662052
TI - Ultra-thin high-efficiency mid-infrared transmissive Huygens meta-optics.
AB - The mid-infrared (mid-IR) is a strategically important band for numerous
applications ranging from night vision to biochemical sensing. Here we
theoretically analyzed and experimentally realized a Huygens metasurface platform
capable of fulfilling a diverse cross-section of optical functions in the mid-IR.
The meta-optical elements were constructed using high-index chalcogenide films
deposited on fluoride substrates: the choices of wide-band transparent materials
allow the design to be scaled across a broad infrared spectrum. Capitalizing on a
two-component Huygens' meta-atom design, the meta-optical devices feature an
ultra-thin profile (lambda0/8 in thickness) and measured optical efficiencies up
to 75% in transmissive mode for linearly polarized light, representing major
improvements over state-of-the-art. We have also demonstrated mid-IR transmissive
meta-lenses with diffraction-limited focusing and imaging performance. The
projected size, weight and power advantages, coupled with the manufacturing
scalability leveraging standard microfabrication technologies, make the Huygens
meta-optical devices promising for next-generation mid-IR system applications.
PMID- 29662053
TI - Highly stable graphene-oxide-based membranes with superior permeability.
AB - Increasing fresh water demand for drinking and agriculture is one of the grand
challenges of our age. Graphene oxide (GO) membranes have shown a great potential
for desalination and water purification. However, it is challenging to further
improve the water permeability without sacrificing the separation efficiency, and
the GO membranes are easily delaminated in aqueous solutions within few hours.
Here, we report a class of reduced GO membranes with enlarged interlayer distance
fabricated by using theanine amino acid and tannic acid as reducing agent and
cross-linker. Such membranes show water permeance over 10,000 L m-2 h-1 bar-1,
which is 10-1000 times higher than those of previously reported GO-based
membranes and commercial membranes, and good separation efficiency, e.g.,
rhodamine B and methylene blue rejection of ~100%. Moreover, they show no damage
or delamination in water, acid, and basic solutions even after months.
PMID- 29662054
TI - Characterising the phenotypic evolution of circulating tumour cells during
treatment.
AB - Real-time monitoring of cancer cells' phenotypic evolution during therapy can
provide vital tumour biology information for treatment management. Circulating
tumour cell (CTC) analysis has emerged as a useful monitoring tool, but its
routine usage is restricted by either limited multiplexing capability or
sensitivity. Here, we demonstrate the use of antibody-conjugated and Raman
reporter-coated gold nanoparticles for simultaneous labelling and monitoring of
multiple CTC surface markers (named as "cell signature"), without the need for
isolating individual CTCs. We observe cell heterogeneity and phenotypic changes
of melanoma cell lines during molecular targeted treatment. Furthermore, we
follow the CTC signature changes of 10 stage-IV melanoma patients receiving
immunological or molecular targeted therapies. Our technique maps the phenotypic
evolution of patient CTCs sensitively and rapidly, and shows drug-resistant
clones having different CTC signatures of potential clinical value. We believe
our proposed method is of general interest in the CTC relevant research and
translation fields.
PMID- 29662055
TI - In silico optimization of a guava antimicrobial peptide enables combinatorial
exploration for peptide design.
AB - Plants are extensively used in traditional medicine, and several plant
antimicrobial peptides have been described as potential alternatives to
conventional antibiotics. However, after more than four decades of research no
plant antimicrobial peptide is currently used for treating bacterial infections,
due to their length, post-translational modifications or high dose requirement
for a therapeutic effect . Here we report the design of antimicrobial peptides
derived from a guava glycine-rich peptide using a genetic algorithm. This
approach yields guavanin peptides, arginine-rich alpha-helical peptides that
possess an unusual hydrophobic counterpart mainly composed of tyrosine residues.
Guavanin 2 is characterized as a prototype peptide in terms of structure and
activity. Nuclear magnetic resonance analysis indicates that the peptide adopts
an alpha-helical structure in hydrophobic environments. Guavanin 2 is
bactericidal at low concentrations, causing membrane disruption and triggering
hyperpolarization. This computational approach for the exploration of natural
products could be used to design effective peptide antibiotics.
PMID- 29662056
TI - Neuronal SphK1 acetylates COX2 and contributes to pathogenesis in a model of
Alzheimer's Disease.
AB - Although many reports have revealed the importance of defective microglia
mediated amyloid beta phagocytosis in Alzheimer's disease (AD), the underlying
mechanism remains to be explored. Here we demonstrate that neurons in the brains
of patients with AD and AD mice show reduction of sphingosine kinase1 (SphK1),
leading to defective microglial phagocytosis and dysfunction of inflammation
resolution due to decreased secretion of specialized proresolving mediators
(SPMs). Elevation of SphK1 increased SPMs secretion, especially 15-R-Lipoxin A4,
by promoting acetylation of serine residue 565 (S565) of cyclooxygenase2 (COX2)
using acetyl-CoA, resulting in improvement of AD-like pathology in APP/PS1 mice.
In contrast, conditional SphK1 deficiency in neurons reduced SPMs secretion and
abnormal phagocytosis similar to AD. Together, these results uncover a novel
mechanism of SphK1 pathogenesis in AD, in which impaired SPMs secretion leads to
defective microglial phagocytosis, and suggests that SphK1 in neurons has acetyl
CoA-dependent cytoplasmic acetyltransferase activity towards COX2.
PMID- 29662057
TI - Quantitative assessment of protein activity in orphan tissues and single cells
using the metaVIPER algorithm.
AB - We and others have shown that transition and maintenance of biological states is
controlled by master regulator proteins, which can be inferred by interrogating
tissue-specific regulatory models (interactomes) with transcriptional signatures,
using the VIPER algorithm. Yet, some tissues may lack molecular profiles
necessary for interactome inference (orphan tissues), or, as for single cells
isolated from heterogeneous samples, their tissue context may be undetermined. To
address this problem, we introduce metaVIPER, an algorithm designed to assess
protein activity in tissue-independent fashion by integrative analysis of
multiple, non-tissue-matched interactomes. This assumes that transcriptional
targets of each protein will be recapitulated by one or more available
interactomes. We confirm the algorithm's value in assessing protein dysregulation
induced by somatic mutations, as well as in assessing protein activity in orphan
tissues and, most critically, in single cells, thus allowing transformation of
noisy and potentially biased RNA-Seq signatures into reproducible protein
activity signatures.
PMID- 29662058
TI - Greenland records of aerosol source and atmospheric lifetime changes from the
Eemian to the Holocene.
AB - The Northern Hemisphere experienced dramatic changes during the last glacial,
featuring vast ice sheets and abrupt climate events, while high northern
latitudes during the last interglacial (Eemian) were warmer than today. Here we
use high-resolution aerosol records from the Greenland NEEM ice core to
reconstruct the environmental alterations in aerosol source regions accompanying
these changes. Separating source and transport effects, we find strongly reduced
terrestrial biogenic emissions during glacial times reflecting net loss of
vegetated area in North America. Rapid climate changes during the glacial have
little effect on terrestrial biogenic aerosol emissions. A strong increase in
terrestrial dust emissions during the coldest intervals indicates higher aridity
and dust storm activity in East Asian deserts. Glacial sea salt aerosol emissions
in the North Atlantic region increase only moderately (50%), likely due to sea
ice expansion. Lower aerosol concentrations in Eemian ice compared to the
Holocene are mainly due to shortened atmospheric residence time, while emissions
changed little.
PMID- 29662060
TI - Author Correction: Harmonic phase in polar liquids and spin ice.
AB - The original version of this Article contained an error in the third sentence of
the penultimate paragraph of the 'Definition of the harmonic phase' section of
the Results, which incorrectly read 'In the Coulomb phase the tensor S alphabeta
(q) has one zero and two degenerate eigenvalues and it may be represented by an
infinitely thin disc of radius 3/2 with its axis parallel to q.' The correct
version states '[Formula: see text]' in place of '3/2.'Similarly, the second
sentence in the legend of Fig. 3 originally incorrectly read 'In the Coulomb
phase it is an infinitely thin disc with radius 3/2, but in the harmonic phase it
becomes an oblate spheroid, that evolves from the disc in the low-temperature
limit towards a unit sphere at high temperature (disc and example spheroid shown
overlaid together).' The correct version states ' [Formula: see text] ' instead
of '3/2'.This has been corrected in both the PDF and HTML versions of the
Article.
PMID- 29662059
TI - Genome-wide association study of depression phenotypes in UK Biobank identifies
variants in excitatory synaptic pathways.
AB - Depression is a polygenic trait that causes extensive periods of disability.
Previous genetic studies have identified common risk variants which have
progressively increased in number with increasing sample sizes of the respective
studies. Here, we conduct a genome-wide association study in 322,580 UK Biobank
participants for three depression-related phenotypes: broad depression, probable
major depressive disorder (MDD), and International Classification of Diseases
(ICD, version 9 or 10)-coded MDD. We identify 17 independent loci that are
significantly associated (P < 5 * 10-8) across the three phenotypes. The
direction of effect of these loci is consistently replicated in an independent
sample, with 14 loci likely representing novel findings. Gene sets are enriched
in excitatory neurotransmission, mechanosensory behaviour, post synapse, neuron
spine and dendrite functions. Our findings suggest that broad depression is the
most tractable UK Biobank phenotype for discovering genes and gene sets that
further our understanding of the biological pathways underlying depression.
PMID- 29662061
TI - RPAP3 provides a flexible scaffold for coupling HSP90 to the human R2TP co
chaperone complex.
AB - The R2TP/Prefoldin-like co-chaperone, in concert with HSP90, facilitates assembly
and cellular stability of RNA polymerase II, and complexes of PI3-kinase-like
kinases such as mTOR. However, the mechanism by which this occurs is poorly
understood. Here we use cryo-EM and biochemical studies on the human R2TP core
(RUVBL1-RUVBL2-RPAP3-PIH1D1) which reveal the distinctive role of RPAP3,
distinguishing metazoan R2TP from the smaller yeast equivalent. RPAP3 spans both
faces of a single RUVBL ring, providing an extended scaffold that recruits
clients and provides a flexible tether for HSP90. A 3.6 A cryo-EM structure
reveals direct interaction of a C-terminal domain of RPAP3 and the ATPase domain
of RUVBL2, necessary for human R2TP assembly but absent from yeast. The mobile
TPR domains of RPAP3 map to the opposite face of the ring, associating with
PIH1D1, which mediates client protein recruitment. Thus, RPAP3 provides a
flexible platform for bringing HSP90 into proximity with diverse client proteins.
PMID- 29662062
TI - Pausing controls branching between productive and non-productive pathways during
initial transcription in bacteria.
AB - Transcription in bacteria is controlled by multiple molecular mechanisms that
precisely regulate gene expression. It has been recently shown that initial RNA
synthesis by the bacterial RNA polymerase (RNAP) is interrupted by pauses;
however, the pausing determinants and the relationship of pausing with productive
and abortive RNA synthesis remain poorly understood. Using single-molecule FRET
and biochemical analysis, here we show that the pause encountered by RNAP after
the synthesis of a 6-nt RNA (ITC6) renders the promoter escape strongly dependent
on the NTP concentration. Mechanistically, the paused ITC6 acts as a checkpoint
that directs RNAP to one of three competing pathways: productive transcription,
abortive RNA release, or a new unscrunching/scrunching pathway. The cyclic
unscrunching/scrunching of the promoter generates a long-lived, RNA-bound paused
state; the abortive RNA release and DNA unscrunching are thus not as tightly
linked as previously thought. Finally, our new model couples the pausing with the
abortive and productive outcomes of initial transcription.
PMID- 29662063
TI - Dinosaur diversification linked with the Carnian Pluvial Episode.
AB - Dinosaurs diversified in two steps during the Triassic. They originated about 245
Ma, during the recovery from the Permian-Triassic mass extinction, and then
remained insignificant until they exploded in diversity and ecological importance
during the Late Triassic. Hitherto, this Late Triassic explosion was poorly
constrained and poorly dated. Here we provide evidence that it followed the
Carnian Pluvial Episode (CPE), dated to 234-232 Ma, a time when climates switched
from arid to humid and back to arid again. Our evidence comes from a combined
analysis of skeletal evidence and footprint occurrences, and especially from the
exquisitely dated ichnofaunas of the Italian Dolomites. These provide evidence of
tetrapod faunal compositions through the Carnian and Norian, and show that
dinosaur footprints appear exactly at the time of the CPE. We argue then that
dinosaurs diversified explosively in the mid Carnian, at a time of major climate
and floral change and the extinction of key herbivores, which the dinosaurs
opportunistically replaced.
PMID- 29662064
TI - The use of electronic alerts in primary care computer systems to identify the
excessive prescription of short-acting beta2-agonists for people with asthma: a
systematic review.
AB - Computers are increasingly used to improve prescribing decisions in the
management of long-term conditions however the effects on asthma prescribing
remain unclear. We aimed to synthesise the evidence for the use of computerised
alerts that identify excessive prescribing of short-acting beta2-agonists (SABAs)
to improve asthma management for people with asthma. MEDLINE, CINAHL, Embase,
Cochrane and Scopus databases (1990-2016) were searched for randomised controlled
trials using electronic alerts to identify excessive prescribing of SABAs for
people with asthma in primary care. Inclusion eligibility, quality appraisal
(Cochrane risk of bias tool) and data extraction were performed by two
independent reviewers. Findings were synthesised narratively. A total of 2035
articles were screened and four trials were eligible. Three studies had low risk
of bias: one reported a positive effect on our primary outcome of interest,
excessive SABA prescribing; another reported positive effects on the ratio of
inhaled corticosteroid (ICS)-SABA prescribing, and asthma control; a third
reported no effect on outcomes of interest. One study at high risk of bias
reported a reduction in exacerbations and primary care consultations. There is
some evidence that electronic alerts reduce excessive prescribing of SABAs, when
delivered as part of a multicomponent intervention in an integrated health care
system. However due to the variation in health care systems, intervention design
and outcomes measured, further research is required to establish optimal design
of alerting and intervening systems.
PMID- 29662065
TI - Dripplons as localized and superfast ripples of water confined between graphene
sheets.
AB - Carbon materials have unveiled outstanding properties as membranes for water
transport, both in 1D carbon nanotube and between 2D graphene layers. In the
ultimate confinement, water properties however strongly deviate from the
continuum, showing exotic properties with numerous counterparts in fields ranging
from nanotribology to biology. Here, by means of molecular dynamics, we show a
self-organized inhomogeneous structure of water confined between graphene sheets,
whereby the very strong localization of water defeats the energy cost for bending
the graphene sheets. This leads to a two-dimensional water droplet accompanied by
localized graphene ripples, which we call "dripplon." Additional osmotic effects
originating in dissolved impurities are shown to further stabilize the dripplon.
Our analysis also reveals a counterintuitive superfast dynamics of the dripplons,
comparable to that of individual water molecules. They move like a (nano-) ruck
in a rug, with water molecules and carbon atoms exchanging rapidly across the
dripplon interface.
PMID- 29662066
TI - Memory effect and magnetocrystalline anisotropy impact on the surface magnetic
domains of magnetite(001).
AB - The structure of magnetic domains, i.e. regions of uniform magnetization
separated by domain walls, depends on the balance of competing interactions
present in ferromagnetic (or ferrimagnetic) materials. When these interactions
change then domain configurations also change as a result. Magnetite provides a
good test bench to study these effects, as its magnetocrystalline anisotropy
varies significantly with temperature. Using spin-polarized electron microscopy
to map the micromagnetic domain structure in the (001) surface of a macroscopic
magnetite crystal (~1 cm size) shows complex domain patterns with characteristic
length-scales in the micrometer range and highly temperature dependent domain
geometries. Although heating above the Curie temperature erases the domain
patterns completely, cooling down reproduces domain patterns not only in terms of
general characteristics: instead, complex microscopic domain geometries are
reproduced in almost perfect fidelity between heating cycles. A possible
explanation of the origin of the high-fidelity reproducibility is suggested to be
a combination of the presence of hematite inclusions that lock bulk domains,
together with the strong effect of the first order magnetocrystalline anisotropy
which competes with the shape anisotropy to give rise to the observed complex
patterns.
PMID- 29662067
TI - Author Correction: Radioligand binding analysis of alpha 2 adrenoceptors with
[11C]yohimbine in brain in vivo: Extended Inhibition Plot correction for plasma
protein binding.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662068
TI - Incidental haptic sensations influence judgment of crimes.
AB - Extralegal factors may influence judicial outcomes. Here we investigated the
experience of incidental haptic sensations on the harshness of punishment
recommendations. Based on recent theories of embodiment, which claim that
cognitive representations are structured by metaphorical mappings from sensory
experience, we hypothesized that tactile priming with hard objects would cause
subjects to recommend harsher sentences (to be 'hard on crime'). Furthermore, the
theory of embodiment predicts that this effect should be based on sensorimotor
brain activation during the judging process. In order to test this we presented
participants with scenarios that described various crimes while scanning their
brain activity with fMRI. Participants were then asked to rate how severely they
would sentence the delinquents. Before the scenarios, the participants were
primed by touching either a hard or a soft object. Results revealed tha t hard
priming led participants to recommend harder punishments. These results were
accompanied by activation of somatosensory brain areas during the judging phase.
This outcome is in line with simulation assumptions of the embodiment theory and
proposes a central role of the sensorimotor cortices for embodied metaphors.
Thus, incidental tactile experiences can influence our abstract cognitions and
even how hard we are on criminals.
PMID- 29662069
TI - Author Correction: Antimicrobial activity of Ti-ZrN/Ag coatings for use in
biomaterial applications.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662070
TI - Systemic and sex-biased regulation of OBP expression under semiochemical stimuli.
AB - Constitutive expression of Odorant-Binding Proteins (OBPs) in antennae and other
body parts has been examined mainly to infer their involvement in insect
olfaction, while their regulation in response to semiochemical stimuli has
remained poorly known. Previous studies of semiochemical response were basically
done using electrophysiology, which integrates the response of the set of OBPs
present in an antenna or sensillum, without revealing the regulation of OBPs or
which ones might be involved. In this study we used boll weevil as a model and
mined its OBPs by RNA-Seq to study their simultaneous antennal expression by qPCR
under controlled semiochemical stimuli with aggregation pheromone and plant
volatiles. In the absence of a semiochemical stimulus, 23 of 24 OBPs were
constitutively expressed in the antenna in both sexes. Semiochemicals changed
systemically the expression of OBPs in both sexes. There were different patterns
of up- and down-regulation in female antennae for each semiochemical stimulus,
consistent with female chemical ecology. On the other hand, the only response in
males was down-regulation of some OBPs. We suggest that these systemic changes in
OBP expression might be related to enhancing detection of the semiochemical
stimuli and/or priming the olfactory system to detect other environmental
chemicals.
PMID- 29662072
TI - A Firefly Luciferase Dual Color Bioluminescence Reporter Assay Using Two
Substrates To Simultaneously Monitor Two Gene Expression Events.
AB - Effective methods for monitoring eukaryotic gene expression and regulation based
on bioluminescence - the emission of light by living organisms - are well
established. Typically, the expression of a gene of interest is reported on with
high sensitivity and over a wide dynamic range by the emission of light from a
variety of engineered luciferase genes from beetles and marine organisms. The
luciferase reporter genes are expressed downstream of the target gene or promoter
and detected after exogenous addition of luciferin substrates. We describe a
novel bioluminescence reporter method for the simultaneous monitoring of two
genes expressing engineered firefly luciferase variants that emit readily
distinguishable green and red light signals. The key feature is the selectivity
of the enzymes for two luciferin substrates that determine each emission color.
To validate our method, we performed a complex promoter transactivation
experiment side-by-side with the Dual-Luciferase Reporter protocol and obtained
essentially identical results. Additional comparative experiments demonstrated
that our assay system provided improvements in background, cell normalization,
and detectability compared to representative available methods. With access to a
luminometer equipped with two optical filters, this method is an excellent choice
for genetic reporter assays that can be performed with a single reagent solution.
PMID- 29662073
TI - Global warming hiatus contributed to the increased occurrence of intense tropical
cyclones in the coastal regions along East Asia.
AB - The recent global warming hiatus (GWH) was characterized by a La Nina-like
cooling in the tropical Eastern Pacific accompanied with the Indian Ocean and the
tropical Atlantic Ocean warming. Here we show that the recent GWH contributed
significantly to the increased occurrence of intense tropical cyclones in the
coastal regions along East Asia since 1998. The GWH associated sea surface
temperature anomalies triggered a pair of anomalous cyclonic and anticyclonic
circulations and equatorial easterly anomalies over the Northwest Pacific, which
favored TC genesis and intensification over the western Northwest Pacific but
suppressed TC genesis and intensification over the southeastern Northwest Pacific
due to increased vertical wind shear and anticyclonic circulation anomalies.
Results from atmospheric general circulation model experiments demonstrate that
the Pacific La Nina-like cooling dominated the Indian Ocean and the tropical
Atlantic Ocean warming in contributing to the observed GWH-related anomalous
atmospheric circulation over the Northwest Pacific.
PMID- 29662071
TI - LRH-1 agonism favours an immune-islet dialogue which protects against diabetes
mellitus.
AB - Type 1 diabetes mellitus (T1DM) is due to the selective destruction of islet beta
cells by immune cells. Current therapies focused on repressing the immune attack
or stimulating beta cell regeneration still have limited clinical efficacy.
Therefore, it is timely to identify innovative targets to dampen the immune
process, while promoting beta cell survival and function. Liver receptor
homologue-1 (LRH-1) is a nuclear receptor that represses inflammation in
digestive organs, and protects pancreatic islets against apoptosis. Here, we show
that BL001, a small LRH-1 agonist, impedes hyperglycemia progression and the
immune-dependent inflammation of pancreas in murine models of T1DM, and beta cell
apoptosis in islets of type 2 diabetic patients, while increasing beta cell mass
and insulin secretion. Thus, we suggest that LRH-1 agonism favors a dialogue
between immune and islet cells, which could be druggable to protect against
diabetes mellitus.
PMID- 29662074
TI - Competition between microtubule-associated proteins directs motor transport.
AB - Within cells, motor and non-motor microtubule-associated proteins (MAPs)
simultaneously converge on the microtubule. How the binding activities of non
motor MAPs are coordinated and how they contribute to the balance and
distribution of motor transport is unknown. Here, we examine the relationship
between MAP7 and tau owing to their antagonistic roles in vivo. We find that MAP7
and tau compete for binding to microtubules, and determine a mechanism by which
MAP7 displaces tau from the lattice. MAP7 promotes kinesin-based transport in
vivo and strongly recruits kinesin-1 to the microtubule in vitro, providing
evidence for direct enhancement of motor motility by a MAP. Both MAP7 and tau
strongly inhibit kinesin-3 and have no effect on cytoplasmic dynein,
demonstrating that MAPs differentially control distinct classes of motors.
Overall, these results reveal a general principle for how MAP competition
dictates access to the microtubule to determine the correct distribution and
balance of motor activity.
PMID- 29662075
TI - The unique functioning of a pre-Columbian Amazonian floodplain fishery.
AB - Archaeology provides few examples of large-scale fisheries at the frontier
between catching and farming of fish. We analysed the spatial organization of
earthen embankments to infer the functioning of a landscape-level pre-Columbian
Amazonian fishery that was based on capture of out-migrating fish after
reproduction in seasonal floodplains. Long earthen weirs cross floodplains. We
showed that weirs bear successive V-shaped features (termed 'Vs' for the sake of
brevity) pointing downstream for outflowing water and that ponds are associated
with Vs, the V often forming the pond's downstream wall. How Vs channelled fish
into ponds cannot be explained simply by hydraulics, because Vs surprisingly lack
fishways, where, in other weirs, traps capture fish borne by current flowing
through these gaps. We suggest that when water was still high enough to flow over
the weir, out-migrating bottom-hugging fish followed current downstream into Vs.
Finding deeper, slower-moving water, they remained. Receding water further
concentrated fish in ponds. The pond served as the trap, and this function shaped
pond design. Weir-fishing and pond-fishing are both practiced in African
floodplains today. In combining the two, this pre-Columbian system appears unique
in the world.
PMID- 29662076
TI - A RAB35-p85/PI3K axis controls oscillatory apical protrusions required for
efficient chemotactic migration.
AB - How cells move chemotactically remains a major unmet challenge in cell biology.
Emerging evidence indicates that for interpreting noisy, shallow gradients of
soluble cues a system must behave as an excitable process. Here, through an RNAi
based, high-content screening approach, we identify RAB35 as necessary for the
formation of growth factors (GFs)-induced waves of circular dorsal ruffles
(CDRs), apically restricted actin-rich migratory protrusions. RAB35 is sufficient
to induce recurrent and polarized CDRs that travel as propagating waves, thus
behaving as an excitable system that can be biased to control cell steering.
Consistently, RAB35 is essential for promoting directed chemotactic migration and
chemoinvasion of various cells in response to gradients of motogenic GFs.
Molecularly, RAB35 does so by directly regulating the activity of p85/PI3K
polarity axis. We propose that RAB35 is a molecular determinant for the control
of an excitable, oscillatory system that acts as a steering wheel for GF-mediated
chemotaxis and chemoinvasion.
PMID- 29662077
TI - Pharmacodynamics of mutant-IDH1 inhibitors in glioma patients probed by in vivo
3D MRS imaging of 2-hydroxyglutarate.
AB - Inhibitors of the mutant isocitrate dehydrogenase 1 (IDH1) entered recently in
clinical trials for glioma treatment. Mutant IDH1 produces high levels of 2
hydroxyglurate (2HG), thought to initiate oncogenesis through epigenetic
modifications of gene expression. In this study, we show the initial evidence of
the pharmacodynamics of a new mutant IDH1 inhibitor in glioma patients, using non
invasive 3D MR spectroscopic imaging of 2HG. Our results from a Phase 1 clinical
trial indicate a rapid decrease of 2HG levels by 70% (CI 13%, P = 0.019) after 1
week of treatment. Importantly, inhibition of mutant IDH1 may lead to the
reprogramming of tumor metabolism, suggested by simultaneous changes in
glutathione, glutamine, glutamate, and lactate. An inverse correlation between
metabolic changes and diffusion MRI indicates an effect on the tumor-cell
density. We demonstrate a feasible radiopharmacodynamics approach to support the
rapid clinical translation of rationally designed drugs targeting IDH1/2
mutations for personalized and precision medicine of glioma patients.
PMID- 29662078
TI - Comparison of the life-history parameters and competition outcome with Moina
macrocopa between two morphs of Brachionus forficula.
AB - In rotifers, the costs of morphological defenses, especially the development of
long spines, have been investigated for several decades. However, the obtained
results were inconsistent and the underlying reasons were complicated.
Investigations on more species might be helpful to find out the reasons. In the
present study, Brachionus forficula was selected as the model organism. The
differences in developmental durations, life-table demography, starvation
resistant time and the competitive ability with Moina macrocopa were compared
between B. forficula with long (LPS) and short (SPS) posterior spines. The
results showed that LPS showed relatively longer durations of juvenile stage at
1.0 * 106, 2.0 * 106 and 4.0 * 106 cells/ml Scenedesmus obliquus, and longer
embryo stage at 2.0 * 106 cells/ml S. obliquus than SPS. The intrinsic rate of
population increase and net reproduction rate were lower in LPS than SPS,
suggesting the energy input to reproduction decreased. The starvation resistant
time was also reduced in LPS, in comparison to SPS, further supporting that LPS
consumed more energy, which might be directed to the development of long spines.
All these results revealed that LPS spent more energy for individual growth than
SPS, which might be used to develop long spines. Moreover, the maximum population
density and population growth rate of LPS were always lower than those of SPS,
suggesting that LPS might have a weaker competition ability with M. macrocope
than SPS.
PMID- 29662079
TI - Author Correction: New insights into gelatinization mechanisms of cereal
endosperm starches.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662080
TI - Involvement of AMP-activated Protein Kinase (AMPK) in Regulation of Cell Membrane
Potential in a Gastric Cancer Cell Line.
AB - Membrane potential (Vmem) is a key bioelectric property of non-excitable cells
that plays important roles in regulating cell proliferation. However, the
regulation of Vmem itself remains largely unexplored. We found that, under
nutrient starvation, during which cell division is inhibited, MKN45 gastric
cancer cells were in a hyperpolarized state associated with a high intracellular
chloride concentration. AMP-activated protein kinase (AMPK) activity increased,
and expression of cystic fibrosis transmembrane conductance regulator (CFTR)
decreased, in nutrient-starved cells. Furthermore, the increase in intracellular
chloride concentration level and Vmem hyperpolarization in nutrient-starved cells
was suppressed by inhibition of AMPK activity. Intracellular chloride
concentrations and hyperpolarization increased after over-activation of AMPK
using the specific activator AICAR or suppression of CFTR activity using specific
inhibitor GlyH-101. Under these conditions, proliferation of MKN45 cells was
inhibited. These results reveal that AMPK controls the dynamic change in Vmem by
regulating CFTR and influencing the intracellular chloride concentration, which
in turn influences cell-cycle progression. These findings offer new insights into
the mechanisms underlying cell-cycle arrest regulated by AMPK and CFTR.
PMID- 29662081
TI - A quantitative LumiFluo assay to test inhibitory compounds blocking p53
degradation induced by human papillomavirus oncoprotein E6 in living cells.
AB - High-risk human papillomaviruses (HR-HPVs) are the causative agents for the onset
of several epithelial cancers in humans. The deregulated expression of the viral
oncoproteins E6 and E7 is the driving force sustaining the progression of
malignant transformation in pre-neoplastic lesions. Targeting the viral E6
oncoprotein through inhibitory compounds can counteract the survival of cancer
cells due to the reactivation of p53-mediated pathways and represents an
intriguing strategy to treat HPV-associated neoplasias. Here, we describe the
development of a quantitative and easy-to-perform assay to monitor the E6
mediated degradation of p53 in living cells to be used for small-molecule
testing. This assay allows to unbiasedly determine whether a compound can protect
p53 from the E6-mediated degradation in cells, through a simple 3-step protocol.
We validated the assay by testing two small molecules, SAHA and RITA, reported to
impair the E6-mediated p53 degradation. Interestingly, we observed that only SAHA
efficiently rescued p53, while RITA could not provide the same degree of
protection. The possibility to specifically and quantitatively monitor the
ability of a selected compound to rescue p53 in a cellular context through our
LumiFluo assay could represent an important step towards the successful
development of anti-HPV drugs.
PMID- 29662082
TI - HadD, a novel fatty acid synthase type II protein, is essential for alpha- and
epoxy-mycolic acid biosynthesis and mycobacterial fitness.
AB - Mycolic acids (MAs) have a strategic location within the mycobacterial envelope,
deeply influencing its architecture and permeability, and play a determinant role
in the pathogenicity of mycobacteria. The fatty acid synthase type II (FAS-II)
multienzyme system is involved in their biosynthesis. A combination of pull-downs
and proteomics analyses led to the discovery of a mycobacterial protein, HadD,
displaying highly specific interactions with the dehydratase HadAB of FAS-II. In
vitro activity assays and homology modeling showed that HadD is, like HadAB, a
hot dog folded (R)-specific hydratase/dehydratase. A hadD knockout mutant of
Mycobacterium smegmatis produced only the medium-size alpha'-MAs. Data strongly
suggest that HadD is involved in building the third meromycolic segment during
the late FAS-II elongation cycles, leading to the synthesis of the full-size
alpha- and epoxy-MAs. The change in the envelope composition induced by hadD
inactivation strongly altered the bacterial fitness and capacities to aggregate,
assemble into colonies or biofilms and spread by sliding motility, and conferred
a hypersensitivity to the firstline antimycobacterial drug rifampicin. This
showed that the cell surface properties and the envelope integrity were greatly
affected. With the alarmingly increasing case number of nontuberculous
mycobacterial diseases, HadD appears as an attractive target for drug
development.
PMID- 29662083
TI - Mechanical Tension Drives Elongational Growth of the Embryonic Gut.
AB - During embryonic development, most organs are in a state of mechanical
compression because they grow in a confined and limited amount of space within
the embryo's body; the early gut is an exception because it physiologically
herniates out of the coelom. We demonstrate here that physiological hernia is
caused by a tensile force transmitted by the vitelline duct on the early gut loop
at its attachment point at the umbilicus. We quantify this tensile force and show
that applying tension for 48 h induces stress-dependent elongational growth of
the embryonic gut in culture, with an average 90% length increase (max: 200%),
65% volume increase (max: 160%), 50% dry mass increase (max: 100%), and 165% cell
number increase (max: 300%); this mechanical cue is required for organ growth as
guts not subject to tension do not grow. We demonstrate that growth results from
increased cell proliferation when tension is applied. These results outline the
essential role played by mechanical forces in shaping and driving the
proliferation of embryonic organs.
PMID- 29662084
TI - HOXA9 inhibits HIF-1alpha-mediated glycolysis through interacting with CRIP2 to
repress cutaneous squamous cell carcinoma development.
AB - Glycolytic reprogramming is a typical feature of many cancers; however, key
regulators of glucose metabolism reengineering are poorly understood, especially
in cutaneous squamous cell carcinoma (cSCC). Here, Homeobox A9 (HOXA9), a direct
target of onco-miR-365, is identified to be significantly downregulated in cSCC
tumors and cell lines. HOXA9 acts as a tumor suppressor and inhibits glycolysis
in cSCC in vitro and in vivo by negatively regulating HIF-1alpha and its
downstream glycolytic regulators, HK2, GLUT1 and PDK1. Mechanistic studies show
that HOXA9-CRIP2 interaction at glycolytic gene promoters impeds HIF-1alpha
binding, repressing gene expression in trans. Our results reveal a miR-365-HOXA9
HIF-1alpha regulatory axis that contributes to the enhanced glycolysis in cSCC
development and may represent an intervention target for cSCC therapy.
PMID- 29662085
TI - Different methods of methyl eugenol application enhance the mating success of
male Oriental fruit fly (Dipera: Tephritidae).
AB - Males of Bactrocera dorsalis (Hendel) (Diptera: Tephritidae) are strongly
attracted to methyl eugenol (ME) (1,2-dimethoxy-4-(2-propenyl)benzene), a
phenylpropanoid compound occurring in many plant species. Feeding on ME is known
to enhance male B. dorsalis mating competitiveness, which can increase the
effectiveness of the sterile insect technique (SIT) manifold. However, currently
used systems for holding the mass-reared males in fly emergence and release
facilities before release, do not allow for application of ME through feeding.
Therefore, the current study was designed to evaluate different delivery systems
of ME that would be applicable for large-scale application to sterile males held
in such facilities. Males of a genetic sexing strain (GSS) of B. dorsalis treated
by ME-aromatherapy or ME-airblown-aromatherapy that were competing with ME-fed
males achieved a similar level of mating success in walk-in field cages, but the
mating success was significantly higher when compared to untreated males. The
results confirm the feasibility of developing ME-airblown-aromatherapy as a
practical way of large scale ME delivery to enhance the mating competitiveness of
sterile B. dorsalis males.
PMID- 29662086
TI - Persistence of the ABCC6 genes and the emergence of the bony skeleton in
vertebrates.
AB - The ATP-binding cassette transporter 6 (ABCC6) gene encodes a cellular
transmembrane protein transporter (MRP6) that is involved in the regulation of
tissue calcification in mammals. Mutations in ABCC6 are associated with human
ectopic calcification disorders. To gain insight into its evolution and
involvement in tissue calcification we conducted a comparative analysis of the
ABCC6 gene and the related gene ABCC1 from invertebrates to vertebrates where a
bony endoskeleton first evolved. Taking into consideration the role of ABCC6 in
ectopic calcification of human skin we analysed the involvement of both genes in
the regeneration of scales, mineralized structures that develop in fish skin. The
ABCC6 gene was only found in bony vertebrate genomes and was absent from
Elasmobranchs, Agnatha and from invertebrates. In teleost fish the abcc6 gene
duplicated but the two genes persisted only in some teleost genomes. Six disease
causing amino acid mutations in human MRP6 are a normal feature of abcc6 in fish,
suggesting they do not have a deleterious effect on the protein. After scale
removal the abcc6 (5 and 10 days) and abcc1 (10 days) gene expression was up
regulated relative to the intact control skin and this coincided with a time of
intense scale mineralization.
PMID- 29662087
TI - Accurate identification of RNA editing sites from primitive sequence with deep
neural networks.
AB - RNA editing is a post-transcriptional RNA sequence alteration. Current methods
have identified editing sites and facilitated research but require sufficient
genomic annotations and prior-knowledge-based filtering steps, resulting in a
cumbersome, time-consuming identification process. Moreover, these methods have
limited generalizability and applicability in species with insufficient genomic
annotations or in conditions of limited prior knowledge. We developed DeepRed, a
deep learning-based method that identifies RNA editing from primitive RNA
sequences without prior-knowledge-based filtering steps or genomic annotations.
DeepRed achieved 98.1% and 97.9% area under the curve (AUC) in training and test
sets, respectively. We further validated DeepRed using experimentally verified
U87 cell RNA-seq data, achieving 97.9% positive predictive value (PPV). We
demonstrated that DeepRed offers better prediction accuracy and computational
efficiency than current methods with large-scale, mass RNA-seq data. We used
DeepRed to assess the impact of multiple factors on editing identification with
RNA-seq data from the Association of Biomolecular Resource Facilities and
Sequencing Quality Control projects. We explored developmental RNA editing
pattern changes during human early embryogenesis and evolutionary patterns in
Drosophila species and the primate lineage using DeepRed. Our work illustrates
DeepRed's state-of-the-art performance; it may decipher the hidden principles
behind RNA editing, making editing detection convenient and effective.
PMID- 29662088
TI - Tracing of the fecal microbiota of commercial pigs at five growth stages from
birth to shipment.
AB - The intestinal microbiota affect various physiological traits of host animals
such as brain development, obesity, age, and the immune system. In the swine
industry, understanding the relationship between intestinal microbiota and growth
stage is essential because growth stage is directly related to the feeding system
of pigs, thus we studied the intestinal microbiota of 32 healthy pigs across five
sows at 10, 21, 63, 93, and 147 d of ages. The intestinal microbiota were altered
with growth of pigs and were separated into three distinct clusters. The relative
abundance of several phyla and genera were significantly different between growth
stages. We observed co-occurrence pattern of the intestinal microbiota at each
growth stage. In addition, we predicted the functions of the intestinal
microbiota and confirmed that several KEGG pathways were significantly different
between growth stages. We also explored the relationship between the intestinal
microbiota and innate factors such as the maternal effect and gender. When pigs
were young, innate factors affected on construction of intestinal microbiota,
however this tendency was disappeared with growth. Our findings broaden the
understanding of microbial ecology, and the results will be used as a reference
for investigating host-microbe interactions in the swine industry.
PMID- 29662089
TI - Time rescaling reproduces EEG behavior during transition from propofol anesthesia
induced unconsciousness to consciousness.
AB - General anesthesia (GA) is a reversible manipulation of consciousness whose
mechanism is mysterious at the level of neural networks leaving space for several
competing hypotheses. We recorded electrocorticography (ECoG) signals in patients
who underwent intracranial monitoring during awake surgery for the treatment of
cerebral tumors in functional areas of the brain. Therefore, we recorded the
transition from unconsciousness to consciousness directly on the brain surface.
Using frequency resolved interferometry; we studied the intermediate ECoG
frequencies (4-40 Hz). In the theoretical study, we used a computational Jansen
and Rit neuron model to simulate recovery of consciousness (ROC). During ROC, we
found that f increased by a factor equal to 1.62 +/- 0.09, and deltaf varied by
the same factor (1.61 +/- 0.09) suggesting the existence of a scaling factor. We
accelerated the time course of an unconscious EEG trace by an approximate factor
1.6 and we showed that the resulting EEG trace match the conscious state. Using
the theoretical model, we successfully reproduced this behavior. We show that the
recovery of consciousness corresponds to a transition in the frequency (f,
deltaf) space, which is exactly reproduced by a simple time rescaling. These
findings may perhaps be applied to other altered consciousness states.
PMID- 29662091
TI - Asymmetric wave transmission through one dimensional lattices with cubic-quintic
nonlinearity.
AB - One dimensional lattice with an on-site cubic-quintic nonlinear response
described by a cubic-quintic discrete nonlinear Schrodinger equation is tested
for asymmetric wave propagation. The lattice is connected to linear side chains.
Asymmetry is introduced by breaking the mirror symmetry of the lattice with
respect to the center of the nonlinear region. Three cases corresponding to
dimer, trimer and quadrimer are discussed with focus on the corresponding diode
like effect. Transmission coefficients are analytically calculated for left and
right moving waves via backward transfer map. The different transmission
coefficients for the left and right moving waves impinging the lattice give rise
to a diode-like effect which is tested for different variations in asymmetry and
site dependent coefficients. We show that there is a higher transmission for
incoming waves with lower wavenumbers as compared to the waves with comparatively
larger wavenumbers and a diode-like effect improves by increasing the nonlinear
layers. We also show that in the context of transport through such lattices, the
cooperation between cubic and quintic nonlinear response is not "additive".
Finally, we numerically analyse Gaussian wave packet dynamics impinging on the
CQDNLS lattice for all three cases.
PMID- 29662090
TI - Optical interrogation of neuronal circuitry in zebrafish using genetically
encoded voltage indicators.
AB - Optical measurement of membrane potentials enables fast, direct and simultaneous
detection of membrane potentials from a population of neurons, providing a
desirable approach for functional analysis of neuronal circuits. Here, we applied
recently developed genetically encoded voltage indicators, ASAP1 (Accelerated
Sensor of Action Potentials 1) and QuasAr2 (Quality superior to Arch 2), to
zebrafish, an ideal model system for studying neurogenesis. To achieve this, we
established transgenic lines which express the voltage sensors, and showed that
ASAP1 is expressed in zebrafish neurons. To examine whether neuronal activity
could be detected by ASAP1, we performed whole-cerebellum imaging, showing that
depolarization was detected widely in the cerebellum and optic tectum upon
electrical stimulation. Spontaneous activity in the spinal cord was also detected
by ASAP1 imaging at single-cell resolution as well as at the neuronal population
level. These responses mostly disappeared following treatment with tetrodotoxin,
indicating that ASAP1 enabled optical measurement of neuronal activity in the
zebrafish brain. Combining this method with other approaches, such as
optogenetics and behavioural analysis may facilitate a deeper understanding of
the functional organization of brain circuitry and its development.
PMID- 29662092
TI - Diffusion-Limited Growth of Microbial Colonies.
AB - The emergence of diffusion-limited growth (DLG) within a microbial colony on a
solid substrate is studied using a combination of mathematical modelling and
experiments. Using an agent-based model of the interaction between microbial
cells and a diffusing nutrient, it is shown that growth directed towards a
nutrient source may be used as an indicator that DLG is influencing the colony
morphology. A continuous reaction-diffusion model for microbial growth is
employed to identify the parameter regime in which DLG is expected to arise.
Comparisons between the model and experimental data are used to argue that the
bacterium Bacillus subtilis can undergo DLG, while the yeast Saccharomyces
cerevisiae cannot, and thus the non-uniform growth exhibited by this yeast must
be caused by the pseudohyphal growth mode rather than limited nutrient
availability. Experiments testing directly for DLG features in yeast colonies are
used to confirm this hypothesis.
PMID- 29662093
TI - Application of interspecific Somatic Cell Nuclear Transfer (iSCNT) in sturgeons
and an unexpectedly produced gynogenetic sterlet with homozygous quadruple
haploid.
AB - Somatic cell nuclear transfer (SCNT) is a very promising cloning technique for
reconstruction of endangered animals. The aim of the present research is to
implement the interspecific SCNT (iSCNT) technique to sturgeon; one fish family
bearing some of the most critically endangered species. We transplanted single
cells enzymatically isolated from a dissociated fin-fragment of the Russian
sturgeon (Acipenser gueldenstaedtii) into non-enucleated eggs of the sterlet
(Acipenser ruthenus), two species bearing different ploidy (4n and 2n,
respectively). Up to 6.7% of the transplanted eggs underwent early development,
and one feeding larva (0.5%) was successfully produced. Interestingly, although
this transplant displayed tetraploidism (4n) as the donor species, the
microsatellite and species-specific analysis showed recipient-exclusive
homozygosis without any donor markers. Namely, with regards to this viable larva,
host genome duplication occurred twice to form tetraploidism during its early
development, probably due to iSCNT manipulation. The importance of this first
attempt is to apply iSCNT in sturgeon species, establishing the crucial first
steps by adjusting the cloning-methodology in sturgeon's biology. Future
improvements in sturgeon's cloning are necessary for providing with great hope in
sturgeon's reproduction.
PMID- 29662094
TI - Author Correction: Whole genome diversity of inherited chromosomally integrated
HHV-6 derived from healthy individuals of diverse geographic origin.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29662095
TI - MU-opioid receptor system mediates reward processing in humans.
AB - The endogenous MU-opioid receptor (MOR) system regulates motivational and hedonic
processing. We tested directly whether individual differences in MOR are
associated with neural reward responses to food pictures in humans. We scanned 33
non-obese individuals with positron emission tomography (PET) using the MOR
specific radioligand [11C]carfentanil. During a functional magnetic resonance
imaging (fMRI) scan, the subjects viewed pictures of appetizing versus bland
foods to elicit reward responses. MOR availability was measured in key components
of the reward and emotion circuits and used to predict BOLD-fMRI responses to
foods. Viewing palatable versus bland foods activates regions involved in
homeostatic and reward processing, such as amygdala, ventral striatum, and
hypothalamus. MOR availability in the reward and emotion circuit is negatively
associated with the fMRI reward responses. Variation in MOR availability may
explain why some people feel an urge to eat when encountering food cues,
increasing risk for weight gain and obesity.
PMID- 29662097
TI - Microfabricated electrodes unravel the role of interfaces in multicomponent
copper-based CO2 reduction catalysts.
AB - The emergence of synergistic effects in multicomponent catalysts can result in
breakthrough advances in the electrochemical reduction of carbon dioxide. Copper
indium catalysts show high performance toward carbon monoxide production but also
extensive structural and compositional changes under operation. The origin of the
synergistic effect and the nature of the active phase are not well understood,
thus hindering optimization efforts. Here we develop a platform that sheds light
into these aspects, based on microfabricated model electrodes that are evaluated
under conventional experimental conditions. The relationship among the electrode
performance, geometry and composition associates the high carbon monoxide
evolution activity of copper-indium catalysts to indium-poor bimetallic phases,
which are formed upon exposure to reaction conditions in the vicinity of the
interfaces between copper oxide and an indium source. The exploratory extension
of this approach to the copper-tin system demonstrates its versatility and
potential for the study of complex multicomponent electrocatalysts.
PMID- 29662096
TI - Variation in Wolbachia effects on Aedes mosquitoes as a determinant of
invasiveness and vectorial capacity.
AB - Wolbachia has been introduced into Aedes aegypti mosquitoes to control the spread
of arboviruses, such as dengue, chikungunya and Zika. Studies showed that certain
Wolbachia strains (such as wMel) reduce replication of dengue viruses in the
laboratory, prompting the release of mosquitoes carrying the bacterium into the
field, where vectorial capacity can be realistically assessed in relation to
native non-carriers. Here we apply a new analysis to two published datasets, and
show that wMel increases the mean and the variance in Ae. aegypti susceptibility
to dengue infection when introgressed into Brazil and Vietnam genetic
backgrounds. In the absence of other processes, higher mean susceptibility should
lead to enhanced viral transmission. The increase in variance, however, widens
the basis for selection imposed by unexplored natural forces, retaining the
potential for reducing transmission overall.
PMID- 29662098
TI - Author Correction: Sex differences in the C57BL/6 model of Mycobacterium
tuberculosis infection.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29662099
TI - Lignin peroxidase ligand access channel dysfunction in the presence of atrazine.
AB - Studies have determined that the white-rot basidiomycete Phanerochaete
chrysosporium is capable of biodegrading the atrazine herbicide with its broad
specificity enzymes, but the particular role of biocatalysts is still unclear. In
the case of lignin peroxidase, a ligand access channel connected to the active
heme cofactor provides access to the active site for potential small-sized
substrates. Experimental results show that lignin peroxidase is unable to degrade
atrazine, therefore, the primary goal was to determine whether there is any
connection between the structural and dynamical properties of the enzyme and its
incapability to degrade atrazine. The results of protein-ligand docking and
molecular dynamics study correlate with relevant, published NMR and molecular
dynamics data, and give the answer to the lack of atrazine degradation by lignin
peroxidase which has already been established by numerous authors using
experimental methods. Atrazine has no access to heme edge due to the electric
charges of the delocalized s-triazine ring. The detected phenomenon suggests that
the small size of the ligands only is not a sufficient condition to access the
active site. Their physicochemical properties influence the structural behaviour
of the channel.
PMID- 29662100
TI - Mean platelet volume predicts survival in pancreatic cancer patients with
synchronous liver metastases.
AB - Most pancreatic cancer (PC) patients manifest multiple liver metastases at the
time of diagnosis. Activated platelets play a key role in tumor growth and tumor
metastases. Mean platelet volume (MPV) is a platelet index and is altered in
patients with malignancies. This study aimed to evaluate whether MPV can
effectively predict death in PC patients with synchronous liver metastases. The
clinical data of 411 PC patients with synchronous liver metastases between
January 1, 2006 and December 31, 2013 were retrospectively analyzed. Subjects
were divided into two groups by MPV levels. Clinicopathological data were
collected retrospectively and relationships between MPV levels and clinical
parameters were evaluated. Survival analysis was performed. Increased MPV was not
significantly correlated with tumor location, tumor size, and CA19.9. The Kaplan
Meier analysis showed that the overall survival of patients with MPV > 8.7 fL was
significantly shorter than that of those with MPV <= 8.7 fL (log-rank p < 0.001).
Multivariable Cox proportional hazards model identified MPV as an independent
poor prognostic factor for overall survival. In conclusion, elevated MPV is
associated with worse survival outcome in PC patients with synchronous liver
metastases. Further studies are warranted.
PMID- 29662101
TI - Gymnosperms on the EDGE.
AB - Driven by limited resources and a sense of urgency, the prioritization of species
for conservation has been a persistent concern in conservation science.
Gymnosperms (comprising ginkgo, conifers, cycads, and gnetophytes) are one of the
most threatened groups of living organisms, with 40% of the species at high risk
of extinction, about twice as many as the most recent estimates for all plants
(i.e. 21.4%). This high proportion of species facing extinction highlights the
urgent action required to secure their future through an objective prioritization
approach. The Evolutionary Distinct and Globally Endangered (EDGE) method rapidly
ranks species based on their evolutionary distinctiveness and the extinction
risks they face. EDGE is applied to gymnosperms using a phylogenetic tree
comprising DNA sequence data for 85% of gymnosperm species (923 out of 1090
species), to which the 167 missing species were added, and IUCN Red List
assessments available for 92% of species. The effect of different extinction
probability transformations and the handling of IUCN data deficient species on
the resulting rankings is investigated. Although top entries in our ranking
comprise species that were expected to score well (e.g. Wollemia nobilis, Ginkgo
biloba), many were unexpected (e.g. Araucaria araucana). These results highlight
the necessity of using approaches that integrate evolutionary information in
conservation science.
PMID- 29662103
TI - Authority, Psychotherapy and the Authority of the Therapist in the Religious
Haredi Community.
AB - This article considers the meaning and significance of authority, and its
relevance to the transference process, within the framework of psychotherapy in
the orthodox Jewish (Haredi) community in Israel. In this community, deeply
rooted habits of obedience to the commandments of the Torah and the authority of
the Rabbi are integral to maintaining an orthodox way of life. Clinical vignettes
with Haredi patients are presented to illustrate the complexities that arise when
both patient and therapist belong to the orthodox community, and highlight the
authority-related issues that are central to the therapy. This combination of
factors requires a sensitive and finely-tuned approach which will enable the
therapist to maintain the treatment framework while still accommodating the
orthodox way of life.
PMID- 29662104
TI - The local and global climate forcings induced inhomogeneity of Indian rainfall.
AB - India is home for more than a billion people and its economy is largely based on
agrarian society. Therefore, rainfall received not only decides its livelihood,
but also influences its water security and economy. This situation warrants
continuous surveillance and analysis of Indian rainfall. These kinds of studies
would also help forecasters to better tune their models for accurate weather
prediction. Here, we introduce a new method for estimating variability and trends
in rainfall over different climate regions of India. The method based on multiple
linear regression helps to assess contributions of different remote and local
climate forcings to seasonal and regional inhomogeneity in rainfall. We show that
the Indian Summer Monsoon Rainfall (ISMR) variability is governed by Eastern and
Central Pacific El Nino Southern Oscillation, equatorial zonal winds, Atlantic
zonal mode and surface temperatures of the Arabian Sea and Bay of Bengal, and the
North East Monsoon Rainfall variability is controlled by the sea surface
temperature of the North Atlantic and extratropial oceans. Also, our analyses
reveal significant positive trends (0.43 mm/day/dec) in the North West for ISMR
in the 1979-2017 period. This study cautions against the significant changes in
Indian rainfall in a perspective of global climate change.
PMID- 29662105
TI - New insight and metrics to understand the ontogeny and succession of
Lactobacillus plantarum subsp. plantarum and Lactobacillus plantarum subsp.
argentoratensis.
AB - Lactobacillus plantarum is one of the most extensively studied Lactobacillus
species because of its presence in a variety of environmental niches,
versatility, and metabolic capabilities, resulting in the use of this organism in
many industrial applications. However, although extensive effort has been
invested in screening this species from a variety of habitats, a reliable and
accurate method for studying the succession and ontogeny of this organism in
complex ecosystems is still required to confirm the activity of L. plantarum at
the subspecies level. Therefore, in this study, novel subspecies-specific genes
for the quantitative detection of two L. plantarum subspecies were identified by
comparative genomic analysis. The specificity of primer sets for selected genes
specific to each targeted microbe was confirmed in kimchi samples. Interestingly,
in all the kimchi samples at 4 degrees C, the presence of L. plantarum subsp.
argentoratensis was not observed. Hence, we found that low temperatures markedly
affected the ontogeny of L. plantarum subsp. argentoratensis during kimchi
fermentation. Subsequently, this touchstone method will offer new insight and
metrics to understand the ontogeny and succession of L. plantarum subsp.
plantarum and L. plantarum subsp. argentoratensis in various niches.
PMID- 29662106
TI - Pharmacogenetics of platinum-based chemotherapy: impact of DNA repair and folate
metabolism gene polymorphisms on prognosis of non-small cell lung cancer
patients.
AB - Chemotherapy based on platinum compounds is the standard treatment for NSCLC
patients with EGFR wild type, and is also used as second line in mutated EGFR
patients. Nevertheless, this therapy presents poor clinical outcomes. ERCC1,
ERCC2, XRCC1, MDM2, MTHFR, MTR, and SLC19A1 gene polymorphisms may contribute to
individual variation in response and survival to platinum-based chemotherapy. The
aim of this study was to investigate the influence of these polymorphisms on
response and survival of NSCLC patients treated with platinum-based chemotherapy.
A retrospective-prospective cohorts study was conducted, including 141 NSCLC
patients. Polymorphisms were analyzed by PCR real-time with Taqman(r) probes.
Patients with ERCC1 rs3212986-GG (p = 0.0268; OR = 2.50; CI95% = 1.12-5.69) and
XRCC1 rs25487-GG (p = 0.0161; OR = 2.99; CI95% = 1.26-7.62) genotype showed
significantly better ORR. Cox survival analysis revealed that patients carrying
the MDM2 rs1690924-GG genotype (p = 0.0345; HR = 1.99; CI95% = 1.05-3.80)
presented higher risk of death. Furthermore, carriers of MTR rs1805087-A alleles
(p = 0.0060; HR = 8.91; CI95% = 1.87-42.42) and SLC19A1 rs1051266-AA genotype (p
= 0.0130; HR = 1.74; CI95% = 1.12-2.68) showed greater risk of progression. No
influence of ERCC1 rs11615, ERCC2 rs13181, ERCC2 rs1799793, XRCC1 rs1799782, MDM2
rs1470383, MTHFR rs1801131, and MTHFR rs1801133 on platinum-based chemotherapy
clinical outcomes was found. In conclusion, our results suggest that ERCC1
rs3212986, XRCC1 rs25487, MDM2 rs1690924, MTR rs1805087, and SLC19A1 rs1051266
gene polymorphisms may significantly act as predictive factors in NSCLC patients
treated with platinum-based chemotherapy.
PMID- 29662107
TI - Scalability assessment of Group-IV mono-chalcogenide based tunnel FET.
AB - Selection of appropriate channel material is the key to design high performance
tunnel field effect transistor (TFET), which promises to outperform the
conventional metal oxide semiconductor field effect transistor (MOSFET) in ultra
low energy switching applications. Recently discovered atomically thin GeSe, a
group IV mono-chalcogenide, can be a potential candidate owing to its direct
electronic band gap and low carrier effective mass. In this work we employ
ballistic quantum transport model to assess the intrinsic performance limit of
monolayer GeSe-TFET. We first study the electronic band structure by regular and
hybrid density functional theory and develop two band k . p hamiltonian for the
material. We find that the complex band wraps itself within the conduction band
and valence band edges and thus signifies efficient band to band tunneling
mechanism. We then use the k . p hamiltonian to calculate self-consistent
solution of the transport equations within the non-equilibrium Green's function
formalism and the Poisson's equation based electrostatic potential. Keeping the
OFF-current fixed at 10 pA/MUm we investigate different static and dynamic
performance metrics (ON current, energy and delay) under three different constant
field scaling rules: 40, 30 and 20 nm/V. Our study shows that monolayer GeSe-TFET
is scalable till 8 nm while preserving ON/OFF current ratio higher than 104.
PMID- 29662109
TI - Author Correction: Sensitivity enhancement of flexible gas sensors via conversion
of inkjet-printed silver electrodes into porous gold counterparts.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662108
TI - NIPS, a 3D network-integrated predictor of deleterious protein SAPs, and its
application in cancer prognosis.
AB - Identifying deleterious mutations remains a challenge in cancer genome sequencing
projects, reflecting the vast number of candidate mutations per tumour and the
existence of interpatient heterogeneity. Based on a 3D protein interaction
network profiled via large-scale cross-linking mass spectrometry, we propose a
weighted average formula involving the combination of three types of information
into a 'meta-score'. We assume that a single amino acid polymorphism (SAP) may
have a deleterious effect if the mutation rarely occurs naturally during
evolution, if it inhibits binding between a pair of interacting proteins when
located at their interface, or if it plays an important role in a protein
interaction (PPI) network. Cross-validation indicated that this new method
presents an AUC value of 0.93 and outperforms other widely used tools. The
application of this method to the CPTAC colorectal cancer dataset enabled the
accurate identification of validated deleterious mutations and yielded insights
into their potential pathogenesis. Survival analysis showed that the accumulation
of deleterious SAPs is significantly associated with a poor prognosis. The new
method provides an alternative method to identifying and ranking deleterious
cancer SAPs based on a 3D PPI network and will contribute to the understanding of
pathogenesis and the discovery of prognostic biomarkers.
PMID- 29662110
TI - Identification of human glycosyltransferase genes expressed in erythroid cells
predicts potential carbohydrate blood group loci.
AB - Glycans are biologically important structures synthesised by glycosyltransferase
(GT) enzymes. Disruptive genetic null variants in GT genes can lead to serious
illness but benign phenotypes are also seen, including antigenic differences on
the red blood cell (RBC) surface, giving rise to blood groups. To characterise
known and potential carbohydrate blood group antigens without a known underlying
gene, we searched public databases for human GT loci and investigated their
variation in the 1000 Genomes Project (1000 G). We found 244 GT genes,
distributed over 44 families. All but four GT genes had missense variants or
other variants predicted to alter the amino acid sequence, and 149 GT genes (61%)
had variants expected to cause null alleles, often associated with antigen
negative blood group phenotypes. In RNA-Seq data generated from erythroid cells,
155 GT genes were expressed at a transcript level comparable to, or higher than,
known carbohydrate blood group loci. Filtering for GT genes predicted to cause a
benign phenotype, a set of 30 genes remained, 16 of which had variants in 1000 G
expected to result in null alleles. Our results identify potential blood group
loci and could serve as a basis for characterisation of the genetic background
underlying carbohydrate RBC antigens.
PMID- 29662111
TI - Author Correction: Structure-function relationships in ABCG2: insights from
molecular dynamics simulations and molecular docking studies.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29662113
TI - Author Correction: Analyses of HIV-1 integrase sequences prior to South African
national HIV-treatment program and availability of integrase inhibitors in Cape
Town, South Africa.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662112
TI - Optical Coherence Tomography Angiography Vessel Density Changes after Acute
Intraocular Pressure Elevation.
AB - To investigate changes in retinal vessel density in optic nerve head (ONH) and
macula after acute intraocular pressure (IOP) elevation, we conducted a
prospective observational study. Eyes with IOP rise >=5 mmHg after 2-hour dark
room prone provocative test (DRPPT) were included. Vasculature of ONH and macula
was examined by optical coherence tomography angiography (OCTA) at baseline and
after DRPPT. Among the 65 eyes of 42 individuals, 40 eyes with qualified images
were enrolled. Mean IOP rise was 9.6 +/- 4.2 mmHg (5.0-23.3 mmHg) after DRPPT.
Retinal vessel density did not differ after IOP rise for either the papillary
region (optic nerve head and radial peripapillary capillary layer) or the macula
region (superficial, deep and outer retinal layer) (P > 0.05). Vessel density in
each subregion did not change either. If only enrolled eyes with IOP rise >=10
mmHg, similar results were obtained in condition of IOP increase by 15.0 +/- 3.6
mmHg. To conclude, eyes with an acute IOP elevation by 10 or 15 mmHg for two
hours, while the blood pressure remained constant, the vessel density in both ONH
and macula region examined by OCTA did not show significant changes. The
observations fit with an IOP-related autoregulation in retinal blood flow for a
moderate elevation of IOP.
PMID- 29662114
TI - Publisher Correction: Juvenile Hormone Epoxide Hydrolase: a Promising Target for
Hemipteran Pest Management.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662115
TI - Unusual sulfur isotope effect and extremely high critical temperature in H3S
superconductor.
AB - Recent experiments have set a new record for the transition temperature at which
a material (hydrogen sulfide, H3S) becomes superconducting. Moreover, a
pronounced isotope shift of T C in D3S is evidence of an existence of phonon
mediated pairing mechanism of superconductivity that is consistent with the well
established Bardeen-Cooper-Schrieffer scenario. Herein, we reported a theoretical
studies of the influence of the substitution of 32S atoms by the heavier isotopes
33S, 34S and 36S on the electronic properties, lattice dynamics and
superconducting critical temperature of H3S. There are two equally fundamental
results presented in this paper. The first one is an anomalous sulfur-derived
superconducting isotope effect, which, if observed experimentally, will be
subsequent argument that proves to the classical electron-phonon interaction. The
second one is fact that critical temperature rise to extremely high value of 242
K for H336S at 155 GPa. This result brings us closer to the room temperature
superconductivity.
PMID- 29662116
TI - Author Correction: Diffusive, Displacive Deformations and Local Phase
Transformation Govern the Mechanics of Layered Crystals: The Case Study of
Tobermorite.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29662117
TI - Treating vulvovaginal symptoms.
PMID- 29662118
TI - Polyketides from two Chaetomium species and their biological functions.
AB - Four new secondary metabolites, chaetosemins G-J (1-4), along with 11 known ones
(5-15) were isolated from the culture of C. seminudum C208 and Chaetomium sp.
C521. Their structures were determined by extensive NMR spectroscopic analyses.
These metabolites were evaluated in vitro for antifungal, antioxidant, toxicity,
and alpha-glucosidase inhibitory activities. Chaetosemin J (4) and monaschromone
(5) significantly inhibited the growth of four plant pathogenic fungi Botrytis
cinerea, Alternaria solani, Magnaporthe oryzae, and Gibberella saubinettii with
the minimum inhibitory concentrations (MIC) values ranging from 6.25 to 25.0 MUM.
Moreover, both epicoccone B (11) and flavipin (14) exhibited the DPPH free
radical scavenging ability with IC50 values of 10.8 and 7.2 MUM, respectively,
and had more potent alpha-glucosidase inhibition than the drug acarbose with IC50
values of 27.3 and 33.8 MUM, respectively. Monaschromone (5) might act as the
lead compound of pesticide.
PMID- 29662119
TI - Impact of Diabetes Mellitus on the Risk of End-Stage Renal Disease in Patients
with Systemic Lupus Erythematosus.
AB - Systemic lupus erythematosus (SLE) patients are associated with insulin
resistance and are at higher risk to develop diabetes mellitus (DM). SLE and DM
could lead to renal failure respectively. However, it is unknown whether DM
increases the risk of end-stage renal disease (ESRD) in SLE patients. This study
aimed to evaluate potential synergistic effect of DM on SLE patients for
development of ESRD. We conducted this study by using National Health Insurance
Research Database of Taiwan. We recruited SLE patients with newly-diagnosed DM as
the study cohort. A comparison cohort at a 1:1 ratio of SLE patients without DM
matched by age, sex, age at the diagnosis of SLE, duration between diagnosis of
SLE and DM, and various comorbidities through propensity score matching were
recruited. After 5.01 +/- 3.13 years follow-up, the incidence of ESRD was
significantly higher in the DM group than in the non-DM group (Incidence rate
ratio: 2.71; 95% CI: 1.70-4.32). After control of confounding factors, DM was not
an independent risk factor of ESRD. After starting dialysis, DM patients had a
similar mortality rate to those without DM. In summary, SLE patients superimposed
with subsequent DM are associated with potentially higher risk to develop ESRD.
PMID- 29662120
TI - An early history of T cell-mediated cytotoxicity.
AB - After 60 years of intense fundamental research into T cell-mediated cytotoxicity,
we have gained a detailed knowledge of the cells involved, specific recognition
mechanisms and post-recognition perforin-granzyme-based and FAS-based molecular
mechanisms. What could not be anticipated at the outset was how discovery of the
mechanisms regulating the activation and function of cytotoxic T cells would lead
to new developments in cancer immunotherapy. Given the profound recent interest
in therapeutic manipulation of cytotoxic T cell responses, it is an opportune
time to look back on the early history of the field. This Timeline describes how
the early findings occurred and eventually led to current therapeutic
applications.
PMID- 29662121
TI - Clocking in to immunity.
AB - Circadian rhythms are a ubiquitous feature of virtually all living organisms,
regulating a wide diversity of physiological systems. It has long been
established that the circadian clockwork plays a key role in innate immune
responses, and recent studies reveal that several aspects of adaptive immunity
are also under circadian control. We discuss the latest insights into the genetic
and biochemical mechanisms linking immunity to the core circadian clock of the
cell and hypothesize as to why the immune system is so tightly controlled by
circadian oscillations. Finally, we consider implications for human health,
including vaccination strategies and the emerging field of chrono-immunotherapy.
PMID- 29662123
TI - Nanowires under the microscope.
PMID- 29662122
TI - Shallow deformation of the San Andreas fault 5 years following the 2004 Parkfield
earthquake (Mw6) combining ERS2 and Envisat InSAR.
AB - This study focuses on the shallow deformation that occurred during the 5 years
following the Parkfield earthquake (28/09/2004, Mw 6, San Andreas Fault,
California). We use Synthetic Aperture Radar interferometry (InSAR) to provide
precise measurements of transient deformations after the Parkfield earthquake
between 2005 and 2010. We propose a method to combine both ERS2 and ENVISAT
interferograms to increase the temporal data sampling. Firstly, we combine 5
years of available Synthetic Aperture Radar (SAR) acquisitions including both ERS
2 and Envisat. Secondly, we stack selected interferograms (both from ERS2 and
Envisat) for measuring the temporal evolution of the ground velocities at given
time intervals. Thanks to its high spatial resolution, InSAR could provide new
insights on the surface fault motion behavior over the 5 years following the
Parkfield earthquake. As a complement to previous studies in this area, our
results suggest that shallow transient deformations affected the Creeping
Parkfield-Cholame sections of the San Andreas Fault after the 2004 Mw6 Parkfield
earthquake.
PMID- 29662124
TI - STAG2 deficiency induces interferon responses via cGAS-STING pathway and
restricts virus infection.
AB - Cohesin is a multi-subunit nuclear protein complex that coordinates sister
chromatid separation during cell division. Highly frequent somatic mutations in
genes encoding core cohesin subunits have been reported in multiple cancer types.
Here, using a genome-wide CRISPR-Cas9 screening approach to identify host
dependency factors and novel innate immune regulators of rotavirus (RV)
infection, we demonstrate that the loss of STAG2, an important component of the
cohesin complex, confers resistance to RV replication in cell culture and human
intestinal enteroids. Mechanistically, STAG2 deficiency results in spontaneous
genomic DNA damage and robust interferon (IFN) expression via the cGAS-STING
cytosolic DNA-sensing pathway. The resultant activation of JAK-STAT signaling and
IFN-stimulated gene (ISG) expression broadly protects against virus infections,
including RVs. Our work highlights a previously undocumented role of the cohesin
complex in regulating IFN homeostasis and identifies new therapeutic avenues for
manipulating the innate immunity.
PMID- 29662125
TI - Netrin-1 promotes diabetic corneal wound healing through molecular mechanisms
mediated via the adenosine 2B receptor.
AB - Netrins are secreted chemoattractants with the roles in axon guidance, cell
migration and epithelial plasticity. In the present study, we investigated the
roles of netrin-1 in the regulation of corneal epithelial wound healing,
inflammation response and nerve fiber regeneration in diabetic mice and cultured
corneal epithelial cells. In diabetic mice, the expression of netrin-1 was
decreased when compared with that of normal mice. Furthermore, high glucose
blocked the wounding-induced up-regulation of netrin-1 expression in corneal
epithelial cells. Exogenous netrin-1 promoted the corneal epithelial wound
healing in diabetic mice, and facilitated the proliferation and migration by
reactivating the phosphorylation of ERK and EGFR in high-glucose treated corneal
epithelial cells. Moreover, netrin-1 decreased the neutrophil infiltration and
promoted M2 macrophage transition, accompanied with the attenuated expression of
pro-inflammatory factors in diabetic mouse corneal epithelium. The promotions of
netrin-1 on corneal epithelial wound healing and inflammation resolution were
mediated at least through the adenosine 2B receptor. In addition, netrin-1
promoted the regeneration of corneal nerve fibers that was impaired in diabetic
mice. Taken together, netrin-1 regulates corneal epithelial wound healing,
inflammation response and nerve fiber regeneration in diabetic mice, indicating
the potential application for the therapy of diabetic keratopathy.
PMID- 29662126
TI - Increased Abundance and Nursery Habitat Use of the Bull Shark (Carcharhinus
leucas) in Response to a Changing Environment in a Warm-Temperate Estuary.
AB - A general northward shift in marine species distributions has been observed in
the western North Atlantic Ocean, which may have significant ecological
consequences. Large coastal sharks can have wide migratory distributions but show
fidelity to specific nursery habitats. Here we show evidence for nursery range
expansion into Pamlico Sound, North Carolina by a marine apex predator, the Bull
Shark (Carcharhinus leucas). Previous assessments have shown little to no use of
estuarine North Carolina waters as nursery habitat by Bull Sharks from 1965-2011.
Juvenile sharks were rarely captured in a fishery-independent gillnet survey
conducted by the North Carolina Division of Marine Fisheries (NCDMF) from 2003
2011, but were present every year from 2011-2016. Juvenile Bull Shark presence in
the Sound was strongly related to early summer temperatures and late summer
salinities, which have increased in the estuary over the 13 survey years, and
further evidence for increasing water temperatures in Pamlico Sound was found in
a 45-year data set for the NCDMF estuarine trawl survey. These results suggest
that increasing water temperature and salinity have allowed Bull Sharks to expand
their nursery habitat. This shift will have unknown, but potentially strong,
impacts on both the local ecosystem and interactions with humans.
PMID- 29662127
TI - Human mandibular shape is associated with masticatory muscle force.
AB - Understanding how and to what extent forces applied to the mandible by the
masticatory muscles influence its form, is of considerable importance from
clinical, anthropological and evolutionary perspectives. This study investigates
these questions. Head CT scans of 382 adults were utilized to measure masseter
and temporalis muscle cross-sectional areas (CSA) as a surrogate for muscle
force, and 17 mandibular anthropometric measurements. Sixty-two mandibles of
young individuals (20-40 years) whose scans were without artefacts (e.g., due to
tooth filling) were segmented and landmarked for geometric morphometric analysis.
The association between shape and muscle CSA (controlled for size) was assessed
using two-block partial least squares analysis. Correlations were computed
between mandibular variables and muscle CSAs (all controlled for size). A
significant association was found between mandibular shape and muscle CSAs, i.e.
larger CSAs are associated with a wider more trapezoidal ramus, more massive
coronoid, more rectangular body and a more curved basal arch. Linear measurements
yielded low correlations with muscle CSAs. In conclusion, this study demonstrates
an association between mandibular muscle force and mandibular shape, which is not
as readily identified from linear measurements. Retrodiction of masticatory
muscle force and so of mandibular loading is therefore best based on overall
mandibular shape.
PMID- 29662128
TI - The extracellular domain of Staphylococcus aureus LtaS binds insulin and induces
insulin resistance during infection.
AB - Insulin resistance is a risk factor for obesity and diabetes and predisposes
individuals to Staphylococcus aureus colonization; however, the contribution of
S. aureus to insulin resistance remains unclear. Here, we show that S. aureus
infection causes impaired glucose tolerance via secretion of an insulin-binding
protein extracellular domain of LtaS, eLtaS, which blocks insulin-mediated
glucose uptake. Notably, eLtaS transgenic mice (eLtaS trans ) exhibited a
metabolic syndrome similar to that observed in patients, including increased food
and water consumption, impaired glucose tolerance and decreased hepatic glycogen
synthesis. Furthermore, transgenic mice showed significant metabolic differences
compared to their wild-type counterparts, particularly for the early insulin
resistance marker alpha-hydroxybutyrate. We subsequently developed a full human
monoclonal antibody against eLtaS that blocked the interaction between eLtaS and
insulin, which effectively restored glucose tolerance in eLtaS trans and S.
aureus-challenged mice. Thus, our results reveal a mechanism for S. aureus
induced insulin resistance.
PMID- 29662131
TI - First-Principle Study of the Optical Properties of Dilute-P GaN1-xPx Alloys.
AB - An investigation on the optical properties of dilute-P GaN1-xPx alloys by First
Principle Density Functional Theory (DFT) methods is presented, for phosphorus
(P) content varying from 0% up to 12.5%. Findings on the imaginary and real part
of the dielectric function are analyzed and the results are compared with
previously reported theoretical works on GaN. The complex refractive index,
normal-incidence reflectivity and birefringence are presented and a difference in
the refractive index in the visible regime between GaN and GaNP alloys of ~0.3
can be engineered by adding minute amounts of phosphorus, indicating strong
potential for refractive index tunability. The optical properties of the GaN1-xPx
alloys indicate their strong potential for implementation in various III-nitride
based photonic waveguide applications and Distributed Bragg Reflectors (DBR).
PMID- 29662130
TI - Application of improved approach to evaluate a community intervention to reduce
exposure of young children living in farmworker households to organophosphate
pesticides.
AB - The take-home pathway is a significant source of organophosphate pesticide
exposure for young children (3-5 years old) living with an adult farmworker. This
avoidable exposure pathway is an important target for intervention. We selected
24 agricultural communities in the Yakima Valley of Washington State and randomly
assigned them to receive an educational intervention (n = 12) to reduce
children's pesticide exposure or usual care (n = 12). We assessed exposure to
pesticides in nearly 200 adults and children during the pre and post-intervention
periods by measuring metabolites in urine. We compared pre- and post-intervention
exposures by expressing the child's pesticide metabolite concentration as a
fraction of the adult's concentration living in the same household, because the
amount of pesticides applied during the collection periods varied. Exposures in
our community were consistently higher, sometimes above the 95th percentile of
the exposures reported by the National Health and Nutrition Examination Survey
(NHANES). While intervention and control communities demonstrated a reduction in
the ratio of child to adult exposure, this reduction was more pronounced in
intervention communities (2.7-fold, p < 0.001 compared to 1.7-fold, p = 0.052 for
intervention and control, respectively). By examining the child/adult biomarker
ratio, we demonstrated that our community-based intervention was effective in
reducing pesticide exposure to children in agricultural communities.
PMID- 29662129
TI - Intracellular replication of Streptococcus pneumoniae inside splenic macrophages
serves as a reservoir for septicaemia.
AB - Bacterial septicaemia is a major cause of mortality, but its pathogenesis remains
poorly understood. In experimental pneumococcal murine intravenous infection, an
initial reduction of bacteria in the blood is followed hours later by a fatal
septicaemia. These events represent a population bottleneck driven by efficient
clearance of pneumococci by splenic macrophages and neutrophils, but as we show
in this study, accompanied by occasional intracellular replication of bacteria
that are taken up by a subset of CD169+ splenic macrophages. In this model,
proliferation of these sequestered bacteria provides a reservoir for
dissemination of pneumococci into the bloodstream, as demonstrated by its
prevention using an anti-CD169 monoclonal antibody treatment. Intracellular
replication of pneumococci within CD169+ splenic macrophages was also observed in
an ex vivo porcine spleen, where the microanatomy is comparable with humans. We
also showed that macrolides, which effectively penetrate macrophages, prevented
septicaemia, whereas beta-lactams, with inefficient intracellular penetration,
failed to prevent dissemination to the blood. Our findings define a shift in our
understanding of the pneumococcus from an exclusively extracellular pathogen to
one with an intracellular phase. These findings open the door to the development
of treatments that target this early, previously unrecognized intracellular phase
of bacterial sepsis.
PMID- 29662132
TI - Immune memory in the brain.
PMID- 29662133
TI - When sex differences lead to extinction.
PMID- 29662134
TI - Bounteous black holes at the Galactic Centre.
PMID- 29662135
TI - A stockpile of antiviral defences.
PMID- 29662138
TI - Regulate artificial intelligence to avert cyber arms race.
PMID- 29662140
TI - Association between heart failure with preserved ejection fraction and
hypertensive retinopathy: more questions than answers!
PMID- 29662139
TI - Decreased expression of JHDMID in placenta is associated with preeclampsia
through HLA-G.
AB - The exact mechanism of preeclampsia (PE) remains unclear, accumulating researches
have indicated multiple epigenetic factors relate to PE and histone methylation
plays a crucial role in modifying the gene expression. So we aimed to confirm
that abnormal expression of histone demethylase JHDM1D contributes to PE and
lower expression of HLA-G in PE. We tested the expression of JHDM1D, H3K9me2, and
H3K27me2 in the placentas of PE and normal control (NC)women who had a healthy
pregnancy with Immunohistochemistry and we found that JHDM1D, H3K9me2, and
H3K27me2 were all mainly expressed in the nuclei of the extra-villous
trophoblasts (EVTs). JHDM1D was lower expressed in PE than in NC placentas,
corresponding with the mRNA level and protein level with qTR-PCR and western
blot, while H3K9me2 and H3K27me2 were higher expressed in PE. We further
investigated the biological functions of JHDM1D in HTR-8/SVneo cells. We found
that siJHDM1D inhibited cell growth after 24 h of the transfection and reduced
the invasion, while increasing the apoptosis of HTR-8/SVneo. We then constructed
the siJHDM1D stable cell line and confirmed with CHIP-qPCR that siJHDM1D
inhibited the expression of HLA-G through increased the enrichment of H3K9me2 and
H3K27me2 in the JHDM1D bounding region of HLA-G. Taken together, our study
confirms that decreased expression of JHDM1D is associated with PE through down
regulating HLA-G and casts new light to the diagnosis and therapy of PE.
PMID- 29662141
TI - The cytoplasmic dynein transport machinery and its many cargoes.
AB - Cytoplasmic dynein 1 is an important microtubule-based motor in many eukaryotic
cells. Dynein has critical roles both in interphase and during cell division.
Here, we focus on interphase cargoes of dynein, which include membrane-bound
organelles, RNAs, protein complexes and viruses. A central challenge in the field
is to understand how a single motor can transport such a diverse array of cargoes
and how this process is regulated. The molecular basis by which each cargo is
linked to dynein and its cofactor dynactin has started to emerge. Of particular
importance for this process is a set of coiled-coil proteins - activating
adaptors - that both recruit dynein-dynactin to their cargoes and activate dynein
motility.
PMID- 29662142
TI - The Hepatitis Delta Virus accumulation requires paraspeckle components and
affects NEAT1 level and PSP1 localization.
AB - The Hepatitis Delta Virus (HDV) relies mainly on host proteins for its
replication. We previously identified that PSF and p54nrb associate with the HDV
RNA genome during viral replication. Together with PSP1, these proteins are part
of paraspeckles, which are subnuclear bodies nucleated by the long non-coding RNA
NEAT1. In this work, we established the requirement for PSF, p54nrb and PSP1 in
HDV replication using RNAi-mediated knockdown in HEK-293 cells replicating the
HDV RNA genome. We determined that HDV replication induces the delocalization of
PSP1 to cytoplasmic foci containing PABP and increases NEAT1 level causing an
enlargement of NEAT1 foci. Overall, our data support a role for the main
paraspeckles proteins in HDV life cycle and indicate that HDV replication causes
a cellular stress and induces both a delocalization of the PSP1 to the cytoplasm
and a disruption of paraspeckles.
PMID- 29662143
TI - Observation of Wavelength Tuning and Bound States in Fiber Lasers.
AB - We report an experimental observation of wavelength tuning and bound states in
fiber lasers. A Mach-Zehnder interferometer (MZI) is adopted as an intra-cavity
tunable filter to realize large-scale wavelength tuning and bandwidth
controlling. By finely manipulating the MZI and intra-cavity polarization state,
continuous wavelength-tunable operation from 1550.7 nm to 1580.8 nm is achieved.
Meanwhile, the spectral bandwidth varying from 1.85 nm to 3.41 nm is also
controlled by broadening the free spectrum range (FSR) of the MZI. Additionally,
with modest polarization adjustment, both tightly and loosely bound states are
experimentally observed, which can be validated by the numerical simulations. The
results indicate that the proposed fiber laser is attractive for
telecommunication systems, on account that the tuning feature can be applied to
wavelength-division multiplexer (WDM) and the various soliton bound states could
contribute to the high-level modulation format.
PMID- 29662144
TI - Selection of stable reference genes for RT-qPCR in Rhodococcus opacus PD630.
AB - Rhodococcus opacus PD630 is a gram-positive bacterium with promising attributes
for the conversion of lignin into valuable fuels and chemicals. To develop an
organism as a cellular factory, it is necessary to have a deep understanding of
its metabolism and any heterologous pathways being expressed. For the purpose of
quantifying gene transcription, reverse transcription quantitative PCR (RT-qPCR)
is the gold standard due to its sensitivity and reproducibility. However, RT-qPCR
requires the use of reference genes whose expression is stable across distinct
growth or treatment conditions to normalize the results. Unfortunately, no in
depth analysis of stable reference genes has been conducted in Rhodococcus,
inhibiting the utilization of RT-qPCR in R. opacus. In this work, ten candidate
reference genes, chosen based on previously collected RNA sequencing data or
literature, were examined under four distinct growth conditions using three
mathematical programs (BestKeeper, Normfinder, and geNorm). Based on this
analysis, the minimum number of reference genes required was found to be two, and
two separate pairs of references genes were identified as optimal normalization
factors for when ribosomal RNA is either present or depleted. This work
represents the first validation of reference genes for Rhodococcus, providing a
valuable starting point for future research.
PMID- 29662145
TI - The ecology and diversity of microbial eukaryotes in geothermal springs.
AB - Decades of research into the Bacteria and Archaea living in geothermal spring
ecosystems have yielded great insight into the diversity of life and organismal
adaptations to extreme environmental conditions. Surprisingly, while microbial
eukaryotes (protists) are also ubiquitous in many environments, their diversity
across geothermal springs has mostly been ignored. We used high-throughput
sequencing to illuminate the diversity and structure of microbial eukaryotic
communities found in 160 geothermal springs with broad ranges in temperature and
pH across the Taupo Volcanic Zone in New Zealand. Protistan communities were
moderately predictable in composition and varied most strongly across gradients
in pH and temperature. Moreover, this variation mirrored patterns observed for
bacterial and archaeal communities across the same spring samples, highlighting
that there are similar ecological constraints across the tree of life. While
extreme pH values were associated with declining protist diversity, high
temperature springs harbored substantial amounts of protist diversity. Although
protists are often overlooked in geothermal springs and other extreme
environments, our results indicate that such environments can host distinct and
diverse protistan communities.
PMID- 29662146
TI - Why do microbes exhibit weak biogeographic patterns?
AB - Analysis of patterns in the distribution of taxa can provide important insights
into ecological and evolutionary processes. Microbial biogeographic patterns
almost always appear to be weaker than those reported for plant and animal taxa.
It is as yet unclear why this is the case. Some argue that microbial diversity
scales differently over space because microbial taxa are fundamentally different
in their abundance, longevity and dispersal abilities. Others have argued that
differences in scaling are an artifact of how we assess microbial biogeography,
driven, for example, by differences in taxonomic resolution, spatial scale,
sampling effort or community activity/dormancy. We tested these alternative
explanations by comparing bacterial biogeographic patterns in soil to those of
trees found in a forest in Gabon. Altering taxonomic resolution, excluding
inactive individuals, or adjusting for differences in spatial scale were
insufficient to change the rate of microbial taxonomic turnover. In contrast, we
account for the differences in spatial turnover between these groups by
equalizing sampling extent. Our results suggest that spatial scaling differences
between microbial and plant diversity are likely not due to fundamental
differences in biology, and that sampling extent should be taken into account
when comparing the biogeographic patterns of microorganisms and larger organisms.
PMID- 29662147
TI - A methanotrophic archaeon couples anaerobic oxidation of methane to Fe(III)
reduction.
AB - Microbially mediated anaerobic oxidation of methane (AOM) is a key process in the
regulation of methane emissions to the atmosphere. Iron can serve as an electron
acceptor for AOM, and it has been suggested that Fe(III)-dependent AOM
potentially comprises a major global methane sink. Although it has been proposed
that anaerobic methanotrophic (ANME) archaea can facilitate this process, their
active metabolic pathways have not been confirmed. Here we report the enrichment
and characterisation of a novel archaeon in a laboratory-scale bioreactor fed
with Fe(III) oxide (ferrihydrite) and methane. Long-term performance data, in
conjunction with the 13C- and 57Fe-labelling batch experiments, demonstrated that
AOM was coupled to Fe(III) reduction to Fe(II) in this bioreactor. Metagenomic
analysis showed that this archaeon belongs to a novel genus within family
Candidatus Methanoperedenaceae, and possesses genes encoding the "reverse
methanogenesis" pathway, as well as multi-heme c-type cytochromes which are
hypothesised to facilitate dissimilatory Fe(III) reduction. Metatranscriptomic
analysis revealed upregulation of these genes, supporting that this archaeon can
independently mediate AOM using Fe(III) as the terminal electron acceptor. We
propose the name Candidatus "Methanoperedens ferrireducens" for this
microorganism. The potential role of "M. ferrireducens" in linking the carbon and
iron cycles in environments rich in methane and iron should be investigated in
future research.
PMID- 29662148
TI - Emergence of Human G2P[4] Rotaviruses in the Post-vaccination Era in South Korea:
Footprints of Multiple Interspecies Re-assortment Events.
AB - After the introduction of two global rotavirus vaccines, RotaTeq in 2007 and
Rotarix in 2008 in South Korea, G1[P8] rotavirus was the major rotavirus genotype
in the country until 2012. However, in this study, an emergence of G2P[4] as the
dominant genotype during the 2013 to 2015 season has been reported. Genetic
analysis revealed that these viruses had typical DS-1-like genotype constellation
and showed evidence of re-assortment in one or more genome segments, including
the incorporation of NSP4 genes from strains B-47/2008 from a cow and
R4/Haryana/2007 from a buffalo in India, and the VP1 and VP3 genes from strain
GO34/1999 from a goat in Bangladesh. Compared to the G2 RotaTeq vaccine strain,
17-24 amino acid changes, specifically A87T, D96N, S213D, and S242N substitutions
in G2 epitopes, were observed. These results suggest that multiple interspecies
re-assortment events might have contributed to the emergence of G2P[4]
rotaviruses in the post-vaccination era in South Korea.
PMID- 29662149
TI - Author Correction: WNT-activated bone grafts repair osteonecrotic lesions in aged
animals.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29662151
TI - New subtypes of high-grade neuroendocrine tumours revealed.
PMID- 29662150
TI - Postoperative chemoradiotherapy versus radiotherapy for high-risk cutaneous
disease.
PMID- 29662152
TI - Targeted combination has synergy in MCL.
PMID- 29662153
TI - Author Correction: Alpha-oxoglutarate inhibits the proliferation of immortalized
normal bladder epithelial cells via an epigenetic switch involving ARID1A.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662154
TI - Parent-of-origin effect of hypomorphic pathogenic variants and somatic mosaicism
impact on phenotypic expression of retinoblastoma.
AB - Retinoblastoma is the most common eye cancer in children. Numerous families have
been described displaying reduced penetrance and expressivity. An extensive
molecular characterization of seven families led us to characterize the two main
mechanisms impacting on phenotypic expression, as follows: (i) mosaicism of
amorphic pathogenic variants; and (ii) parent-of-origin-effect of hypomorphic
pathogenic variants. Somatic mosaicism for RB1 splicing variants (c.1960+5G>C and
c.2106+2T>C), leading to a complete loss of function was demonstrated by high
depth NGS in two families. In both cases, the healthy carrier parent (one with
retinoma) showed a variant frequency lower than that expected for a heterozygous
individual, indicating a 56-60% mosaicism level. Previous evidences of a ~3-fold
excess of RB1 maternal canonical transcript led us to hypothesize that this
differential allelic expression could influence phenotypic outcome in families at
risk for RB onset. Accordingly, in five families, we identified a higher tumor
risk associated with paternally inherited hypomorphic pathogenic variants, namely
a deletion resulting in the loss of 37 amino acids at the N-terminus (c.608
16_608del), an exonic substitution with a "leaky" splicing effect (c.1331A>G), a
partially deleterious substitution (c.1981C>T) and a truncating C-terminal
variant (c.2663+2T>C). The identification of these mechanisms changes the
genetic/prenatal counseling and the clinical management of families, indicating a
higher recurrence risk when the hypomorphic pathogenic variant is inherited from
the father, and suggesting the need for second tumor surveillance in unaffected
carriers at risk of developing adult-onset cancer such as osteosarcoma or
leiomyosarcoma.
PMID- 29662155
TI - IRay therapy as an adjuvant therapy in newly diagnosed patients with neovascular
age-related macular degeneration.
AB - OBJECTIVES: To determine the safety and efficacy at 12 months of follow-up after
stereotactic radiotherapy in combination therapy with intravitreal ranibizumab
injections in treatment naive patients with neovascular age-related macular
degeneration. METHODS: Retrospective data analysis in patients who received
stereotactic radiotherapy (IRay Therapy) during the induction phase of
intravitreal ranibizumab injections and a monotherapy control group. RESULTS: The
baseline VA in the IRay and control group was 59.87 and 59.12 letters
respectively. The real world visual acuity outcomes for the IRay group showed a
mean gain of +3.0 letters at 12 months. The historical control group had a mean
change of - 0.3 letters. The average number of injections for the IRay group and
control group over 12 months was 4.45 and 5.64, respectively with three loading
injections. Excluding the loading phase, the difference over 12 months was a
45.2% reduction in injections (P < 0.001). The number of subjects in the IRay
group that didn't require further injections following the loading phase was 45.5
vs. 24.0% control group (P = 0.005). The difference in mean change in central
macular thickness from baseline is significant at 6 (P = 0.010) and 12 months (P
< 0.01). There were no safety concerns with the IRay therapy group. CONCLUSIONS:
Stereotactic radiotherapy in the induction phase of intravitreal injections of
ranibizumab for treatment naive patients with neovascular age-related macular
degeneration, resulted in improved visual outcome, statistically fewer injections
and statistically drier macular at 12 months, compared to historical controls
treated with monotherapy intravitreal ranibizumab injections.
PMID- 29662156
TI - Enhanced spin pumping into superconductors provides evidence for superconducting
pure spin currents.
AB - Unlike conventional spin-singlet Cooper pairs, spin-triplet pairs can carry
spin1,2. Triplet supercurrents were discovered in Josephson junctions with
metallic ferromagnet spacers, where spin transport can occur only within the
ferromagnet and in conjunction with a charge current. Ferromagnetic resonance
injects a pure spin current from a precessing ferromagnet into adjacent non
magnetic materials3,4. For spin-singlet pairing, the ferromagnetic resonance spin
pumping efficiency decreases below the critical temperature (Tc) of a coupled
superconductor5,6. Here we present ferromagnetic resonance experiments in which
spin sink layers with strong spin-orbit coupling are added to the superconductor.
Our results show that the induced spin currents, rather than being suppressed,
are substantially larger in the superconducting state compared with the normal
state; although further work is required to establish the details of the spin
transport process, we show that this cannot be mediated by quasiparticles and is
most likely a triplet pure spin supercurrent.
PMID- 29662157
TI - Pyroelectric energy conversion with large energy and power density in relaxor
ferroelectric thin films.
AB - The need for efficient energy utilization is driving research into ways to
harvest ubiquitous waste heat. Here, we explore pyroelectric energy conversion
from low-grade thermal sources that exploits strong field- and temperature
induced polarization susceptibilities in the relaxor ferroelectric
0.68Pb(Mg1/3Nb2/3)O3-0.32PbTiO3. Electric-field-driven enhancement of the
pyroelectric response (as large as -550 MUC m-2 K-1) and suppression of the
dielectric response (by 72%) yield substantial figures of merit for pyroelectric
energy conversion. Field- and temperature-dependent pyroelectric measurements
highlight the role of polarization rotation and field-induced polarization in
mediating these effects. Solid-state, thin-film devices that convert low-grade
heat into electrical energy are demonstrated using pyroelectric Ericsson cycles,
and optimized to yield maximum energy density, power density and efficiency of
1.06 J cm-3, 526 W cm-3 and 19% of Carnot, respectively; the highest values
reported to date and equivalent to the performance of a thermoelectric with an
effective ZT ~ 1.16 for a temperature change of 10 K. Our findings suggest that
pyroelectric devices may be competitive with thermoelectric devices for low-grade
thermal harvesting.
PMID- 29662158
TI - More power to pyroelectrics.
PMID- 29662159
TI - Hole trap formation in polymer light-emitting diodes under current stress.
AB - Polymer light-emitting diodes (PLEDs) are attractive for use in large-area
displays and lighting panels, but their limited stability under current stress
impedes commercialization. In spite of large efforts over the last two decades a
fundamental understanding of the degradation mechanisms has not been
accomplished. Here we demonstrate that the voltage drift of a PLED driven at
constant current is caused by the formation of hole traps, which leads to
additional non-radiative recombination between free electrons and trapped holes.
The observed trap formation rate is consistent with exciton-free hole
interactions as the main mechanism behind PLED degradation, enabling us to unify
the degradation behaviour of various poly(p-phenylene) derivatives. The knowledge
that hole trap formation is the cause of PLED degradation means that we can
suppress the negative effect of hole traps on voltage and efficiency by blending
the light-emitting polymer with a large-bandgap semiconductor. Owing to trap
dilution these blended PLEDs show unprecedented stability.
PMID- 29662160
TI - Highly reversible zinc metal anode for aqueous batteries.
AB - Metallic zinc (Zn) has been regarded as an ideal anode material for aqueous
batteries because of its high theoretical capacity (820 mA h g-1), low potential
(-0.762 V versus the standard hydrogen electrode), high abundance, low toxicity
and intrinsic safety. However, aqueous Zn chemistry persistently suffers from
irreversibility issues, as exemplified by its low coulombic efficiency (CE) and
dendrite growth during plating/ stripping, and sustained water consumption. In
this work, we demonstrate that an aqueous electrolyte based on Zn and lithium
salts at high concentrations is a very effective way to address these issues.
This unique electrolyte not only enables dendrite-free Zn plating/stripping at
nearly 100% CE, but also retains water in the open atmosphere, which makes
hermetic cell configurations optional. These merits bring unprecedented
flexibility and reversibility to Zn batteries using either LiMn2O4 or O2 cathodes
the former deliver 180 W h kg-1 while retaining 80% capacity for >4,000 cycles,
and the latter deliver 300 W h kg-1 (1,000 W h kg-1 based on the cathode) for
>200 cycles.
PMID- 29662161
TI - Pan-cancer analysis of somatic mutations and transcriptomes reveals common
functional gene clusters shared by multiple cancer types.
AB - To discover functional gene clusters across cancers, we performed a systematic
pan-cancer analysis of 33 cancer types. We identified genes that were associated
with somatic mutations and were the cores of a co-expression network. We found
that multiple cancer types have relatively exclusive hub genes individually;
however, the hub genes cooperate with each other based on their functional
relationship. When we built a protein-protein interaction network of hub genes
and found nine functional gene clusters across cancer types, the gene clusters
divided not only the region of the network map, but also the function of the
network by their distinct roles related to the development and progression of
cancer. This functional relationship between the clusters and cancers was
underpinned by the high expression of module genes and enrichment of programmed
cell death, and known candidate cancer genes. In addition to protein-coding hub
genes, non-coding hub genes had a possible relationship with cancer. Overall, our
approach of investigating cancer genes enabled finding pan-cancer hub genes and
common functional gene clusters shared by multiple cancer types based on the
expression status of the primary tumour and the functional relationship of genes
in the biological network.
PMID- 29662162
TI - A switch point in the molecular chaperone Hsp90 responding to client interaction.
AB - Heat shock protein 90 (Hsp90) is a dimeric molecular chaperone that undergoes
large conformational changes during its functional cycle. It has been established
that conformational switch points exist in the N-terminal (Hsp90-N) and C
terminal (Hsp90-C) domains of Hsp90, however information for switch points in the
large middle-domain (Hsp90-M) is scarce. Here we report on a tryptophan residue
in Hsp90-M as a new type of switch point. Our study shows that this conserved
tryptophan senses the interaction of Hsp90 with a stringent client protein and
transfers this information via a cation-pi interaction with a neighboring lysine.
Mutations at this position hamper the communication between domains and the
ability of a client protein to affect the Hsp90 cycle. The residue thus allows
Hsp90 to transmit information on the binding of a client from Hsp90-M to Hsp90-N
which is important for progression of the conformational cycle and the efficient
processing of client proteins.
PMID- 29662163
TI - Polymer physics predicts the effects of structural variants on chromatin
architecture.
AB - Structural variants (SVs) can result in changes in gene expression due to
abnormal chromatin folding and cause disease. However, the prediction of such
effects remains a challenge. Here we present a polymer-physics-based approach
(PRISMR) to model 3D chromatin folding and to predict enhancer-promoter contacts.
PRISMR predicts higher-order chromatin structure from genome-wide chromosome
conformation capture (Hi-C) data. Using the EPHA4 locus as a model, the effects
of pathogenic SVs are predicted in silico and compared to Hi-C data generated
from mouse limb buds and patient-derived fibroblasts. PRISMR deconvolves the
folding complexity of the EPHA4 locus and identifies SV-induced ectopic contacts
and alterations of 3D genome organization in homozygous or heterozygous states.
We show that SVs can reconfigure topologically associating domains, thereby
producing extensive rewiring of regulatory interactions and causing disease by
gene misexpression. PRISMR can be used to predict interactions in silico, thereby
providing a tool for analyzing the disease-causing potential of SVs.
PMID- 29662165
TI - Deconvolution of seed and RNA-binding protein crosstalk in RNAi-based functional
genomics.
AB - RNA interference (RNAi) is a major, powerful platform for gene perturbations, but
is restricted by off-target mechanisms. Communication between RNAs, small RNAs,
and RNA-binding proteins (RBPs) is a pervasive feature of cellular RNA networks.
We present a crosstalk scenario, designated as crosstalk with endogenous RBPs'
(ceRBP), in which small interfering RNAs or microRNAs with seed sequences that
overlap RBP motifs have extended biological effects by perturbing endogenous RBP
activity. Systematic analysis of small interfering RNA (siRNA) off-target data
and genome-wide RNAi cancer lethality screens using 501 human cancer cell lines,
a cancer dependency map, identified that seed-to-RBP crosstalk is widespread,
contributes to off-target activity, and affects RNAi performance. Specifically,
deconvolution of the interactions between gene knockdown and seed-mediated
silencing effects in the cancer dependency map showed widespread contributions of
seed-to-RBP crosstalk to growth-phenotype modulation. These findings suggest a
novel aspect of microRNA biology and offer a basis for improvement of RNAi agents
and RNAi-based functional genomics.
PMID- 29662164
TI - Transcription factors operate across disease loci, with EBNA2 implicated in
autoimmunity.
AB - Explaining the genetics of many diseases is challenging because most associations
localize to incompletely characterized regulatory regions. Using new
computational methods, we show that transcription factors (TFs) occupy multiple
loci associated with individual complex genetic disorders. Application to 213
phenotypes and 1,544 TF binding datasets identified 2,264 relationships between
hundreds of TFs and 94 phenotypes, including androgen receptor in prostate cancer
and GATA3 in breast cancer. Strikingly, nearly half of systemic lupus
erythematosus risk loci are occupied by the Epstein-Barr virus EBNA2 protein and
many coclustering human TFs, showing gene-environment interaction. Similar EBNA2
anchored associations exist in multiple sclerosis, rheumatoid arthritis,
inflammatory bowel disease, type 1 diabetes, juvenile idiopathic arthritis and
celiac disease. Instances of allele-dependent DNA binding and downstream effects
on gene expression at plausibly causal variants support genetic mechanisms
dependent on EBNA2. Our results nominate mechanisms that operate across risk loci
within disease phenotypes, suggesting new models for disease origins.
PMID- 29662166
TI - Signatures of negative selection in the genetic architecture of human complex
traits.
AB - We develop a Bayesian mixed linear model that simultaneously estimates single
nucleotide polymorphism (SNP)-based heritability, polygenicity (proportion of
SNPs with nonzero effects), and the relationship between SNP effect size and
minor allele frequency for complex traits in conventionally unrelated individuals
using genome-wide SNP data. We apply the method to 28 complex traits in the UK
Biobank data (N = 126,752) and show that on average, 6% of SNPs have nonzero
effects, which in total explain 22% of phenotypic variance. We detect significant
(P < 0.05/28) signatures of natural selection in the genetic architecture of 23
traits, including reproductive, cardiovascular, and anthropometric traits, as
well as educational attainment. The significant estimates of the relationship
between effect size and minor allele frequency in complex traits are consistent
with a model of negative (or purifying) selection, as confirmed by forward
simulation. We conclude that negative selection acts pervasively on the genetic
variants associated with human complex traits.
PMID- 29662169
TI - Distinct myeloid cell subsets promote meningeal remodeling and vascular repair
after mild traumatic brain injury.
AB - Mild traumatic brain injury (mTBI) can cause meningeal vascular injury and cell
death that spreads into the brain parenchyma and triggers local inflammation and
recruitment of peripheral immune cells. The factors that dictate meningeal
recovery after mTBI are unknown at present. Here we demonstrated that most
patients who had experienced mTBI resolved meningeal vascular damage within 2-3
weeks, although injury persisted for months in a subset of patients. To
understand the recovery process, we studied a mouse model of mTBI and found
extensive meningeal remodeling that was temporally reliant on infiltrating
myeloid cells with divergent functions. Inflammatory myelomonocytic cells
scavenged dead cells in the lesion core, whereas wound-healing macrophages
proliferated along the lesion perimeter and promoted angiogenesis through the
clearance of fibrin and production of the matrix metalloproteinase MMP-2.
Notably, a secondary injury experienced during the acute inflammatory phase
aborted this repair program and enhanced inflammation, but a secondary injury
experienced during the wound-healing phase did not. Our findings demonstrate that
meningeal vasculature can undergo regeneration after mTBI that is dependent on
distinct myeloid cell subsets.
PMID- 29662167
TI - Sequencing of prostate cancers identifies new cancer genes, routes of progression
and drug targets.
AB - Prostate cancer represents a substantial clinical challenge because it is
difficult to predict outcome and advanced disease is often fatal. We sequenced
the whole genomes of 112 primary and metastatic prostate cancer samples. From
joint analysis of these cancers with those from previous studies (930 cancers in
total), we found evidence for 22 previously unidentified putative driver genes
harboring coding mutations, as well as evidence for NEAT1 and FOXA1 acting as
drivers through noncoding mutations. Through the temporal dissection of
aberrations, we identified driver mutations specifically associated with steps in
the progression of prostate cancer, establishing, for example, loss of CHD1 and
BRCA2 as early events in cancer development of ETS fusion-negative cancers.
Computational chemogenomic (canSAR) analysis of prostate cancer mutations
identified 11 targets of approved drugs, 7 targets of investigational drugs, and
62 targets of compounds that may be active and should be considered candidates
for future clinical trials.
PMID- 29662168
TI - Genome-wide association meta-analysis of individuals of European ancestry
identifies new loci explaining a substantial fraction of hair color variation and
heritability.
AB - Hair color is one of the most recognizable visual traits in European populations
and is under strong genetic control. Here we report the results of a genome-wide
association study meta-analysis of almost 300,000 participants of European
descent. We identified 123 autosomal and one X-chromosome loci significantly
associated with hair color; all but 13 are novel. Collectively, single-nucleotide
polymorphisms associated with hair color within these loci explain 34.6% of red
hair, 24.8% of blond hair, and 26.1% of black hair heritability in the study
populations. These results confirm the polygenic nature of complex phenotypes and
improve our understanding of melanin pigment metabolism in humans.
PMID- 29662171
TI - Fatal demyelinating disease is induced by monocyte-derived macrophages in the
absence of TGF-beta signaling.
AB - The cytokine transforming growth factor-beta (TGF-beta) regulates the development
and homeostasis of several tissue-resident macrophage populations, including
microglia. TGF-beta is not critical for microglia survival but is required for
the maintenance of the microglia-specific homeostatic gene signature1,2. Under
defined host conditions, circulating monocytes can compete for the microglial
niche and give rise to long-lived monocyte-derived macrophages residing in the
central nervous system (CNS)3-5. Whether monocytes require TGF-beta for
colonization of the microglial niche and maintenance of CNS integrity is unknown.
We found that abrogation of TGF-beta signaling in CX3CR1+ monocyte-derived
macrophages led to rapid onset of a progressive and fatal demyelinating motor
disease characterized by myelin-laden giant macrophages throughout the spinal
cord. Tgfbr2-deficient macrophages were characterized by high expression of genes
encoding proteins involved in antigen presentation, inflammation and
phagocytosis. TGF-beta is thus crucial for the functional integration of
monocytes into the CNS microenvironment.
PMID- 29662170
TI - c-Maf controls immune responses by regulating disease-specific gene networks and
repressing IL-2 in CD4+ T cells.
AB - The transcription factor c-Maf induces the anti-inflammatory cytokine IL-10 in
CD4+ T cells in vitro. However, the global effects of c-Maf on diverse immune
responses in vivo are unknown. Here we found that c-Maf regulated IL-10
production in CD4+ T cells in disease models involving the TH1 subset of helper T
cells (malaria), TH2 cells (allergy) and TH17 cells (autoimmunity) in vivo.
Although mice with c-Maf deficiency targeted to T cells showed greater pathology
in TH1 and TH2 responses, TH17 cell-mediated pathology was reduced in this
context, with an accompanying decrease in TH17 cells and increase in Foxp3+
regulatory T cells. Bivariate genomic footprinting elucidated the c-Maf
transcription-factor network, including enhanced activity of NFAT; this led to
the identification and validation of c-Maf as a negative regulator of IL-2. The
decreased expression of the gene encoding the transcription factor RORgammat
(Rorc) that resulted from c-Maf deficiency was dependent on IL-2, which explained
the in vivo observations. Thus, c-Maf is a positive and negative regulator of the
expression of cytokine-encoding genes, with context-specific effects that allow
each immune response to occur in a controlled yet effective manner.
PMID- 29662172
TI - Monomeric TCRs drive T cell antigen recognition.
AB - T cell antigen recognition requires T cell antigen receptors (TCRs) engaging MHC
embedded antigenic peptides (pMHCs) within the contact region of a T cell with
its conjugated antigen-presenting cell. Despite micromolar TCR:pMHC affinities, T
cells respond to even a single antigenic pMHC, and higher-order TCRs have been
postulated to maintain high antigen sensitivity and trigger signaling. We
interrogated the stoichiometry of TCRs and their associated CD3 subunits on the
surface of living T cells through single-molecule brightness and single-molecule
coincidence analysis, photon-antibunching-based fluorescence correlation
spectroscopy and Forster resonance energy transfer measurements. We found
exclusively monomeric TCR-CD3 complexes driving the recognition of antigenic
pMHCs, which underscores the exceptional capacity of single TCR-CD3 complexes to
elicit robust intracellular signaling.
PMID- 29662174
TI - Mitochondrial OXPHOS complex assembly lines.
PMID- 29662173
TI - Counter-rotational cell flows drive morphological and cell fate asymmetries in
mammalian hair follicles.
AB - Organ morphogenesis is a complex process coordinated by cell specification,
epithelial-mesenchymal interactions and tissue polarity. A striking example is
the pattern of regularly spaced, globally aligned mammalian hair follicles, which
emerges through epidermal-dermal signaling and planar polarized morphogenesis.
Here, using live-imaging, we discover that developing hair follicles polarize
through dramatic cell rearrangements organized in a counter-rotational pattern of
cell flows. Upon hair placode induction, Shh signaling specifies a radial pattern
of progenitor fates that, together with planar cell polarity, induce counter
rotational rearrangements through myosin and ROCK-dependent polarized neighbour
exchanges. Importantly, these cell rearrangements also establish cell fate
asymmetry by repositioning radial progenitors along the anterior-posterior axis.
These movements concurrently displace associated mesenchymal cells, which then
signal asymmetrically to maintain polarized cell fates. Our results demonstrate
how spatial patterning and tissue polarity generate an unexpected collective cell
behaviour that in turn, establishes both morphological and cell fate asymmetry.
PMID- 29662175
TI - Pluripotency transcription factors and Tet1/2 maintain Brd4-independent stem cell
identity.
AB - A robust network of transcription factors and an open chromatin landscape are
hallmarks of the naive pluripotent state. Recently, the acetyllysine reader Brd4
has been implicated in stem cell maintenance, but the relative contribution of
Brd4 to pluripotency remains unclear. Here, we show that Brd4 is dispensable for
self-renewal and pluripotency of embryonic stem cells (ESCs). When maintained in
their ground state, ESCs retain transcription factor binding and chromatin
accessibility independent of Brd4 function or expression. In metastable ESCs,
Brd4 independence can be achieved by increased expression of pluripotency
transcription factors, including STAT3, Nanog or Klf4, so long as the DNA
methylcytosine oxidases Tet1 and Tet2 are present. These data reveal that Brd4 is
not essential for ESC self-renewal. Rather, the levels of pluripotency
transcription factor abundance and Tet1/2 function determine the extent to which
bromodomain recognition of protein acetylation contributes to the maintenance of
gene expression and cell identity.
PMID- 29662177
TI - Brd4-independence in ground state pluripotency.
PMID- 29662176
TI - Cancer-cell-secreted exosomal miR-105 promotes tumour growth through the MYC
dependent metabolic reprogramming of stromal cells.
AB - Cancer and other cells residing in the same niche engage various modes of
interactions to synchronize and buffer the negative effects of environmental
changes. Extracellular microRNAs (miRNAs) have recently been implicated in the
intercellular crosstalk. Here we show a mechanistic model involving breast-cancer
secreted, extracellular-vesicle-encapsulated miR-105, which is induced by the
oncoprotein MYC in cancer cells and, in turn, activates MYC signalling in cancer
associated fibroblasts (CAFs) to induce a metabolic program. This results in the
capacity of CAFs to display different metabolic features in response to changes
in the metabolic environment. When nutrients are sufficient, miR-105-reprogrammed
CAFs enhance glucose and glutamine metabolism to fuel adjacent cancer cells. When
nutrient levels are low and metabolic by-products accumulate, these CAFs detoxify
metabolic wastes, including lactic acid and ammonium, by converting them into
energy-rich metabolites. Thus, the miR-105-mediated metabolic reprogramming of
stromal cells contributes to sustained tumour growth by conditioning the shared
metabolic environment.
PMID- 29662178
TI - Defining essential genes for human pluripotent stem cells by CRISPR-Cas9
screening in haploid cells.
AB - The maintenance of pluripotency requires coordinated expression of a set of
essential genes. Using our recently established haploid human pluripotent stem
cells (hPSCs), we generated a genome-wide loss-of-function library targeting
18,166 protein-coding genes to define the essential genes in hPSCs. With this we
could allude to an intrinsic bias of essentiality across cellular compartments,
uncover two opposing roles for tumour suppressor genes and link autosomal
recessive disorders with growth-retardation phenotypes to early embryogenesis.
hPSC-enriched essential genes mainly encode transcription factors and proteins
related to cell-cycle and DNA-repair, revealing that a quarter of the nuclear
factors are essential for normal growth. Our screen also led to the
identification of growth-restricting genes whose loss of function provides a
growth advantage to hPSCs, highlighting the role of the P53-mTOR pathway in this
context. Overall, we have constructed an atlas of essential and growth
restricting genes in hPSCs, revealing key aspects of cellular essentiality and
providing a reference for future studies on human pluripotency.
PMID- 29662179
TI - Spatial orchestration of mitochondrial translation and OXPHOS complex assembly.
AB - Oxidative phosphorylation (OXPHOS) is vital for the regeneration of the vast
majority of ATP in eukaryotic cells 1 . OXPHOS is carried out by large multi
subunit protein complexes in the cristae membranes, which are invaginations of
the mitochondrial inner membrane. The OXPHOS complexes are a mix of subunits
encoded in the nuclear and mitochondrial genomes. Thus, the assembly of these
dual-origin complexes is an enormous logistical challenge for the cell. Using
super-resolution microscopy (nanoscopy) and quantitative cryo-immunogold electron
microscopy, we determined where specific transcripts are translated and where
distinct assembly steps of the dual-origin complexes in the yeast Saccharomyces
cerevisiae occur. Our data indicate that the mitochondrially encoded proteins of
complex III and complex IV are preferentially inserted in different sites of the
inner membrane than those of complex V. We further demonstrate that the early,
but not the late, assembly steps of complex III and complex IV occur
preferentially in the inner boundary membrane. By contrast, all steps of complex
V assembly occur mainly in the cristae membranes. Thus, OXPHOS complex assembly
is spatially well orchestrated, probably representing an unappreciated regulatory
layer in mitochondrial biogenesis.
PMID- 29662180
TI - MYC sets a tumour-stroma metabolic loop.
PMID- 29662181
TI - Author Correction: Niche harmony search algorithm for detecting complex disease
associated high-order SNP combinations.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has not been fixed in the paper.
PMID- 29662183
TI - The peptide D-list.
PMID- 29662182
TI - Spotting the signal.
PMID- 29662184
TI - Fueled by light.
PMID- 29662185
TI - Structure in sequence.
PMID- 29662186
TI - Understanding the Pro/N-end rule pathway.
PMID- 29662187
TI - Designing macrocyclic disulfide-rich peptides for biotechnological applications.
AB - Bioactive peptides have potential as drug leads, but turning them into drugs is a
challenge because of their typically poor metabolic stability. Molecular grafting
is one approach to stabilizing and constraining peptides and involves melding a
bioactive peptide sequence onto a suitable molecular scaffold. This method has
the benefit of improving the stability of the bioactive peptide lead and
potentially expanding its functionality. Here we step through the molecular
grafting process and describe its successes and limitations. So far, molecular
grafting has been successfully used to improve the stability of peptide drug
leads, to enhance conformational rigidity, to facilitate delivery to
intracellular targets, and in some cases to increase efficacy in oral
administration. Although applications of molecular grafting have focused mainly
on therapeutic applications, including those for pain, metabolic disease, and
cancer, its potential uses are much broader, and we hope this Perspective will
inspire wider applications of this molecular design tool in biotechnology.
PMID- 29662188
TI - Author Correction: Gender bias in clinicians' pathologization of atypical
sexuality: a randomized controlled trial with mental health professionals.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662189
TI - AKT as a key target for growth promoting functions of neutral ceramidase in colon
cancer cells.
AB - Despite advances in the field, colorectal cancer (CRC) remains a leading cause of
cancer-related mortality worldwide. Research into bioactive sphingolipids over
the past two decades has played an important role in increasing our understanding
of the pathogenesis and therapeutics of CRC. In the complex metabolic network of
sphingolipids, ceramidases (CDases) have a key function. These enzymes hydrolyze
ceramides into sphingosine (SPH) which in turn is phosphorylated by sphingosine
kinases (SK) 1 and 2 to generate sphingosine-1 phosphate (S1P). Importantly, we
have recently shown that inhibition of neutral CDase (nCDase) induces an increase
of ceramide in colon cancer cells which decreases cellular growth, increases
apoptosis and modulates the WNT/beta-catenin pathway. We have also shown that the
deletion of nCDase protected mice from the onset and progression of colorectal
cancer in the AOM carcinogen model. Here, we demonstrate that AKT is a key target
for the growth suppressing functions of ceramide. The results show that
inhibition of nCDase activates GSK3beta through dephosphorylation, and thus is
required for the subsequent phosphorylation and degradation of beta-catenin. Our
findings show that inhibition of nCDase also inhibits the basal activation status
of AKT, and we further establish that a constitutively active AKT (AKT T308D,
S473D; AKTDD) reverses the effect of nCDase on beta-catenin degradation.
Functionally, the AKTDD mutant is able to overcome the growth suppressive effects
of nCDase inhibition in CRC cells. Moreover, nCDase inhibition induces a growth
delay of xenograft tumors from control cells, whereas xenograft tumors from
constitutively active AKT cells become resistant to nCDase inhibition. Taken
together, these results provide important mechanistic insight into how nCDase
regulates cell proliferation. These findings demonstrate a heretofore
unappreciated, but critical, role for nCDase in enabling/maintaining basal
activation of AKT and also suggest that nCDase is a suitable novel target for
colon cancer therapy.
PMID- 29662190
TI - Autocrine activation of JAK2 by IL-11 promotes platinum drug resistance.
AB - Antineoplastic platinum agents are used in first-line treatment of ovarian
cancer, but treatment failure frequently results from platinum drug resistance.
Emerging observations suggest a role of reactive oxygen species (ROS) in the
resistance of cancer drugs including platinum drugs. However, the molecular link
between ROS and cellular survival pathway is poorly understood. Using
quantitative high-throughput combinational screen (qHTCS) and genomic sequencing,
we show that in platinum-resistant ovarian cancer elevated ROS levels sustain
high level of IL-11 by stimulating FRA1-mediated IL-11 expression and increased
IL-11 causes resistance to platinum drugs by constitutively activating JAK2-STAT5
via an autocrine mechanism. Inhibition of JAK2 by LY2784544 or IL-11 by anti-IL
11 antibody overcomes the platinum resistance in vitro or in vivo. Significantly,
clinic studies also confirm the activated IL-11-JAK2 pathway in platinum
resistant ovarian cancer patients, which highly correlates with poor prognosis.
These findings not only identify a novel ROS-IL-11-JAK2-mediated platinum
resistance mechanism but also provide a new strategy for using LY2784544- or IL
11-mediated immunotherapy to treat platinum-resistant ovarian cancer.
PMID- 29662191
TI - WNT ligands control initiation and progression of human papillomavirus-driven
squamous cell carcinoma.
AB - Human papillomavirus (HPV)-driven cutaneous squamous cell carcinoma (cSCC) is the
most common cancer in immunosuppressed patients. Despite indications suggesting
that HPV promotes genomic instability during cSCC development, the molecular
pathways underpinning HPV-driven cSCC development remain unknown. We compared the
transcriptome of HPV-driven mouse cSCC with normal skin and observed higher
amounts of transcripts for Porcupine and WNT ligands in cSCC, suggesting a role
for WNT signaling in cSCC progression. We confirmed increased Porcupine
expression in human cSCC samples. Blocking the secretion of WNT ligands by the
Porcupine inhibitor LGK974 significantly diminished initiation and progression of
HPV-driven cSCC. Administration of LGK974 to mice with established cSCC resulted
in differentiation of cancer cells and significant reduction of the cancer stem
cell compartment. Thus, WNT/beta-catenin signaling is essential for HPV-driven
cSCC initiation and progression as well as for maintaining the cancer stem cell
niche. Interference with WNT secretion may thus represent a promising approach
for therapeutic intervention.
PMID- 29662192
TI - Capillary morphogenesis gene 2 maintains gastric cancer stem-like cell phenotype
by activating a Wnt/beta-catenin pathway.
AB - A growing body of evidence shows that the development and progression of gastric
cancer (GC) is mainly associated to the presence of gastric cancer stem-like
cells (GCSLCs). However, it is unclear how GCSLC population is maintained. This
study aimed to explore the role of capillary morphogenesis gene 2 (CMG2) in GCSLC
maintenance and the relevance to GC progression. We found that CMG2 was highly
expressed in GC tissues and the expression levels were associated with the
invasion depth and lymph node metastasis of GC, and inversely correlated with the
survival of GC patients. Sorted CMG2High GC cells preferentially clustered in
CD44High stem-like cell population, which expressed high levels of stemness
related genes with increased capabilities of self-renewal and tumorigenicity.
Depletion of CMG2 gene resulted in reduction of GCSLC population with attenuated
stemness and decrease of invasive and metastatic capabilities with subdued
epithelial-mesenchymal transition phenotype in GC cells. Mechanistically, CMG2
interacted with LRP6 in GCSLCs to activate a Wnt/beta-catenin pathway. Thus, our
results demonstrate that CMG2 promotes GC progression by maintaining GCSLCs and
can serve as a new prognostic indicator and a target for human GC therapy.
PMID- 29662193
TI - SPAG5 promotes proliferation and suppresses apoptosis in bladder urothelial
carcinoma by upregulating Wnt3 via activating the AKT/mTOR pathway and predicts
poorer survival.
AB - Sperm-associated antigen 5 (SPAG5) is involved in various biological processes.
However, the roles of SPAG5 in bladder urothelial carcinoma (BUC) are unknown.
This study showed that upregulation of SPAG5 was detected frequently in primary
BUC tissues, and was associated with significantly worse survival among the 112
patients that underwent radical cystectomy (RC). Up and downregulating the
expression of SPAG5 enhanced or inhibited, respectively, the proliferation of BUC
cells in vitro and in vivo, and suppressed or enhanced, respectively, apoptosis
in vitro and in vivo. Moreover, SPAG5 increased the resistance of BUC cells to
chemotherapy-induced apoptosis. Mechanistic investigations showed that SPAG5
promotes proliferation and suppresses apoptosis in BUC at least partially via
upregulating Wnt3 through activating the AKT/mTOR signaling pathway. The
importance of the SPAG5/AKT-mTOR/Wnt3 axis identified in BUC cell models was
confirmed via immunohistochemical analysis of a cohort of human BUC specimens
that underwent RC. Collectively, our data suggested that in patients with BUC who
underwent RC, high SPAG5 expression is associated with poor survival. In
addition, targeting SPAG5 might represent a novel therapeutic strategy to improve
the survival of patients with BUC.
PMID- 29662194
TI - An EGFR ligand promotes EGFR-mutant but not KRAS-mutant lung cancer in vivo.
AB - EGFR ligands (e.g., EGF and TGFA) have been shown to be clinically associated
with poor survival in lung cancer. Since TGFA itself initiates autochthonous
tumors in liver, breast, and pancreas but not in the lung in transgenic mice in
vivo, it would appear that an EGFR ligand may not initiate but rather promote
lung cancer. However, it has not been proven in vivo whether lung cancer is
promoted by an EGFR ligand. Using transgenic mouse models conditionally
expressing EGFRL858R or KrasG12D with TGFA (an EGFR ligand) in lung epithelium,
we determined that TGFA promoted the growth of EGFRL858R-lung tumors in airway
regions but not that of KrasG12D-lung tumors. Analysis of TCGA datasets
identified DeltaNp63 and AGR2 as potential key tumor-promoting regulators, which
were highly induced in the TGFA-induced EGFRL858R-lung tumors. The expression of
AGR2 was positively correlated with the expression of TGFA in human EGFR-mutant
lung adenocarcinomas. The expression of TGFA in human EGFR-mutant lung
adenocarcinomas but not in the EGFR wild-type lung adenocarcinoma was associated
with poor survival. These results suggest that targeting EGFR ligands may benefit
patients who carry EGFR-mutant lung tumors but will not benefit patients with
KRAS-mutant lung tumors.
PMID- 29662195
TI - NGR (Asn-Gly-Arg)-targeted delivery of coagulase to tumor vasculature arrests
cancer cell growth.
AB - Induction of selective thrombosis and infarction in tumor-feeding vessels
represents an attractive strategy to combat cancer. Here we took advantage of the
unique coagulation properties of staphylocoagulase and genetically engineered it
to generate a new fusion protein with novel anti-cancer properties. This novel bi
functional protein consists of truncated coagulase (tCoa) and an NGR (GNGRAHA)
motif that recognizes CD13 and alphavbeta3 integrin receptors, targeting it to
tumor endothelial cells. Herein, we report that tCoa coupled by its C-terminus to
an NGR sequence retained its normal binding activity with prothrombin and avbeta3
integrins, as confirmed in silico and in vitro. Moreover, in vivo biodistribution
studies demonstrated selective accumulation of FITC-labeled tCoa-NGR fusion
proteins at the site of subcutaneously implanted PC3 tumor xenografts in nude
mice. Notably, systemic administration of tCoa-NGR to mice bearing 4T1 mouse
mammary xenografts or PC3 human prostate tumors resulted in a significant
reduction in tumor growth. These anti-tumor effects were accompanied by massive
thrombotic occlusion of small and large tumor vessels, tumor infarction and tumor
cell death. From these findings, we propose tCoa-NGR mediated tumor infarction as
a novel and promising anti-cancer strategy targeting both CD13 and integrin
alphavbeta3 positive tumor neovasculature.
PMID- 29662196
TI - Tocopherols inhibit esophageal carcinogenesis through attenuating NF-kappaB
activation and CXCR3-mediated inflammation.
AB - Esophageal cancer is one of the common causes of cancer mortality in the world.
The predominant histological subtype, esophageal squamous cell carcinoma (ESCC),
often results in poor prognosis due to the lack of effective approaches for the
early diagnosis and treatment, highlighting the need for preventive intervention
against this disease. Here we report that dietary tocopherols significantly
prevents esophageal carcinogenesis by inhibiting the activation of NF-kappaB and
the subsequent interaction of chemokine CXCL9/10/11 with their receptor CXCR3 in
ESCC induced by N-nitrosomethylbenzylamine (NMBA) in murine models. Dietary
supplementation with 0.15% alpha-tocopherol (alpha-T), delta-tocopherol (delta
T), or gamma-tocopherol rich mixture (gamma-TmT) markedly suppressed the
production of pro-inflammatory cytokines, as well as the induction of CXCR3+
effector T cells (CD4+ Th1 and CD8+ CTLs) infiltration, especially at the early
stage of carcinogenesis. In experiments in vivo and in vitro, these events were
tightly correlated with the blockade of NF-kappaB activation. Our results show
that tocopherols decrease carcinogenesis through inhibiting NF-kappaB and CXCR3
signaling, as well as related inflammation in early premalignant lesions. This
pathway may offer a novel target for chemoprevention of esophageal cancer.
PMID- 29662197
TI - MEKK2 and MEKK3 suppress Hedgehog pathway-dependent medulloblastoma by inhibiting
GLI1 function.
AB - Hedgehog (Hh) pathway plays a pivotal role in diverse aspects of development and
postnatal physiology. Perturbation of Hh signaling and activation of GLI1 (glioma
associated oncogene 1), a dedicated transcription factor for Hh pathway, are
highly associated with several cancers, such as medulloblastoma and basal cell
carcinoma. Dynamic and precise control of GLI1 activity is thus important to
ensure proper homeostasis and tumorigenesis. Here we show that MEKK2 (MAP3K2) and
MEKK3 (MAP3K3) inhibit GLI1 transcriptional activity and oncogenic function
through phosphorylation on multiple Ser/Thr sites of GLI1, which reduces GLI1
protein stability, DNA-binding ability, and increases the association of GLI1
with SUFU. Interestingly, MEKK2 and MEKK3 are responsible for FGF2-mediated
inhibition on Hh signaling. Moreover, expression of MEKK2 and MEKK3 inhibits
medulloblastoma cell proliferation and negatively correlates with Hh pathway
activity in medulloblastoma clinical samples. Together, these findings reveal a
novel noncanonical GLI1 regulation and provide a potential therapeutic target for
the treatment of cancers with aberrant Hh pathway activation, such as
medulloblastoma.
PMID- 29662198
TI - The miR-106b-25 cluster mediates breast tumor initiation through activation of
NOTCH1 via direct repression of NEDD4L.
AB - Tumor-initiating cells (TIC) represent a subset of tumor cells with increased
self-renewal capability. TICs display resistance to frontline cancer treatment
and retain the ability to repopulate a tumor after therapy, leading to cancer
relapse. NOTCH signaling has been identified as an important driver of the TIC
population, yet mechanisms governing regulation of this pathway in cancer remain
to be fully elucidated. Here we identify a novel mechanism of NOTCH regulation
and TIC induction in breast cancer via the miR-106b-25 miRNA cluster. We show
that the miR-106b-25 cluster upregulates NOTCH1 in multiple breast cancer cell
lines, representing both estrogen receptor (ER+) and triple negative breast
cancer (TNBC) through direct repression of the E3 ubiquitin ligase, NEDD4L. We
further show that upregulation of NOTCH1 is necessary for TIC induction
downstream of miR-106b-25 in both ER + and TNBC breast cancer cells, and that re
expression of NEDD4L is sufficient to reverse miR106b-25-mediated NOTCH1
upregulation and TIC induction. Importantly, we demonstrate a significant
positive correlation between miR-106b-25 and NOTCH1 protein, yet a significant
inverse correlation between miR-106b-25 and NEDD4L mRNA in human breast cancer,
suggesting a critical role for the miR106b-25/NEDD4L/NOTCH1 axis in the disease.
Further, we show for the first time that NEDD4L expression alone is significantly
associated with a better relapse-free prognosis for breast cancer patients. These
data expand our knowledge of the mechanisms underlying NOTCH activation and TIC
induction in breast cancer, and may provide new avenues for the development of
therapies targeting this resistant subset of tumor cells.
PMID- 29662199
TI - A single injection of crystallizable fragment domain-modified antibodies elicits
durable protection from SHIV infection.
AB - In the absence of an effective and safe vaccine against HIV-1, the administration
of broadly neutralizing antibodies (bNAbs) represents a logical alternative
approach to prevent virus transmission. Here, we introduced two mutations
encoding amino acid substitutions (M428L and N434S, collectively referred to as
'LS') into the genes encoding the crystallizable fragment domains of the highly
potent HIV-specific 3BNC117 and 10-1074 bNAbs to increase their half-lives and
evaluated their efficacy in blocking infection following repeated low-dose
mucosal challenges of rhesus macaques (Macaca mulatta) with the tier 2 SHIVAD8
EO. A single intravenous infusion of 10-1074-LS monoclonal antibodies markedly
delayed virus acquisition for 18 to 37 weeks (median, 27 weeks), whereas the
protective effect of the 3BNC117-LS bNAb was more modest (provided protection for
11-23 weeks; median, 17 weeks). Serum concentrations of the 10-1074-LS monoclonal
antibody gradually declined and became undetectable in all recipients between
weeks 26 and 41, whereas the 3BNC117-LS bNAb exhibited a shorter half-life. To
model immunoprophylaxis against genetically diverse and/or neutralization
resistant HIV-1 strains, a combination of the 3BNC117-LS plus 10-1074-LS
monoclonal antibodies was injected into macaques via the more clinically relevant
subcutaneous route. Even though the administered mixture contained an amount of
each bNAb that was nearly threefold less than the quantity of the single
monoclonal antibody in the intravenous injections, the monoclonal antibody
combination still protected macaques for a median of 20 weeks. The extended
period of protection observed in macaques for the 3BNC117-LS plus 10-1074-LS
combination could translate into an effective semiannual or annual
immunoprophylaxis regimen for preventing HIV-1 infections in humans.
PMID- 29662200
TI - Targeting sphingosine-1-phosphate lyase as an anabolic therapy for bone loss.
AB - Sphingosine-1-phosphate (S1P) signaling influences bone metabolism, but its
therapeutic potential in bone disorders has remained unexplored. We show that
raising S1P levels in adult mice through conditionally deleting or
pharmacologically inhibiting S1P lyase, the sole enzyme responsible for
irreversibly degrading S1P, markedly increased bone formation, mass and strength
and substantially decreased white adipose tissue. S1P signaling through S1P2
potently stimulated osteoblastogenesis at the expense of adipogenesis by
inversely regulating osterix and PPAR-gamma, and it simultaneously inhibited
osteoclastogenesis by inducing osteoprotegerin through newly discovered p38
GSK3beta-beta-catenin and WNT5A-LRP5 pathways. Accordingly, S1P2-deficient mice
were osteopenic and obese. In ovariectomy-induced osteopenia, S1P lyase
inhibition was as effective as intermittent parathyroid hormone (iPTH) treatment
in increasing bone mass and was superior to iPTH in enhancing bone strength.
Furthermore, lyase inhibition in mice successfully corrected severe genetic
osteoporosis caused by osteoprotegerin deficiency. Human data from 4,091
participants of the SHIP-Trend population-based study revealed a positive
association between serum levels of S1P and bone formation markers, but not
resorption markers. Furthermore, serum S1P levels were positively associated with
serum calcium , negatively with PTH , and curvilinearly with body mass index.
Bone stiffness, as determined through quantitative ultrasound, was inversely
related to levels of both S1P and the bone formation marker PINP, suggesting that
S1P stimulates osteoanabolic activity to counteract decreasing bone quality. S1P
based drugs should be considered as a promising therapeutic avenue for the
treatment of osteoporotic diseases.
PMID- 29662201
TI - Differential glucose requirement in skin homeostasis and injury identifies a
therapeutic target for psoriasis.
AB - Proliferating cells, compared with quiescent cells, are more dependent on glucose
for their growth. Although glucose transport in keratinocytes is mediated largely
by the Glut1 facilitative transporter, we found that keratinocyte-specific
ablation of Glut1 did not compromise mouse skin development and homeostasis. Ex
vivo metabolic profiling revealed altered sphingolipid, hexose, amino acid, and
nucleotide metabolism in Glut1-deficient keratinocytes, thus suggesting metabolic
adaptation. However, cultured Glut1-deficient keratinocytes displayed metabolic
and oxidative stress and impaired proliferation. Similarly, Glut1 deficiency
impaired in vivo keratinocyte proliferation and migration within wounded or UV
damaged mouse skin. Notably, both genetic and pharmacological Glut1 inactivation
decreased hyperplasia in mouse models of psoriasis-like disease. Topical
application of a Glut1 inhibitor also decreased inflammation in these models.
Glut1 inhibition decreased the expression of pathology-associated genes in human
psoriatic skin organoids. Thus, Glut1 is selectively required for injury- and
inflammation-associated keratinocyte proliferation, and its inhibition offers a
novel treatment strategy for psoriasis.
PMID- 29662202
TI - Stimulation of entorhinal cortex-dentate gyrus circuitry is antidepressive.
AB - Major depressive disorder (MDD) is considered a 'circuitopathy', and brain
stimulation therapies hold promise for ameliorating MDD symptoms, including
hippocampal dysfunction. It is unknown whether stimulation of upstream
hippocampal circuitry, such as the entorhinal cortex (Ent), is antidepressive,
although Ent stimulation improves learning and memory in mice and humans. Here we
show that molecular targeting (Ent-specific knockdown of a psychosocial stress
induced protein) and chemogenetic stimulation of Ent neurons induce
antidepressive-like effects in mice. Mechanistically, we show that Ent
stimulation-induced antidepressive-like behavior relies on the generation of new
hippocampal neurons. Thus, controlled stimulation of Ent hippocampal afferents is
antidepressive via increased hippocampal neurogenesis. These findings emphasize
the power and potential of Ent glutamatergic afferent stimulation-previously well
known for its ability to influence learning and memory-for MDD treatment.
PMID- 29662203
TI - Potent antitumor efficacy of anti-GD2 CAR T cells in H3-K27M+ diffuse midline
gliomas.
AB - Diffuse intrinsic pontine glioma (DIPG) and other diffuse midline gliomas (DMGs)
with mutated histone H3 K27M (H3-K27M)1-5 are aggressive and universally fatal
pediatric brain cancers 6 . Chimeric antigen receptor (CAR)-expressing T cells
have mediated impressive clinical activity in B cell malignancies7-10, and recent
results suggest benefit in central nervous system malignancies11-13. Here, we
report that patient-derived H3-K27M-mutant glioma cell cultures exhibit uniform,
high expression of the disialoganglioside GD2. Anti-GD2 CAR T cells incorporating
a 4-1BBz costimulatory domain 14 demonstrated robust antigen-dependent cytokine
generation and killing of DMG cells in vitro. In five independent patient-derived
H3-K27M+ DMG orthotopic xenograft models, systemic administration of GD2-targeted
CAR T cells cleared engrafted tumors except for a small number of residual GD2lo
glioma cells. To date, GD2-targeted CAR T cells have been well tolerated in
clinical trials15-17. Although GD2-targeted CAR T cell administration was
tolerated in the majority of mice bearing orthotopic xenografts, peritumoral
neuroinflammation during the acute phase of antitumor activity resulted in
hydrocephalus that was lethal in a fraction of animals. Given the precarious
neuroanatomical location of midline gliomas, careful monitoring and aggressive
neurointensive care management will be required for human translation. With a
cautious multidisciplinary clinical approach, GD2-targeted CAR T cell therapy for
H3-K27M+ diffuse gliomas of pons, thalamus and spinal cord could prove
transformative for these lethal childhood cancers.
PMID- 29662205
TI - Neural repair: Getting back on your hindlimbs.
PMID- 29662206
TI - Understanding the quantum nature of low-energy C(3P j ) + He inelastic
collisions.
AB - Inelastic collisions that occur between open-shell atoms and other atoms or
molecules, and that promote a spin-orbit transition, involve multiple interaction
potentials. They are non-adiabatic by nature and cannot be described within the
Born-Oppenheimer approximation; in particular, their theoretical modelling
becomes very challenging when the collision energies have values comparable to
the spin-orbit splitting. Here we study inelastic collisions between carbon in
its ground state C(3Pj=0) and helium atoms-at collision energies in the vicinity
of spin-orbit excitation thresholds (~0.2 and 0.5 kJ mol-1)-that result in spin
orbit excitation to C(3Pj=1) and C(3Pj=2). State-to-state integral cross-sections
are obtained from crossed-beam experiments with a beam source that provides an
almost pure beam of C(3Pj=0) . We observe very good agreement between
experimental and theoretical results (acquired using newly calculated potential
energy curves), which validates our characterization of the quantum dynamical
resonances that are observed. Rate coefficients at very low temperatures suitable
for chemical modelling of the interstellar medium are also calculated.
PMID- 29662207
TI - Tracing the 'ninth sulfur' of the nitrogenase cofactor via a semi-synthetic
approach.
AB - The M-cluster is the [(homocitrate)MoFe7S9C] active site of nitrogenase that is
derived from an 8Fe core assembled viacoupling and rearrangement of two [Fe4S4]
clusters concomitant with the insertion of an interstitial carbon and a 'ninth
sulfur'. Combining synthetic [Fe4S4] clusters with an assembly protein template,
here we show that sulfite can give rise to the ninth sulfur that is incorporated
in the catalytically important belt region of the cofactor after the radical S
adenosyl-L-methionine-dependent carbide insertion and the concurrent 8Fe-core
rearrangement have already taken place. Based on the differential reactivity of
the formed cluster species, we also propose a new [Fe8S8C] cluster intermediate,
the L*-cluster, which is similar to the [Fe8S9C] L-cluster, but lacks the ninth
sulfur from sulfite. This work provides a semi-synthetic tool for protein
reconstitution that could be widely applicable for the functional analysis of
other FeS systems.
PMID- 29662208
TI - Cold quantum-controlled rotationally inelastic scattering of HD with H2 and D2
reveals collisional partner reorientation.
AB - Molecular interactions are best probed by scattering experiments. Interpretation
of these studies has been limited by lack of control over the quantum states of
the incoming collision partners. We report here the rotationally inelastic
collisions of quantum-state prepared deuterium hydride (HD) with H2 and D2 using
a method that provides an improved control over the input states. HD was
coexpanded with its partner in a single supersonic beam, which reduced the
collision temperature to 0-5 K, and thereby restricted the involved incoming
partial waves to s and p. By preparing HD with its bond axis preferentially
aligned parallel and perpendicular to the relative velocity of the colliding
partners, we observed that the rotational relaxation of HD depends strongly on
the initial bond-axis orientation. We developed a partial-wave analysis that
conclusively demonstrates that the scattering mechanism involves the exchange of
internal angular momentum between the colliding partners. The striking
differences between H2/HD and D2/HD scattering suggest the presence of
anisotropically sensitive resonances.
PMID- 29662204
TI - Feeding circuit development and early-life influences on future feeding
behaviour.
AB - A wide range of maternal exposures - undernutrition, obesity, diabetes, stress
and infection - are associated with an increased risk of metabolic disease in
offspring. Developmental influences can cause persistent structural changes in
hypothalamic circuits regulating food intake in the service of energy balance.
The physiological relevance of these alterations has been called into question
because maternal impacts on daily caloric intake do not persist to adulthood.
Recent behavioural and epidemiological studies in humans provide evidence that
the relative contribution of appetitive traits related to satiety, reward and the
emotional aspects of food intake regulation changes across the lifespan. This
Opinion article outlines a neurodevelopmental framework to explore the
possibility that crosstalk between developing circuits regulating different
modalities of food intake shapes future behavioural responses to environmental
challenges.
PMID- 29662209
TI - Dynamic coupling between conformations and nucleotide states in DNA gyrase.
AB - Gyrase is an essential bacterial molecular motor that supercoils DNA using a
conformational cycle in which chiral wrapping of > 100 base pairs confers
directionality on topoisomerization. To understand the mechanism of this
nucleoprotein machine, global structural transitions must be mapped onto the
nucleotide cycle of ATP binding, hydrolysis and product release. Here we
investigate coupling mechanisms using single-molecule tracking of DNA rotation
and contraction during Escherichia coli gyrase activity under varying nucleotide
conditions. We find that ADP must be exchanged for ATP to drive the rate-limiting
remodeling transition that generates the chiral wrap. ATP hydrolysis accelerates
subsequent duplex strand passage and is required for resetting the enzyme and
recapturing transiently released DNA. Our measurements suggest how gyrase
coordinates DNA rearrangements with the dynamics of its ATP-driven protein gate,
how the motor minimizes futile cycles of ATP hydrolysis and how gyrase may
respond to changing cellular energy levels to link gene expression with
metabolism.
PMID- 29662210
TI - Genome-wide mutant profiling predicts the mechanism of a Lipid II binding
antibiotic.
AB - Identifying targets of antibacterial compounds remains a challenging step in the
development of antibiotics. We have developed a two-pronged functional genomics
approach to predict mechanism of action that uses mutant fitness data from
antibiotic-treated transposon libraries containing both upregulation and
inactivation mutants. We treated a Staphylococcus aureus transposon library
containing 690,000 unique insertions with 32 antibiotics. Upregulation signatures
identified from directional biases in insertions revealed known molecular targets
and resistance mechanisms for the majority of these. Because single-gene
upregulation does not always confer resistance, we used a complementary machine
learning approach to predict the mechanism from inactivation mutant fitness
profiles. This approach suggested the cell wall precursor Lipid II as the
molecular target of the lysocins, a mechanism we have confirmed. We conclude that
docking to membrane-anchored Lipid II precedes the selective bacteriolysis that
distinguishes these lytic natural products, showing the utility of our approach
for nominating the antibiotic mechanism of action.
PMID- 29662212
TI - Yet another reason to walk instead of drive.
PMID- 29662211
TI - Synthesis, structure and reaction chemistry of a nucleophilic aluminyl anion.
AB - The reactivity of aluminium compounds is dominated by their electron deficiency
and consequent electrophilicity; these compounds are archetypal Lewis acids
(electron-pair acceptors). The main industrial roles of aluminium, and classical
methods of synthesizing aluminium-element bonds (for example, hydroalumination
and metathesis), draw on the electron deficiency of species of the type AlR3 and
AlCl31,2. Whereas aluminates, [AlR4]-, are well known, the idea of reversing
polarity and using an aluminium reagent as the nucleophilic partner in bond
forming substitution reactions is unprecedented, owing to the fact that low
valent aluminium anions analogous to nitrogen-, carbon- and boron-centred
reagents of the types [NX2]-, [CX3]- and [BX2]- are unknown3-5. Aluminium
compounds in the +1 oxidation state are known, but are thermodynamically unstable
with respect to disproportionation. Compounds of this type are typically
oligomeric6-8, although monomeric systems that possess a metal-centred lone pair,
such as Al(Nacnac)Dipp (where (Nacnac)Dipp = (NDippCR)2CH and R = t Bu, Me; Dipp
= 2,6- i Pr2C6H3), have also been reported9,10. Coordination of these species,
and also of (eta5-C5Me5)Al, to a range of Lewis acids has been observed11-13, but
their primary mode of reactivity involves facile oxidative addition to generate
Al(III) species6-8,14-16. Here we report the synthesis, structure and reaction
chemistry of an anionic aluminium(I) nucleophile, the dimethylxanthene-stabilized
potassium aluminyl [K{Al(NON)}]2 (NON = 4,5-bis(2,6-diisopropylanilido)-2,7-di
tert-butyl-9,9-dimethylxanthene). This species displays unprecedented reactivity
in the formation of aluminium-element covalent bonds and in the C-H oxidative
addition of benzene, suggesting that it could find further use in both metal
carbon and metal-metal bond-forming reactions.
PMID- 29662213
TI - Encoding of error and learning to correct that error by the Purkinje cells of the
cerebellum.
AB - The primary output cells of the cerebellar cortex, Purkinje cells, make kinematic
predictions about ongoing movements via high-frequency simple spikes, but receive
sensory error information about that movement via low-frequency complex spikes
(CS). How is the vector space of sensory errors encoded by this low-frequency
signal? Here we measured Purkinje cell activity in the oculomotor vermis of
animals during saccades, then followed the chain of events from experience of
visual error, generation of CS, modulation of simple spikes, and ultimately
change in motor output. We found that while error direction affected the
probability of CS, error magnitude altered its temporal distribution. Production
of CS changed the simple spikes on the next trial, but regardless of the actual
visual error, this change biased the movement only along a vector that was
parallel to the Purkinje cell's preferred error. From these results, we inferred
the anatomy of a sensory-to-motor adaptive controller that transformed visual
error vectors into motor-corrections.
PMID- 29662215
TI - Heartless beat or beatless heart?
PMID- 29662214
TI - Locomotor activity modulates associative learning in mouse cerebellum.
AB - Changes in behavioral state can profoundly influence brain function. Here we show
that behavioral state modulates performance in delay eyeblink conditioning, a
cerebellum-dependent form of associative learning. Increased locomotor speed in
head-fixed mice drove earlier onset of learning and trial-by-trial enhancement of
learned responses that were dissociable from changes in arousal and independent
of sensory modality. Eyelid responses evoked by optogenetic stimulation of mossy
fiber inputs to the cerebellum, but not at sites downstream, were positively
modulated by ongoing locomotion. Substituting prolonged, low-intensity
optogenetic mossy fiber stimulation for locomotion was sufficient to enhance
conditioned responses. Our results suggest that locomotor activity modulates
delay eyeblink conditioning through increased activation of the mossy fiber
pathway within the cerebellum. Taken together, these results provide evidence for
a novel role for behavioral state modulation in associative learning and suggest
a potential mechanism through which engaging in movement can improve an
individual's ability to learn.
PMID- 29662217
TI - The functional organization of cortical feedback inputs to primary visual cortex.
AB - Cortical feedback is thought to mediate cognitive processes like attention,
prediction, and awareness. Understanding its function requires identifying the
organizational logic of feedback axons relaying different signals. We measured
retinotopic specificity in inputs from the lateromedial visual area in mouse
primary visual cortex (V1) by mapping receptive fields in feedback boutons and
relating them to those of neurons in their vicinity. Lateromedial visual area
inputs in layer 1 targeted, on average, retinotopically matched locations in V1,
but many of them relayed distal visual information. Orientation-selective axons
overspread around the retinotopically matched location perpendicularly to their
preferred orientation. Direction-selective axons were biased to visual areas
shifted from the retinotopically matched position along the angle of their
antipreferred direction. Our results show that feedback inputs show tuning
dependent retinotopic specificity. By targeting locations that would be activated
by stimuli orthogonal to or opposite to a cell's own tuning, feedback could
potentially enhance visual representations in time and space.
PMID- 29662216
TI - Cortical drive and thalamic feed-forward inhibition control thalamic output
synchrony during absence seizures.
AB - Behaviorally and pathologically relevant cortico-thalamo-cortical oscillations
are driven by diverse interacting cell-intrinsic and synaptic processes. However,
the mechanism that gives rise to the paroxysmal oscillations of absence seizures
(ASs) remains unknown. Here we report that, during ASs in behaving animals,
cortico-thalamic excitation drives thalamic firing by preferentially eliciting
tonic rather than T-type Ca 2+ channel (T-channel)-dependent burst firing in
thalamocortical (TC) neurons and by temporally framing thalamic output via
feedforward reticular thalamic (NRT)-to-TC neuron inhibition. In TC neurons,
overall ictal firing was markedly reduced and bursts rarely occurred. Moreover,
blockade of T-channels in cortical and NRT neurons suppressed ASs, but such
blockade in TC neurons had no effect on seizures or on ictal thalamic output
synchrony. These results demonstrate ictal bidirectional cortico-thalamic
communications and provide the first mechanistic understanding of cortico-thalamo
cortical network firing dynamics during ASs in behaving animals.
PMID- 29662219
TI - Spin-orbit torques associated with ferrimagnetic order in Pt/GdFeCo/MgO layers.
AB - We investigate spin orbit torque (SOT) efficiencies and magnetic properties of
Pt/GdFeCo/MgO multilayers by varying the thicknesses of GdFeCo and MgO layers.
Our studies indicate that the ferrimagnetism in the GdFeCo alloy is considerably
influenced by both thicknesses due to the diffusion of Gd atoms toward the MgO
layer. Comparing to conventional Pt/ferromagnet/MgO structures, the Pt/GdFeCo/MgO
exhibits a lower efficiency of SOTs associated with ferrimagnetic order and a
similar magnitude of magnetic damping. The previous models that have been
developed for rigid ferromagnets are inappropriate to analyze our experimental
data, leading to an unphysical consequence of spin transmission larger than
unity. Our results imply that the heavy-metal/ferrimagnet system is quite
different from heavy-metal/ferromagnet systems in terms of magnetic dynamical
modes, spin angular momentum transfer, and relaxation processes.
PMID- 29662218
TI - Tapping the RNA world for therapeutics.
AB - A recent revolution in RNA biology has led to the identification of new RNA
classes with unanticipated functions, new types of RNA modifications, an
unexpected multiplicity of alternative transcripts and widespread transcription
of extragenic regions. This development in basic RNA biology has spawned a
corresponding revolution in RNA-based strategies to generate new types of
therapeutics. Here, I review RNA-based drug design and discuss barriers to
broader applications and possible ways to overcome them. Because they target
nucleic acids rather than proteins, RNA-based drugs promise to greatly extend the
domain of 'druggable' targets beyond what can be achieved with small molecules
and biologics.
PMID- 29662220
TI - Uranium transport in acidic brines under reducing conditions.
AB - The behavior of uranium in environments, ranging from those of natural systems
responsible for the formation of uranium deposits to those of nuclear reactors
providing 11% of the world's electricity, is governed by processes involving high
temperature aqueous solutions. It has been well documented that uranium is mobile
in aqueous solutions in its oxidized, U6+ state, whereas in its reduced, U4+
state, uranium has been assumed to be immobile. Here, we present experimental
evidence from high temperature (>100 degrees C) acidic brines that invalidates
this assumption. Our experiments have identified a new uranium chloride species
(UCl4 degrees ) that is more stable under reducing than oxidized conditions.
These results indicate that uranium is mobile under reducing conditions and
necessitate a re-evaluation of the mobility of uranium, particularly in ore
deposit models involving this metal. Regardless of the scenario considered,
reducing conditions can no longer be considered a guarantee of uranium
immobility.
PMID- 29662221
TI - Colin Groves (1942-2017).
PMID- 29662222
TI - Function and functional redundancy in microbial systems.
AB - Microbial communities often exhibit incredible taxonomic diversity, raising
questions regarding the mechanisms enabling species coexistence and the role of
this diversity in community functioning. On the one hand, many coexisting but
taxonomically distinct microorganisms can encode the same energy-yielding
metabolic functions, and this functional redundancy contrasts with the
expectation that species should occupy distinct metabolic niches. On the other
hand, the identity of taxa encoding each function can vary substantially across
space or time with little effect on the function, and this taxonomic variability
is frequently thought to result from ecological drift between equivalent
organisms. Here, we synthesize the powerful paradigm emerging from these two
patterns, connecting the roles of function, functional redundancy and taxonomy in
microbial systems. We conclude that both patterns are unlikely to be the result
of ecological drift, but are inevitable emergent properties of open microbial
systems resulting mainly from biotic interactions and environmental and spatial
processes.
PMID- 29662223
TI - Ecological suicide in microbes.
AB - The growth and survival of organisms often depend on interactions between them.
In many cases, these interactions are positive and caused by a cooperative
modification of the environment. Examples are the cooperative breakdown of
complex nutrients in microbes or the construction of elaborate architectures in
social insects, in which the individual profits from the collective actions of
her peers. However, organisms can similarly display negative interactions by
changing the environment in ways that are detrimental for them, for example by
resource depletion or the production of toxic byproducts. Here we find an extreme
type of negative interactions, in which Paenibacillus sp. bacteria modify the
environmental pH to such a degree that it leads to a rapid extinction of the
whole population, a phenomenon that we call ecological suicide. Modification of
the pH is more pronounced at higher population densities, and thus ecological
suicide is more likely to occur with increasing bacterial density.
Correspondingly, promoting bacterial growth can drive populations extinct whereas
inhibiting bacterial growth by the addition of harmful substances-such as
antibiotics-can rescue them. Moreover, ecological suicide can cause oscillatory
dynamics, even in single-species populations. We found ecological suicide in a
wide variety of microbes, suggesting that it could have an important role in
microbial ecology and evolution.
PMID- 29662224
TI - The spatial scaling of species interaction networks.
AB - Species-area relationships (SARs) are pivotal to understand the distribution of
biodiversity across spatial scales. We know little, however, about how the
network of biotic interactions in which biodiversity is embedded changes with
spatial extent. Here we develop a new theoretical framework that enables us to
explore how different assembly mechanisms and theoretical models affect multiple
properties of ecological networks across space. We present a number of testable
predictions on network-area relationships (NARs) for multi-trophic communities.
Network structure changes as area increases because of the existence of different
SARs across trophic levels, the preferential selection of generalist species at
small spatial extents and the effect of dispersal limitation promoting beta
diversity. Developing an understanding of NARs will complement the growing body
of knowledge on SARs with potential applications in conservation ecology.
Specifically, combined with further empirical evidence, NARs can generate
predictions of potential effects on ecological communities of habitat loss and
fragmentation in a changing world.
PMID- 29662225
TI - Reply to 'Whaling catch data are not reliable for analyses of body size shifts'.
PMID- 29662227
TI - Whaling catch data are not reliable for analyses of body size shifts.
PMID- 29662226
TI - A million and more trees for science.
PMID- 29662228
TI - Cas Adaptor Proteins Coordinate Sensory Axon Fasciculation.
AB - Development of complex neural circuits like the peripheral somatosensory system
requires intricate mechanisms to ensure axons make proper connections. While much
is known about ligand-receptor pairs required for dorsal root ganglion (DRG) axon
guidance, very little is known about the cytoplasmic effectors that mediate
cellular responses triggered by these guidance cues. Here we show that members of
the Cas family of cytoplasmic signaling adaptors are highly phosphorylated in
central projections of the DRG as they enter the spinal cord. Furthermore, we
provide genetic evidence that Cas proteins regulate fasciculation of DRG sensory
projections. These data establish an evolutionarily conserved requirement for Cas
adaptor proteins during peripheral nervous system axon pathfinding. They also
provide insight into the interplay between axonal fasciculation and adhesion to
the substrate.
PMID- 29662230
TI - Reliability and validity of the new VikingSlice software for computed tomography
body composition analysis.
AB - BACKGROUND/OBJECTIVES: Body composition assessment by computed tomography (CT) is
increasingly used for diagnostic and prognostic purposes in various patient
groups. This study aimed to compare the reliability and validity of a newly in
house developed segmentation software VikingSlice against a commercial software
(SliceOMatic) for quantification of adipose tissue and skeletal muscle cross
sectional areas (CSA). SUBJECTS/METHODS: Fifty abdominal CT sets from chronic
pancreatitis patients were analyzed (mean age 49, range 27-84 years; 38 males).
Soft tissue CSAs at level of 4th lumbar vertebra were assessed by measuring
standard Hounsfield unit threshold definitions with both softwares. Analysis with
VikingSlice included automatic segmentation of interested region with subsequent
manual corrections. Analysis with SliceOMatic included manual segmentation of
each area. Same investigator measured CSAs using both programs. Inter-observer
reliability of CSAs measurements with VikingSlice were assessed by comparing
results from two independent investigators. Measurements were compared using the
intra-class correlation coefficient (ICC), coefficient of variation (CV), Jaccard
index and Bland-Altman analyses. RESULTS: The inter-observer reliability of
VikingSlice was excellent (CV 3.4-15.4%, ICC 0.979-0.999, Jaccard index 0.68
0.98). Validity was high (CV 1.6-10.2%, ICC 0.950-0.997) for measurements by
SliceOmatic and VikingSlice. The findings were supported in the Bland-Altman
plots. The reliability study had small average differences with means of soft
tissue compartments in range -2.29 cm2 to 1.56 cm2; average differences between
both softwares were -1.28 cm2 to 0.31 cm2. CONCLUSIONS: The in-house developed
software VikingSlice was fast and showed good reliability that is comparable with
commercial software in its utility to estimate adipose tissue and skeletal muscle
CSAs.
PMID- 29662231
TI - Processed meat and risk of selected digestive tract and laryngeal cancers.
AB - BACKGROUND/OBJECTIVES: To assess the association between processed meat and the
risk of selected digestive tract and laryngeal cancers. SUBJECTS/METHODS: We
conducted a series of case-control studies between 1985 and 2007 in Italy. The
studies included a total of 1475 cases of cancer of the oral cavity and pharynx,
1077 of the larynx, 716 of the esophagus, 999 of the stomach, 684 of the liver,
159 of the biliary tract, 688 of the pancreas, and a total of 9720 controls. Odds
ratios (ORs), and the corresponding 95% confidence intervals (CIs), were
estimated by unconditional logistic regression models, including terms for socio
demographic factors, tobacco smoking, and alcohol intake. RESULTS: Compared to
the lowest tertile of processed meat consumption, the ORs for subjects in the
highest one were 1.18 (95% CI 0.98-1.43) for oral cavity and pharyngeal, 1.51
(95% CI 1.18-1.91) for esophageal, 1.19 (95% CI 0.96-1.47) for laryngeal, 0.98
(95% CI 0.81-1.18) for stomach, 0.85 (95% CI 0.51-1.40) for biliary tract, 1.20
(95% CI 0.94-1.54) for liver, and 1.46 (95% CI 1.15-1.85) for pancreatic cancers.
CONCLUSIONS: Our findings support the hypothesis that high processed meat
consumption increases esophageal and pancreatic cancers risk. Residual
confounding by socio-demographic factors, tobacco smoking, and alcohol intake
may, partly or largely, account for these associations. We found no overall
association with other digestive tract and laryngeal cancers.
PMID- 29662229
TI - Modulation of Hoogsteen dynamics on DNA recognition.
AB - In naked duplex DNA, G-C and A-T Watson-Crick base pairs exist in dynamic
equilibrium with their Hoogsteen counterparts. Here, we used nuclear magnetic
resonance (NMR) relaxation dispersion and molecular dynamics (MD) simulations to
examine how Watson-Crick/Hoogsteen dynamics are modulated upon recognition of
duplex DNA by the bisintercalator echinomycin and monointercalator actinomycin D.
In both cases, DNA recognition results in the quenching of Hoogsteen dynamics at
base pairs involved in intermolecular base-specific hydrogen bonds. In the case
of echinomycin, the Hoogsteen population increased 10-fold for base pairs
flanking the chromophore most likely due to intermolecular stacking interactions,
whereas actinomycin D minimally affected Hoogsteen dynamics at other sites.
Modulation of Hoogsteen dynamics at binding interfaces may be a general
phenomenon with important implications for DNA-ligand and DNA-protein
recognition.
PMID- 29662232
TI - Characterization of AiiK, an AHL lactonase, from Kurthia huakui LAM0618T and its
application in quorum quenching on Pseudomonas aeruginosa PAO1.
AB - N-Acyl homoserine lactones (AHLs) act as the key quorum sensing (QS) signal
molecules in gram-negative bacteria, which coordinates gene expression and then
activates various processes, including biofilm formation and production of
virulence factors in some pathogens. Quorum quenching (QQ), which is the
inactivation of the signal molecules by means of enzymatic degradation or
modification, inhibits the processes of QS rather than killing the pathogens and
is a promising antipathogenic strategy to control the bacterial pathogens. In
this study, an AHL lactonase gene (named aiiK) was cloned from Kurthia huakuii
LAM0618T and the AHL lactonase AiiK was expressed by Escherichia coli. AiiK
exhibits a variable substrate spectrum and efficient degradation of the AHL
compounds. The enzyme assays demonstrated that AiiK behaves as an AHL lactonase
that can hydrolyze the lactone bond of the AHLs. The total hydrolytic efficiency
of AiiK for C10-HSL is 3.9 s-1.mM-1. AiiK can also maintain 20% activity after 12
h incubation at 37 degrees C and demonstrate great resistance to alpha
chymotrypsin, trypsin, and protease K. Furthermore, AiiK significantly inhibits
the biofilm formation and attenuates extracellular proteolytic activity and
pyocyanin production of Pseudomonas aeruginosa PAO1, which indicates the
potential application of AiiK as a biocontrol agent or an anti-pathogenic drug.
PMID- 29662233
TI - A murine model of atopic dermatitis can be generated by painting the dorsal skin
with hapten twice 14 days apart.
AB - Drug development involves pharmacometric experiments in animals. Such experiments
should limit animal pain and stress. Conventional murine models of atopic
dermatitis (AD) used in drug development are generated by weekly painting of
hapten on dorsal skin for 5 weeks. The present study aimed to develop a protocol
that involves less animal distress. The experiments focused on serum total IgE
levels, which are a marker of AD. The conventional protocol induced ever rising
IgE levels. Experiments with extended intervals between sensitizations showed
that IgE peaked ~5 days after the second sensitization, after which it returned
to the control level within 12-19 days. An additional third sensitization on day
28 further increased the serum IgE level. In the 4-5 days after the second
sensitization, the dorsal skin exhibited typical AD-like lesions with edema,
scabs, epithelial-cell hypertrophy, marked mast-cell and lymphocyte infiltration
of dermis, and increased IL-4, IL-6, IL-10, IL-1beta, IL-17A, IFN-gamma and TNF
alpha expression. Thus, two 2,4-dinitrofluorobenzene sensitizations yield a
murine AD model in less than 20 days. This study shows that animal model
protocols used in drug development can be fine-tuned so that they remain
effective yet cause animals less stress and pain.
PMID- 29662234
TI - Modular assembly of proteins on nanoparticles.
AB - Generally, the high diversity of protein properties necessitates the development
of unique nanoparticle bio-conjugation methods, optimized for each different
protein. Here we describe a universal bio-conjugation approach which makes use of
a new recombinant fusion protein combining two distinct domains. The N-terminal
part is Glutathione S-Transferase (GST) from Schistosoma japonicum, for which we
identify and characterize the remarkable ability to bind gold nanoparticles
(GNPs) by forming gold-sulfur bonds (Au-S). The C-terminal part of this multi
domain construct is the SpyCatcher from Streptococcus pyogenes, which provides
the ability to capture recombinant proteins encoding a SpyTag. Here we show that
SpyCatcher can be immobilized covalently on GNPs through GST without the loss of
its full functionality. We then show that GST-SpyCatcher activated particles are
able to covalently bind a SpyTag modified protein by simple mixing, through the
spontaneous formation of an unusual isopeptide bond.
PMID- 29662235
TI - Expression of PLAGL2 in bladder urothelial carcinoma and its relationship to
lymph node metastasis and survival.
AB - The purpose of this study was to investigate PLAGL2 expression associated with
pathological features and prognosis and predicted lymph node metastases in the
bladder urothelial carcinoma (BUC) tissue. The pathologic specimens and clinical
data of 203 patients with bladder urothelial carcinoma after radical resection
were collected. The expression of PLAGL2 was detected by immunohistochemically
staining. The influence on lymph node metastasis and the prognoses of BUC
patients were analyzed. The expression of PLAGL2 in BUC and positive lymph nodes
was significantly higher than the normal bladder tissues (89.06% and 76.56% vs
21.88%, P < 0.001). Logistic regression analysis showed that PLAGL2 expression
was an independent risk factor for BUC lymph node metastasis (P < 0.05). COX
proportional hazards regression model showed that the time to recurrence and
overall survival of patients with overexpression of PLAGL2 were significantly
lower than those with low expression (P < 0.05). PLAGL2 is highly expressed in
the BUC tissue and metastatic lymph node relative to the normal bladder tissue.
This expression correlates to tumor size and number, and tumor grade and stage.
Overexpression of PLAGL2 can be an independent predictor for lymph node
metastasis and patient survival.
PMID- 29662236
TI - Author Correction: disLocate: tools to rapidly quantify local intermolecular
structure to assess two-dimensional order in self-assembled systems.
AB - A correction to this article has been published and is linked from the HTML and
PDF versions of this paper. The error has been fixed in the paper.
PMID- 29662237
TI - Take a left here.
PMID- 29662238
TI - Structural underpinnings of oestrogen receptor mutations in endocrine therapy
resistance.
AB - Oestrogen receptor-alpha (ERalpha), a key driver of breast cancer, normally
requires oestrogen for activation. Mutations that constitutively activate ERalpha
without the need for hormone binding are frequently found in endocrine-therapy
resistant breast cancer metastases and are associated with poor patient outcomes.
The location of these mutations in the ER ligand-binding domain and their impact
on receptor conformation suggest that they subvert distinct mechanisms that
normally maintain the low basal state of wild-type ERalpha in the absence of
hormone. Such mutations provide opportunities to probe fundamental issues
underlying ligand-mediated control of ERalpha activity. Instructive contrasts
between these ERalpha mutations and those that arise in the androgen receptor
(AR) during anti-androgen treatment of prostate cancer highlight differences in
how activation functions in ERs and AR control receptor activity, how hormonal
pressures (deprivation versus antagonism) drive the selection of phenotypically
different mutants, how altered protein conformations can reduce antagonist
potency and how altered ligand-receptor contacts can invert the response that a
receptor has to an agonist ligand versus an antagonist ligand. A deeper
understanding of how ligand regulation of receptor conformation is linked to
receptor function offers a conceptual framework for developing new anti
oestrogens that might be more effective in preventing and treating breast cancer.
PMID- 29662239
TI - Elimination of TDP-43 inclusions linked to amyotrophic lateral sclerosis by a
misfolding-specific intrabody with dual proteolytic signals.
AB - Aggregation of TAR DNA-binding protein of 43 kDa (TDP-43) is implicated in the
pathogenesis of sporadic and certain familial forms of amyotrophic lateral
sclerosis (ALS), suggesting elimination of TDP-43 aggregates as a possible
therapeutic strategy. Here we generated and investigated a single-chain variable
fragment (scFv) derived from the 3B12A monoclonal antibody (MAb) that recognises
D247 of the TDP-43 nuclear export signal, an epitope masked in the physiological
state. In transfected HEK293A cells, 3B12A scFv recapitulated the affinity of the
full-length MAb to mislocalised TDP-43 with a defective nuclear localising signal
and to a TDP-43 inclusion mimic with cysteine-to-serine substitution at RRM1.
Moreover, 3B12A scFv accelerated proteasome-mediated degradation of aggregated
TDP-43, likely due to an endogenous PEST-like proteolytic signal sequence in the
VH domain CDR2 region. Addition of the chaperone-mediated autophagy (CMA)-related
signal to 3B12A scFv induced HSP70 transcription, further enhancing TDP-43
aggregate clearance and cell viability. The 3B12A scFv also reduced TDP-43
aggregates in embryonic mouse brain following in utero electroporation while
causing no overt postnatal brain pathology or developmental anomalies. These
results suggest that a misfolding-specific intrabody prone to synergistic
proteolysis by proteasomal and autophagic pathways is a promising strategy for
mitigation of TDP-43 proteinopathy in ALS.
PMID- 29662240
TI - Microcrack healing in non-ferrous metal tubes through eddy current pulse
treatment.
AB - This study proposed a novel method to heal microcrack within Mg alloy tubes using
high density eddy current pulse treatment (ECPT). Through electromagnetic
induction inside a copper coil connected with a high density pulse power source
supply, the high density (greater than 5 * 109 A/m2) and short duration eddy
current was generated in tube specimens of Mg alloy. The results show that the
microcracks in tube specimens was healed evidently and the mechanical properties
of the tubes subjected to ECPT were improved simultaneously. The crack healing
during ECPT was ascribed to not only the thermal stress around the microcrack
tips and the softening or melting of metals in the vicinity of microcrack tips,
but also the squeezing action acted by the Lorentz force. In the inward
discharging scheme, both the compressive radial stress and tangential stress
induced by the Lorentz force contributed to more sufficient crack healing and
thus better mechanical properties of tube specimens after the ECPT experiment,
compared to the outward-discharging scheme. The ECPT can heal microcracks
automatically without directly contacting tubular specimens and is not limited by
the length of tubular workpieces, exhibiting great potential for crack healing in
non-ferrous alloy tubes.
PMID- 29662241
TI - Low Mother-to-Child CCL22 Chemokine Levels Are Inversely Related to Mite
Sensitization and Asthma in Early Childhood.
AB - Few studies have addressed the mother-to-child transmission of Th2 immunity and
the impact on the development of atopic diseases in early childhood. We
investigated 186 children who were followed-up regularly for 4 years in a birth
cohort study. The levels of Th2 related chemokine (C-C motif) ligand 17 (CCL17)
and CCL22 were quantified in cord blood and at 1.5 years-of-age using multiplex
Luminex kits. The levels of 125 pairs of CCL17 and CCL22 chemokines from birth to
1.5 years were recorded in this study. Using K-means clustering, only the
declining trend of CCL22 levels was separately clustered (cluster A, n = 51;
cluster B, n = 46; cluster C, n = 28). Mothers of children with higher CCL22
chemokine levels at birth were significantly more likely to display
Dermatophagoides pteronyssinus sensitization. A lower CCL22 level at birth with a
slight rise during infancy was associated with higher prevalence of mite
sensitization and a higher risk of asthma at 3 years-of-age (P = 0.014). In
conclusion, low mother-to-child Th2-associated chemokine CCL22 levels appear to
be inversely related to mite sensitization and the risk of asthma development in
early childhood.
PMID- 29662242
TI - Reorganization takes energy.
PMID- 29662243
TI - Reorganization energy upon charging a single molecule on an insulator measured by
atomic force microscopy.
AB - Intermolecular single-electron transfer on electrically insulating films is a key
process in molecular electronics1-4 and an important example of a redox
reaction5,6. Electron-transfer rates in molecular systems depend on a few
fundamental parameters, such as interadsorbate distance, temperature and, in
particular, the Marcus reorganization energy 7 . This crucial parameter is the
energy gain that results from the distortion of the equilibrium nuclear geometry
in the molecule and its environment on charging8,9. The substrate, especially
ionic films 10 , can have an important influence on the reorganization
energy11,12. Reorganization energies are measured in electrochemistry 13 as well
as with optical14,15 and photoemission spectroscopies16,17, but not at the single
molecule limit and nor on insulating surfaces. Atomic force microscopy (AFM),
with single-charge sensitivity18-22, atomic-scale spatial resolution 20 and
operable on insulating films, overcomes these challenges. Here, we investigate
redox reactions of single naphthalocyanine (NPc) molecules on multilayered NaCl
films. Employing the atomic force microscope as an ultralow current meter allows
us to measure the differential conductance related to transitions between two
charge states in both directions. Thereby, the reorganization energy of NPc on
NaCl is determined as (0.8 +/- 0.2) eV, and density functional theory (DFT)
calculations provide the atomistic picture of the nuclear relaxations on
charging. Our approach presents a route to perform tunnelling spectroscopy of
single adsorbates on insulating substrates and provides insight into single
electron intermolecular transport.
PMID- 29662244
TI - Refined graft-versus-host disease/relapse-free survival in transplant from HLA
identical related or unrelated donors in acute myeloid leukemia.
AB - Refined graft-versus-host disease (GVHD)/relapse-free survival (GRFS) considers
main outcomes of allogeneic stem cell transplant (HSCT), estimating long-term
survival without significant morbidity as a surrogate of HSCT success. We
compared GRFS in 5059 adults with acute myeloid leukemia (AML), undergoing HSCT
in first complete remission from 2000 to 2015 either from a matched sibling (MSD,
n = 3731) or unrelated donor (MUD, n = 1328). Median age was 49 (range: 18-76)
years. Median follow-up was 32 and 60 months in MSD and MUD, respectively (p <
0.01). Compared to MSD, at 4 years, MUD recipients had lower GRFS, with higher
NRM, grade III-IV acute GVHD, and extensive chronic GVHD (HR: 1.42, p < 0.01). We
also performed a risk factor analyses, showing unfavorable cytogenetics (HR:
1.42, p < 0.01) and peripheral blood as stem cell source (HR: 1.22, p < 0.01)
associated to lower GRFS, while this was higher with in vivo T-cell depletion
(TCD, HR: 0.73, p < 0.01) and shorter time from diagnosis to HSCT (HR 0.96, p <
0.01). Different factors, modifiable or not, such as donor type, stem cell
source, disease biology, and in vivo TCD, impact on GRFS and this may guide in
the future transplant choices to improve morbidity and long-term quality of life.
PMID- 29662245
TI - Early human cytomegalovirus reactivation is associated with lower incidence of
relapse of myeloproliferative disorders after allogeneic hematopoietic stem cell
transplantation.
AB - Conflicting results have been reported regarding the association between early
cytomegalovirus (CMV) reactivation and relapse after allogeneic hematopoietic
stem cell transplantation (allo-HSCT). This prompted us to evaluate the impact of
CMV reactivation on outcomes of 155 consecutive adult patients transplanted in
our institution. In our study, CMV reactivation did not affect cumulative
incidence (CI) of relapse in patients with lymphoproliferative disorders.
However, the CI of relapse in patients with myeloproliferative disorders (AML and
MPN) was 37% (95% CI, 21-53) in patients without CMV reactivation as opposed to
17% (95% CI, 9-28) in patients with CMV reactivation (p = 0.03). An important
correlation between CMV reactivation and relapse was found in patients with MPN;
the CI of relapse was 50% (95% CI, 12-80) in patients without CMV reactivation as
opposed to only 7% (95% CI, 0-27) in patients with CMV reactivation (p = 0.02). A
substantial reduction of relapse in myeloproliferative disorders associated with
CMV reactivation was confirmed by multivariate analysis (HR 2.73; 95% CI, 1.09
6.82, p = 0.03) using time-dependent covariates for high-risk disease, older age,
RIC conditioning, ATG, grade II-IV acute, and chronic GVHD. To our knowledge, we
are the first to show an association of CMV reactivation with relapse reduction
in MPN patients. This putative virus vs myeloproliferation effect warrants
further research.
PMID- 29662246
TI - Why come back home? Breeding-site fidelity varies with group size and parasite
load in a colonial bird.
AB - Fidelity to a past breeding site is widespread among animals and may confer both
costs and benefits. Colonial species occur at specific sites that can accommodate
multiple breeders, and the choice of whether to return to last year's site or
disperse elsewhere can affect colony site use, the colony size distribution and
individual fitness. For the colonial cliff swallow, Petrochelidon pyrrhonota,
which occupies colonies of widely different sizes, we used a 30-year field study
in western Nebraska to investigate how the extent of infestation by ectoparasites
and colony size affected breeders' colony site fidelity between years. We
compared philopatry at colonies where parasitic swallow bugs, Oeciacus vicarius,
had been removed by fumigation with that at nonfumigated sites exposed to natural
levels of ectoparasites. About 25% of birds at nonfumigated colonies returned to
their previous year's site, whereas about 69% of birds at fumigated colonies did
so. Site fidelity was greatest at nonfumigated sites that changed the least in
size between years. Birds were less likely to return to a nonfumigated site as
the colony there became increasingly larger. Individuals philopatric to both
nonfumigated and fumigated sites resided in colonies more similar in size between
years than did dispersing birds. Most cliff swallows settled within 6 km of their
previous year's site, indicating that many nonphilopatric birds still may have
had some familiarity with the local landscape surrounding the site to which they
moved. Removal of ectoparasites at a site allows large colonies to persist there
perennially, probably contributing to higher philopatry because such large
colonies are rare and would have been difficult to find had the residents
dispersed. Cliff swallows are likely to be sensitive to both colony size and
general familiarity with a given site or landscape region, and probably integrate
these with other cues to select breeding colonies.
PMID- 29662247
TI - The Nitrogen Balancing Act: Tracking the Environmental Performance of Food
Production.
AB - Farmers, food supply-chain entities, and policymakers need a simple but robust
indicator to demonstrate progress toward reducing nitrogen pollution associated
with food production. We show that nitrogen balance-the difference between
nitrogen inputs and nitrogen outputs in an agricultural production system-is a
robust measure of nitrogen losses that is simple to calculate, easily understood,
and based on readily available farm data. Nitrogen balance provides farmers with
a means of demonstrating to an increasingly concerned public that they are
succeeding in reducing nitrogen losses while also improving the overall
sustainability of their farming operation. Likewise, supply-chain companies and
policymakers can use nitrogen balance to track progress toward sustainability
goals. We describe the value of nitrogen balance in translating environmental
targets into actionable goals for farmers and illustrate the potential roles of
science, policy, and agricultural support networks in helping farmers achieve
them.
PMID- 29662249
TI - Managing for Multifunctionality in Perennial Grain Crops.
AB - Plant breeders are increasing yields and improving agronomic traits in several
perennial grain crops, the first of which is now being incorporated into
commercial food products. Integration strategies and management guidelines are
needed to optimize production of these new crops, which differ substantially from
both annual grain crops and perennial forages. To offset relatively low grain
yields, perennial grain cropping systems should be multifunctional. Growing
perennial grains for several years to regenerate soil health before rotating to
annual crops and growing perennial grains on sloped land and ecologically
sensitive areas to reduce soil erosion and nutrient losses are two strategies
that can provide ecosystem services and support multifunctionality. Several
perennial cereals can be used to produce both grain and forage, and these dual
purpose crops can be intercropped with legumes for additional benefits. Highly
diverse perennial grain polycultures can further enhance ecosystem services, but
increased management complexity might limit their adoption.
PMID- 29662248
TI - Internet Blogs, Polar Bears, and Climate-Change Denial by Proxy.
AB - Increasing surface temperatures, Arctic sea-ice loss, and other evidence of
anthropogenic global warming (AGW) are acknowledged by every major scientific
organization in the world. However, there is a wide gap between this broad
scientific consensus and public opinion. Internet blogs have strongly contributed
to this consensus gap by fomenting misunderstandings of AGW causes and
consequences. Polar bears (Ursus maritimus) have become a "poster species" for
AGW, making them a target of those denying AGW evidence. Here, focusing on Arctic
sea ice and polar bears, we show that blogs that deny or downplay AGW disregard
the overwhelming scientific evidence of Arctic sea-ice loss and polar bear
vulnerability. By denying the impacts of AGW on polar bears, bloggers aim to cast
doubt on other established ecological consequences of AGW, aggravating the
consensus gap. To counter misinformation and reduce this gap, scientists should
directly engage the public in the media and blogosphere.
PMID- 29662250
TI - Personal Conflict Impairs Performance on an Unrelated Self-Control Task:
Lingering Costs of Uncertainty and Conflict.
AB - People have the ability to make important choices in their lives, but
deliberating about these choices can have costs. The present study was designed
to test the hypothesis that writing about conflicted personal goals and values
(conflict condition) would impair self-control on an unrelated subsequent task as
compared to writing about clear personal goals and values (clarity condition).
Personal conflict activates the behavioral inhibition system (BIS; Hirsh, Mar, &
Peterson, 2012), which may make it harder for participants to successfully
execute self-control. In this large (N=337), pre-registered study participants in
the conflict condition performed worse on anagrams than participants in the
clarity condition, and the effect of condition on anagram performance was
mediated by a subjective uncertainty measure of BIS activation. This suggests
that BIS activation leads to poor self-control. Moreover, given that conflict is
inherent in the exercise of self-control, results point to BIS activation as a
mechanism for why initial acts of self-control impair self-control on subsequent,
unrelated tasks.
PMID- 29662251
TI - Motherhood and Employment Among Whites, Hispanics, and Blacks: A Life Course
Approach.
AB - Research has shown that having children reduces women's employment; yet, how this
effect differs for racial minorities has received less attention. Using random
effects models and data from the National Longitudinal Survey of Youth (N =
4,526), this study investigates the association between motherhood and employment
among Whites, Hispanics, and Blacks over women's entire reproductive span.
Results indicate that having children reduces labor force participation primarily
by deterring full-time employment. This effect is stronger and lasts longer among
Whites, smaller and shorter among Hispanics, and brief among Blacks. Motherhood
reduces part-time employment for young mothers, but temporarily increases it for
older mothers. Early childbearing partly explains Black and Hispanic women's low
employment rates at young ages; interestingly, the evidence indicates that their
employment prospects would benefit the most from delaying childbearing. This
study highlights the relevance of intersectionality and the life course
perspective for investigating inequality in the labor market.
PMID- 29662252
TI - Scalable Synthesis of Hydrido-Disiloxanes from Silanes: A One-Pot Preparation of
1,3-Diphenyldisiloxane from Phenylsilane.
AB - A simple, one-pot, and high-yielding synthesis of 1,3-diphenyldisiloxane is
presented. The preparation of similar symmetrical disiloxane materials is also
accomplished with this same protocol. This mechano-chemical procedure is
efficient and highly scalable, furnishing a convenient route to hydrido
disiloxanes from widely accessible commercially available silanes.
PMID- 29662253
TI - The Gas-absorption/Chemical-reaction Method for Measuring Air-water Interfacial
Area in Natural Porous Media.
AB - The gas-absorption/chemical-reaction (GACR) method used in Chemical Engineering
to quantify gas-liquid interfacial area in reactor systems is adapted for the
first time to measure the effective air-water interfacial area of natural porous
media. Experiments were conducted with the GACR method, and two standard methods
(x-ray microtomographic imaging and interfacial partitioning tracer tests) for
comparison, using model glass beads and a natural sand. The results of a series
of experiments conducted under identical conditions demonstrated that the GACR
method exhibited excellent repeatability for maintaining constant water
saturation and for measurement of interfacial area (Aia). Coefficients of
variation for Aia were 3.5% for the glass beads and 11% for the sand. Estimated
maximum interfacial areas (Am) obtained with the GACR method were statistically
identical to independent measures of the specific solid surface areas of the
media. For example, the Am for the glass beads is 29 (+/-1) cm-1, compared to 32
(+/-3), 30 (+/-2), and 31 (+/-2) cm-1 determined from geometric calculation,
N2/BET measurement, and microtomographic measurement, respectively. This
indicates that the method produced accurate measures of interfacial area.
Interfacial areas determined with the GACR method were similar to those obtained
with the standard methods. For example, Aias of 47 and 44 cm-1 were measured with
the GACR and XMT methods, respectively, for the sand at a water saturation of
0.57. The results of the study indicate that the GACR method is a viable
alternative for measuring air-water interfacial areas. The method is relatively
quick, inexpensive, and requires no specialized instrumentation compared to the
standard methods.
PMID- 29662254
TI - Cooperative Decision-Making and Intimate Partner Violence in Peru.
AB - Using the continuous Demographic and Health Surveys (2005-2012) for Peru, we
employ multinomial logistic regression estimates to assess risk for intimate
partner violence (IPV). Using empowerment and gender frameworks for IPV, we find
that women making more household decisions jointly are less likely to experience
physical violence. We also find that education is negatively associated with IPV,
unless a woman's attainment exceeds her partner's. Although women earning more
than their partners are more likely to experience violence, joint decision-makers
have a lower risk of moderate physical violence even when their status exceeds
that of a male partner. By adding measures for relationship dynamics, we
highlight the ways decision-making within the household contributes to violence
risk for women. While deviating from male-breadwinning norms can result in
violence, risk factors are conditioned on the nature of cooperation within a
partnership. Our findings suggest that shared power within the household reduces
IPV risk.
PMID- 29662255
TI - The uncertainty of colombian scientific journals with Publindex.
PMID- 29662256
TI - Analysis of combat casualties admitted to the emergency department during the
negotiation of the comprehensive Colombian process of peace.
AB - Aim: Our objective was to describe the variations in casualties admitted to the
emergency department during the period of the negotiation of the comprehensive
peace agreement in Colombia between 2011 and 2016. Methods: A retrospective study
of all hostile military casualties managed at a regional Level I trauma center
from January 2011 to December 2016. Patients were subsequently divided into two
groups: those seen before the declaration of the process of peace truce (November
2012) and those after (negotiation period). Variables were compared with respect
to periods. Results: A total of 448 hostile casualties were registered. There was
a gradual decline in the number of admissions to the emergency department during
the negotiation period. The number of soldiers suffering blast and rifle injuries
also decreased over this period. In 2012 there were nearly 150 hostile
casualties' admissions to the ER. This number decreased to 84, 63, 32 and 6 in
2013, 2014, 2015 and 2016 respectively. Both, the proportion of patients with an
ISS >=9 and admitted to the intensive care unit were significantly higher in the
period before peace negotiation. From August to December/2016 no admissions of
war casualties were registered. Conclusion: We describe a series of soldiers
wounded in combat that were admitted to the emergency department before and
during the negotiation of the Colombian process of peace. Overall, we found a
trend toward a decrease in the number of casualties admitted to the emergency
department possibly in part, as a result of the period of peace negotiation.
PMID- 29662257
TI - 17-hydroxiprogesterone values in healthy preterm infants.
AB - Introduction: In preterm newborn, problems with the interpretation of 17-OHP may
occur. Objective: Evaluate 17-OHP values in healthy preterm newborns until they
reach the corrected gestational age. Methods: Longitudinal study of 36 preterm
infants with 17-OHP evaluation using ELISA from heel blood from 3 to 5 days and
thereafter every 2 weeks until the corrected gestational age. Values adjusting
multiple variables such as gestational age, birth weight and sex, among others
were compared. The results were analyzed against 82 healthy full-term infants.
Results: In the first week of life, early term infants born within less than 34
months of gestational age show 17-OHP values that are much higher than the full
term neonates. After a week, the values decrease and stabilize, but are still
higher than those of full term neonates and remain so even at the corrected
gestational age. (average difference of 63.0%, CI 95%: 11.8%-115.5%). 33.6% (41
samples) of a total of 122 samples taken from preterm infants were higher than 30
ng/mL. Conclusions: 17-OHP values in early term infants are higher than those in
full term neonates and can be related to postnatal adaptive processes. It is
suggested that a second screening at the 37th week of corrected age be performed.
PMID- 29662258
TI - Frequency of common polymorphisms in Caveolin 1 ( CAV1 ) gene in adults with high
serum triglycerides from Colombian Caribbean Coast.
AB - Background: Caveolin 1 gene (CAV1) has been associated with insulin resistance,
metabolic syndrome and hypertension in humans. Also, it has been related to high
serum triglycerides in rodents, however there is little evidence of this relation
in humans. Aim: To describe frequencies of common variations in CAV1 in adults
with high serum triglycerides. Methods: A case-control study was carried out with
adults from Colombian Caribbean Coast. A whole blood sample was employed to
measure serum concentrations of triglycerides, glucose, total cholesterol and
HDLc. Six common Single Nucleotide Polymorphism (SNP) in CAV1 were genotyped
(rs926198, rs3779512, rs10270569, rs11773845, rs7804372 and rs1049337). Allelic
and genotypic frequencies were determined by direct count and Hardy-Weinberg
Equilibrium (HWE) was assessed. Case and control groups were compared with null
hypothesis tests. Results: A total of 220 cases and 220 controls were included.
For rs3779512 an excess in homozygotes frequency was found within case group
(40.4% (GG), 41.3% (GT) and 18.1% (TT); Fis=0.13, p=0.03). Another homozygotes
excess among case group was found in rs7804372 (59.5% (TT), 32.3% (TA) and 8.2%
(AA); Fis= 0.12, p= 0.04). In rs1049337, cases also showed an excess in
homozygotes frequency (52.7% (CC), 35.0% (CT) and 12.3% (TT); Fis= 0.16, p=
0.01). Finally, for rs1049337 there were differences in genotype distribution
between case and control groups (p <0.05). Conclusion: An increased frequency of
homozygote genotypes was found in subjects with high serum triglycerides. These
findings suggest that minor alleles for SNPs rs3779512, rs7804372 and rs1049337
might be associated to higher risk of hypertriglyceridemia.
PMID- 29662259
TI - Psychometric validation of the Columbia-Suicide Severity rating scale in Spanish
speaking adolescents.
AB - Introduction: Adolescent suicide is a major public health issue, and early and
accurate detection is of great concern. There are many reliable instruments for
this purpose, such as the Columbia-Suicide severity rating scale (C-SSRS), but no
validation exists for Spanish speaking Latin American adolescents. Objetive: To
assess psychometric properties and cut-off scores of the C-SSRS in Spanish
speaking adolescents. Methods: Exploratory assessment with principal component
analysis (PCA) and Varimax rotation, and confirmatory analysis (CFA) were
performed on two groups with 782 and 834 participants respectively (N=1616). Mean
age was 24.8 years. A Receiver operator analysis was applied to distinguish
between control and suicide-risk subgroups adolescents. Results: Promax rotation
yielded two 10-items factors, for suicide ideation and behavior respectively. C
SSRS was positively correlated with other suicide risk scales, such as Beck
Depression Inventory-II, Suicidal Behaviors Questionnaire-Revised, or PHQ-9.
Confirmatory factor analysis yielded a two-factor solution as the best goodness
of fit model. C-SSRS showed adequate ability to detect suicide risk group with
positive predictive value of 68.3%. ROC analyses showed cutoff scores of >= 6 and
>= 4 for suicide ideation and behavior scales respectively. Conclusion: This
research offers data supporting psychometric validity and reliability of C-SSRS
in nonclinical Spanish-speaking students. Added benefits are flexible scoring and
management easiness. This questionnaire yields data on distinct aspects of
suicidality, being more parsimonious than separate administration of a bunch of
questionnaires.
PMID- 29662260
TI - Characterization of multidrug-resistant Acinetobacter ssp. strains isolated from
medical intensive care units in Cali - Colombia.
AB - Introduction: The extensive use of antibiotics has led to the emergence of multi
resistant strains in some species of the genus Acinetobacter. Objective: To
investigate the molecular characteristics of multidrug-resistant of Acinetobacter
ssp. strains isolated from 52 patients collected between March 2009 and July 2010
in medical intensive care units in Cali - Colombia. Methods: The susceptibility
to various classes of antibiotics was determined by disc diffusion method, and
the determination of the genomic species was carried out using amplified
ribosomal DNA restriction analysis (ARDRA) and by sequencing of the 16s rDNA
gene. Also, the genes of beta-lactamases as well as, integrases IntI1 and IntI2
were analyzed by PCR method. Results: The phenotypic identification showed that
the isolates belong mainly to A. calcoaceticus- A. baumannii complex. All of them
were multi-resistant to almost the whole antibiotics except to tigecycline and
sulperazon, and they were grouped into five (I to V) different antibiotypes,
being the antibiotype I the most common (50.0%). The percent of beta-lactamases
detected was: blaTEM (17.3%), blaCTX-M (9.6%), blaVIM (21.2%), blaIMP (7.7%),
blaOXA-58 (21.2%), and blaOXA-51 (21.2%). The phylogenetic tree analysis showed
that the isolates were clustering to A. baumannii (74.1%), A. nosocomialis
(11.1%) and A. calcoaceticus (7.4 %). Besides, the integron class 1 and class 2
were detected in 23.1% and 17.3% respectively. Conclusion: The isolates were
identified to species A. baumanii mainly, and they were multiresistant. The
resistance to beta-lactams may be by for presence of beta-lactamases in the
majority of the isolates.
PMID- 29662262
TI - Treatment of endo-periodontal lesion using leukocyte-platelet-rich fibrin. A case
report.
AB - Case Description: The main objective of this paper was to report the clinical
effectiveness of leukocyte- platelet- rich fibrin (L-PRF) in the treatment of a
combined endo-periodontal lesion of an upper first premolar. Clinical Findings:
The tooth had a profound abfraction on the vestibular aspect and presented no
mobility but revealed a deep pocket measuring of 11 mm on the mesial vestibular
aspect and 14 mm on the mesial palatine aspect. The three dimensional image
analysis showed total bone loss in the mesial aspect and an extensively bone loss
of the vestibular aspect of the vestibular root. Treatment and Outcome:
Endodontic treatment was performed and periodontal access surgery (surgical
periodontal therapy) was done with the application of autologous L-PRF. Three
month and 6 months after surgery, the cone beam computed tomography (CBCT) exams
showed no bone regeneration in any aspect of the tooth. However, periodontal
examination showed a significative improvement in the deepness of surcus. The
mesial vestibular aspect had a deep pocket of 3 mm and 5 mm on the mesial
palatine aspect showing a reduction in deepness of 8 mm and 9 mm, respectively.
Clinical Relevance: The actual treatment for teeth with bad prognosis is the
extraction and replacement with implants. Even though implants are capable of
restore function and aesthetic, the abuse of this approach have led to the loss
of teeth that could be successfully treated with a less invasive technique. The
prognosis of teeth with endoperiodontal lesion is poor but could be enhanced with
regenerative therapies. Until now there are no clinical trials and just four case
report about the treatment of these teeth with platelet rich fibrin.
PMID- 29662261
TI - Prediabetes in Colombia: Expert Consensus.
AB - The prevalence of Prediabetes in Colombia is high, and despite being recognized
and categorized in the main Medical Guidelines and included in the International
Classification of Diseases in Colombia, knowledge and awareness of it is limited
amongst healthcare professionals and in the community. Our expert group
recommends that educational programs emphasize a global approach to risk which
includes a recognition of the importance of prediabetes and its evaluation along
with and other risk factors such as a family history of DM2, overweight and
obesity, dislipidemia and hypertension. Studies conducted in Colombia demonstrate
the value of the FINDRIS questionnaire as a tool to identify subjects at risk of
prediabetes and DM2, and we recommend that it should be systematic applied
throughout the country as part of government policy. Prediabetes progresses to
DM2 at an annual rate of 10%, but it has also been shown that prediabetes is an
independent risk factor for cardiovascular outcomes. On this basis, the Committee
recommends that once prediabetes is detected and diagnosed, immediate management
of the disease begins through lifestyle changes, with follow up assessments
performed at 3 and 6 months. If the patient does not respond with a weight loss
of at least 5% and if the HbA1C values are not normalized, pharmacological
management should be initiated with a metformin dose of 500 mg / day, increasing
up to 1,500 - 1,700 mg / day, according to tolerance.
PMID- 29662263
TI - Online updating method with new variables for big data streams.
AB - For big data arriving in streams, online updating is an important statistical
method that breaks the storage barrier and the computational barrier under
certain circumstances. In the regression context, online updating algorithms
assume that the set of predictor variables does not change, and consequently
cannot incorporate new variables that may become available midway through the
data stream. A naive approach would be to discard all previous information and
start updating with new variables from scratch. We propose a method that utilizes
the information from earlier data in the online updating algorithm with bias
corrections to improve efficiency. The method is developed for linear models
first, and then extended to estimating equations for generalized linear models.
Closed-form expressions for the efficiency gain over the naive approach are
derived in a particular linear model setting. We compare the performance of our
proposed bias-correcting approach and the naive approach in simulation studies
with data generated from a normal linear model and a logistic regression model.
The method is applied to a study on airline delay, where reasons for delays were
only available more recently, starting in 2003.
PMID- 29662264
TI - Chromosome 6q24-related diabetes mellitus.
AB - Chromosome 6q24-related diabetes mellitus is the most common cause of transient
neonatal diabetes (TNDM), accounting for approximately two-thirds of all TNDM
cases. Patients with 6q24-TNDM develop insulin-requiring diabetes soon after
birth, followed by the gradual improvement and eventual remission of the disorder
by 18 mo of age. The most important clinical feature of affected patients is a
small-for-gestational age (SGA) birth weight, which reflects the lack of insulin
in utero. It is believed that 6q24-TNDM is caused by the overexpression of the
paternal allele of the imprinted locus in chromosome 6q24, which contains only
two expressed genes, PLAGL1 and HYMAI. Identified mechanisms include: (1)
duplication of the paternal allele, (2) paternal uniparental disomy, and (3)
hypomethylation of the maternal allele. Many patients with TNDM relapse after
puberty. Relapsed 6q24-related diabetes is no longer transient and typically
occurs in non-obese patients who are autoantibody negative. Thus, these patients
possess features indistinguishable from those of maturity-onset diabetes of the
young (MODY). Conversely, it has been shown that not all patients with 6q24
related diabetes have a history of TNDM. 6q24-related diabetes should therefore
be considered as one of the differential diagnoses for patients with MODY-like
diabetes, especially when they are SGA at birth.
PMID- 29662265
TI - Thyroid hormone status in patients with severe selenium deficiency.
AB - Selenium (Se) is an essential trace element that is involved in numerous
biological processes in the form of a selenoprotein such as iodothyronine
deiodinase (DIO). Se deficiency may prevent the conversion of T4 to T3 through
reducing DIO expression and thereby affecting thyroid hormone status. However,
this has not been well documented in humans. In this study, to clarify the
association between Se and thyroid hormone status, we investigated the thyroid
hormone levels in patients with severe Se deficiency (< 2 ug/dl). Severe Se
deficiency was associated with increases in free T4 levels, but not with
decreases and increases in free T3 and thyroid stimulating hormone (TSH) levels,
respectively. Increases in free T4 levels during Se deficiency were reduced with
Se supplementation; however, neither free T3 nor TSH levels were affected. Taken
together, these findings indicate that free T4 may be a useful biomarker for Se
status when serum Se levels are severely low.
PMID- 29662266
TI - Thyroid nodules and long-term follow-up among childhood cancer survivors who
underwent hematopoietic stem cell transplantation.
AB - Thyroid nodules have been observed in childhood cancer survivors (CCS) treated
with chemotherapy and radiotherapy. We report four patients with thyroid nodules
identified during the long-term follow-up of children who underwent hematopoietic
stem cell transplantation (HSCT). The thyroid nodules were diagnosed as
adenomatous goiter in all four patients. The interval between the primary cancer
diagnosis and the occurrence of the thyroid nodules was more than 10 yr.
Furthermore, all four patients underwent HSCT in conditioning with total body
irradiation (TBI) before the age of 10 yr. Two of four patients commenced
treatment with levothyroxine due to elevated TSH levels. Only two patients showed
elevated thyroglobulin levels (> 70 ug/L). In conclusion, we suggest that CCS who
have undergone HSCT in conditioning with TBI more than 10 yr previously should be
followed up carefully for thyroid nodules using ultrasound.
PMID- 29662267
TI - Decrement in bone mineral density after parathyroidectomy in a pediatric patient
with primary hyperparathyroidism.
AB - Primary hyperparathyroidism (PHT) causes increased bone turnover, leading to
reduction in bone mineral density (BMD). Parathyroidectomy is a definitive
therapy and improves BMD in adult patients with PHT. However, there are no
reports regarding alterations of BMD in pediatric or adolescent patients with
PHT. Here, we report a case of a 13-yr-old boy with PHT who was referred to our
institution for evaluation of hypercalcemia and hyperparathyroidism. Radiological
investigation revealed an ectopic parathyroid adenoma below the right thyroid
lobe. A minimally invasive radio-guided parathyroidectomy was successfully
performed. We followed up the patient's BMD for three years both before and after
parathyroidectomy. Over the course of three years, his BMD was steadily
decreased, with z-scores of +0.506 at 13 yr and 9 mo, +0.162 at 14 yr and 9 mo,
and -0.411 at 15 yr and 9 mo. BMD usually increases during peak height velocity
in an adolescent and improves after parathyroidectomy in adult patients with PHT.
However, our patient showed decreased BMD z-scores following parathyroidectomy.
Therefore, the patient had an increased risk of fracture after parathyroidectomy
and was followed up closely. Both height and BMD should be carefully evaluated
after parathyroidectomy in pediatric and adolescent patients with PHT.
PMID- 29662268
TI - A pediatric case of pheochromocytoma without apparent hypertension associated
with von Hippel-Lindau disease.
AB - Pheochromocytomas are catecholamine-secreting tumors. These tumors are rare in
children, and they may be associated with hereditary syndromes such as von Hippel
Lindau (VHL) disease. Most pediatric patients with pheochromocytoma present with
sustained hypertension, while 10% to 69% of adult patients are asymptomatic.
Herein, we present the case of a 12-yr-old Japanese girl with pheochromocytoma
due to a germline mutation in the VHL (Arg161Gln). The only complaint was loss of
weight. Pyrexia, anemia, and increases in C-reactive protein (CRP) and ferritin
were observed. Abdominal ultrasonography revealed a right adrenal gland tumor.
Fractionated catecholamines and metanephrines in plasma and 24-h collected urine
revealed elevated levels of norepinephrine and normetanephrine. Although
hypertension and tachycardia were inapparent by an ordinary physical examination,
paroxysmal mild hypertension and tachycardia were identified by a thorough
examination after walking and abdominal compression. Paroxysmal hypertension and
tachycardia were profound during operation. In conclusion, pheochromocytoma can
be a consideration in the differential diagnosis of weight loss. Hypertension and
tachycardia can be inapparent and paroxysmal in pediatric patients as well as in
adults; thus, thorough assessment should be repeated.
PMID- 29662269
TI - Two siblings with congenital central hypothyroidism caused by a novel mutation in
the IGSF1 gene.
AB - Genetic defects in the immunoglobulin superfamily member 1(IGSF1) protein are the
cause of congenital central hypothyroidism (C-CH). Here we report two Japanese
siblings with C-CH due to a novel IGSF1 mutation. The youngest brother showed a
failure to thrive, hypothermia, and neonatal icterus six days after birth.
Further endocrine evaluations led to the diagnosis of C-CH. In addition, PRL
deficiency was later detected. In contrast, the elder brother did not show
symptoms of severe hypothyroidism during the neonatal period, but he had been
followed up by doctors due to psychomotor developmental delays since the age of 1
yr. At the age of 3 yr, he had low thyroxine and PRL levels and was also
diagnosed with C-CH. Because of the C-CH and PRL deficiency, an IGSF1 deficiency
was suspected. Sequence analysis of the IGSF1 gene identified a novel hemizygous
mutation of p.Trp1173GlyfsTer8 (NM_001170961.1:c.3517del) in both siblings. In
conclusion, the phenotypic severity of C-CH is different, even in siblings.
Importantly, an IGSF1 deficiency may result in severe hypothyroidism during the
neonatal period.
PMID- 29662270
TI - Recombinant human growth hormone in neonatal-onset multisystem inflammatory
disease.
PMID- 29662271
TI - Does growth-hormone treatment affect patients with and without a mitochondrial
disorder differentially?
PMID- 29662273
TI - Journey of a Research Article.
PMID- 29662272
TI - Effect of bulk modulus on deformation of the brain under rotational
accelerations.
AB - Traumatic brain injury such as that developed as a consequence of blast is a
complex injury with a broad range of symptoms and disabilities. Computational
models of brain biomechanics hold promise for illuminating the mechanics of
traumatic brain injury (TBI) and for developing preventive devices. However,
reliable material parameters are needed for models to be predictive.
Unfortunately, the properties of human brain tissue are difficult to measure, and
the bulk modulus of brain tissue in particular is not well-characterized. Thus, a
wide range of bulk modulus values are used in computational models of brain
biomechanics, spanning up to three orders of magnitude in the differences between
values. However, the sensitivity of these variations on computational predictions
is not known. In this work, we study the sensitivity of a 3D computational human
head model to various bulk modulus values. A subject-specific human head model
was constructed from T1-weighted MRI images at 2 mm3 voxel resolution. Diffusion
tensor imaging provided data on spatial distribution and orientation of axonal
fiber-bundles for modeling white-matter anisotropy. Non-injurious, full-field
brain deformations in a human volunteer were used to assess the simulated
predictions. The comparison suggests that a bulk modulus value on the order of
GPa gives the best agreement with experimentally measured in vivo deformation in
the human brain. Further, simulations of injurious loading suggest that bulk
modulus values on the order of GPa provide the closest match with the clinical
findings in terms of predicated injured regions and extent of injury.
PMID- 29662274
TI - From the desk of FOGSI President 2018.
PMID- 29662275
TI - Short-Term Use of Injectable Contraception: An Effective Strategy for Safe
Motherhood.
PMID- 29662276
TI - Comparison Between Use of Oral Misoprostol Versus Vaginal Misoprostol for
Induction of Labour at Term.
AB - Background and Objective: In modern obstetrics, around 30% of cases require
induction of labour for various reasons. Misoprostol is gaining popularity as
pharmacological inducing agent, though the route and dosage of administration are
not standardised. The objective of the study is to compare the safety and
efficacy of the two routes of misoprostol administration-oral (100 MUg 4th
hourly) and vaginal (25 MUg 4th hourly), for induction of labour at term.
Methods: In this randomised trial, 104 women having crossed the expected date of
delivery without going into spontaneous labour and cases which had premature
rupture of membranes <12 h were considered for labour induction and were divided
into two equal groups. Group A received 100 MUg misoprostol orally 4th hourly,
and group B received 25 MUg misoprostol vaginally 4th hourly. Labour
characteristics and maternal and foetal outcome were compared. Results: In terms
of maternal outcome, mean number of doses for oral group is 2.73 and vaginal
group is 3.04. In oral group, mean induction to vaginal delivery interval was 13
h 43 min and in vaginal group interval is 13 h 26 min which was statistically not
significant. The need for oxytocin augmentation was also statistically not
significant. Both groups had equal number of failed inductions. Emergency LSCS
done for foetal distress was more in vaginal group 2.9% compared to oral group
which is 1%, but difference was not statistically significant (p value -0.55).
Number of thick MSL in oral group was 3.2% as compared to vaginal group which is
10.7% which was statistically significant (p value -0.04). APGAR score at 5 min
7/10 was seen in 7.7% in vaginal group as compared to 0% in oral group which was
also statistically significant (0.004). Number of NICU admissions was also more
in vaginal group compared to oral group. Conclusion: Misoprostol in either oral
or vaginal route has proven to be equally effective for inducing labour in women
at term pregnancy. However, occurrence of lesser incidence of meconium-stained
liquor and NICU admissions and fewer caesareans with better neonatal outcome in
women induced with oral misoprostol outweighs its advantages over the vaginal
misoprostol.
PMID- 29662277
TI - The Importance of Cytogenetics and Associated Molecular Techniques in the
Management of Patients Carrying Robertsonian Translocation and Their Pregnancy
Outcome by Intracytoplasmic Sperm Injection.
AB - Objective: The present study outlines three cases of a Robertsonian translocation
and the consequences for the initiation of pregnancy by intracytoplasmic sperm
injection (ICSI). Three case histories are presented documenting structural
chromosome abnormalities in infertile males. Materials and Methods: Semen
analysis was performed according to the World Health Organization guidelines.
Chromosome analysis was performed using G-banding. Y chromosome microdeletions
were detected by multiplex polymerase chain reaction assays. Results: Cytogenetic
analysis revealed Robertsonian translocation 45,XY,der(14;21)(q10;q10) in a male
with severe oligoasthenoteratozoospermia (SOAT) after three subsequent ICSI
treatments were unsuccessful. The second case involved a Robertsonian
translocation 45,XY,der(13,14)(q10;q10) with SOAT detected in a male after one
pregnancy loss. Third case involved a Robertsonian translocation
45,XY,der(13,14)(q10;q10) with SOAT. Conclusion: This case series emphasize the
necessity of cytogenetic analysis of couples with primary infertility and
recurrent miscarriages before any assisted reproductive technology is performed.
For couples in whom one or more partners have a translocation, prenatal genetic
diagnosis/preimplantation genetic diagnosis is recommended.
PMID- 29662278
TI - Comparison of Efficacy of Bupivacaine with Dexmedetomidine Versus Bupivacaine
Alone for Transversus Abdominis Plane Block for Post-operative Analgesia in
Patients Undergoing Elective Caesarean Section.
AB - Background: Increasing rate of Caesarean sections and inadequate pain relief
following Caesarean section is seen in almost all parts of the world. Transversus
abdominis plane block is gaining popularity as a part of multimodal analgesia for
post-operative pain relief following abdominal surgeries. Aim: To compare
bupivacaine and bupivacaine with dexmedetomidine in transversus abdominis plane
block for pain relief after Caesarean section. Method: American Society of
Anaesthesiologists I and II parturients with no comorbidities admitted for
elective Caesarean section were included in the study. Thirty-five patients were
in each study (with dex) and control (without dex) groups. At the end of
Caesarean section done under spinal anaesthesia, transversus abdominis plane
block was done bilaterally under ultrasound guidance using in-plane technique of
needle insertion. 20 ml of 0.25% bupivacaine with 0.5 mcg/kg of dexmedetomidine
in the study group and 20 ml of 0.25% bupivacaine in the control group were
injected in the neurovascular plane. Results: Eight patients from the study group
and 15 from the control group were given opioids as rescue analgesia. The average
time at which rescue analgesia was first sought was 14.25 and 7.73 h in the study
and control groups, respectively. The P value of this difference was 0.0136 and
was found to be statistically significant. Conclusion: The addition of
dexmedetomidine to bupivacaine in TAP block prolonged the duration of time at
which first dose of rescue analgesia was sought and also reduced the total dose
of opioid requirement in the first 24-h post-Caesarean section.
PMID- 29662279
TI - There is Only a Modest Increase in Neonatal Respiratory Morbidity Following Early
Term Elective Cesarean in a South Indian Population.
AB - Objectives: Elective cesarean deliveries (ECD) are still performed prior to 39
weeks. This study aimed to identify risk of neonatal respiratory morbidity (NRM)
following ECD near term, in a South Indian population. Specifically, study aimed
to measure the additional healthcare burden due to large number of ECDs performed
prior to 39 weeks, in this local population. Methods: We analyzed NRM among 1329
deliveries (584 ECD and 745 spontaneous vaginal delivery, SVD) in a tertiary
hospital over 2 years. Neonates were grouped into: A: 35+0-36+6 weeks, B: 37+0
38+6 weeks, and C: >=39 weeks. NRM was compared between ECD versus SVD. Results:
Majority (433/584) of ECDs were performed between 37+0 and 38+6 weeks. Overall,
32% received steroid prophylaxis. Of 1329 newborns, 18/584 (3.82%) in ECD and
6/745 (0.8%) in SVD group developed NRM (p value of 0.004, OR 3.9, CI 1.54-9.93).
Need of respiratory support among ECD was 4.28% compared to 0.53% in SVD (p <
0.001, OR 8.28; CI 2.86-23.94). However, comparing neonates born by ECD between
groups B Vs C; there was only a modest increase in NRM (2.07 vs 0.9%; p 0.48, OR
2.3 with CI 0.29-18.4) and in need of respiratory support (2.54 vs 0.9%; p 0.47,
OR 2.84; CI 0.36-22.2). Conclusion: NRM following early term ECD continues to be
a healthcare burden in India. Interestingly in this South Indian population,
early term ECDs caused only modest increase in NRM, and this ethnic variation
requires further evaluation to determine ideal time for ECD in local population.
PMID- 29662280
TI - Effects of Epidural Labour Analgesia in Mother and Foetus.
AB - Objective: Aim of study was to determine effect of epidural analgesia on progress
of labour and mode of delivery, to find out its complications in labour and
puerperium and to evaluate neonatal outcome in terms of APGAR score. Method: The
present study was conducted in Department of Obstetrics and Gynaecology at
Government Medical College Aurangabad over period of 2 years from June 2014 to
June 2016 after taking approval from institutional ethical board. Hundred low
risk primigravidas were included in the study, 50 women received epidural
analgesia for relief of labour pain at 3-4 cm and 50 women served as control. The
important outcome FACTORS studied were the following : (1) duration of active
phase of I stage, and II stage, (2) mode of delivery, (3) APGAR scores, (4)
untoward reactions and intrapartum complications, (5) overall satisfaction of the
mother. Results: The operative delivery rates were not significantly different in
both the groups (8% in the control group and 6% in the study group: p value NS,
i.e. > 0.05). The duration of first stage (our study showed no significant
difference in the duration of first stage in both the study and control groups p
value > 0.05) and second stage of labour (p value NS > 0.05) and the need for
oxytocin were comparable in the two groups. The side effects observed were
minimal. It has given excellent pain relief and improved neonatal outcome (5
min). EA is associated with rates of vaginal delivery (88 v/s 84%) and LSCS rate
(8 v/s 6%) which are comparable with control group. Conclusion: Epidural
analgesia is a very promising, safe and effective method of pain relief. No major
complications and a good APGAR score make it a good option of care in modern
obstetrics.
PMID- 29662281
TI - Role of AMH as Diagnostic Tool for Polycystic Ovarian Syndrome.
AB - Background: To evaluate role of AMH as a diagnostic tool for PCOS. Methods: This
was a prospective case-control study on women attending Gynae OPD of Dr RML
Hospital, New Delhi, from 1 November 2015 to 31 March 2017. Study comprised of 45
women with PCOS, diagnosed using Rotterdam criteria and 45 women as controls.
Clinical history included oligomenorrhea, hirsutism, examination included BMI,
Ferriman-Gallwey score, investigations included blood for FSH, LH, estradiol,
TSH, prolactin, total testosterone, AMH level and pelvic USG which was done for
all women. Results: Both PCOS cases and control were matched for age and BMI.
Median AMH levels of 4.32 ng/ml in PCOS cases was almost twice that of 2.32 ng/ml
in controls (p = 0.001). Maximum diagnostic potential of AMH alone for PCOS was
at a cut-off of 3.44 ng/ml with sensitivity of 77.78% and specificity of 68.89%.
AMH was used as an adjunct to existing Rotterdam criteria as the fourth parameter
OA+HA+PCOM+AMH (any three out of four) yielded sensitivity of 80%. However, when
PCOM in Rotterdam criteria was replaced by AMH, OA+HA+AMH (any two out of three)
or OA/HA+AMH resulted in sensitivity of 86.67 and 71.11%, respectively.
Conclusion: AMH levels were significantly higher in PCOS than in controls. AMH as
an independent marker could not effectively diagnose PCOS. However, AMH levels as
an adjunct to existing Rotterdam criteria for diagnosis of PCOS had good
diagnostic potential.
PMID- 29662282
TI - The Role of MGIT 960 Culture Medium in Resolving the Diagnostic Dilemma for
Genital Tuberculosis Patients Presenting with Infertility.
AB - Background: The purpose of this study was to assess the utility of Mycobacteria
Growth Indicator Tube (MGIT) 960 culture medium for the diagnosis of genital
tuberculosis (GTB) in women presenting with infertility. Methods: The
premenstrual endometrial biopsy samples in 300 women presenting with primary and
secondary infertility were subjected to AFB smear method, histopathological
examination and culture on LJ and MGIT 960 media. Detection rates were compared
for diagnostic modalities and their combinations. Results: In total, 30 cases
were positive for genital tuberculosis by either of the four tests employed. The
detection rates for AFB smear, MGIT culture, LJ culture and HPE were 50, 46.7,
3.3 and 33.3%, respectively. A combination of smear examination for AFB, MGIT 960
culture and histopathological examination was able to detect all the positive
cases. A combination of MGIT and LJ media provided no added advantage over MGIT
alone since the only case where LJ culture was positive had been detected by
positive MGIT culture. In as many as five positive cases (16.7%), only MGIT
culture was positive. Conclusion: The addition of MGIT 960 culture medium to
routine battery of investigations in infertility patients significantly improves
the diagnosis.
PMID- 29662283
TI - Intrauterine Copper Device (CuT380A) as a Contraceptive Method in the Indian
Context: Acceptability, Safety and Efficacy Depending on the Timing of Insertion.
AB - Background: Ideal time of Intrauterine copper device (IUCD) insertion either to
space or limit births in Indian women should be known to check fertility
effectively and safely. We therefore aimed to compare various IUCD related
clinical factors to assess its acceptability, safety and efficacy in immediate
postpartum vaginal insertion, intra-caesarean insertion, delayed postpartum
insertion and interval insertion at a tertiary-care centre in India. Methods: It
was a retrospective analysis of prospectively collected data from July 2013 to
July 2014. Data was reviewed about maternal age, socioeconomic status, education,
occupation and parity of a total of 1631 eligible mothers and was compared
between postpartum group and interval group. Data about spontaneous expulsion
rate of IUCD, proportion of patients not able to feel thread, reasons for removal
and failure rate of IUCD up to a follow-up period of 6 months was also collected
in these women and compared among immediate vaginal insertion, intra-caesarean
insertion, delayed postpartum insertion and interval insertion. Results: Majority
of women were between 20 and 35 years of age group, literate, multiparous,
unemployed and belonged to middle/lower socio-economic strata in both postpartum
and interval groups. Spontaneous expulsion rates were 1.84, 0.84, 2.83 and 1.63%;
proportions of patients not able to feel thread were 3.07, 8.73, 4.45 and 1.63%;
and removal rates were 7.99, 6.48, 7.69 and 3.47% in immediate vaginal, intra
caesarean, delayed postpartum and interval insertion groups, respectively.
Failure was seen in only one case of delayed postpartum insertion. Conclusion:
IUCD was more acceptable among young, literate and multiparous women as a
contraceptive method. Immediate postpartum period was the safest and most
efficacious time for IUCD insertion with least expulsion rate, maximum
continuation rate and no failure and, therefore, should be encouraged by adequate
counseling of mothers.
PMID- 29662284
TI - A Comparative Study on Quantitative Assessment of Blood Flow and Vascularization
in Polycystic Ovary Syndrome Patients and Normal Women Using Three-Dimensional
Power Doppler Ultrasonography.
AB - Purpose of the Study: To compare the quantitative assessment of blood flow and
vascularization of ovaries in polycystic ovary syndrome patients and normal women
using three-dimensional power Doppler ultrasonography. Methods: This cross
sectional quantitative study was conducted on women of reproductive age group (15
45 years) attending Gynaecology OPD AIMSR, Bathinda, Punjab. Thirty women were
enrolled in polycystic ovarian syndrome (PCOS) group and 30 healthy women in
control group. Women were categorized as polycystic ovary syndrome according to
Rotterdam's criteria. The women with PCOS underwent transvaginal USG Doppler on
day 6 of the cycle using 3D power Doppler USG equipment (GE Voluson E8), and
vascularization index (VI), flow index (FI) and vascularization flow index (VFI)
were measured. Results: The mean values of VI, FI and VFI measured by power
Doppler ultrasonography were significantly increased (P value = 0.000) in women
with PCOS when compared with healthy women. Conclusion: This study suggests that
blood flow and vascularization measured by 3D power Doppler ultrasonography in
ovaries of polycystic ovary syndrome patients were significantly more than the
ovaries of normal women.
PMID- 29662285
TI - Ex Utero Intrapartum Treatment (EXIT) for a Large Fetal Neck Mass.
PMID- 29662286
TI - A Rare Case of Multiple Pelvic Hydatid Cyst.
PMID- 29662287
TI - The "Saturday Effect" in Obstetrics: A Comparison Between Referral Patterns on
Saturday and Other Days of the Week.
PMID- 29662288
TI - Nonalcoholic fatty liver disease and liver transplantation - Where do we stand?
AB - Nonalcoholic fatty liver disease/nonalcoholic steatohepatitis (NAFLD/NASH) is a
challenging and multisystem disease that has a high socioeconomic impact.
NAFLD/NASH is a main cause of macrovesicular steatosis and has multiple impacts
on liver transplantation (LT), on patients on the waiting list for transplant, on
post-transplant setting as well as on organ donors. Current data indicate new
trends in the area of chronic liver disease. Due to the increased incidence of
metabolic syndrome (MetS) and its components, NASH cirrhosis and hepatocellular
carcinoma caused by NASH will soon become a major indication for LT. Furthermore,
due to an increasing incidence of MetS and, consequently, NAFLD, there will be
more steatotic donor livers and less high quality organs available for LT, in
addition to a lack of available liver allografts. Patients who have NASH and are
candidates for LT have multiple comorbidities and are unique LT candidates.
Finally, we discuss long-term grafts and patient survival after LT, the
recurrence of NASH and NASH appearing de novo after transplantation. In addition,
we suggest topics and areas that require more research for improving the health
care of this increasing patient population.
PMID- 29662289
TI - Hepatitis B virus pre-S/S variants in liver diseases.
AB - Chronic hepatitis B is a global health problem. The clinical outcomes of chronic
hepatitis B infection include asymptomatic carrier state, chronic hepatitis (CH),
liver cirrhosis (LC), and hepatocellular carcinoma (HCC). Because of the
spontaneous error rate inherent to viral reverse transcriptase, the hepatitis B
virus (HBV) genome evolves during the course of infection under the antiviral
pressure of host immunity. The clinical significance of pre-S/S variants has
become increasingly recognized in patients with chronic HBV infection. Pre-S/S
variants are often identified in hepatitis B carriers with CH, LC, and HCC, which
suggests that these naturally occurring pre-S/S variants may contribute to the
development of progressive liver damage and hepatocarcinogenesis. This paper
reviews the function of the pre-S/S region along with recent findings related to
the role of pre-S/S variants in liver diseases. According to the mutation type,
five pre-S/S variants have been identified: pre-S deletion, pre-S point mutation,
pre-S1 splice variant, C-terminus S point mutation, and pre-S/S nonsense
mutation. Their associations with HBV genotype and the possible pathogenesis of
pre-S/S variants are discussed. Different pre-S/S variants cause liver diseases
through different mechanisms. Most cause the intracellular retention of HBV
envelope proteins and induction of endoplasmic reticulum stress, which results in
liver diseases. Pre-S/S variants should be routinely determined in HBV carriers
to help identify individuals who may be at a high risk of less favorable liver
disease progression. Additional investigations are required to explore the
molecular mechanisms of the pre-S/S variants involved in the pathogenesis of each
stage of liver disease.
PMID- 29662290
TI - Extra-intestinal manifestations of non-celiac gluten sensitivity: An expanding
paradigm.
AB - Non celiac gluten sensitivity (NCGS) is a syndrome characterized by a cohort of
symptoms related to the ingestion of gluten-containing food in subjects who are
not affected by celiac disease (CD) or wheat allergy. The possibility of systemic
manifestations in this condition has been suggested by some reports. In most
cases they are characterized by vague symptoms such as 'foggy mind', headache,
fatigue, joint and muscle pain, leg or arm numbness even if more specific
complaints have been described. NCGS has an immune-related background. Indeed
there is a strong evidence that a selective activation of innate immunity may be
the trigger for NCGS inflammatory response. The most commonly autoimmune
disorders associated to NCGS are Hashimoto thyroiditis, dermatitis herpetiformis,
psoriasis and rheumatologic diseases. The predominance of Hashimoto thyroiditis
represents an interesting finding, since it has been indirectly confirmed by an
Italian study, showing that autoimmune thyroid disease is a risk factor for the
evolution towards NCGS in a group of patients with minimal duodenal inflammation.
On these bases, an autoimmune stigma in NCGS is strongly supported; it could be a
characteristic feature that could help the diagnosis and be simultaneously
managed. A possible neurological involvement has been underlined by NCGS
association with gluten ataxia, gluten neuropathy and gluten encephalopathy. NCGS
patients may show even psychiatric diseases such as depression, anxiety and
psychosis. Finally, a link with functional disorders (irritable bowel syndrome
and fibromyalgia) is a topic under discussion. In conclusion, the novelty of this
matter has generated an expansion of literature data with the unavoidable
consequence that some reports are often based on low levels of evidence.
Therefore, only studies performed on large samples with the inclusion of control
groups will be able to clearly establish whether the large information from the
literature regarding extra-intestinal NCGS manifestations could be supported by
evidence-based agreements.
PMID- 29662291
TI - Punctual mutations in 23S rRNA gene of clarithromycin-resistant Helicobacter
pylori in Colombian populations.
AB - AIM: To characterize punctual mutations in 23S rRNA gene of clarithromycin
resistant Helicobacter pylori (H. pylori) and determine their association with
therapeutic failure. METHODS: PCR products of 23S rRNA gene V domain of 74 H.
pylori isolates; 34 resistant to clarithromycin (29 from a low-risk gastric
cancer (GC) population: Tumaco-Colombia, and 5 from a high-risk population:
Tuquerres-Colombia) and 40 from a susceptible population (28 from Tumaco and 12
from Tuquerres) were sequenced using capillary electrophoresis. The concordance
between mutations of V domain 23S rRNA gene of H. pylori and therapeutic failure
was determined using the Kappa coefficient and McNemar's test was performed to
determine the relationship between H. pylori mutations and clarithromycin
resistance. RESULTS: 23S rRNA gene from H. pylori was amplified in 56/74
isolates, of which 25 were resistant to clarithromycin (20 from Tumaco and 5 from
Tuquerres, respectively). In 17 resistant isolates (13 from Tumaco and 4 from
Tuquerres) the following mutations were found: A1593T1, A1653G2, C1770T, C1954T1,
and G1827C in isolates from Tumaco, and A2144G from Tuquerres. The mutations
T2183C, A2144G and C2196T in H. pylori isolates resistant to clarithromycin from
Colombia are reported for the first time. No association between the H. pylori
mutations and in vitro clarithromycin resistance was found. However, therapeutic
failure of eradication treatment was associated with mutations of 23S rRNA gene
in clarithromycin-resistant H. pylori (kappa = 0.71). CONCLUSION: The therapeutic
failure of eradication treatment in the two populations from Colombia was
associated with mutations of the 23S rRNA gene in clarithromycin-resistant H.
pylori.
PMID- 29662292
TI - Post-polypectomy bleeding and thromboembolism risks associated with warfarin vs
direct oral anticoagulants.
AB - AIM: To verify the validity of the endoscopy guidelines for patients taking
warfarin or direct oral anticoagulants (DOAC). METHODS: We collected data from
218 patients receiving oral anticoagulants (73 DOAC users, 145 warfarin users)
and 218 patients not receiving any antithrombotics (age- and sex-matched
controls) who underwent polypectomy. (1) We evaluated post-polypectomy bleeding
(PPB) risk in patients receiving warfarin or DOAC compared with controls; (2) we
assessed the risks of PPB and thromboembolism between three AC management
methods: Discontinuing AC with heparin bridge (HPB) (endoscopy guideline
recommendation), continuing AC, and discontinuing AC without HPB. RESULTS: PPB
rate was significantly higher in warfarin users and DOAC users compared with
controls (13.7% and 13.7% vs 0.9%, P < 0.001), but was not significantly
different between rivaroxaban (13.2%), dabigatran (11.1%), and apixaban (13.3%)
users. Two thromboembolic events occurred in warfarin users, but none in DOAC
users. Compared with the continuing anticoagulant group, the discontinuing
anticoagulant with HPB group (guideline recommendation) had a higher PPB rate
(10.8% vs 19.6%, P = 0.087). These findings were significantly evident in
warfarin but not DOAC users. One thrombotic event occurred in the discontinuing
anticoagulant with HPB group and the discontinuing anticoagulant without HPB
group; none occurred in the continuing anticoagulant group. CONCLUSION: PPB risk
was similar between patients taking warfarin and DOAC. Thromboembolism was
observed in warfarin users only. The guideline recommendations for HPB should be
re-considered.
PMID- 29662293
TI - Maintenance for healed erosive esophagitis: Phase III comparison of vonoprazan
with lansoprazole.
AB - AIM: To compare vonoprazan 10 and 20 mg vs lansoprazole 15 mg as maintenance
therapy in healed erosive esophagitis (EE). METHODS: A total of 607 patients aged
>= 20 years, with endoscopically-confirmed healed EE following 8 wk of treatment
with vonoprazan 20 mg once daily, were randomized 1:1:1 to receive lansoprazole
15 mg (n = 201), vonoprazan 10 mg (n = 202), or vonoprazan 20 mg (n = 204), once
daily. The primary endpoint of the study was the rate of endoscopically-confirmed
EE recurrence during a 24-wk maintenance period. The secondary endpoint was the
EE recurrence rate at Week 12 during maintenance treatment. Additional efficacy
endpoints included the incidence of heartburn and acid reflux, and the EE healing
rate 4 wk after the initiation of maintenance treatment. Safety endpoints
comprised adverse events (AEs), vital signs, electrocardiogram findings, clinical
laboratory results, serum gastrin and pepsinogen I/II levels, and gastric mucosa
histopathology results. RESULTS: Rates of EE recurrence during the 24-wk
maintenance period were 16.8%, 5.1%, and 2.0% with lansoprazole 15 mg, vonoprazan
10 mg, and vonoprazan 20 mg, respectively. Vonoprazan was shown to be non
inferior to lansoprazole 15 mg (P < 0.0001 for both doses). In a post-hoc
analysis, EE recurrence at Week 24 was significantly reduced with vonoprazan at
both the 10 mg and the 20 mg dose vs lansoprazole 15 mg (5.1% vs 16.8%, P =
0.0002, and 2.0% vs 16.8%, P < 0.0001, respectively); by contrast, the EE
recurrence rate did not differ significantly between the two doses of vonoprazan
(P = 0.1090). The safety profiles of vonoprazan 10 and 20 mg were similar to that
of lansoprazole 15 mg in patients with healed EE. Treatment-related AEs were
reported in 11.4%, 10.4%, and 10.3% of patients in the lansoprazole 15 mg,
vonoprazan 10 mg, and vonoprazan 20 mg arms, respectively. CONCLUSION: Our
findings confirm the non-inferiority of vonoprazan 10 and 20 mg to lansoprazole
15 mg as maintenance therapy for patients with healed EE.
PMID- 29662294
TI - Application of enhanced recovery after gastric cancer surgery: An updated meta
analysis.
AB - AIM: To provide an updated assessment of the safety and efficacy of enhanced
recovery after surgery (ERAS) protocols in elective gastric cancer (GC) surgery.
METHODS: PubMed, Medline, EMBASE, World Health Organization International Trial
Register, and Cochrane Library were searched up to June 2017 for all available
randomized controlled trials (RCTs) comparing ERAS protocols and standard care
(SC) in GC surgery. Thirteen RCTs, with a total of 1092 participants, were
analyzed in this study, of whom 545 underwent ERAS protocols and 547 received SC
treatment. RESULTS: No significant difference was observed between ERAS and
control groups regarding total complications (P = 0.88), mortality (P = 0.50) and
reoperation (P = 0.49). The incidence of pulmonary infection was significantly
reduced (P = 0.03) following gastrectomy. However, the readmission rate after GC
surgery nearly tripled under ERAS (P = 0.009). ERAS protocols significantly
decreased the length of postoperative hospital stay (P < 0.00001) and medical
costs (P < 0.00001), and accelerated bowel function recovery, as measured by
earlier time to the first flatus (P = 0.0004) and the first defecation (P <
0.0001). Moreover, ERAS protocols were associated with a lower level of serum
inflammatory response, higher serum albumin, and superior short-term quality of
life (QOL). CONCLUSION: Collectively, ERAS results in accelerated convalescence,
reduction of surgical stress and medical costs, improved nutritional status, and
better QOL for GC patients. However, high-quality multicenter RCTs with large
samples and long-term follow-up are needed to more precisely evaluate ERAS in
radical gastrectomy.
PMID- 29662295
TI - Should hot biopsy forceps be abandoned for polypectomy of diminutive colorectal
polyps?
AB - Standardized approach to polypectomy of diminutive colorectal polyps (DCPs) is
lacking since cold biopsy forceps have been associated with high levels of
recurrence, hot biopsy forceps are considered inadequate and risky and cold
snaring is currently under investigation for its efficacy and safety. This has
led to confusion and a gap in clinical practice. This article discusses the
usefulness and contemporary practical applicability of hot biopsy forceps and
provides well-intentioned criticism of the new European guidelines for the
treatment of DCPs. Diminutive colorectal polyps are a source of frustration for
the endoscopist since their small size is accompanied by a considerable risk of
premalignant neoplasia and a small but non-negligible risk of advanced neoplasia
and even cancer. Since the proportion of diminutive colorectal polyps is
substantial and exceeds that of larger polyps, their effective removal poses a
considerable workload and a therapeutic challenge. During the last decade, the
introduction of cold snaring to routine endoscopy practice has attempted to
overcome the use of prior techniques, such as hot biopsy forceps. It is important
to recognize that with the exception of endoscopic methods that are obviously
unsafe and inadequate to serve their purpose, all other interventional endoscopic
methods are operator-dependent in the sense that specific expertise and training
are obligatory for the success of any therapeutic intervention. Since relevant
publications on hot biopsy forceps are still in favor of its careful use, as it
has not yet demonstrated inferiority compared with newer techniques, it would be
prudent for any medical practitioner to evaluate the available tools and judge
any new proposed technique based on the evidence before it is adopted.
PMID- 29662296
TI - Power in Bayesian Mediation Analysis for Small Sample Research.
AB - It was suggested that Bayesian methods have potential for increasing power in
mediation analysis (Koopman, Howe, Hollenbeck, & Sin, 2015; Yuan & MacKinnon,
2009). This paper compares the power of Bayesian credibility intervals for the
mediated effect to the power of normal theory, distribution of the product,
percentile, and bias-corrected bootstrap confidence intervals at N<= 200.
Bayesian methods with diffuse priors have power comparable to the distribution of
the product and bootstrap methods, and Bayesian methods with informative priors
had the most power. Varying degrees of precision of prior distributions were also
examined. Increased precision led to greater power only when N>= 100 and the
effects were small, N < 60 and the effects were large, and N < 200 and the
effects were medium. An empirical example from psychology illustrated a Bayesian
analysis of the single mediator model from prior selection to interpreting
results.
PMID- 29662297
TI - Graphics Processing Unit-Enhanced Genetic Algorithms for Solving the Temporal
Dynamics of Gene Regulatory Networks.
AB - Understanding the regulation of gene expression is one of the key problems in
current biology. A promising method for that purpose is the determination of the
temporal dynamics between known initial and ending network states, by using
simple acting rules. The huge amount of rule combinations and the nonlinear
inherent nature of the problem make genetic algorithms an excellent candidate for
finding optimal solutions. As this is a computationally intensive problem that
needs long runtimes in conventional architectures for realistic network sizes, it
is fundamental to accelerate this task. In this article, we study how to develop
efficient parallel implementations of this method for the fine-grained parallel
architecture of graphics processing units (GPUs) using the compute unified device
architecture (CUDA) platform. An exhaustive and methodical study of various
parallel genetic algorithm schemes-master-slave, island, cellular, and hybrid
models, and various individual selection methods (roulette, elitist)-is carried
out for this problem. Several procedures that optimize the use of the GPU's
resources are presented. We conclude that the implementation that produces better
results (both from the performance and the genetic algorithm fitness
perspectives) is simulating a few thousands of individuals grouped in a few
islands using elitist selection. This model comprises 2 mighty factors for
discovering the best solutions: finding good individuals in a short number of
generations, and introducing genetic diversity via a relatively frequent and
numerous migration. As a result, we have even found the optimal solution for the
analyzed gene regulatory network (GRN). In addition, a comparative study of the
performance obtained by the different parallel implementations on GPU versus a
sequential application on CPU is carried out. In our tests, a multifold speedup
was obtained for our optimized parallel implementation of the method on medium
class GPU over an equivalent sequential single-core implementation running on a
recent Intel i7 CPU. This work can provide useful guidance to researchers in
biology, medicine, or bioinformatics in how to take advantage of the
parallelization on massively parallel devices and GPUs to apply novel
metaheuristic algorithms powered by nature for real-world applications (like the
method to solve the temporal dynamics of GRNs).
PMID- 29662298
TI - Anti-VEGF treatment of macular edema associated with retinal vein occlusion:
patterns of use and effectiveness in clinical practice (ECHO study report 2).
AB - Purpose: To evaluate the efficacy, safety, and injection frequency of vascular
endothelial growth factor (VEGF) antagonists in the treatment of macular edema
secondary to retinal vein occlusion (RVO) in clinical practice. Patients and
methods: A multicenter retrospective study of the medical records of 165 patients
(95 branch RVO, 70 central RVO) treated with at least three anti-VEGF injections
in the study eye was conducted. Available data collected for at least 6 months
after the first injection included Snellen best-corrected visual acuity (BCVA),
central retinal thickness (CRT) by time-domain optical coherence tomography (TD
OCT) or spectral-domain optical coherence tomography (SD-OCT), anti-VEGF
injections, other treatments/procedures for RVO, and adverse events. Results: At
baseline prior to anti-VEGF treatment, mean BCVA was 20/80 Snellen equivalent and
mean CRT was 499 MUm. Mean number of anti-VEGF injections received was 7.1 during
the first year, 5.4 during the second year, and 5.9 during the third year; 51.3%
(842/1,641) of injections were ranibizumab, 44.1% (724/1,641) were bevacizumab,
and 4.6% (75/1,641) were aflibercept. One in five patients received concomitant
focal laser treatment. The percentage of patients achieving both BCVA of 20/40 or
better and CRT <=250 MUm on TD-OCT or <=300 MUm on SD-OCT at the same visit
(primary endpoint) was 26.1% (30/115) after the first anti-VEGF injection and
ranged from 20.0% (7/35) to 36.7% (11/30) after the first 16 injections. After
each anti-VEGF injection from the 1st to the 16th, <60% of patients achieved
20/40 or better BCVA and <=70% of patients achieved CRT <=250 MUm on TD-OCT or
<=300 MUm on SD-OCT. The most common treatment-related adverse event was blurry
or cloudy vision. Conclusion: In this real-world study, a mean of five to seven
anti-VEGF injections was administered yearly, and the response to anti-VEGF
therapy was suboptimal in many patients. Anti-VEGF therapy was well tolerated.
PMID- 29662299
TI - Clinical characteristics and causes of visual impairment in a low vision clinic
in northern Jordan.
AB - Aim: The aim of the study was to identify causes of visual impairment among
patients attending a low vision clinic in the north of Jordan and to study the
relevant demographic characteristics of these patients. Subjects and methods: The
retrospective study was conducted through a review of clinical records of 135
patients who attended a low vision clinic in Irbid. Clinical characteristics of
the patients were collected, including age, gender, primary cause of low vision,
best corrected visual acuity, and current prescribed low vision aids. Descriptive
statistics analysis using numbers and percentages were calculated to summarize
categorical and nominal data. Results: A total of 135 patients (61 [45.2%]
females and 74 [54.8%] males) were recruited in the study. Mean age +/- standard
deviation for the study population was 24.53 +/- 16.245 years; age range was 5-90
years. Of the study population, 26 patients (19.3%) had mild visual impairment,
61 patients (45.2%) had moderate visual impairment, 27 patients (20.0%) had
severe visual impairment, and 21 patients (15.6%) were blind. The leading causes
of visual impairment across all age groups were albinism (31.9%) and retinitis
pigmentosa (RP) (18.5%). Albinism also accounted for the leading cause of visual
impairment among the pediatric age group (0-15 years) while albinism, RP, and
keratoconus were the primary causes of visual impairment for older patients. A
total of 59 patients (43.7%) were given low vision aids either for near or
distance. The only prescribed low vision aids for distances were telescopes. For
near, spectacle-type low vision aid was the most commonly prescribed low vision
aids. Conclusion: Low vision services in Jordan are still very limited. A
national strategy programme to increase awareness of low vision services should
be implemented, and health care policies should be enforced to cover low vision
aids through the national medical insurance.
PMID- 29662300
TI - The effects of repeated Ozurdex injections on ocular hypertension.
AB - Purpose: The purpose of this study was to correlate the degree of ocular
hypertension with the number of Ozurdex injections. Methods: Intraocular pressure
(IOP) fluctuations for a total of 183 injections were studied over a period of at
least 12 months. The main indications for treatment were uveitis, diabetic
macular edema, and retinal vein occlusion. Results: Results of the study
demonstrate that repeated Ozurdex injections do not increase the frequency of IOP
spikes beyond 30 mmHg. For lower IOPs, however, a positive correlation exists.
Furthermore, patients with primary open angle glaucoma and uveitis had the
highest IOP response to repeated injections. On average, patients with an IOP of
>=28.6 mmHg received pressure lowering medications, after which their IOP reached
a stable level (16.7 mmHg) without the need for additional interventions.
Conclusion: The data support the conclusion that multiple Ozurdex injections does
not increase the frequency of IOP spikes beyond 30 mmHg, but patients still must
be closely monitored if they have a history of primary open angle glaucoma.
PMID- 29662301
TI - Conventional trabeculectomy versus trabeculectomy with the Ex-PRESS(r) mini
glaucoma shunt: differences in postoperative interventions.
AB - Purpose: To compare the postoperative interventions and outcomes between
conventional trabeculectomy and trabeculectomy with the Ex-PRESS(r) mini-glaucoma
shunt device (Ex-Press). Methods: This was a retrospective, comparative, single
facility study. We analyzed the cases of 108 glaucoma patients who underwent
trabeculectomy and were followed for >1 year. Thirty-nine eyes underwent a
conventional trabeculectomy (conventional group) and 69 eyes underwent a
trabeculectomy with an Ex-Press (Ex-Press group). As evaluation items, we
examined postoperative intraocular pressure (IOP), the surgical success rate,
postoperative complications, the number of days to laser suture lysis, and
needling. Results: Trabeculectomy significantly decreased the patients' IOP
values from 27.8+/-7.9 to 11.1+/-3.9 mmHg in the conventional group (p<0.001) and
from 27.7+/-9.2 to 11.5+/-3.7 mmHg in the Ex-Press group (p<0.001) after 1 year.
The success rate was not significantly different between the groups. The timing
of the first laser suture lysis was significantly sooner in the Ex-Press group,
and the Ex-Press group showed significantly less choroidal detachment due to low
IOP. Conclusion: Earlier laser suture lysis in patients whose trabeculectomy
treatment includes an Ex-Press is required to obtain the outcomes comparable to
those of conventional trabeculectomy.
PMID- 29662302
TI - Hot-water extract of the branches of Hovenia dulcis Thunb. (Rhamnaceae)
ameliorates low-fiber diet-induced constipation in rats.
AB - Hovenia dulcis Thunb. (Rhamnaceae), also known as oriental raisin tree, is used
in traditional herbal medicine. Its extracts have been reported to show various
pharmacological effects such as hepatoprotection, antitumor, antiatopic
dermatitis, antilipid peroxidation, anti-steatotic, anti-inflammatory, and
antiallergic activities. However, there have been no reports on the effect of H.
dulcis extracts in relieving constipation so far. The aim of this study was to
investigate the effects of a hot-water extract of the branches of H. dulcis
(WEHD) on low-fiber diet-induced constipation in Sprague Dawley rats. The in vivo
laxative activity of WEHD was assessed by measuring the intestinal transit of
charcoal meal and stool parameters. Furthermore, the in vitro spasmogenic
activity of WEHD was evaluated by monitoring the temporal profiles of contraction
of rat colon in the absence or presence of WEHD. In addition, constituent
profiling was conducted using high-performance liquid chromatography analysis.
Pretreatment with WEHD significantly enhanced the intestinal transit of charcoal
meal and increased the frequency and weight of stools in rats. In addition, the
frequency and amplitude of contractile responses of isolated rat colon were
markedly enhanced by WEHD. Two organic phenolic acids, ferulic and vanillic
acids, were identified in WEHD, of which vanillic acid exhibited spasmogenic
activity. To the best of our knowledge, this is the first study to report the
laxative and spasmogenic activities of H. dulcis and its constituents, suggesting
that WEHD can serve as a complementary and/or alternative laxative in alleviating
chronic constipation.
PMID- 29662303
TI - The effectiveness of long-term course of Sterimar Mn nasal spray for treatment of
the recurrence rates of acute allergic rhinitis in patients with chronic allergic
rhinitis.
AB - Background: The "cost of illness" of the acute allergic rhinitis (AR) episodes in
patients with chronic AR is very high in terms of therapy and quality of life. AR
represents a worldwide health problem; despite the fact that many standardized
treatments have been proposed and used, the recurrence rate of acute rhinitis
episodes in springtime is always higher. Materials and methods: Sixty consecutive
patients (13 F and 17 M in group A, 15 F and 15 M in group B; p=0.60) with
chronic AR were enrolled in this prospective, controlled clinical trial. Thirty
patients were treated daily for the same 5 months of the following year (2013)
with isotonic seawater nasal spray enriched with manganese (Sterimar Mn; 4
puffs/day), whereas 30 patients received only the standard care and were used as
control group. Results: A 5 months course treatment with the nasal Sterimar Mn
was able to decrease, significantly (p<0.001), the number of episodes of acute AR
(6.33 episodes in the group of treated patients versus 9.33 episodes in control
group). Also, the 5 months quality of life reduced over time (Visual Analogue
Scale 5th month 9.90 in treated group versus Visual Analogue Scale 5th month 8.72
in control group: p<0.001) without the typical adverse effects of the AR standard
care therapy. Conclusion: This study shows the effectiveness of the use of
Sterimar Mn for a 5 months therapy in terms of reduction of the number of
episodes of acute AR and effectiveness of intrasubject improvement of Visual
Analog Scale (quality of life).
PMID- 29662304
TI - Chrysin suppresses proliferation, migration, and invasion in glioblastoma cell
lines via mediating the ERK/Nrf2 signaling pathway.
AB - Background: Chrysin, an active natural bioflavonoid, has been proven to protect
against carcinogenesis. However, the role of chrysin in glioblastoma and the
potential molecular mechanisms remain to be elucidated. In our previous study, we
found that nuclear factor erythroid 2 (NF-E2)-related factor 2 (Nrf2) is highly
expressed in a variety of glioblastoma cell lines associated with the mitogen
activated protein kinase (MAPK) pathway. The aim of this study was to evaluate
the antitumor effects of chrysin in glioblastoma cells and how chrysin is related
to the MAPK/Nrf2 signaling pathway. Methods: A Cell Counting Kit-8 assay and a
plate colony formation assay were performed to evaluate cell proliferation. Cell
migration ability was tested by a wound-healing assay. Transwell migration and
Matrigel invasion assay were used to test the migration and invasion potential of
cells. Nrf2 was knocked down by shRNA transfection. Protein expression was
determined by Western blotting and immunofluorescence staining. The in vivo
anticancer effect was measured using tumor xenografts in nude mice. Results:
Chrysin inhibited the proliferation, migration, and invasion capacity of
glioblastoma cells in dose- and time-dependent manners. Mechanistically, chrysin
deactivated the Nrf2 signaling pathway by decreasing the translocation of Nrf2
into the nucleus and suppressing the expression of hemeoxygenase-1 (HO-1) and
NAD(P)H quinine oxidoreductase-1, meanwhile, Nrf2 shRNA attenuated the anticancer
activity of chrysin. Furthermore, chrysin downregulated the protein expression of
p-extracellular signal-regulated kinase 1 and 2 (ERK1/2), but did not
significantly affect p-JNK and p-P38 expression levels. However, the
downregulated level of Nrf2 and the antitumor effect of chrysin in glioblastoma
cell lines were partially abrogated by the ERK1/2 signaling inhibitor (U0126).
Finally, chrysin inhibited tumor growth in U87 xenografts. Conclusion: Our
results show that chrysin exerts anticancer activity in glioblastoma cell lines
possibly via the ERK/Nrf2 signaling pathway and indicate the potential
application of chrysin as a natural sensitizer in chemotherapy.
PMID- 29662305
TI - Parental preference and perspectives on continuous pulse oximetry in infants and
children with bronchiolitis.
AB - Objective: The purpose of the study was to investigate parental preference of
continuous pulse oximetry in infants and children with bronchiolitis. Materials
and methods: A cross-sectional prospective study was conducted at Hamad Medical
Corporation in Qatar. Parents of infants and children <24 months old and
hospitalized with bronchiolitis were offered an interview survey. Results: A
total of 132 questionnaires were completed (response rate 100%). Approximately
90% of participants were 20-40 years of age, and 85% were females. The mean age
of children was 7.2+/-5.8 months. Approximately eight in ten parents supported
the idea of continuous pulse oximetry in children with bronchiolitis. Almost 43%
of parents believed that continuous pulse-oximetry monitoring would delay their
children's hospital discharge. Interestingly, approximately 85% of caregivers
agreed that continuous pulse oximetry had a good impact on their children's
health. In addition, around one in two of the participants stated that good
bedside examinations can obviate the need for continuous pulse oximetry.
Furthermore, 80% of parents believed that continuous pulse-oximetry monitoring
would give the health-care provider a good sense of security regarding the
child's health. Finally, being a male parent was associated with significantly
increased risk of reporting unnecessary fatigue, attributed to the sound of
continuous pulse oximetry (P=0.031). Conclusion: Continuous pulse-oximetry
monitoring in children with bronchiolitis was perceived as reassuring for
parents. Involving parents in decision-making is considered essential in the
better management of children with bronchiolitis or any other disease. The first
step to decrease continuous pulse oximetry will require provider education and
change as well. Furthermore, we recommend proper counseling for parents,
emphasizing that medical technology is not always essential, but is a
complementary mode of managing a disease.
PMID- 29662306
TI - Short-term vascular hemodynamic responses to isometric exercise in young adults
and in the elderly.
AB - Background: Vascular aging is known to induce progressive stiffening of the large
elastic arteries, altering vascular hemodynamics under both rest and stress
conditions. In this study, we aimed to investigate changes in vascular
hemodynamics in response to isometric handgrip exercise across ages. Participants
and methods: We included 62 participants, who were divided into three age
categories: 20-40 (n=22), 41-60 (n=20), and 61-80 (n=20) years. Vascular
hemodynamics were measured using the Mobil-o-Graph(r) based on the pulsatile
pressure changes in the brachial artery. One-way ANOVA test was performed to
analyze the changes induced by isometric handgrip exercise. Results: After
isometric handgrip exercise, aortic pulse wave velocity (PWV) increased by 0.10
m/s in the youngest, 0.06 m/s in the middle-age, and 0.02 m/s in the oldest age
category. Changes in PWV strongly correlated with those in central systolic blood
pressure (cSBP) (r=0.878, P<0.01). After isometric exercise, the mean change of
systolic blood pressure (SBP) was -1.9% in the youngest, 0.6% in the middle-aged,
and 8.2% in the oldest subjects. Increasing handgrip strength was associated with
an increase in SBP and cSBP (1.08 and 1.37 mmHg per 1 kg increase in handgrip
strength, respectively, P=0.01). Finally, PWV was significantly associated with
increasing handgrip strength with an increase of 0.05 m/s per 1 kg higher
handgrip strength (P=0.01). Conclusion: This study found increased blood pressure
levels after isometric challenge and a strong association between handgrip
strength and change in blood pressure levels and aortic stiffness in elderly
subjects.
PMID- 29662307
TI - Thyroid nodules in centenarians: prevalence and relationship to lifestyle
characteristics and dietary habits.
AB - Background: Thyroid nodules (TNs) are common thyroid lesions in older population.
Few studies have focused on the prevalence of TNs and their relationship to
lifestyle characteristics and dietary habits in centenarians. The current study
aimed at determining the prevalence of TNs in Chinese centenarians by using high
resolution ultrasound (US) equipment and at investigating its relationship to
lifestyle characteristics and dietary habits. Participants and methods: The
current study was part of the China Hainan Centenarian Cohort Study that was
conducted in Hainan, an iodine-sufficient region in People's Republic of China. A
total of 874 permanent residents aged >=100 years (mean age =102.8+/-2.8 years)
without any missing data were included in the analysis. Results: Among the
participants, 649 of them were detected at least one TN under the US
examinations. The overall prevalence rate of TNs was 74.3%. The prevalence of TNs
was higher in participants who were women, had hypertension, had diabetes, and
were underweight compared with their counterparts. Multivariate logistic
regression analyses showed that being female, hypertensive, and diabetic; betel
quid consumption; and red meat consumption were independent risk factors, while
being underweight and nut consumption were independent protective factors for
TNs. Conclusion: Our findings indicate that the presence of TNs was highly
prevalent in Chinese centenarians, particularly in women. In addition to gender,
having hypertension, having diabetes, and being underweight, the presence of TNs
was independently associated with betel quid, red meat, and nut consumption.
Further prospective studies are warranted to verify these associations in
populations from different age strata, races, cultures, and iodine
supplementation.
PMID- 29662308
TI - Hypoglycemic and antioxidant effect of Tai chi exercise training in older adults
with metabolic syndrome.
AB - Introduction: The antioxidant and anti-inflammatory effects of Tai chi (TC)
exercise training in healthy older adults has been demonstrated. However, there
are no studies on this effect in older adults with metabolic syndrome (MetS).
Purpose: The aim of this study was to determine the effect of TC exercise on
oxidative stress and inflammatory markers in older adults with MetS. Methods: A
quasi-experimental study was carried out with a sample of 110 older sedentary
volunteers with clinical diagnoses of MetS: (i) a control group, n = 50, of
individuals who do not participate in physical exercise, of which 37 fulfilled
the entire study protocol, and (ii) an experimental group, n = 60, of subjects
enrolled in a TC exercise training program (eight-form easy), 5 days a week for 6
months, in sessions of 50 min, under the supervision of a qualified instructor,
of which 48 fulfilled the entire study protocol. We measured in both groups (pre-
and post-intervention) the following cardiovascular parameters: resting heart
rate (RHR), diastolic and systolic blood pressure (DBP and SBP), mean arterial
pressure (MAP), RHR-SBP product, RHR-MAP product; glycosylated hemoglobin
(HbA1c); oxidative stress markers (superoxide dismutase, total antioxidant
status, thiobarbituric acid reacting substances, and oxidative stress score); and
inflammation markers (TNF-alpha, IL-6, IL-8, and IL-10). Results: A statistically
significant decrease in HbA1c concentration was observed in the TC group compared
with the control group (p < 0.05). This group also showed a statistically
significant increase in TAS and a decrease in the oxidative stress score (p <
0.05). We did not observe changes in the cardiovascular parameters (RHR, DBP,
SBP, MAP, RHR-SBP product, and RHR-MAP product) in the TC experimental group
compared to the control group. Conclusion: Our findings suggest that the practice
of TC exercise has an antioxidative and hypoglycemic effect in the elderly with
MetS.
PMID- 29662309
TI - Description of nighttime cough epochs in patients with stable COPD GOLD II-IV.
AB - Purpose: Chronic cough is one of the main symptoms of COPD. Ambulatory objective
monitoring provides novel insights into the determinants and characteristics of
nighttime cough in COPD. Materials and methods: Nighttime cough was monitored
objectively by LEOSound lung sound monitor in patients with stable COPD II-IV. In
30 patients, with 10 patients in each stage group, nighttime cough was analyzed
for epoch frequency, epoch severity (epoch length and coughs per epoch), and
pattern (productive or nonproductive). Results: Cough was found in all patients
ranging from 1 to 294 events over the recording period. In 29 patients, cough
epochs were monitored, ranging from 1 to 75 epochs. The highest amount of cough
epochs was found in patients with COPD stage III. Active smokers had
significantly more productive cough epochs (61%) than nonsmokers (24%).
Conclusion: We found a high rate of nighttime cough epochs in patients with COPD,
especially in those in stage III. Productive cough was predominantly found in
patients with persistent smoking. LEOSound lung sound monitor offers a practical
and valuable opportunity to evaluate cough objectively.
PMID- 29662310
TI - In vitro/vivo drug release and anti-diabetic cardiomyopathy properties of
curcumin/PBLG-PEG-PBLG nanoparticles.
AB - Background: The objective of this study was to survey the therapeutic function of
curcumin-encapsulated poly(gamma-benzyl l-glutamate)-poly(ethylene glycol)
poly(gammabenzyl l-glutamate) (PBLG-PEG-PBLG) (P) on diabetic cardiomyopathy
(DCM) via cross regulation effect of calcium-sensing receptor (CaSR) and
endogenous cystathionine-gamma-lyase (CSE)/hydrogen sulfide (H2S). Methods:
Diabetic rats were preconditioned with 20 mg/kg curcumin or curcumin/P complex
continuously for 8 weeks. The blood and myocardiums were collected, the level of
serum H2S was observed, and the [Ca2+]i content was measured in myocardial cells,
and hematoxylin-eosin, CaSR, CSE, and calmodulin (CaM) expression were detected.
Results: Both curcumin and curcumin/P pretreatment alleviated pathological
morphological damage of myocardium, increased H2S and [Ca2+]i levels, and
upregulated the expression of CaSR, CSE, and CaM as compared to DCM group, while
curcumin/P remarkably augmented this effect. Conclusion: PBLG-PEG-PBLG could
improve water-solubility and bioactivity of curcumin and curcumin/PBLG-PEG-PBLG
significantly alleviated diabetic cardiomyopathy.
PMID- 29662311
TI - The 95RGD97 sequence on the Aalpha chain of fibrinogen is essential for binding
to its erythrocyte receptor.
AB - Background: Erythrocyte aggregation, a cardiovascular risk factor, is increased
by high plasma fibrinogen levels. Here, the effect of different fibrinogen
mutations on binding to its human erythrocyte receptor was assessed in order to
identify the interaction sites. Methods: Three fibrinogen variants were tested,
specifically mutated in their putative integrin recognition sites on the Aalpha
chain (mutants D97E, D574E and D97E/D574E) and compared with wild-type
fibrinogen. Results: Atomic force microscopy-based force spectroscopy
measurements showed a significant decrease both on the fibrinogen-erythrocyte
binding force and on its frequency for fibrinogen with the D97E mutation,
indicating that the corresponding arginine-glycine-aspartate sequence (residues
95-97) is involved in this interaction, and supporting that the fibrinogen
receptor on erythrocytes has a beta3 subunit. Changes in the fibrin clot network
structure obtained with the D97E mutant were observed by scanning electron
microscopy. Conclusion: These findings may lead to innovative perspectives on the
development of new therapeutic approaches to overcome the risks of fibrinogen
driven erythrocyte hyperaggregation.
PMID- 29662312
TI - Nanoselenium prevents eimeriosis-induced inflammation and regulates mucin gene
expression in mice jejunum.
AB - Background: Although elemental selenium has been found to be effective against
Eimeria, no study has yet investigated the effects of selenium nanoparticles
(SeNPs) on the Eimeria parasite. The aim of this study, therefore, was to
evaluate the ameliorative effect of SeNPs compared with elemental selenium on
mice jejunum infected with sporulated oocysts of Eimeria papillata. Methods: The
mice were divided into 4 groups, with the first being the non-infected, control
group, and the second, third, and fourth groups being orally inoculated with
1,000 sporulated oocysts of E. papillata. The third and fourth groups also
received, respectively, an oral dose of 0.1 mg/kg sodium selenite and 0.5 mg/kg
SeNPs daily for 5 consecutive days. Results: The infection induced severe
histopathological jejunal damage, reflected in the form of destroyed jejunal
mucosa, increased jejunal oxidative damage, a reduction in the number of jejunal
goblet cells, and increased production of pro-inflammatory cytokines, quantified
by real-time polymerase chain reaction. Treatment of mice with SeNPs
significantly decreased the oocyst output in the feces by ~80%. Furthermore, the
number of parasitic stages counted in stained jejunal paraffin sections was
significantly decreased after the mice were treated with SeNPs. In addition, the
number of goblet cells increased from 42.6+/-7.3 to 95.3+/-8.5 cells/10 villus
crypt units after treatment. By day 5 post-infection with E. papillata, SeNPs
could be seen to have significantly increased the activity of glutathione
peroxidase from 263+/-10 to 402.4+/-9 mU/mL. Finally, SeNPs were able to regulate
the gene expression of mucin 2, interleukin 1beta, interleukin 6, interferon
gamma, and tumor necrosis factor alpha in the jejunum of mice infected with E.
papillata. Conclusion: The results collectively showed that SeNPs are more
effective than sodium selenite with regard to their anti-coccidial, anti-oxidant,
and anti-inflammatory role against eimeriosis induced in the jejunum of mice.
PMID- 29662313
TI - Inhibition of H1N1 influenza virus-induced apoptosis by functionalized selenium
nanoparticles with amantadine through ROS-mediated AKT signaling pathways.
AB - Introduction: As a therapeutic antiviral agent, the clinical application of
amantadine (AM) is limited by the emergence of drug-resistant viruses. To
overcome the drug-resistant viruses and meet the growing demand of clinical
diagnosis, the use of biological nanoparticles (NPs) has increased in order to
develop novel anti-influenza drugs. The antiviral activity of selenium NPs with
low toxicity and excellent activities has attracted increasing attention for
biomedical intervention in recent years. Methods and results: In the present
study, surface decoration of selenium NPs by AM (Se@AM) was designed to reverse
drug resistance caused by influenza virus infection. Se@ AM with less toxicity
remarkably inhibited the ability of H1N1 influenza to infect host cells through
suppression of the neuraminidase activity. Moreover, Se@AM could prevent H1N1
from infecting Madin Darby Canine Kidney cell line and causing cell apoptosis
supported by DNA fragmentation and chromatin condensation. Furthermore, Se@AM
obviously inhibited the generation of reactive oxygen species and activation of
phosphorylation of AKT. Conclusion: These results demonstrate that Se@AM is a
potentially efficient antiviral pharmaceutical agent for H1N1 influenza virus.
PMID- 29662314
TI - Pretreatment of daily teriparatide enhances the increase of bone mineral density
in cortical bones by denosumab therapy.
AB - Background: While it is well known that teriparatide (TPTD) increases bone
mineral density (BMD) in osteoporotic patients, it is unknown whether TPTD
pretreatment affects BMD after denosumab (DMAb) therapy. Methods: Fifty-seven
patients in TPTD-pretreated group and 35 patients in DMAb-alone group had been
further analyzed, all of whom were treated by DMAb for 1.5 years. Vitamin D (400
IU) and Ca (600 mg) supplementation was used in all patients. The BMD of lumbar 1
4 vertebrae (L-BMD), bilateral total hips (H-BMD), and bilateral femoral neck (FN
BMD) was quantified at first visit, and at 4, 8, 12, and 18 months after daily
TPTD treatment following four times DMAb treatment. Results: There were
significant differences in L-BMD (p=0.004) and H-BMD (p=0.026) at baseline
between TPTD-pretreated and DMAb-alone groups, although there was no significant
difference in FN-BMD between the two groups. The increase of L-BMD by DMAb
therapy was less in TPTD-pretreated group than in DMAb-alone group. There was no
significant difference in H-BMD, although percent changes of H-BMD tended to be
higher in the TPTD-pretreated group than those in the DMAb-alone group. Percent
change in FN-BMD at 4 months (p=0.067) and 12 months (p=0.057) tended to be
higher in TPTD-pretreated group than in DMAb-alone group. Percent change in FN
BMD at 18 months was significantly higher in TPTD-pretreated group (p=0.004) than
in DMAb-alone group. Conclusion: These findings suggest that the pretreatment of
TPTD might have enhanced the increase of BMD in cortical bones treated by DMAb.
Thus, it is favorable that TPTD can be used for osteoporotic patients who have
high fracture risks with cortical bones.
PMID- 29662315
TI - Pitfalls in the ankle-brachial index and brachial-ankle pulse wave velocity.
AB - Background: The ankle-brachial index (ABI) and pulse wave velocity (PWV) are
indices of atherosclerosis and arterial stiffness. The Japan-made measuring
devices of those indices have spread widely because of their convenience and the
significance of the parameters. However, studies that comprehensively discuss the
various pitfalls in using these indices are not available. Methods: This study
presents several representative pitfalls in using the ABI and brachial-ankle PWV
(baPWV) by showing the result sheets of the device, "the Vascular Profiler".
Furthermore, some considerations when utilizing these indices in the future are
also discussed. Results: Several diseases such as arteriosclerosis obliterans
(ASO), arterial calcification in the lower limb, arterial stenosis in the right
upper-limb, aortic valve diseases, arterial stenosis in the upper-limb of the
contralateral side of the hemodialysis access, are the representative pitfalls
when evaluating ABI and baPWV. Moreover, a measurement error is found to actually
exist. Furthermore, same phenomena are considered most likely to occur when using
other similar indices and devices. Conclusion: The ABI and baPWV are the useful
and significant biomarkers. Nevertheless, caution is sometimes necessary when
interpreting them. Moreover, rigorous patient exclusion criteria should be
considered when using those indices in the severely conditioned patient
population. And the results of this study can be applied to enhance the literacy
using other indices, such as the cardio-ankle vascular index and other similar
devices.
PMID- 29662316
TI - Metformin inhibits proliferation and cytotoxicity and induces apoptosis via AMPK
pathway in CD19-chimeric antigen receptor-modified T cells.
AB - Background: CD19-chimericantigen receptor (CAR) modified T cells (CD19-CAR T
cells) have been well documented to possess potent anti-tumor properties against
CD19-expressingleukemia cells. As a traditional medicine, metformin has been
widely used to treat type II diabetes mellitus and more recently has become a
candidate for the treatment of cancer. However, no report has revealed the direct
effect of metformin on CD19-CAR T cell biological function and its underling
mechanisms. Purpose: The purpose of this research was to explore the effect of
metformin on CD19-CAR T cell biological function and the mechanisms involved.
Methods: CD19-CAR T cells proliferation, apoptosis and cytotoxicity were mainly
tested by CCK-8 assay, flow cytometry and ELISA. The detection of mechanism
primarily used western blot. Bioluminescence imaging is the main application
technology of animal studies. Results: In the current study, it was found that
metformin inhibited CD19-CAR T cell proliferation and cytotoxicity and induced
apoptosis. Furthermore, our study revealed that metformin activated AMPK and
suppressed mTOR and HIF1alpha expression. By using an AMPK inhibitor, compound C,
we demonstrated the crucial roles of AMPK in CD19-CAR T cells when they were
treated with metformin. Finally, we verified that metformin suppressed the
cytotoxicity of CD19-CAR T cell in vivo. Conclusion: Taken together, these
results indicated that metformin may play an important role in modulating CD19
CAR T cell biological functions in an AMPK-dependent and mTOR/HIF1alpha
independent manner.
PMID- 29662317
TI - Association between WWOX and the risk of malignant tumor, especially among
Asians: evidence from a meta-analysis.
AB - Purpose: Many studies have been carried out to examine whether there are
associations between WWOX polymorphisms (rs3764340 C>G, rs12918952 G>A, and
rs383362 G>T) and malignant tumor risk, but the results from these studies
remained inconsistent and even controversial. In the present study, we performed
a meta-analysis to evaluate the relationships comprehensively. Methods: Published
reports were searched in PubMed, Google Scholar, and Chinese National Knowledge
Infrastructure databases. Eight eligible case-control studies were included in
the final analysis. In the analysis, pooled odds ratios (ORs) with corresponding
95% CIs were calculated in five genetic models to assess the genetic risk.
Egger's regression and Begg's funnel plots test were conducted to appraise the
publication bias. Results: We found that rs12918952 G>A and rs383362 G>T
polymorphisms were not associated with the susceptibility of malignant tumor.
However, a significant correlation was found between WWOX rs3764340 C>G and
malignant tumor risk in three genetic models (CG vs CC: OR=1.31, 95% CI: 1.12
1.53, P=0.031; GG/CG vs CC: OR=1.31, 95% CI: 1.11-1.54, P=0.014; G vs C: OR=1.28,
95% CI: 1.09-1.50, P=0.009). Furthermore, when stratified by source of control,
the results were significant especially in population-based control for
rs3764340. Conclusion: In general, our results first indicated that the rs3764340
C>G polymorphism in WWOX gene can increase the susceptibility of tumor, while the
others cannot. However, large, well-designed epidemiological studies are required
to verify our findings.
PMID- 29662318
TI - Rare malignant insulinoma with multiple liver metastases derived from ectopic
pancreas: 3-year follow-up and literature review.
AB - Here, we report the diagnosis and treatment of a very rare case of malignant
insulinoma derived from ectopic pancreas. A middle-aged woman presented with a 6
year history of recurrent hypoglycemia with multiple lesions in liver. Admission
workup revealed elevated serum insulin and C-peptide, as well as multiple lesions
in the liver (largest being 4.3 cm), enlarged lymph nodes around the pancreas,
and a lesion (of 3.5 cm) at the proximal jejunum, as shown by contrast computed
tomography (CT). Liver biopsy showed the lesions to be well-differentiated
neuroendocrine tumors, grade G1. 68Gallium-exendin-4 positron emission
tomography/CT confirmed the origin as the lesion located at the jejunum. The
combination treatment of everolimus plus long-acting octreotide relieved symptoms
and achieved a partial tumor response. Maintenance treatment of the somatostatin
analog (ie, octreotide) alone was administered. Three years of follow-up, up to
the writing of this report, showed good survival, with the patient remaining
asymptomatic and euglycemic without disease progression. This case shows that
68Gallium-exendin-4 positron emission tomography/CT is useful for locating
insulinoma, especially for insulinoma derived from ectopic pancreas, and that
everolimus plus octreotide with maintenance somatostatin analog alone is an
effective drug strategy for treating inoperable malignant insulinoma.
PMID- 29662319
TI - Clinicopathological characteristics and prognostic value of the cancer stem cell
marker ALDH1 in ovarian cancer: a meta-analysis.
AB - Background: The clinicopathological and prognostic values of the cancer stem cell
marker aldehyde dehydrogenase 1 (ALDH1) in ovarian cancer (OC) remain unknown.
The aim of our meta-analysis was to evaluate ALDH1's association with
clinicopathological characteristics and its prognostic significance in patients
with OC. Materials and methods: PubMed, Embase, and China Biology Medicine were
systematically searched for eligible studies (up to October 2017). Pooled odds
ratios (ORs) or hazard ratios (HRs) with 95% CIs were used to evaluate the
association of ALDH1 expression with clinicopathological features and survival
outcomes. Results: A total of 17 papers (18 studies) that included 2,531 patients
with OC were analyzed. The results showed a significant association between
increasing ALDH1 expression and International Federation of Gynecology and
Obstetrics stage (OR 2.02, 95% CI 1.16-3.52), lymph node metastasis (OR 1.91, 95%
CI 1.01-3.61), and distant metastasis (OR 5.43, 95% CI 1.44-20.42) in OC.
However, no significant correlation was found between increasing ALDH1 expression
and age (OR 0.90, 95% CI 0.25-3.28), tumor size (OR 1.13, 95% CI 0.75-1.71),
tumor location (OR 0.69, 95% CI 0.22-2.13), ascite status (OR 0.74, 95% CI 0.49
1.11), resistance status (OR 0.70, 95% CI 0.14-3.51), or clinicopathological type
(OR 1.14, 95% CI 0.69-1.86). Moreover, a high ALDH1 expression was significantly
associated with overall survival (HR 1.56, 95% CI 1.21-2.02) but not with disease
free survival (HR 1.38, 95% CI 0.99-1.93). Conclusion: The meta-analysis
indicates that increasing ALDH1 predicts poor prognosis and clinicopathological
characteristics in OC. Future studies are needed to explore tailored treatments
that directly target ALDH1 for the improvement of survival in OC.
PMID- 29662320
TI - Omalizumab for severe asthma: toward personalized treatment based on biomarker
profile and clinical history.
AB - Asthma is a heterogeneous syndrome with numerous underlining molecular and
inflammatory mechanisms contributing to the wide spectrum of clinical phenotypes.
Multiple therapies targeting severe asthma with type 2 (T2) high inflammation are
or soon will be available. T2 high inflammation is defined as inflammation
associated with atopy or eosinophilia or an increase in cytokines associated with
T-helper 2 lymphocytes. Omalizumab is a humanized anti-IgE monoclonal antibody
and the first biologic therapy approved for moderate-severe allergic asthma.
Despite the specificity of biologic therapies like omalizumab, clinical response
is variable, with approximately 50% of treated patients achieving the primary
outcome. A prior identification of the ideal candidate for therapy would improve
patient outcomes and optimize the use of health care resources. As the number of
biologic therapies for asthma increases, the goal is identification of biomarkers
or clinical phenotypes likely to respond to a specific therapy. This review
focuses on potential biomarkers and clinical history that may identify responders
to omalizumab therapy for asthma.
PMID- 29662321
TI - Serum hepatitis B core antibody levels predict HBeAg seroconversion in chronic
hepatitis B patients with high viral load treated with nucleos(t)ide analogs.
AB - Background: Patients with chronic hepatitis B virus (HBV) infection who are
hepatitis B virus e antigen (HBeAg) positive are increasingly being treated with
nucleos(t)ide analogs (NUCs). However, the predictive value of serum hepatitis B
virus core antibody (HBcAb) levels for HBeAg seroconversion among patients with
high viral load remains unclear. Methods: This study consisted of 74 patients
with high viral load (HBV DNA >1 * 107 copies/mL) enrolled in a multicenter,
randomized, controlled trial, treated with lamivudine and adefovir (N = 32) or
entecavir (N = 42) for up to 96 weeks. Serum HBV DNA, quantitative hepatitis B
virus surface antigen (HBsAg), HBeAg, and HBeAb was tested at each visit.
Quantitative HBcAb evaluation was conducted for all the available samples in the
trial, by using a newly developed double-sandwich anti-HBc immunoassay. Results:
Serum HBcAb levels were significantly higher in patients with a serum alanine
aminotransferase (ALT) level more than five times the upper limit of normal (ULN)
compared with patients with ALT levels within 5 * ULN (4.25 +/- 0.61 vs. 3.94 +/-
0.47 log10 IU/mL, P = 0.0345). Patients with HBeAg seroconversion were associated
with a higher level of HBcAb at baseline, compared with those without HBeAg
seroconversion (4.38 +/- 0.54 vs. 4.02 +/- 0.58 log10 IU/mL, P = 0.029). The area
under receiver operating characteristic curve of baseline HBcAb for HBeAg
seroconversion was 0.71 (95% CI: 0.55-0.86, P = 0.013). When the baseline HBcAb
level was >4.375 log10 IU/mL, the sensitivity and specificity to predict HBeAg
seroconversion at week 96 were 62.5% and 74.2%, and the positive likelihood ratio
(LR) and negative LR were 2.42 and 0.51, respectively. The multivariate analysis
result indicated that baseline serum HBcAb level was the only independent
predictor for HBeAg seroconversion at week 96, with an odds ratio of 4.78.
Conclusion: Baseline serum HBcAb level >4.375 log10 IU/mL could satisfactorily
predict HBeAg seroconversion among patients with high viral load treated with
NUC.
PMID- 29662322
TI - A comparative trial of ice application versus EMLA cream in alleviation of pain
during botulinum toxin injections for palmar hyperhidrosis.
AB - Background: Botulinum toxin is a safe and effective therapy for palmar
hyperhidrosis, but the associated pain from injections limits the usefulness of
this method of treatment. Purpose: To evaluate the efficacy of Eutectic Mixture
of Local Anesthetics (EMLA) cream versus ice application in alleviation of pain
during botulinum toxin injections for palmar hyperhidrosis. Methods: In this
prospective study, 23 patients underwent palm Botox injections to treat their
excessive sweating. In each patient, EMLA cream was applied to one palm and ice
was applied directly before the injections in the other palm. Pain was evaluated
using a Visual Analog Scale. Results: Statistically, there was a significant
difference in pain control between EMLA cream group and ice application group
(p<0.05). The average pain score on the hands where EMLA cream was applied was
8.9 (SD=0.81), whereas it was 4.8 (+/-0.9) in the ice group. Conclusion: In this
study, the successful use of ice application in reducing pain by 40% in
comparison to EMLA cream during Botox toxin injection for palmar hyperhidrosis is
demonstrated.
PMID- 29662323
TI - Investigation of chronic efficacy and safety profile of two potential anti
inflammatory bipyrazole-based compounds in experimental animals.
AB - Purpose: Although nonsteroidal anti-inflammatory drugs are widely used to treat a
variety of disorders, their administration is associated with gastrointestinal
side effects, acute kidney injury and liver enzymes' elevation. Accordingly,
researchers are encouraged to create novel agents with better safety profile. The
aim of the current study was to evaluate the chronic efficacy and safety profile
of two compounds previously proven to have acceptable acute anti-inflammatory and
analgesic activities. Materials and methods: Doses were determined through
formalin-induced mice paw edema-based dose-response curves. Granuloma weight was
used to assess the chronic effect of the investigated compounds as compared to
the vehicle and diclofenac representing the positive and the negative controls,
respectively. Mice kidneys, livers and stomachs were histologically examined.
Moreover, troponin I, alanine aminotransferase, aspartate aminotransferase, serum
creatinine and blood urea nitrogen levels were measured. Results: The results
highlight that the granulomas and exudates developed in mice after 7 days of
treatment, with compound I and compound II were significantly lower than that of
the negative control group. Moreover, compound I showed significantly better anti
inflammatory effect than diclofenac. Troponin level was undetected in all groups.
Histopathological examination of the stomach revealed normal mucosa for both
tested compounds and controls. Likewise, kidneys showed neither significant
histologic alteration nor biomarkers increase as compared to the control over
both 7- and 30-day treatment periods. Mice that received the tested compounds or
diclofenac exhibited transient liver damage specifically; congestion,
vacuolization, necrosis and inflammation after 7 days of treatment which
decreased significantly after 30 days of treatment as emphasized by the Suzuki
score and biomarker levels. Conclusion: Since the tested compounds, specifically
compound I, presented a satisfactory chronic safety profile as well as anti
inflammatory effect, it is worth conducting further molecular pharmacological,
toxicological and bioavailability studies to elucidate the efficacy of these
potential anti-inflammatory bipyrazole compounds.
PMID- 29662324
TI - Clinical and molecular genetic features of Hb H and AE Bart's diseases in central
Thai children.
AB - Background: alpha-Thalassemia, one of the major thalassemia types in Thailand, is
caused by either deletion or non-deletional mutation of one or both alpha-globin
genes. Inactivation of three alpha-globin genes causes hemoglobin H (Hb H)
disease, and the combination of Hb H disease with heterozygous hemoglobin E (Hb
E) results in AE Bart's disease. Objective: This study aimed to characterize the
clinical and hematological manifestations of 76 pediatric patients with Hb H and
AE Bart's diseases treated at Phramongkutklao Hospital, a tertiary care center
for thalassemia patients in central Thailand. Patients and methods: Seventy-six
unrelated pediatric patients, 58 patients with Hb H disease and 18 patients with
AE Bart's disease, were enrolled in this study. Their clinical presentations,
transfusion requirement, laboratory findings, and mutation analysis were
retrospectively reviewed and analyzed. Results: A total of 76 pediatric patients
with Hb H and AE Bart's diseases who mainly lived in central Thailand were
included in this study. The clinical severities of patients with non-deletional
mutations were more severe than those with deletional mutations. Eighty-six
percent of patients with non-deletional AE Bart's disease required more blood
transfusion compared to 12.5% of patients with deletional AE Bart's disease. Non
deletional AE Bart's disease also had a history of urgent blood transfusion with
the average of 6+/-0.9 times compared to 1+/-0.3 times in patients with
deletional Hb H disease. The difference was statistically significant.
Conclusion: This study revealed the differences in clinical spectrum between
patients with Hb H disease and those with AE Bart's disease in central Thailand.
The differentiation of alpha-thalassemia is essential for appropriate management
of patients. The molecular diagnosis is useful for diagnostic confirmation and
genotype-phenotype correlation.
PMID- 29662325
TI - Sonic hedgehog signaling in spinal cord contributes to morphine-induced
hyperalgesia and tolerance through upregulating brain-derived neurotrophic factor
expression.
AB - Purpose: Preventing opioid-induced hyperalgesia and tolerance continues to be a
major clinical challenge, and the underlying mechanisms of hyperalgesia and
tolerance remain elusive. Here, we investigated the role of sonic hedgehog (Shh)
signaling in opioid-induced hyperalgesia and tolerance. Methods: Shh signaling
expression, behavioral changes, and neurochemical alterations induced by morphine
were analyzed in male adult CD-1 mice with repeated administration of morphine.
To investigate the contribution of Shh to morphine-induced hyperalgesia (MIH) and
tolerance, Shh signaling inhibitor cyclopamine and Shh small interfering RNA
(siRNA) were used. To explore the mechanisms of Shh signaling in MIH and
tolerance, brain-derived neurotrophic factor (BDNF) inhibitor K252 and anti-BDNF
antibody were used. Results: Repeated administration of morphine produced obvious
hyperalgesia and tolerance. The behavioral changes were correlated with the
upregulation and activation of morphine treatment-induced Shh signaling.
Pharmacologic and genetic inhibition of Shh signaling significantly delayed the
generation of MIH and tolerance and associated neurochemical changes. Chronic
morphine administration also induced upregulation of BDNF. Inhibiting BDNF
effectively delayed the generation of MIH and tolerance. The upregulation of BDNF
induced by morphine was significantly suppressed by inhibiting Shh signaling. In
naive mice, exogenous activation of Shh signaling caused a rapid increase of BDNF
expression, as well as thermal hyperalgesia. Inhibiting BDNF significantly
suppressed smoothened agonist-induced hyperalgesia. Conclusion: These findings
suggest that Shh signaling may be a critical mediator for MIH and tolerance by
regulating BDNF expression. Inhibiting Shh signaling, especially during the early
phase, may effectively delay or suppress MIH and tolerance.
PMID- 29662326
TI - CD133 Promotes Adhesion to the Ovarian Cancer Metastatic Niche.
AB - Cancer stem cells (CSCs) are an attractive therapeutic target due to their
predicted role in both metastasis and chemoresistance. One of the most commonly
agreed on markers for ovarian CSCs is the cell surface protein CD133. CD133+
ovarian CSCs have increased tumorigenicity, resistance to chemotherapy, and
increased metastasis. Therefore, we were interested in defining how CD133 is
regulated and whether it has a role in tumor metastasis. Previously we found that
overexpression of the transcription factor, ARID3B, increased the expression of
PROM1 (CD133 gene) in ovarian cancer cells in vitro and in xenograft tumors. We
report that ARID3B directly regulates PROM1 expression. Importantly, in a
xenograft mouse model of ovarian cancer, knockdown of PROM1 in cells expressing
exogenous ARID3B resulted in increased survival time compared with cells
expressing ARID3B and a control short hairpin RNA. This indicated that ARID3B
regulation of PROM1 is critical for tumor growth. Moreover, we hypothesized that
CD133 may affect metastatic spread. Given that the peritoneal mesothelium is a
major site of ovarian cancer metastasis, we explored the role of PROM1 in
mesothelial attachment. PROM1 expression increased adhesion to mesothelium in
vitro and ex vivo. Collectively, our work demonstrates that ARID3B regulates
PROM1 adhesion to the ovarian cancer metastatic niche.
PMID- 29662327
TI - Spotlight on dabrafenib/trametinib in the treatment of non-small-cell lung
cancer: place in therapy.
AB - Advanced non-small-cell lung cancer (NSCLC) remains a challenging disease. The
limited utility of chemotherapy indicates the need for additional therapeutic
options. Targeted therapy continues to be an important tool in the treatment of
NSCLC. Mutations within the RAS-RAF-MEK-MAPK pathway, specifically the BRAF V600E
mutation, have become an important target for the subset of NSCLC patients with
this mutation. This paper summarizes the clinical evidence that lead to the
recent approval of the combination of dabrafenib and trametinib to treat patients
with advanced NSCLC who harbor a BRAF V600E mutation.
PMID- 29662328
TI - Hyporeninemic hypoaldosteronism in a patient with diabetes mellitus: an
unforgettable case report.
AB - A 58-year-old man presented with a 3-year history of chronic and intermittent
hyperkalemia requiring recurrent attendances to the emergency department for
urgent treatment. His medical history included secondary diabetes mellitus
following a bout of acute pancreatitis and a previous splenectomy for a
spontaneous splenic rupture. He also had a history of prolonged use of non
steroidal anti-inflammatory drugs for back pain and painful neuropathy. He was
not on any medication or diet that would cause a raised serum potassium level and
his renal function was normal. He was on a basal-bolus insulin regimen but his
diabetes control had been poor for several years. As the hyperkalemia had gone on
for so long in the presence of normal renal function, he went on to have further
tests. Adrenal insufficiency had been ruled out following a short Synacthen test.
Further investigations revealed low serum aldosterone levels and inappropriately
low serum renin levels in the presence of hyperkalemia. This was suggestive of
hyporeninemic hypoaldosteronism (HH). He was then treated with fludrocortisone
and furosemide and his serum potassium levels remained normal. Additionally, he
did not require any more emergency admissions to treat hyperkalemia thereafter.
It was concluded that the HH-induced hyperkalemia was caused by diabetes mellitus
or due to a combination of diabetes and prolonged use of non-steroidal anti
inflammatory drugs. The absence of renal impairment may have contributed to the
delay in diagnosis. HH is a commonly overlooked cause of hyperkalemia. This case
highlights the fact that it should always be suspected when unexplained
hyperkalemia is found in patients with only mild-moderately impaired renal
function, especially in the presence of diabetes mellitus.
PMID- 29662329
TI - Diagnosis and staging of fibrosis in patients with chronic hepatitis C:
comparison and critical overview of current strategies.
AB - In the past years, what has always been considered undisputed true in liver
fibrosis staging has been challenged. Diagnostic performance of histological
evaluation has proven to be significantly influenced by sample- and observer
related variabilities. Differentiation between lower levels of fibrosis remains
difficult for many, if not all, test modalities, including liver biopsy but,
perhaps, such a distinction is not indispensable in light of current therapeutic
approaches. Biomarkers and elastography offer, nonetheless, high predictive
values for advanced fibrosis and cirrhosis and correlate well with liver-related
outcomes. Necroinflammation, steatosis, and hemodynamic changes may significantly
interfere with elastography-based techniques, and longitudinal follow-up
strategies must be tailored in light of these findings. Knowledge of different
test modalities and diagnostic performance indicators can allow for better
clinical decision-making and resource allocation.
PMID- 29662330
TI - Development and validation of MyLifeTracker: a routine outcome measure for youth
mental health.
AB - Purpose: Routine outcome measures are now being designed for session-by-session
use, with emphasis on clinically meaningful items and sensitivity to change.
Despite an increasing mental health service focus for young people aged 12-25
years, there is a lack of outcome measures that are designed to be used across
this age group. Consequently, MyLifeTracker (MLT) was developed as a brief mental
health outcome measure designed for young people for routine use. It consists of
the following five items targeting areas of importance to young people: general
well-being, day-to-day activities, relationships with friends, relationships with
family, and general coping. Participants and methods: The measure was tested with
75,893 young people aged 12-25 years attending headspace centers across Australia
for mental health-related issues. Results: MLT showed a robust unidimensional
factor structure and appropriate reliability. It exhibited good concurrent
validity against well-validated measures of psychological distress, well-being,
functioning, and life satisfaction. The measure was further demonstrated to be
sensitive to change. Conclusion: MLT provides a psychometrically sound mental
health outcome measure for young people. The measure taps into items that are
meaningful to young people and provides an additional clinical support tool for
clinicians and clients during therapy. The measure is brief and easy to use and
has been incorporated into an electronic system that routinely tracks session-by
session change and produces time-series charts for the ease of use and
interpretation.
PMID- 29662331
TI - Psychosocial distress and the preferred method of delivery of mind-body
interventions among patients with head-and-neck cancer.
AB - Objective: To describe the psychosocial distress of head-and-neck cancer patients
at the completion of therapy and the interest in and the preferred method of
delivery of mind-body interventions (MBIs) among head-and-neck cancer patients.
Materials and methods: A descriptive, cross-sectional design was used to measure
sleep disturbance, depression, anxiety, and the interest in and the preference
for MBIs using anonymous, self-report questionnaires among a convenience sample
of 30 males at their 3-month follow-up. Questionnaires included the Pittsburgh
Sleep Quality Index, Hospital Anxiety and Depression Scale, and the self-created
Survey for Preferred Methods of MBI. Frequency distributions and descriptive
statistics were used to describe the sample demographic and clinical
characteristics. Results: The mean age of the sample was 59 years. Oral cancer
(63%) was the most common type of cancer. Nineteen participants (63%, 90% CI 47%
78%) had some interest in MBIs. Of interested participants, 8 (42%) preferred
participating in MBIs alone, 10 (53%) preferred participating in MBIs at homes,
10 (53%) preferred participating in MBIs using a computer or mobile device, and 8
(42%) preferred participating in MBIs after the diagnosis, but before treatment
started. Mean depression, anxiety, and sleep disturbance scores were 8.25 (SD
2.93), 5.41 (SD 3.52), and 6.3 (SD 3.86), respectively. Results from the
independent-samples t-test and Mann-Whitney U tests revealed no significant
differences in anxiety, depression, and sleep disturbance by MBI interest.
Conclusion: Asking about depression, anxiety, and sleep disturbances may help to
identify head-and-neck cancer patients at risk for psychosocial distress. These
findings suggest an interest in MBIs, but further research is warranted.
PMID- 29662332
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1177/1179552217711430.].
PMID- 29662333
TI - The Prevalence of Hypovitaminosis D and Its Associated Risk Factors Among Women
of Reproductive Age in Saudi Arabia: A Systematic Review and Meta-Analysis.
AB - Vitamin D deficiency is currently a worldwide epidemic. Middle Eastern countries,
including Saudi Arabia, have high vitamin D deficiency prevalence, most
prominently among women, despite their plentiful year-round sunshine. Previous
research investigating vitamin D status among Saudi women of reproductive age (15
49 years) is scarce, and no study has used a nationally representative sample, so
this review quantified overall hypovitaminosis D prevalence among women in Saudi
Arabia and explored the associated risk factors. The Web of Science, Scopus, and
Medline databases were searched for prior studies in Saudi Arabia exploring
vitamin D status among women of reproductive age, published between January 1,
2000 and May 25, 2017. Data were extracted from the identified studies, and a
random effects model meta-analysis established the overall hypovitaminosis D
prevalence. The initial search yielded 223 possibly relevant articles; 13 were
confirmed as eligible, with samples totaling 2877 women aged between 15 and 49
years. Meta-analysis revealed a mean serum 25-hydroxyvitamin D, 25(OH)D, level of
13.1 ng/mL (95% confidence interval [CI]: 11.6-14.6) and an overall prevalence of
hypovitaminosis D, defined as 25(OH)D < 30 ng/mL, of 77.4% (95% CI: 63.2-87.3),
mostly due to insufficient sunlight exposure and low dietary vitamin D intake.
There is therefore a need for a national strategy to raise vitamin D levels among
women in Saudi Arabia by advising them on natural vitamin D sources, and
recommending the timing and duration of sun exposure, while also defining a
national approach to vitamin D fortification and supplementation.
PMID- 29662334
TI - Continuum modelling of segregating tridisperse granular chute flow.
AB - Segregation and mixing of size multidisperse granular materials remain
challenging problems in many industrial applications. In this paper, we apply a
continuum-based model that captures the effects of segregation, diffusion and
advection for size tridisperse granular flow in quasi-two-dimensional chute flow.
The model uses the kinematics of the flow and other physical parameters such as
the diffusion coefficient and the percolation length scale, quantities that can
be determined directly from experiment, simulation or theory and that are not
arbitrarily adjustable. The predictions from the model are consistent with
experimentally validated discrete element method (DEM) simulations over a wide
range of flow conditions and particle sizes. The degree of segregation depends on
the Peclet number, Pe, defined as the ratio of the segregation rate to the
diffusion rate, the relative segregation strength kappaij between particle
species i and j, and a characteristic length L, which is determined by the
strength of segregation between smallest and largest particles. A parametric
study of particle size, kappaij , Pe and L demonstrates how particle segregation
patterns depend on the interplay of advection, segregation and diffusion.
Finally, the segregation pattern is also affected by the velocity profile and the
degree of basal slip at the chute surface. The model is applicable to different
flow geometries, and should be easily adapted to segregation driven by other
particle properties such as density and shape.
PMID- 29662335
TI - Vibration of carbon nanotubes with defects: order reduction methods.
AB - Order reduction methods are widely used to reduce computational effort when
calculating the impact of defects on the vibrational properties of nearly
periodic structures in engineering applications, such as a gas-turbine bladed
disc. However, despite obvious similarities these techniques have not yet been
adapted for use in analysing atomic structures with inevitable defects. Two order
reduction techniques, modal domain analysis and modified modal domain analysis,
are successfully used in this paper to examine the changes in vibrational
frequencies, mode shapes and mode localization caused by defects in carbon
nanotubes. The defects considered are isotope defects and Stone-Wales defects,
though the methods described can be extended to other defects.
PMID- 29662336
TI - Random distributions of initial porosity trigger regular necking patterns at high
strain rates.
AB - At high strain rates, the fragmentation of expanding structures of ductile
materials, in general, starts by the localization of plastic deformation in
multiple necks. Two distinct mechanisms have been proposed to explain multiple
necking and fragmentation process in ductile materials. One view is that the
necking pattern is related to the distribution of material properties and
defects. The second view is that it is due to the activation of specific
instability modes of the structure. Following this, we investigate the emergence
of necking patterns in porous ductile bars subjected to dynamic stretching at
strain rates varying from 103 s-1 to 0.5*105 s-1 using finite-element
calculations and linear stability analysis. In the calculations, the initial
porosity (representative of the material defects) varies randomly along the bar.
The computations revealed that, while the random distribution of initial porosity
triggers the necking pattern, it barely affects the average neck spacing,
especially, at higher strain rates. The average neck spacings obtained from the
calculations are in close agreement with the predictions of the linear stability
analysis. Our results also reveal that the necking pattern does not begin when
the Considere condition is reached but is significantly delayed due to the
stabilizing effect of inertia.
PMID- 29662337
TI - Localization in semi-infinite herringbone waveguides.
AB - The paper includes novel results for the scattering and localization of a time
harmonic flexural wave by a semi-infinite herringbone waveguide of rigid pins
embedded within an elastic Kirchhoff plate. The analytical model takes into
account the orientation and spacing of the constituent parts of the herringbone
system, and incorporates dipole approximations for the case of closely spaced
pins. Illustrative examples are provided, together with the predictive
theoretical analysis of the localized waveforms.
PMID- 29662338
TI - Effects of geometric nonlinearity in an adhered microbeam for measuring the work
of adhesion.
AB - Design against adhesion in microelectromechanical devices is predicated on the
ability to quantify this phenomenon in microsystems. Previous research related
the work of adhesion for an adhered microbeam to the beam's unadhered length, and
as such, interferometric techniques were developed to measure that length. We
propose a new vibration-based technique that can be easily implemented with
existing atomic force microscopy tools or similar metrology systems. To make such
a technique feasible, we analysed a model of the adhered microbeam using the
nonlinear beam theory put forth by Woinowsky-Krieger. We found a new relation
between the work of adhesion and the unadhered length; this relation is more
accurate than the one by Mastrangelo & Hsu (Mastrangelo & Hsu 1993 J.
Microelectromech. S., 2, 44-55. (doi:10.1109/84.232594)) which is commonly used.
Then, we derived a closed-form approximate relationship between the microbeam's
natural frequency and its unadhered length. Results obtained from this analytical
formulation are in good agreement with numerical results from three-dimensional
nonlinear finite-element analysis.
PMID- 29662339
TI - Nonlinear dynamics of a dispersive anisotropic Kuramoto-Sivashinsky equation in
two space dimensions.
AB - A Kuramoto-Sivashinsky equation in two space dimensions arising in thin film
flows is considered on doubly periodic domains. In the absence of dispersive
effects, this anisotropic equation admits chaotic solutions for sufficiently
large length scales with fully two-dimensional profiles; the one-dimensional
dynamics observed for thin domains are structurally unstable as the transverse
length increases. We find that, independent of the domain size, the
characteristic length scale of the profiles in the streamwise direction is about
10 space units, with that in the transverse direction being approximately three
times larger. Numerical computations in the chaotic regime provide an estimate
for the radius of the absorbing ball in [Formula: see text] in terms of the
length scales, from which we conclude that the system possesses a finite energy
density. We show the property of equipartition of energy among the low Fourier
modes, and report the disappearance of the inertial range when solution profiles
are two-dimensional. Consideration of the high-frequency modes allows us to
compute an estimate for the analytic extensibility of solutions in [Formula: see
text]. We also examine the addition of a physically derived third-order
dispersion to the problem; this has a destabilizing effect, in the sense of
reducing analyticity and increasing amplitude of solutions. However, sufficiently
large dispersion may regularize the spatio-temporal chaos to travelling waves. We
focus on dispersion where chaotic dynamics persist, and study its effect on the
interfacial structures, absorbing ball and properties of the power spectrum.
PMID- 29662340
TI - On the theory of drainage area for regular and non-regular points.
AB - The drainage area is an important, non-local property of a landscape, which
controls surface and subsurface hydrological fluxes. Its role in numerous
ecohydrological and geomorphological applications has given rise to several
numerical methods for its computation. However, its theoretical analysis has
lagged behind. Only recently, an analytical definition for the specific catchment
area was proposed (Gallant & Hutchinson. 2011 Water Resour. Res.47, W05535.
(doi:10.1029/2009WR008540)), with the derivation of a differential equation whose
validity is limited to regular points of the watershed. Here, we show that such a
differential equation can be derived from a continuity equation (Chen et al. 2014
Geomorphology219, 68-86. (doi:10.1016/j.geomorph.2014.04.037)) and extend the
theory to critical and singular points both by applying Gauss's theorem and by
means of a dynamical systems approach to define basins of attraction of local
surface minima. Simple analytical examples as well as applications to more
complex topographic surfaces are examined. The theoretical description of
topographic features and properties, such as the drainage area, channel lines and
watershed divides, can be broadly adopted to develop and test the numerical
algorithms currently used in digital terrain analysis for the computation of the
drainage area, as well as for the theoretical analysis of landscape evolution and
stability.
PMID- 29662341
TI - Small nanoparticles, surface geometry and contact forces.
AB - In this molecular dynamics study, we examine the local surface geometric effects
of the normal impact force between two approximately spherical nanoparticles that
collide in a vacuum. Three types of surface geometries-(i) crystal facets, (ii)
sharp edges, and (iii) amorphous surfaces of small nanoparticles with radii R<10
nm-are considered. The impact forces are compared with their macroscopic
counterparts described by nonlinear contact forces based on Hertz contact
mechanics. In our simulations, edge and amorphous surface contacts with weak
surface energy reveal that the average impact forces are in excellent agreement
with the Hertz contact force. On the other hand, facet collisions show a linearly
increasing force with increasing compression. Our results suggest that the nearly
spherical nanoparticles are likely to enable some nonlinear dynamic phenomena,
such as breathers and solitary waves observed in granular materials, both
originating from the nonlinear contact force.
PMID- 29662342
TI - A canonical form of the equation of motion of linear dynamical systems.
AB - The equation of motion of a discrete linear system has the form of a second-order
ordinary differential equation with three real and square coefficient matrices.
It is shown that, for almost all linear systems, such an equation can always be
converted by an invertible transformation into a canonical form specified by two
diagonal coefficient matrices associated with the generalized acceleration and
displacement. This canonical form of the equation of motion is unique up to an
equivalence class for non-defective systems. As an important by-product, a damped
linear system that possesses three symmetric and positive definite coefficients
can always be recast as an undamped and decoupled system.
PMID- 29662343
TI - Post-buckling of a pressured biopolymer spherical shell with the mode
interaction.
AB - Imperfection sensitivity is essential for mechanical behaviour of biopolymer
shells characterized by high geometric heterogeneity. The present work studies
initial post-buckling and imperfection sensitivity of a pressured biopolymer
spherical shell based on non-axisymmetric buckling modes and associated mode
interaction. Our results indicate that for biopolymer spherical shells with
moderate radius-to-thickness ratio (say, less than 30) and smaller effective
bending thickness (say, less than 0.2 times average shell thickness), the
imperfection sensitivity predicted based on the axisymmetric mode without the
mode interaction is close to the present results based on non-axisymmetric modes
with the mode interaction with a small (typically, less than 10%) relative
errors. However, for biopolymer spherical shells with larger effective bending
thickness, the maximum load an imperfect shell can sustain predicted by the
present non-axisymmetric analysis can be significantly (typically, around 30%)
lower than those predicted based on the axisymmetric mode without the mode
interaction. In such cases, a more accurate non-axisymmetric analysis with the
mode interaction, as given in the present work, is required for imperfection
sensitivity of pressured buckling of biopolymer spherical shells. Finally, the
implications of the present study to two specific types of biopolymer spherical
shells (viral capsids and ultrasound contrast agents) are discussed.
PMID- 29662345
TI - Stochastic isotropic hyperelastic materials: constitutive calibration and model
selection.
AB - Biological and synthetic materials often exhibit intrinsic variability in their
elastic responses under large strains, owing to microstructural inhomogeneity or
when elastic data are extracted from viscoelastic mechanical tests. For these
materials, although hyperelastic models calibrated to mean data are useful,
stochastic representations accounting also for data dispersion carry extra
information about the variability of material properties found in practical
applications. We combine finite elasticity and information theories to construct
homogeneous isotropic hyperelastic models with random field parameters calibrated
to discrete mean values and standard deviations of either the stress-strain
function or the nonlinear shear modulus, which is a function of the deformation,
estimated from experimental tests. These quantities can take on different values,
corresponding to possible outcomes of the experiments. As multiple models can be
derived that adequately represent the observed phenomena, we apply Occam's razor
by providing an explicit criterion for model selection based on Bayesian
statistics. We then employ this criterion to select a model among competing
models calibrated to experimental data for rubber and brain tissue under single
or multiaxial loads.
PMID- 29662347
TI - Reviewers in 2017.
PMID- 29662344
TI - Manipulating nanoparticle transport within blood flow through external forces: an
exemplar of mechanics in nanomedicine.
AB - A large number of nanoparticles (NPs) have been raised for diverse biomedical
applications and some of them have shown great potential in treatment and imaging
of diseases. Design of NPs is essential for delivery efficacy due to a number of
biophysical barriers, which prevents the circulation of NPs in vascular flow and
their accumulation at tumour sites. The physiochemical properties of NPs, so
called '4S' parameters, such as size, shape, stiffness and surface
functionalization, play crucial roles in their life journey to be delivered to
tumour sites. NPs can be modified in various ways to extend their blood
circulation time and avoid their clearance by phagocytosis, and efficiently
diffuse into tumour cells. However, it is difficult to overcome these barriers
simultaneously by a simple combination of '4S' parameters for NPs. At this
moment, external triggerings are necessary to guide the movement of NPs, which
include light, ultrasound, magnetic field, electrical field and chemical
interaction. The delivery system can be constructed to be sensitive to these
external stimuli which can reduce the non-specific toxicity and improve the
efficacy of the drug-delivery system. From a mechanics point of view, we discuss
how different forces play their roles in the margination of NPs in blood flow and
tumour microvasculature.
PMID- 29662346
TI - DC dynamic pull-in instability of a dielectric elastomer balloon: an energy-based
approach.
AB - This paper reports an energy-based method for the dynamic pull-in instability
analysis of a spherical dielectric elastomer (DE) balloon subjected to a quasi
statically applied inflation pressure and a Heaviside step voltage across the
balloon wall. The proposed technique relies on establishing the energy balance at
the point of maximum stretch in an oscillation cycle, followed by the imposition
of an instability condition for extracting the threshold parameters. The material
models of the Ogden family are employed for describing the hyperelasticity of the
balloon. The accuracy of the critical dynamic pull-in parameters is established
by examining the saddle-node bifurcation in the transient response of the balloon
obtained by integrating numerically the equation of motion, derived using the
Euler-Lagrange equation. The parametric study brings out the effect of inflation
pressure on the onset of the pull-in instability in the DE balloon. A
quantitative comparison between the static and dynamic pull-in parameters at four
different levels of the inflation pressure is presented. The results indicate
that the dynamic pull-in instability gets triggered at electric fields that are
lower than those corresponding to the static instability. The results of the
present investigation can find potential use in the design and development of the
balloon actuators subjected to transient loading. The method developed is
versatile and can be used in the dynamic instability analysis of other
conservative systems of interest.
PMID- 29662348
TI - Cadmium-induced testicular damage in a rat model of subchronic intoxication.
AB - Background: Cadmium (Cd)-induced testicular damage in relation to spermatogenesis
has not been well studied. We studied the mechanism of Cd-induced testicular
damage in a rat model of subchronic intoxication. Methods: Male Sprague-Dawley
rats were subcutaneously injected with 0.6 mg Cd/kg per day for 6 weeks. The
concentration of Cd in urine, serum and testes was measured by using atomic
absorption spectrophotometry. Testicular damage was evaluated by counting the
spermatogonia (SG) and spermatocytes (SC) on one cut-surface of five seminiferous
tubules in stages VII or VIII of spermatogenesis every week. The location of
intratesticular cadmium was determined by using oxine-fluorescent cytochemistry.
Results: There were no differences in the testes/bodyweight ratio between the
study and control groups. The concentration of Cd in the testes increased more
than 100-fold that in serum after week 2, suggesting active testicular Cd
accumulation (1-3 mg/g tissue). Cadmium accumulation was detected in SG and SC.
The number of SG and SC diminished significantly in the study group (week 2: SG
74%, SC 90%; week 4: SG 47%, SC 75%; week 6: SG 30%, SC 54% of the control,
respectively). Conclusions: Cadmium accumulated in SG and SC, consequently
reduced the number of these cells, and disturbed the spermatogenesis in this rat
model of subchronic Cd intoxication. Therefore, the number of SG decreased in
this rat model of subchronic Cd intoxication. (Reprod Med Biol 2002; 1: 59-63).
PMID- 29662349
TI - Bilateral tubal pregnancies after the termination of intrauterine pregnancy
following gamete intrafallopian transfer.
AB - It is well known that the prevalence of heterotopic pregnancies following
assisted reproductive technology (ART) is much higher than among spontaneous
pregnancies. Here, we illustrate a very rare case of bilateral simultaneous tubal
pregnancies combined with intrauterine pregnancy (incomplete abortion) following
gamete intrafallopian transfer (GIFT). In this case, unsuspected bilateral tubal
pregnancies were diagnosed when laparotomy was performed 10 days after the
termination of an intrauterine pregnancy. We conclude that a careful monitoring
after the termination of an intrauterine pregnancy should be performed when the
patient has prolonged genital bleeding, which might be a warning signal of
heterotopic pregnancy existence even in patients without any risk factors of
ectopic pregnancy. (Reprod Med Biol 2002; 1: 65-67).
PMID- 29662350
TI - Role of transient hyperprolactinemia in the late follicular phase of the
gonadotropin-stimulated cycle.
AB - Background: Serum prolactin (PRL) concentration is known to transiently increase
in rats; however, its change is obscure and the role of it is also unclear in
women. We studied the relationship between estradiol (E2) and PRL production and
the role of transient hyperprolactinemia in the late follicular phase of the
gonadotropin-stimulated cycle. Methods: (1) Serum E2 and PRL concentrations were
measured on an early follicular day and immediately before a human chorionic
gonadotropin (hCG) injection in 60 patients with normoprolactinemia. Twelve of
the 60 patients also received a gonadotropin injection with bromocriptine, and
serum hormone levels were compared with those without bromocriptine. (2)
Preovulatory serum E2 and PRL concentrations were compared between the natural
and clomiphene treatment cycles in 14 hormonally normal women. (3) Changes of
serum PRL concentrations were measured before and after E2 loading in five
premature ovarian failure (POF) patients. (4) The E2 production by granulosa
cells in the presence of PRL was measured. Results and Conclusion: Serum E2 and
PRL concentrations were significantly increased by the gonadotropin injection.
Bromocriptine treatment completely inhibited the PRL increase, but further
increased serum E2 concentration on the late follicular day. The E2 loading
increased serum PRL levels in POF patients. The clomiphene treatment increased
serum E2 but decreased PRL concentrations. Prolactin significantly decreased E2
production by granulosa cells. A feedback loop may exist between E2 and PRL to
control the excess E2 production induced by gonadotropin injection. (Reprod Med
Biol 2002; 1: 69-74).
PMID- 29662351
TI - Testosterone induces activation of porcine primordial follicles in vitro.
AB - Purpose: The mechanism underlying primordial follicle activation is poorly
understood. In this study, in-vitro culture and subsequent xenotransplantation
were conducted to determine whether testosterone promotes the activation of
porcine primordial follicles. Methods: Prepubertal porcine ovarian cortical
strips containing primordial follicles were cultured in the presence of
testosterone for 7 days, and subsequently transplanted to immunodeficient mice
for 2 months. After culture and transplantation, development of follicles was
examined histologically. The presence of androgen receptors in oocytes was
assessed by use of western blot and immunohistochemical analyses. Results:
Testosterone at 10-6 m induced the primordial follicle transition to the
intermediate (19 +/- 4%) and primary (3 +/- 1%) stages after 7-day culture, while
56 +/- 5% of primordial follicles remained in the initial pool. Higher
concentrations, above 10-5 m, or lower concentrations, below 10-6 m, did not
induce follicle transition to the primary stage. After 7-day culture with 10-6 m
testosterone, ovarian cortical strips were transplanted to immunodeficient mice.
Some of the follicles developed to the secondary (15 +/- 3%) and antral (10 +/-
3%) stages, whereas 44 +/- 7% of primordial follicles remained in the initial
pool. In the culture experiment, estradiol-17beta (10-7-10-5 m) had no
significant effect on follicle activation. The androgen receptor antagonist,
cyproterone acetate, inhibited the stimulatory effect of testosterone on
primordial follicle activation, suggesting an androgen receptor-mediated action
of testosterone. Western blot and immunohistochemical analyses revealed that
androgen receptors were present in the oocytes of primordial follicles.
Conclusions: These results suggest that testosterone at 10-6 m promotes the
activation of porcine primordial follicles in vitro through the androgen
receptors in the oocytes.
PMID- 29662352
TI - Size of the perivitelline space and incidence of polyspermy in rabbit and hamster
oocytes.
AB - Purpose: The size of the perivitelline space and the incidence of polyspermy were
observed in ovulated and cultured oocytes from rabbits and hamsters with or
without treatment by 4-methylumbelliferone (MU), an inhibitor of hyaluronic acid
(HA) synthase, in order to examine the relationship between the incidence of
polyspermy and the size of the perivitelline space. The amount of HA in the
medium with MU-treated hamster oocytes was measured and compared with that in the
medium with untreated oocytes. Methods: The perivitelline space of oocytes with
1st polar bodies was measured by use of a micrometer under a microscope, and the
incidence of polyspermy was observed in the oocytes after insemination. The
amount of HA in the medium was examined using an enzyme-linked immunosorbent
assay. The distribution of cortical granules was observed by staining with FITC
conjugated LCA. Results: In both rabbit and hamster, the mean size of the
perivitelline space was significantly smaller and the incidence of polyspermy was
significantly higher in the cultured and MU-treated oocytes than in the ovulated
and untreated oocytes. The mean amount of HA in the medium with MU-treated
oocytes (8.96 pg) was significantly smaller than that in the medium with
untreated oocytes (21.77 pg). The distribution of cortical granules did not
differ among the ovulated, cultured, and MU-treated oocytes. Conclusions: These
findings suggest that the size of the perivitelline space is closely related to
the incidence of polyspermy, and that the oocyte itself synthesizes and secretes
the HA involved in the enlargement of the perivitelline space.
PMID- 29662353
TI - One-step collagenase method for zona pellucida removal in unfertilized eggs: easy
and gentle method for large-scale preparation.
AB - Purpose: Zona pellucida (ZP)-free eggs are often used for studies such as
evaluating the interaction of sperm-oolemma. To acquire ZP-free eggs, the most
commonly used methods employ acidified Tyrode's solution, enzymatic digestion
with a trypsin-like enzyme, or mechanical methods using micropipettes. However,
acidified Tyrode's solution and trypsin-like enzymes often damage the oolemma,
especially when many eggs are treated at once for mass sample analyses. The
mechanical method requires skill, and it is time-consuming to prepare many ZP
free eggs. Therefore, in this study, to establish an easy, reliable method for
preparing ZP-free eggs, we examined the ZP digestion method originally reported
by Zuccotti et al. (J Reprod Fertil 93:515-520, 1991) that uses collagenase.
Methods: Mouse unfertilized eggs were treated with collagenase and acidified
Tyrode's solution to compare the ZP-free rates, the effect on the oolemma, and
the two-cell development rates of ZP-free eggs by in vitro fertilization. The
effects on the oolemma were gauged by observing the polarity of the transmembrane
protein localization of enhanced green fluorescence protein tagged CD9 protein
(CD9-EGFP) and using differential interference contrast microscopy. Results:
Collagenase removed the ZP and the cumulus cells from the cumulus oocyte complex.
The collagenase method had no influence on the localization of CD9-EGFP,
resulting in a high two-cell development rate. Additionally, the collagenase
method could exclude low quality eggs with hardened ZP, since collagenase could
not digest the hardened ZP. Conclusions: The one-step collagenase method is an
easy preparation method for large numbers of high-quality ZP-free eggs.
PMID- 29662354
TI - Unique bioactivities of bone morphogenetic proteins in regulation of reproductive
endocrine functions.
AB - Remarkable progress has been made in understanding the mechanism by which growth
factors and oocytes can regulate the development and function of granulosa cells.
Insufficiency of two oocyte-specific growth factors, growth differentiation
factor-9 and bone morphogenetic protein (BMP)-15, cause female infertility.
Expression of mRNA and/or protein for the BMP system components, including
ligands, receptors and intracellular signal transduction factors, was
demonstrated in cell components of growing preantral follicles, and biofunctional
experiments have further revealed many important roles of the BMP system in
regulation of reproductive function. In this review, recent advances in studies
on biological actions of BMPs in ovarian folliculogenesis and in related
endocrine tissues are discussed.
PMID- 29662355
TI - Role of salsolinol in the regulation of pituitary prolactin and peripheral
dopamine release.
AB - (R)-Salsolinol (SAL), a dopamine (DA)-related tetrahydroisoquinoline, has been
found in extracts of the neuro-intermediate lobes (NIL) of pituitary glands and
in the median eminence of the hypothalamus obtained from intact male rats and
from ovariectomized and lactating female rats. Moreover, analysis of SAL
concentrations in NIL revealed parallel increases with plasma prolactin (PRL) in
lactating rats exposed to a brief (10 min) suckling stimulus after 4-h
separation. SAL is sufficiently potent in vivo to account for the massive
discharge of PRL that occurs after physiological stimuli (i.e. suckling). At the
same time, it was without effect on the secretion of other pituitary hormones. It
has been also shown that another isoquinoline derivative, 1
methyldihydroisoquinoline (1MeDIQ), which is a structural analogue of SAL, can
dose-dependently inhibit the in-vivo PRL-releasing effect of SAL. Moreover,
1MeDIQ can inhibit the elevation of plasma PRL induced by physiological stimuli,
for example suckling, or in different stressful situations also. 1MeDIQ also has
a psycho-stimulant action, which is fairly similar to the effect of amphetamine,
i.e. it induces an increase in plasma catecholamine concentrations. It is clear
from these data that this newly discovered endogenous compound could be involved
in regulation of pituitary PRL secretion. It has also been observed that SAL is
present in peripheral, sympathetically innervated organs, for example the atrium,
spleen, liver, ovaries, vas deferens, and salivary gland. Furthermore, SAL
treatment of rats results in dose-dependent and time-dependent depletion of the
DA content of the organs listed above without having any effect on the
concentration of norepinephrine. More importantly, this effect of SAL can be
completely prevented by amphetamine and by 1MeDIQ pretreatment. It is clear there
is a mutual interaction between SAL, 1MeDIQ, and amphetamine or alcohol, not only
on PRL release; their interaction with catecholamine "synthesis/metabolism" of
sympathetic nerve terminals is also obvious.
PMID- 29662356
TI - Cancellation of in vitro fertilization treatment cycles predicts treatment
outcome in female infertility patients aged 40 years or older.
AB - Purpose: To investigate potential indicators of in vitro fertilization (IVF)
treatment outcome for female infertility patients aged >= 40 years based on the
clinical course. Methods: We retrospectively examined results of 111 female
infertility patients aged >= 40 years undergoing IVF treatment. We investigated
the relationship between treatment cycle cancellation and the final outcome of
IVF treatment in female infertility patients aged >= 40 years. Results: A total
of 44 pregnancies were achieved. Overall pregnancy rate per initiated treatment
cycle was 12.1%, and 24 spontaneous abortions occurred (54.5%). No woman aged >=
45 years achieved pregnancy. No patients conceived after 10 treatment cycles
while 42 (11.5%) oocyte pick-up cycles and 120 (33.0%) embryo transfer cycles
were canceled. Investigation of correlation with treatment cycle cancellation
revealed that patients who experienced embryo transfer cancellation had a high
spontaneous abortion rate while only a few patients who experienced oocyte pick
up cancellation achieved pregnancy and even fewer achieved a successful outcome.
Conclusions: Our study suggests that, in addition to patient age and number of
treatment cycles, cancellation of treatment cycle also provides another useful
indicator for pregnancy outcome.
PMID- 29662357
TI - Histological and biological assessment of vitrified ovarian follicles from large
animals.
AB - Mammalian ovaries contain mixed populations of follicles at different
developmental stages. A combination of vitrification and growth culture of
ovarian follicles could provide the desired number of mature eggs from a
preserved small amount of ovarian tissues. Secondary and primordial follicles
from porcine and bovine ovaries were vitrified in solutions containing ethylene
glycol, dimethyl sulfoxide and different concentrations of sucrose, and assessed
via histological examination, viability staining, xenografting to immunodeficient
mice, and in vitro culturing. Histological examination revealed the damage to
oocytes and the damage to follicle components separately. The effects of sucrose
in vitrification solutions on the follicles were different depending on the
developmental stage of the follicle, oocyte size, cell type in the follicle, and
species. Viability staining with fluorescein diacetate was useful to assess the
damage to oocytes in secondary follicles. In the xenografts, vitrified bovine
primordial and secondary follicles developed to the antral stage, and vitrified
porcine primordial follicles developed to the secondary stage. Furthermore,
bovine secondary follicles formed antrum-like structures in culture. These
results suggest that histological examination and viability staining are valuable
for assessing the direct effects of vitrification and warming conditions on
follicles and oocytes, while xenografting and in vitro culturing can be useful
for evaluating the developmental ability of vitrified follicles and oocytes.
PMID- 29662358
TI - Mitochondrial biology in reproduction.
AB - Mitochondrial biology plays an important role in the reproductive process, with
influence on germ cell development and quality as well as embryonic development
and reproductive success. This review outlines the role of mitochondrial genetics
and function in reproductive biology, including a discussion of general
mitochondrial function, genetics and germline transmission. Also highlighted are
the mitochondrial morphologic changes that occur during oogenesis and the role
these changes play in the mitochondrial bottleneck that influences the
distribution of deleterious mitochondrial genomes to offspring. The review covers
the influence of mitochondria in embryonic stem cell and induced pluripotent stem
cell biology and development. Lastly, the role of mitochondrial biology in
assisted reproductive techniques is discussed.
PMID- 29662359
TI - Heterotopic autotransplantation of vitrified mouse ovary.
AB - Purpose: The aim of this study was to investigate the survival and development of
premature follicles and oocytes from a vitrified-transplanted ovary in a murine
experimental model. Methods: The 14-day-old mice were unilaterally ovariectomized
and the separated ovaries were vitrified by cryotop. After 2 weeks the ovaries
were warmed and autotransplanted into the gluteus superfiscialis muscle. After 3
weeks, these ovaries (vit-trans), the ovaries from the opposite side (OPP), and 7
week fresh mouse ovaries as sham and control group (7 week-fresh), were recovered
and examined histologically and by TUNEL test. Results: All 4 vitrified
autotransplanted ovaries had developing follicles. Primordial, primary, preantral
and antral follicles were found in all three groups (7 week-fresh, OPP and vit
trans). The rate of apoptosis by TUNEL test was similar in all groups and no
significant difference was found between vitrified-transplanted ovarian tissue
and controls. Conclusions: These data demonstrate successful autotransplantation
of vitrified whole mouse ovaries, manifested by the presence of all stages of
folliculogenesis. According to the results of this experiment, heterotopic
autotransplantation of whole cryopreserved ovary provides the opportunity for
follicle development at all stages. However, further experiments are required to
improve the efficiency of autotransplantation of cryopreserved ovaries to obtain
better results.
PMID- 29662360
TI - An immunohistochemical study of metroplastic surgical specimens from patients
with a septate uterus.
AB - Purpose: To elucidate the etiology of recurrent pregnancy loss in patients with
congenital uterine anomalies, an immunohistochemical technique was used to
quantitatively evaluate the vascular arrangement of septate uteri with respect to
vascular density and morphology. Methods: Nine specimens obtained from patients
who had undergone metroplastic surgery for the treatment of a septate uterus and
10 control specimens from patients who had undergone a hysterectomy because of
cervical carcinoma were used in this study. Formalin-fixed paraffin-embedded
uterine specimens were then immunostained for CD34, which is specifically
expressed in vascular endothelial cells. Results: The mean blood vessel count
(mean +/- SD) for the myometrium was 149.7 +/- 22.7/field in the septate uteri
and 162.2 +/- 36.4/field in the control uteri; these values were not
significantly different. However, the total vessel cross-sectional areas, as
evaluated quantitatively using the KS400 image analysis system, were 10350.4 +/-
1024.3 MUm2/field for the septate uteri and 12002.9 +/- 2232.3 MUm2/field for the
control uteri; these values were significantly different (p < 0.05). The vessel
morphology expressed by vessel irregularity and deformity showed a characteristic
change in the septate uterus. Conclusions: A significant difference in the
distribution of the blood vessels existed between the septate and control uteri,
presumably impairing blood flow in the myometrium and the adverse pregnancy
outcome.
PMID- 29662361
TI - Testicular volume discrepancy is associated with decreased semen quality in
infertile Japanese males with varicoceles.
AB - Purpose: We retrospectively reviewed infertile Japanese males for testicular
volume discrepancies (D) and semen parameters to evaluate whether left grade II
III varicoceles (V) cause testicular damage. Methods: Seventy-seven patients who
had idiopathic male infertility and 88 who had V without other causes of
infertility were examined. We excluded cases of azoospermia. Testicular volume
was measured using a punched-out orchidometer. D was defined as a size difference
of at least 3 ml. The frequency of D was compared between the patients with and
without V. The semen parameters were reviewed in association with D and V.
Results: The mean left and right testicular volumes were 19.4 and 20.1 ml,
respectively (p < 0.001). D with a smaller left testis was more common in V+
cases than in V- cases (26.1 vs. 13.0%, p = 0.0351). The sperm count and motility
were also significantly lower (p = 0.0213 and p = 0.0217, respectively) in the D+
patients with a smaller left testicular volume. Conclusions: In the patients with
V, D was more common than in those without V. The semen parameters were worse if
D was present in the patients with V. These results indicated that V could induce
testicular atrophy and negatively affect semen quality. Therefore, the
ipsilateral reduced testicular volume is considered to be a sign of persisting
testicular damage by V.
PMID- 29662362
TI - Psychological stress during in vitro fertilization and embryo transfer is
influenced by the patients' background and gender.
AB - Purpose: This study evaluated the changes in psychological stress during in vitro
fertilization and embryo transfer (IVF-ET) and the relationship of such stress to
the patients' background and gender. Methods: Sixty couples undergoing IVF-ET
were administered the State-Trait Anxiety Inventory-JYZ (STAI) test at six
different points during IVF-ET procedures. Anxiety scores at each time point were
recorded and analyzed according to gender, fertility status, and duration of
treatment. Results: The median state anxiety score for women increased following
induction until oocyte collection, after which it temporarily declined and then
increased again until the pregnancy test. No such changes were noted in men.
Scores for women who had undergone a shorter period of IVF treatments were higher
while state and trait anxiety in men increased with a prolonged treatment period.
Unsuccessful treatment increased the state and trait anxiety of women.
Conclusions: Psychological stress changed periodically depending on the duration
of the patients' treatment and fertility status also influenced anxiety levels.
These findings will prove helpful in guiding psychological therapy and counseling
for couples attempting to conceive by in vitro fertilization.
PMID- 29662363
TI - Isolated ACTH deficiency in self referred patients for LOH syndrome: two case
reports.
AB - We experienced two cases of isolated ACTH deficiency (IAD) in patients self
referred for late-onset hypogonadism (LOH) syndrome. IAD is secondary adrenal
insufficiency due to lack of secretion of ACTH and delayed diagnosis of this rare
condition may be life-threatening. The predominant symptoms of IAD, such as
general malaise and weakness, resemble those of LOH syndrome creating the
possibility that IAD may be referred as LOH syndrome. Two middle aged men with
severe general malaise visited our clinic requesting evaluation for LOH syndrome.
Previous treatments had been ineffective and based on varying incorrect diagnoses
by previous doctors. The patients self referred themselves for LOH syndrome. Some
of their symptoms were consistent with LOH syndrome but others were atypical, in
particular, the severity of malaise and appetite loss. Hormonal assays were
compatible with adrenal insufficiency secondary to ACTH deficiency. Steroid
replacement dramatically improved their symptoms. The clinical course of our two
patients and points of differential diagnosis between IAD and LOH syndrome are
reported here.
PMID- 29662364
TI - Regulation of oocyte meiotic maturation by somatic cells.
AB - In preovulatory follicles, each oocyte is surrounded by numerous layers of
cumulus cells, forming the cumulus cell-oocyte complex. An LH surge induces
meiotic resumption of the oocyte to progress to metaphase II. Because the
expression of LH receptors is not detected in the oocyte and is minimal
(negligible) in cumulus cells as compared with granulosa cells, secondary factors
from granulosa cells are required to induce the ovulation process. One of the key
factors secreted from granulosa cells is an EGF-like factor that activates the
EGFR-ERK1/2 pathway in cumulus cells. The activated ERK1/2 pathway is not only
involved in gene expression but also essential for the close of gap-junctional
communication among cumulus cells and between cumulus cells and the oocyte.
Closing gap-junctional communication decreases the amount of cGMP and/or cAMP to
transfer into the oocyte, which requires activation of phosphodiesterase type III
(PDE3) in the oocyte. PDE3 brakes down cAMP to decrease PKA activity in the
oocyte. This decrease in PKA activity induces activation of CDK1 to resume
meiosis from the germinal vesicle stage. Thus, the functions of cumulus cells
that are regulated by granulosa cell-secreted factors are essential for oocyte
meiotic resumption and maturation with developmental competence.
PMID- 29662365
TI - Prevalence of cases of Mycoplasma hominis, Mycoplasma genitalium, Ureaplasma
urealyticum and Chlamydia trachomatis in women with no gynecologic complaints.
AB - Purpose: To evaluate the prevalence of Mycoplasma hominis, Mycoplasma genitalium,
Ureaplasma urealyticum, Chlamydia trachomatis, Trichomonas vaginalis and
Neisseria gonorrhoeae in women with no gynecologic complaints screened in the
Human Reproduction outpatient clinic of Faculdade de Medicina of ABC, Brazil.
Methods: A total of 106 women without gynecologic complaints and in reproductive
age were evaluated. DNA was extracted from cells of the genitourinary tract with
bacteria for the detection of six types of bacteria by polymerase chain reaction.
Results: We found that 11.3 % of women had infection with M. hominis and 2.83 %
for C. trachomatis. The other bacteria investigated occurred in 2.83 % of women.
The percentage of infections identified was 15 %. Conclusion: The data showed a
low percentage of women with genitourinary tract bacteria without symptoms.
However, these infections can be sexually transmitted, and relate to infertility
and other serious illnesses. The identification and treatment of infection in
asymptomatic woman can avoid transmission and future genitourinary trait
complications.
PMID- 29662366
TI - What is the optimal condition for fertilization of IVM oocytes?
AB - Application of in vitro maturation (IVM) is recently increasing for human
infertility, especially to rescue patients of polycystic ovarian syndrome and
ovarian hyperstimulation syndrome. To increase the application of IVM oocytes for
embryo production and the efficiency of successful production of babies using IVM
oocytes, quality control of oocytes and achievement of fertilization in the most
suitable condition may be very important. In this paper, suitable conditions for
fertilization of IVM oocytes will be discussed with recent knowledge about IVM
and in vitro fertilization of oocytes in domestic animals. Currently, human
oocytes are collected mainly from patients' ovaries 36 h following mild
gonadotropin stimulation and used for IVM for 24-26 h. However, asynchronous
progression of those oocytes to reach the metaphase-II stage may have occurred
during the IVM culture. In the oocytes that have already progressed to the
metaphase-II stage, sudden aging such as reduction in maturation promoting factor
and MAP kinases will start to occur. Application of specific inhibitors of
phosphodiesterase to control intracellular cAMP (cyclic adenosine monophosphate)
level may be effective to synchronize timings of the germinal vesicle breakdown
and consequently the meiotic progression of oocytes, and to improve the
developmental competence. Furthermore, treatment of aging oocytes with caffeine
appears to rescue them from reductions in maturation promoting factor and MAP
kinases and to improve the developmental competence. Assessment methods to select
oocytes with good quality may also be important to improve the successful rates.
PMID- 29662367
TI - Hysteroscopic adhesiolysis for patients with Asherman's syndrome: menstrual and
fertility outcomes.
AB - Purpose: Most patients with Asherman's syndrome present with infertility and
menstrual problems. In this retrospective clinical study, we analyzed patients
with Asherman's syndrome who underwent hysteroscopic adhesiolysis to examine
their associated symptoms, disease etiologies, and fertility outcomes. Methods:
Twenty-seven patients with Asherman's syndrome that were diagnosed using
hysteroscopy were recruited. The chief complaints were infertility,
hypomenorrhea, and amenorrhea. Each case of Asherman's syndrome was classified
according to the American Fertility Society classification. Hysteroscopic
adhesiolysis was performed in all cases and concomitant transabdominal
ultrasonography was conducted in cases with extensive and dense adhesions.
Results: There were no complications associated with the hysteroscopic procedure.
Normal menstrual cycles resumed in all cases. Of the 16 infertile patients, 9
conceived. Three patients achieved term deliveries and one patient is currently
pregnant. None of the patients had obstetric complications. Two patients had
spontaneous abortions, one had an ectopic pregnancy, one had an abortion at 16
weeks' gestation due to cervical incompetence, and one had a molar pregnancy and
required uterine artery embolization for uncontrolled hemorrhaging during a
dilatation and curettage procedure. Conclusions: Hysteroscopic adhesiolysis with
transabdominal ultrasonography is a suitable treatment method for Asherman's
syndrome. Subfertile patients with Asherman's syndrome undergoing adhesiolysis
should be appropriately informed about the risk of associated life-threatening
complications and preterm delivery.
PMID- 29662368
TI - Observation of spermatozoa by a high-magnification microscope.
AB - Semen analyses are the primary tool for evaluating male infertility, as semen
parameters are useful for predicting potential fertility. In the field of
assisted reproductive technology (ART), the single best motile spermatozoon
should be selected, especially when performing intracytoplasmic sperm injection
(ICSI). In this context, the motile sperm organelle morphology examination
(MSOME) was developed as a method of assessing the detailed morphology of motile
spermatozoa in real time at a magnification of up to 6,300* on a video system.
The use of ICSI with MSOME-selected sperm is called intracytoplasmic
morphologically selected sperm injection (IMSI). IMSI improves the outcomes of
ICSI. MSOME can be also applied to evaluate male infertility. Among MSOME
parameters, the presence of sperm nuclear vacuoles is the most important finding.
Large sperm nuclear vacuoles (LNV) are related not only to poor ART outcomes, but
also to poor semen quality and sperm DNA damage, such as DNA fragmentation and
chromatin condensation failure. It has been suggested that sperm head vacuoles
are produced at earlier stages of sperm maturation. It is possible that the
number of LNV can be decreased by surgical or medical treatment for male
infertility. Therefore, the level of LNV has the potential to be used as an
alternative parameter of semen quality and a new tool for evaluating the
therapeutic effects of treatment in male patients with infertility.
PMID- 29662369
TI - Evaluation effects of allopurinol and FSH on reduction of ischemia-reperfusion
injury and on preservation of follicle after heterotopic auto-transplantation of
ovarian tissue in mouse.
AB - Purpose: Allopurinol and FSH injection are applied to reduce ischemia-reperfusion
injury and to increase survival rate for ovarian follicles after ovarian
heterotopic autotransplantation in mice. Methods: Ovarian tissues from 6-week-old
mice were grafted into back muscle then collected after 3 weeks. A total of five
groups were included in this experiment as follows: control group (n = 5), sham
operated group (n = 5), allopurinol treatment group (AP) (n = 5), follicle
stimulating hormone (FSH) treatment group (n = 5), as well as, allopurinol and
FSH treatment group (APF) (n = 5). We investigated survival, number and
development of follicles, vaginal cytology along with plasma malondialdehyde
(MDA) concentration in grafted ovary. Results: Total follicles count
significantly increased in APF group compared with other treatment groups (p <
0.05). MDA concentration significantly decreased in AP group and APF treatment
group compared with sham-operated group. In AP group, vaginal smears showed
presence of cornified epithelial cells three-five day after surgery. Conclusions:
We demonstrated that allopurinol, as a XO inhibitor, plays an important role in
order to decrease ischemia injury and to increase survival rate for follicles.
Also, FSH, as a folliculogenesis and angiogenesis factor, increases development
of follicles. It seems that allopurinol can cause re-establishing hypothalamus
pituitary axis and finally can restore estrous cycle earlier than for the sham
operated group, so it explains the increasing survival rate for follicles.
PMID- 29662370
TI - Lysophosphatidic acid stimulates hyaluronan production by mouse cumulus-oocyte
complexes.
AB - Purpose: In mammals, cumulus expansion due to increased synthesis of hyaluronan
was suggested to correlate with modification of the gap junction between cumulus
cells and the oocyte, leading to cumulus expansion. We examined whether
lysophosphatidic acid, a lipid mediator detected in mammalian body fluids,
stimulates significant production of hyaluronan and thus affects mouse cumulus
expansion in vitro. Methods: Cumulus-oocyte complexes isolated from the
gonadotropin-treated ovaries of B6C3F1 mice were exposed to lysophosphatidic acid
in the presence and absence of 0.3 % fetal bovine serum for measurement of
cumulus expansion and released hyaluronan, respectively. Results: Exogenously
added lysophosphatidic acid concentration-dependently stimulated production of
hyaluronan in the cumulus cell-oocyte complex, and the stimulatory effect of
lysophosphatidic acid on hyaluronan production was mediated through the signal
pathways, including LPA receptor-Gi coupling, EGF receptor transactivation, and
activations of phosphatidylinositol-specific phospholipase C, protein kinase C
and mitogen-activated protein kinases. LPA increased mRNA expression of tumor
necrosis alpha-induced protein 6, a hyaluronan-binding protein, and expansion of
cumulus cell-oocyte complex. Conclusions: Lysophosphatidic acid in follicular
fluids may participate in physiological cumulus expansion before ovulation by
stimulating production of hyaluronan and proteins that enable the association of
hyaluronan with cumulus cells and oocytes.
PMID- 29662371
TI - Cryopreservation in ART and concerns with contamination during cryobanking.
AB - The cryopreservation of gametes and embryos is vital to numerous fields of
reproductive biology, including assisted human reproduction. With improved
culture conditions, there are an increasing number of embryos to cryopreserve for
potential use in subsequent cycles. Many of the gametes and embryos in human IVF
are cryopreserved in open systems. Because liquid nitrogen is not sterile,
concerns have been raised with regard to contamination from the liquid nitrogen
and also cross-contamination between patients' germplasm. Human gamete and embryo
cryopreservation are discussed, with recommendations on how to minimize and
eliminate contamination, emphasizing the benefits of closed vitrification
devices.
PMID- 29662372
TI - Effect of single-oocyte culture system on in vitro maturation and developmental
competence in mice.
AB - Purpose: To investigate whether single-culture systems influence the quality of
in vitro-matured oocytes, we examined the maturation and developmental competence
of oocytes obtained by grouped in vitro maturation (IVM) or single IVM. Methods:
In vitro-matured oocytes were obtained using the culture drop (CD) method for the
grouped IVM experiments, and the CD and hanging drop (HD) method for the single
IVM experiments. To evaluate oocyte developmental competence, we performed in
vitro fertilization and culture, and counted the number of blastocysts. To
evaluate the oocyte cytoplasmic maturation, we measured the maturation promoting
factor (MPF) expression levels. Results: Oocytes cultured singly had lower
maturity and developmental competence than the grouped IVM oocytes. However,
enhanced oocyte fertility and blastocyst quality was achieved by the HD single
IVM method. Additionally, the MPF activity level increased in all culture
methods, compared to the control; however, it lagged behind nuclear maturation.
Conclusions: These results suggest that the HD method is efficient for single
IVM.
PMID- 29662373
TI - Fertility preservation in men with cancer.
AB - Due to recent advances in medical technologies, cancer has become more curable
and chronic, and post-treatment quality of life, including male fertility, has
become an important issue. Cancer itself can affect spermatogenesis through
complex interactions, and cancer treatment such as surgery, radiotherapy and
chemotherapy, all have certain detrimental effects on spermatogenesis. Currently,
sperm cryopreservation before cancer treatment is the mainstay of fertility
preservation, and is recommended by numerous guidelines. Although fertility
preservation should be discussed with all cancer patients before treatment, it
still remains underused. Postpubertal patients who are unable to bank sperm may
undergo testicular sperm extraction before treatment. For prepubertal boys, there
is no clinically established guideline for fertility preservation. Investigations
such as spermatogonial stem cell culture are ongoing, and may lead to clinical
options for fertility preservation in the future.
PMID- 29662374
TI - Testicular sperm extraction with intracytoplasmic sperm injection for male
infertility.
AB - Background and Aims: Testicular sperm extraction (TESE) and intracytoplasmic
sperm injection (ICSI) is an effective procedure for the treatment of male
infertility, obstructive and non-obstructive azoospermia. We have reviewed our
experience to investigate the correlation of TESE-ICSI with morphological,
biophysical and endocrine profiles in 27 men. Results: Testicular spermatozoa
could be retrieved in 25 of 27 patients who underwent TESE. In two cases,
testicular spermatozoa could not be recovered and their serum follicle
stimulating hormone (FSH) levels were significantly higher than those of the
former group. However, spermatozoa could be retrieved in sufficient numbers for
ICSI, even in the patient with the highest FSH concentration. Johnsen scores
evaluated by diagnostic pre-TESE open biopsies were significantly higher in the
cases with viable testicular spermatozoa than those in the cases without
spermatozoa. However, even in the patient whose Johnsen score was 2.1, testicular
spermatozoa could be retrieved with TESE, and pregnancy was achieved by ICSI.
Conclusions: The serum FSH levels and the histological findings of the testes
were strong predictors for successful TESE and provided useful information for
consultation and making treatment decisions on an individual case. However,
whether a patient has enough spermatozoa so that an IVF procedure with ICSI is
possible can only be answered by a trial TESE. (Reprod Med Biol 2003; 2: 31-35).
PMID- 29662375
TI - Endocrinological actions of Unkei-to, a herbal medicine, and its clinical
usefulness in anovulatory and/or infertile women.
AB - Kampo medicine (Chinese herbal medicine) has been reported to be effective in the
treatment of pituitary-ovarian dysfunction in young women and in the treatment of
undefined symptoms in perimenopausal women. It has been considered that both the
diagnosis and treatment of ovulatory failure in adolescent girls or young women
are necessary for the sake of future fertile capacity. However, treatment for the
above patients is not easy as steroid hormones and strong stimulators of
ovulation should not be recommended because of the several side-effects it
causes. Furthermore, young women, especially teenagers, seem to be reluctant to
visit gynecology clinics. A number of herbal medicines have been used for many
centuries in China and Japan for the treatment of menstrual disorders and
infertility. In general, the traditional Chinese herbal prescriptions are rather
inexpensive and safe with little side-effects, and have properties for
normalizing biological balances. Unkei-to is known to stimulate the synthesis and
release of gonadotropins in the rat pituitary. In humans, Unkei-to is known to be
effective in various menstrual disorders, abnormal uterine bleeding, and
infertility. In the treatment of ovulatory failure, recent dynamic studies have
revealed the mechanism by which Unkei-to stimulates the diencephalon-pituitary
ovarian axis in humans. In this paper, we critically review the clinical data
available (to date) in order to assess the efficacy of Unkei-to in inducing
ovulation in several types of ovulatory disturbances. (Reprod Med Biol 2003; 2:
45-61).
PMID- 29662376
TI - Observation of pronuclei may not be an absolute indicator for fertilization in
rescue intracytoplasmic sperm injection oocytes.
AB - This case report describes a successful full-term pregnancy and birth after the
transfer of rescue intracytoplasmic sperm injection (ICSI) embryos derived from 1
day-old oocytes. A total of eight oocytes were retrieved and inseminated 3 h
after collection. No oocytes were fertilized 16-18 h after insemination. A rescue
ICSI was performed on the four metaphase II stage oocytes. At the regular time
(16 h after ICSI) of examination for fertilization, there were no distinct two
pronuclei (2PN) in the cytoplasm of any oocytes, but the culture of these oocytes
led to the development of four two-cell stage embryos 26 h after ICSI. Further
culture of these four embryos showed development to a four-cell stage the
following day. The transfer of three embryos resulted in a full-term pregnancy
with the delivery of a pair of healthy twins. This result suggests that the
observation of 2PN at the normal time of fertilization assessment may not appear
to be an absolute indicator of fertilization in the case of rescue ICSI. (Reprod
Med Biol 2003; 2: 83-85).
PMID- 29662377
TI - Identification of complexes of gelatinase A and tissue inhibitor of
metalloproteinase-2 in human follicular fluid.
AB - Background and Aims: Ovulation involves considerable tissue remodeling in normal
ovarian function. These processes are expected to involve matrix
metalloproteinases (MMP). Follicular rupture is caused by the degradation of the
basement membrane between the thecal and granulose layers, as well as disruption
of the extracellular matrix (ECM) at the site of rupture. We report on the
existence of the complexes of progelatinase A (proMMP-2), MMP-2 and a tissue
inhibitor of metalloproteinase-2 (TIMP-2) using zymographic and immunological
techniques in human follicular fluid (HFF). Methods and Results: Partial
purification of the complexes was achieved by using gelatin affinity column
chromatography. The peak (tubes 68-73) in this chromatography showed gelatinase
activities by gelatin-zymography, and also an inhibition by EDTA
(metalloproteinase inhibitor). The molecular weights of the gelatinase activities
were approximately 72 and 67 kDa, and were consistent with standard proMMP-2 and
MMP-2, as found by using gelatin-zymography. Similarly, the band in this peak was
consistent with standard recombinant full-length TIMP-2, as found by the use of
western blot analysis, and the molecular weight of the band was approximately 21
kDa. Conclusion: As proMMP-2, MMP-2 and TIMP-2 exist in the peak from the
gelatin affinity column, we expected that these form the complexes. These results
indicate that the complexes of proMMP-2/TIMP-2 and MMP-2/TIMP-2 exist in HFF.
(Reprod Med Biol 2003; 2: 115-119).
PMID- 29662378
TI - Validity of trans-rectal ultrasound-guided embryo transfer against retroflexed
uterus.
AB - Background: Embryo transfer is one of the most critical steps affecting the
success of in vitro fertilization/intracytoplasmic sperm injection-embryo
transfer. It has been reported that uterine contraction caused by touching the
uterine fundus at the time of embryo transfer decreased the pregnancy rate. It
was demonstrated that there is a significant rise in the pregnancy rate by
adequate positioning of embryos. Transabdominal ultrasound-guided embryo transfer
has been reported to improve the pregnancy rate compared with the clinical touch
method. The improvement of the pregnancy rate under ultrasound guidance can be
attributed to the accurate positioning of the embryos aided by good visualization
without touching the uterine fundus. However, sometimes difficulties are
encountered when visualizing the tip of the catheter in cases where the patient
has a retroflexed uterus. Methods: In the present study, we investigated the
difference in the pregnancy rates and in the implantation rates between
transabdominal ultrasound-guided group and trans-rectal ultrasound-guided group
in retroflexed cases. Results and Conclusion: We found that the pregnancy rate
and the implantation rate were higher among the trans-rectal group compared with
the transabdominal group in retroflexed cases. The difference between the two
groups was statistically significant. (Reprod Med Biol 2003; 2: 159-163).
PMID- 29662379
TI - Clinical evaluation of frozen/thawed embryo transfer following transport of
oocytes and embryos.
AB - Background and Aims: We evaluated the efficacy of the transport oocyte/embryo
frozen/thawed embryo transfer method, in which oocytes or embryos were
transported from satellite clinics to the main assisted reproductive technology
(ART) center, and surplus embryos were placed in cryopreservation. Methods: We
evaluated 41 cycles in 34 patients in the transport oocyte group (TO group). In
the TO group the oocytes were collected at the satellite clinics, transported to
the main ART center and underwent in vitro fertilization or intracytoplasmic
sperm injection. Surplus embryos were used for frozen/thawed embryo transfer. We
also evaluated 17 cycles in 10 patients in the transport embryo group (TE group),
where surplus embryos were transported to the main ART center and used for
frozen/thawed embryo transfer; and 189 cycles in 134 patients in the center group
(C group), where surplus embryos collected at the same time at the main ART
center were used for frozen/thawed embryo transfer. Oocytes were transported from
satellite clinics in HEPES buffered human tubal fluid (HTF) culture medium, and
embryos in 30% synthetic serum substitute + HEPES buffered HTF, using a portable
incubator we devised. Results: The proportions of undamaged embryos after
freeze/thawing were 47% for the C group, 46% for the TO group, and 46% for the TE
group. The numbers of embryos transferred were 2.0 +/- 0.7 for the C group, 2.0
+/- 0.6 for the TO group, and 2.2 +/- 0.4 for the TE group. The rate of embryo
transfer was 63% for the C group, 68% for the TO group, and 76% for the TE group.
Pregnancy rates per patient were 16% for the C group, 24% for the TO group, and
40% for the TE group. The embryo survival rates (number of embryos with >=50%
viable blastomeres/total number of embryos) were 55% for the C group, 60% for the
TO group, and 54% for the TE group. No significant differences were seen between
the C group and either the TO or TE groups in any of these parameters.
Conclusions: Favorable results were achieved with the transport oocyte/embryo
frozen/thawed embryo transfer method, and it is suitable for widespread clinical
application. (Reprod Med Biol 2004; 3: 1-8).
PMID- 29662380
TI - Analysis of limited fertility in intracytoplasmic sperm injection of sperm
obtained by electroejaculation.
AB - Background and Aims: We correlated findings in semen from patients with
ejaculatory dysfunction with results of in vitro fertilization using their
electroejaculated sperm. Methods and Results: Electroejaculation was carried out
in six patients with the above-mentioned criteria for a total of eight times.
Sperm was obtained in six attempts. Intracytoplasmic injection of these sperm was
performed in 156 eggs. Sixty-seven eggs were fertilized; most of these were
injected with motile sperm. Two women became pregnant, both after injection with
motile sperm. As previously reported, electroejaculated sperm showed low motility
and a low fertilization rate, but even motile sperm had a low fertilization rate.
Conclusion: The results of the present study suggest the importance in
fertilization of undetermined factors in addition to sperm motility. (Reprod Med
Biol 2004; 3: 9-12).
PMID- 29662381
TI - Selected single blastocyst transfers maintained pregnancy outcome and eliminated
multiple pregnancies.
AB - Background: Transfer of more than one embryo following in vitro
fertilization/intracytoplasmic sperm injection cycles have increased pregnancy
rate at the cost of increasing the incidence of triplets and twins. It has been
proposed that prolonged culture to the blastocyst stage would automatically
result in the selection of good quality embryos for transfer and minimize the
incidence of triplets and twins. Methods and Results: The objectives of the
present retrospective analysis were to examine the pregnancy outcome, multiple
pregnancy and related data following: (i) single blastocyst transfer (BT) and
double BT; (ii) single BT in patients belonging to different age groups; and
(iii) good, fair or poor quality of BT. A total of 260 BT were carried out
between August 1998 and July 2002 and they are included in the current study.
Sixty of the 260 BT patients received a single BT, and 41 of them received
selected single good quality BT (SSBT). The implantation rate has no significant
difference between following single BT (53.3%) and double BT (42.8%). No multiple
pregnancy occurred following single BT, while significantly higher (P < 0.05)
multiple pregnancy rate was observed following a double BT (45.8%). The clinical
pregnancy and implantation rates following a single BT were similar (P > 0.05) in
patients belonging to <30 years (62.5%), 30-34 years (57.9%) and 35-39 years old
(35.8%). Conclusion: Selected single good quality BT maintained pregnancy and
avoided multiple pregnancies. It is recommended for patients with a risk for high
order multiple pregnancy. (Reprod Med Biol 2004; 3: 13-18).
PMID- 29662382
TI - Life-table analysis of artificial insemination pregnancy rates for couples with
male factor and idiopathic infertility.
AB - Background: In the summer of 2002, standard guidelines for the application of
assisted reproductive technology were reported by a research group of the
Ministry of Health, Labor and Welfare. The present study aimed to examine the
relationship between the number of cycles of artificial insemination and the
cumulative pregnancy rates according to the cause of infertility. Methods:
Patients who experienced their first cycle of artificial insemination during the
period of January 1999-December 2002 were included in the study and were divided
into a male factor infertility group and an idiopathic infertility group.
Cumulative pregnancy rates resulting from artificial insemination with the
husband's semen were calculated by the life-table approach. Results: During the
study period, 139 couples entered the assisted reproduction program and underwent
581 cycles. Significant differences were observed in cumulative pregnancy rates
between the two groups. Conclusion: It is recommended that couples with male
factor infertility and who fail to conceive within six or seven cycles of
intrauterine insemination, consider a modification of treatment strategy such as
in vitro fertilization, because cumulative pregnancy rates of this group were
reached at a plateau within six or seven cycles. In contrast, patients with
idiopathic infertility, the cumulative pregnancy rates appeared to increase
constantly with each subsequent cycle. It is important to consider modifications
of treatment strategy in the light of the cause of infertility. (Reprod Med Biol
2004; 3: 27-31).
PMID- 29662384
TI - Bisphenol A-induced morphological alterations in Sertoli and spermatogenic cells
of immature Shiba goats in vitro: An ultrastructural study.
AB - Background and aims: There is no information currently available regarding the
effects of bisphenol A (BPA) on testes in ruminants. Therefore, to establish and
clarify the effects of BPA in ruminants, testicular tissue cultures were obtained
from immature Shiba goats. Methods: The testes of 2-month-old Shiba goats were
cut into smaller pieces and seeded in medium. At 1, 3, 6 and 9 h after
administration of various concentrations of BPA, the specimens underwent light
and transmission electron microscopic observations Results: At 1 h after BPA
treatment, vacuolization and nuclear membrane rupture appeared within the
nucleoplasm and cytoplasm of Sertoli cells. Such alterations tended to gradually
increase in number in time- and dose-dependent manners. Thus, because of BPA
treatment, apoptotic spermatogenic cells, necrotic spermatogenic cells, apoptotic
Sertoli cells and necrotic Sertoli cells could be identified. Particularly in the
Sertoli cell, ruptured vesicles could be found within the multivesicular nuclear
body. Conclusion: The treatment with BPA at a low concentration tends to lead
spermatogenic and Sertoli cells to apoptosis, whereas a higher concentration
tends to lead spermatogenic and Sertoli cells to necrosis. Therefore, this study
showed that testicular tissue culture is an advantageous avenue for screening the
testicular toxicity of chemicals in ruminants. (Reprod Med Biol 2004; 3: 205
210).
PMID- 29662383
TI - Functional roles of cell surface peptidases in reproductive organs.
AB - A number of biologically active peptides have been proposed to regulate function
and differentiation of reproductive organs in an autocrine and/or paracrine
fashion. Regulation of the local concentrations of these peptides is one of the
important factors influencing their physiological effects on target cells.
Membrane-bound cell surface peptidases can activate or inactivate biologically
active peptides before peptide factors access their receptors on the cell
surface. Aminopeptidase A (EC 3.4.11.7), placental leucine aminopeptidase (EC
3.4.11.3), aminopeptidase-N/CD13 (EC 3.4.11.2), dipeptidyl peptidases IV/CD26
(EC.3.4.14.5), carboxypeptidase-M (EC 3.4.17.12), neutral endopeptidase/CD10 (EC
3.4.24.11) and endothelin converting enzyme-1 (EC 3.4.23) are differentially
expressed on the ovary, endometrium and placenta. The inhibition of enzyme
activity affects steroid hormone production by granulosa and thecal cells,
decidualization of endometrium and migration of extravillous trophoblasts. These
findings suggest that membrane-bound cell surface peptidases are local regulators
for cellular growth and differentiation in reproductive organs by controlling
extracellular concentration of peptide factors. (Reprod Med Biol 2004; 3: 165
176).
PMID- 29662385
TI - Serine/threonine phosphorylation associated with hamster sperm hyperactivation.
AB - Background and Aims: Mammalian sperm activation and hyperactivation is regulated
by protein phosphorylation. Although tyrosine phosphorylation is considered very
important, several studies have investigated whether serine and threonine
phosphorylation are also associated with sperm activation and hyperactivation,
and that was also the aim of the present study. Methods: Protein phosphorylation
of hamster spermatozoa was detected by Western blotting using antiphospho-amino
acid monoclonal antibodies after tricine sodium dodecyl sulfate-polyacrylamide
gel electrophoresis. Amino acid sequences were analyzed using a peptide
sequencer. Results: Four proteins were phosphorylated at serine residues during
hyperactivation via activation and their approximate molecular weights were 90,
38, 32 and 10 kDa, respectively. Five proteins were phosphorylated or
dephosphorylated at threonine residues and their approximate molecular weights
were 90, 70, 65, 35 and 10 kDa, respectively. The 10-kDa protein corresponded to
a previously reported 10-kDa tyrosine phosphoprotein. N-terminal sequences of the
10-kDa protein were similar to carcinustatin, which is a neuropeptide.
Conclusions: During hyperactivation, four serine phosphorylation and five
threonine phospho- or dephosphorylations occurred, which suggested that the 10
kDa protein was phosphorylated at tyrosine residues when spermatozoa were
activated and then dual-phosphorylated at the serine and threonine residues
during hyperactivation. (Reprod Med Biol 2004; 3: 223-230).
PMID- 29662386
TI - Fertilization and preimplantation development of mouse oocytes after prolonged
incubation with caffeine.
AB - Background and Aims: Previous studies have shown that caffeine might cause
artificial dephosphorylation at threonine-14 and tyrosine-15 of the p34cdc2
catalytic subunit of maturation-promoting factor (MPF), elevate MPF activity in
metaphase II oocytes cultured for a prolonged period, and that caffeine decreases
fragmentation in oocytes cultured for up to 96 h. Methods: Studies were carried
out on: (i) the effect of caffeine on the morphological status of oocytes
cultured for 96 h; (ii) the parthenogenetic activation and the fertilization of
oocytes incubated in a medium that contained caffeine, and (iii) the
fertilization and preimplantation development ability of zona-intact and zona
free oocytes by in vitro fertilization (IVF) and by intracytoplasmic sperm
injection. Results: In parthenogenetic activation, the incidence of diploid
parthenotes in 24-h caffeine-treated oocytes was significantly higher than 24-h
non-treated oocytes. For fertilizability of these oocytes, a significant increase
in the fertilization rate resulted from IVF after 12-h caffeine incubation.
Although no fertilized eggs were observed after intracytoplasmic sperm injection
in 24-h non-treated oocytes, fertilized eggs were observed in caffeine-treated
oocytes. MPF activation occurs in relation to nuclear/spindle position, and
mitotic spindles and actin filaments determine the site of cleavage during
cytokinesis. Spindle disruption does not cause cytofragmentation, but does induce
cell cycle arrest. Conclusion: Based on our results, although caffeine might
increase MPF activity, prolonged time in any incubation causes some disruption of
cytoskeletal filaments, which might be responsible for the poor development of
caffeine-treated oocytes. (Reprod Med Biol 2004; 3: 245-251).
PMID- 29662387
TI - Role of tumor necrosis factor-alpha in Gram-negative bacterial
lipopolysaccharides induced implantation failure.
AB - Background and aims: Gram-negative bacterial lipopolysaccharides (LPS) are known
causative agents for pregnancy loss in mothers with genital tract infections. In
this study, we attempt to test the role of tumor necrosis factor-alpha (TNF
alpha) in the normal physiological processes of preimplantation embryonic
development and LPS induced pregnancy loss in mice. Since the preimplantation
mouse embryos grow in an unattached state for a considerable period (day 1-4.5)
of its development in the maternal environment, it is possible that a critical
level of soluble and biologically active TNF-alpha is maintained in the maternal
environment, and that any alteration in this could lead to implantation failure.
Here we determine the pattern and level of expression of TNF-alpha gene in
preimplantation stage embryos and uterus collected from control and LPS treated
pregnant animals during different stages of preimplantation period of pregnancy
by reverse transcriptase-polymerase chain reaction. Methods: The concentrations
and biological activity of soluble TNF-alpha protein present in oviductal fluid
(OF) and uterine fluid (UF), in the normal and LPS treated animals, were
determined by enzyme-linked immunosorbent assay and 3-(4,5-dimethylthiazol-2-yl)
2,5-diphenyltetrazolium bromide assay on L929 cells, respectively. TNF-alpha was
also given i.p. to study its effect on implantation. Results: An early
expression of TNF-alpha messenger ribonucleic acid in the preimplantation stage
embryos collected from LPS treated animals was observed along with a significant
rise in the level of biologically active soluble TNF-alpha in the OF. Similarly,
the level of bioactive and soluble TNF-alpha present in the UF from LPS treated
animals was significantly higher as compared to the control on day 4.42 of
pregnancy. Conclusions: TNF-alpha given i.p. exerted similar effects on
pregnancy as that of LPS. An incessant exposure of the preimplantation stage
embryos to significantly high levels of maternal bioactive free/soluble TNF
alpha, and an alteration in the normal pattern of its expression in the
preimplantation stage embryos may be one of the causes of failure of implantation
leading to poor pregnancy outcome in LPS treated mouse. (Reprod Med Biol 2005; 4:
79- 89).
PMID- 29662388
TI - Histone acetylation in reproductive organs: Significance of histone deacetylase
inhibitors in gene transcription.
AB - Acetylation of histones is cooperatively regulated by two groups of enzymes,
histone acetyltransferases and histone deacetylases. Histone acetylation status
plays a fundamental role in the level of gene transcription; numerous studies
have demonstrated that histone deacetylase inhibitors cause cell growth arrest,
apoptosis, and differentiation in various cells including human mammary gland and
endometrial cells by altering transcription of a small number of genes. A recent
study has also shown that a highly acetylated histone status alters cell
motility. After the present review of the published reports on the mechanisms
underlying histone acetylation and in vitro effects of histone deacetylase
inhibitors, we conclude that this class of agents may have potential not only as
anticancer drugs, but also as inducers of differentiation and/or motility for
benign gynecologic conditions such as endometriosis and disorders of endometrial
differentiation and dysfunction. (Reprod Med Biol 2005; 4: 115-122).
PMID- 29662389
TI - Y chromosome and new concept of azoospermia factor.
AB - The completion of a draft sequence of the entire human genome in 2001 was
followed by a complete sequencing of the Y chromosome in 2003. It is now possible
to refer to a physical map of the Y chromosome. The Y chromosome can be
classified into X-transposed, X-degenerate and ampliconic sequences depending on
the origins of its sequences. In particular, the ampliconic sequences are
complexes of massive palindrome structures in which sequences having higher than
99.9% homology are present symmetrically. Interestingly, palindromic repeats may
undergo frequent gene conversion associated with intrachromosomal recombination
and play an important role in the maintenance of the genetic materials of the Y
chromosome. The azoospermia factor (AZF) region of the ampliconic region is the
most probable candidate for spermatogenesis, and forms a palindrome structure.
Thus, there is a limit in the detection of microdeletion using conventional
sequence-tagged sites based on polymerase chain reaction because of their
structure. It is now necessary to update the AZF concept. (Reprod Med Biol 2005;
4: 123-128).
PMID- 29662390
TI - Estrogen-related receptor in reproductive organs.
AB - Estrogen-related receptor (ERR) was studied in the placenta and uterine
endometrium, especially endometrial cancers, among reproductive organs. In the
placenta, the estrogen receptor (ER) alpha and beta mRNA levels increased from
the first to the second trimester, and then decreased until normal term delivery.
Estrogen-related receptor alpha, beta and gamma mRNA levels gradually increased
up to the second trimester, and then comparatively rapidly increased until normal
term delivery. In endometrial cancers, ER alpha and beta mRNA levels decreased
with clinical stage, myometrial invasion and dedifferentiation. Estrogen-related
receptor alpha levels increased with clinical stage and myometrial invasion, and
the ERR gamma levels increased with myometrial invasion. Estrogen-related
receptors can bind to the steroid receptor coactivator family without any
ligands, and drive transcription activity of the target genes. The manner of ERR
and ER gene expressions might show a competitive interaction associated with the
use of common cofactors. It is speculated that the upregulation of ERR is related
to the placental growth after the downregulation of ER from the second trimester
until delivery, and that ERR alpha and gamma are candidates for prognostic
factors in endometrial cancer, although ERR are not directly related to tumor
growth and advancement of endometrial cancer. (Reprod Med Biol 2005; 4: 129-131).
PMID- 29662391
TI - Changes in the heart rate and plasma epinephrine and norepinephrine
concentrations of the stallion during copulation.
AB - Aim: The physiological indexes of the copulatory behavior of the stallion have
not been investigated in detail and may differ from those of other species, such
as humans and rats. Methods: In order to understand the breeding capability of
various stallions, their behavior during copulation was observed, and heart rate
(HR) and the plasma concentrations of norepinephrine (NA) and epinephrine (Ad)
were measured sequentially for a total of 13 copulations carried out during 2
days. Results: The mean HR at rest was 35.3 +/- 0.9 beats per minute (b.p.m.)
and it peaked during mounting (162.1 +/- 5.4 b.p.m.). The HR at ejaculation was
145.7 +/- 5.1 b.p.m, which was less than the peak. The plasma concentrations of
NA and Ad showed similar changes to the HR; immediately after ejaculation they
were, respectively, 4.7-fold and 1.9-fold higher than the resting values and
there was a difference in the degree of increase of each catecholamine.
Conclusions: The present results show that in the stallion the HR peaks at
mounting and there is a greater change in the activity of the sympathetic nervous
system because of the short-term, highly intense exercise performed during
copulation. It is considered that this, combined with the particular mental
stress placed on the stallion during copulation, has the potential to cause
sudden cardiac death. (Reprod Med Biol 2005; 4: 143-148).
PMID- 29662392
TI - Food intake and leptin concentrations of lactating rats nursing various sized
litters.
AB - Purpose: Leptin, the obesity (ob) gene product, has been proposed as an adipose
related satiety factor. It might act as a signal, from peripheral adipose stores
to the central nervous system, to decrease food intake and increase energy
expenditure. Conversely, low leptin concentrations after fasting or energy
restriction might act to increase food intake. The present study investigated the
role of the suckling stimulus in the mechanisms regulating body weight, food
consumption and leptin concentration in lactating rats nursing different sized
litters. Methods: The day of parturition was designated as day 0 of lactation.
The rats were allocated randomly to three groups and the number of pups per
litter was adjusted within one day of birth to eight (Group A), four (Group B)
and two (Group C), with equal numbers of both sexes. From day 1 to day 10 of
lactation, the separate weights of the mothers and pups, and the mothers' food
intake were measured daily. On day 10, the rats were decapitated and blood was
collected from the mothers for measurement of serum concentrations of leptin by
ELISA. Results: Food intake by the mothers in Groups B and C decreased by about
20% and 30%, respectively, by day 10 of lactation, compared with Group A, but the
serum leptin concentrations of those in Group C increased by about 80%, compared
with Groups A and B. There was a high positive correlation between leptin
concentration and fat pad weight. Conclusion: The findings suggest that the
smaller litter in Group C reduced the energy cost of lactation, which induced an
increase in the serum leptin concentration. (Reprod Med Biol 2005; 4: 203-206).
PMID- 29662393
TI - Heterotopic cervical pregnancy with preservation of the intrauterine gestation.
AB - We present a case of heterotopic cervical pregnancy where intrauterine gestation
was preserved successfully. A 40-year-old Japanese woman presented with
heterotopic cervical pregnancy after intracytoplasmic sperm injection with
elongated spermatid. An ultrasound examination showed heterotopic cervical
pregnancy. Intrauterine gestation was preserved successfully with the use of
local vasopressin injection, followed by curettage of cervical implantation and
local methotrexate injection. The cervical pregnancy resolved, and a healthy full
term infant was delivered. When early diagnosis of a heterotropic cervical
pregnancy is made, selective reduction of a cervical implantation might allow
preservation of the intrauterine gestation, without hysterectomy. (Reprod Med
Biol 2005; 4: 221-223).
PMID- 29662394
TI - Predicting sperm retrieval rates in testicular sperm extraction for azoospermia
according to endocrine profiles.
AB - Background and Aims: The present study was carried out to examine the predictive
value of endocrine profiles as indicators of the sperm retrieval rate on
testicular sperm extraction (TESE) in azoospermic men. Methods: Prior to TESE,
the serum levels of follicle stimulating hormone (FSH), luteinizing hormone (LH),
prolactin, testosterone, dihydrotestosterone (DHT), estradiol and 17 alpha
hydroxyprogesterone were measured and the sagittal cross-sections of the testis
were acquired using ultrasonography. Results: The sperm retrieval rates
according to the cause of azoospermia were 40% for idiopathic azoospermia, and
100% for obstructive azoospermia, cryptorchidsm and ejaculatory disorder. Based
on the endocrinological profiles, the sperm retrieval rates showed significant
differences at 100% for FSH 15 mIU/mL or LH 2 mIU/mL, 0% for FSH > 60 mIU/mL or
LH > 12 mIU/mL, and 33% for the intermediate groups (P < 0.01). Comparison of the
retrieval of spermatozoa and serum DHT level for the intermediate group also
showed a significant difference, with retrieval rates of 58% for DHT 0.5 ng/mL
and 0% for DHT > 0.5 ng/mL (P < 0.01). Conclusions: The etiology, serum FSH, LH
and DHT levels are useful in predicting the sperm retrieval rates on TESE in
azoospermic patients. (Reprod Med Biol 2005; 4: 239-245).
PMID- 29662395
TI - Effects of in vivo exposure to eggs with sperm-immobilizing antibodies in
follicular fluid on subsequent fertilization and embryo development in vitro.
AB - Aims: It has been shown that supplementation of patients' sera that contains
sperm-immobilizing antibodies results in failure of fertilization and embryo
development in vitro. The present study was carried out to investigate if
exposing retrieved eggs to a high number of sperm-immobilizing antibodies in the
follicular fluid (FF) in vivo affected subsequent fertilization and embryo
development in vitro, even if they were washed with an antibody-free culture
medium. Methods: Patients' sera and their FF were collected in 15 in vitro
fertilization-embryo transfer (IVF-ET) or intracytoplasmic sperm injection-embryo
transfer (ICSI-ET) treatment cycles from 11 infertile women with sperm
immobilizing antibodies in their sera. Quantitative sperm-immobilizing antibody
titers (SI50 titers) in the sera and FF were evaluated. The fertilization rate,
good-quality embryo rate and implantation rate by IVF-ET were compared between
infertile patients having higher (10<=) SI50 titers and lower (<10) SI50 titers
in their FF. Results: There was a significant correlation in the SI50 titers
between the patients' sera and their FF (P < 0.0001). After thoroughly washing
the collected eggs in culture medium without the patient's serum before IVF,
there was no difference in the fertilization rate in the patients with high
(10<=) and low (<10) SI50 titers in their FF (P = 0.62). However, the good
quality embryo rate in the patients with a high SI50 titer was significantly
lower than patients with a low antibody titer (P < 0.05). There was no
significant difference in the implantation rate between the two groups (P =
0.33). Conclusions: Similar amounts of sperm-immobilizing antibodies existed in
the patients' FF and in their sera. ICSI did not seem to be necessary in patients
having the antibodies if their sera were not supplemented in the culture media.
Even with careful manipulation of eggs, it might be suggested that the harmful
effects of sperm-immobilizing antibodies on embryo development cannot be
completely avoided, especially in patients with high SI50 titers in the FF.
(Reprod Med Biol 2006; 5: 137-143).
PMID- 29662396
TI - Proliferation of spermatogonial stem cells and spermatogenesis in vitro.
AB - Detection of spermatogonial stem cells (SSC) became possible 10 years ago, with
the transplantation of germ cells into the seminiferous tubules of mice. Using
this assay system, attempts to maintain and expand SSC in vitro finally bore
fruit. Gonocytes from neonatal mice and spermatogonial stem cells from adult mice
were plated on feeder cells in a medium supplemented with Glial cell line-derived
neurotrophic factor (GDNF) along with certain other factors. The germ cells that
emerged under such conditions, named germline stem (GS) cells, proliferated
exponentially through self-renewing division. GS cells in vitro show features of
differentiation as well. Some expressed c-kit, which is a cell surface marker of
differentiating spermatogonia. Therefore, it seems that GS cells undergo both
self-renewing and differentiating cell divisions in vitro. There is a century of
history behind attempts to reproduce spermatogenesis in vitro and significant
progress has been made. Nonetheless, there are few established culture-based
protocols for recreating spermatogenesis in vitro. GS cells would be an ideal
starting material in this regard. (Reprod Med Biol 2006; 5: 169-174).
PMID- 29662397
TI - Role of oocyte-specific genes in the development of mammalian embryos.
AB - Studies on oocyte-specific genes are important in understanding the genetic
pathways essential for folliculogenesis, oogenesis and early embryogenesis.
Although the molecular mechanisms regulating oocyte growth and embryo development
in mammals have partially been unraveled by gene knockout studies, many aspects
concerning reproduction remain to be determined. Development of mammalian embryos
starts with the fusion of sperm and egg. After fertilization, the first major
developmental transition, maternal to zygotic transition, occurs at the specific
stages of preimplantation development in each mammal. The transition is called
zygotic gene activation (ZGA) or embryonic genome activation. The ZGA is one of
the most important events that occur during preimplantation development; however,
the mechanism of the event remains unknown. Because the development until the
transition is maintained by maternally inherited proteins and transcripts stored
in the oocytes, it is highly likely that these products play an important role in
the initiation of ZGA. Several maternal-effects genes that are specifically
expressed in oocytes have been identified and their involvement in
preimplantation development has been revealed. Therefore, to study oocyte
specific gene regulation would help not only to understand the precise mechanisms
of mammalian development, but also to show the mechanisms of reproductive
disorders, such as premature ovarian failure and infertility. (Reprod Med Biol
2006; 5: 175-182).
PMID- 29662399
TI - Prediction of candidates for seminal tract reconstructive surgery among patients
with clinically suspected idiopathic or inflammatory obstructive azoospermia.
AB - Background and Aim: We reviewed the findings of scrotal exploration,
histological examination and clinical parameters in patients with clinically
suspected idiopathic or inflammatory obstructive azoospermia without confirmation
by isolated testis biopsy in advance. Methods: The present study included 27
patients who underwent scrotal exploration for the purpose of vasoepididymostomy,
with simultaneous testicular sperm extraction. Results: Sperm in the epididymis
was proven in 11 patients (40.7%). In two of these patients, the vas deferens was
obstructed on the seminal vesicle side. Histologically, normal spermatogenesis
was seen in all patients. The 16 (59.2%) patients with no sperm in the epididymis
included two with normal spermatogenesis. Serum follicle stimulating hormone
(FSH) levels were significantly higher in men with no sperm in the epididymis in
contrast to those in men with sperm proven in the epididymis (P = 0.0057). By
using a cut-off point of 6.02 mIU/mL, serum FSH can predict the existence of
sperm in the epididymis, with a sensitivity of 81.8%, a specificity of 81.2% and
a positive predictive value of 75.0%. Conclusion: No more than a third of the
patients in the present study who had clinically suspected obstructive
azoospermia were actual candidates for vasoepidydimostomy. A serum FSH level cut
off point of 6.02 mIU/mL might be useful to determine its indication. (Reprod Med
Biol 2006; 5: 211-214).
PMID- 29662398
TI - Comparative study of Sperm Motility Analysis System and conventional microscopic
semen analysis.
AB - Background and Aim: Conventional manual sperm analysis still shows variations in
structure, process and outcome although World Health Organization (WHO)
guidelines present an appropriate method for sperm analysis. In the present study
a new system for sperm analysis, Sperm Motility Analysis System (SMAS), was
compared with manual semen analysis based on WHO guidelines. Materials and
methods: Samples from 30 infertility patients and 21 healthy volunteers were
subjected to manual microscopic analysis and SMAS analysis, simultaneously. We
compared these two methods with respect to sperm concentration and percent
motility. Results: Sperm concentrations obtained by SMAS (Csmas) and manual
microscopic analyses on WHO guidelines (Cwho) were strongly correlated (Cwho =
1.325 * Csmas; r = 0.95, P < 0.001). If we excluded subjects with Csmas values
>30 * 106 sperm/mL, the results were more similar (Cwho = 1.022 * Csmas; r =
0.81, P < 0.001). Percent motility obtained by SMAS (Msmas) and manual analysis
on WHO guidelines (Mwho) were strongly correlated (Mwho = 1.214 * Msmas; r =
0.89, P < 0.001). Conclusions: The data indicate that the results of SMAS and
those of manual microscopic sperm analyses based on WHO guidelines are strongly
correlated. SMAS is therefore a promising system for sperm analysis. (Reprod Med
Biol 2006; 5: 195-200).
PMID- 29662400
TI - Influence of the hypogonadism (hgn) locus on female reproduction and ovarian
development in an altered genetic background.
AB - Background and Aims: The hypogonadic rat (hgn/hgn) shows male sterility
controlled by a single recessive gene hgn. The hgn/hgn females detected by the
presence of renal hypoplasia in the HGN inbred strain show a reduced fertility.
Recently, the gene responsible for male hypogonadism was mapped on chromosome 10
by a linkage analysis using only male backcross progeny. Because backcross
females could not be categorized as affected or normal because of variations in
their renal sizes, we could not examine female fertility in the backcross
progeny. In the present experiment, we examined whether the gene mapped on rat
chromosome 10 has any influences on female reproduction and ovarian development.
Methods: The assumptive hgn/hgn females were identified in the backcross progeny
by microsatellite markers closely linked to the hgn locus. Postnatal body growth,
the weights of reproductive organs, estrus cycle and ovarian histology were
examined. In addition, backcross embryos were genotyped, and their body growth
and ovarian development was examined. Results: The hgn/hgn females showed growth
retardation, a short reproductive life and an abnormal ovarian histology as
adults. Regarding embryonic development, hgn/hgn females showed body growth
retardation and ovarian hypoplasia. Conclusion: The mutation of the hgn mapped
on chromosome 10 causes not only male sterility but also female reduced fertility
related to ovarian hypoplasia beyond the altered genetic background. (Reprod Med
Biol 2006; 5: 227-234).
PMID- 29662401
TI - Effect of fructose on motility, acrosome reaction and in vitro fertilization
capability of boar spermatozoa.
AB - Aim: The present study was carried out to investigate the effects of fructose
supplementation in glucose containing mTALP medium on motility, acrosome reaction
and in vitro fertilization capability of boar spermatozoa. Methods: Boar
spermatozoa were preincubated, swum-up, resuspended and then incubated for 6 h in
mTALP medium supplemented with 0, 0.5, or 1.0 mmol fructose in the presence of
5.0 mmol glucose. After completion of the specified incubation period, motility
was determined subjectively on the basis of speed of progression and on the type
of forward movement of spermatozoa; acrosome status was evaluated by applying a
triple staining technique; and in vitro fertilization capability was assessed by
acetic-orcein staining. Results: The combination of fructose and glucose (0.5 +
5.0 mmol) supplements in mTALP medium improved sperm motility significantly (P <
0.05), more than glucose alone (5.0 mmol) at 2-6 h of incubation. Acrosome
reaction (live spermatozoa) and the sperm penetration rate was increased
significantly (P < 0.05) when the spermatozoa were treated with the combination
of fructose and glucose compared with glucose alone, but the incidence of
polyspermy was not significantly different between the treatments. Conclusion:
These results suggest that the combination of glucose and fructose as supplements
in mTALP medium improve the progressive motility, acrosome reaction and
fertilization capability of boar spermatozoa. (Reprod Med Biol 2006; 5: 255-261).
PMID- 29662402
TI - Serum steroids concentration might be used for monitoring the growth of follicles
in friendly in vitro fertilization.
AB - Background: Steroid levels have been used as the predictive parameters for
oocyte maturation and embryo development. In the present study, estradiol and
progesterone concentrations in the follicular fluid and serum were evaluated in
conventional in vitro fertilization (IVF; follicle stimulating hormone [FSH]
and/or human menopausal gonadotropin [hMG] after pituitary desensitization) and
friendly IVF (no stimulation, clomiphene citrate, small dose of FSH or hMG
without pituitary desensitization). The purpose of the present study was to
evaluate the differences in steroid distribution between conventional and
friendly IVF. Methods: Concentrations of estradiol, progesterone, FSH, and
luteinizing hormone (LH) in serum and follicular fluid were determined in
conventional and friendly IVF protocols by an enzyme-linked immunosorbent assay
kit. Correlations between follicular fluid and serum steroid concentrations in
these different protocols, and between pregnant cycles and steroid concentrations
were evaluated. Results: Two hundred and thirty-four samples of follicular fluid
from 74 IVF patients were analyzed. In conventional IVF, there was no
relationship in steroid levels in between follicular fluid and serum steroids,
whereas serum steroid concentrations correlated with the number of developing
follicles. There was a relationship between the serum and follicular fluid
estradiol levels (r = 0.467, P < 0.0001) as well as progesterone levels (r =
0.227, P = 0.0488) from friendly IVF patients. Conclusions: Serum steroid
concentrations were mainly associated with the number of developing follicles. In
the cases of friendly IVF, which had a small number of developing follicles,
serum steroids might be used to monitor follicular fluid steroid concentrations.
(Reprod Med Biol 2006; 5: 277-282).
PMID- 29662404
TI - Epigenetics in assisted reproductive technology.
AB - It has been reported that the rates of epigenetic disorders such as Angelman
syndrome (AS) and Beckwith-Wiedemann syndrome (BWS) are high in offspring
conceived by assisted reproductive technology (ART). Angelman Syndrome is
characterized by intellectual disability and BWS is known as large offspring
syndrome (LOS). Weight abnormalities have also been reported in cloned animals.
Possible factors underlying these findings include inherent gamete
characteristics, influence of in vitro culture and peculiarity of ART methods. It
is important to conclusively determine whether such epigenetic abnormalities are
present in children conceived by ART, so as to consider the health of next
generations.
PMID- 29662405
TI - Useful marker for the estimation of a recombination pair in the partial
azoospermia factor c (gr/gr) deletion using quantitative real-time polymerase
chain reaction.
AB - Background and aims: Azoospermia factor c (AZFc) microdeletions are associated
with male infertility and are caused by intrachromosal recombination between
homologous repetitive sequence segments. Partial AZFc deletion (gr/gr) has been
reported in male factor infertility. In the present study, we established
detecting the copy number using quantitative real-time polymerase chain reaction
(qRT-PCR) with the genome DNA, and assessed the association of the recombination
pair set of gr/gr deletion and deleted in azoospermia copies. Furthermore, we
determined the clinical significance of differential recombination patterns of
gr/gr deletion, and compared them with azoospermia and proven fertile volunteers,
with both groups having gr/gr deleted Japanese subjects. Materials and methods:
A total of 16 Japanese subjects with idiopathic azoospermia, and 13 proven
fertile men with gr/gr deletion, were studied. qRT-PCR was used for the
estimation of an identical site number. Results: The g1/g2 deletion was found in
69.2% (9/13) in proven fertile men and in 75% (12/16) of idiopathic infertile
men. The gr/gr deletion could result in the recombination of g1/g2 segments.
Furthermore, there was no difference in the position of deletion between
azoospermic patients and controls (P = 0.59). Conclusion: There was no
association between the loss of DAZ cluster and azoospermia in gr/gr deletion.
This suggests that most of the partial deletions are neutral variants.
PMID- 29662406
TI - Effect of fatty acids bound to bovine serum albumin-V on acrosome reaction and
utilization of glucose in boar spermatozoa.
AB - Aim: The present study has been designed with the objective of determining if
fatty acids bound to bovine serum albumin-V (BSA-V) can improve motility,
viability, and increase acrosome reaction (AR) and utilization of glucose in boar
spermatozoa. Methods: Boar spermatozoa were washed, swum-up and incubated at 37
degrees C for 6 h in TALP medium supplemented with fatty acids bound to bovine
serum albumin fraction V (BSA-V), fatty acid free BSA (BSA-FAF), polyvinyl
alcohol + main fatty acids bound to BSA-V (PVA + FA) and PVA. Sperm motility,
viability, AR, and the incorporation and oxidation of 14C-glucose were evaluated
during 6 h of incubation. Results: The results show that the BSA-V was superior
to BSA-FAF and PVA in improving motility and AR. Viability was significantly
increased (P < 0.05) by only BSA-V compared with PVA. When the main fatty acids
compound of BSA-V were added to PVA, the sperm motility, viability and AR became
almost the same as with BSA-V. The rate of incorporation and oxidation of 14C
glucose were significantly increased (P < 0.05) by BSA-V compared with BSA-FAF
and PVA. Fatty acids bound to BSA-V are important for improvement of sperm
functions. Conclusions: The present study postulates that fatty acids bound to
BSA-V are important to acrosome reaction and the utilization of glucose in boar
spermatozoa.
PMID- 29662407
TI - Sexual activity in normal married couples in Japan: Investigation by
questionnaire.
AB - Aims: After sildenafil, an inhibitor of type-5 phosphodiesterase (PDE5), was put
on the Japanese market in March 1999 and then vardenafil emerged later in June
2004, the diagnosis and therapy of erectile dysfunction (ED) has changed
dramatically in Japan. However, there have been few studies in the meantime
concerning the actual sexual activity in married couples. It has been almost
impossible to understand and access the target(s), and the information regarding
sex-life between couples in order to establish medical treatment for patients
with ED. Methods: In the present study, a questionnaire survey was carried out
to examine the frequency, periodicity and foreseeability of sexual intercourse
and other relevant matters in 300 married couples who had previously revealed
that they had sexual intercourse once or more in 3 months. Results: The results
obtained clearly show that very few couples have sexual intercourse more than
once when the opportunity of intercourse arises, and a majority of them had
intercourse at night. Furthermore, the results indicated that more than 80% of
the couples could not foresee sexual intercourse 30 min earlier. Conclusion: It
was concluded that drugs used to treat ED should be fast acting and make it
possible to have sexual intercourse without feeling uncomfortable.
PMID- 29662408
TI - Spermatogenesis in immature mammals.
AB - Mammalian spermatogenesis has been studied extensively as a prime theme of male
reproductive biology, especially for germ cell production, fertilization and
development. Investigation of spermatogenesis has provided us with the
opportunity to both study the male germ line stem cells and generate the
transgenic animals. Spermatogenesis is conducted in the seminiferous tubules,
which end in the rete testis. The organization of spermatogenesis means that the
spermatogonia are uniformly distributed around the seminiferous tubules. The
pubertal establishment and mature maintenance of spermatogenesis requires
precursor cells. In bull testes at 4 weeks postnatal, gonocyte migration occurs
and differentiated spermatogonia are recognized after 8 weeks. Within the period
of 4-8 weeks of age, spermatogonial stem cell conversion and niche formation must
occur. Spermatogonial stem cells are the only cells that can undergo self-renewal
in spermatogenesis. Spermatogonial stem cell transplantation can potentially
contribute to studies of gene expression during spermatogenesis and provide
genetic progress in domestic animals. Bull spermatogonial stem cells have been
demonstrated to be capable of colonizing recipient mouse seminiferous tubules.
(Reprod Med Biol 2007; 6: 139-149).
PMID- 29662409
TI - Role of vasoactive substances on endometrial and ovarian function.
AB - In this review, it is proposed that the vasoactive agents endothelin (ET), nitric
oxide (NO)/NO synthase (NOS) and carbon monoxide(CO)/heme oxygenase(HO) act
directly on human endometrial functions and on ovarian functions in the normal
menstrual cycle and in implantation periods. These vasoactive substances are
likely to be important autocrine/paracrine factors that regulate a variety of
physiological and pathological processes. The main actions of these agents are
differentiation and implantation in the endometrial functions, and follicular
growth, luteinization and atresia in the ovarian functions, in the tight
connection between endometrial and ovarian systems during normal menstrual
periods and during implantation (Reprod Med Biol 2007; 6: 157-164).
PMID- 29662410
TI - Beneficial effect of long zona dissection on frozen-thawed blastocysts at a young
age.
AB - Aim: To assess the appropriateness of assisted hatching using long zona
dissection of human frozen-thawed blastocysts at the time of warming, especially
in women over 35 years of age or with repeated implantation failures. Methods:
Of 177 frozen-thawed blastocyst transfer cycles, 89 control cycles had an intact
zona and 88 cycles had assisted hatching using long zona dissection of human
thawed blastocyst at the time of warming. These two groups were further
subdivided by age to a total of four subgroups: <=34 years (assisted hatching, n
= 39; controls, n = 39) and >=35 years (assisted hatching, n = 49; controls, n =
50). Twenty-seven cycles in the control group and 28 cycles in the assisted
hatching group had repeated implantation failures. The clinical and ongoing
pregnancy rates and the implantation rate between the two groups were analyzed
retrospectively. Results: The clinical pregnancy and implantation rates in women
<=34 years were significantly higher after the application of assisted hatching
compared with the control group (87.2% and 71.2%vs 56.4% and 46.6%, P < 0.001).
The clinical pregnancy and implantation rates of women with repeated implantation
failures were higher after the application of assisted hatching compared with the
control group (64.3% and 46.3%vs 48.1% and 34.1%), but this difference was not
statistically significant. Conclusions: Routine assisted hatching using long
zona dissection at the time of warming on frozen-thawed blastocysts is a safe and
easy method to perform and is extremely beneficial for increasing the pregnancy
rate in young women <=34 years of age, but not in women >=35 years of age.
Despite increased pregnancy and implantation rates in patients with repeated
implantation failures, statistical significance was not achieved. (Reprod Med
Biol 2007; 6: 211-218).
PMID- 29662411
TI - Obstetric outcomes of elderly primiparous singleton pregnancies conceived by in
vitro fertilization compared with those conceived spontaneously.
AB - Aim: To examine the obstetric outcomes of elderly primiparous singleton
pregnancies conceived by in vitro fertilization (IVF) compared with those
conceived spontaneously. Methods: Data were collected from primiparous women
aged 35 years and older with a singleton pregnancy conceived by IVF (n = 89) or
spontaneously (n = 849). Data included antenatal data, gestational age at
delivery, obstetric complications, such as pregnancy-induced hypertension,
gestational diabetes, placental previa and placental abruption, mode of delivery,
birth weight, fetal demise and the Apgar score at 1 min. Results: The elective
Cesarean rate in pregnancies following IVF was significantly higher than that in
the control group (P = 0.014). However, there were no significant differences in
obstetric outcomes between the two groups. Conclusions: The current results did
not support the IVF-related risks of elderly primiparous singleton pregnancies.
(Reprod Med Biol 2007; 6: 219-222).
PMID- 29662412
TI - Cryoprotective effects of various saccharides on cryopreserved mouse sperm from
various strains.
AB - Aim: Cryopreservation of mouse sperm commonly uses raffinose, which is a
trisaccharide, plus 3% skim milk. Because of the present lack of knowledge of the
effectiveness of any other saccharides, we examined the cryoprotective effects of
various saccharides on the viability of mouse sperm from various strains to
determine which saccharides are the best cryoprotectants for mouse sperm.
Methods: Sperm from the caudae epididymides of mature C57BL/6J mice were frozen
with monosaccharides (fructose, glucose, rhamnose, xylose), disaccharides
(lactose, maltose, sucrose, trehalose) or trisaccharides (melezitose, raffinose)
in a range of concentrations (4-33%). After thawing, the optimal concentration
was determined to be the concentration in which there was the highest proportion
of motile sperm. In addition, sperm of inbred and hybrid mice were frozen with
the saccharides at the optimal concentrations and used for in vitro
fertilization. Results: The optimal concentration was 12% for the disaccharides
and 18% for the trisaccharides. The fertility of all strains, except C57BL/6J,
showed the best cryoprotective effects with maltose, melezitose and raffinose
when compared with fresh sperm. Conclusion: Maltose, melezitose and raffinose
have the best effects when used as a protectant for cryopreservation of mouse
sperm. (Reprod Med Biol 2007; 6: 229-233).
PMID- 29662414
TI - Anticoagulant therapy and pregnancy.
AB - Low dose aspirin therapy is one of the anticoagulant treatments used during
pregnancy. Anticoagulant agents may be useful for several disorders, such as
recurrent miscarriage, pre-eclampsia, fetal growth restriction and infertility.
However, it is unclear whether anticoagulant therapy can increase the live birth
rate in all of these cases. Recent data suggest that a low-dose aspirin and
heparin combination therapy is effective in the prevention of recurrent pregnancy
loss in women with antiphospholipid syndrome. Thrombogenic diseases, for example,
protein C deficiency, protein S deficiency, factor XII deficiency and
hyperhomocysteinemia, may cause pregnancy loss. The etiology of recurrent
miscarriage is often unclear and may be multifactorial, with much controversy
regarding diagnosis and treatment. Although 70% of recurrent pregnancy losses are
unexplained, anticoagulant therapy is effective in maintaining pregnancy without
antiphospholipid antibody syndrome. We conclude that a low-dose aspirin and
heparin combination therapy can be useful for unexplained cases of recurrent
pregnancy loss without antiphospholipid antibody syndrome. (Reprod Med Biol 2008;
7: 1-10).
PMID- 29662415
TI - Regulation of human luteinizing hormone receptor in the ovary.
AB - The luteinizing hormone receptor (LHR) is essential for elevated levels of
progesterone to maintain pregnancy during the first trimester; the maintenance of
the expression of LHR is a key factor controlling the duration of luteal
function. Therefore, as the expression of LHR is most likely to be regulated by
the stability of the receptor mRNA at the luteal phase of the human menstrual
cycle, we focused on studies examining the stability of mRNA rather than the
production of mRNA. In addition, LHR (exon 9), one of the splice variants of
human LHR (hLHR), was cloned in the corpus luteum of a patient with a regular
menstrual cycle. The results of Western blots using Percoll gradient
fractionation indicated that hLHR formed complexes with hLHR (exon 9), which are
transferred to the lysosome, where they are eventually degraded, instead of being
translocated from the endoplasmic reticulum to the transducing organelle. These
results showed that hLHR (exon 9) caused a reduction in the expression of
functional receptor number and affected the signaling condition of wild-type
hLHR. As the luteal phase progressed hLHR (exon 9) increased relative to hLHR,
demonstrating that hLHR (exon 9) was expressed more than hLHR in the late luteal
phase. This work reveals the essential function of the regulatory and structural
elements involved in human LH receptor splicing, and that hLHR (exon 9) can
negatively control the function of wild-type receptors. Moreover, this finding
presented a novel mechanism of regulation of LHR in the human corpus luteum.
(Reprod Med Biol 2008; 7: 11-16).
PMID- 29662416
TI - p-Chloroamphetamine-induced rat ejaculation is not associated with the preoptic
nucleus or medial nucleus amygdala.
AB - Aim: In the rat, intraperitoneal injection of p-chloroamphetamine (PCA), which
releases central 5-hydroxytryptamine (5-HT) from serotonergic nerve terminals,
induces ejaculation, even in the absence of an estrus female or female-related
smell information. It is well known that the medial preoptic nucleus (MPN) and
the medial nucleus amygdala (MEA) play a major role in the control of male sexual
behavior in mammals. We examined whether or not neuronal activity of the MPN
and/or the MEA was associated with PCA-induced ejaculation. Methods: Using c-Fos
immunohistochemistry, we demonstrated a difference in the neural activities of
the MPN and the MEA for ejaculation during copulation with an estrus female and
ejaculation by PCA injection. Results: Increased numbers of c-Fos-immunoreactive
(c-Fos-IR) cells were found in the MPN and the MEA in the brains of the mating
animals, whereas in the brains of the animals undergoing PCA-induced ejaculation
there was no increase in the number of c-Fos-IR cells in the MPN and a small
increase in the MEA. Conclusion: Based on these results, ejaculation induced by
PCA is not associated with the MPN. Moreover, the MEA is not the main act for
this ejaculation. (Reprod Med Biol 2008; 7: 37-43).
PMID- 29662417
TI - Effect of total cholesterol, glucose and blood urea nitrogen on embryo quality in
post-partum superovulated suckling Japanese Black cattle.
AB - Aim: This study was conducted to examine the effect of blood metabolites on
embryo quality in post-partum suckling Japanese Black cattle. Methods: Blood
samples were taken from 23 cows 30 days before, at and 30 days after parturition.
Cows were synchronized 40 or 41 days after calving (day 0) and divided into three
groups: control (n = 6), gonadotropin-releasing hormone ([GnRH]n = 10) and
estradiol benzoate ([EB]n = 7). All groups received a controlled internal drug
release (CIDR) device intravaginally together with 2 mg EB i.m. on day 0 and
superovulation was induced in all groups from days 5-7 with a gradually
decreasing dose of follicle-stimulating hormone (FSH). Two milligrams of EB was
given on day 8 and GnRH (0.1 mg) was given on day 9 of insertion of the CIDR in
the EB and GnRH groups. Cows were inseminated twice after the onset of estrus and
embryos were recovered 7-8 days after artificial insemination. Results: The
number of corpus luteum detected by ultrasonography in the EB group was
significantly higher (P < 0.05) than that in the GnRH group. The number and rate
of transferable and freezable embryos did not differ significantly among the
groups. Regardless of the treatments, the total cholesterol level from
parturition until 30 days after parturition was significantly higher (P < 0.01)
in the good category than in the poor category of cows. Conclusions: The number
of transferable embryos produced by post-partum superovulated suckling Japanese
Black cattle was affected by the level of total cholesterol from parturition
until 30 days after parturition. Moreover, administration of EB in CIDR-treated
cows increased the numbers of corpus luteum and yielded better rates of
transferable and freezable embryos. (Reprod Med Biol 2008; 7: 55-62).
PMID- 29662419
TI - A physiological role of contractions in hatched mouse blastocysts.
AB - Purpose: Using mouse blastocysts with implantation delayed for 7 days (dormant
blastocysts) and time-lapse videomicrography, we examined the physiological role
of contractions in hatched blastocysts. Methods: The degree and number of
contractions in dormant blastocysts were analyzed in images recorded for 48 h of
culture, and compared with those in dormant blastocysts in which implantation was
induced (activated blastocysts), and dormant blastocysts cultured with estrogen
or progesterone. Results: Activated blastocysts exhibited a significantly smaller
number of weak contractions and a significantly larger number of strong
contractions, compared with dormant blastocysts. Furthermore, the numbers of weak
and strong contractions in dormant blastocysts cultured with estradiol-17beta
were significantly less frequent or more frequent than those in dormant
blastocysts cultured with progesterone and those cultured without steroids.
Expression of estrogen receptor mRNA was also detected in dormant blastocysts by
the method of reverse transcription-polymerase chain reaction. Conclusion: These
results suggested that activated blastocysts enhance the contractions as a
preparation for the implantation, and that the enhanced contractions in those
serve as a physical stimulation for maternal recognition of pregnancy.
PMID- 29662418
TI - Elective cryopreservation of all day 5 blastocysts is more effective than using
day 6 blastocysts for improving pregnancy outcome in stimulated cycles.
AB - Aim: To evaluate the efficacy of cryopreservation of all blastocysts for future
transfers in stimulated cycles. Methods: We carried out fresh blastocyst
transfer cycles on day 5 (n = 290) or day 6 (n = 119) and thawed blastocyst
transfer cycles that were frozen on day 5 (n = 136), day 6 (n = 71) or day 6
electively (n = 21). We retrospectively compared the clinical outcome of fresh
blastocyst transfers with thawed blastocyst transfers according to the day of
blastocyst transfer or freezing. Results: The clinical implantation rates in
women with stimulated cycles were significantly higher after the transfer of
thawed blastocysts compared with the transfer of fresh blastocysts (day 5, P <
0.0005; day 6, P < 0.00005). Although the implantation rate of fresh day 6
transfer cycles was lower than that of elective day 6 frozen-thawed cycles, this
difference was not statistically significant (P = 0.17). Conclusions: Thawed
blastocysts demonstrated a better potential for implantation when compared with
fresh blastocysts in stimulated cycles. We concluded that elective
cryopreservation of all blastocysts on day 5 is an effective option to improve
the clinical outcome in stimulated cycles. Additionally, with cryopreservation of
all day 6 blastocysts, the implantation rates of first embryo transfers may
increase by allowing the best-quality blastocysts to be transferred in thawed
cycles. (Reprod Med Biol 2008; 7: 75-83).
PMID- 29662420
TI - Fatty acid composition of lipids in day 7-13 blastocysts, serum and uterine fluid
of rabbits.
AB - Purpose: The fatty acid composition of rabbit blastocysts, blood serum and
uterine fluids were analyzed to study embryonic lipid metabolism. Methods:
Embryos were collected from Japanese white rabbits and fatty acids were analyzed
by gas chromatograph. Results: Total amount of fatty acids in blastocysts was
higher than that in serum and uterine fluid. The amount of fatty acids in
blastocysts markedly decreased during days 7-13 of pregnancy, and in serum had
hovered, but in uterine fluid on day 13 was nine times higher than that on day 7
of pregnancy. Palmitic acid predominates in blastocysts, serum and uterine fluid
during this period. Conclusion: Palmitic acid is the most abundant fatty acid in
the blastocysts, serum and uterine fluids of rabbit during days 7-13 of
pregnancy.
PMID- 29662421
TI - Individual fertility differences in the frozen-thawed spermatozoa among semen
donors in the Labrador Retriever.
AB - Purpose: We aimed to validate and determine the possible application of
transcervical insemination of frozen semen for improved breeding in guide dogs
for the blind in Japan. Methods: From February 2004 to March 2007, a total of 53
Labrador Retriever bitches, used for the breeding of guide dogs for the blind,
were transcervically inseminated with frozen-thawed semen from 13 males by means
of a cystoscope. Results: The overall whelping and pregnancy rate with the frozen
semen was 42%. Pregnancy rates ranged widely from 0 to 100% depending on the
semen donor male. Of 13 males, 6 males exhibited severely poor fertility (less
than 20% pregnancy rate) and 3 males exhibited high fertility (over 70% pregnancy
rate) on artificial insemination. However, the spermatozoa motility after thawing
was not significantly different among these dogs. In addition, heterospermic
insemination revealed the optimal timing for transcervical insemination with
frozen-thawed semen to be by day 6 after the LH surge. Conclusions: Although
transcervical insemination of frozen-thawed semen is effective for breeding of
guide dogs for the blind, some modification of freeze-thawing procedures might be
required to overcome individual fertility differences in the frozen-thawed
spermatozoa among semen donor dogs,. In addition, the motility of spermatozoa
after thawing might not be an appropriate indicator of the relative fertility of
frozen-thawed spermatozoa in dogs.
PMID- 29662422
TI - Autoantibodies and coagulation in reproductive medicine.
AB - Management of recurrent pregnancy loss (RPL) is considered to be difficult, in
part because of cunfusion between autoantibodies and coagulation disorders.
Autoantibodies and coagulation are related; two groups of multicenter studies
concerning autoantibodies and coagulation reported that factor XII deficiency,
hypofibrinolysis, anti-phosphatidylethanolamine (aPE), anti-beta2-glycoprotein I,
anti-annexin A5, and lupus anticoagulant (LA) were found to be frequent risk
factors in RPL women. Therefore, discrimination of autoantibodies and coagulation
is important in understanding RPL well. We propose three types of pathways
regarding reproduction, which are different and independent: (1) Negatively
charged-phospholipid related antibodies (anti-phosphatidylserine; aPS, anti
cardiolipin; aCL, lupus anticoagulant; LA, anti-annexin A5; aANX), (2) factor XII
aPE-fibrinolysis: suppression of fibrinolysis, (3) protein C-protein S-factor V:
loss of inactivation against activated factor V. Women with RPL and infertility
showed similar findings in terms of the above clinical tests. Available data,
however, is not enough to conclude whether these are pathogenic to infertile
women.
PMID- 29662423
TI - Interprofessional team approach to infertility treatment in Japan.
AB - Purpose: At present, a team approach involving gynecologists, nurses,
embryologists, and the other professionals is considered necessary to provide
successful infertility treatment. First, we documented which professionals were
in charge during various phases of infertility treatment. Second, clinical staff
and patients were surveyed regarding their expectations regarding which of these
professionals should contribute during examination, during treatment and after
treatment for infertility. Methods: We surveyed the actual situation perceived by
staff as well as the desired situation for staff and patients in relation to 21
procedures related to infertility treatment. We distributed 781 questionnaires to
staff and patients at 86 facilities. Of 380 returned by mail, we analyzed 128
responses from staff who worked with four types of professionals or specialists,
i.e., medical doctors, nurses, embryologists, and medical clerks, and 46 from
patients who consulted these professionals during their treatment. Results: Most
staff recognized 5 of 15 procedures before and after treatment as being conducted
by medical doctors alone. However, explanation and consultation regarding the
methods and schedule were mainly performed with an interprofessional team
approach. Expectations regarding professionals in charge differed between staff
and patients. A team approach including infertility counselors and medical clerks
was utilized and considered desirable during counseling. Conclusions: An
effective team approach should be established for each step of infertility
treatment.
PMID- 29662424
TI - Poor pregnancy outcome following assisted reproductive technology among women
operated on for bilateral endometriomas.
AB - Purpose: To determine the first line of infertility treatment for managing
patients with unilateral or bilateral ovarian endometriomas. Methods: We
evaluated pregnancy outcome in patients who had received ovarian surgery for
unilateral (Group U, n = 47) or bilateral endometriomas (Group B, n = 38) and
aspiration with or without alcohol fixation for unilateral (Group u, n = 37) or
bilateral endometriomas (Group b, n = 22). Subsequently, 64 of these women,
excluding 29 dropouts, underwent assisted reproductive technology. We compared
the clinical pregnancy rates of the four groups. Results: The cumulative
pregnancy rate after operation of Group B (18%) was significantly lower than that
of a cyst-free control group (n = 143; 44%) and Group U (43%). Group B had fewer
oocytes fertilized during ART than did Group b (P < 0.005) and fewer blastocysts
available for transfer (P < 0.005). The cumulative pregnancy rate of Group B was
also lower than in Group b (P = 0.052). Conclusions: Pregnancy outcomes of Group
B were not better than for Group b. Therefore, encouraging such women to move
directly to ART might help avoid ovarian damage and improve their ability to
achieve a pregnancy.
PMID- 29662425
TI - Effect of preantral mouse follicle culture period on meiotic maturation and
developmental competence of oocytes.
AB - Purpose: The aim of this study is to determine the optimal culture period for
meiotic maturation and developmental competence of in vitro-grown mouse oocytes.
Methods: Early preantral follicles with diameter of 100-130 MUm were collected
mechanically from day 14 mouse ovaries and cultured for 8, 10, and 12 days. The
diameters of follicles and oocytes were measured, and chromatin configuration in
oocytes was observed. We also examined meiotic maturation by human chorionic
gonadotropin (hCG)/epidermal growth factor (EGF) stimulation, developmental
competence of fertilized oocytes to blastocysts, and apoptosis in blastocysts.
Results: The follicular diameter increased significantly from days 4 to 10, and
the diameter of day 12 oocytes was significantly larger than day 8 or earlier
oocytes. Chromatin configuration around the nucleolus was transformed from
"nonsurrounded (immature)" to "surrounded (mature)" after 10 days. Furthermore,
MII rate of day 10 and 12 oocytes was significantly higher than that of day 8
oocytes. The blastocyst rate of day 10 oocytes was higher than that of day 8 or
12 oocytes. The blastocyst apoptotic rate of day 12 oocytes was higher than that
of day 10 oocytes. Conclusions: Long culture periods of in vitro-grown oocytes
affect meiotic maturation, developmental competence to blastocysts, and
apoptosis.
PMID- 29662426
TI - Recombinant follicle-stimulating hormone (follitropin alfa) for ovulation
induction in Japanese patients with anti-estrogen-ineffective oligo- or
anovulatory infertility: results of a phase II dose-response study.
AB - Purpose: To determine the optimal regimen of recombinant human follicle
stimulating hormone (r-hFSH) for ovulation induction (OI) in Japanese women with
amenorrhea I or anovulatory infertility. Methods: In this randomized, double
blind, dose-finding study, women aged 20-39 years were enrolled. Patients
underwent a chronic low-dose step-up regimen with starting doses of r-hFSH of
37.5 IU (group L; n = 62), 75 IU (group M; n = 62) or 150 IU (group H; n = 60).
Primary endpoint was time to achieve a dominant follicle with mean diameter >=18
mm. Secondary endpoints included percentage of patients producing a dominant
follicle >=18 mm, achieving ovulation, and biochemical pregnancy. Results: Median
time to achieve a dominant follicle >=18 mm was 14 days (group L; 87.7%), 10 days
(group M; 98.4%), and 8 days (group H; 94.5%). In group M, ovulation occurred in
95.1% of patients, with pregnancy in 18.0%, compared with 86.0% and 15.8% in
group L, and 50.9% and 9.1% in group H, respectively. Twelve patients developed
ovarian hyperstimulation syndrome (nine in group H). Most adverse events in
groups L and M were mild in severity. Conclusions: A starting dose of 75 IU r
hFSH was associated with a favorable efficacy and safety profile for OI in
Japanese women.
PMID- 29662427
TI - Evaluation of possible criteria for elective single embryo transfer.
AB - Purpose: A major problem of assisted reproductive technology (ART) is multiple
gestation, which impacts neonatal and perinatal medicine. The literature contains
a number of reports that elective single embryo transfer (eSET) is effective for
the control of multiple pregnancies; however, to date, uniform criteria have not
been established. Methods: Using logistic regression analysis based on the
results of ART in our department from January 2005 to July 2006, our eSET
criteria were established. We conducted a comparative study of the clinical
pregnancy rate, multiple gestation rate, and delivery rate before and after eSET
(before-eSET and after-eSET groups, respectively). Results: As a result of the
analysis, our eSET criteria included all three of the following: (A) patient age
<=37, (B) previous IVF/ICSI trials <=5, and (C) acquisition of two or more good
quality embryos. Based on our criteria, the after-eSET group was not found to
have a decrease in the pregnancy rate; however, the multiple gestation rate
decreased as compared to the before-eSET group. In addition, as a result of
various evaluations of the eSET group, interesting findings were revealed.
Conclusions: In the after-eSET group, our eSET criteria achieved a decrease in
the multiple pregnancy rate without a decrease in the pregnancy rate.
PMID- 29662428
TI - Vitrification of canine cumulus-oocyte complexes in DAP213 with a cryotop holder.
AB - Purpose: The effects of the cryoprotectant and the container (holder) used for
the vitrification of canine germinal vesicle stage oocytes were examined to
improve the cryopreservation method for canine oocytes and embryos. Methods:
Canine cumulus-oocyte complexes (COCs) were collected from ovaries, and were
vitrified with E30S (30% ethylene glycol and 0.5 M sucrose) or DAP213 (2 M
dimethyl sulfoxide, 1 M acetamide, and 3 M propylene glycol) solution held by a
cryotube or cryotop sheets. After warming, the oocytes were stained with
propidium iodide for the assessment of their plasma membrane integrity. Results:
In all the vitrification groups, more than 65% of the vitrified oocytes displayed
a normal morphology (E30S-top, 65.6%; DAP-tube, 67.3%; DAP-top, 80.0%). However,
when assessed by propidium iodide staining, the viability of oocytes in the DAP
top group (43.6%) was higher than that in the E30S-top group (21.3%, P < 0.05).
Furthermore, the viability of the oocytes in the DAP-top group (43.6%) was higher
than that in the DAP-tube group (4.1%, P < 0.05). Conclusions: These results
suggest that a combination of DAP213 as the cryoprotectant and a cryotop sheet as
the holder improved viability after the vitrification of canine oocytes at the
germinal vesicle stage.
PMID- 29662429
TI - Mullerian duct cyst: a curable entity of male infertility. Two case reports.
AB - Purpose: Mullerian duct cyst is a rudiment of a Mullerian duct in the fetal
period which causes ejaculatory duct obstruction and male infertility. Case
report: We report two patients with Mullerian duct cyst that presented with low
ejaculate volume, oligoasthenoteratozoospermia and azoospermia syndrome.
Transrectal ultrasound (TRUS) and magnetic resonance image (MRI) revealed a
midline prostatic cystic structure. In each case, we performed a TRUS guided
transperineal aspiration of the cyst. Seminograms of the patients improved and
one of their wives got spontaneously pregnant 3 months after the surgery.
Conclusion: We should assume the TRUS guided aspiration of Mullerian duct cyst.
PMID- 29662430
TI - Rules infants look by: Testing the assumption of transitivity in visual salience.
AB - What drives infants' attention in complex visual scenes? Early models of infant
attention suggested that the degree to which different visual features were
detectable determines their attentional priority. Here, we tested this by asking
whether two targets - defined by different features, but each equally salient
when evaluated independently - would drive attention equally when pitted head-to
head. In Experiment 1, we presented 6-month-old infants with an array of gabor
patches in which a target region varied either in color or spatial frequency from
the background. Using a forced-choice preferential-looking method, we measured
how readily infants fixated the target as its featural difference from the
background was parametrically increased. Then, in Experiment 2, we used these
psychometric preference functions to choose values for color and spatial
frequency targets that were equally salient (preferred), and pitted them against
each other within the same display. We reasoned that, if salience is transitive,
then the stimuli should be iso-salient and infants should therefore show no
systematic preference for either stimulus. On the contrary, we found that infants
consistently preferred the color-defined stimulus. This suggests that computing
visual salience in more complex scenes needs to include factors above and beyond
local salience values.
PMID- 29662431
TI - The Neuroprotective Effects of Thymoquinone: A Review.
AB - Thymoquinone (TQ), one of the main components active of Nigella sativa, exhibited
very useful biomedical effects such as anti-inflammatory, antioxidant,
antimicrobial, antiparasitic, anticancer, hypoglycemic, antihypertensive, and
antiasthmatic effects. There are several studies about pharmacological activities
of TQ but its neuroprotection effects are not fully described. The literature
search has indicated many studies pertaining to the effects of TQ in neurological
problems such as epilepsy, parkinsonism, anxiety, and improvement of learning and
memory, and so on. In addition, TQ protected brain cells from various injuries
due to its antioxidant, anti-inflammatory, and apoptotic effects in cell line and
experimental animal models. The present study has been designed to review the
scientific literature about the pharmacological activities of TQ to the
neurological diseases. This study purposed that although experimental studies
indicated the beneficial effects of TQ against nervous system problems, better
designed clinical trials in humans are needed to confirm these effects.
PMID- 29662432
TI - Frontal Brain Asymmetry and Willingness to Pay.
AB - Consumers frequently make decisions about how much they are willing to pay (WTP)
for specific products and services, but little is known about the neural
mechanisms underlying such calculations. In this study, we were interested in
testing whether specific brain activation-the asymmetry in engagement of the
prefrontal cortex-would be related to consumer choice. Subjects saw products and
subsequently decided how much they were willing to pay for each product, while
undergoing neuroimaging using electroencephalography. Our results demonstrate
that prefrontal asymmetry in the gamma frequency band, and a trend in the beta
frequency band that was recorded during product viewing was significantly related
to subsequent WTP responses. Frontal asymmetry in the alpha band was not related
to WTP decisions. Besides suggesting separate neuropsychological mechanisms of
consumer choice, we find that one specific measure-the prefrontal gamma asymmetry
was most strongly related to WTP responses, and was most coupled to the actual
decision phase. These findings are discussed in light of the psychology of WTP
calculations, and in relation to the recent emergence of consumer neuroscience
and neuromarketing.
PMID- 29662433
TI - Palmitoylethanolamide Ameliorates Hippocampal Damage and Behavioral Dysfunction
After Perinatal Asphyxia in the Immature Rat Brain.
AB - Perinatal asphyxia (PA) is an obstetric complication associated with an impaired
gas exchange. This health problem continues to be a determinant of neonatal
mortality and neurodevelopmental disorders. Palmitoylethanolamide (PEA) has
exerted neuroprotection in several models of brain injury and neurodegeneration.
We aimed at evaluating the potential neuroprotective role of PEA in an
experimental model, which induces PA in the immature rat brain. PA was induced by
placing Sprague Dawley newborn rats in a water bath at 37 degrees C for 19 min.
Once their physiological conditions improved, they were given to surrogate
mothers that had delivered normally within the last 24 h. The control group was
represented by non-fostered vaginally delivered pups, mimicking the clinical
situation. Treatment with PEA (10 mg/kg) was administered within the first hour
of life. Modifications in the hippocampus were analyzed with conventional
electron microscopy, immunohistochemistry (for NeuN, pNF-H/M, MAP-2, and GFAP)
and western blot (for pNF H/M, MAP-2, and GFAP). Behavior was also studied
throughout Open Field (OF) Test, Passive Avoidance (PA) Task and Elevated Plus
Maze (EPM) Test. After 1 month of the PA insult, we observed neuronal nucleus
degeneration in CA1 using electron microscopy. Immunohistochemistry revealed a
significant increase in pNF-H/M and decrease in MAP-2 in CA1 reactive area. These
changes were also observed when analyzing the level of expression of these
markers by western blot. Vertical exploration impairments and anxiety-related
behaviors were encountered in the OF and EPM tests. PEA treatment attenuated PA
induced hippocampal damage and its corresponding behavioral alterations. These
results contribute to the elucidation of PEA neuroprotective role after PA and
the future establishment of therapeutic strategies for the developing brain.
PMID- 29662434
TI - Review of the Neural Oscillations Underlying Meditation.
AB - Objective: Meditation is one type of mental training that has been shown to
produce many cognitive benefits. Meditation practice is associated with
improvement in concentration and reduction of stress, depression, and anxiety
symptoms. Furthermore, different forms of meditation training are now being used
as interventions for a variety of psychological and somatic illnesses. These
benefits are thought to occur as a result of neurophysiologic changes. The most
commonly studied specific meditation practices are focused attention (FA), open
monitoring (OM), as well as transcendental meditation (TM), and loving-kindness
(LK) meditation. In this review, we compare the neural oscillatory patterns
during these forms of meditation. Method: We performed a systematic review of
neural oscillations during FA, OM, TM, and LK meditation practices, comparing
meditators to meditation-naive adults. Results: FA, OM, TM, and LK meditation are
associated with global increases in oscillatory activity in meditators compared
to meditation-naive adults, with larger changes occurring as the length of
meditation training increases. While FA and OM are related to increases in
anterior theta activity, only FA is associated with changes in posterior theta
oscillations. Alpha activity increases in posterior brain regions during both FA
and OM. In anterior regions, FA shows a bilateral increase in alpha power, while
OM shows a decrease only in left-sided power. Gamma activity in these meditation
practices is similar in frontal regions, but increases are variable in parietal
and occipital regions. Conclusions: The current literature suggests distinct
differences in neural oscillatory activity among FA, OM, TM, and LK meditation
practices. Further characterizing these oscillatory changes may better elucidate
the cognitive and therapeutic effects of specific meditation practices, and
potentially lead to the development of novel neuromodulation targets to take
advantage of their benefits.
PMID- 29662435
TI - Ac2-26 Induces IKKbeta Degradation Through Chaperone-Mediated Autophagy Via HSPB1
in NCM-Treated Microglia.
AB - Annexin A1 (ANXA1) is an endogenous protein with potent anti-inflammatory
properties in the brain. Although ANXA1 has been predominantly studied for its
binding to formyl peptide receptors (FPRs) on plasma membranes, little is known
regarding whether this protein has an anti-inflammatory effect in the cytosol.
Here, we investigated the mechanism by which the ANXA1 peptide Ac2-26 decreases
high TNF-alpha production and IKKbeta activity, which was caused by oxygen
glucose deprivation/reperfusion (OGD/R)-induced neuronal conditioned medium (NCM)
in microglia. We found that exogenous Ac2-26 crosses into the cytoplasm of
microglia and inhibits both gene expression and protein secretion of TNF-alpha.
Ac2-26 also causes a decrease in IKKbeta protein but not IKKbeta mRNA, and this
effect is inverted by lysosome inhibitor NH4CL. Furthermore, we demonstrate that
Ac2-26 induces IKKbeta accumulation in lysosomes and that lysosomal-associated
membrane protein 2A (LAMP-2A), not LC-3, is enhanced in microglia exposed to Ac2
26. We hypothesize that Ac2-26 mediates IKKbeta degradation in lysosomes through
chaperone-mediated autophagy (CMA). Interestingly, ANXA1 in the cytoplasm does
not interact with IKKbeta but with HSPB1, and Ac2-26 promotes HSPB1 binding to
IKKbeta. Furthermore, both ANXA1 and HSPB1 can interact with Hsc70 and LAMP-2A,
but IKKbeta only associates with LAMP-2A. Downregulation of HSPB1 or LAMP-2A
reverses the degradation of IKKbeta induced by Ac2-26. Taken together, these
findings define an essential role of exogenous Ac2-26 in microglia and
demonstrate that Ac2-26 is associated with HSPB1 and promotes HSPB1 binding to
IKKbeta, which is degraded by CMA, thereby reducing TNF-alpha expression.
PMID- 29662437
TI - Ketogenic Diet Improves Brain Ischemic Tolerance and Inhibits NLRP3 Inflammasome
Activation by Preventing Drp1-Mediated Mitochondrial Fission and Endoplasmic
Reticulum Stress.
AB - Background: Neuroprotective effects of ketogenic diets (KD) have been reported in
stroke models, and nucleotide-binding domain (NOD)-like receptor protein 3
(NLRP3) inflammasome has also been implicated in the pathogenesis of stroke. This
study aimed to investigate the effects of KD on NLRP3 inflammasome and explore
the potential molecular mechanisms. Methods: In in vivo study, mice were fed with
KD for 3 weeks and then subjected to middle cerebral artery occlusion/reperfusion
(MCAO/R)-injury. In in vitro study, SH-SY-5Y cells were treated with beta
hydroxybutyrate (BHB) followed by oxygen-glucose deprivation/reoxygenation
(OGD/R). NLRP3 inflammasome activation and related regulatory mechanisms were
evaluated. Results: Mice fed with KD had increased tolerance to MCAO/R. KD
inhibited endoplasmic reticulum (ER) stress and suppressed TXNIP/NLRP3
inflammasome activation in the brain. The in vitro study showed BHB (10 mM)
prevented the mitochondrial translocation of dynamin-related protein 1 (Drp1) to
inhibit mitochondrial fission. Furthermore, BHB decreased reactive oxygen species
(ROS) generation, inhibited ROS-NLRP3 pathway in OGD/R-treated cells, and
suppressed ER stress-induced NLRP3 inflammasome activation. Conclusions: KD may
suppress ER stress and protect mitochondrial integrity by suppressing the
mitochondrial translocation of Drp1 to inhibit NLRP3 inflammasome activation,
thus exerting neuroprotective effects. Our findings provide evidence for the
potential application of KD in the prevention of ischemic stroke.
PMID- 29662438
TI - Cannabinoid Receptor Type 1 Expression in the Developing Avian Retina:
Morphological and Functional Correlation With the Dopaminergic System.
AB - The avian retina has been used as a model to study signaling by different neuro-
and gliotransmitters. It is unclear how dopaminergic and cannabinoid systems are
related in the retina. Here we studied the expression of type 1 and 2 cannabinoid
receptors (CB1 and CB2), as well as monoacylglycerol lipase (MAGL), the enzyme
that degrades 2-arachidonoylglycerol (2-AG), during retina development. Our data
show that CB1 receptor is highly expressed from embryonic day 5 (E5) until post
hatched day 7 (PE7), decreasing its levels throughout development. CB1 is densely
found in the ganglion cell layer (GCL) and inner plexiform layer (IPL). CB2
receptor was also found from E5 until PE7 with a decrease in its contents from E9
afterwards. CB2 was mainly present in the lamination of the IPL at PE7. MAGL is
expressed in all retinal layers, mainly in the IPL and OPL from E9 to PE7 retina.
CB1 and CB2 were found both in neurons and glia cells, but MAGL was only
expressed in Muller glia. Older retinas (PE7) show CB1 positive cells mainly in
the INL and co-expression of CB1 and tyrosine hydroxylase (TH) are shown in a few
cells when both systems are mature. CB1 co-localized with TH and was heavily
associated to D1 receptor labeling in primary cell cultures. Finally, cyclic AMP
(cAMP) was activated by the selective D1 agonist SKF38393, and inhibited when
cultures were treated with WIN55, 212-2 (WIN) in a CB1 dependent manner. The
results suggest a correlation between the endocannabinoid and dopaminergic
systems (DSs) during the avian retina development. Activation of CB1 limits cAMP
accumulation via D1 receptor activation and may influence embryological
parameters during avian retina differentiation.
PMID- 29662439
TI - Chondroitin Sulfate Expression in Perineuronal Nets After Goldfish Spinal Cord
Lesion.
AB - Perineuronal nets (PNNs) surrounding neuronal cell bodies regulate neuronal
plasticity during development, but their roles in regeneration are unclear. In
the PNNs, chondroitin sulfate (CS) is assumed to be involved in inhibiting
contact formation. Here, we examined CS expression in PNNs in the ventral horn of
a goldfish hemisected spinal cord in which descending axons regenerate beyond the
lesion to connect with distal spinal neurons. In intact fish, chondroitin sulfate
A (CS-A)-positive PNNs accounted for 5.0% of HuC/D-immunoreactive neurons, and
48% of choline acetyltransferase (ChAT)-immunoreactive neurons. At 2, 4 and 8
weeks after spinal hemisection, CS-A-positive PNNs accounted for 8.4%-9.9% of
HuC/D-immunoreactive neurons, and 50%-60% of ChAT-immunoreactive neurons, which
was not significantly different from intact fish. Chondroitin sulfate C (CS-C)
positive PNNs accounted for 6.4% of HuC/D-immunoreactive neuron, and 67% of ChAT
immunoreactive neurons in intact fish. At 2, 4 and 8 weeks after spinal
hemisection, CS-C-positive PNNs accounted for 7.9%, 5.5% and 4.3%, respectively,
of HuC/D-immunoreactive neurons, and 65%, 52% and 42%, respectively, of ChAT
immunoreactive neurons, demonstrating a significant decrease at 4 and 8 weeks
after spinal hemisection. Among ventral horn neurons that received descending
axons labeled with tetramethylrhodamine dextran amine (RDA) applied at the level
of the first spinal nerve, CS-A-positive PNNs accounted for 53% of HuC/D
immunoreactive neurons. At 2 and 4 weeks after spinal hemisection, CS-A-positive
PNNs accounted for 57% and 56% of HuC/D-immunoreactive neurons, which was not
significantly different from intact fish. CS-C-positive PNNs, accounted for 48%
of HuC/D-immunoreactive neurons that received RDA-labeled axons. At 2 and 4 weeks
after spinal hemisection, CS-C-positive PNNs significantly decreased to 22% of
the HuC/D-immunoreactive neurons, and by 4 weeks after spinal hemisection they
had returned to 47%. These findings suggest that CS expression is maintained in
the PNNs after spinal cord lesion, and that the descending axons regenerate to
preferentially terminate on neurons not covered with CS-C-positive PNNs.
Therefore, CS-C in the PNNs possibly inhibits new contact with descending axons,
and plasticity in the spinal neurons might be endowed by downregulation of CS-C
in the PNNs in the regeneration process after spinal hemisection in goldfish.
PMID- 29662436
TI - The Neuroglial Dialog Between Cannabinoids and Hemichannels.
AB - The formation of gap junctions was initially thought to be the central role of
connexins, however, recent evidence had brought to light the high relevance of
unopposed hemichannels as an independent mechanism for the selective release of
biomolecules during physiological and pathological conditions. In the healthy
brain, the physiological opening of astrocyte hemichannels modulates basal
excitatory synaptic transmission. At the other end, the release of potentially
neurotoxic compounds through astroglial hemichannels and pannexons has been
insinuated as one of the functional alterations that negatively affect the
progression of multiple brain diseases. Recent insights in this matter have
suggested encannabinoids (eCBs) as molecules that could regulate the opening of
these channels during diverse conditions. In this review, we discuss and
hypothesize the possible interplay between the eCB system and the
hemichannel/pannexon-mediated signaling in the inflamed brain and during event of
synaptic plasticity. Most findings indicate that eCBs seem to counteract the
activation of major neuroinflammatory pathways that lead to glia-mediated
production of TNF-alpha and IL-1beta, both well-known triggers of astroglial
hemichannel opening. In contrast to the latter, in the normal brain, eCBs
apparently elicit the Ca2+-activation of astrocyte hemichannels, which could have
significant consequences on eCB-dependent synaptic plasticity.
PMID- 29662440
TI - Electrophysiological Properties of Melanin-Concentrating Hormone and Orexin
Neurons in Adolescent Rats.
AB - Orexin and melanin-concentrating hormone (MCH) neurons have complementary roles
in various physiological functions including energy balance and the sleep/wake
cycle. in vitro electrophysiological studies investigating these cells typically
use post-weaning rodents, corresponding to adolescence. However, it is unclear
whether these neurons are functionally mature at this period and whether these
studies can be generalized to adult cells. Therefore, we examined the
electrophysiological properties of orexin and MCH neurons in brain slices from
post-weaning rats and found that MCH neurons undergo an age-dependent reduction
in excitability, but not orexin neurons. Specifically, MCH neurons displayed an
age-dependent hyperpolarization of the resting membrane potential (RMP),
depolarizing shift of the threshold, and decrease in excitatory transmission,
which reach the adult level by 7 weeks of age. In contrast, basic properties of
orexin neurons were stable from 4 weeks to 14 weeks of age. Furthermore, a robust
short-term facilitation of excitatory synapses was found in MCH neurons, which
showed age-dependent changes during the post-weaning period. On the other hand, a
strong short-term depression was observed in orexin neurons, which was similar
throughout the same period. These differences in synaptic responses and age
dependence likely differentially affect the network activity within the lateral
hypothalamus where these cells co-exist. In summary, our study suggests that
orexin neurons are electrophysiologically mature before adolescence whereas MCH
neurons continue to develop until late adolescence. These changes in MCH neurons
may contribute to growth spurts or consolidation of adult sleep patterns
associated with adolescence. Furthermore, these results highlight the importance
of considering the age of animals in studies involving MCH neurons.
PMID- 29662441
TI - Characterization of Hair Cell-Like Cells Converted From Supporting Cells After
Notch Inhibition in Cultures of the Organ of Corti From Neonatal Gerbils.
AB - The senses of hearing and balance depend upon hair cells, the sensory receptors
of the inner ear. Hair cells transduce mechanical stimuli into electrical
activity. Loss of hair cells as a result of aging or exposure to noise and
ototoxic drugs is the major cause of noncongenital hearing and balance deficits.
In the ear of non-mammals, lost hair cells can spontaneously be replaced by
production of new hair cells from conversion of supporting cells. Although
supporting cells in adult mammals have lost that capability, neonatal supporting
cells are able to convert to hair cells after inhibition of Notch signaling. We
questioned whether Notch inhibition is sufficient to convert supporting cells to
functional hair cells using electrophysiology and electron microscopy. We showed
that pharmacological inhibition of the canonical Notch pathway in the cultured
organ of Corti prepared from neonatal gerbils induced stereocilia formation in
supporting cells (defined as hair cell-like cells or HCLCs) and supernumerary
stereocilia in hair cells. The newly emerged stereocilia bundles of HCLCs were
functional, i.e., able to respond to mechanical stimulation with
mechanotransduction (MET) current. Transmission electron microscopy (TEM) showed
that HCLCs converted from pillar cells maintained the pillar cell shape and that
subsurface cisternae, normally observed underneath the cytoskeleton in outer hair
cells (OHCs), was not present in Deiters' cells-derived HCLCs. Voltage-clamp
recordings showed that whole-cell currents from Deiters' cells-derived HCLCs
retained the same kinetics and magnitude seen in normal Deiters' cells and that
nonlinear capacitance (NLC), an electrical hallmark of OHC electromotility, was
not detected from any HCLCs measured. Taken together, these results suggest that
while Notch inhibition is sufficient for promoting stereocilia bundle formation,
it is insufficient to convert neonatal supporting cells to mature hair cells. The
fact that Notch inhibition led to stereocilia formation in supporting cells and
supernumerary stereocilia in existing hair cells appears to suggest that Notch
signaling may regulate stereocilia formation and stability during development.
PMID- 29662442
TI - Topographic Organization of Cholinergic Innervation From the Basal Forebrain to
the Visual Cortex in the Rat.
AB - Acetylcholine is an important neurotransmitter for the regulation of visual
attention, plasticity, and perceptual learning. It is released in the visual
cortex predominantly by cholinergic projections from the basal forebrain, where
stimulation may produce potentiation of visual processes. However, little is
known about the fine organization of these corticopetal projections, such as
whether basal forebrain neurons projecting to the primary and secondary visual
cortical areas (V1 and V2, respectively) are organized retinotopically. The aim
of this study was to map these basal forebrain-V1/V2 projections. Microinjections
of the fluorescent retrograde tracer cholera toxin b fragment in different sites
within V1 and V2 in Long-Evans rats were performed. Retrogradely labeled cell
bodies in the horizontal and vertical limbs of the diagonal band of Broca (HDB
and VDB, respectively), nucleus basalis magnocellularis, and substantia
innominata (SI), were mapped ex vivo with a computer-assisted microscope stage
controlled by stereological software. Choline acetyltranferase
immunohistochemistry was used to identify cholinergic cells. Our results showed a
predominance of cholinergic projections coming from the HDB. These projections
were not retinotopically organized but projections to V1 arised from neurons
located in the anterior HDB/SI whereas projections to V2 arised from neurons
located throughout the whole extent of HDB/SI. The absence of a clear topography
of these projections suggests that BF activation can stimulate visual cortices
broadly.
PMID- 29662443
TI - Emotion Regulation and Complex Brain Networks: Association Between Expressive
Suppression and Efficiency in the Fronto-Parietal Network and Default-Mode
Network.
AB - Emotion regulation (ER) refers to the "implementation of a conscious or non
conscious goal to start, stop or otherwise modulate the trajectory of an emotion"
(Etkin et al., 2015). Whereas multiple brain areas have been found to be involved
in ER, relatively little is known about whether and how ER is associated with the
global functioning of brain networks. Recent advances in brain connectivity
research using graph-theory based analysis have shown that the brain can be
organized into complex networks composed of functionally or structurally
connected brain areas. Global efficiency is one graphic metric indicating the
efficiency of information exchange among brain areas and is utilized to measure
global functioning of brain networks. The present study examined the relationship
between trait measures of ER (expressive suppression (ES) and cognitive
reappraisal (CR)) and global efficiency in resting-state functional brain
networks (the whole brain network and ten predefined networks) using structural
equation modeling (SEM). The results showed that ES was reliably associated with
efficiency in the fronto-parietal network and default-mode network. The finding
advances the understanding of neural substrates of ER, revealing the relationship
between ES and efficient organization of brain networks.
PMID- 29662444
TI - Distinctive Correspondence Between Separable Visual Attention Functions and
Intrinsic Brain Networks.
AB - Separable visual attention functions are assumed to rely on distinct but
interacting neural mechanisms. Bundesen's "theory of visual attention" (TVA)
allows the mathematical estimation of independent parameters that characterize
individuals' visual attentional capacity (i.e., visual processing speed and
visual short-term memory storage capacity) and selectivity functions (i.e., top
down control and spatial laterality). However, it is unclear whether these
parameters distinctively map onto different brain networks obtained from
intrinsic functional connectivity, which organizes slowly fluctuating ongoing
brain activity. In our study, 31 demographically homogeneous healthy young
participants performed whole- and partial-report tasks and underwent resting
state functional magnetic resonance imaging (rs-fMRI). Report accuracy was
modeled using TVA to estimate, individually, the four TVA parameters. Networks
encompassing cortical areas relevant for visual attention were derived from
independent component analysis of rs-fMRI data: visual, executive control, right
and left frontoparietal, and ventral and dorsal attention networks. Two TVA
parameters were mapped on particular functional networks. First, participants
with higher (vs. lower) visual processing speed showed lower functional
connectivity within the ventral attention network. Second, participants with more
(vs. less) efficient top-down control showed higher functional connectivity
within the dorsal attention network and lower functional connectivity within the
visual network. Additionally, higher performance was associated with higher
functional connectivity between networks: specifically, between the ventral
attention and right frontoparietal networks for visual processing speed, and
between the visual and executive control networks for top-down control. The
higher inter-network functional connectivity was related to lower intra-network
connectivity. These results demonstrate that separable visual attention
parameters that are assumed to constitute relatively stable traits correspond
distinctly to the functional connectivity both within and between particular
functional networks. This implies that individual differences in basic attention
functions are represented by differences in the coherence of slowly fluctuating
brain activity.
PMID- 29662445
TI - Dysregulation of Pain- and Emotion-Related Networks in Trigeminal Neuralgia.
AB - Classical trigeminal neuralgia (TN) is a severe neuropathic facial pain disorder
associated with increased risks of anxiety and depression. Converging evidence
suggests that chronic pain pathophysiology involves dysfunctional pain-related
and emotion-related networks. However, whether these systems are also among the
culprit networks for TN remains unclear. Here, we aimed to assess TN-related
anatomical and functional brain anomalies in pain-related and emotion-related
networks. We investigated differences in gray matter (GM) volume and the related
resting-state functional connectivity (rsFC) between 29 classical TN patients and
34 matched healthy controls. Relationships between brain measurement alterations,
clinical pain and emotional states were identified. A longitudinal observation
was further conducted to determine whether alterations in the brain could
renormalize following pain relief. Reduced GM volumes in the bilateral amygdala,
periaqueductal gray (PAG) and right insula were found in TN patients compared
with healthy control subjects. Whole-brain rsFC analyses with the four above
mentioned anatomical regions as seeds identified three significantly altered
functional circuits, including amygdala-DLPFC, amygdala-mPFC and amygdala
thalamus/putamen circuitry. The amygdala-DLPFC and amygdala-mPFC circuits were
associated with clinical pain duration and emotional state ratings, respectively.
Further longitudinal analysis found that rsFC strength abnormalities in two
fronto-limbic circuits (left amygdala/left DLPFC and right amygdala/right PFC)
were resolved after pain relief. Together, structural and functional deficits in
pain-related and emotion-related networks were associated with TN patients, as
demonstrated by our multimodal results. Pain relief had protective effects on
brain functional connectivity within fronto-limbic circuits. Our study provides
novel insights into the pathophysiology of TN, which may ultimately facilitate
advances in TN intervention.
PMID- 29662446
TI - A Knowledge-Based Arrangement of Prototypical Neural Representation Prior to
Experience Contributes to Selectivity in Upcoming Knowledge Acquisition.
AB - Knowledge acquisition is a process in which one actively selects a piece of
information from the environment and assimilates it with prior knowledge.
However, little is known about the neural mechanism underlying selectivity in
knowledge acquisition. Here we executed a 2-day human experiment to investigate
the involvement of characteristic spontaneous activity resembling a so-called
"preplay" in selectivity in sentence comprehension, an instance of knowledge
acquisition. On day 1, we presented 10 sentences (prior sentences) that were
difficult to understand on their own. On the following day, we first measured the
resting-state functional magnetic resonance imaging (fMRI). Then, we administered
a sentence comprehension task using 20 new sentences (posterior sentences). The
posterior sentences were also difficult to understand on their own, but some
could be associated with prior sentences to facilitate their understanding. Next,
we measured the posterior sentence-induced fMRI to identify the neural
representation. From the resting-state fMRI, we extracted the appearances of
activity patterns similar to the neural representations for posterior sentences.
Importantly, the resting-state fMRI was measured before giving the posterior
sentences, and thus such appearances could be considered as preplay-like or
prototypical neural representations. We compared the intensities of such
appearances with the understanding of posterior sentences. This gave a positive
correlation between these two variables, but only if posterior sentences were
associated with prior sentences. Additional analysis showed the contribution of
the entorhinal cortex, rather than the hippocampus, to the correlation. The
present study suggests that prior knowledge-based arrangement of neural activity
before an experience contributes to the active selection of information to be
learned. Such arrangement prior to an experience resembles preplay activity
observed in the rodent brain. In terms of knowledge acquisition, the present
study leads to a new view of the brain (or more precisely of the brain's
knowledge) as an autopoietic system in which the brain (or knowledge) selects
what it should learn by itself, arranges preplay-like activity as a position for
the new information in advance, and actively reorganizes itself.
PMID- 29662447
TI - Neural Mechanisms Underlying Time Perception and Reward Anticipation.
AB - Findings suggest that the physiological mechanisms involved in the reward
anticipation and time perception partially overlap. But the systematic
investigation of a potential interaction between time and reward systems using
neuroimaging is lacking. Eighteen healthy volunteers (all right-handed)
participated in an event-related functional magnetic resonance imaging (fMRI)
experiment that employs a visual paradigm that consists monetary reward to assess
whether the functional neural representations of time perception and reward
prospection are shared or distinct. Subjects performed a time perception task in
which observers had to extrapolate the velocity of an occluded moving object in
"reward" vs. "no-reward" sessions during fMRI scanning. There were also "control
condition" trials in which participants judged about the color tone change of the
stimuli. Time perception showed a fronto-parietal (more extensive in the right)
cingulate and peristriate cortical as well as cerebellar activity. On the other
hand, reward anticipation activated anterior insular cortex, nucleus accumbens,
caudate nucleus, thalamus, cerebellum, postcentral gyrus, and peristriate cortex.
Interaction between the time perception and the reward prospect showed
dorsolateral, orbitofrontal, medial prefrontal and caudate nucleus activity. Our
findings suggest that a prefrontal-striatal circuit might integrate reward and
timing systems of the brain.
PMID- 29662448
TI - Berry Phenolic Antioxidants - Implications for Human Health?
AB - Antioxidants present in the diet may have a significant effect on the prophylaxis
and progression of various diseases associated with oxidative stress. Berries
contain a range of chemical compounds with antioxidant properties, including
phenolic compounds. The aim of this review article is to provide an overview of
the current knowledge of such phenolic antioxidants, and to discuss whether these
compounds may always be natural gifts for human health, based on both in vitro
and in vivo studies. It describes the antioxidant properties of fresh berries
(including aronia berries, grapes, blueberries, sea buckthorn berries,
strawberries and other berries) and their various products, especially juices and
wines. Some papers report that these phenolic compounds may sometimes behave like
prooxidants, and sometimes demonstrate both antioxidant and prooxidant activity,
while others note they do not behave the same way in vitro and in vivo. However,
no unwanted or toxic effects (i.e., chemical, hematological or urinary effect)
have been associated with the consumption of berries or berry juices or other
extracts, especially aronia berries and aronia products in vivo, and in vitro,
which may suggest that the phenolic antioxidants found in berries are natural
gifts for human health. However, the phenolic compound content of berries and
berry products is not always well described, and further studies are required to
determine the therapeutic doses of different berry products for use in future
clinical studies. Moreover, further experiments are needed to understand the
beneficial effects reported so far from the mechanistic point of view. Therefore,
greater attention should be paid to the development of well-controlled and high
quality clinical studies in this area.
PMID- 29662450
TI - Poly(Ethylene Glycol)-Polylactide Micelles for Cancer Therapy.
AB - For the treatment of malignancy, many therapeutic agents, including small
molecules, photosensitizers, immunomodulators, proteins and genes, and so forth,
have been loaded into nanocarriers for controllable cancer therapy. Among these
nanocarriers, polymeric micelles have been considered as one of the most
promising nanocarriers, some of which have already been applied in different
stages of clinical trials. The successful advantages of polymeric micelles from
bench to bedside are due to their special core/shell structures, which can carry
specific drugs in certain disease conditions. Particularly, poly(ethylene glycol)
polylactide (PEG-PLA) micelles have been considered as one of the most promising
platforms for drug delivery. The PEG shell effectively prevents the adsorption of
proteins and phagocytes, thereby evidently extending the blood circulation
period. Meanwhile, the hydrophobic PLA core can effectively encapsulate many
therapeutic agents. This review summarizes recent advances in PEG-PLA micelles
for the treatment of malignancy. In addition, future perspectives for the
development of PEG-PLA micelles as drug delivery systems are also presented.
PMID- 29662451
TI - Risk Assessment and Risk Minimization in Nanomedicine: A Need for Predictive,
Alternative, and 3Rs Strategies.
AB - The use of nanomaterials in medicine has grown very rapidly, leading to a concern
about possible health risks. Surely, the application of nanotechnology in
medicine has many significant potentialities as it can improve human health in at
least three different ways: by contributing to early disease diagnosis, improved
treatment outcomes and containment of health care costs. However, toxicology or
safety assessment is an integral part of any new medical technology and the
nanotechnologies are no exception. The principle aim of nanosafety studies in
this frame is to enable safer design of nanomedicines. The most urgent need is
finding and validating novel approaches able to extrapolate acute in vitro
results for the prediction of chronic in vivo effects and to this purpose a few
European initiatives have been launched. While a "safe-by-design" process may be
considered as utopic, "safer-by-design" is probably a reachable goal in the field
of nanomedicine.
PMID- 29662449
TI - Multiple Transceptors for Macro- and Micro-Nutrients Control Diverse Cellular
Properties Through the PKA Pathway in Yeast: A Paradigm for the Rapidly Expanding
World of Eukaryotic Nutrient Transceptors Up to Those in Human Cells.
AB - The nutrient composition of the medium has dramatic effects on many cellular
properties in the yeast Saccharomyces cerevisiae. In addition to the well-known
specific responses to starvation for an essential nutrient, like nitrogen or
phosphate, the presence of fermentable sugar or a respirative carbon source leads
to predominance of fermentation or respiration, respectively. Fermenting and
respiring cells also show strong differences in other properties, like storage
carbohydrate levels, general stress tolerance and cellular growth rate. However,
the main glucose repression pathway, which controls the switch between
respiration and fermentation, is not involved in control of these properties.
They are controlled by the protein kinase A (PKA) pathway. Addition of glucose to
respiring yeast cells triggers cAMP synthesis, activation of PKA and rapid
modification of its targets, like storage carbohydrate levels, general stress
tolerance and growth rate. However, starvation of fermenting cells in a glucose
medium for any essential macro- or micro-nutrient counteracts this effect,
leading to downregulation of PKA and its targets concomitant with growth arrest
and entrance into G0. Re-addition of the lacking nutrient triggers rapid
activation of the PKA pathway, without involvement of cAMP as second messenger.
Investigation of the sensing mechanism has revealed that the specific high
affinity nutrient transporter(s) induced during starvation function as
transporter-receptors or transceptors for rapid activation of PKA upon re
addition of the missing substrate. In this way, transceptors have been identified
for amino acids, ammonium, phosphate, sulfate, iron, and zinc. We propose a
hypothesis for regulation of PKA activity by nutrient transceptors to serve as a
conceptual framework for future experimentation. Many properties of transceptors
appear to be similar to those of classical receptors and nutrient transceptors
may constitute intermediate forms in the development of receptors from nutrient
transporters during evolution. The nutrient-sensing transceptor system in yeast
for activation of the PKA pathway has served as a paradigm for similar studies on
candidate nutrient transceptors in other eukaryotes and we succinctly discuss the
many examples of transceptors that have already been documented in other yeast
species, filamentous fungi, plants, and animals, including the examples in human
cells.
PMID- 29662452
TI - A Novel EphA2 Inhibitor Exerts Beneficial Effects in PI-IBS in Vivo and in Vitro
Models via Nrf2 and NF-kappaB Signaling Pathways.
AB - Though the detailed pathological mechanism of post-infectious irritable bowel
syndrome (PI-IBS) remains unclear, accumulating evidence indicates that oxidative
stress and inflammation are implicated in the process of PI-IBS. Oxidative stress
and inflammation are regulated by Nrf2 and NF-kappaB signaling pathways,
respectively. EphA2, a member of Eph receptor family, promotes oxidative stress
and inflammatory responses via regulation of Nrf2 and NF-kappaB signaling
pathways in various types of human diseases. Understanding the mechanisms by
which EphA2 regulate oxidative stress and inflammation in PI-IBS is important for
the development of new strategies to treat PI-IBS. However, the effects of ALW-II
41-27, a novel EphA2 inhibitor on PI-IBS and the underlying molecular mechanisms
have never been studied. In the present study, we showed that ALW-II-41-27
decreased gastrointestinal motility and abdominal withdrawal reflex (AWR) scores,
markedly reduced the levels of oxidative stress markers [4-hydroxy-2-nonenal (4
HNE), protein carbonyl, and 8-hydroxy-2-de-axyguanine (8-OHdG)] and
proinflammatory cytokines (TNF-alpha, IL-6, IL-17, and ICAM-1), and remarkably
increased the level of anti-inflammatory cytokine (IL-10) in serum and colon of
Trichinella spiralis-infected mice. Moreover, ALW-II-41-27 was effective in
suppressing oxidative stress and inflammation in LPS-treated NCM460 colonic
cells. Treatment of ALW-II-41-27 reversed the activation of NF-kappaB and
inactivation of Nrf2 in LPS-treated NCM460 cells. Importantly, these protective
effects of ALW-II-41-27 were partially inhibited by EphA2 KO and abolished by
EphA2 overexpression. In conclusion, EphA2 may represent a promising therapeutic
target for patients with PI-IBS and ALW-II-41-27 might function as a novel
therapeutic agent for PI-IBS.
PMID- 29662453
TI - Response of Cultured Neuronal Network Activity After High-Intensity Power
Frequency Magnetic Field Exposure.
AB - High-intensity and low frequency (1-100 kHz) time-varying electromagnetic fields
stimulate the human body through excitation of the nervous system. In power
frequency range (50/60 Hz), a frequency-dependent threshold of the external
electric field-induced neuronal modulation in cultured neuronal networks was used
as one of the biological indicator in international guidelines; however, the
threshold of the magnetic field-induced neuronal modulation has not been
elucidated. In this study, we exposed rat brain-derived neuronal networks to a
high-intensity power frequency magnetic field (hPF-MF), and evaluated the
modulation of synchronized bursting activity using a multi-electrode array (MEA)
based extracellular recording technique. As a result of short-term hPF-MF
exposure (50-400 mT root-mean-square (rms), 50 Hz, sinusoidal wave, 6 s), the
synchronized bursting activity was increased in the 400 mT-exposed group. On the
other hand, no change was observed in the 50-200 mT-exposed groups. In order to
clarify the mechanisms of the 400 mT hPF-MF exposure-induced neuronal response,
we evaluated it after blocking inhibitory synapses using bicuculline methiodide
(BMI); subsequently, increase in bursting activity was observed with BMI
application, and the response of 400 mT hPF-MF exposure disappeared. Therefore,
it was suggested that the response of hPF-MF exposure was involved in the
inhibitory input. Next, we screened the inhibitory pacemaker-like neuronal
activity which showed autonomous 4-10 Hz firing with CNQX and D-AP5 application,
and it was confirmed that the activity was reduced after 400 mT hPF-MF exposure.
Comparison of these experimental results with estimated values of the induced
electric field (E-field) in the culture medium revealed that the change in
synchronized bursting activity occurred over 0.3 V/m, which was equivalent to the
findings of a previous study that used the external electric fields. In addition,
the results suggested that the potentiation of neuronal activity after 400 mT hPF
MF exposure was related to the depression of autonomous activity of pacemaker
like neurons. Our results indicated that the synchronized bursting activity was
increased by hPF-MF exposure (E-field: >0.3 V/m), and the response was due to
reduced inhibitory pacemaker-like neuronal activity.
PMID- 29662454
TI - The Biological Clock: A Pivotal Hub in Non-alcoholic Fatty Liver Disease
Pathogenesis.
AB - Non-alcoholic fatty liver disease (NAFLD) is the most frequent hepatic pathology
in the Western world and may evolve into steatohepatitis (NASH), increasing the
risk of cirrhosis, portal hypertension and hepatocellular carcinoma. NAFLD
derives from the accumulation of hepatic fat due to discrepant free fatty acid
metabolism. Other factors contributing to this are deranged nutrients and bile
acids fluxes as well as alterations in nuclear receptors, hormones, and
intermediary metabolites, which impact on signaling pathways involved in
metabolism and inflammation. Autophagy and host gut-microbiota interplay are also
relevant to NAFLD pathogenesis. Notably, liver metabolic pathways and bile acid
synthesis as well as autophagic and immune/inflammatory processes all show
circadian patterns driven by the biological clock. Gut microbiota impacts on the
biological clock, at the same time as the appropriate timing of metabolic fluxes,
hormone secretion, bile acid turnover, autophagy and inflammation with
behavioural cycles of fasting/feeding and sleeping/waking is required to
circumvent hepatosteatosis, indicating significant interactions of the gut and
circadian processes in NAFLD pathophysiology. Several time-related factors and
processes interplay in NAFLD development, with the biological clock proposed to
act as a network level hub. Deranged physiological rhythms (chronodisruption) may
also play a role in liver steatosis pathogenesis. The current article reviews how
the circadian clock circuitry intimately interacts with several mechanisms
involved in the onset of hepatosteatosis and its progression to NASH, thereby
contributing to the global NAFLD epidemic.
PMID- 29662455
TI - Fitness Level and Not Aging per se, Determines the Oxygen Uptake Kinetics
Response.
AB - Although aging has been associated to slower [Formula: see text]O2 kinetics, some
evidence indicates that fitness status and not aging per se might modulate this
response. The main goal of this study was to examine the [Formula: see text]O2,
deoxygenated hemoglobin+myoglobin (deoxy-[Hb+Mb]) kinetics, and the NIRS-derived
vascular reperfusion responses in older compared to young men of different
training levels (i.e., inactive, recreationally active, and endurance trained).
Ten young inactive [YI; 26 +/- 5 yrs.; peak [Formula: see text]O2 ([Formula: see
text]O2peak), 2.96 +/- 0.55 L.min-1], 10 young recreationally active (YR; 26 +/-
6 yrs.; 3.92 +/- 0.33 L.min-1), 10 young endurance trained (YT; 30 +/- 4 yrs.;
4.42 +/- 0.32 L.min-1), 7 older inactive (OI; 69 +/- 4 yrs.; 2.50 +/- 0.31 L.min
1), 10 older recreationally active (OR; 69 +/- 5 yrs.; 2.71 +/- 0.42 L.min-1),
and 10 older endurance trained (OT; 66 +/- 3 yrs.; 3.20 +/- 0.35 L.min-1) men
completed transitions of moderate intensity cycling exercise (MODS) to determine
[Formula: see text]O2 and deoxy-[Hb+Mb] kinetics, and the deoxy-[Hb+Mb]/[Formula:
see text]O2 ratio. The time constant of [Formula: see text]O2 (tau[Formula: see
text]O2) was greater in YI (38.8 +/- 10.4 s) and OI (44.1 +/- 10.8 s) compared
with YR (26.8 +/- 7.5 s) and OR (26.6 +/- 6.5 s), as well as compared to YT (14.8
+/- 3.4 s), and OT (17.7 +/- 2.7 s) (p < 0.05). tau[Formula: see text]O2 was
greater in YR and OR compared with YT and OT (p < 0.05). The deoxy
[Hb+Mb]/[Formula: see text]O2 ratio was greater in YI (1.23 +/- 0.05) and OI
(1.29 +/- 0.08) compared with YR (1.11 +/- 0.03) and OR (1.13 +/- 0.06), as well
as compared to YT (1.01 +/- 0.03), and OT (1.06 +/- 0.03) (p < 0.05). Similarly,
the deoxy-[Hb+Mb]/ [Formula: see text]O2 ratio was greater in YR and OR compared
with YT and OT (p < 0.05). There was a main effect of training (p = 0.033),
whereby inactive (p = 0.018) and recreationally active men (p = 0.031) had
significantly poorer vascular reperfusion than endurance trained men regardless
of age. This study demonstrated not only that age-related slowing of [Formula:
see text]O2 kinetics can be eliminated in endurance trained individuals, but also
that inactive lifestyle negatively impacts the [Formula: see text]O2 kinetics
response of young healthy individuals.
PMID- 29662456
TI - Dynamic Regulation of Circulating microRNAs During Acute Exercise and Long-Term
Exercise Training in Basketball Athletes.
AB - Emerging evidence indicates the beneficial effects of physical exercise on human
health, which depends on the intensity, training time, exercise type,
environmental factors, and the personal health status. Conventional biomarkers
provide limited insight into the exercise-induced adaptive processes. Circulating
microRNAs (miRNAs, miRs) are dynamically regulated in response to acute
exhaustive exercise and sustained rowing, running and cycling exercises. However,
circulating miRNAs in response to long-term basketball exercise remains unknown.
Here, we enrolled 10 basketball athletes who will attend a basketball season for
3 months. Specifically, circulating miRNAs which were involved in angiogenesis,
inflammation and enriched in muscle and/or cardiac tissues were analyzed at
baseline, immediately following acute exhaustive exercise and after 3-month
basketball matches in competitive male basketball athletes. Circulating miR-208b
was decreased and miR-221 was increased after 3-month basketball exercise, while
circulating miR-221, miR-21, miR-146a, and miR-210 were reduced at post-acute
exercise. The change of miR-146a (baseline vs. post-acute exercise) showed linear
correlations with baseline levels of cardiac marker CKMB and the changes of
inflammation marker Hs-CRP (baseline vs. post-acute exercise). Besides, linear
correlation was observed between miR-208b changes (baseline vs. after long-term
exercise) and AT VO2 (baseline). The changes of miR-221 (baseline vs. after long
term exercise) were significantly correlated with AT VO2, peak work load and CK
(after 3-month basketball matches). Although further studies are needed, present
findings set the stage for defining circulating miRNAs as biomarkers and
suggesting their physiological roles in long-term exercise training induced
cardiovascular adaptation.
PMID- 29662457
TI - A Blind Spot in Research on Foreign Language Effects in Judgment and Decision
Making.
AB - One of the most fascinating topics of current investigation in the literature on
judgment and decision-making concerns the exploration of foreign language effects
(henceforth, FLE). Specifically, recent research suggests that presenting
information in a foreign language helps reasoners make better choices. However,
this piece aims at making scholars aware of a blind spot in this stream of
research. In particular, research on FLE has imported only one view of judgment
and decision-making, in which the heuristics that people use are seen as
conducive to biases and, in turn, to costly mistakes. But heuristics are not
necessarily a liability, and this article indicates two routes to push forward
research on FLE in judgment and decision-making. First, research on FLE should be
expanded to explore also classes of fast and frugal heuristics, which have been
shown to lead to accurate predictions in several contexts characterized by
uncertainty. Second, research on FLE should be open to challenge the
interpretations given to previous FLE findings, since alternative accounts are
plausible and not ruled out by evidence.
PMID- 29662459
TI - Too Depleted to Turn In: The Relevance of End-of-the-Day Resource Depletion for
Reducing Bedtime Procrastination.
AB - Bedtime procrastination is an important predictor of sleep insufficiency in the
general population (Kroese et al., 2014b), but little is known about the
determinants of this self-undermining behavior. As the phenomenon has been
conceptualized in the literature as a form of self-regulation failure (Kroese et
al., 2014a), we hypothesized that people's self-regulatory resources in the
evening would be predictive of going to bed later than they intended.
Specifically, we examined whether the cumulative effect of resisting desires, a
measure of self-regulatory resource depletion (Hofmann et al., 2012b), relates to
bedtime procrastination. Participants (N = 218) reported how many desires they
had tried to resist during the previous day and the extent of their bedtime
procrastination. Results show that people who attempted to resist more desires
were more likely to engage in bedtime procrastination, suggesting that people may
be less likely to stick to their intended bedtime after a particularly taxing
day. Implications for intervention strategies are discussed.
PMID- 29662460
TI - Sensation Seeking and Adaptation in Parabonauts.
AB - Evidence from extreme environments suggests that there are relationships between
difficulties of adaptation and psychological factors such as personality. In the
framework of microgravity research on humans, the aim of this exploratory study
was to investigate inter-individual differences of parabonauts on the basis of
quality of adaptation to the physical demands of parabolic flights. The
personality characteristics of two groups of parabonauts with a different quality
of adaptation (an Adaptive group, N = 7, and a Maladaptive group, N = 15) were
assessed using the Sensation Seeking Scale, Brief COPE, and MSSQ-Short. Compared
to the Maladaptive group, the individuals of the Adaptive group scored higher on
Boredom Susceptibility (i.e., a subscale of the Sensation Seeking Scale), lower
on scales of susceptibility to motion sickness (MSSQ-Short) and tended to score
lower on Instrumental Support Seeking (i.e., a subscale of the Brief COPE). These
results suggest that individuals of the Adaptive group are more intolerant to
monotony, present an aversion to repetitive and routine activities, are less
susceptible to motion sickness and less dependent on problem-focused strategies.
These characteristics may have contributed to developing a certain degree of
flexibility in these subjects when faced with the parabolic flight situation and
thus, may have favored them. The identification of differences of personality
characteristics between individuals who have expressed difficulties of adaptation
from those who have adapted successfully could help to prevent the risk of
maladaptation and improve the well-being of (future) commercial or occupational
aerospace passengers. More generally, these results could be extended to extreme
environments and professional and/or sports domains likely to involve risk taking
and unusual situations.
PMID- 29662458
TI - Inhibition, Updating Working Memory, and Shifting Predict Reading Disability
Symptoms in a Hybrid Model: Project KIDS.
AB - Recent achievement research suggests that executive function (EF), a set of
regulatory processes that control both thought and action necessary for goal
directed behavior, is related to typical and atypical reading performance. This
project examines the relation of EF, as measured by its components, Inhibition,
Updating Working Memory, and Shifting, with a hybrid model of reading disability
(RD). Our sample included 420 children who participated in a broader intervention
project when they were in KG-third grade (age M = 6.63 years, SD = 1.04 years,
range = 4.79-10.40 years). At the time their EF was assessed, using a parent
report Behavior Rating Inventory of Executive Function (BRIEF), they had a mean
age of 13.21 years (SD = 1.54 years; range = 10.47-16.63 years). The hybrid model
of RD was operationalized as a composite consisting of four symptoms, and set so
that any child could have any one, any two, any three, any four, or none of the
symptoms included in the hybrid model. The four symptoms include low word reading
achievement, unexpected low word reading achievement, poorer reading
comprehension compared to listening comprehension, and dual-discrepancy response
to-intervention, requiring both low achievement and low growth in word reading.
The results of our multilevel ordinal logistic regression analyses showed a
significant relation between all three components of EF (Inhibition, Updating
Working Memory, and Shifting) and the hybrid model of RD, and that the strength
of EF's predictive power for RD classification was the highest when RD was
modeled as having at least one or more symptoms. Importantly, the chances of
being classified as having RD increased as EF performance worsened and decreased
as EF performance improved. The question of whether any one EF component would
emerge as a superior predictor was also examined and results showed that
Inhibition, Updating Working Memory, and Shifting were equally valuable as
predictors of the hybrid model of RD. In total, all EF components were
significant and equally effective predictors of RD when RD was operationalized
using the hybrid model.
PMID- 29662461
TI - Gender Gaps in Letter-Sound Knowledge Persist Across the First School Year.
AB - Literacy is the cornerstone of a primary school education and enables the
intellectual and social development of young children. Letter-sound knowledge has
been identified as critical for developing proficiency in reading. This study
explored the development of letter-sound knowledge in relation to gender during
the first year of primary school. 485 Norwegian children aged 5-6 years completed
assessment of letter-sound knowledge, i.e., uppercase letters- name; uppercase
letter -sound; lowercase letters- name; lowercase letter-sound. The children were
tested in the beginning, middle, and end of their first school year. The results
revealed a clear gender difference in all four variables in favor of the girls
which were relatively constant over time. Implications for understanding the role
of gender and letter-sound knowledge for later reading performance are discussed.
PMID- 29662462
TI - Visual Grouping in Accordance With Utterance Planning Facilitates Speech
Production.
AB - Research on language production has focused on the process of utterance planning
and involved studying the synchronization between visual gaze and the production
of sentences that refer to objects in the immediate visual environment. However,
it remains unclear how the visual grouping of these objects might influence this
process. To shed light on this issue, the present research examined the effects
of the visual grouping of objects in a visual display on utterance planning in
two experiments. Participants produced utterances of the form "The snail and the
necklace are above/below/on the left/right side of the toothbrush" for objects
containing these referents (e.g., a snail, a necklace and a toothbrush). These
objects were grouped using classic Gestalt principles of color similarity
(Experiment 1) and common region (Experiment 2) so that the induced perceptual
grouping was congruent or incongruent with the required phrasal organization. The
results showed that speech onset latencies were shorter in congruent than
incongruent conditions. The findings therefore reveal that the congruency between
the visual grouping of referents and the required phrasal organization can
influence speech production. Such findings suggest that, when language is
produced in a visual context, speakers make use of both visual and linguistic
cues to plan utterances.
PMID- 29662463
TI - How Do You Manage Change in Organizations? Training, Development, Innovation, and
Their Relationships.
AB - The article aims to be a reflective paper on the interconnected concepts of
training, development and innovation and the potential they have in dealing with
change in organizations. We call change both the process through which something
becomes different and the result of that process. Change management is the
expression used to define the complex of activities, functions, and tools (such
as training courses) through which an organization deals with the introduction of
something new that is relevant for both its survival and growth. Training and
development are labels used to define those educational activities implemented in
organizations to empower the competences of workers, employees and managers in
the lifelong learning perspective of improving their performance. Consequently,
we define competences as those personal characteristics that allow people to be
effective in the changing contexts of both workplace and everyday life. They are
also necessary in organizational innovation, which is the process of transforming
ideas or inventions into goods or services that generate value and for which
customers will pay. Training, development, and innovation are three different but
interconnected functions by which organizations manage change. What is the state
of the art of the literature dealing with these topics? Here, is a critical
review on the matter.
PMID- 29662464
TI - White Matter Tract Alterations in Drug-Naive Parkinson's Disease Patients With
Impulse Control Disorders.
AB - Impulse control disorders (ICDs) are relatively frequent in patients with
Parkinson's disease (PD), although it is still unclear whether an underlying
pathological process plays a significant role in the development of ICD in PD
apart from dopaminergic replacement therapy. In this study, we have investigated
alterations of white matter tract in drug-naive PD patients with ICDs via
diffusion MRI connectometry. Our results showed that disrupted connectivity in
the complex network of dynamic connections between cerebellum, basal ganglia,
cortex, and its spinal projections serves as the underlying neuropathology of ICD
in PD not interfered with the contribution of dopaminergic replacement therapy.
These findings provide the first evidence on involved white matter tracts in the
neuropathogenesis of ICD in drug-naive PD population, supporting the hypothesis
that neural disturbances intrinsic to PD may confer an increased risk for ICDs.
Future studies are needed to validate the attribution of the impaired
corticocerebellar network to impulsivity in PD.
PMID- 29662465
TI - The Length of SNCA Rep1 Microsatellite May Influence Cognitive Evolution in
Parkinson's Disease.
AB - Background: Alpha-synuclein is a constituent of Lewy bodies and mutations of its
gene cause familial Parkinson's disease (PD). A previous study showed that a
variant of the alpha-synuclein gene (SNCA), namely the 263 bp allele of Rep1 was
associated with faster motor progression in PD. On the contrary, a recent report
failed to detect a detrimental effect of Rep1 263 on both motor and cognitive
outcomes in PD. Aim of this study was to evaluate the influence of the Rep1
variants on disease progression in PD patients. Methods: We recruited and
genotyped for SNCA Rep1 426 PD patients with age at onset >=40 years and disease
duration >=4 years. We then analyzed frequency and time of occurrence of wearing
off, dyskinesia, freezing of gait, visual hallucinations, and dementia using a
multivariate Cox's proportional hazards regression model. Results: SNCA Rep1 263
carriers showed significantly increased risk of both dementia (HR = 3.03) and
visual hallucinations (HR = 2.69) compared to 263 non-carriers. Risk of motor
complications did not differ in the two groups. Conclusion: SNCA Rep1 263 allele
is associated with a worse cognitive outcome in PD.
PMID- 29662466
TI - Kisspeptin and Cancer: Molecular Interaction, Biological Functions, and Future
Perspectives.
AB - Cancer disease is the second leading cause of death in the world and one of the
main fields of medical research. Although there is now a greater understanding of
biological mechanisms of uncontrolled cell growth, invasiveness and
metastasization, the multi-step process of cancer development and evolution is
still incompletely understood. The inhibition of molecules activated in cancer
metastasization is an hot topic in cancer research. Among the known
antimetastatic genes, KiSS-1 is involved in the metastatic cascade by preventing
growth of metastasis. Moreover, loss of KiSS-1 protein expression by tumor cells
has been associated with a more aggressive phenotype. KiSS-1 gene encodes a 145
amino acid protein, which following proteolytic cleavage, generates a family of
kisspeptins (Kp-10, -13, and -14), that are endogenous agonists for the G-protein
coupled receptor (GPR54). The antitumor effect of KiSS-1 was primarily associated
with the inhibition of proliferation, migration and cell invasion and,
consequently, the reduced formation of metastasis and intratumoral microvessels.
In this review, we highlight the latest data on the role of kisspeptin signaling
in the suppression of metastasis in various cancer types and the use modulators
of KiSS/GPR54 signaling as potential novel therapeutic agents for the treatment
of cancer.
PMID- 29662468
TI - Ecology, Epidemiology and Disease Management of Ralstonia syzygii in Indonesia.
AB - Ralstonia solanacearum species complex phylotype IV strains, which have been
primarily isolated from Indonesia, Australia, Japan, Korea, and Malaysia, have
undergone recent taxonomic and nomenclatural changes to be placed in the species
Ralstonia syzygii. This species contains three subspecies; Ralstonia syzygii
subsp. syzygii, a pathogen causing Sumatra disease of clove trees in Indonesia,
Ralstonia syzygii subsp. indonesiensis, the causal pathogen of bacterial wilt
disease on a wide range of host plants, and Ralstonia syzygii subsp.
celebesensis, the causal pathogen of blood disease on Musa spp. In Indonesia,
these three subspecies have devastated the cultivation of susceptible host plants
which have high economic value. Limited knowledge on the ecology and epidemiology
of the diseases has hindered the development of effective control strategies. In
this review, we provide insights into the ecology, epidemiology and disease
control of these three subspecies of Ralstonia syzygii.
PMID- 29662467
TI - Multilocus Sequence Typing and Virulence-Associated Gene Profile Analysis of
Staphylococcus aureus Isolates From Retail Ready-to-Eat Food in China.
AB - The aim of this study was to characterize the subtypes and virulence profiles of
69 Staphylococcus aureus isolates obtained from retail ready-to-eat food in
China. The isolates were analyzed using multilocus sequence typing (MLST) and
polymerase chain reaction (PCR) analysis of important virulence factor genes,
including the staphylococcal enterotoxin (SE) genes (sea, seb, sec, sed, see,
seg, seh, sei, sej), the exfoliative toxin genes (eta and etb), the toxic shock
syndrome toxin-1 gene (tst), and the Panton-Valentine leucocidin-encoding gene
(pvl). The isolates encompassed 26 different sequence types (STs), including four
new STs (ST3482, ST3484, ST3485, ST3504), clustered in three clonal complexes and
17 singletons. The most prevalent STs were ST1, ST6, and ST15, constituting 34.8%
of all isolates. Most STs (15/26, 57.7%) detected have previously been associated
with human infections. All 13 toxin genes examined were detected in the S. aureus
isolates, with 84.1% of isolates containing toxin genes. The three most prevalent
toxin genes were seb (36.2%), sea (33.3%), and seg (33.3%). The classical SE
genes (sea-see), which contribute significantly to staphylococcal food poisoning
(SFP), were detected in 72.5% of the S. aureus isolates. In addition, pvl, eta,
etb, and tst were found in 11.6, 10.1, 10.1, and 7.2% of the S. aureus isolates,
respectively. Strains ST6 carrying sea and ST1 harboring sec-seh enterotoxin
profile, which are the two most common clones associated with SFP, were also
frequently detected in the food samples in this study. This study indicates that
these S. aureus isolates present in Chinese ready-to-eat food represents a
potential public health risk. These data are valuable for epidemiological
studies, risk management, and public health strategies.
PMID- 29662469
TI - Halotolerant Exiguobacterium profundum PHM11 Tolerate Salinity by Accumulating L
Proline and Fine-Tuning Gene Expression Profiles of Related Metabolic Pathways.
AB - Salinity stress is one of the serious factors, limiting production of major
agricultural crops; especially, in sodic soils. A number of approaches are being
applied to mitigate the salt-induced adverse effects in agricultural crops
through implying different halotolerant microbes. In this aspect, a halotolerant,
Exiguobacterium profundum PHM11 was evaluated under eight different salinity
regimes; 100, 250, 500, 1000, 1500, 2000, 2500, and 3000 mM to know its inherent
salt tolerance limits and salt-induced consequences affecting its natural
metabolism. Based on the stoichiometric growth kinetics; 100 and 1500 mM
concentrations were selected as optimal and minimal performance limits for PHM11.
To know, how salt stress affects the expression profiles of regulatory genes of
its key metabolic pathways, and total production of important metabolites;
biomass, carotenoids, beta-carotene production, IAA and proline contents, and
expression profiles of key genes affecting the protein folding, structural
adaptations, transportation across the cell membrane, stress tolerance,
carotenoids, IAA and mannitol production in PHM11 were studied under 100 and 1500
mM salinity. E. profundum PHM11 showed maximum and minimum growth, biomass and
metabolite production at 100 and 1500 mM salinity respectively. Salt-induced fine
tuning of expression profiles of key genes of stress pathways was determined in
halotolerant bacterium PHM11.
PMID- 29662471
TI - Maize Endophytic Bacterial Diversity as Affected by Soil Cultivation History.
AB - The bacterial endophytic communities residing within roots of maize (Zea mays L.)
plants cultivated by a sustainable management in soils from the Quechua maize
belt (Peruvian Andes) were examined using tags pyrosequencing spanning the V4 and
V5 hypervariable regions of the 16S rRNA. Across four replicate libraries, two
corresponding to sequences of endophytic bacteria from long time maize-cultivated
soils and the other two obtained from fallow soils, 793 bacterial sequences were
found that grouped into 188 bacterial operational taxonomic units (OTUs, 97%
genetic similarity). The numbers of OTUs in the libraries from the maize
cultivated soils were significantly higher than those found in the libraries from
fallow soils. A mean of 30 genera were found in the fallow soil libraries and 47
were in those from the maize-cultivated soils. Both alpha and beta diversity
indexes showed clear differences between bacterial endophytic populations from
plants with different soil cultivation history and that the soils cultivated for
long time requires a higher diversity of endophytes. The number of sequences
corresponding to main genera Sphingomonas, Herbaspirillum, Bradyrhizobium and
Methylophilus in the maize-cultivated libraries were statistically more abundant
than those from the fallow soils. Sequences of genera Dyella and Sreptococcus
were significantly more abundant in the libraries from the fallow soils. Relative
abundance of genera Burkholderia, candidatus Glomeribacter, Staphylococcus,
Variovorax, Bacillus and Chitinophaga were similar among libraries. A canonical
correspondence analysis of the relative abundance of the main genera showed that
the four libraries distributed in two clearly separated groups. Our results
suggest that cultivation history is an important driver of endophytic
colonization of maize and that after a long time of cultivation of the soil the
maize plants need to increase the richness of the bacterial endophytes
communities.
PMID- 29662472
TI - Direct Growth of Bacteria in Headspace Vials Allows for Screening of Volatiles by
Gas Chromatography Mass Spectrometry.
AB - Bacterially produced volatile organic compounds (VOCs) can modify growth patterns
of eukaryotic hosts and competing/cohabiting microbes. These compounds have been
implicated in skin disorders and attraction of biting pests. Current methods to
detect and characterize VOCs from microbial cultures can be laborious and low
throughput, making it difficult to understand the behavior of microbial
populations. In this work we present an efficient method employing gas
chromatography/mass spectrometry with autosampling to characterize VOC profiles
from solid-phase bacterial cultures. We compare this method to complementary
plate-based assays and measure the effects of growth media and incubation
temperature on the VOC profiles from a well-studied Pseudomonas aeruginosa PAO1
system. We observe that P. aeruginosa produces longer chain VOCs, such as 2
undecanone and 2-undecanol in higher amounts at 37 degrees C than 30 degrees C.
We demonstrate the throughput of this method by studying VOC profiles from a
representative collection of skin bacterial isolates under three parallel growth
conditions. We observe differential production of various aldehydes and ketones
depending on bacterial strain. This generalizable method will support screening
of bacterial populations in a variety of research areas.
PMID- 29662470
TI - Basis of Virulence in Enterotoxin-Mediated Staphylococcal Food Poisoning.
AB - The Staphylococcus aureus enterotoxins are a superfamily of secreted virulence
factors that share structural and functional similarities and possess potent
superantigenic activity causing disruptions in adaptive immunity. The
enterotoxins can be separated into two groups; the classical (SEA-SEE) and the
newer (SEG-SElY and counting) enterotoxin groups. Many members from both these
groups contribute to the pathogenesis of several serious human diseases,
including toxic shock syndrome, pneumonia, and sepsis-related infections.
Additionally, many members demonstrate emetic activity and are frequently
responsible for food poisoning outbreaks. Due to their robust tolerance to
denaturing, the enterotoxins retain activity in food contaminated previously with
S. aureus. The genes encoding the enterotoxins are found mostly on a variety of
different mobile genetic elements. Therefore, the presence of enterotoxins can
vary widely among different S. aureus isolates. Additionally, the enterotoxins
are regulated by multiple, and often overlapping, regulatory pathways, which are
influenced by environmental factors. In this review, we also will focus on the
newer enterotoxins (SEG-SElY), which matter for the role of S. aureus as an
enteropathogen, and summarize our current knowledge on their prevalence in recent
food poisoning outbreaks. Finally, we will review the current literature
regarding the key elements that govern the complex regulation of enterotoxins,
the molecular mechanisms underlying their enterotoxigenic, superantigenic, and
immunomodulatory functions, and discuss how these activities may collectively
contribute to the overall manifestation of staphylococcal food poisoning.
PMID- 29662473
TI - HU of Streptococcus pneumoniae Is Essential for the Preservation of DNA
Supercoiling.
AB - The histone-like protein HU is a conserved nucleoid-associated protein that is
involved in the maintenance of the bacterial chromosome architecture. It is the
only known nucleoid-associated protein in Streptococcus pneumoniae, but it has
not been studied. The pneumococcal gene encoding this protein, hlp, is shown
herein to be essential for cell viability. Its disruption was only possible
either when it was duplicated in the chromosome and its expression induced from
the P Zn promoter, or when hlp was cloned into a plasmid under the control of the
inducible P mal promoter. In vitro assays indicated that pneumococcal HU shows a
preference for binding to supercoiled DNA rather than to linear or nicked DNA. In
vivo experiments in which the amount of HU was manipulated showed a relationship
between the amount of HU and the level of DNA supercoiling. A twofold reduction
in the amount of HU triggered a 21% increase in DNA relaxation in untreated
cells. However, in cells treated with novobiocin, a drug that relaxes DNA by
inhibiting DNA gyrase, a 35% increase in DNA relaxation was observed, instead of
the expected 20% in cells with a constitutive HU amount. Conversely, a fourfold
HU increase caused only 14% of DNA relaxation in the presence of novobiocin.
Taken together, these results support an essential role for HU in the maintenance
of DNA supercoiling in S. pneumoniae.
PMID- 29662474
TI - Genotypic and Lipid Analyses of Strains From the Archaeal Genus Halorubrum Reveal
Insights Into Their Taxonomy, Divergence, and Population Structure.
AB - To gain a better understanding of how divergence occurs, and how taxonomy can
benefit from studying natural populations, we isolated and examined 25 closely
related Halorubrum strains obtained from different hypersaline communities and
compared them to validly named species and other reference strains using five
taxonomic study approaches: phylogenetic analysis using the 16S rRNA gene and
multilocus sequencing analysis (MLSA), polar lipid profiles (PLP), average
nucleotide identity (ANI) and DNA-DNA hybridization (DDH). 16S rRNA gene sequence
could not differentiate the newly isolated strains from described species, while
MLSA grouped strains into three major clusters. Two of those MLSA clusters
distinguished candidates for new species. The third cluster with concatenated
sequence identity equal to or greater than 97.5% was comprised of strains from
Aran-Bidgol Lake (Iran) and solar salterns in Namibia and Spain, and two
previously described species isolated from Mexico and Algeria. PLP and DDH
analyses showed that Aran-Bidgol strains formed uniform populations, and that
strains isolated from other geographic locations were heterogeneous and
divergent, indicating that they may constitute different species. Therefore,
applying only sequencing approaches and similarity cutoffs for circumscribing
species may be too conservative, lumping concealed diversity into a single taxon.
Further, our data support the interpretation that local populations experience
unique evolutionary homogenization pressures, and once relieved of insular
constraints (e.g., through migration) are free to diverge.
PMID- 29662475
TI - The LuxR Regulators PcoR and RfiA Co-regulate Antimicrobial Peptide and Alginate
Production in Pseudomonas corrugata.
AB - Cyclic lipopeptides (CLPs) are considered as some of the most important secondary
metabolites in different plant-associated bacteria, thanks to their
antimicrobial, cytotoxic, and surfactant properties. In this study, our aim was
to investigate the role of the Quorum Sensing (QS) system, PcoI/PcoR, and the
LuxR-type transcriptional regulator RfiA in CLP production in the phytopatogenic
bacterium, Pseudomonas corrugata based on our previous work where we reported
that the pcoR and rfiA mutants were devoid of the CLPs cormycin and corpeptin
production. Due to the close genetic link between the QS system and the RfiA
(rfiA is co-transcribed with pcoI), it was difficult to ascertain the specific
regulatory role in the expression of target genes. A transcriptional approach was
undertaken to identify the specific role of the PcoR and RfiA transcriptional
regulators for the expression of genes involved in CLP production. The RNA-seq
based transcriptional analysis of the wild-type (WT) strain CFBP 5454 in
comparison with GL2 (pcoR mutant) and GLRFIA (rfiA mutant) was performed in
cultural conditions favoring CLP production. Differential gene expression
revealed that 152 and 130 genes have significantly different levels of expression
in the pcoR and rfiA mutants, respectively. Of these, the genes linked to the
biosynthesis of CLPs and alginate were positively controlled by both PcoR and
RfiA. Blast homology analysis showed that 19 genes in a large CLP biosynthetic
cluster involved in the production of three antimicrobial peptides, which span
approximately 3.5% of the genome, are strongly over-expressed in the WT strain.
Thus, PcoR and RfiA function mainly as activators in the production of bioactive
CLPs, in agreement with phenotype analysis of mutants. RNA-seq also revealed that
almost all the genes in the structural/biosynthetic cluster of alginate
exopolysaccharide (EPS) are under the control of the PcoR-RfiA regulon, as
supported by the 10-fold reduction in total EPS yield isolated in both mutants in
comparison to the parent strain. A total of 68 and 38 gene expressions was
independently regulated by PcoR or RfiA proteins, respectively, but at low level.
qPCR experiments suggest that growth medium and plant environment influence the
expression of CLP and alginate genes.
PMID- 29662477
TI - New Genes Involved in Mild Stress Response Identified by Transposon Mutagenesis
in Lactobacillus paracasei.
AB - Lactic acid bacteria (LAB) are associated with various plant, animal, and human
niches and are also present in many fermented foods and beverages. Thus, they are
subjected to several stress conditions and have developed advanced response
mechanisms to resist, adapt, and grow. This work aimed to identify the genes
involved in some stress adaptation mechanisms in LAB. For this purpose, global
reverse genetics was applied by screening a library of 1287 Lactobacillus
paracasei transposon mutants for mild monofactorial stresses. This library was
submitted independently to heat (52 degrees C, 30 min), ethanol (170 g.L-1, 30
min), salt (NaCl 0.8 M, 24 h), acid (pH 4.5, 24 h), and oxidative (2 mM H2O2, 24
h) perturbations which trigger mild monofactorial stresses compatible with
bacterial adaptation. Stress sensitivity of mutants was determined either by
evaluating viability using propidium iodide (PI) staining, or by following growth
inhibition through turbidity measurement. The screening for heat and ethanol
stresses lead respectively to the identification of 63 and 27 genes/putative
promoters whose disruption lead to an increased sensitivity. Among them, 14 genes
or putative promoters were common for both stresses. For salt, acid and oxidative
stresses, respectively 8, 6, and 9 genes or putative promoters were identified as
essential for adaptation to these unfavorable conditions, with only three genes
common to at least two stresses. Then, RT-qPCR was performed on selected stress
response genes identified by mutant screenings in order to evaluate if their
expression was modified in response to stresses in the parental strain. Eleven
genes (membrane, transposase, chaperone, nucleotide and carbohydrate metabolism,
and hypothetical protein genes) were upregulated during stress adaptation for at
least two stresses. Seven genes, encoding membrane functions, were upregulated in
response to a specific stress and thus could represent potential transcriptomic
biomarkers. The results highlights that most of the genes identified by global
reverse genetics are specifically required in response to one stress and that
they are not differentially transcribed during stress in the parental strain.
Most of these genes have not been characterized as stress response genes and
provide new insights into the adaptation of lactic acid bacteria to their
environment.
PMID- 29662476
TI - Improvement of the Uranium Sequestration Ability of a Chlamydomonas sp. (ChlSP
Strain) Isolated From Extreme Uranium Mine Tailings Through Selection for
Potential Bioremediation Application.
AB - The extraction and processing of uranium (U) have polluted large areas worldwide,
rendering anthropogenic extreme environments inhospitable to most species.
Noticeably, these sites are of great interest for taxonomical and applied
bioprospection of extremotolerant species successfully adapted to U tailings
contamination. As an example, in this work we have studied a microalgae species
that inhabits extreme U tailings ponds at the Saelices mining site (Salamanca,
Spain), characterized as acidic (pH between 3 and 4), radioactive (around 4 MUSv
h-1) and contaminated with metals, mainly U (from 25 to 48 mg L-1) and zinc (from
17 to 87 mg L-1). After isolation of the extremotolerant ChlSP strain,
morphological characterization and internal transcribed spacer (ITS)-5.8S gene
sequences placed it in the Chlamydomonadaceae, but BLAST analyses identity
values, against the nucleotide datasets at the NCBI database, were very low
(<92%). We subjected the ChlSP strain to an artificial selection protocol to
increase the U uptake and investigated its response to selection. The ancestral
strain ChlSP showed a U-uptake capacity of ~4.30 mg U g-1 of dry biomass (DB).
However, the artificially selected strain ChlSG was able to take up a total of
~6.34 mg U g-1 DB, close to the theoretical maximum response (~7.9 mg U g-1 DB).
The selected ChlSG strain showed two possible U-uptake mechanisms: the greatest
proportion by biosorption onto cell walls (ca. 90%), and only a very small
quantity, ~0.46 mg g-1 DB, irreversibly bound by bioaccumulation. Additionally,
the kinetics of the U-uptake process were characterized during a microalgae
growth curve; ChlSG cells removed close to 4 mg L-1 of U in 24 days. These
findings open up promising prospects for sustainable management of U tailings
waters based on newly evolved extremotolerants and outline the potential of
artificial selection in the improvement of desired features in microalgae by
experimental adaptation and selection.
PMID- 29662478
TI - BALB/c and C57BL/6 Mice Cytokine Responses to Trypanosoma cruzi Infection Are
Independent of Parasite Strain Infectivity.
AB - Trypanosoma cruzi is the etiologic agent of Chagas' disease, which affects 6-7
million people worldwide. Different strains of T. cruzi present specific
genotypic and phenotypic characteristics that affect the host-pathogen
interactions, and thus, the parasite has been classified into six groups (TcI to
TcVI). T. cruzi infection presents two clinical phases, acute and chronic, both
with distinct characteristics and important participation by the immune system.
However, the specific contributions of parasite and host factors in the disease
phases are not yet fully understood. The murine model for Chagas' disease is well
established and reproduces important features of the human infection, providing
an experimental basis for the study of host lineages and parasite strains. Thus,
we evaluated acute and chronic infection by the G (TcI) and CL (TcVI) strains of
T. cruzi, which have distinct tropisms and infectivity, in two inbred mice
lineages (C57BL/6 and BALB/c) that display variable degrees of susceptibility to
different T. cruzi strains. Analysis of the parasite loads in host tissues by
qPCR showed that CL strain established an infection faster than the G strain; at
the same time, the response in BALB/c mice, although diverse in terms of cytokine
secretion, was initiated earlier than that in C57BL/6 mice. At the parasitemia
peak in the acute phase, we observed, either by confocal microscopy or by qPCR,
that the infection was disseminated in all groups analyzed, with some differences
concerning parasite tropism; at this point, all animals responded to infection by
increasing the serum concentrations of cytokines. However, BALB/c mice seemed to
better regulate the immune response than C57BL/6 mice. Indeed, in the chronic
phase, C57BL/6 mice still presented exacerbated cytokine and chemokine responses.
In summary, our results indicate that in these experimental models, the
deregulation of immune response that is typical of chronic Chagas' disease may be
due to control loss over pro- and anti-inflammatory cytokines early in the acute
phase of the disease, depending primarily on the host background rather than the
parasite strain.
PMID- 29662479
TI - Comparison of Two Molecular Assays for Detection and Characterization of
Aspergillus fumigatus Triazole Resistance and Cyp51A Mutations in Clinical
Isolates and Primary Clinical Samples of Immunocompromised Patients.
AB - In hematological patients, the incidence of invasive aspergillosis (IA) caused by
azole resistant Aspergillus fumigatus (ARAf) is rising. As the diagnosis of IA is
rarely based on positive culture in this group of patients, molecular detection
of resistance mutations directly from clinical samples is crucial. In addition to
the in-house azole resistance ARAf polymerase chain reaction (PCR) assays
detecting the frequent mutation combinations TR34/L98H, TR46/Y121F/T289A, and
M220 in the Aspergillus fumigatus (A. fumigatus) Cyp51A gene by subsequent DNA
sequence analysis, we investigated in parallel the commercially available
AsperGenius(r) real time PCR system in detecting the Cyp51A alterations TR34/L98H
and Y121F/T289A directly from 52 clinical samples (15 biopsies, 22
bronchoalveolar lavage (BAL), 15 cerebrospinal fluid (CSF) samples) and ARAf
isolates (n = 3) of immunocompromised patients. We analyzed DNA aliquots and
compared both methods concerning amplification and detection of Aspergillus DNA
and Cyp51A alterations. As positive control for the feasibility of our novel
Y121F and T289A PCR assays, we used two A. fumigatus isolates with the
TR46/Y121F/T289A mutation combination isolated from hematological patients with
known Cyp51A alterations and a lung biopsy sample of a patient with acute myeloid
leukemia (AML). The rate of positive ARAf PCR results plus successful sequencing
using the ARAf PCR assays was 61% in biopsies, 29% in CSF, 67% in BAL samples and
100% in isolates. In comparison the amount of positive PCRs using the
AsperGenius(r) assays was 47% in biopsies, 42% in CSF, 59% in BAL samples and
100% in isolates. Altogether 17 Cyp51A alterations were detected using our ARAf
PCRs plus DNA sequencing and therefrom 10 alterations also by the AsperGenius(r)
system. The comparative evaluation of our data revealed that our conventional PCR
assays are more sensitive in detecting ARAf in BAL and biopsy samples, whereby
differences were not significant. The advantage of the AsperGenius(r) system is
the time saving aspect. We consider non-culture based molecular detection of
Aspergillus triazole resistance to be of high epidemiological and clinical
relevance in patients with hematological malignancies.
PMID- 29662480
TI - Comparative Analysis of the Microbiota Between Sheep Rumen and Rabbit Cecum
Provides New Insight Into Their Differential Methane Production.
AB - The rumen and the hindgut represent two different fermentation organs in
herbivorous mammals, with the former producing much more methane than the latter.
The objective of this study was to elucidate the microbial underpinning of such
differential methane outputs between these two digestive organs. Methane
production was measured from 5 adult sheep and 15 adult rabbits, both of which
were placed in open-circuit respiratory chambers and fed the same diet (alfalfa
hay). The sheep produced more methane than the rabbits per unit of metabolic body
weight, digestible neutral detergent fiber, and acid detergent fiber. pH in the
sheep rumen was more than 1 unit higher than that in the rabbit cecum. The
acetate to propionate ratio in the rabbit cecum was more than threefold greater
than that in the sheep rumen. Comparative analysis of 16S rRNA gene amplicon
libraries revealed distinct microbiota between the rumen of sheep and the cecum
of rabbits. Hydrogen-producing fibrolytic bacteria, especially Butyrivibrio,
Succiniclastium, Mogibacterium, Prevotella, and Christensenellaceae, were more
predominant in the sheep rumen, whereas non-hydrogen producing fibrolytic
bacteria, such as Bacteroides, were more predominant in the rabbit cecum. The
rabbit cecum had a greater predominance of acetogens, such as those in the genus
Blautia, order Clostridiales, and family Ruminococcaceae. The differences in the
occurrence of hydrogen-metabolizing bacteria probably explain much of the
differential methane outputs from the rumen and the cecum. Future research using
metatranscriptomics and metabolomics shall help confirm this premise and
understand the factors that shape the differential microbiota between the two
digestive organs. Furthermore, our present study strongly suggests the presence
of new fibrolytic bacteria in the rabbit cecum, which may explain the stronger
fibrolytic activities therein.
PMID- 29662481
TI - Listeria monocytogenes Sequence Types 121 and 14 Repeatedly Isolated Within One
Year of Sampling in a Rabbit Meat Processing Plant: Persistence and
Ecophysiology.
AB - Listeria monocytogenes is a foodborne pathogen adapted to survive and persist in
multiple environments. Following two previous studies on prevalence and virulence
of L. monocytogenes ST121 and ST14 repeatedly collected in a the same rabbit-meat
processing plant, the research questions of the present study were to: (1) assess
persistence of L. monocytogenes isolates from the rabbit-plant; (2) select genes
associated to physiological adaptation to the food-processing environment; (3)
compare presence/absence/truncation of these genes in newly sequenced and
publicly available ST121 and ST14 genomes. A total of 273 draft genomes including
ST121 and ST14 newly sequenced and publicly available draft genomes were
analyzed. Whole-genome Single Nucleotide Polymorfism (wgSNP) analysis was
performed separately on the assemblies of ST121 and ST14 draft genomes. SNPs
alignments were used to infer phylogeny. A dataset of L. monocytogenes
ecophysiology genes was built based on a comprehensive literature review. The 94
selected genes were screened on the assemblies of all ST121 and ST14 draft
genomes. Significant gene enrichments were evaluated by statistical analyses. A
persistent ST14 clone, including 23 out of 27 newly sequenced genomes, was
circulating in the rabbit-meat plant along with two not persistent clones. A
significant enrichment was observed in ST121 genomes concerning stress survival
islet 2 (SSI-2) (alkaline and oxidative stress), qacH gene (resistance to
benzalkonium chloride), cadA1C gene cassette (resistance to 70 mg/l of cadmium
chloride) and a truncated version of actA gene (biofilm formation). Conversely,
ST14 draft genomes were enriched with a full-length version of actA gene along
with the Listeria Genomic Island 2 (LGI 2) including the ars operon (arsenic
resistance) and the cadA4C gene cassette (resistance to 35 mg/l of cadmium
chloride). Phenotypic tests confirmed ST121 as a weak biofilm producer in
comparison to ST14. In conclusion, ST121 carried the qacH gene and was
phenotypically resistant to quaternary ammonium compounds. This property might
contribute to the high prevalence of ST121 in food processing plants. ST14 showed
greater ability to form biofilms, which might contribute to the occasional
colonization and persistence on harborage sites where sanitizing procedures are
difficult to display.
PMID- 29662482
TI - Targeting Mycobacterium tuberculosis Antigens to Dendritic Cells via the DC
Specific-ICAM3-Grabbing-Nonintegrin Receptor Induces Strong T-Helper 1 Immune
Responses.
AB - Tuberculosis remains a major global health problem and efforts to develop a more
effective vaccine have been unsuccessful so far. Targeting antigens (Ags) to
dendritic cells (DCs) in vivo has emerged as a new promising vaccine strategy. In
this approach, Ags are delivered directly to DCs via antibodies that bind to
endocytic cell-surface receptors. Here, we explored DC-specific-ICAM3-grabbing
nonintegrin (DC-SIGN) targeting as a potential vaccine against tuberculosis. For
this, we made use of the hSIGN mouse model that expresses human DC-SIGN under the
control of the murine CD11c promoter. We show that in vitro and in vivo delivery
of anti-DC-SIGN antibodies conjugated to Ag85B and peptide 25 of Ag85B in
combination with anti-CD40, the fungal cell wall component zymosan, and the
cholera toxin-derived fusion protein CTA1-DD induces strong Ag-specific CD4+ T
cell responses. Improved anti-mycobacterial immunity was accompanied by increased
frequencies of Ag-specific IFN-gamma+ IL-2+ TNF-alpha+ polyfunctional CD4+ T
cells in vaccinated mice compared with controls. Taken together, in this study we
provide the proof of concept that the human DC-SIGN receptor can be efficiently
exploited for vaccine purposes to promote immunity against mycobacterial
infections.
PMID- 29662483
TI - Long Non-Coding RNA HOXA Transcript Antisense RNA Myeloid-Specific 1-HOXA1 Axis
Downregulates the Immunosuppressive Activity of Myeloid-Derived Suppressor Cells
in Lung Cancer.
AB - HOXA transcript antisense RNA myeloid-specific 1 (HOTAIRM1) is a long non-coding
RNA that has been shown to be a key regulator of myeloid cell development by
targeting HOXA1. Myeloid-derived suppressor cells (MDSCs) are a heterogeneous
population of immature myeloid cells that possess immunosuppressive function.
However, the impact of HOTAIRM1 on the development of MDSCs remains unknown. In
this study, we demonstrated that HOTAIRM1 was expressed in MDSCs and that
overexpression of HOTAIRM1 could downregulate the expression of suppressive
molecules in MDSCs. In addition, HOTAIRM1 levels were observed to be decreased in
the peripheral blood cells of lung cancer patients compared with those of healthy
controls. By analyzing HOTAIRM1 expression levels in different types of lung
cancer, we found that HOTAIRM1 was mainly expressed in lung adenocarcinoma.
Finally, it was confirmed that HOTAIRM1 could enhance the expression of HOXA1 in
MDSCs and that high levels of HOXA1, the target gene of HOTAIRM1, could delay
tumor progression and enhance the antitumor immune response by downregulating the
immunosuppression of MDSCs. Taken together, this study illustrates that
HOTAIRM1/HOXA1 downregulates the immunosuppressive function of MDSCs and may be a
potential therapeutic target in lung cancer.
PMID- 29662484
TI - NKG2D and Its Ligands: "One for All, All for One".
AB - The activating receptor NKG2D is peculiar in its capability to bind to numerous
and highly diversified MHC class I-like self-molecules. These ligands are poorly
expressed on normal cells but can be induced on damaged, transformed or infected
cells, with the final NKG2D ligand expression resulting from multiple levels of
regulation. Although redundant molecular mechanisms can converge in the
regulation of all NKG2D ligands, different stimuli can induce specific cellular
responses, leading to the expression of one or few ligands. A large body of
evidence demonstrates that NK cell activation can be triggered by different NKG2D
ligands, often expressed on the same cell, suggesting a functional redundancy of
these molecules. However, since a number of evasion mechanisms can reduce
membrane expression of these molecules both on virus-infected and tumor cells,
the co-expression of different ligands and/or the presence of allelic forms of
the same ligand guarantee NKG2D activation in various stressful conditions and
cell contexts. Noteworthy, NKG2D ligands can differ in their ability to down
modulate NKG2D membrane expression in human NK cells supporting the idea that
NKG2D transduces different signals upon binding various ligands. Moreover,
whether proteolytically shed and exosome-associated soluble NKG2D ligands share
with their membrane-bound counterparts the same ability to induce NKG2D-mediated
signaling is still a matter of debate. Here, we will review recent studies on the
NKG2D/NKG2D ligand biology to summarize and discuss the redundancy and/or
diversity in ligand expression, regulation, and receptor specificity.
PMID- 29662486
TI - Mucosal Involvement in Bullous Pemphigoid Is Mostly Associated with Disease
Severity and to Absence of Anti-BP230 Autoantibody.
AB - Bullous pemphigoid (BP) is the most common autoimmune bullous disease and
typically affects the elderly. Binding of specific autoantibodies to BP180/230
hemidesmosomal components induces an inflammatory response leading to skin
blister formation. Unusual manifestations of BP include additional mucous
membrane involvement, without pathophysiological knowledge associated to the
formation of these lesions. We here performed a prospective study on series of
consecutive BP patients with (n = 77) and without (n = 18) mucosal involvements
at baseline to further investigate why some BP patients display mucosal lesion
and other not. Analysis of disease activity showed that BP patients with mucosal
involvement displayed a higher total BP Disease Area Index (BPDAI) score (P =
0.008), but also higher skin and blister/erosion BPDAI scores (P = 0.02 and P =
0.001, respectively). By contrast, the erythema/urticaria BPDAI score was
identical between the two groups of patients. The erythema/urticaria BPDAI score,
but not the blister/erosion BPDAI score, was correlated with the serum
concentration of anti-BP180 NC16A autoantibodies in patients with mucosal
involvement. In multivariate analysis, the absence of anti-BP230 autoantibody was
the only factor independently associated with mucosal involvement (OR 7.8; 95%
CI, 3.1-19.6) (P < 0.0001). Analysis of the distribution of BP patients according
to BPDAI scores revealed a shift toward higher blister/erosion BPDAI scores for
BP patients with mucosal involvement. This study indicates that mucosal lesions
are clinically mainly related to disease severity and immunologically to the
absence of anti-BP230 antibodies.
PMID- 29662485
TI - Our Environment Shapes Us: The Importance of Environment and Sex Differences in
Regulation of Autoantibody Production.
AB - Consequential differences exist between the male and female immune systems'
ability to respond to pathogens, environmental insults or self-antigens, and
subsequent effects on immunoregulation. In general, females when compared with
their male counterparts, respond to pathogenic stimuli and vaccines more
robustly, with heightened production of antibodies, pro-inflammatory cytokines,
and chemokines. While the precise reasons for sex differences in immune response
to different stimuli are not yet well understood, females are more resistant to
infectious diseases and much more likely to develop autoimmune diseases.
Intrinsic (i.e., sex hormones, sex chromosomes, etc.) and extrinsic (microbiome
composition, external triggers, and immune modulators) factors appear to impact
the overall outcome of immune responses between sexes. Evidence suggests that
interactions between environmental contaminants [e.g., endocrine disrupting
chemicals (EDCs)] and host leukocytes affect the ability of the immune system to
mount a response to exogenous and endogenous insults, and/or return to normal
activity following clearance of the threat. Inherently, males and females have
differential immune response to external triggers. In this review, we describe
how environmental chemicals, including EDCs, may have sex differential influence
on the outcome of immune responses through alterations in epigenetic status (such
as modulation of microRNA expression, gene methylation, or histone modification
status), direct and indirect activation of the estrogen receptors to drive
hormonal effects, and differential modulation of microbial sensing and
composition of host microbiota. Taken together, an intriguing question develops
as to how an individual's environment directly and indirectly contributes to an
altered immune response, dysregulation of autoantibody production, and influence
autoimmune disease development. Few studies exist utilizing well-controlled
cohorts of both sexes to explore the sex differences in response to EDC exposure
and the effects on autoimmune disease development. Translational studies
incorporating multiple environmental factors in animal models of autoimmune
disease are necessary to determine the interrelationships that occur between
potential etiopathological factors. The presence or absence of autoantibodies is
not a reliable predictor of disease. Therefore, future studies should incorporate
all the susceptibility/influencing factors, coupled with individual genomics,
epigenomics, and proteomics, to develop a model that better predicts, diagnoses,
and treats autoimmune diseases in a personalized-medicine fashion.
PMID- 29662487
TI - Acquisition of N-Glycosylation Sites in Immunoglobulin Heavy Chain Genes During
Local Expansion in Parotid Salivary Glands of Primary Sjogren Patients.
AB - Previous studies revealed high incidence of acquired N-glycosylation sites
acquired N-glycosylation sites in RNA transcripts encoding immunoglobulin heavy
variable region (IGHV) 3 genes from parotid glands of primary Sjogren's syndrome
(pSS) patients. In this study, next generation sequencing was used to study the
extent of ac-Nglycs among clonally expanded cells from all IGVH families in the
salivary glands of pSS patients. RNA was isolated from parotid gland biopsies of
five pSS patients and five non-pSS sicca controls. IGHV sequences covering all
functional IGHV genes were amplified, sequenced, and analyzed. Each biopsy
recovered 1,800-4,000 unique IGHV sequences. No difference in IGHV gene usage was
observed between pSS and non-pSS sequences. Clonally related sequences with more
than 0.3% of the total number of sequences per patient were referred to as
dominant clone. Overall, 70 dominant clones were found in pSS biopsies, compared
to 15 in non-pSS. No difference in percentage mutation in dominant clone-derived
IGHV sequences was seen between pSS and non-pSS. In pSS, no evidence for antigen
driven selection in dominant clones was found. We observed a significantly higher
amount of ac-Nglycs among pSS dominant clone-derived sequences compared to non
pSS. Ac-Nglycs were, however, not restricted to dominant clones or IGHV gene.
Most ac-Nglycs were detected in the framework 3 region. No stereotypic rheumatoid
factor rearrangements were found in dominant clones. Lineage tree analysis showed
in four pSS patients, but not in non-pSS, the presence of the germline sequence
from a dominant clone. Presence of germline sequence and mutated IGHV sequences
in the same dominant clone provide evidence that this clone originated from a
naive B-cell recruited into the parotid gland to expand and differentiate locally
into plasma cells. The increased presence of ac-Nglycs in IGHV sequences, due to
somatic hypermutation, might provide B-cells an escape mechanism to survive
during immune response. We speculate that glycosylation of the B-cell receptor
makes the cell sensitive to environmental lectin signals to contribute to
aberrant B-cell selection in pSS parotid glands.
PMID- 29662488
TI - Subretinal Injection of HY Peptides Induces Systemic Antigen-Specific Inhibition
of Effector CD4+ and CD8+ T-Cell Responses.
AB - Purpose: Injection of an antigen into the anterior chamber of the eye induces a
peripheral antigen-specific immune modulation mechanism, known as anterior
chamber-associated immune deviation (ACAID). Delayed-type hypersensitivity
experiments argue that the subretinal space (SR) of the eye displays properties
similar to ACAID. However, no investigation was performed regarding the
differential impact of a subretinal antigen injection on peripheral CD4+ versus
CD8+ T cells, on the potential immune deviation regarding Th profiles, and on the
antigen-specificity of the inhibition. A better understanding of these mechanisms
is crucial to improve safety and immunomonitoring of ongoing therapeutic
approaches targeting the SR. The aim of this study is to characterize the
proliferative capacities and cytokine patterns of antigen-specific CD4+ and CD8+
T cells after a subretinal injection of antigen in mice. Methods: Ubiquitously
Transcribed tetratricopeptide repeat gene Y-linked (UTY) and DEAD Box polypeptide
3 Y-linked (DBY) peptides which respectively include MHCI- and MHCII-restricted T
cell epitopes of the mouse HY male antigen, were injected into the subretinal
space of C57BL/6 female mice. 2 weeks later, these mice were immunized
subcutaneously with these peptides and compared to control mice. A week later, T
cell immune responses were analyzed by IFNgamma ELISpot assays and cytokine
measurements (IL-2, IL-4, IL-6, IL-10, IL-13, IL-17a, IFNgamma, TNFalpha, GM-CSF,
and MCP-1) in the spleen and with proliferation assays in draining lymph nodes.
Results: Immune cells from mice that received HY peptides in the SR before
immunization, compared with those from control immunized mice, secreted
significantly smaller quantities of Th1/Tc1, Th2/Tc2, and Th17/Tc17 cytokines,
and HY-specific CD4+ T cells proliferated less in response to HY peptides.
Conclusion: Taken together, our data clearly demonstrate that the subretinal
injection of HY peptides induces a systemic HY-specific inhibition of
conventional Th profiles and CD8+ T cells. We propose to call this phenomenon
SRAII, for subretinal-associated immune inhibition.
PMID- 29662490
TI - mTOR at the Transmitting and Receiving Ends in Tumor Immunity.
AB - Cancer is a complex disease and a leading cause of death worldwide. Immunity is
critical for cancer control. Cancer cells exhibit high mutational rates and
therefore altered self or neo-antigens, eliciting an immune response to promote
tumor eradication. Failure to mount a proper immune response leads to cancer
progression. mTOR signaling controls cellular metabolism, immune cell
differentiation, and effector function. Deregulated mTOR signaling in cancer
cells modulates the tumor microenvironment, thereby affecting tumor immunity and
possibly promoting carcinogenesis.
PMID- 29662489
TI - Immunological Approaches Towards Cancer and Inflammation: A Cross Talk.
AB - The inflammation is the protective response of the body against various harmful
stimuli; however, the aberrant and inappropriate activation tends to become
harmful. The acute inflammatory response tends to resolved once the offending
agent is subside but this acute response becomes chronic in nature when the body
is unable to successfully neutralized the noxious stimuli. This chronic
inflammatory microenvironment is associated with the release of various pro
inflammatory and oncogenic mediators such as nitric oxide (NO), cytokines [IL
1beta, IL-2, interleukin-6 (IL-6), and tumor necrosis factor-alpha (TNF-alpha)],
growth factor, and chemokines. These mediators make the inflammatory
microenvironment more vulnerable toward tumorigenesis. The pro-inflammatory
mediators released during the chronic inflammation tends to induce several
molecular signaling cascades such as nuclear factor kappa B, MAPKinase, nuclear
factor erythroid 2-related factor 2, phosphoinositide-3-kinase, Janus
kinases/STAT, Wnt/B-catenin, and cyclic AMP response element binding protein. The
immune system and its components have a pleiotropic effect on inflammation and
cancer progression. Immune components such as T cells, natural killer cells,
macrophages, and neutrophils either inhibit or enhance tumor initiation depending
on the type of tumor and immune cells involved. Tumor-associated macrophages and
tumor-associated neutrophils are pro-tumorigenic cells highly prevalent in
inflammation-mediated tumors. Similarly, presence of T regulatory (Treg) cells in
an inflammatory and tumor setting suppresses the immune system, thus paving the
way for oncogenesis. However, Treg cells also inhibit autoimmune inflammation. By
contrast, cytotoxic T cells and T helper cells confer antitumor immunity and are
associated with better prognosis in patients with cancer. Cytotoxic T cells
inflict a direct cytotoxic effect on cells expressing oncogenic markers.
Currently, several anti-inflammatory and antitumor therapies are under trials in
which these immune cells are exploited. Adoptive cell transfer composed of tumor
infiltrating lymphocytes has been tried for the treatment of tumors after their
ex vivo expansion. Mediators released by cells in a tumorigenic and inflammatory
microenvironment cross talk with nearby cells, either promoting or inhibiting
inflammation and cancer. Recently, several cytokine-based therapies are either
being developed or are under trial to treat such types of manifestations.
Monoclonal antibodies directed against TNF-alpha, VEGF, and IL-6 has shown
promising results to ameliorate inflammation and cancer, while direct
administration of IL-2 has been shown to cause tumor regression.
PMID- 29662491
TI - Regulatory T-Cells: Potential Regulator of Tissue Repair and Regeneration.
AB - The identification of stem cells and growth factors as well as the development of
biomaterials hold great promise for regenerative medicine applications. However,
the therapeutic efficacy of regenerative therapies can be greatly influenced by
the host immune system, which plays a pivotal role during tissue repair and
regeneration. Therefore, understanding how the immune system modulates tissue
healing is critical to design efficient regenerative strategies. While the innate
immune system is well known to be involved in the tissue healing process, the
adaptive immune system has recently emerged as a key player. T-cells, in
particular, regulatory T-cells (Treg), have been shown to promote repair and
regeneration of various organ systems. In this review, we discuss the mechanisms
by which Treg participate in the repair and regeneration of skeletal and heart
muscle, skin, lung, bone, and the central nervous system.
PMID- 29662492
TI - RNase H2 Loss in Murine Astrocytes Results in Cellular Defects Reminiscent of
Nucleic Acid-Mediated Autoinflammation.
AB - Aicardi-Goutieres syndrome (AGS) is a rare early onset childhood encephalopathy
caused by persistent neuroinflammation of autoimmune origin. AGS is a genetic
disorder and >50% of affected individuals bear hypomorphic mutations in
ribonuclease H2 (RNase H2). All available RNase H2 mouse models so far fail to
mimic the prominent CNS involvement seen in AGS. To establish a mouse model
recapitulating the human disease, we deleted RNase H2 specifically in the brain,
the most severely affected organ in AGS. Although RNase H2DeltaGFAP mice lacked
the nuclease in astrocytes and a majority of neurons, no disease signs were
apparent in these animals. We additionally confirmed these results in a second,
neuron-specific RNase H2 knockout mouse line. However, when astrocytes were
isolated from brains of RNase H2DeltaGFAP mice and cultured under mitogenic
conditions, they showed signs of DNA damage and premature senescence. Enhanced
expression of interferon-stimulated genes (ISGs) represents the most reliable AGS
biomarker. Importantly, primary RNase H2DeltaGFAP astrocytes displayed
significantly increased ISG transcript levels, which we failed to detect in in
vivo in brains of RNase H2DeltaGFAP mice. Isolated astrocytes primed by DNA
damage, including RNase H2-deficiency, exhibited a heightened innate immune
response when exposed to bacterial or viral antigens. Taken together, we
established a valid cellular AGS model that utilizes the very cell type
responsible for disease pathology, the astrocyte, and phenocopies major molecular
defects observed in AGS patient cells.
PMID- 29662493
TI - Age-Related Changes in the Natural Killer Cell Response to Seasonal Influenza
Vaccination Are Not Influenced by a Synbiotic: a Randomised Controlled Trial.
AB - : Natural killer (NK) cells are an important component of the immune response to
influenza infection, but are subject to alteration during aging, which may play a
role in impaired response to infection and vaccination in older people.
Enhancement of NK cell activity could, therefore, present a means to improve the
immune response to vaccination in older subjects, and pre- and probiotics offer
an opportunity to modulate antiviral defenses via alteration of the gut
microbiota. This study investigated the effect of a novel probiotic,
Bifidobacterium longum bv. infantis CCUG 52486, combined with a prebiotic, gluco
oligosaccharide (B. longum + Gl-OS), on the NK cell response to seasonal
influenza vaccination in young and older subjects in a double-blind, randomized
controlled trial. There were significant effects of aging on NK cell phenotype,
the most notable of which were an increase in CD56dim cells, mainly reflected in
the CD16+ subset, a decrease in CD56bright cells, mainly reflected in the CD16-
subset, and greater expression of the immunosenescence marker, CD57, on NK cell
subsets. However, these changes only partially translated to differences in NK
cell activity, observed as trends toward reduced NK cell activity in older
subjects when analyzed on a per cell basis. Influenza vaccination increased the
proportion of CD56bright cells and decreased the proportion of CD56dim cells, in
young, but not older subjects. Although NK cell activity in response to
vaccination was not significantly different between the young and older subjects,
low post-vaccination NK cell activity was associated with poor seroconversion in
only the older subjects. There was no influence of the synbiotic on NK cell
phenotype or activity, either before or after influenza vaccination. In
conclusion, aging is associated with marked alteration of the phenotype of the NK
cell population and there was evidence of an impaired NK cell response to
influenza vaccination in older subjects. The effects of aging on NK cell
phenotype and activity could not be offset by B. longum + Gl-OS. Clinical Trial
Registration: www.ClinicalTrials.gov, identifier NCT01066377.
PMID- 29662494
TI - Evolution of Neutralization Response in HIV-1 Subtype C-Infected Individuals
Exhibiting Broad Cross-Clade Neutralization of HIV-1 Strains.
AB - Strain-specific neutralizing antibodies develop in all human immunodeficiency
virus type 1 (HIV-1)-infected individuals. However, only 10-30% of infected
individuals produce broadly neutralizing antibodies (bNAbs). Identification and
characterization of these bNAbs and understanding their evolution dynamics are
critical for obtaining useful clues for the development of an effective HIV
vaccine. Very recently, we published a study in which we identified 12 HIV-1
subtype C-infected individuals from India whose plasma showed potent and broad
cross-clade neutralization (BCN) ability (1). In the present study, we report our
findings on the evolution of host bNAb response over a period of 4 years in a
subset of these individuals. Three of the five individuals (NAB033, NAB059, and
NAB065) demonstrated a significant increase (p < 0.05) in potency. Interestingly,
two of the three samples also showed a significant increase in CD4 binding site
specific antibody response, maintained stable CD4+ T cell counts (>350 cells/mm3)
and continued to remain ART-naive for more than 10 years after initial diagnosis,
implying a strong clinical correlation with the development and evolution of
broadly neutralizing antibody response against HIV-1.
PMID- 29662495
TI - Comparative Analysis of WUSCHEL-Related Homeobox Genes Revealed Their Parent-of
Origin and Cell Type-Specific Expression Pattern During Early Embryogenesis in
Tobacco.
AB - WUSCHEL-related homeobox (WOX) gene is a plant-specific clade of homeobox
transcription factors. Increasing evidences reveal that WOXs play critical roles
in early embryogenesis, which involves zygote development, initiation of zygote
division, and apical or basal cell lineage establishment. However, how WOXs
regulate these developmental events remains largely unknown, and even detailed
expression pattern in gametes and early proembryos is not yet available. Here, 13
WOX family genes were identified in Nicotiana tabacum genome. Comparative
analysis of 13 WOX family genes with their homologs in Arabidopsis thaliana
reveals relatively conserved expression pattern of WUS and WOX5 in shoot/root
apical meristem. Whereas variations were also found, e.g., lacking homolog of
WOX8 (a marker for suspensor cell) in tobacco genome and the expression of
WOX2/WOX9 in both apical cell and basal cell. Transient transcriptional activity
analysis revealed that WOXs in WUS clade have repressive activities for their
target's transcription, whereas WOXs in ancient and intermediate clade have
activation activities, giving a molecular basis for the phylogenetic
classification of tobacco WOXs into three major clades. Expression pattern
analysis revealed that some WOXs (e.g., WOX 13a) expressed in both male and
female gametes and some WOXs (e.g., WOX 11 and WOX 13b) displayed the
characteristics of parent-of-origin genes. Interestingly, some WOXs (e.g., WOX2
and WOX9), which are essential for early embryo patterning, were de novo
transcribed in zygote, indicating relevant mechanism for embryo pattern formation
is only established in zygote right after fertilization and not carried in by
gametes. We also found that most WOXs displayed a stage-specific and cell type
specific expression pattern. Taken together, this work provides a detailed
landscape of WOXs in tobacco during fertilization and early embryogenesis, which
will facilitate the understanding of their specific roles in these critical
developmental processes of embryogenesis.
PMID- 29662497
TI - Prediction of Cacao (Theobroma cacao) Resistance to Moniliophthora spp. Diseases
via Genome-Wide Association Analysis and Genomic Selection.
AB - Cacao (Theobroma cacao) is a globally important crop, and its yield is severely
restricted by disease. Two of the most damaging diseases, witches' broom disease
(WBD) and frosty pod rot disease (FPRD), are caused by a pair of related fungi:
Moniliophthora perniciosa and Moniliophthora roreri, respectively. Resistant
cultivars are the most effective long-term strategy to address Moniliophthora
diseases, but efficiently generating resistant and productive new cultivars will
require robust methods for screening germplasm before field testing. Marker
assisted selection (MAS) and genomic selection (GS) provide two potential avenues
for predicting the performance of new genotypes, potentially increasing the
selection gain per unit time. To test the effectiveness of these two approaches,
we performed a genome-wide association study (GWAS) and GS on three related
populations of cacao in Ecuador genotyped with a 15K single nucleotide
polymorphism (SNP) microarray for three measures of WBD infection (vegetative
broom, cushion broom, and chirimoya pod), one of FPRD (monilia pod) and two
productivity traits (total fresh weight of pods and % healthy pods produced).
GWAS yielded several SNPs associated with disease resistance in each population,
but none were significantly correlated with the same trait in other populations.
Genomic selection, using one population as a training set to estimate the
phenotypes of the remaining two (composed of different families), varied among
traits, from a mean prediction accuracy of 0.46 (vegetative broom) to 0.15
(monilia pod), and varied between training populations. Simulations demonstrated
that selecting seedlings using GWAS markers alone generates no improvement over
selecting at random, but that GS improves the selection process significantly.
Our results suggest that the GWAS markers discovered here are not sufficiently
predictive across diverse germplasm to be useful for MAS, but that using all
markers in a GS framework holds substantial promise in accelerating disease
resistance in cacao.
PMID- 29662496
TI - Assembly and Transfer of Iron-Sulfur Clusters in the Plastid.
AB - Iron-Sulfur (Fe-S) clusters and proteins are essential to many growth and
developmental processes. In plants, they exist in the plastids, mitochondria,
cytosol, and nucleus. Six types of Fe-S clusters are found in the plastid:
classic 2Fe-2S, NEET-type 2Fe-2S, Rieske-type 2Fe-2S, 3Fe-4S, 4Fe-4S, and
siroheme 4Fe-4S. Classic, NEET-type, and Rieske-type 2Fe-2S clusters have the
same 2Fe-2S core; similarly, common and siroheme 4Fe-4S clusters have the same
4Fe-4S core. Plastidial Fe-S clusters are assembled by the sulfur mobilization
(SUF) pathway, which contains cysteine desulfurase (EC 2.8.1.7), sulfur
transferase (EC 2.8.1.3), Fe-S scaffold complex, and Fe-S carrier proteins. The
plastidial cysteine desulfurase-sulfur transferase-Fe-S-scaffold complex system
is responsible for de novo assembly of all plastidial Fe-S clusters. However,
different types of Fe-S clusters are transferred to recipient proteins via
respective Fe-S carrier proteins. This review focuses on recent discoveries on
the molecular functions of different assembly and transfer factors involved in
the plastidial SUF pathway. It also discusses potential points for regulation of
the SUF pathway, relationships among the plastidial, mitochondrial, and cytosolic
Fe-S assembly and transfer pathways, as well as several open questions about the
carrier proteins for Rieske-type 2Fe-2S, NEET-type 2Fe-2S, and 3F-4S clusters.
PMID- 29662498
TI - Small RNA and Degradome Deep Sequencing Reveals the Roles of microRNAs in Seed
Expansion in Peanut (Arachis hypogaea L.).
AB - Seed expansion in peanut is a complex biological process involving many gene
regulatory pathways. MicroRNAs (miRNAs) play important regulatory roles in plant
growth and development, but little is known about their functions during seed
expansion, or how they contribute to seed expansion in different peanut lines. We
examined seed miRNA expression patterns at 15 and 35 days after flowering (DAF)
in two peanut eighth-generation recombinant inbred lines (RIL8); 8106, a medium
pod variety, and 8107, a super-pod variety. Using high-throughput sequencing, we
identified 1,082 miRNAs in developing peanut seeds including 434 novel miRNAs. We
identified 316 differentially expressed miRNAs by comparing expression levels
between the two peanut lines. Interestingly, 24 miRNAs showed contrasting
patterns of expression in the two RILs, and 149 miRNAs were expressed
predominantly in only one RIL at 35 DAF. Also, potential target genes for some
conserved and novel miRNAs were identified by degradome sequencing; target genes
were predicted to be involved in auxin mediated signaling pathways and cell
division. We validated the expression patterns of some representative miRNAs and
12 target genes by qPCR, and found negative correlations between the expression
level of miRNAs and their targets. miR156e, miR159b, miR160a, miR164a, miR166b,
miR168a, miR171n, miR172c-5p, and miR319d and their corresponding target genes
may play key roles in seed expansion in peanut. The results of our study also
provide novel insights into the dynamic changes in miRNAs that occur during
peanut seed development, and increase our understanding of miRNA function in seed
expansion.
PMID- 29662499
TI - NAD Kinases: Metabolic Targets Controlling Redox Co-enzymes and Reducing Power
Partitioning in Plant Stress and Development.
AB - NAD(H) and NADP(H) are essential co-enzymes which dominantly control a number of
fundamental biological processes by acting as reducing power and maintaining the
intracellular redox balance of all life kingdoms. As the only enzymes that
catalyze NAD(H) and ATP to synthesize NADP(H), NAD Kinases (NADKs) participate in
many essential metabolic reactions, redox sensitive regulation, photosynthetic
performance and also reactive oxygen species (ROS) homeostasis of cells and
therefore, play crucial roles in both development and stress responses of plants.
NADKs are highly conserved enzymes in amino acid sequences but have multiple
subcellular localization and diverse functions. They may function as monomers,
dimers or multimers in cells but the enzymatic properties in plants are not well
elucidated yet. The activity of plant NADK is regulated by calcium/calmodulin and
plays crucial roles in photosynthesis and redox co-enzyme control. NADK genes are
expressed in almost all tissues and developmental stages of plants with
specificity for different members. Their transcripts can be greatly stimulated by
a number of environmental factors such as pathogenic attack, irritant
applications and abiotic stress treatments. Using transgenic approaches, several
studies have shown that NADKs are involved in chlorophyll synthesis,
photosynthetic efficiency, oxidative stress protection, hormone metabolism and
signaling regulation, and therefore contribute to the growth regulation and
stress tolerance of plants. In this review, the enzymatic properties and
functional mechanisms of plant NADKs are thoroughly investigated based on
literature and databases. The results obtained here are greatly advantageous for
further exploration of NADK function in plants.
PMID- 29662500
TI - Genetic Resources in the "Calabaza Pipiana" Squash (Cucurbita argyrosperma) in
Mexico: Genetic Diversity, Genetic Differentiation and Distribution Models.
AB - Analyses of genetic variation allow understanding the origin, diversification and
genetic resources of cultivated plants. Domesticated taxa and their wild
relatives are ideal systems for studying genetic processes of plant domestication
and their joint is important to evaluate the distribution of their genetic
resources. Such is the case of the domesticated subspecies C. argyrosperma ssp.
argyrosperma, known in Mexico as calabaza pipiana, and its wild relative C.
argyrosperma ssp. sororia. The main aim of this study was to use molecular data
(microsatellites) to assess the levels of genetic variation and genetic
differentiation within and among populations of domesticated argyrosperma across
its distribution in Mexico in comparison to its wild relative, sororia, and to
identify environmental suitability in previously proposed centers of
domestication. We analyzed nine unlinked nuclear microsatellite loci to assess
levels of diversity and distribution of genetic variation within and among
populations in 440 individuals from 19 populations of cultivated landraces of
argyrosperma and from six wild populations of sororia, in order to conduct a
first systematic analysis of their genetic resources. We also used species
distribution models (SDMs) for sororia to identify changes in this wild
subspecies' distribution from the Holocene (~6,000 years ago) to the present, and
to assess the presence of suitable environmental conditions in previously
proposed domestication sites. Genetic variation was similar among subspecies (HE
= 0.428 in sororia, and HE = 0.410 in argyrosperma). Nine argyrosperma
populations showed significant levels of inbreeding. Both subspecies are well
differentiated, and genetic differentiation (FST) among populations within each
subspecies ranged from 0.152 to 0.652. Within argyrosperma we found three genetic
groups (Northern Mexico, Yucatan Peninsula, including Michoacan and Veracruz, and
Pacific coast plus Durango). We detected low levels of gene flow among
populations at a regional scale (<0.01), except for the Yucatan Peninsula, and
the northern portion of the Pacific Coast. Our analyses suggested that the
Isthmus of Tehuantepec is an effective barrier isolating southern populations.
Our SDM results indicate that environmental characteristics in the Balsas-Jalisco
region, a potential center of domestication, were suitable for the presence of
sororia during the Holocene.
PMID- 29662501
TI - Species Boundaries Between Three Sympatric Oak Species: Quercus aliena, Q.
dentata, and Q. variabilis at the Northern Edge of Their Distribution in China.
AB - Oaks are important timber trees with wide distributions in China, but few genetic
studies have been conducted on a fine scale. In this study, we seek to
investigate the genetic diversity and differentiation of three sympatric oak
species (Quercus aliena Blume, Quercus dentata Thunb. ex Murray, and Quercus
variabilis Blume) in their northern distribution in China using 17 bi-parentally
inherited nSSRs markers and five maternally inherited chloroplast DNA (cpDNA)
fragments. Both the cpDNA and the nSSRs show a high level of genetic
differentiation between different oak sections. The chloroplast haplotypes are
clustered into two lineages. Clear species boundaries are detected between Q.
variabilis and either Q. aliena or Q. dentata. The sharing of chloroplast
haplotype H1 between Q. aliena and Q. dentata suggests very recent speciation and
incomplete lineage sorting or introgression of H1 from one species to another.
The nSSRs data indicate a complete fixation of variation within sites for all
three oak species, and that extensive gene flow occurs within species whereas
only limited gene flow is detected between Q. aliena and Q. dentata and nearly no
gene flow can be detected between Q. aliena and Q. variabilis and between Q.
dentata and Q. variabilis. Prezygotic isolation may have contributed to the
species boundaries of these three sympatric oak species.
PMID- 29662503
TI - Identification and Functional Analysis of Long Intergenic Non-coding RNAs
Underlying Intramuscular Fat Content in Pigs.
AB - Intramuscular fat (IMF) content is an important trait that can affect pork
quality. Previous studies have identified many genes that can regulate IMF. Long
intergenic non-coding RNAs (lincRNAs) are emerging as key regulators in various
biological processes. However, lincRNAs related to IMF in pig are largely
unknown, and the mechanisms by which they regulate IMF are yet to be elucidated.
Here we reconstructed 105,687 transcripts and identified 1,032 lincRNAs in pig
longissimus dorsi muscle (LDM) of four stages with different IMF contents based
on published RNA-seq. These lincRNAs show typical characteristics such as shorter
length and lower expression compared with protein-coding genes. Combined with
methylation data, we found that both the promoter and genebody methylation of
lincRNAs can negatively regulate lincRNA expression. We found that lincRNAs
exhibit high correlation with their protein-coding neighbors in expression. Co
expression network analysis resulted in eight stage-specific modules, gene
ontology and pathway analysis of them suggested that some lincRNAs were involved
in IMF-related processes, such as fatty acid metabolism and peroxisome
proliferator-activated receptor signaling pathway. Furthermore, we identified hub
lincRNAs and found six of them may play important roles in IMF development. This
work detailed some lincRNAs which may affect of IMF development in pig, and
facilitated future research on these lincRNAs and molecular assisted breeding for
pig.
PMID- 29662502
TI - Sex-Dependent Effects of Developmental Lead Exposure on the Brain.
AB - The role of sex as an effect modifier of developmental lead (Pb) exposure has
until recently received little attention. Lead exposure in early life can affect
brain development with persisting influences on cognitive and behavioral
functioning, as well as, elevated risks for developing a variety of diseases and
disorders in later life. Although both sexes are affected by Pb exposure, the
incidence, manifestation, and severity of outcomes appears to differ in males and
females. Results from epidemiologic and animal studies indicate significant
effect modification by sex, however, the results are not consistent across
studies. Unfortunately, only a limited number of human epidemiological studies
have included both sexes in independent outcome analyses limiting our ability to
draw definitive conclusions regarding sex-differentiated outcomes. Additionally,
due to various methodological differences across studies, there is still not a
good mechanistic understanding of the molecular effects of lead on the brain and
the factors that influence differential responses to Pb based on sex. In this
review, focused on prenatal and postnatal Pb exposures in humans and animal
models, we discuss current literature supporting sex differences in outcomes in
response to Pb exposure and explore some of the ideas regarding potential
molecular mechanisms that may contribute to sex-related differences in outcomes
from developmental Pb exposure. The sex-dependent variability in outcomes from
developmental Pb exposure may arise from a combination of complex factors,
including, but not limited to, intrinsic sex-specific molecular/genetic
mechanisms and external risk factors including sex-specific responses to
environmental stressors which may act through shared epigenetic pathways to
influence the genome and behavioral output.
PMID- 29662504
TI - Comparison of the safety and efficacy of two types of drug-eluting balloons
(RESTORE DEB and SeQuent(r) Please) in the treatment of coronary in-stent
restenosis: study protocol for a randomized controlled trial (RESTORE ISR China).
PMID- 29662505
TI - Comparison of in-hospital outcomes between octogenarians and nonagenarians
undergoing transcatheter aortic valve replacement: a propensity matched analysis.
AB - Background: Aortic valve stenosis (AS) is very common in the elderly patients
above 80 years. Transcatheter aortic valve replacement (TAVR) in such patients is
being increasingly performed. This study sought to assess in-hospital outcome
differences between octogenarians and nonagenarians and predictors of mortality
in nonagenarians undergoing TAVR with severe AS. Method: The study population was
derived from the National Inpatient Sample (NIS) for the years 2012-2014 using
ICD-9 CM procedure codes 35.05 and 35.06 for TAVR. Hospitalizations below 80
years of age were excluded. After performing propensity score matching (1: 2), in
hospital outcomes were compared in matched cohorts. Then, multivariate model was
developed to analyze predictors of in-hospital mortality in nonagenarians.
Results: There were 11,630 hospitalizations in the octogenarian and 5815
hospitalizations in the nonagenarian group. Primary outcome of in-hospital
mortality (6% vs. 4.1%, P <= 0.001) was higher in nonagenarians compared to
octogenarians. Secondary outcomes including stroke (3.4% vs. 2.8%, P <= 0.001),
renal failure (18.9% vs. 17.3%, P <= 0.001), blood transfusion (35% vs. 32.6%, P
<= 0.001), vascular complications (4.5% vs. 3.5%, P <= 0.001), and pacemaker
implantation (27.8% vs. 24.8%, P <= 0.001) were higher in nonagenarians. There
was no difference in their length of stay. Median cost (70,374$ vs. 65,381$, P <=
0.001) was slightly higher with nonagenarian. Conclusions: Although in-hospital
mortality is slightly higher in nonagenarians, it is acceptable. This difference
in mortality is at least partly explained by higher complications in
nonagenarians. Efforts should be made to decrease the complications which can
further narrow the difference in in-hospital mortality between the groups.
PMID- 29662506
TI - Patterns of in-hospital mortality and bleeding complications following PCI for
very elderly patients: insights from the Dartmouth Dynamic Registry.
AB - Background: Very elderly patients (age >= 85 years) are a rapidly increasing
segment of the population. As a group, they experience high rates of in-hospital
mortality and bleeding complications following percutaneous coronary intervention
(PCI). However, the relationship between bleeding and mortality in the very
elderly is unknown. Methods: Retrospective review was performed on 17,378
consecutive PCI procedures from 2000 to 2015 at Dartmouth-Hitchcock Medical
Center. Incidence of bleeding during the index PCI admission (bleeding requiring
transfusion, access site hematoma > 5 cm, pseudoaneurysm, and retroperitoneal
bleed) and in-hospital mortality were reported for four age groups (< 65 years,
65-74 years, 75-84 years, and >= 85 years). The mortality of patients who
suffered bleeding complications and those who did not was calculated and
multivariate analysis was performed for in-hospital mortality. Lastly, known
predictors of bleeding were compared between patients age < 85 years and age >=
85 years. Results: Of 17,378 patients studied, 1019 (5.9%) experienced bleeding
and 369 (2.1%) died in-hospital following PCI. Incidence of bleeding and in
hospital mortality increased monotonically with increasing age (mortality: 0.94%,
2.27%, 4.24% and 4.58%; bleeding: 3.96%, 6.62%, 10.68% and 13.99% for ages < 65,
65-74, 75-84 and >= 85 years, respectively). On multivariate analysis, bleeding
was associated with increased mortality for all age groups except patients age >=
85 years [odds ratio (95% CI): age < 65 years, 3.65 (1.99-6.74); age 65-74 years,
2.83 (1.62-4.94); age 75-84 years, 3.86 (2.56-5.82), age >= 85 years: 1.39 (0.49
3.95)]. Conclusions: Bleeding and mortality following PCI increase with
increasing age. For the very elderly, despite high rates of bleeding, bleeding is
no longer predictive of in-hospital mortality following PCI.
PMID- 29662507
TI - New predictors of in-stent restenosis in patients with diabetes mellitus
undergoing percutaneous coronary intervention with drug-eluting stent.
AB - Background: Percutaneous coronary intervention (PCI) had become the major
therapeutic procedure for coronary artery disease (CAD), but the high rate of in
stent restenosis (ISR) still remained an unsolved clinical problem in clinical
practice. Increasing evidences suggested that diabetes mellitus (DM) was a major
risk factor for ISR, but the risk predictors of ISR in CAD patients with DM had
not been well characterized. The aim of this study was to investigate the
clinical and angiographic characteristic predictors significantly associated with
the occurrence of ISR in diabetic patients following coronary stenting with drug
eluting stent (DES). Methods: A total of 920 patients with diabetes who diagnosed
CAD and underwent coronary DES implantation at Beijing Anzhen Hospital in China
were consecutively enrolled from January 2012 to December 2012. Of these, 440
patients underwent the second angiography within >= 6 months due to the
progression of treated target lesions. Finally, 368 of these patients who met the
inclusion and exclusion criteria were followed up by angiography after baseline
PCI. According to whether ISR was detected at follow-up angiography, patients
were divided into the ISR group (n = 74) and the non-ISR group (n = 294). The
independent predictors of ISR in patients with DM were explored by multivariate
Cox's proportional hazards regression models. Results: A total of 368 patients
(260 women and 108 men) with a mean ages of 58.71 +/- 10.25 years were finally
enrolled in this study. Of these, ISR occurred in 74/368 diabetic patients
(20.11%) by follow-up angiography. Univariate analysis showed that most baseline
characteristics of the ISR and non-ISR group were similar. Patients in the ISR
group had significantly higher serum very low density lipoprotein cholesterol
(VLDL-C), triglyceride (TG) and uric acid (UA) levels, more numbers of target
vessel lesions, higher prevalence of multi-vessel disease, higher SYNTAX score,
higher rate of previous but lower rate of drinking compared with patients in the
non-ISR group. The independent predictors of ISR in patients with DM after DES
implantation included VLDL-C (HR = 1.85, 95% CI: 1.24-2.77, P = 0.002), UA (per
50 umol/L increments, HR = 1.19, 95% CI: 1.05-1.34, P = 0.006), SYNTAX score (per
5 increments, HR = 1.34, 95% CI: 1.03-1.74, P = 0.031) and the history of PCI (HR
= 3.43, 95% CI: 1.57-7.80, P = 0.003) by the multivariate Cox's proportional
hazards regression analysis. Conclusions: The increased serum VLDL-C and UA
level, higher SYNTAX score and the history of previous PCI were independent
predictors of ISR in patients with DM after coronary DES implantation. It
provided new evidence for physicians to take measures to lower the risk of ISR
for the better management of diabetic patients after PCI.
PMID- 29662508
TI - Obstructive sleep apnea is associated with severity and long-term prognosis of
acute coronary syndrome.
AB - Background: Obstructive sleep apnea (OSA) is a common disease in patients with
acute coronary syndrome (ACS) and associated with an increased risk of fatal and
nonfatal cardiovascular events. However, most patients in previous study were
treated with bare metal stents and the sample sizes were relatively low. The goal
of this study was to evaluate the influence of OSA on the severity and prognosis
of patients admitted for ACS. Methods: In this prospective cohort study, we
enrolled patients with ACS who were hospitalized for coronary
angiogram/percutaneous coronary intervention and undergone polysomnography. We
divided the patients into two groups: moderate to severe OSA group [apnea
hypopnea index (AHI) > 15 events/h] and control group (AHI <= 15 events/h). They
were followed up for up 32 months. Then, we compared the ACS severity and long
term major adverse cardiovascular events (MACE) in patients with different
severity of OSA. Results: Five hundred and twenty nine patients were included in
the final analysis, with 76% of them being men and an average age of 59 +/- 10
years. The overall mean AHI is 29 +/- 19 events/h, 70.5% of them (373/529) being
with moderate to severe OSA and 29.5% (156/529) assign into control group.
Compared with controls, patients with moderate or severe OSA exhibited a higher
prevalence of hypertension as well as higher body mass index, SYNTAX score,
Epworth score and length of hospitalization. With a median follow-up duration of
30 months, accumulative rate of MACE was also higher in patients with moderate or
severe OSA than that in the control group (8.6% vs. 3.2%, P = 0.028). After
adjusting for baseline confounders by cox regression model, moderate to severe
OSA was an independent risk factor of long-term MACE (P = 0.047, HR = 1.618, 95%
CI: 1.069-3.869). Conclusions: The results of this study demonstrate that
moderate or severe OSA is correlated with disease severity and associated with
worse long-term prognosis in ACS patients. The results raising the possibility
that early diagnose and interventions of OSA could improve long-term outcomes in
ACS patients.
PMID- 29662509
TI - Adherence to pharmacological and non-pharmacological treatment of frail
hypertensive patients.
AB - Objective: To investigate the relationship between frailty syndrome (FS) and
adherence to pharmacological and non-pharmacological treatment for hypertension.
Methods: The study included 100 patients diagnosed with hypertension and treated
with one or more hypotensive drugs. Results: Frail patients obtained low scores
(4.1 +/- 2.0) for adherence to pharmaceutical treatment of hypertension, while
non-frail patients obtained moderate scores (6.1 +/- 2.1). Non-frail patients had
higher scores in two out of four domains of the Health Behavior Inventory (HBI):
positive mental attitudes (3.6 +/- 0.4 vs. 3.2 +/- 0.5; P = 0.006) and health
practices (3.6 +/- 0.5 vs. 3.2 +/- 0.5; P < 0.03); as well as higher global
scores (HBI raw score): 83.3 +/- 10.6 vs. 77.3 +/- 9.5; P < 0.03. Multiple
regression analysis showed that frailty syndrome (FS) was a statistically
significant independent determinant of worse adherence to pharmacological
treatment (beta = -0.27; P < 0.001) and health behaviors (beta = -0.10; P =
0.036). Education was a statistically significant independent determinant of
better adherence to pharmacological treatment (beta = 0.82; P = 0.012), while net
income positively affected health behaviors as measured by the HBI (beta = 0.39;
P = 0.046). Conclusions: FS is a significant independent factor contributing to
worse adherence to pharmacological and non-pharmacological treatment of
hypertension. Better education significantly improves patients' adherence to the
prescribed pharmacological treatment, while a good financial standing evidenced
by high net income is a determinant of better adherence to health-related
behaviors recommended in hypertension treatment.
PMID- 29662510
TI - Long term outcomes of drug-eluting stent versus coronary artery bypass grafting
for left main coronary artery disease: a meta-analysis.
AB - Background: It is still controversial whether percutaneous coronary intervention
with drug-eluting stent (DES) is safe and effective compared to coronary artery
bypass graft surgery (CABG) for unprotected left main coronary artery (ULMCA)
disease at long-term follow up (>= 3 years). Methods: Eligible studies were
selected by searching PubMed, EMBASE, and Cochrane Library up to December 6,
2016. The primary endpoint was a composite of death, myocardial infarction (MI)
or stroke during the longest follow-up. Death, cardiac death, MI, stroke and
repeat revascularization were the secondary outcomes. Results: Four randomized
controlled trials and twelve adjusted observational studies involving 14,130
patients were included. DES was comparable to CABG regarding the occurrence of
the primary endpoint (HR = 0.94, 95% CI: 0.86-1.03). Besides, DES was
significantly associated with higher incidence of MI (HR = 1.56, 95% CI: 1.09
2.22) and repeat revascularization (HR = 3.09, 95% CI: 2.33-4.10) compared with
CABG, while no difference was found between the two strategies regard as the rate
of death, cardiac death and stroke. Furthermore, DES can reduce the risk of the
composite endpoint of death, MI or stroke (HR = 0.80, 95% CI: 0.67-0.95) for
ULMCA lesions with SYNTAX score <= 32. Conclusions: Although with higher risk of
repeat revascularization, PCI with DES appears to be as safe as CABG for ULMCA
disease at long-term follow up. In addition, treatment with DES could be an
alternative interventional strategy to CABG for ULMCA lesions with low to
intermediate anatomic complexity.
PMID- 29662511
TI - Treatment of coronary in-stent restenosis: a systematic review.
AB - Coronary stent implantation has significantly improved percutaneous coronary
intervention and enabled the management of early complications of plain balloon
angioplasty. However, a new complication has accompanied these improvements: in
stent restenosis (ISR) arising from neointimal hyperplasia. ISR after coronary
angioplasty is currently one of the main limitations of this method, leading to
the recurrence of exertional angina pectoris or acute coronary syndromes. The
clinical incidence of ISR after bare-metal stent (BMS) implantation is
approximately 20%-35%. The use of drug-eluting stents (DES) has led to a further
decrease in the occurrence of ISR to 5%-10%. Evidence resulting from controlled
clinical studies suggests that DES and drug-eluting balloon catheters (DEB)
provide the best clinical and angiographic results in the treatment of ISR. We
undertook a systematic review of the pathophysiology, diagnostics and treatment
options for BMS- and DES-ISR. We discuss recent randomised studies, comparing
different DES or DEB used for BMS or DES-ISR treatment, as well as the use of new
biovascular scafolds and the topic of scafold restenosis.
PMID- 29662513
TI - Repetitive narrow QRS tachycardia in a 61-year-old female patient with recent
palpitations.
PMID- 29662512
TI - Prediction of sudden death in elderly patients with heart failure.
AB - Most heart failure (HF) related mortality is due to sudden cardiac death (SCD)
and worsening HF, particularly in the case of reduced ejection fraction.
Predicting and preventing SCD is an important goal but most works include no or
few patients with advanced age, and the prevention of SCD in elderly patients
with HF is still controversial. A recent reduction in the annual rate of SCD has
been recently described but it is not clear if this is also true in advanced age
patients. Age is associated with SCD, although physicians frequently have the
perception that elderly patients with HF die mainly of pump failure,
underestimating the importance of SCD. Other clinical variables that have been
associated to SCD are symptoms, New York Heart Association functional class,
ischemic cause, and comorbidities (chronic obstructive pulmonary disease, renal
dysfunction and diabetes). Some test results that should also be considered are
left ventricular ejection fraction and diameters, natriuretic peptides, non
sustained ventricular tachycardias and autonomic abnormalities. The combination
of all these markers is probably the best option to predict SCD. Different risk
scores have been described and, although there are no specific ones for elderly
populations, most include age as a risk predictor and some were developed in
populations with mean age > 65 years. Finally, it is important to stress that
these scores should be able to predict any type of SCD as, although most are due
to tachyarrhythmias, bradyarrhythmias also play a role, particularly in the case
of the elderly.
PMID- 29662514
TI - Intravascular ultrasound guided retrograde guidewire true lumen tracking
technique for chronic total occlusion intervention.
PMID- 29662515
TI - Thyrotoxicosis induced cardiogenic shock rescued by extracorporeal membrane
oxygenation.
PMID- 29662517
TI - Measuring Children's Mental Health Outcomes from a Service Perspective.
PMID- 29662516
TI - Adolescent and Young Adult Testicular Germ Cell Tumors: Special Considerations.
AB - While testicular germ cell tumors (T-GCTs) make up only 0.5% of pediatric
malignancies and less than 2% of adult malignancies, they comprise 14% of
adolescent malignancies, making it the most common solid tumor in this age group.
The transition in incidence at this age is also accompanied by a transition in
tumor histology with adolescents having mostly pure embryonal carcinoma and mixed
nonseminomatous germ cell tumors. Similar to T-GCTs of all ages, surgical
excision with orchiectomy is the standard initial step in treatment.
Chemotherapy, retroperitoneal lymph node dissection, and targeted treatment of
distant metastases make even widely disseminated disease treatable and curable.
For this reason, in many ways, the future focus has expanded beyond survival
alone to emphasize quality of life issues such as fertility and hypogonadism.
However, adolescents remain the age group least studied or understood as they
fall in between the ages included in most study designs. Also, they require the
most psychosocial support because of the challenges unique to the adolescent
period. In this review, we aim to highlight the known outcome data for T-GCTs in
this population and also to discuss the unique aspects of treatment and support
for this age group.
PMID- 29662518
TI - Letter To The Editor.
PMID- 29662519
TI - Response to "A critique for the new Canadian FASD diagnostic Guidelines".
PMID- 29662520
TI - Measuring Children's Mental Health in Ontario: Policy Issues and Prospects for
Change.
AB - Children's mental health in Canada is characterized by high needs coupled with
stark service shortfalls. In Ontario and in many provinces, addressing these
shortfalls is hampered by the absence of a measurement system, something that
researchers have long called for. This commentary aims to review the issues and
suggest prospects for improving the measurement of children's mental health in
Ontario and elsewhere. As background, we first describe the children's mental
health needs; outline the rationale for a measurement system; describe previous
attempts to introduce such systems, including in Ontario; and discuss the current
Ontario situation. We then explore some of the issues that constrain policy and
that need to be overcome, and suggest prospects for change - for advancing the
measurement of children's mental health in Ontario and Canada.
PMID- 29662521
TI - Evaluating Training Programs for Primary Care Providers in Child/Adolescent
Mental Health in Canada: A Systematic Review.
AB - Introduction: The need for child/adolescent mental health care in Canada is
growing. Primary care can play a key role in filling this gap, yet most providers
feel they do not have adequate training. This paper reviews the Canadian
literature on capacity building programs in child and adolescent psychiatry for
primary care providers, to examine how these programs are being implemented and
evaluated to contribute to evidence-based initiatives. Methods: A systematic
literature review of peer-reviewed published articles of capacity building
initiatives in child/adolescent mental health care for primary care practitioners
that have been implemented in Canada. Results: Sixteen articles were identified
that met inclusion criteria. Analysis revealed that capacity building initiatives
in Canada are varied but rigorous evaluation methodology is lacking. Primary care
providers welcome efforts to increase mental health care capacity and were
satisfied with the implementation of most programs. Discussion: Objective
conclusions regarding the effectiveness of these programs to increase mental
health care capacity is challenging given the evaluation methodology of these
studies. Conclusion: Rigorous evaluation methods are needed to make evidence
based decisions on ways forward to be able to build child/adolescent mental
health care capacity in primary care. Outcome measures need to move beyond self
report to more objective measures, and should expand the measurement of patient
outcomes to ensure that these initiative are indeed leading to improved care for
families.
PMID- 29662522
TI - Regional Variations in Suicide and Undetermined Death Rates among Adolescents
across Canada.
AB - Objective: Trends in rates of adolescent suicide and undetermined deaths in
Canada from 1981 to 2012 were examined, focusing specifically on variations
between Canadian regions. Exploratory hypotheses were formulated for regional
variability in adolescent suicide rates over time in Canada. Methods: A
descriptive time trend analysis using public domain vital statistics data was
performed. All deaths from 1981 to 2012 among 15 to 19 year olds coded as
suicides or undetermined intent according to the International Classification of
Diseases, 9th and 10th Revisions were included. Results: While there was an
overall stability in adolescent suicide and undetermined death rates across
Canada, regional analyses showed that Quebec experienced a 7.6% annual reduction
between 2001 and 2012 while the Prairies and Atlantic provinces experienced
significant annual increases since 2001. Ontario and British Columbia have had
non-significant fluctuations since 2001. The trends remained similar overall when
excluding undetermined deaths from the analyses. Conclusions: Variations in
adolescent suicide trends across provinces were found. Factors such as provincial
suicide action and prevention legislation contributing to these variations remain
to be studied, but these regional differences point towards the need for better
consistency of suicide prevention strategies across the country.
PMID- 29662523
TI - Sexual Health of Adolescent Patients Admitted to a Psychiatric Unit.
AB - Objective: To review sexual health screening practices during admission to an
adolescent psychiatry unit. Method: Retrospective chart review of randomly
selected youth admitted over a one-year period (2013). Data extracted included
demographics, reasons for admission, sexual health history, as well as any
comorbid behaviours noted. The main outcome measure was whether sexual health
details were documented at any time during admission; if so, this information was
extracted for analysis. Statistical analysis was done using univariate
associations and logistic association. Results: Mean age of subjects (n=99, 79
females and 20 males) was 15.24 years (SD = 1.30). Most common reasons for
admission were suicidal gestures/self harm (n=57, 58%) and mood disorders (n=53,
54%). Thirty-seven patients (37%) had sexual health information documented in
their charts. No demographic variables were significantly associated with being
asked sexual health questions. Patients who had mood disorder diagnoses had 6
times the odds (95%CI: 1.18 to 29.96, P=0.03) of sexual health questions being
documented compared to those not diagnosed with mood disorders. Conclusions:
Screening for sexual health concerns is not being documented in the majority of
adolescent psychiatry inpatients. Omitting sexual health screening during
hospitalizations represents a missed opportunity for investigation and management
of sexual health issues in this high-risk group. As many adolescents, particular
those struggling with mental illness, do not attend preventative health visits,
screening for pregnancy risk and other reproductive health needs is recommended
at every adolescent encounter and in all settings.
PMID- 29662524
TI - Parent-only Group Cognitive Behavioral Intervention for Children with Anxiety
Disorders: A Control Group Study.
AB - Objective: Parents play an important role in development and continuation of
anxiety disorders in children. Yet the evidence on parent contribution in
cognitive behavioral therapy (CBT) for childhood anxiety is limited. This open
randomized trial examined the effectiveness of a parent-directed group CBT to
manage children with anxiety disorders. Method: Parents of 42 children aged 6-12
with primary anxiety disorders were allocated to a six, two-hour weekly
intervention and a wait-list (WL) control. The Revised Children's Manifest
Anxiety, Children's Depression Inventory, Strengths and Difficulties
Questionnaire-Home Version, Depression-Anxiety-Stress Scale, Children Global
Assessment Scale, and Global Relational Assessment of Functioning were used to
assess children's and parents' functioning and emotional symptoms. Parents
completed consumer satisfaction questionnaire. Results: Parents in the CBT group
reported significant improvement in their depressive symptoms (p=0.006) and the
family functioning (p=0.04), as well as reduction in children's emotional
symptoms (p=0.007). Clinician rating of children's functioning showed significant
improvement in the CBT group(p=0.001). There was no significant difference in
children rating of their anxiety within groups from pre- to post-intervention.
Parents were satisfied mostly with the intervention. Conclusion: A brief parent
only CBT based intervention can be effective in the management of childhood
anxiety.
PMID- 29662525
TI - Video-conferencing Telehealth Linkage attempts to Schools to Facilitate Mental
Health Consultation.
AB - Objective: Telehealth to schools may be a strategic approach to expand child
mental health service delivery, however, there are only a few published examples.
This report describes video-conferencing telehealth linkage attempts to schools
to facilitate mental health consultation. Methods: A series of synchronous video
conferencing linkage strategies were attempted to connect a mental health
consultation service to multiple schools in a Canadian setting. Consultation to
support the implementation of the Daily Report Card, for students with
attentional and behavioural problems, was the core content of this pilot linkage
attempt. Results: Synchronous video conference consultations were successfully
delivered to six elementary schools across three school districts. Two of three
linkage strategies were functional. One used existing health centre-based
telehealth units to connect to school-based dedicated tablets with a video
collaboration app and reliance on existing school Wi-Fi. A second used existing
laptops in both the health and school system linked through a communication
platform. A third connection, using 3G/4G hotspots to obviate the need to access
school Wi-Fi, was deemed too expensive in this setting. Conclusion: The potential
to use existing computer hardware to connect mental health providers and schools
could facilitate scale-up. However, it is unknown whether mental health systems
and school sectors will invest in such linkages and reorganize core mental health
services to be delivered in this way.
PMID- 29662526
TI - Factors Predicting Length of Stay in an Adolescent Psychiatric Unit, South Bronx,
NY: A Short Report.
AB - Objective: Mental health disorders account for around 1.8 million inpatient
community hospital stays in the U.S.A. Our study aims to highlight the factors
impacting the length of stay at a community hospital in the U.S.A. Methods: We
reviewed 300 randomly selected charts to examine the factors impacting the length
of stay in an adolescent inpatient psychiatric unit in 2011 and 2015. All data
were analyzed in SPSS v. 20 with multiple regression analysis. Results: Longer
length of stay among adolescents was associated with multiple psychiatric
diagnoses, co-occurring medical comorbidities, not living with biological
parents, history of mental illness, legal problems, substance use in the family,
seclusion, restraints during current admission, and schizophrenia. Conclusion:
Longer length of stay among adolescents in a psychiatric inpatient unit was
associated with severity of psychopathology, hospital parameters and family
stability.
PMID- 29662527
TI - Stimulant Withdrawal in a Child with Autism Spectrum Disorder and ADHD - A Case
Report.
AB - Objective: To consider whether the concepts of tolerance and withdrawal to
stimulant medications apply to a preadolescent female, affected by autism
spectrum disorder (ASD) and treated for associated attention
deficit/hyperactivity disorder (ADHD). Methods: We describe the case history and
review scientific English language literature pertaining to acute withdrawal
effects associated with methylphenidate and amphetamine derivatives in children.
Results: An 11-year-old female with ASD and ADHD referred to our clinic
experienced vomiting, headaches, and light sensitivity following abrupt
discontinuation of methylphenidate; she subsequently presented with migraines and
marked malaise immediately after a dose reduction in lisdexamfetamine. Evidence
supports the notion that ADHD symptoms in children with ASD can be effectively
treated with methylphenidate; however, beneficial effects are less robust
relative to children with a primary ADHD diagnosis. Children affected by ASD are
also more susceptible to adverse effects. Literature on withdrawal from
stimulants in children is limited to case studies; in contrast, in the adult
population more information is available, especially in adults with substance
abuse disorders. Adults experiencing stimulant withdrawal often experience
depression, fatigue, changes in appetite, and insomnia or hypersomnia.
Conclusions: We argue that tolerance to stimulants was conceivably developing in
this young female, and consequently discontinuation of methylphenidate and dose
reduction of lisdexamfetamine resulted in withdrawal symptoms. Children with ASD
are more sensitive to stimulant medications and we wonder whether this extends to
an increased sensitivity to developing tolerance to stimulant medication.
Clinicians ought to be vigilant about the emergence of symptomology suggestive of
withdrawal phenomena following stimulant discontinuation.
PMID- 29662528
TI - Oncoplastic surgery for the conservative treatment of breast cancer in Peru's
National Cancer Institute.
AB - Background: Oncoplastic surgery for breast cancer (OPS) has been a surgical trend
for the past 25 years. In 2012, OPS has been introduced as the standard treatment
for a selected group of patients at the National Cancer Institute of Peru (INEN).
The aim of this study is to describe our findings. Methods: This is a
retrospective and descriptive study that identified demographics, tumour
pathologic features and includes patients solely treated since diagnosis until
late follow-up at INEN. These OPS patients were identified from the conservative
treatment patients group by review of medical charts and creation of a database
for periods December 2005 through December 2015. Results: A total of 146 patients
were ruled in by the inclusion criteria. All patients were Peruvian females,
56.2% being 51 or older. 93.8% had core biopsy diagnosis of breast cancer and
52.1% located at the upper outer quadrant. 79.5% patients had upfront OPS and the
round block (43.2%) and reduction/mastopexy (23.3%) were the most used
techniques. pT2 was the most frequent size (54.7%). We achieved negative margins
in 134 patients (93.2%) in a single procedure. Of 29 patients, who had
neoadjuvant treatment, 11 achieved pCR. Only 5.5% had pN2 or higher. 95.2%
received complimentary external beam radiotherapy. Conclusions: OPS has proven to
be a reliable surgical option, both for aesthetic and oncologic outcomes.
Important points for achieving these results are breast surgeons having properly
trained under the OPS philosophy and knowing the patients' characteristics for
correct technique selection.
PMID- 29662529
TI - Neoadjuvant chemotherapy in locally advanced duodenal adenocarcinoma.
AB - Duodenal adenocarcinoma (ADC) represents only 0.3% of gastrointestinal neoplasms.
With the frequency being higher between the ages of 40 and 60, it is
predominantly located in the second part of the duodenum and around the
periampullary region. Symptoms are nonspecific, so the majority of patients
present with advanced disease. Neoadjuvant chemotherapy is a therapeutic option
that has not been well studied. The global literature includes only isolated
reports on this subject. This is why we are presenting the following case: a 60
year-old female patient with a locally advanced, inoperable duodenal ADC received
neoadjuvant chemotherapy. Having presented a favourable response as observed in
the post-neoadjuvant extension studies, a pancreaticoduodenectomy was performed
without any perioperative complications and with satisfactory progress. The final
biopsy reported a complete pathological response. After being monitored for 34
months, the patient was free from locoregional and distant metastatic disease.
During the last weeks of monitoring, she developed a second primary breast
tumour, which has been corroborated by immunohistochemistry.
PMID- 29662530
TI - Are we ready for routine precision medicine? Highlights from the Milan Summit on
Precision Medicine, Milan, Italy, 8-9 February 2018.
AB - On 8 and 9 February 2018, the IFOM-IEO campus in Milan hosted the Milan summit on
Precision Medicine, which gathered clinical and translational research experts
from academia, industry and regulatory bodies to discuss the state of the art of
precision medicine in Europe. The meeting was pervaded by a generalised feeling
of excitement for a field that is perceived to be technologically mature for the
transition into clinical routine but still hampered by numerous obstacles of a
methodological, ethical, regulatory and possibly cultural nature. Through lively
discussions, the attendees tried to identify realistic ways to implement a
technology-rich precision approach to cancer patients.
PMID- 29662531
TI - Cystic brain metastases in ALK-rearranged non-small cell lung cancer.
AB - The central nervous system (CNS) is a common site of disease progression in
patients with non-small-cell lung cancer (NSCLC) with anaplastic lymphoma kinase
(ALK)-rearrangement treated with crizotinib. Cystic brain metastases (CBM) have
been recently identified as one possible variant of this disease. An illustrative
case report is presented along with a literature review performed in order to
track relevant papers about CBM in ALK-rearranged NSCLC, including possible
pathophysiology, differential diagnosis and treatment options for this condition.
Three case reports have been published describing six ALK-rearranged NSCLC
patients presenting with CBM, all of which were under treatment with crizotinib
by the time of CBM diagnosis. Treatment with CNS-penetrating tyrosine kinase
inhibitors (TKIs) resulted in CNS disease control in three of the six cases
reported either as single therapy or in combination with radiation therapy (RT).
Investigation of differential diagnoses of CBM might be necessary, which include
inflammatory and demyelinating disorders, primary brain tumours and infectious
diseases, especially neurocysticercosis that might mimic CBM images. Treatment
options include RT, CNS-penetrating TKIs and invasive procedures, such as
stereotactic drainage. Thus, CBM are associated with ALK-rearranged NSCLC,
particularly in patients who use crizotinib and should prompt investigation of
differential diagnosis. CNS-penetrating TKIs are effective in the control of
solid brain metastases and also seem to be active in CBM as single therapy or in
combination with RT.
PMID- 29662532
TI - Cosmesis after early stage breast cancer treatment with surgery and radiation
therapy: experience of patients treated in a Chilean radiotherapy centre.
AB - Aim: To analyse the overall cosmetic outcome according to patient self-assessment
in relation to the fractionation received. Materials and methods: A
questionnaire, drawn up on the basis of subjective rating scales of cosmesis and
of acute and late toxicity RTOG/EORTC, EORTC QLQ-C30 (v3) and LENT SOMA, was
applied to patients with early-stage breast cancer who received radiotherapy with
tangential fields between June 2014 and July 2015. Self-perception of cosmesis,
changes in the treated breast, pain and fractionation used (hypofractionation
(HF) 42.56 Gy in 16 fractions or conventional fractionation (CF) 50 Gy in 25
fractions) were evaluated. Results: Three hundred and fifty-two questionnaires
were obtained. The median age was 58 years. 45% of patients reported 'excellent'
cosmesis, 53% 'good', and 2% 'poor' cosmesis. Cosmesis was considered
'excellent/good' by 98% of patients. No statistically significant difference was
found in overall cosmesis according to fractionation received (p = 0.6).The most
frequent alteration was 'difference between both breasts' (77%), and 48% reported
change in normal breast colour.Fifteen percent of patients who are younger than
58 years reported a change of normal breast colour affecting cosmesis compared to
9% of patients older than 58 years (p = 0.04).Complications affecting breast
cosmesis were reported by 9% of patients with stages I-II compared with 2% with
cancer in situ (DCIS) (p = 0.04); 14% in stages I-II referred colour change
affecting cosmesis compared to 6% of those with DCIS (p = 0.03).Ninety-four
percent of patients stated that they would accept treatment again. Conclusions:
No difference in cosmetic results was found between HF and CF in our patients.
Great satisfaction regarding cosmetic outcome of cancer treatment was reported,
given by 98% of excellent/good cosmesis, and 94% of patients who would receive
treatment again.
PMID- 29662533
TI - Highlights from the 10th Breast, Gynaecological and Immunotherapy International
Cancer Conference (BGICC), 18-19 January 2018, Cairo, Egypt.
AB - During the 10th Breast, Gynaecological and Immunotherapy International Cancer
Conference (BGICC), which was held on 18 and 19 of January, 2018, in Cairo,
Egypt, around 100 international, regional and national experts presented the
latest updates in breast cancer, gynaecological cancers and immunotherapy in
oncology. Through this report, we will try to highlight the important data and
consensus issues that were discussed during the conference.
PMID- 29662534
TI - Obesity and outcome of post-menopausal women receiving adjuvant letrozole for
breast cancer.
AB - Introduction: Aromatase enzyme activity is predominant in adipose tissue. This
has led to speculation that aromatase activity is elevated in obese women and
subsequently decreased the clinical activity of adjuvant aromatase inhibitors
(AIs) in women with estrogen receptor positive (ER+) breast cancer (BC). We
investigated the effect of obesity on the outcome of this population. Patients
and methods: Records of 320 consecutive post-menopausal (PM) women with ER+ BC
starting single agent adjuvant letrozole between years 2005 and 2014 were
retrospectively reviewed. Tumour and patients characteristic including body mass
index (BMI) on the day of starting letrozole were extracted. Endpoints of main
interest were: (1) Frequency of obesity; (2) relapse-free survival (RFS) in
nonobese (G1; BMI < 30) and obese (G2; BMI >= 30) patients. Results: Obesity
(BMI: 30-34.99) and morbid obesity (BMI >= 35) were present in 105/320 (32.8%)
and 115/320 (35.9%) women, respectively. Median follow-up of patients was 49
months; RFS at 5 years (G1: 69% versus G2: 78%) and at 8 years (G1: 69% versus
G2: 71%). Median RFS is not reached in both groups (Log rank; P = 0.097). There
was no correlation between BMI and RFS (correlation coefficient r = 0.075; P =
0.174). Conclusion: In this cohort, more than two-thirds of PM women starting
adjuvant AIs are obese. Obesity did not adversely affect the outcome of women on
adjuvant letrozole.
PMID- 29662536
TI - Attrition as one of the challenges of developing a palliative care centre:
experience of the Indian Institute of Head and Neck Oncology, Indore, India.
AB - Palliative care is an essential component of health care delivery. With respect
to India, currently, despite rapid socio economic development, there are
tremendous challenges in offering institutional palliative care due to several
factors. A major factor has been an acute shortage of trained palliative care
professionals. Another has been the fact that the majority of India's population
is not covered by any health insurance. We describe the problems, including
attrition faced by the Indian Institute of Head and Neck Oncology, in the central
Indian state of Madhya Pradesh.
PMID- 29662535
TI - Adipose tissue stem cells in regenerative medicine.
AB - Adipose tissue-derived stem cells (ADSCs) are mesenchymal cells with the capacity
for self-renewal and multipotential differentiation. This multipotentiality
allows them to become adipocytes, chondrocytes, myocytes, osteoblasts and
neurocytes among other cell lineages. Stem cells and, in particular, adipose
tissue-derived cells, play a key role in reconstructive or tissue engineering
medicine as they have already proven effective in developing new treatments. The
purpose of this work is to review the applications of ADSCs in various areas of
regenerative medicine, as well as some of the risks associated with treatment
with ADSCs in neoplastic disease.
PMID- 29662537
TI - Untire: an all-embracing self-management eHealth program to cope with cancer
related fatigue.
AB - Cancer-related fatigue (CRF) is a frequent and invalidating problem in (former)
cancer patients. If there are no medical causes, relief of fatigue can be
attained by means of non-pharmacological interventions. Guidelines prescribe a
multimodal approach with a focus on mental processes, physical activity and
sleep. Online interventions have been shown to be effective in reducing CRF.
These results inspired the creation of an all-embracing app on the various
behavioural and physical activity modification themes that are recommended in
oncological guidelines. This basis for the 'Untire' app is the Daily Program,
consisting of 4 components. In 2018, the 'Untire' app will be launched in several
languages throughout the European Union and some other countries outside Europe,
such as the USA. Its effectiveness will be studied by means of a randomized
controlled trial.
PMID- 29662538
TI - The accuracy of intestinal ultrasound compared with small bowel capsule endoscopy
in assessment of suspected Crohn's disease in patients with negative
ileocolonoscopy.
AB - Background: Small bowel involvement in Crohn's disease (CD) is frequently
proximal to the ileocecal valve and inaccessible by conventional ileocolonoscopy
(IC). Small bowel capsule endoscopy (SBCE) is among the prime modalities for
assessment of small bowel disease in these patients. Intestinal ultrasound (IUS)
is an accurate bedside fast and low-cost diagnostic modality utilized in CD for
both diagnosis and monitoring. The aim of this study was to examine the accuracy
of IUS in patients with suspected CD after a negative IC, and to evaluate the
correlation of IUS with SBCE, inflammatory biomarkers and other cross-sectional
imaging techniques. Methods: Prospective single center study in which patients
with suspected CD underwent IUS and SBCE examinations within 3 days. IUS results
were blindly compared with SBCE that served as the gold standard. A post hoc
comparison was performed of IUS and SBCE results and available cross-sectional
imaging results (computed tomography or magnetic resonance enterography) as well
as inflammatory biomarkers if measured. The study cohort was followed for 1 year.
In case of discordance between the IUS and SBCE results, the diagnosis at 1 year
was reported. Results: Fifty patients were included in the study. The diagnostic
yield of both IUS and SBCE for the diagnosis of small bowel CD was 38%. The IUS
findings significantly correlated to small bowel inflammation detected by SBCE (r
= 0.532, p < 0.001), with fair sensitivity and specificity (72% and 84%). Cross
sectional imaging results significantly correlated to IUS as well (r = 0.46, p =
0.018). Follow up was available in 8 of the 10 cases of discordance between IUS
and SBCE. In all of these cases, diagnosis of CD was not fully established at the
end of the follow up. Conclusions: The diagnostic yield of CE and IUS for
detection of CD in patients with negative ileocolonoscopy was similar. IUS can be
a useful diagnostic tool in suspected CD when IC is negative.
PMID- 29662539
TI - Urogenital tuberculosis, the cause of ineffective antibacterial therapy for
urinary tract infections.
AB - Background: Urogenital tuberculosis (UGTB) is one of the great imitators; it is
commonly masked by urinary tract infections (UTIs). We aimed to estimate how many
UGTB patients were among patients with a long history of UTIs. Material and
Methods: A total of 244 patients with recurrent UTIs and suspected UGTB were
enrolled in an open, noncomparative prospective study. Their urine and expressed
prostate secretion or ejaculate were cultured (a total of 1446 samples), and 421
isolates with growth of ?104 colony-forming units (CFU)/ml were investigated for
drug resistance. Typically, UGTB diagnosis is made by individual case. Results:
All 244 patients had a long history of recurrent UTIs (on average, 7.9 +/- 3.4
years); all received at least five courses of antibacterial therapy without good
result. UGTB was diagnosed in 63 (25.8%), and in 41 of these (65.1%), there was
comorbidity of UTI and UGTB. Of 1446 samples investigated, 421 (29.1%) were
positive, and 1025 were negative. Escherichia coli was found in 57.3% of gram
negative microflora and in 29.0% only among all uropathogens. E. coli was
resistant to amoxicillin/clavulanate in 51.5-57.1%, to cefotaxime in 50.0-52.0%,
to gentamycin in 33.3-59.5%, to ciprofloxacin in 63.2-66.7%, to levofloxacin in
54.8-45.2%, and to nitrofurantoin in 23.5-20.8% in 2015 and 2016, respectively.
If, in 2015, all isolates of E. coli were susceptible to imipenem, in 2016, 7.1%
of strains were resistant to this antibiotic. Level of drug-resistance was higher
in 2016, excluding only levofloxacin and nitrofurantoin. Conclusions: Total
prevalence of UGTB among UTI patients with poor results of antibacterial therapy
was 25.8%. Comorbidity of UTI and UGTB was diagnosed in 65.1%.
PMID- 29662540
TI - The impact of comorbidities, sex and age on the occurrence of acute kidney injury
among patients undergoing nephron-sparing surgery.
AB - Background: The aim of this study was to report the impact of patients' baseline
characteristics on the incidence of acute kidney injury (AKI) after nephron
sparing surgery (NSS) for localized kidney cancer. Patients and methods: Data
from our kidney cancer database were retrospectively extracted to include 402
patients who underwent NSS between March 2000 and June 2016, and had sufficient
data. Definition of AKI was based on the postoperative serum creatinine levels
and estimated glomerular filtration rate (eGFR) magnitude, which were measured
during the 72 h after surgery. Results: Based on RIFLE and AKIN criteria, the
overall rate of postoperative AKI was 35%. The average decrease in eGFR among
patients who developed AKI was 20% as compared with the non-AKI subgroup (2%). In
univariate analysis, variables that were associated with AKI included right-sided
tumors (p = 0.014), male sex (p = 0.01), hypertension (p = 0.003), baseline eGFR
(p = 0.009) and history of nephrolithiasis (p = 0.039). However, multivariate
analysis revealed that the only independent predictors of postoperative AKI were
hypertension (p = 0.009) and cigarette smoking (p = 0.024). Conclusion: AKI is a
common complication of NSS affecting about one-third of the patients. The most
important risk factors are hypertension and smoking.
PMID- 29662541
TI - Cabozantinib in the treatment of advanced renal cell carcinoma in adults
following prior vascular endothelial growth factor targeted therapy: clinical
trial evidence and experience.
AB - Cabozantinib is an oral multitargeted tyrosine kinase inhibitor (TKI) that
potently inhibits MET and AXL, both associated with poor prognosis in renal cell
carcinoma (RCC), next to vascular endothelial growth factor receptor 2, KIT, FLT3
and RET. Chronic treatment with vascular endothelial growth factor receptor
(VEGFR)-targeting sunitinib upregulates MET and AXL in RCC, indicating that
cabozantinib may be particularly effective in patients with advanced RCC whose
disease progressed on prior VEGFR-targeted treatment. Cabozantinib (60 mg once
daily) has been investigated in comparison to everolimus (10 mg once daily) in a
phase III randomized controlled trial (RCT) in 658 patients with advanced RCC of
whom 71% had received one prior and 29% had received at least two prior lines of
VEGR-targeted therapy. This study demonstrated highly significant improved
progression-free survival of 7.4 months versus 3.9 months with a hazard ratio
(HR) of 0.51 [95% confidence interval (CI) 0.41-0.62] in favour of cabozantinib.
Cabozantinib also showed a superior overall survival of 21.4 months versus 16.5
months (HR 0.66; 95% CI 0.53-0.83). Objective response rate was higher in
cabozantinib-treated patients, 17% versus 3%. Clinical benefit was shown in all
subgroups of patients, including in patients with bone or visceral metastases.
The safety profile was acceptable with manageable side effects. Based on this
study, cabozantinib is a highly effective approved second-line treatment option
for patients with advanced RCC with a manageable toxicity profile. Other recently
approved second-line agents include checkpoint inhibitor nivolumab and VEGF
targeting agent lenvatinib combined with everolimus. In the absence of predictive
markers as well as head-to-head comparisons of these three recently approved
treatments, the choice between these drugs in second-line treatment will probably
be made based on comorbidities, tolerability of previous treatment and presence
of high tumour burden with rapidly progressive disease. Future pretreatment
assessment of MET and AXL tumour aberration may aid clinicians to make a rational
choice between currently approved second-line treatment options.
PMID- 29662542
TI - Meta-analysis of studies comparing oncologic outcomes of radical prostatectomy
and brachytherapy for localized prostate cancer.
AB - Background: The aim of this study was to compare oncologic outcomes of radical
prostatectomy (RP) with brachytherapy (BT). Methods: A literature review was
conducted according to the 'Preferred reporting items for systematic reviews and
meta-analyses' (PRISMA) statement. We included studies reporting comparative
oncologic outcomes of RP versus BT for localized prostate cancer (PCa). From each
comparative study, we extracted the study design, the number and features of the
included patients, and the oncologic outcomes expressed as all-cause mortality
(ACM), PCa-specific mortality (PCSM) or, when the former were unavailable, as
biochemical recurrence (BCR). All of the data retrieved from the selected studies
were recorded in an electronic database. Cumulative analysis was conducted using
the Review Manager version 5.3 software, designed for composing Cochrane Reviews
(Cochrane Collaboration, Oxford, UK). Statistical heterogeneity was tested using
the Chi-square test. Results: Our cumulative analysis did not show any
significant difference in terms of BCR, ACM or PCSM rates between the RP and BT
cohorts. Only three studies reported risk-stratified outcomes of intermediate-
and high-risk patients, which are the most prone to treatment failure.
Conclusions: our analysis suggested that RP and BT may have similar oncologic
outcomes. However, the analysis included a limited number of studies, and most of
them were retrospective, making it impossible to derive any definitive
conclusion, especially for intermediate- and high-risk patients. In this
scenario, appropriate urologic counseling remains of utmost importance.
PMID- 29662543
TI - Optical improvements in the diagnosis of bladder cancer: implications for
clinical practice.
AB - Background: For over 100 years white-light cystoscopy has remained the gold
standard technique for the detection of bladder cancer (BCa). Some limitations in
the detection of flat lesions (CIS), the differentiation between inflammation and
malignancy, the inaccurate determination of the tumor margin status as well as
the tumor depth, have led to a variety of technological improvements. The aim of
this review is to evaluate the impact of these improvements in the diagnosis of
BCa and their effectiveness in clinical practice. Methods: A systematic
literature search was conducted according to the PRISMA statement to identify
studies reporting on imaging modalities in the diagnosis of NMIBC between 2000
and 2017. A two-stage selection process was utilized to determine eligible
studies. A total of 74 studies were considered for final analysis. Results:
Optical imaging technologies have emerged as an adjunct to white-light cystoscopy
and can be classified according to their scope as macroscopic, microscopic and
molecular. Macroscopic techniques including photodynamic diagnosis (PDD), narrow
band imaging (NBI) and the Storz Professional Image Enhancement System (IMAGE1 S,
formerly known as SPIES) are similar to white-light cystoscopy, but are superior
in the detection of bladder tumors by means of contrast enhancement. Especially
the detection rate of very mute lesions in the bladder mucosa (CIS) could be
significantly increased by the use of these methods. Microscopic imaging
techniques like confocal laser endomicroscopy and optical coherence tomography
permit a real-time high-resolution assessment of the bladder mucosa at a cellular
and sub-cellular level with spatial resolutions similar to histology, enabling
the surgeon to perform an 'optical biopsy'. Molecular techniques are based on the
combination of optical imaging technologies with fluorescence labeling of cancer
specific molecular agents like antibodies. This labeling is intended to favor an
optical distinction between benign and malignant tissue. Conclusions: Optical
improvements of the standard white-light cystoscopy have proven their benefit in
the detection of BCa and have found their way into clinical practice. Especially
the combination of macroscopic and microscopic techniques may improve diagnostic
accuracy. Nevertheless, HAL-PDD guided cystoscopy is the only approach approved
for routine use in the diagnosis of BCa by most urological associations in the EU
and USA to date.
PMID- 29662544
TI - Sunitinib in the treatment of renal cell carcinoma: an update on recent evidence.
AB - Sunitinib is a multitarget tyrosine kinase inhibitor endowed mainly by
antiangiogenic effects, although an indirect inhibitory effect on tumor growth
and, more recently, a complex activity on antitumor immune response has been
described. From approval by the US Food and Drug Administration (FDA) in January
2006, sunitinib represents a key molecule in the treatment of metastatic renal
cell carcinoma (mRCC) due to the peculiar molecular pathogenesis of this
neoplasm. Over the past 10 years, clinical trials and real-world experiences
helped clinicians to understand how, when and for how long to use sunitinib.
Although a huge amount of data evidenced the relationship existing between
sunitinib dose intensity and improved clinical outcome, the management of
sunitinib-induced adverse events is often complex; thus, alternative schedules
have been proposed over time which allow increased tolerability, without
decreased daily sunitinib exposure, leading to improved clinical outcomes. To
date, combinations of sunitinib with other approved targeted agents did not
demonstrate any significant benefit over its single-agent use, mainly due to
tolerability issues. Sunitinib has also been tested in the adjuvant setting,
within the ASSURE and S-TRAC trials, with opposite results; indeed, equivocal
risk-stratification criteria, as well as immature overall survival (OS) data
prevent any definitive conclusion on this important issue. Despite being on the
market for a long time, sunitinib still plays a role as the 'comparator arm' of a
number of trials in the field of mRCC. Combinations with immune checkpoint
inhibitors and vaccines look promising; once again, sunitinib can help us to
optimize mRCC management.
PMID- 29662545
TI - Prostate artery embolization: a new, minimally invasive treatment for lower
urinary tract symptoms secondary to prostate enlargement.
AB - Prostate artery embolization (PAE) is emerging as a safe and efficacious
treatment which approaches benign prostatic obstruction (BPO) from a unique
perspective. This brings with it distinct advantages and solutions, which we
discuss along with cost, evidence, complications and disadvantages.
PMID- 29662546
TI - Combination of immunotherapy with chemotherapy and radiotherapy in lung cancer:
is this the beginning of the end for cancer?
AB - Immune checkpoint inhibitors have significantly improved overall survival with an
acceptable safety profile in a substantial proportion of non-small cell lung
cancer (NSCLC) patients. However, not all patients are sensitive to immune
checkpoint blockade and, in some cases, programmed death 1 (PD-1) or programmed
death ligand 1 (PD-L1) inhibitors accelerate tumor progression. Several
combination strategies are under evaluation, including the concomitant or
sequential evaluation of chemotherapy or radiotherapy with immunotherapy. The
current review provides an overview on the molecular rationale for the
investigation of combinatorial approaches with chemotherapy or radiotherapy.
Moreover, the results of completed clinical studies will be reported.
PMID- 29662548
TI - First-line treatment of ovarian cancer: questions and controversies to address.
PMID- 29662547
TI - PD-L1 expression testing in non-small cell lung cancer.
AB - In recent years, immunotherapy has revolutionized and changed the standard of
care in patients with advanced non-small cell lung cancer (NSCLC). Immune
checkpoint inhibitors, fundamentally those that act by blocking the programmed
cell death receptor-1 (PD-1) and its ligand the programmed cell death ligand-1
(PD-L1) have emerged as novel treatment strategies in NSCLC, demonstrating
undoubted superiority over chemotherapy in terms of efficacy. Several of these
immune checkpoint modulators have recently gained regulatory approval for the
treatment of advanced NSCLC, such as nivolumab, atezolizumab and pembrolizumab in
first-line (only the latter) and second-line settings, and more recently,
durvalumab as maintenance after chemoradiotherapy in locally advanced disease.
There is consensus that PD-L1 expression on tumor cells predicts responsiveness
to PD-1 inhibitors in several tumor types. Hence PD-L1 expression evaluated by
immunohistochemistry (IHC) is currently used as a clinical decision-making tool
to support the use of checkpoint inhibitors in NSCLC patients. However, the value
of PD-L1 as the 'definitive' biomarker is controversial as its testing is puzzled
by multiple unsolved issues such as the use of different staining platforms and
antibodies, the type of cells in which PD-L1 is assessed (tumor versus immune
cells), thresholds used for PD-L1-positivity, or the source and timing for sample
collection. Therefore, newer biomarkers such as tumor mutation burden and
neoantigens as well as biomarkers reflecting host environment (microbiome) or
tumor inflamed microenvironment (gene expression signatures) are being explored
as more reliable and accurate alternatives to IHC for guiding treatment selection
with checkpoint inhibitors in NSCLC.
PMID- 29662549
TI - Tumour necrosis factor, interferon-gamma and interleukins as predictive markers
of antiprogrammed cell-death protein-1 treatment in advanced non-small cell lung
cancer: a pragmatic approach in clinical practice.
AB - Background: The emergence of novel antiprogrammed cell death protein-1 (PD-1)
inhibitors in non-small cell lung cancers (NSCLC) has revolutionized the
therapeutic landscape of this disease. Although overall survival (OS) has
improved in the first- and second-line therapy settings for advanced NSCLC, the
benefit is not universal. In a climate of global scrutiny for healthcare costs
and potential for toxicities related to immunotherapy, appropriate patient
selection is crucial. The aim of this study was to evaluate potential prognostic
and predictive biomarkers interferon-gamma (IFN-gamma), tumour necrosis factor
alpha (TNF-alpha) and a panel of interleukins (ILs) in the peripheral blood, and
assess any correlation with response to anti-PD-1 inhibition, progression-free
survival and OS in NSCLC patients. Methods: We prospectively studied 26 NSCLC
patients that received immunotherapy (either pembrolizumab or nivolumab). IFN
gamma, TNF-alpha, IL-1beta, IL-2, IL-4, IL-5, IL-6, IL-8, IL-10 and IL-12 were
analyzed by flow cytometry at the time of diagnosis and at 3 months after
initiation of anti-PD-1 inhibition. Results: Increased cytokine values (IFN
gamma, TNF-alpha, IL-1beta, IL-2, IL-4, IL-6 and IL-8) at the time of diagnosis
and at 3 months after initiation of treatment were significantly correlated with
improved response to immunotherapy and prolonged OS. There was no correlation
between cytokine levels and programmed cell death ligand-1 (PD-L1) expression.
Conclusions: Increased IFN-gamma, TNF-alpha, IL-1beta, IL-2, IL-4, IL-5, IL-6, IL
8, IL-10 and IL-12 levels resulted in better response to NSCLC anti-PD-1
inhibition and longer survival, and this could potentially play an important role
in selecting patients that would benefit from anti-PD-1 inhibitors.
PMID- 29662550
TI - Radiotherapy and checkpoint inhibitors: a winning new combination?
AB - Immune checkpoint blockade has recently emerged as an important therapeutic
approach to the management of malignancies across multiple disease settings.
Concomitantly, there has been an increasing appreciation for the role of
radiotherapy in eliciting and promoting tumor-directed immune responses. In this
review, we discuss the clinical evidence to date on combinations of radiotherapy
with immune checkpoint inhibitors, both from the standpoint of safety and
efficacy. We highlight important but yet-unanswered questions for this
combination approach, as well as their implications for future prospective
studies.
PMID- 29662551
TI - Dissecting the Dissociation Patterns of Fucosylated Glycopeptides Undergoing CID:
A Case Study in Improving Automated Glycopeptide Analysis Scoring Algorithms.
AB - The need to investigate the fragmentation of fucosylated glycopeptides is driven
by recent work showing that at least one, and perhaps many, glycopeptide analysis
scoring algorithms are less effective at identifying fucosylated glycopeptides
than non-fucosylated glycopeptides. Herein, we study the CID fragmentation
characteristics of fucosylated glycopeptides and the scoring rules of the
glycopeptide analysis software, GlycoPep Grader, in an effort to improve
automated assignments of these important glycopeptides. We identified some
prominent product ions from a common fragmentation pathway of fucosylated
glycopeptides that were not accounted for in the scoring rules. Based on this
finding, we propose new scoring rules for fucosylated glycopeptides that can be
incorporated into GlycoPep Grader and other similar analysis software tools to
more accurately identify these species. The approach used here, to improve one
particular scoring algorithm, could henceforth be used to improve any other
algorithm that assigns glycopeptides based on their MS/MS data.
PMID- 29662552
TI - Strategies for enumeration of circulating microvesicles on a conventional flow
cytometer: Counting beads and scatter parameters.
AB - Enumeration of circulating microvesicles (MVs) by conventional flow cytometry is
accomplished by the addition of a known amount of counting beads and calculated
from the formula: MV/MUl = (MV count/bead count) * final bead concentration. We
sought to optimize each variable in the equation by determining the best
parameters for detecting 'MV count' and examining the effects of different bead
preparations and concentrations on the final calculation. Three commercially
available bead preparations (TruCount, Flow-Count and CountBright) were tested,
and MV detection on a BD FACSCanto was optimized for gating by either forward
scatter (FSC) or side scatter (SSC); the results were compared by calculating
different subsets of MV on a series of 74 typical patient plasma samples. The
relationship between the number of beads added to each test and the number of
beads counted by flow cytometry remained linear over a wide range of bead
concentrations (R2 >= 0.997). However, TruCount beads produced the most
consistent (concentration variation = 3.8%) calculated numbers of plasma
CD41+/Annexin V+ MV, which were significantly higher from that calculated using
either Flow-Count or CountBright (p < 0.001). The FACSCanto was able to resolve
0.5 MUm beads by FSC and 0.16 MUm beads by SSC, but there were significantly more
background events using SSC compared with FSC (3113 vs. 470; p = 0.008). In
general, sample analysis by SSC resulted in significantly higher numbers of MV (p
< 0.0001) but was well correlated with enumeration by FSC for all MV subtypes
(rho = 0.62-0.89, p < 0.0001). We conclude that all counting beads provided
linear results at concentrations ranging from 6 beads/MUl to 100 beads/MUl, but
TruCount was the most consistent. Using SSC to gate MV events produced high
background which negatively affected counting bead enumeration and overall MV
calculations. Strategies to reduce SSC background should be employed in order to
reliably use this technique.
PMID- 29662553
TI - Plasma fatty acid levels and gene expression related to lipid metabolism in
peripheral blood mononuclear cells: a cross-sectional study in healthy subjects.
AB - Background: Solid evidence indicates that intake of marine n-3 fatty acids lowers
serum triglycerides and that replacing saturated fatty acids (SFA) with
polyunsaturated fatty acids (PUFA) reduces plasma total cholesterol and LDL
cholesterol. The molecular mechanisms underlying these health beneficial effects
are however not completely elucidated. The aim of this study was therefore to
investigate the expression of genes related to lipid metabolism in peripheral
blood mononuclear cells (PBMC) depending on the plasma levels of n-6 and n-3
fatty acids and the SFA to PUFA ratio. Methods: Fifty-four healthy subjects were
grouped into tertiles (n = 18) based on plasma levels of n-6 and n-3 fatty acids
and the SFA to PUFA ratio. The PBMC gene expression levels among subjects in the
highest versus the lowest tertiles were compared. In total, 285 genes related to
cholesterol and triglyceride metabolism were selected for this explorative study.
Results: Among the 285 selected genes, 161 were defined as expressed in the
PBMCs. The plasma SFA to PUFA ratio was associated with the highest number of
significantly different expressed genes (25 gene transcripts), followed by plasma
n-6 fatty acid level (15 gene transcripts) and plasma n-3 fatty acid level (8
gene transcripts). In particular, genes involved in cholesterol homeostasis were
significantly different expressed among subjects with high compared to low plasma
SFA to PUFA ratio. Conclusion: Genes involved in lipid metabolism were
differentially expressed in PBMCs depending on the plasma fatty acid levels. This
finding may increase our understanding of how fatty acids influence lipid
metabolism at a molecular level in humans.
PMID- 29662555
TI - Message from the Editor-in-Chief: Theranostic Imaging in 2018.
PMID- 29662554
TI - Effect of beta-hydroxy-beta-methylbutyrate on miRNA expression in differentiating
equine satellite cells exposed to hydrogen peroxide.
AB - Background: Skeletal muscle injury activates satellite cells to initiate
processes of proliferation, differentiation, and hypertrophy in order to
regenerate muscle fibers. The number of microRNAs and their target genes are
engaged in satellite cell activation. beta-Hydroxy-beta-methylbutyrate (HMB) is
known to prevent exercise-induced muscle damage. The purpose of this study was to
evaluate the effect of HMB on miRNA and relevant target gene expression in
differentiating equine satellite cells exposed to H2O2. We hypothesized that HMB
may regulate satellite cell activity, proliferation, and differentiation, hence
attenuate the pathological processes induced during an in vitro model of H2O2
related injury by changing the expression of miRNAs. Methods: Equine satellite
cells (ESC) were isolated from the samples of skeletal muscle collected from
young horses. ESC were treated with HMB (24 h) and then exposed to H2O2 (1 h).
For the microRNA and gene expression assessment microarrays, technique was used.
Identified miRNAs and genes were validated using real-time qPCR. Cell viability,
oxidative stress, and cell damage were measured using colorimetric method and
flow cytometry. Results: Analysis of miRNA and gene profile in differentiating
ESC pre-incubated with HMB and then exposed to H2O2 revealed difference in the
expression of 27 miRNAs and 4740 genes, of which 344 were potential target genes
for identified miRNAs. Special attention was focused on differentially expressed
miRNAs and their target genes involved in processes related to skeletal muscle
injury. Western blot analysis showed protein protection in HMB-pre-treated group
compared to control. The viability test confirmed that HMB enhanced cell survival
after the hydrogen peroxide exposition. Conclusions: Our results suggest that ESC
pre-incubated with HMB and exposed to H2O2 could affect expression on miRNA
levels responsible for skeletal muscle development, cell proliferation and
differentiation, and activation of tissue repair after injury. Enrichment
analyses for targeted genes revealed that a large group of genes was associated
with the regulation of signaling pathways crucial for muscle tissue development,
protein metabolism, muscle injury, and regeneration, as well as with oxidative
stress response.
PMID- 29662556
TI - Radiomics and Deep Learning in Clinical Imaging: What Should We Do?
PMID- 29662557
TI - CT Radiomics in Thoracic Oncology: Technique and Clinical Applications.
AB - Precision medicine offers better treatment options and improved survival for
cancer patients based on individual variability. As the success of precision
medicine depends on robust biomarkers, the requirement for improved imaging
biomarkers that reflect tumor biology has grown exponentially. Radiomics, the
field of study in which high-throughput data are generated and large amounts of
advanced quantitative features are extracted from medical images, has shown great
potential as a source of quantitative biomarkers in the field of oncology.
Radiomics provides quantitative information about the morphology, texture, and
intratumoral heterogeneity of the tumor itself as well as features related to
pulmonary function. Hence, radiomics data can be used to build descriptive and
predictive clinical models that relate imaging characteristics to tumor biology
phenotypes. In this review, we describe the workflow of CT radiomics, types of CT
radiomics, and its clinical application in thoracic oncology.
PMID- 29662558
TI - Radiomics as a Quantitative Imaging Biomarker: Practical Considerations and the
Current Standpoint in Neuro-oncologic Studies.
AB - Radiomics utilizes high-dimensional imaging data to discover the association with
diagnostic, prognostic, predictive endpoint or radiogenomics. It is an emerging
field of study that potentially depicts the intratumoral heterogeneity from
quantitative and classified high-throughput data. The radiomics approach has an
analytic pipeline where the imaging features are extracted, processed and
analyzed. At this point, special data handling is essential because it faces
issues of a high-dimensional biomarker compared to a single biomarker approach.
This article describes the potential role of radiomics in oncologic studies, the
basic analytic pipeline and special data handling with high-dimensional data to
facilitate the radiomics approach as a tool for personalized medicine in
oncology.
PMID- 29662560
TI - Impact of 68Ga-DOTA-Peptide PET/CT on the Management of Gastrointestinal
Neuroendocrine Tumour (GI-NET): Malaysian National Referral Centre Experience.
AB - Purpose: The National Cancer Institute is the only referral centre in Malaysia
that provides 68Ga-DOTA-peptide imaging. The purpose of this study is to
determine the impact of 68Ga-DOTA-peptide PET/CT on the management of
gastrointestinal neuroendocrine tumours (GI-NET). Materials and Methods: A cross
sectional study was performed to review the impact of 68Ga-DOTA-peptide (68Ga
DOTATATE or 68Ga-DOTATOC) PET/CT on patients with biopsy-proven GI-NET between
January 2011 and December 2015. Suspected NET was excluded. Demographic data,
tumoral characteristics, change of disease stage, pre-PET intended management and
post-PET management were evaluated. Results: Over a 5-year period, 82 studies of
68Ga-DOTA-peptide PET/CT were performed on 44 GI-NET patients. The most common
primary site was the rectum (50.0%) followed by the small bowel, stomach and
colon. Using WHO 2010 grading, 40.9% of patients had low-grade (G1) tumour, 22.7%
intermediate (G2) and 4.5% high (G3). Of ten patients scheduled for pre-operative
staging, 68Ga-DOTA-peptide PET/CT only led to therapeutic change in three
patients. Furthermore, false-negative results of 68Ga-DOTA-peptide PET/CT were
reported in one patient after surgical confirmation. However, therapeutic changes
were seen in 20/36 patients (55.6%) scheduled for post-surgical restaging or
assessment of somatostatin analogue (SSA) eligibility. When 68Ga-DOTA-peptide
PET/CT was used for monitoring disease progress during systemic treatment
(sandostatin, chemotherapy, everolimus and PRRT) in metastatic disease, impact on
management modification was seen in 19/36 patients (52.8%), of which 84.2% had
inter-modality change (switch to everolimus, chemotherapy or PRRT) and 15.8% had
intra-modality change (increased SSA dosage). Conclusions: 68Ga-DOTA-peptide
PET/CT has a significant impact on management decisions in GI-NET patients as it
can provide additional information on occult metastasis/equivocal lesions and
supply the clinician an opportunity to select patients for targeted therapy.
PMID- 29662559
TI - Deep Learning in Nuclear Medicine and Molecular Imaging: Current Perspectives and
Future Directions.
AB - Recent advances in deep learning have impacted various scientific and industrial
fields. Due to the rapid application of deep learning in biomedical data,
molecular imaging has also started to adopt this technique. In this regard, it is
expected that deep learning will potentially affect the roles of molecular
imaging experts as well as clinical decision making. This review firstly offers a
basic overview of deep learning particularly for image data analysis to give
knowledge to nuclear medicine physicians and researchers. Because of the unique
characteristics and distinctive aims of various types of molecular imaging, deep
learning applications can be different from other fields. In this context, the
review deals with current perspectives of deep learning in molecular imaging
particularly in terms of development of biomarkers. Finally, future challenges of
deep learning application for molecular imaging and future roles of experts in
molecular imaging will be discussed.
PMID- 29662561
TI - A Systematic Comparative Evaluation of 68Ga-Labeled RGD Peptides Conjugated with
Different Chelators.
AB - Purpose: The present paper reports a systematic study on the effect of
bifunctional chelators (BFC) namely, NOTA, DOTA, and DTPA, on the radiochemical
formulation, in vitro stability, and in vivo biological properties of 68Ga
labeled RGD peptide derivatives. Methods: The three RGD conjugates namely, NOTA
Bn-E-[c(RGDfk)]2, DOTA-Bn-E-[c(RGDfk)]2, and DTPA-Bn-E-[c(RGDfk)]2 were
radiolabeled with 68Ga and the radiolabeling was optimized with respect to the
ligand amount, radiolabeling time, and temperature. Further, the 68Ga complexes
were assessed for their in vitro and in vivo stabilities. The biodistribution
studies of the three radiolabeled conjugates were carried out in C57BL/6 mice
bearing melanoma tumor at 30 min and 1 h post-adimistration. Results: NOTA-Bn-E
[c(RGDfk)]2 could be radiolabeled with 68Ga at room temperature while DOTA-Bn-E
[c(RGDfk)]2 and DTPA-Bn-E-[c(RGDfk)]2 were radiolabeled at high temperature. 68Ga
NOTA-Bn-E-[c(RGDfk)]2 was found to be the most kinetically rigid in in vitro
stability assay. The uptake of the three radiolabeled peptide conjugates in
melanoma tumor was comparable at 1 h post-administration (NOTA; DOTA; DTPA (%
I.D./g):: 2.78 +/- 0.38; 3.08 +/- 1.1; 3.36 +/- 0.49). However, the
tumor/background ratio of 68Ga-NOTA-Bn-E-[c(RGDfk)]2 was the best amongst the
three radiotracers. 68Ga-complexes of NOTA-Bn-E-[c(RGDfk)]2 and DOTA-Bn-E
[c(RGDfk)]2 showed excellent in vivo stability while 68Ga-DTPA-Bn-E-[c(RGDfk)]2
showed significant metabolic degradation. Conclusion: These studies show that
68Ga-NOTA-Bn-E-[c(RGDfk)]2 would be the most appropriate 68Ga-labeled radiotracer
and the most amenable for kit formulation.
PMID- 29662562
TI - The Predictive Values of Lesion Size, F-18 FDG Avidity and I-131 Avidity for the
Clinical Outcome of I-131 Treatment in Patients with Metastatic Differentiated
Thyroid Carcinoma Only in the Lung.
AB - Purpose: We aimed to evaluate the prognostic values of radiography, F-18 FDG PET,
and I-131 whole body scans in patients with lung-only metastasis from
differentiated thyroid carcinoma (DTC). Methods: Between 1998 and 2013, we
included 31 patients (F: 26, M: 5) with lung-only metastasis from DTC who had
been treated with I-131 and underwent PET. Lung metastasis was categorized
according to the size (macronodular >=1.0 cm vs. micronodular <1.0 cm), FDG
avidity (avid vs. non-avid), and I-131 avidity (avid vs. non-avid). Progression
free survival (PFS) was evaluated for each patient. Results: Among 31 patients,
seven (23%) had macronodular lung metastasis, 26 (84%) had FDG avid lung
metastasis, and 16 (52%) had I-131 avid lung metastasis. During the median follow
up period of 9.4 y, median PFS was 6.1 y. Based on Kaplan-Meier analysis,
macronodular lung metastasis (p = 0.017) and I-131 non-avid lung metastasis (p =
0.059) were significantly associated with worse outcomes, but FDG avid lung
metastasis was not (p = 0.135). Patients with FDG non-avid lung metastasis did
not experience disease progression during follow-up, while 11 of 26 patients
(42%) experienced disease progression. Based on univariate analysis, the hazard
ratio for a poor prognosis was 3.78 (p = 0.029) for macronodular lung metastasis
and 3.29 (p = 0.079) for I-131 non-avid lung metastasis. Conclusions:
Macronodular and I-131 non-avid lung metastasis were associated with a poor
prognosis in lung-only metastasis from DTC. Although FDG avid lung metastasis may
be associated with a poor prognosis, a larger-scale study is needed.
PMID- 29662563
TI - 123I-Labeled oxLDL Is Widely Distributed Throughout the Whole Body in Mice.
AB - Purpose: Oxidized low-density lipoprotein (oxLDL) plays a key role in endothelial
dysfunction, vascular inflammation, and atherogenesis. The aim of this study was
to assess blood clearance and in vivo kinetics of radiolabeled oxLDL in mice.
Methods: We synthesized 123I-oxLDL by the iodine monochloride method, and
performed an uptake study in CHO cells transfected with lectin-like oxLDL
receptor-1 (LOX-1). In addition, we evaluated the consistency between the 123I
oxLDL autoradiogram and the fluorescence image of DiI-oxLDL after intravenous
injection for both spleen and liver. Whole-body dynamic planar images were
acquired 10 min post injection of 123I-oxLDL to generate regional time-activity
curves (TACs) of the liver, heart, lungs, kidney, head, and abdomen. Regional
radioactivity for those excised tissues as well as the bladder, stomach, gut, and
thyroid were assessed using a gamma counter, yielding percent injected dose (%ID)
and dose uptake ratio (DUR). The presence of 123I-oxLDL in serum was assessed by
radio-HPLC. Results: The cellular uptakes of 123I-oxLDL were identical to those
of DiI-oxLDL, and autoradiograms and fluorescence images also exhibited
consistent distributions. TACs after injection of 123I-oxLDL demonstrated
extremely fast kinetics. The radioactivity uptake at 10 min post-injection was
highest in the liver (40.8 +/- 2.4% ID). Notably, radioactivity uptake was
equivalent throughout the rest of the body (39.4 +/- 2.7% ID). HPLC analysis
revealed no remaining 123I-oxLDL or its metabolites in the blood. Conclusion:
123I-OxLDL was widely distributed not only in the liver, but also throughout the
whole body, providing insight into the pathophysiological effects of oxLDL.
PMID- 29662565
TI - Follicular Lymphoma mimicking Metastatic Nodes on the F-18 FDG PET/CT and MRI for
Staging of Endometrial Cancer.
AB - A 53-year-old woman was diagnosed with endometrial carcinoma by vaginal bleeding.
F-18 fluorodeoxy glucose positron emission tomography PET/CT (F-18 FDG PET/CT)
scan for staging showed intense focal FDG uptake in the endometrium suggesting
endometrial malignancy. PET/CT showed multiple node uptakes in the pericaval
region, paraaortic region, common iliac, and both internal iliac and external
iliac regions suggesting multiple pelvic and retroperitoneal node metastases. MRI
showed multiple metastatic lymphadenopathy in the retroperitoneum and pelvic
cavity. Pathologic diagnosis performed with dissected pelvic and paraaortic nodes
was confirmed as a follicular malignant lymphoma positive for B-cell lymphoma
2(Bcl-2) stain, not the metastatic node of primary endometrioid carcinoma.
PMID- 29662564
TI - Dual Pathologies of Parathyroid Adenoma and Papillary Thyroid Cancer on
Fluorocholine and Fluorodeoxyglucose PET/CT.
AB - 18F-Fluorocholine (FCH) PET/CT is evolving as a functional imaging modality for
the preoperative imaging of abnormal parathyroid tissue(s) helping to localize
eutopic and ectopic parathyroid tissue and limit the extent of surgery. FCH
PET/CT may show incidental uptake in various thyroid lesions necessitating
further evaluation, whereas the role of 18F-fluorodeoxyglucose (FDG) PET/CT in
the detection of incidental thyroid nodules is well documented. The case of a
middle-aged woman with dual pathology of parathyroid adenoma and papillary
thyroid cancer detected on FCH and FDG PET/CT is presented.
PMID- 29662566
TI - Focal Increased Tc-99m MDP Uptake in the Nutrient Foramen of the Femoral
Diaphysis on Bone SPECT/CT.
AB - We present images of an 83-year-old female with a history of osteoporosis and
bilateral total knee replacement arthroplasty, referred for bone scintigraphy and
single-photon emission computed tomography (SPECT)/computed tomography (CT),
owing to left knee pain. No trauma to, or intense exercise of, the knee was
reported. The bone scan and SPECT/CT revealed a focally increased Tc-99m
methylene diphosphonate (MDP) uptake in the medial cortex of the left femoral
diaphysis with matched linear radiolucency on CT images. This was misinterpreted
as atypical femoral stress fracture; however, focal stress reaction injury to the
nutrient foramen was confirmed on contrast-enhanced magnetic resonance imaging.
PMID- 29662567
TI - Integrated Imaging Characterization of Adrenal Adenoma: False-Positive
Metaiodobenzylguanidine (MIBG) Findings of Adrenal Scintigraphy.
AB - Background: Evaluation of a patient with melanoma in whom an adrenal mass was
detected on CT and MR during follow-up and further characterized with PET-CT and
MIBG scintigraphy. Case Report: In this case report, we describe a patient with
melanoma in whom an adrenal mass was detected on CT and MRI during post-surgical
follow-up and was further characterized with radionuclide studies consisting of
PET-CT and MIBG scintigraphy. Although the results of imaging studies suggested
that the mass was a pheochromocytoma, a cortical adrenal adenoma was
histologically proven. Conclusions: Integrated structural and functional imaging
is recommended to characterize adrenal tumors; however, mistakes may occur and
therefore careful imaging evaluation is required.
PMID- 29662568
TI - Imaging Findings in a Rare Case of Leiomyomatosis Peritonealis Disseminata with
Malignant Transformation.
AB - Background: Leiomyomatosis peritonealis disseminata (LPD) is a rare condition
characterized by formation of multiple leiomyomas in the abdominal and pelvic
peritoneum. Malignant transformation of LPD is an extremely rare occurrence. We
hereby report a case of a middle-aged female who was subsequently diagnosed with
leiomyomatosis peritonealis disseminata with a few of the lesions showing
malignant transformation. Case Report: A forty-year-old female with a history of
hysterectomy presenting with vague abdominal pain was completely evaluated with
ultrasound (US), computed tomography (CT) and magnetic resonance imaging (MRI)
and was subsequently diagnosed with leiomyomatosis peritonealis disseminata. The
imaging findings of leiomyomatosis peritonealis disseminata were correlated with
and confirmed by a histopathologic examination of the excision biopsy specimen.
Conclusions: The imaging findings were found to be specific for leiomyomatosis
peritonealis disseminata with a malignant transformation.
PMID- 29662569
TI - Percutaneous Transhepatic Biliary Stenting with Uncovered Self-Expandable
Metallic Stents in Patients with Malignant Biliary Obstruction - Efficacy and
Survival Analysis.
AB - Background: The aim of this study was to assess short- and long-term outcomes of
malignant biliary obstruction (MBO) treatment by percutaneous transhepatic
biliary stenting (PTBS) with uncovered selfexpandable metallic stents (SEMS), and
to identify predictors of survival. Material/Methods: A nine-year, single-centre
study from a prospectively collected database included 222 patients with
inoperable MBO treated by PTBS with uncovered nitinol SEMS. Results: Technical
and clinical success rates were 95.9% and 82.4%, respectively. The total rate of
postprocedural complications was 14.4%. The mean durations of the primary and
secondary stent patency were 114.7+/-15.1 and 146.4+/-21.2 days, respectively.
The 30-day mortality rate was 15.3% with no procedure-related deaths. The mean
estimated length of survival was 143.3+/-20.6 days. Independent predictors
increasing the risk of death included higher than 115 MUmol/L serum bilirubin 2-5
days after biliary stenting (HR 3.274, P=0.019), distal (non-hilar) obstruction
of the bile ducts (HR 3.711, P=0.008), Bismuth-Corlette type IV stricture (HR
2.082, P=0.008), obstruction due to gallbladder cancer (HR 31.029, P=0.012) and
only partial drainage of liver parenchyma (HR 4.158, P=0.040). Conclusions: PTBS
with uncovered SEMS is an effective and safe method for palliative treatment of
MBO. Serum bilirubin higher than 115 MUmol/L 2-5 days after the procedure has a
significant negative impact on patients' survival. Lower survival is also
determined by distal bile duct obstruction, Bismuth- Corlette type IV stricture,
biliary obstruction caused by gallbladder cancer and when only partial liver
drainage is applied.
PMID- 29662570
TI - Inter-Observer Agreement of Whole-Body Computed Tomography in Staging and
Response Assessment in Lymphoma: The Lugano Classification.
AB - Background: To assess inter-observer agreement of whole-body computed tomography
(WBCT) in staging and response assessment in lymphoma according to the Lugano
classification. Material/Methods: Retrospective analysis was conducted of 115
consecutive patients with lymphomas (45 females, 70 males; mean age of 46 years).
Patients underwent WBCT with a 64 multi-detector CT device for staging and
response assessment after a complete course of chemotherapy. Image analysis was
performed by 2 reviewers according to the Lugano classification for staging and
response assessment. Results: The overall inter-observer agreement of WBCT in
staging of lymphoma was excellent (k=0.90, percent agreement=94.9%). There was an
excellent inter-observer agreement for stage I (k=0.93, percent agreement=96.4%),
stage II (k=0.90, percent agreement=94.8%), stage III (k=0.89, percent
agreement=94.6%) and stage IV (k=0.88, percent agreement=94%). The overall inter
observer agreement in response assessment after a completer course of treatment
was excellent (k=0.91, percent agreement=95.8%). There was an excellent inter
observer agreement in progressive disease (k=0.94, percent agreement=97.1%),
stable disease (k=0.90, percent agreement=95%), partial response (k=0.96, percent
agreement=98.1%) and complete response (k=0.87, Percent agreement=93.3%).
Conclusions: We concluded that WBCT is a reliable and reproducible imaging
modality for staging and treatment assessment in lymphoma according to the Lugano
classification.
PMID- 29662571
TI - Imaging in Sternal Tumours: A Pictorial Review.
AB - The sternum is an uncommon site for neoplastic involvement and metastases are far
commoner than primary neoplasms. Of the primary tumours, malignant lesions are
more frequent than the benign lesions. Early diagnosis and treatment is prudent
in such neoplasms not only to halt disease progression but also to prevent
circulatory compromise resulting from the mass effect on the mediastinum. Sound
knowledge of neoplasms affecting the sternum and their imaging appearance is
essential to arrive at an early diagnosis and also to obviate biopsy in cases
with classical imaging findings. Neoplastic involvement of the sternum is
extremely unusual and should be considered malignant unless proven otherwise.
Imaging may help in arriving at the diagnosis of these lesions, together with
other factors such as patient's age, type of lesion (lytic/sclerotic or mixed),
matrix mineralization, multiplicity and involvement of other sites.
PMID- 29662572
TI - Clinical Audit of Paediatric Magnetic Resonance Imaging Under Sedation at a
Nigerian Tertiary Institution.
AB - Background: Magnetic resonance imaging (MRI) in paediatric patients requires them
to be calm during the procedure to avoid motion artefacts in the acquired images.
Sedation and/or anaesthesia is a way to achieve this. We evaluated all paediatric
MRI sedations since installation of an MRI device in our hospital.
Material/Methods: We retrospectively reviewed 69 paediatric MRI sedations
performed over a 5-year period using records of patients' biodata, MRI date,
indication, findings and scan time, sources of referral, body region scanned,
type, dose, related adverse events and route of administration of sedatives as
well as image quality. Results: The median age and weight of the patients were 24
months {range of 0.3 months (10 days) to 132 months (11 years)} and 11.5 kg
(range of 2.6 kg to 42 kg), respectively. Males constituted 50.7% of the
patients. Most participants (94.2%) were in-patients of the hospital, mainly
(60.0%) referred from the paediatric unit, with slightly over one third (36.2%)
of the studies performed in 2015. The commonest indication and scanned body
region were macrocephaly (18.8%) and the brain (76.8%), respectively.
Hydrocephalus (17.4%) was the commonest MRI finding. Sedation was planned in 66
(95.7%) patients and was successful in 68 (98.6%). Midazolam and the IV route
were the commonest sedative agent and route of administration, respectively.
Image quality determined by age was fair to good in 68 (98.6%) patients with only
1 patient requiring re-scanning due to motion blur. No adverse events with
sedation were recorded. Conclusions: Midazolam via the IV route with or without
oral route is the drug of choice for MRI sedation in children in our institution
with a success rate of about 99%.
PMID- 29662573
TI - Creating Order Out of Chaos - Role of Antenatal Ultrasound in Diagnosis.
AB - Background: Congenital high airway obstruction syndrome (CHAOS) is a rare fetal
anomaly characterized by obstruction of the higher fetal airway. This could be
either complete or incomplete and is more commonly seen at the subglottic level,
resulting in a spectrum of characteristic secondary features. Case Report: In
this case study, we report two cases of CHAOS with one showing laryngeal atresia
and the other, tracheal atresia. Both these cases showed characteristic findings
on a detailed, meticulous USG examination which led to this diagnosis.
Conclusions: Early and accurate diagnosis offers a window of opportunity for
parental counseling and management using procedures such as EXIT (ex-utero
intrapartum procedure). Earlier, CHAOS was thought to be incompatible with life;
however, with the advent of ex-utero intrapartum procedure, a few cases of post
natal survival have been noted in the literature. In this article, we emphasize
the sonographic findings found in CHAOS. Early diagnosis offers an opportunity
for a intrauterine fetal intervention in potentially lethal cases.
PMID- 29662574
TI - Postnatal Evaluation of Congenital Chest Pathologies Using a Low-Dose Computed
Tomography (CT) Protocol - a Pictorial Review.
AB - Computed tomography with its excellent spatial and temporal resolution remains a
valuable diagnostic modality in pediatrics. On the other hand an increasing
attention has been placed on the radiation risks associated with CT imaging,
especially in children. In recent years, many advances in CT hardware and
software, for example, automatic exposure control tools and iterative
reconstruction techniques, have allowed for a reduction of applied radiation
doses while maintaining image quality. The purpose of this paper is to present
our protocol for chest CT imaging in the youngest age group, together with a
pictorial review of congenital pathologies of the chest, and to emphasize factors
that optimize postnatal CT imaging in infants. In our opinion, modern CT imaging
with the use of dose reduction techniques and iterative reconstructions allows
for a proper visualization of chest pathologies in small children, which has no
influence on observer performance. The presented review of low-dose diagnostic
images of a wide spectrum of congenital pathologies may serve as an example of
routine utilization of the current concepts in pediatric CT optimization.
PMID- 29662575
TI - Autoimmune Hypophysitis Presenting as Solid-Cystic Mass Managed Conservatively.
AB - Background: Autoimmune hypophysitis (AH) is a rare inflammatory condition of the
pituitary gland and usually affects women of childbearing age. It commonly leads
to pituitary dysfunction. Moreover, pituitary enlargement may lead to compressive
symptoms, which necessitates urgent surgical decompression. Resection of the
pituitary gland causes iatrogenic hypopituitarism which requires lifelong
hormonal supplementation. With an increasing number of suspected cases of
pituitary diseases, there has been a paradigm shift in the management by
conservative measures, especially, when surgery is not urgently needed. Case
Report: We report a case of AH in a premenopausal woman presenting with headache.
MRI revealed a solid-cystic mass involving the anterior lobe of the pituitary
gland. The infundibulum was also thickened and enhancing; however, it was still
in the midline. Ancillary MRI findings and hormonal profile were favouring the
diagnosis of AH over pituitary neoplasm. The patient was managed conservatively
with high doses of glucocorticoids, which resulted in prompt resolution of the
lesion. During subsequent follow-up over 6 years, there was no recurrence and
partial restoration of the pituitary function was seen. This case is interesting
due to an unusual MRI appearance of AH, presenting as a solid-cystic mass.
Moreover, disease resolution with conservative treatment strengthens the approach
to limit surgery to those patients with compressive symptoms or uncertain
diagnosis. Conclusions: AH should be included in the differential diagnosis of
solid-cystic pituitary masses along with clinical correlation, which includes
early involvement of ACTH and TSH and a relatively rapid development of
hypopituitarism. In uncertain cases or with lack of compressive symptoms, a trial
of steroids is worthwhile.
PMID- 29662576
TI - Chronically Discharging Ears: Evalution with High Resolution Computed Tomography.
AB - Chronic suppurative otitis media (CSOM) refers to the middle ear inflammation
which is clinically characterized by the discharging ear, hearing deficit, fever
and otalgia. Although a clinical diagnosis, imaging is imperative to rule out
associated complications which apart from causing hearing deficit, may prove
fatal at times. Both high resolution computed tomography (HRCT) and MRI are
helpful in evaluating middle ear pathologies, usage being indication specific.
Due to its excellent spatial resolution, HRCT is invaluable in assessment of
chronically discharging ears, especially to look for bone erosion and the
integrity of the ossicles. Due to its better spatial resolution, HRCT is
preferred in suspected intra temporal complications whereas MRI is more useful in
evaluating intracranial extension.
PMID- 29662577
TI - Fahr Syndrome - an Important Piece of a Puzzle in the Differential Diagnosis of
Many Diseases.
AB - Fahr syndrome is a rare neurodegenerative disorder characterized by symmetrical,
bilateral calcifications in the basal ganglia, nucleus gyrus and cerebral cortex.
The continuous advancement as well as widespread use of brain imaging have
contributed to the increasing detection rates of such changes. Nevertheless,
their etiology is understood only partially and the methods of causative
treatment are limited. Due to various symptoms, Fahr syndrome may resemble
diseases from the field of neurology, psychiatry, cardiology and even urology.
This article provides an up-to-date review of the literature concerning Fahr
syndrome in terms of clinical practice.
PMID- 29662578
TI - Endovascular Embolization of Renal Cell Carcinoma in a Patient with Solitary
Kidney.
AB - Background: Kidney tumors account for about 3% of tumors in adults. The primary
therapy of renal cancer is the surgical removal. Traditionally, and also modern
procedures are performed to remove the kidneys, especially when the tumor
involves the entire kidney. In the cases of unresectable tumors embolization is
used as a palliative procedure. Case Report: The aim of this study is to present
the case of endovascular treatment of renal cell carcinoma in patient with
solitary kidney. 77-years old patient had an ultrasound examination because of
the pain in left lumbar region. MRI confirmed the presence of tumor size 29*45 mm
in the left kidney. The right kidney had been removed eight years earlier because
of clear cell carcinoma. Histopathological diagnosis was renal clear cell
carinoma. The patient did not consent to surgical treatment. Tumor embolization
was proceeded as a minimally invasive procedure. Pathological tumor vessels were
closed using particles filling the entire vascular tumor. Next, the blood vessels
supplying the tumor were closed using a mixture of lipiodolu and glubranu.
Control angiographiy of the left renal artery confirmed the effective closure of
all vascular pathology. In a recent ultrasound examination which was done 15
months after surgery no evidence of vascular pathology was found. Conclusions:
Embolization of kidney cancer in particular cases may be an alternative way of
treatment and give a good result in the form of stopping the growth of the tumor
with simultaneous retaining the remaining parenchyma and renal function.
PMID- 29662579
TI - Cardiac Magnetic Resonance Imaging Using an Open 1.0T MR Platform: A Comparative
Study with a 1.5T Tunnel System.
AB - Background: Cardiac magnetic resonance imaging (cMRI) has become the non-invasive
reference standard for the evaluation of cardiac function and viability. The
introduction of open, high-field, 1.0T (HFO) MR scanners offers advantages for
examinations of obese, claustrophobic and paediatric patients.The aim of our
study was to compare standard cMRI sequences from an HFO scanner and those from a
cylindrical, 1.5T MR system. Material/Method: Fifteen volunteers underwent cMRI
both in an open HFO and in a cylindrical MR system. The protocol consisted of
cine and unenhanced tissue sequences. The signal-to-noise ratio (SNR) for each
sequence and blood-myocardium contrast for the cine sequences were assessed.
Image quality and artefacts were rated. The location and number of non-diagnostic
segments was determined. Volunteers' tolerance to examinations in both scanners
was investigated. Results: SNR was significantly lower in the HFO scanner (all
p<0.001). However, the contrast of the cine sequence was significantly higher in
the HFO platform compared to the 1.5T MR scanner (0.685+/-0.41 vs. 0.611+/-0.54;
p<0.001). Image quality was comparable for all sequences (all p>0.05). Overall,
only few non-diagnostic myocardial segments were recorded: 6/960 (0.6%) by the
HFO and 17/960 (1.8%) segments by the cylindrical system. The volunteers
expressed a preference for the open MR system (p<0.01). Conclusions: Standard
cardiac MRI sequences in an HFO platform offer a high image quality that is
comparable to the quality of images acquired in a cylindrical 1.5T MR scanner. An
open scanner design may potentially improve tolerance of cardiac MRI and
therefore allow to examine an even broader patient spectrum.
PMID- 29662580
TI - Application of Intravoxel Incoherent Motion (IVIM) Model for Differentiation
Between Metastatic and Non-Metastatic Head and Neck Lymph Nodes.
AB - Background: Application of intravoxel incoherent motion (IVIM) model parameters,
including: true diffusion (D), pseudodiffusion (D*), and perfusion fraction (Fp),
for differentiation between metastatic and non-metastatic head and neck lymph
nodes. Material/Methods: Diffusion-weighted images/apparent diffusion coefficient
(DWI/ADC) images of 86 lymph nodes from 31 cancer patients were analyzed. DWI
images were obtained with a 1.5T MRI scanner (Magnetom Avanto); b=0,50, 150, 300,
500, 750, 1000, 1200 s/mm2. Results: In the study group, there were 32 (37%) and
54 (67%) metastatic and non-metastatic lymph nodes, respectively. The mean values
of D, D*, and Fp did not differ significantly between metastatic and non
metastatic lymph nodes. Conclusions: IVIM parameters are not useful for
differentiation between metastatic and non-metastatic head and neck lymph nodes.
PMID- 29662581
TI - Evaluating Qualitative and Quantitative Computerized Tomography Indicators of
Chronic Obstructive Pulmonary Disease and Their Correlation with Pulmonary
Function Tests.
AB - Background: With increasingly aging populations, chronic obstructive pulmonary
disease (COPD) is the fourth leading cause of death today. Emphysematous changes,
an important component of the disease, must be determined on HRCT, either
qualitatively or quantitatively. The purpose of this study was to evaluate
features that help determine emphysematous changes and correlate them with
respiratory function tests (RFTs). Material/Methods: A total of thirty COPD
patients and a control group of the same size, matched for age, were included in
the study. The mean lung parenchyma density values on inspiration and expiration,
visual HRCT scores, and pulmonary function tests were obtained. IBM SPSS
statistical software (version 22) was used to perform correlation analysis
(Pearson's coefficient) and the Mann-Whitney U test. Results: The most valuable
RFTs for determining emphysematous changes were DLCO, FEV1, and FEV1/FVC, in that
order. Quantitative measures of the mean lung density had the highest correlation
with coefficient on expiration. Conclusions: As regards the comparison between
objective and subjective density values, the HRCT-based visual density values are
satisfactory. On the other hand, the best assessment can be performed with the
use of mean density values on expiration. DLCO, FEV1, and FEV1/FVC were found to
be valuable parameters in determining parenchymal changes.
PMID- 29662582
TI - Multi Detector Computed Tomography Fistulography In Patients of Fistula-in-Ano:
An Imaging Collage.
AB - Fistula-in-ano, or perianal fistula, is a challenging clinical condition for both
diagnosis and treatment. Imaging modalities such as fistulography, anal
endosonography, perineal sonography, magnetic resonance imaging (MRI), and
computed tomography (CT) are available for its evaluation. MRI is considered as
the modality of choice for an accurate delineation of the tract in relation to
the sphincter complex and for the detection of associated complications. However,
its availability and affordability is always an issue. Moreover, the requirement
to obtain multiple sequences to depict the fistula in detail is cumbersome and
confusing for the clinicians to interpret. The inability to show the fistula in
relation to normal anatomical structures in a single image is also a limitation.
Multi detector computed tomography fistulography (MDCTF) is an underutilized
technique for defining perianal fistulas. Acquisition of iso-volumetric data sets
with instillation of contrast into the fistula delineates the tract and its
components. Post-processing with thin sections allows for a generation of good
quality images for presentation in various planes (multi-planar reconstructions)
and formats (volume rendered technique, maximum intensity projection). MDCTF
demonstrates the type of fistula, its extent, whether it is simple or complex,
and shows the site of internal opening and associated complications; all in easy
to understand images that can be used by the surgeons. Its capability to
represent the entire pathology in relation to normal anatomical structures in few
images is a definite advantage. MDCTF can be utilized when MRI is contraindicated
or not feasible. This pictorial review shares our initial experience with MDCT
fistulography in evaluating fistula-in-ano, demonstrates various components of
fistulas, and discusses the types of fistulas according to the standard Parks
classification.
PMID- 29662583
TI - Sonographic Appearance of Testicular Adrenal Rest Tumour in a Patient with
Congenital Adrenal Hyperplasia.
AB - Background: Testicular adrenal rest tumours (TARTs) are benign testicular masses
that are found in inadequately treated patients with congenital adrenal
hyperplasia (CAH). Recognizing this association and identifying characteristic
ultrasound features of TARTs is important so as to avoid misdiagnosing them as
malignancies, which can lead to unnecessary interventions. Case Report: We
describe a case of a 9-year-old boy, with a diagnosis of CAH and precocious
puberty, who was referred to our department for an ultrasound evaluation of the
abdomen and scrotum. On ultrasound, there were well-defined, heterogeneous,
predominantly hypoechoic, round-to-oval masses in both testes. Taking into
account the presence of CAH and a typical sonographic appearance of bilateral
testicular masses, a diagnosis of testicular adrenal rest tumour was made; biopsy
was deferred and hormonal treatment was modified. Conclusions: Prompt diagnosis
of testicular adrenal rest tumours is essential, as it only indicates inadequate
hormonal control. Moreover, it can prevent unnecessary biopsies and
orchidectomies, and can maintain fertility. TARTs have a typical imaging
appearance that every radiologist must be aware of.
PMID- 29662584
TI - Cerebral Metastases of Lung Cancer Mimicking Multiple Ischaemic Lesions - A Case
Report and Review of Literature.
AB - Background: Restricted diffusion that is found on magnetic resonance diffusion
weighted imaging (DWI) typically indicates acute ischaemic stroke. However,
restricted diffusion can also occur in other diseases, like metastatic brain
tumours, which we describe in this case report. Case Report: A 57-year-old male,
with a diagnosis of small-cell cancer of the right lung (microcellular anaplastic
carcinoma), was admitted with focal neurological symptoms. Initial brain MRI
revealed multiple, disseminated lesions that were hyperintense on T2-weighted
images and did not enhance after contrast administration; notably, some lesions
manifested restricted diffusion on DWI images. Based on these findings,
disseminated ischaemic lesions were diagnosed. On follow-up MRI that was
performed after 2 weeks, we observed enlargement of the lesions; there were
multiple, disseminated, sharply outlined, contrast-enhancing, oval foci with
persistent restriction of diffusion. We diagnosed the lesions as disseminated
brain metastases due to lung cancer. To our knowledge, this is the first
description of a patient with brain metastases that were characterised by
restricted diffusion and no contrast enhancement. Conclusions: Multiple,
disseminated brain lesions, that are characterised by restricted diffusion on
DWI, typically indicate acute or hyperacute ischemic infarcts; however, they can
also be due to hypercellular metastases, even if no contrast enhancement is
observed. This latter possibility should be considered particularly in patients
with cancer.
PMID- 29662585
TI - Role of Diffusion-Weighted Magnetic Resonance (MR) Imaging in Differentiation
Between Graves' Disease and Painless Thyroiditis.
AB - Background: To assess the role of diffusion-weighted MR imaging in
differentiation between Graves' disease and painless thyroiditis.
Material/Methods: A prospective study was conducted among 37 consecutive patients
with untreated thyrotoxicosis (25 female and 12 male; mean age of 44 years) and
15 ageand sex-matched controls. Diffusion-weighted MR imaging of the thyroid
gland was performed in patients and controls. The apparent diffusion coefficient
(ADC) value of the thyroid gland was calculated and correlated with Tc-99m uptake
and thyroid function tests of the patients. Results: There was a significant
difference in the ADC value of the thyroid gland between patients and the control
group (P=0.001). The mean ADC value of the thyroid gland in Graves' disease was
2.03+/-0.28*10-3 mm2/sec, and in patients with painless thyroiditis 1.46+/
0.22*10-3 mm2/sec, respectively. There was a significant difference in the ADC
values between Graves' disease and painless thyroiditis (P=0.001). When the ADC
value of 1.45*10-3 mm2/sec was used as a threshold value for differentiating
Graves' disease from painless thyroiditis, the best result was obtained with area
under the curve of 0.934, accuracy of 83.8%, sensitivity of 95.8%, and
specificity of 61.5%. The mean ADC value of the thyroid gland in patients
positively correlated with serum TRAb and Tc-99m uptake (r=0.57, P=0.001 and
r=0.74, P=0.001, respectively). Conclusions: We concluded that ADC values of the
thyroid gland can be used to differentiate Graves' disease from painless
thyroiditis in patients with untreated thyrotoxicosis.
PMID- 29662586
TI - Structural Variations in Parotid Glands Induced by Radiation Therapy in Patients
with Oral Carcinoma Observed on Contrast-Enhanced Computed Tomography.
AB - Background: Xerostomia is one of the commonest radiation-induced complications in
patients with head and neck carcinoma. The aim of this study was to assess
structural variations in parotid glands induced by radiation therapy in patients
with oral carcinoma with contras-enhanced computed tomography (CECT).
Material/Methods: A retrospective study was performed in 41 patients with oral
carcinoma who underwent CECT for head and neck malignancies before and after
radiotherapy. We analyzed the relationship between parotid density variations,
parotid volume change, as seen on CECT, and the mean radiation dose applied to
the parotid glands in patients with oral carcinoma immediately after
radiotherapy, and 2 and 3 years later. Results: Immediately after radiotherapy,
high-density changes on contrast-enhanced CT were observed in 70.5% of the
irradiated parotids. Low-density changes due to fat degeneration were seen in
46.2% and 72.2% of the irradiated parotids 2 and 3 years after radiotherapy,
respectively. The mean dose applied to the parotids with the low-density changes
and without such changes 3 years after radiotherapy was 46.0 Gy and 27.7 Gy,
respectively (p=0.049). Furthermore, parotid shrinkage was observed in 63.6% of
the irradiated parotids. Conclusions: This study suggests that the structural
variations in parotid glands induced by radiotherapy included high-density
changes that were observed immediately after radiotherapy and low-density changes
that were seen at late follow-up. This study should be useful for clinicians in
the assessment of radiation-induced injuries in the parotids with respect to
early prediction of xerostomia.
PMID- 29662587
TI - Impact of Window Computed Tomography (CT) Parameters on Measurement of
Inflammatory Changes in Paranasal Sinuses.
AB - Background: In accordance with the European guidelines on rhinosinusitis and
nasal polyps (EPOS 2012), CT is used as the main imaging modality for the
assessment intensity of inflammatory lesions.The aim of this study was to measure
the differences in the assessment of inflammatory changes in the paranasal
sinuses due to different parameters of width (W) and length (L) of the CT window.
Material/Methods: A retrospective analysis included 44 CT scans of the paranasal
sinuses that were performed in adults. All studies were characterized by the
presence of inflammatory changes in at least one of the sinuses.Measurements of
the same inflammatory lesions were performed sequentially with different CT
windows. The results were statistically analyzed. Results: A statistically
significant difference was observed between the average measurements that were
performed with the use CT windows dedicated for the sinuses and head. A downward
trend in the measured values and a shift towards soft tissue values was observed
with decreasing window parameters. Conclusions: A major cause of inaccurate
examinations of pathological changes in the paranasal sinuses may be due to
selection of unsuitable CT windows. Therefore, in order to avoid missing
inflammatory lesions in the paranasal sinuses, it is reasonable to use CT windows
dedicated for the sinuses or bones.
PMID- 29662588
TI - Imaging Diagnosis of Chronic Encapsulated Intracerebral Hematoma, a Comparison of
Computed Tomography (CT) and Magnetic Resonance Imaging (MRI) characteristics.
AB - Background: Chronic encapsulated intracerebral hematoma (CEICH) is a rare type of
intracerebral hematoma that is often misdiagnosed.To explore the characteristics
of CEICH on computerized tomography (CT) and magnetic resonance imaging (MRI).
Material/Methods: Clinical, CT, MRI, and susceptibility weighted imaging (SWI)
data of 5 patients who were diagnosed with CEICH on surgery and pathology were
retrospectively analyzed. Results: CT showed quasi-circular or elliptical lesions
with clear borders in all 5 cases and iso-density or low-density in the center of
lesions that were surrounded by peripheral edema in 2 cases. CT showed mass
effect in 5 patients. On contrast-enhanced CT, 2 cases exhibited mild ring
enhancement, and 3 cases exhibited moderate ring enhancement. MRI showed cystic
lesions with high uniform signal on T1-weighted images (T1WI) and T2-weighted
images (T2WI), a lowsignal ring sign on the coated cystic lesions on T2WI, a
lower signal ring sign on SWI, and ring enhancement after administration of
contrast. Conclusions: CT imaging of CEICH did not reveal any typical
characteristics in the studied patients. MRI showed an envelope with a "ring" and
intra-capsular bleeding features. MRI is an effective imaging modality for the
diagnosis of CEICH.
PMID- 29662589
TI - Sonography and Magnetic Resonance Imaging Characteristics of Testicular Adrenal
Rest Tumors.
AB - Background: The aim of this study was to describe the gray-scale and color
Doppler ultrasonography (US) and magnetic resonance (MR) imaging features of
testicular adrenal rest tumors (TART) in patients with congenital adrenal
hyperplasia. Material/Methods: Forty-one patients with congenital adrenal
hyperplasia were evaluated by gray-scale and color Doppler ultrasonography.
Totally eighteen adrenal rest tumors in 9 patients were diagnosed TART on US and
MR imaging. Gray-scale and color Doppler US and MR findings of the patients were
documented. Results: A total of eighteen masses were evaluated in nine patients.
The mean age of these patients was 14.3+/-4.5 (range 10.1-23.3) years. US
revealed hypoechoic lesions around the mediastinum testis with hypervascularity
dispersing in ten patients and hypovascularity in two patients. In six patients,
the lesions were hyperechoic with poor vascularity. Lesions exhibited homogeneous
(n=8) and heterogeneous (n=10). Testicular microlithiasis was present in 4 of 9
patients with TART. Doppler ultrasound showed normal testicular vessels passing
through the mass which were undisturbed, not displaced and not change in caliber.
MRI features were the following: all lesions were hypointense on T2- and
hyperintense (n=12) and isointense (n=6) on T1-weighted images. All masses
revealed homogeneous contrast enhancement on postcontrast T1-weighted images.
Conclusions: Ultrasonography and MRI are good methods for detecting and
monitoring TART. US is the first preferable modality because it is quick and
cheap than MRI. Bilateral mostly hypoechoic lesions depicted around the
mediastinum testis with no mass effect is highly suggestive for the diagnosis of
testicular adrenal rest tissues on ultrasonography. Normal testicular vessels
coursing through the lesions undisturbed and not change in caliber is described
specific for this kind of tumors.
PMID- 29662590
TI - Computed Tomography (CT) Angiography in Pre-Embolization Assessment of Location
of Gastrointestinal Bleeding in Paediatric Patient with Granulomatosis with
Polyangiitis (Wegener's Granulomatosis) - Case Report.
AB - Background: Acute gastrointestinal bleeding is an emergency with a high morbidity
and mortality. Early diagnosis and appropriate intervention can be lifesaving and
can prevent long-term complications. Case Report: In this case report, we discuss
and illustrate the role of CT angiography in the evaluation of acute, active
gastrointestinal haemorrhage and show its usefulness prior to embolization. We
describe a 15-year-old girl with granulomatosis with polyangiitis, formerly known
as Wegener's granulomatosis. Conclusions: An accurate pre-embolization assessment
of bleeding with CT angiography shortens the total diagnostic time, which results
in prompt and more effective endovascular treatment.We describe the clinical
presentation of our patient and present diagnostic and interventional radiologic
findings.
PMID- 29662591
TI - Impact of Allura Clarity Technology on Radiation Dose Exposure During Left Atrial
Appendage Closure.
AB - Background: To evaluate the impact of the Clarity IQ technology on reducing
radiation risk in patients undergoing cardiac interventional radiology (IR)
procedures. Material/Methods: Phantom studies were performed with two
angiographic systems, FD10 Allura Xper and FD10 Allura Clarity. In the study, we
performed left atrial appendage closure. Dosimetric measurements were performed
with thermoluminescent dosimeters (TLD) placed inside a CIRS anthropomorphic
phantom. Radiation risk was estimated based on the TLD readings and expressed as
the dose absorbed by particular organs. The Mann-Whitney U test was carried out
to test for significance of differences in the absorbed radiation doses between
the techniques. Results: During left atrial appendage closure, the estimated dose
absorbed by particular organs was lower in the case of the FD10 Allura Clarity
system in comparison to the Allura Xper. In this procedure, dose reduction for
particular organs ranged between 49-86%. Conclusions: Application of the FD10
Allura Clarity system resulted in a significant dose reduction, thereby leading
to a significant decrease in radiation risk for patients undergoing IR
procedures.
PMID- 29662592
TI - Radiation Dose Associated with Multi-Detector 64-Slice Computed Tomography Brain
Examinations in Khartoum State, Sudan.
AB - Background: Radiation exposure due to computed tomography (CT) has become an
important issue, as the number of CT examinations has been increasing worldwide.
Radiation doses associated with CT are higher in comparison to other imaging
procedures. CT-related radiation doses should be monitored and controlled in
order to ensure reduction of radiation exposure and optimization of image
quality. The aim of this study was to evaluate radiation doses in adult patient
who underwent routine CT brain examinations, and to assess how CT scanning
protocols affect patient doses in practice. Material/Methods: A total of 118
patients underwent brain CT at two radiology departments equipped with 64-slice
CT scanners, Khartoum, Sudan. Patient doses regarding weighted CT dose index
(CTDIw) and dose length product (DLP) values were recorded. Quality control tests
were performed for both scanners. Results: The mean CTDIw values ranged from 62.9
to 65.8 mGy, DLP values ranged from 1003.7 to 1192.5 mGy, and the effective dose
varied from 2.4 to 3.7 mSv. Conclusions: Patient doses in this study was higher
compared to previous research, suggesting that patients exposed to unnecessary
radiation. Therefore, optimization of radiation doses with the use of specified
imaging protocols, well-documented indications for CT, training of technicians,
and quality control programs will reduce the necessary radiation doses.
Establishment of the diagnostic reference level is recommended for further dose
reduction.
PMID- 29662593
TI - Clival Chordoma: Case Report and Review of Recent Developments in Surgical and
Adjuvant Treatments.
AB - Chordomas are rare tumors that can develop anywhere along the craniospinal axis.
These tumors present challenges with respect to diagnosis and treatment due to a
high rate of recurrence, even after multiple surgeries, and the propensity to
involve any region within the craniospinal axis. New developments in radiation
therapy have improved recurrence-free survival in patients with chordomas.
Different regimens of chemotherapy and molecularly-targeted therapies, as
adjuvants to surgery, have been described in individual case reports and case
series. The purpose of this paper is to describe a case of clival chordoma and
review recent developments in diagnostic and therapeutic options. A 77-year-old
female was referred because of diplopia and progressively worsening headaches.
Head imaging revealed a large expansile and erosive mass in the skull base. The
patient underwent a successful endoscopic endonasal trans-sphenoidal resection of
the mass, with biopsy confirming the diagnosis of chordoma. Postoperatively, the
patient experienced an improvement in neurological symptoms. Chordomas can
present a diagnostic challenge due to the rare occurrence and a tendency to
involve any region within the craniospinal axis.
PMID- 29662594
TI - Correlation Between Findings of Multislice Helical Computed Tomography (CT),
Endoscopic Examinations, Endovascular Procedures, and Surgery in Patients with
Symptoms of Acute Gastrointestinal Bleeding.
AB - Background: Endoscopic methods (gastroscopy and colonoscopy) are considered
fundamental for the diagnosis of gastrointestinal bleeding. In recent years,
multidetector computed tomography (MDCT) has also gained importance in diagnosing
gastrointestinal bleeding, particularly in hemodynamically unstable patients and
in cases with suspected lower gastrointestinal tract bleeding. CT can detect both
the source and the cause of active gastrointestinal bleeding, thereby expediting
treatment initiation. Material/Methods: The study group consisted of 16 patients
with clinical symptoms of gastrointestinal bleeding in whom features of active
bleeding were observed on CT. In all patients, bleeding was verified by means of
other methods such as endoscopic examinations, endovascular procedures, or
surgery. Results: The bleeding source was identified on CT in all 16 patients. In
14 cases (87.5%), bleeding was confirmed by other methods. Conclusions: CT is an
efficient, fast, and readily available tool for detecting the location of acute
gastrointestinal bleeding.
PMID- 29662595
TI - Diagnosis of Acute Appendicitis Using Clinical Alvarado Scoring System and
Computed Tomography (CT) Criteria in Patients Attending Gujarat Adani Institute
of Medical Science - A Retrospective Study.
AB - Background: The aim was to evaluate the clinical Alvarado scoring system and
computed tomography (CT) criteria for the diagnosis of acute appendicitis.
Material/Methods: The study was carried out retrospectively in patients who were
referred to the Institute between March 2014 and January 2015. One hundred
seventeen patients with acute abdominal pain who underwent abdominal CT were
enrolled in this retrospective study. Patient demographics, clinical Alvarado
scoring, CT images, and pathologic results of the patients were evaluated.
Results: Thirty nine of the 53 patients who were operated on had pathologically
proven acute appendicitis. CT criteria of appendiceal diameter, presence of
periappendiceal inflammation, fluid, appendicoliths, and white blood cell count
(WBC) were significantly correlated with the inflammation of the appendix. The
optimal cut-off value of the appendiceal diameter was 6.5 mm. The correlation
between appendiceal diameter and WBC was 80% (P=0.01 <0.05). The correlation
between appendiceal diameter and Alvarado score was 78.7% (P=0.01 <0.05).
Conclusions: Presence of appendiceal diameter above 6.5 mm on CT, periappendiceal
inflammation, fluid, and appendicoliths should prompt the diagnosis of acute
appendicitis. Since patients with acute appendicitis may not always show the
typical signs and symptoms, CT is a helpful imaging modality for patients with
relatively low Alvarado scores and leukocytosis, when physical examination is
confusing.
PMID- 29662596
TI - Single Nanoparticle Plasmonic Spectroscopy for Study of Charge-Dependent Efflux
Function of Multidrug ABC Transporters of Single Live Bacillus subtilis Cells.
AB - Multidrug membrane transporters can selectively extrude a wide variety of
structurally and functionally unrelated substrates, and they are responsible for
ineffective treatment of a wide range of diseases (e.g., infection and cancer).
Their underlying molecular mechanisms remain elusive. In this study, we
functionalized Ag NPs (11 nm in diameter) with two biocompatible peptides
(CALNNK, CALNNE) to prepare positively and negatively charged Ag-peptide NPs (Ag
CALNNK NPs+zeta, Ag-CALNNE NPs-4zeta), respectively. We used them as photostable
plasmonic imaging probes to study charge-dependent efflux kinetics of BmrA (ABC)
membrane transporter of single live Bacillus (B.) subtilis cells. Two strains of
the cells, normal expression of BmrA (WT) or devoid of BmrA (DeltaBmrA), were
used to study the charge-dependent efflux kinetics of single NPs upon the
expression of BmrA. The NPs (1.4 nM) were stable (non-aggregated) in a PBS buffer
and biocompatible to the cells. We found the high dependent accumulation of the
intracellular NPs in both WT and DeltaBmrA upon the charge and concentration of
NPs. Notably, the accumulation rates of the positively charged NPs in single live
WT cells are nearly identical to those in DeltaBmrA cells, showing independence
upon the expression of BmrA. In contrast, the accumulation rates of the
negatively charged NPs in WT are much lower than in DeltaBmrA, showing high
dependence upon the expression of BmrA and suggesting that BmrA extrude the
negatively charged NPs, but not positively charged NPs, out of the WT. The
accumulation of positively charged NPs in both WT and DeltaBmrA increases nearly
proportionally to the NP concentration. The accumulation of negatively charged
NPs in DeltaBmrA, but not in WT, also increases nearly proportionally to the NP
concentration. These results suggest that both negatively and positively charged
NPs enter the cells via passive diffusion driven by concentration gradients
across the cellular membrane, and BmrA can only extrude the negatively charged
NPs out of the WT. This study shows that single NP plasmon spectroscopy can serve
as a powerful tool to identify single plasmonic NPs and to probe the charge
dependent efflux kinetics and function of single membrane transporters in single
live cells in real time.
PMID- 29662597
TI - Acromegaly and hyperparthyroidism: about a rare association.
PMID- 29662598
TI - [Clinical, epidemiological and therapeutic features of biliary tract cancers:
about 20 cases].
AB - Biliary tract cancers mainly occur in two sites: gallbladder cancer which are
adenocarcinomas and intra- and extrahepatic cholangiocarcinomas. We conducted a
retrospective study of 20 cases with biliary tract cancer in the Department of
Surgery at the General Hospital in Grand-Yoff between January 2006 and October
2014. 40% of patients had gallbladder cancer, 60% of patients had common bile
duct cancer. Sex ratio was 1. The average age of patients was 58.1 years. The
average time to diagnosis was 3.77 months. Symptomatology was dominated by
icteric syndrome and right hypochondrium pain. All patients had biological
manifestation of cholestatic syndrome. Abdominal ultrasound was performed in 65%
of patients, while abdominal CT scan in 85% of cases and MRI in 35% of cases.
Advanced cancers were predominant in our case series (n=19). The majority of
patients underwent palliative surgery. The most practiced treatment was biliary
diversion (50% of patients). There was a predominance of cholangiocarcinomas. The
overall operative morbidity rate was 43.75%. The overall mortality rate in our
patients with biliary tract cancers of any site was 31.25%. Median survival was 4
months and a half. Biliary tract cancers have multifaceted features and can be
differentiated essentially among intrahepatic cholangiocarcinoma, extrahepatic
cholangiocarcinoma, gallbladder adenocarcinoma whose evolution is globally
different but the prognosis is spontaneously poor.
PMID- 29662599
TI - [Spontaneous simultaneous bilateral rupture of the quadriceps tendon in patients
with parathyroid adenoma: case report and literature review].
AB - Spontaneous as well as bilateral and simultaneous rupture of the quadriceps
tendon is rare. We report the case of a 53-year old patient followed up for
parathyroid adenoma complicated by renal failure, admitted for spontaneous
bilateral rupture of the two quadriceps tendons. He underwent surgical repair
allowing him to maintain his autonomy.
PMID- 29662600
TI - [Appendicular plastron: emergency or deferred surgery: a series of 27 cases
collected in the surgical clinic of the Aristide Le Dantec Hospital].
AB - Our study aimed to evaluate the outcome of the surgical treatment of appendicular
plastron after deferred or emergency appendectomy. We conducted a retrospective,
descriptive study of 27 patients treated for appendicular plastron from January
2000 to 31 December 2007. Diagnosis was based on clinical examination showing a
mass in the right iliac fossa, on ultrasound or made intraoperatively. All
patients undergoing emergency surgery were classified in Group I while those
undergoing deferred surgery were classified in Group II. 18 men and 9 women were
registered, with a sex-ratio man /Woman=2. The average age of patients was 33
years, ranging between 19 and 57 years. Clinical signs were dominated by pain in
the right iliac fossa and fever in 25(92.6%) and 15 (55.6%) of cases
respectively. In group I, appendectomy couldn't be performed in 7 cases (n= 15)
due to surgical complications. In all other cases appendectomy was performed by
enlarging Mac Burney's incision and was associated with longer length of stay in
hospital. Group II included 12 patients (n=12), 9 underwent laparoscopy and 3
patients underwent Mac Burney's incision. 3 cases with peritoneal adhesions were
detected during coelioscopy. Deferred appendectomy of appendicular plastron is a
safe and efficient surgical procedure. It allows to avoid unattractive scarrings
and iatrogenic digestive fistulas. Emergency appendectomy shouldn't be performed
in patients with appendicular plastron because it increases the risks of
morbidity.
PMID- 29662601
TI - Indometacin induced corneal perforation in a patient with rheumatoid arthritis.
PMID- 29662602
TI - Adult aorta coarctation associated with double superior vena cava.
PMID- 29662603
TI - Increased mortality among HIV infected patients with cryptococcal antigenemia in
Guinea-Bissau.
AB - Cryptococcal antigenemia may precede development of cryptococcal meningitis and
death among patients with advanced HIV infection. Among 200 retrospectively and
randomly selected ART-naive patients with CD4 counts < 100 cells/MUl from Guinea
Bissau, 20 (10%) had a positive cryptococcal antigen test. Self-reported headache
and fever were predictors of a positive test, while cryptococcal antigenemia was
a strong predictor of death within the first year of follow-up, MRR 2.22 (95% CI:
1.15-4.30). Screening for cryptococcal antigenemia should be implemented for
patients with advanced HIV in Guinea-Bissau. Pre-emptive anti-fungal therapy
should be initiated prior to ART-initiation if the screening is positive.
PMID- 29662604
TI - ?
AB - This study aims to determine the frequency and identify the factors associated
with undernutrition among patients undergoing visceral surgery in 2014. We
conducted a cross-sectional, descriptive and analytical study over the period
September 11, 2014-December 11, 2014. The study population comprised patients who
had undergone visceral surgery at the National University Hospital Centre
Koutoucou Hubert MAGA, Cotonou. Undernutrition is defined as a body mass index
less than 18.5 kg/m2. A total of 90 patients were included in the study, 57,78%
(52 patients) were male. The average age of patients was 55+/-6,32 years.
Undernutrition was found in 42 patients (46.67%). The factors associated with
undernutrition in postoperative patients were: age greater than or equal to 50
years, low level of protein, water and energy intake, diarrhea, cancer, digestive
system surgery, emergency surgery, significant weight loss and hyperleukocytosis.
Undernutrition is common in postoperative patients. Hence the importance of
nutritional screening and of early treatment.
PMID- 29662605
TI - ?
PMID- 29662606
TI - ?
AB - Introduction Aim: It was to determine the factors influencing the prognosis of
the second twin's delivery. Methods: The study was retrospective and covered all
cases of twin births registered at our maternity from 1stJanuary 2007 to 31st
December 2016 (10 years). Results: We recorded 34,899 deliveries among which 1374
twin deliveries (2.54%). Almost 15% were primiparous; 39.16% were referred;
69.10% and 15.5% without prenatal care. The factors negatively influencing
prognosis for second twin were: a delay>15 minutes between twin deliveries,
delayed caesarean section, non-qualification of the midwife, monochoreal, low
birth weight. Otherwise parity and obstetric maneuvers had no influence on the
prognosis 2nd twin. Conclusion: The prognosis of 2nd twinisstronglyinfluenced by
a birth delay> 15 minutes, the other factors acting as cofactors.
PMID- 29662607
TI - ?
AB - Endometriosis is defined as the implantation of endometrial tissue outside of the
uterine cavity. It affects approximately 10% of women of childbearing age.
Umbilical endometriosis is rare and its pathophysiology is poorly known. We
report the case of a 42-year old nulliparous female patient with a 5-year history
of myomectomy, presenting with cyclic pain associated with umbilical mass. The
diagnosis of umbilical endometrioma was made and confirmed by the histological
examination of the surgical specimen. Treatment was based on wide excision of the
mass associated with pelvis exploration and umbilical plasty.
PMID- 29662608
TI - WT1, p53 and p16 expression in the diagnosis of low- and high-grade serous
ovarian carcinomas and their relation to prognosis.
AB - Objective: To evaluate the diagnostic and prognostic value of the
immunohistochemical expression of WT1, p53 and p16 in low- (LGSOCs) and high
grade serous ovarian carcinomas (HGSOCs). Results: HGSOC had a significantly
higher proportion of advanced stage disease, higher CA125 levels, higher
proportion of post-surgery residual disease and higher recurrence or disease
progression. WT1 was expressed in 71.4% of LGSOCs and in 57.1% of HGSOCs (p =
0.32). Focal and/or complete absence of p53 expression with negative p16
expression was found in 90.5% of LGSOCs, in contrast to the 88.1% of HGSOCs with
diffuse or complete absence of p53 expression with positive p16 expression
(<0.001). The IHC p53/p16 index and the morphological classification were closely
matched (k = 0.68). In the univariate analysis, FIGO stage, post-surgery residual
disease and histological grade were significantly associated with progression
free survival (PFS) and overall survival (OS). The IHC p53/p16 index was
associated only with PFS. WT1 was not associated with PFS or OS. According to the
multivariate analysis, advanced FIGO stage and presence of post-surgery residual
disease remained independent prognostic factors for worst PFS, however these
features had only a trend association with OS. Methods: 21 LGSOC and 85 HGSOC
stage I-IV cases were included. The morphological classification was assessed
according to the World Health Organization (WHO) criteria. Immunohistochemistry
(IHC) was performed in tissue microarray slides. IHC p53/p16 index was compared
with the morphological classification. Conclusions: The IHC p53/p16 index was a
good marker for the differentiation of LGSOC and HGSOC, but the morphologic
classification showed a better association with survival. FIGO stage and post
surgery residual disease remained the only independent prognostic factors for
survival.
PMID- 29662609
TI - DEC205 mediates local and systemic immune responses to Helicobacter pylori
infection in humans.
AB - Helicobacter pylori infections cause gastritis and affect systemic immune
responses; however, no direct association between immune cells and stomach
bacteria has yet been reported. The present study investigated DEC205-mediated
phagocytosis of H. pylori and the role of DEC205-positive macrophages in the
human gastric mucosa. DEC205 mediated phagocytosis of H. pylori was detected
immunocytochemically in PMA-stimulated macrophages differentiated from NOMO1
cells. Expression of DEC205 mRNA in peripheral blood mononuclear cells (PBMCs)
from H. pylori-infected patients was analyzed following stimulation with H.
pylori cell lysate. We found that anti-DEC205 antibodies inhibited phagocytosis
of H. pylori. The number of cells double-positive for DEC205 and CD14 in human
gastric mucosa was higher in H. pylori-infected patients. DEC205-positive
macrophages invaded the extracellular space between epithelial cells within
gastric pits. In addition, DEC205 mRNA expression was upregulated in human PBMCs
stimulated with H. pylori lysate. These findings suggest DEC205-expressing
macrophages are important for recognition of H. pylori in human gastric mucosa,
which affects systemic immunity.
PMID- 29662610
TI - Human cancer cells utilize mitotic DNA synthesis to resist replication stress at
telomeres regardless of their telomere maintenance mechanism.
AB - Telomeres resemble common fragile sites (CFSs) in that they are difficult-to
replicate and exhibit fragility in mitosis in response to DNA replication stress.
At CFSs, this fragility is associated with a delay in the completion of DNA
replication until early mitosis, whereupon cells are proposed to switch to a
RAD52-dependent form of break-induced replication. Here, we show that this
mitotic DNA synthesis (MiDAS) is also a feature of human telomeres. Telomeric
MiDAS is not restricted to those telomeres displaying overt fragility, and is a
feature of a wide range of cell lines irrespective of whether their telomeres are
maintained by telomerase or by the alternative lengthening of telomeres (ALT)
mechanism. MiDAS at telomeres requires RAD52, and is mechanistically similar to
CFS-associated MiDAS, with the notable exception that telomeric MiDAS does not
require the MUS81-EME1 endonuclease. We propose a model whereby replication
stress initiates a RAD52-dependent form of break-induced replication that
bypasses a requirement for MUS81-EME1 to complete DNA synthesis in mitosis.
PMID- 29662611
TI - GPX2 promotes development of bladder cancer with squamous cell differentiation
through the control of apoptosis.
AB - Herein, we elucidated the molecular mechanisms and therapeutic potential of
glutathione peroxidase 2 (GPX2) in bladder cancer. GPX2 expression gradually
increased during progression from normal to papillary or nodular hyperplasia
(PNHP) and urothelial carcinoma (UC) in a rat N-butyl-N-(4-hydroxybutyl)
nitrosamine (BBN)-induced bladder carcinogenesis model. GPX2 overexpression was
more marked in UC with squamous differentiation (SqD) than in pure UC. Clinical
intraepithelial lesions of papillary UC and invasive UC with SqD also had strong
GPX2 expression in human radical cystectomy specimens. In addition, prognostic
analysis using transurethral specimens revealed that low expression level of GPX2
predicted poor prognosis in patients with pure UC. Further, UC cell lines, BC31
and RT4, cultured in vitro also overexpressed GPX2. Knock-down of GPX2 induced
significant inhibition of intracellular reactive oxygen species (ROS) production,
in addition to significant growth inhibition and increased apoptosis with
activation of caspase 3 or 7 in both BC31 and RT4 cells. Interestingly, tumor
growth of BC31 cells subcutaneously transplanted in nude mice was significantly
caused the induction of apoptosis, as well as inhibition of angiogenesis and SqD
by GPX2 down-regulation. Our findings demonstrated that GPX2 plays an important
role in bladder carcinogenesis through the regulation of apoptosis against
intracellular ROS, and may be considered as a novel biomarker or therapeutic
target in bladder cancer.
PMID- 29662612
TI - Exploiting mitochondrial and metabolic homeostasis as a vulnerability in NF1
deficient cells.
AB - Neurofibromatosis type 1 is a disease caused by mutation of neurofibromin 1
(NF1), loss of which results in hyperactive Ras signaling and a concomitant
increase in cell proliferation and survival. Patients with neurofibromatosis type
1 frequently develop tumors such as plexiform neurofibromas and malignant
peripheral nerve sheath tumors. Mutation of NF1 or loss of the NF1 protein is
also observed in glioblastoma, lung adenocarcinoma, and ovarian cancer among
other sporadic cancers. A therapy that selectively targets NF1 deficient tumors
would substantially advance our ability to treat these malignancies. To address
the need for these therapeutics, we developed and conducted a synthetic lethality
screen to discover molecules that target yeast lacking the homolog of NF1, IRA2.
One of the lead candidates that was observed to be synthetic lethal with
ira2Delta yeast is Y100. Here, we describe the mechanisms by which Y100 targets
ira2Delta yeast and NF1-deficient tumor cells. Y100 treatment disrupted
proteostasis, metabolic homeostasis, and induced the formation of mitochondrial
superoxide in NF1-deficient cancer cells. Previous studies also indicate that
NF1/Ras-dysregulated tumors may be sensitive to modulators of oxidative and ER
stress. We hypothesize that the use of Y100 and molecules with related mechanisms
of action represent a feasible therapeutic strategy for targeting NF1 deficient
cells.
PMID- 29662613
TI - Efficacy of continuous versus intermittent subglottic secretion drainage in
preventing ventilator-associated pneumonia in patients requiring mechanical
ventilation: A single-center randomized controlled trial.
AB - Objective: Aspiration of subglottic secretion is a widely used intervention to
prevent ventilator-associated pneumonia (VAP). This study aimed to compare the
efficacy of continuous and intermittent subglottic secretion drainage (SSD) in
preventing VAP. Methods: A single-center randomized controlled trial was
conducted on adult postoperative patients who were expected to undergo mechanical
ventilation for more than 48 hours. Primary outcome measure was incidence of VAP
and secondary outcome measures were length of mechanical ventilation and
intensive-care unit (ICU) stay. Results: Fifty-nine patients received continuous
SSD, while 60 patients received intermittent SSD. Of these 119 patients, 88 (74%)
were excluded and 15 and 16 patients were allocated to receive continuous and
intermittent SSD, respectively. VAP was detected in 4 (26.7%) and 7 (43.8%)
patients in the continuous and intermittent groups, respectively, (p=0.320). The
length of mechanical ventilation was significantly shorter (p=0.034) in the
continuous group (99.5+/-47.1 h) than in the intermittent group (159.9+/-94.5 h).
The length of ICU stay was also shorter (p=0.0097) in the continuous group (6.3+/
2.1 days) than the intermittent group (9.8+/-4.8 days). Conclusions: Although
continuous SSD did not reduce the incidence of VAP, it reduced the length of
mechanical ventilation and ICU stay when compared to intermittent SSD.
PMID- 29662614
TI - Transcriptional orchestration of mitochondrial homeostasis in a cellular model of
PGC-1-related coactivator-dependent thyroid tumor.
AB - The PGC-1 (Peroxisome proliferator-activated receptor Gamma Coactivator-1) family
of coactivators (PGC-1alpha, PGC-1beta, and PRC) plays a central role in the
transcriptional control of mitochondrial biogenesis and oxidative phosphorylation
(OXPHOS) processes. These coactivators integrate mitochondrial energy production
into cell metabolism using complementary pathways. The XTC.UC1 cell line is a
mitochondria-rich model of thyroid tumors whose biogenesis is almost exclusively
dependent on PRC. Here we aim to propose an integrative view of the cellular
pathways regulated by PRC through integration of cDNA and miRNA microarray data
and chromatin immunoprecipitation results obtained from XTC.UC1 cells invalidated
for PRC. This study showes that PRC induces a complex network of cellular
functions interacting with at least one to five of the studied transcription
factors (Estrogen Related Receptor alpha, ERR1; Nuclear-Respiratory Factors, NRF1
and NRF2; cAMP Response Element Binding, CREB; and Ying Yang, YY1). Our data
confirm that ERR1 is a key partner of PRC in the regulation of mitochondrial
functions and suggest a potential role of this complex in RNA processing. PRC is
also involved in transcriptional regulatory complexes targeting 12 miRNAs, five
of which are involved in the control of the OXPHOS process. Our findings
demonstrate that the PRC coactivator can act in complex with several
transcription factors and regulate miRNA expression to control the fine
regulation of main metabolic functions in the cell. Therefore, in PGC-1alpha/beta
associated pathologies, PRC, as a metabolic sensor, may ensure mitochondrial
homeostasis.
PMID- 29662615
TI - Transcriptome and proteome profiling reveals stress-induced expression signatures
of imiquimod-treated Tasmanian devil facial tumor disease (DFTD) cells.
AB - As a topical cancer immunotherapy, the toll-like receptor 7 ligand imiquimod
activates tumor regression via stimulation of immune cell infiltration and
cytotoxic responses. Imiquimod also exerts direct pro-apoptotic effects on tumor
cells in vitro, but a role for these effects in imiquimod-induced tumor
regression remains undefined. We previously demonstrated that cell lines derived
from devil facial tumor disease (DFTD), a transmissible cancer threatening the
survival of the Tasmanian devil (Sarcophilus harrisii), are sensitive to
imiquimod-induced apoptosis. In this study, the pro-apoptotic effects of
imiquimod in DFTD have been investigated using RNA-sequencing and label-free
quantitative proteomics. This analysis revealed that changes to gene and protein
expression in imiquimod treated DFTD cells are consistent with the onset of
oxidative and endoplasmic reticulum stress responses, and subsequent activation
of the unfolded protein response, autophagy, cell cycle arrest and apoptosis.
Imiquimod also regulates the expression of oncogenic pathways, providing a direct
mechanism by which this drug may increase tumor susceptibility to immune
cytotoxicity in vivo. Our study has provided the first global analysis of
imiquimod-induced effects in any tumor cell line. These findings have highlighted
the potential of cell stress pathways as therapeutic targets in DFTD, and will
allow for improved mechanistic use of imiquimod as a therapy in both the
Tasmanian devil and human cancers.
PMID- 29662616
TI - Recruitment of lysine demethylase 2A to DNA double strand breaks and its
interaction with 53BP1 ensures genome stability.
AB - Lysine demethylase 2A (KDM2A) functions in transcription as a demethylase of
lysine 36 on histone H3. Herein, we characterise a role for KDM2A in the DNA
damage response in which KDM2A stimulates conjugation of ubiquitin to 53BP1.
Impaired KDM2A-mediated ubiquitination negatively affects the recruitment of
53BP1 to DSBs. Notably, we show that KDM2A itself is recruited to DSBs in a
process that depends on its demethylase activity and zinc finger domain.
Moreover, we show that KDM2A plays an important role in ensuring genomic
stability upon DNA damage. Depletion of KDM2A or disruption of its zinc finger
domain results in the accumulation of micronuclei following ionizing radiation
(IR) treatment. In addition, IR-treated cells depleted of KDM2A display premature
exit from the G2/M checkpoint. Interestingly, loss of the zinc finger domain also
resulted in 53BP1 focal distribution in condensed mitotic chromosomes. Overall,
our data indicates that KDM2A plays an important role in modulating the
recruitment of 53BP1 to DNA breaks and is crucial for the preservation of genome
integrity following DNA damage.
PMID- 29662617
TI - Premature ovarian aging in BRCA carriers: a prototype of systemic precocious
aging?
AB - Purpose: Though former evidence implies a correlation of breast cancer
susceptibility gene (BRCA) mutation with reduced ovarian reserve, the data is yet
inconsistent. Our aim was to investigate biomarkers of ovarian aging in a cohort
of young healthy carriers of the BRCA mutation. We hypothesized that the role
played by BRCA genes in aging pathways is not exclusive to the ovary.
Experimental Design: Healthy female BRCA carriers, 40 years or younger and
healthy male BRCA carriers, 50 years or younger, were enrolled in the study.
Serum anti-mullerian Hormone (AMH), fibroblast growth factor-23 (FGF-23), Klotho
and IL-1 were measured by enzyme-linked immunosorbent assay (ELISA). Ovarian AMH
and protein kinase B (AKT) mRNA from BRCA carriers who underwent prophylactic
oophorectomy and from age-matched, healthy, non-carriers who underwent partial
oophorectomy due to benign conditions were analyzed by qPCR. Results: Thirty
three female (median age 35y) and 20 male (44y) BRCA carriers were enrolled into
the study and matched to control non-carriers (34y and 43y, respectively). Serum
AMH level was significantly lower in BRCA female carriers than in both non
carrier controls and age-matched nomograms. The levels of ovarian AMH and AKT
mRNA were significantly lower in carriers than in controls. The systemic aging
cytokines FGF-23, klotho and IL-1 displayed a differential expression in carriers
of both genders. FGF-23 level was higher in carriers (P=0.06). Conclusions: Our
results suggest a link between BRCA mutation, accelerated ovarian aging and
systemic aging-related pathophysiology.
PMID- 29662618
TI - Ectopic expression of transcription factor BATF3 induces B-cell lymphomas in a
murine B-cell transplantation model.
AB - The mechanisms involved in malignant transformation of mature B and T lymphocytes
are still poorly understood. In a previous study, we compared gene expression
profiles of the tumor cells of Hodgkin lymphoma (HL) and anaplastic large cell
lymphoma (ALCL) to their normal cellular counterparts and found the basic leucine
zipper protein ATF-like 3 (BATF3) to be significantly upregulated in the tumor
cells of both entities. To assess the oncogenic potential of BATF3 in
lymphomagenesis and to dissect the molecular interactions of BATF3 in lymphoma
cells, we retrovirally transduced murine mature T and B cells with a BATF3
encoding viral vector and transplanted each population into Rag1-deficient
recipients. Intriguingly, BATF3-expressing B lymphocytes readily induced B-cell
lymphomas after characteristic latencies, whereas T-cell transplanted animals
remained healthy throughout the observation time. Further analyses revealed a
germinal center B-cell-like phenotype of most BATF3-initiated lymphomas. In a
multiple myeloma cell line, BATF3 inhibited BLIMP1 expression, potentially
illuminating an oncogenic action of BATF3 in B-cell lymphomagenesis. In
conclusion, BATF3 overexpression induces malignant transformation of mature B
cells and might serve as a potential target in B-cell lymphoma treatment.
PMID- 29662619
TI - Uev1A-Ubc13 promotes colorectal cancer metastasis through regulating CXCL1
expression via NF-kB activation.
AB - Colorectal cancer is the second most common cause of cancer-related death
worldwide. Uncontrolled growth and distant metastasis are hallmarks of colorectal
cancer. However, the precise etiological factors and the mechanisms are diverse
and still largely unclear. The potential proto-oncogene UEV1A encodes a ubiquitin
conjugating enzyme variant, which is required for Ubc13-catalyzed K63-linked poly
ubiquitination of target proteins and the activation of NF-kB, a transcription
factor known to be involved in innate immunity, anti-apoptosis, inflammation and
cancer. In order to understand the roles of Uev1A in colon cancer progression, we
experimentally manipulated the Uev1A level in HCT116 colon cancer cells and found
that UEV1A overexpression alone is sufficient to promote invasion in vitro and
metastasis in vivo. This process is mediated by NF-kappaB activation and depends
on its physical interaction with Ubc13. No expression of Uev1A was detected in
histologically normal human colonic mucosa, but its expression was detected in
human colorectal adenocarcinoma, which was closely correlated with nuclear p65
levels, an indicator of NF-kappaB activation. Uev1A protein was detected in 46%
of primary tumors and 79% of metastatic tumors examined. Our experimental data
establish that among NF-kappaB target genes, Uev1A-regulated CXCL1 expression
plays a critical role in colon cell invasion and metastasis, a notion supported
by the colon adenocarcinoma survey. Furthermore, experimental depletion of Uev1
in HCT116 cells reduces CXCL1 expression, and prevents cell invasion and tumor
growth in a xenograft mouse model. These results identify Uev1A as a potential
therapeutic target in the treatment of metastatic colorectal cancers.
PMID- 29662620
TI - Promotion of malignant phenotype after disruption of the three-dimensional
structure of cultured spheroids from colorectal cancer.
AB - Individual and small clusters of cancer cells may detach from the edges of a main
tumor and invade vessels, which can act as the origin of metastasis; however, the
mechanism for this phenomenon is not well understood. Using cancer tissue
originated spheroids, we studied whether disturbing the 3D architecture of cancer
spheroids can provoke the reformation process and progression of malignancy. We
developed a mechanical disruption method to achieve homogenous disruption of the
spheroids while maintaining cell-cell contact. After the disruption, 9 spheroid
lines from 9 patient samples reformed within a few hours, and 3 of the 9 lines
exhibited accelerated spheroid growth. Marker expression, spheroid forming
capacity, and tumorigenesis indicated that stemness increased after spheroid
disruption. In addition, the spheroid forming capacity increased in 6 of 11
spheroid lines. The disruption signature determined by gene expression profiling
supported the incidence of remodeling and predicted the prognosis of patients
with colorectal cancer. Furthermore, WNT and HER3 signaling were increased in the
reformed spheroids, and suppression of these signaling pathways attenuated the
increased proliferation and stemness after the disruption. Overall, the
disruption and subsequent reformation of cancer spheroids promoted malignancy
related phenotypes through the activation of the WNT and ERBB pathways.
PMID- 29662621
TI - The role and gene expression profile of SOCS3 in colorectal carcinoma.
AB - SOCS3 has been postulated to play a role in the occurrence and progression of
malignancies. However, the relationship of SOCS3 with colorectal carcinoma
remains poorly understood. The purpose of the study was to explore the role of
SOCS3 in colorectal carcinoma and its underlying mechanisms. Protein and mRNA
expression of SOCS3 in colorectal carcinoma and normal colorectal mucosa was
detected using immunohistochemistry and real-time quantitative PCR. SOCS3
expression was significantly lower in colorectal carcinoma tissue than in normal
colorectal mucosa, and was negatively correlated with tumor invasion depth, lymph
node metastasis, differentiation degree, and TNM stage. A stably transfected
colorectal carcinoma cell line (8348SOCS3) with high expression of SOCS3 was
established. The effects of SOCS3 overexpression on the growth, proliferation,
invasion and tumor formation of colorectal carcinoma cells were examined by CCK-8
assay, transwell method and tumorigenicity assays in nude mice. Then we found
SOCS3 overexpression significantly decreased proliferation and invasion
capability of 8348 cells in vitro and in vivo. Furthermore, the effect of SOCS3
overexpression on the gene expression profile of colorectal carcinoma cells was
analyzed using human genome arrays. The results revealed 369 genes that were
differentially expressed in 8348SOCS3 cells. 193 genes was significantly
increased and 176 genes was significantly decreased. Bioinformatics analysis
demonstrated that high SOCS3 expression affected multiple signaling pathways in
colorectal carcinoma including TGF-beta/Smads, NF-kappaB, and HIF-MAPK pathways.
Especially for the TGF-beta/Smads pathways, high SOCS3 expression could inhibit
TGF-beta1 expression and activate Smad4 expression. These data suggested that low
expression of SOCS3 was associated with the occurrence and progression of
colorectal carcinoma. SOCS3 protein may be a useful indicator for malignancy and
prognosis of colorectal carcinoma and also a new target for gene therapy.
PMID- 29662622
TI - Quantitative parameters in dynamic contrast-enhanced magnetic resonance imaging
for the detection and characterization of prostate cancer.
AB - Objectives: to assess the diagnostic accuracy of quantitative parameters of DCE
MRI in multi-parametric MRI (mpMRI) in comparison to the histopathology
(including Gleason grade) of prostate cancer. Patients and methods: 150 men with
suspected prostate cancer (abnormal digital rectum examination and or elevated
prostate-specific antigen) received pre-biopsy 3T mpMRI and were recruited into
peer-reviewed, protocol-based prospective study. The DCE-MRI quantitative
parameters (Ktrans (influx transfer constant) and kep (efflux rate constant)) of
the cancerous and normal areas were recorded using four different kinetic models
employing Olea Sphere (Olea Medical, La Ciotat, France). The correlation between
these parameters and the histopathology of the lesions (biopsy and in a sub
cohort 41 radical prostatectomy specimen) was assessed. Results: The quantitative
parameters showed a significant difference between non-cancerous (benign) and
cancerous lesions (Gleason score>=3+3) in the prostate gland. The cut-off values
for prostate cancer differentiation were: Ktrans (0.205 min-1) and kep (0.665 min
1) in the extended Tofts model (ET) and Ktrans (0.205 min-1 and kep (0.63 min-1)
in the Lawrence and Lee delay (LD) models respectively. The mean Ktrans value
also showed a difference between low-grade cancer (Gleason score=3+3) and high
grade cancer (Gleason score >= 3+4). With the addition of DCE-MRI quantitative
parameters, the sensitivity of the PIRAD scoring system was increased from 56.6%
to 92.1% (Ktrans _ET), 93.1% (kep _ET), 91.0%, (Ktrans _LD) and 89.4% (kep _LD).
Conclusion: Quantitative DCE-MRI parameters improved the diagnostic performance
of conventional MRI in distinguishing normal and prostate cancers, including
characterization of grade of cancers. The ET and LD models in post-image
processing analysis provided better cut-off values for prostate cancer
differentiation than the other quantitative DCE-MRI parameters.
PMID- 29662623
TI - Cancer reversion with oocyte extracts is mediated by cell cycle arrest and
induction of tumour dormancy.
AB - Inducing stable control of tumour growth by tumour reversion is an alternative
approach to cancer treatment when eradication of the disease cannot be achieved.
The process requires re-establishment of normal control mechanisms that are lost
in cancer cells so that abnormal proliferation can be halted. Embryonic
environments can reset cellular programmes and we previously showed that axolotl
oocyte extracts can reprogram breast cancer cells and reverse their
tumorigenicity. In this study, we analysed the gene expression profiles of oocyte
extract-treated tumour xenografts to show that tumour reprogramming involves cell
cycle arrest and acquisition of a quiescent state. Tumour dormancy is associated
with increased P27 expression, restoration of RB function and downregulation of
mitogen-activated signalling pathways. We also show that the quiescent state is
associated with increased levels of H4K20me3 and decreased H4K20me1, an
epigenetic profile leading to chromatin compaction. The epigenetic reprogramming
induced by oocyte extracts is required for RB hypophosphorylation and induction
of P27 expression, both occurring during exposure to the extracts and stably
maintained in reprogrammed tumour xenografts. Therefore, this study demonstrates
the value of oocyte molecules for inducing tumour reversion and for the
development of new chemoquiescence-based therapies.
PMID- 29662624
TI - Arsenic trioxide-mediated suppression of miR-182-5p is associated with potent
anti-oxidant effects through up-regulation of SESN2.
AB - Arsenic trioxide (ATO) is a traditional Chinese medicine that can induce
oxidative stress for treatment of cancer cells. However, ATO may generate anti
oxidative responses to compromise the cytotoxic effect, but the underlying
mechanisms remain unclear. Here we found that ATO could inhibit miR-182-5p
expression in patient-derived primary S1 glioblastoma (GBM) cells accompanied by
up-regulation of Sestrin-2 (SESN2) mRNA, a known anti-oxidant molecule. This
phenomenon was also detected in a U87MG glioma cell line, human lung
adenocarcinoma H1299 cell line and A549 cell line. Pretreatment with a free
radical scavenger N-acetylcysteine (NAC) reduced the oxidative stress induced by
ATO. Concomitantly, ATO mediated suppression of miR-182-5p and enhancement of
SESN2 expression were also compromised. The MTT assay further showed that ATO
induced cytotoxicity was enhanced by transfection of miR-182-5p mimics.
Overexpression of miR-182-5p mimics significantly suppressed the expression of
SENS2 and a firefly luciferase reporter gene fused to 3'- untranslated region
(UTR) of SESN2 mRNA. Use of ribonucleoprotein immunoprecipitation (RNP-IP), ATO
mediated suppression of miR-182-5p led to the stabilization of SESN2 mRNA as a
result of Argonaute-2 (AGO2) dependent gene silencing. Furthermore, high
expression of miR-182-5p and low expression of SESN2 mRNA tend to be associated
with longer survival of glioma or lung cancer patients using public available
gene expression datasets and online tools for prediction of clinical outcomes.
Taken together, current data suggest that the miR-182-5p/SENS2 pathway is
involved in ATO induced anti-oxidant responses, which may be important for the
design of novel strategy for cancer treatment.
PMID- 29662625
TI - ADAM17 inhibition enhances platinum efficiency in ovarian cancer.
AB - Chemotherapeutic resistance evolves in about 70 % of ovarian cancer patients and
is a major cause of death in this tumor entity. Novel approaches to overcome
these therapeutic limitations are therefore highly warranted. A disintegrin and
metalloprotease 17 (ADAM17) is highly expressed in ovarian cancer and required
for releasing epidermal growth factor receptor (EGFR) ligands like amphiregulin
(AREG). This factor has recently been detected in ascites of advanced stage
ovarian cancer patients. However, it is not well understood, whether and how
ADAM17 might contribute to chemo resistance of ovarian cancer. In this study, we
identified ADAM17 as an essential upstream regulator of AREG release under
chemotherapeutic treatment in ovarian cancer cell lines and patient derived
cells. In the majority of ovarian cancer cells cisplatin treatment resulted in
enhanced ADAM17 activity, as shown by an increased shedding of AREG. Moreover,
both mRNA and the protein content of AREG were dose-dependently increased by
cisplatin exposure. Consequently, cisplatin strongly induced phosphorylation of
ADAM17-downstream mediators, the EGFR and extracellular signal-regulated kinases
(ERK). Phorbol 12-myristate 13-acetate (PMA), similarly to cisplatin, mediated
AREG shedding and membrane fading of surface ADAM17. Inhibition of ADAM17 with
either GW280264X or the anti-ADAM17 antibody D1 (A12) as well as silencing of
ADAM17 by siRNA selectively reduced AREG release. Thus, ADAM17 inhibition
sensitized cancer cells to cisplatin-induced apoptosis, and significantly reduced
cell viability. Based on these findings, we propose that targeting of ADAM17 in
parallel to chemotherapeutic treatment suppresses survival pathways and
potentially diminish evolving secondary chemo resistance mechanisms.
PMID- 29662626
TI - Store operated calcium entry is altered by the inhibition of receptors tyrosine
kinase.
AB - SOCE (Store-Operated Calcium Entry) is the main mechanism by which external Ca2+
enters into non-excitable cells after endoplasmic reticulum emptying. It is
implicated in several processes such as proliferation and migration. Alterations
in SOCE could initiate or support the development of hallmarks of cancer. In this
project, we showed that disruption of the EGFR/ErbB2-dependent signalling by
lapatinib and CP-724714, two inhibitors of the receptor tyrosine kinase (RTK),
dramatically reduced the amplitude of the SOCE in breast cancer cells. LY294002
and MK2206, two inhibitors of the PI3K/Akt pathway, mimicked the effect of the
inhibition of EGFR/ErbB2. In contrast, inhibitors of the MAPK pathway had no
effect on SOCE. The involvement of EGFR/ErbB2 receptors and the PI3K/Akt pathway
in the regulation of SOCE was confirmed in other cell lines derived from various
cancer types. All these results showed that SOCE is positively regulated by the
PI3K/Akt pathway and that this effect may be suppressed by the inhibition of the
upstream RTKs. Inhibition of SOCE might therefore contribute to the anticancer
effects of RTK inhibitors.
PMID- 29662627
TI - Analysis of the PI3K-AKT-mTOR pathway in penile cancer: evaluation of a
therapeutically targetable pathway.
AB - Objectives: To determine whether phosphatidylinositol-4,5-bisphosphate 3- kinase,
catalytic subunit alpha (PIK3CA) copy number gain is common and could prove a
useful marker for the activation status of the PI3K-AKT-mTOR pathway in penile
squamous cell carcinoma (PSCC). Methods: Fresh frozen tissue and archival blocks
were collected from 24 PSCC patients with 15 matched normal penile epithelium
(NPE) tissue from St George's Hospital. PIK3CA mutational and copy number status
(CNS) was assessed via Sanger sequencing and fluorescence in-situ hybridisation,
respectively. PIK3CA RNA expression was quantified using TaqMan gene expression
assay. HPV DNA was detected with INNO-LiPA assay. p-AKT and p-mTOR protein
expression were assessed using western blot and immunohistochemistry. Results:
PIK3CA copy number gain was found in 11/23 (48%) patients, with mutations present
in only 2/24 (8%) patients. In comparison to NPE, PSCC showed significantly lower
PIK3CA RNA expression (p=0.0007), p-AKT (Ser473) nuclear immunoexpression
(p=0.026) and protein expression of p-AKT (Thr308) (p=0.0247) and p-mTOR
(Ser2448) (p=0.0041). No association was found between PIK3CA CNS and p-AKT and p
mTOR protein expression. Conclusion: Based on our results the PI3K-AKT-mTOR
pathway is not a key driver in PSCC carcinogenesis and the therapeutic targeting
of this pathway is unlikely to produce significant clinical benefit.
PMID- 29662628
TI - A gene expression signature predicts recurrence-free survival in meningioma.
AB - BACKGROUND: Meningioma is the most common primary brain tumor and has a variable
risk of local recurrence. While World Health Organization (WHO) grade generally
correlates with recurrence, there is substantial within-grade variation of
recurrence risk. Current risk stratification does not accurately predict which
patients are likely to benefit from adjuvant radiation therapy (RT). We
hypothesized that tumors at risk for recurrence have unique gene expression
profiles (GEP) that could better select patients for adjuvant RT. METHODS: We
developed a recurrence predictor by machine learning modeling using a
training/validation approach. RESULTS: Three publicly available AffymetrixU133
gene expression datasets (GSE9438, GSE16581, GSE43290) combining 127 primary, non
treated meningiomas of all grades served as the training set. Unsupervised
variable selection was used to identify an 18-gene GEP model (18-GEP) that
separated recurrences. This model was validated on 62 primary, non-treated cases
with similar grade and clinical variable distribution as the training set. When
applied to the validation set, 18-GEP separated recurrences with a
misclassification error rate of 0.25 (log-rank p=0.0003). 18-GEP was predictive
for tumor recurrence [p=0.0008, HR=4.61, 95%CI=1.89-11.23)] and was predictive
after adjustment for WHO grade, mitotic index, sex, tumor location, and Simpson
grade [p=0.0311, HR=9.28, 95%CI=(1.22-70.29)]. The expression signature included
genes encoding proteins involved in normal embryonic development, cell
proliferation, tumor growth and invasion (FGF9, SEMA3C, EDNRA), angiogenesis
(angiopoietin-2), cell cycle regulation (CDKN1A), membrane signaling (tetraspanin
7, caveolin-2), WNT-pathway inhibitors (DKK3), complement system (C1QA) and
neurotransmitter regulation (SLC1A3, Secretogranin-II). CONCLUSIONS: 18-GEP
accurately stratifies patients with meningioma by recurrence risk having the
potential to guide the use of adjuvant RT.
PMID- 29662629
TI - Pre-diagnostic biomarkers of metabolic dysregulation and cancer mortality.
AB - INTRODUCTION: The obesogenic milieu is a pro-tumorigenic environment that
promotes tumor initiation, angiogenesis and metastasis. In this prospective
cohort, we examined the association between pre-diagnostic metabolic biomarkers,
plasma adiponectin, resistin, leptin and lipoprotein (a), and the risk of cancer
mortality. METHODS: Prospective data was obtained from the REasons for Geographic
and Racial Differences in Stroke (REGARDS) cohort of Blacks and Whites followed
from 2003 through 2012 for cancer mortality. We determined the association
between metabolism biomarkers (log-transformed and tertiles) and risk of cancer
mortality using Cox Proportional Hazards models with robust sandwich estimators
to calculate the 95% confidence intervals (CIs), and adjusted for baseline
covariates, including age, gender, income, education, physical activity, BMI,
smoking status, alcohol use, and comorbidity score. RESULTS: Among 1764
participants with available biomarker data, each SD higher log-leptin was
associated with a 54% reduced risk of total cancer mortality (HR: 0.46, 95% CI:
0.23 - 0.92) and obesity-related cancer mortality (HR: 0.55, 95% CI: 0.39-0.79).
Among Blacks only, each SD higher log-resistin was associated with a nearly 7
fold increased risk of cancer mortality (adjusted HR: 6.68, 95% CI: 2.10 -
21.21). There were no significant associations of adiponectin or Lp(a) and cancer
mortality. CONCLUSIONS: Leptin is involved in long-term regulation of energy
balance, while resistin is involved in chronic inflammation and LDL production.
These findings highlight the biological mechanisms linking metabolic
dysregulation with cancer mortality, and the influence of resistin on cancer
mortality only among Blacks suggests that this hormone may be a useful biomarker
of racial differences in cancer mortality that deserves further study. IMPACT:
Our observed increased risk of cancer mortality associated with higher serum
resistin levels among Blacks suggests that if validated in larger cohorts,
clinical strategies focused on resistin control may be a promising cancer
prevention strategy.
PMID- 29662630
TI - Type II RAF inhibitor causes superior ERK pathway suppression compared to type I
RAF inhibitor in cells expressing different BRAF mutant types recurrently found
in lung cancer.
AB - A large fraction of somatic driver BRAF mutations in lung cancer are non-V600 and
impaired-kinase. Non-V600 BRAF mutations predict sensitivity to combination of a
type I RAF inhibitor, Dabrafenib, and a MEK inhibitor, Trametinib. Singly,
Dabrafenib only weakly suppresses mutant BRAF-induced ERK signaling and can
induce ERK paradoxical activation in CRAF-overexpressing cells. The present study
compared the effects of Dabrafenib and a type II RAF inhibitor, AZ628, on ERK
activity in HEK293T cells expressing several tumor-derived BRAF mutants, and in a
non-V600 and impaired-kinase BRAF-mutant lung cancer cell line (H1666). Unlike
Dabrafenib, AZ628 did not induce paradoxical ERK activation in CRAF
overexpressing cells and BRAF-mutant cells overexpressing CRAF were more
responsive to AZ628 compared to Dabrafenib in terms of ERK inhibition. AZ628
inhibited ERK more effectively than Dabrafenib in both H1666 cells and HEK293T
cells co-expressing several different BRAF-mutants with CRAF. Similarly, AZ628
plus Trametinib had better MEK-inhibitory and pro-apoptotic effects in H1666
cells than Dabrafenib plus Trametinib. Moreover, prolonged treatment of H1666
cells with AZ628 plus Trametinib produced greater inhibition of cell growth than
Dabrafenib plus Trametinib. These results indicate that AZ628 has greater
potential than Dabrafenib, both as a single agent and combined with Trametinib,
for the treatment of non-V600 BRAF mutant lung cancer.
PMID- 29662631
TI - Overlap at the molecular and immunohistochemical levels between
angioimmunoblastic T-cell lymphoma and a subgroup of peripheral T-cell lymphomas
without specific morphological features.
AB - The overlap of morphology and immunophenotype between angioimmunoblastic T-cell
lymphoma (AITL) and other nodal peripheral T-cell lymphomas (n-PTCLs) is a matter
of current interest whose clinical relevance and pathogenic background have not
been fully established. We studied a series of 98 n-PTCL samples (comprising 57
AITL and 41 PTCL-NOS) with five TFH antibodies (CD10, BCL-6, PD-1, CXCL13, ICOS),
looked for mutations in five of the genes most frequently mutated in AITL (TET2,
DNMT3A, IDH2, RHOA and PLCG1) using the Next-Generation-Sequencing Ion Torrent
platform, and measured the correlations of these characteristics with morphology
and clinical features. The percentage of mutations in the RHOA and TET2 genes was
similar (23.5% of cases). PLCG1 was mutated in 14.3%, IDH2 in 11.2% and DNMT3A in
7.1% of cases, respectively. In the complete series, mutations in RHOA gene were
associated with the presence of mutations in IDH2, TET2 and DNMT3A (p < 0.001, p
= 0.043, and p = 0.029, respectively). Fourteen cases featured RHOA mutations
without TET2 mutations. A close relationship was found between the presence of
these mutations and a TFH-phenotype in AITL and PTCL-NOS patients. Interestingly,
BCL-6 expression was the only TFH marker differentially expressed between AITL
and PTCL-NOS cases. There were many fewer mutated cases than there were cases
with a TFH phenotype. Overall, these data suggest alternative ways by which
neoplastic T-cells overexpress these proteins. On the other hand, no clinical or
survival differences were found between any of the recognized subgroups of
patients with respect to their immunohistochemistry or mutational profile.
PMID- 29662632
TI - Regulation of breast cancer induced bone disease by cancer-specific IKKbeta.
AB - NFkappaB is implicated in breast cancer bone metastasis and skeletal remodelling.
However, the role of IKKbeta, a key component of the canonical NFkappaB pathway,
in the regulation of breast cancer osteolytic metastasis has not been
investigated. Here, we describe the cancer-specific contribution of IKKbeta to
bone metastasis, skeletal tumour growth and osteolysis associated with breast
cancer. IKKbeta is highly expressed in invasive breast tumours and its level of
expression was higher in patients with bone metastasis. IKKbeta overexpression in
parental MDA-MD-231 breast cancer cells, promoted mammary tumour growth but
failed to convey osteolytic potential to these cells in mice. In contrast,
IKKbeta overexpression in osteotropic sub-clones of MDA-MB-231 cells with
differing osteolytic phenotypes increased incidence of bone metastasis,
exacerbated osteolysis and enhanced skeletal tumour growth, whereas its knockdown
was inhibitory. Functional and mechanistic studies revealed that IKKbeta enhanced
the ability of osteotropic MDA-MB-231 cells to migrate, increase
osteoclastogenesis, and to inhibit osteoblast differentiation via a mechanism
mediated, at least in part, by cytoplasmic sequestering of FoxO3a and VEGFA
production. Thus, tumour-selective manipulation of IKKbeta and its interaction
with FoxO3a may represent a novel strategy to reduce the development of secondary
breast cancer in the skeleton.
PMID- 29662633
TI - Tracking cellular and molecular changes in a species-specific manner during
experimental tumor progression in vivo.
AB - Hepatoblastoma (HBL) is a pediatric liver cancer with defined molecular
alterations driving its progression. Here, we describe an animal model for HBL on
the chick chorioallantoic membrane (CAM), which recapitulates relevant features
of HBL in patients. Expression of classic tumor-associated proteins such as beta
catenin, EpCAM and CK19 was maintained in acini-like organized tumors on CAM, as
was synthesis of AFP, a tumor marker used for monitoring patient response. RNA
sequencing revealed an unexpected molecular evolution of HBL cells on the CAM,
with significant deregulation of more than 6,000 genes including more than half
of all HOX genes. Bioinformatic analysis distinguish between tumor cell-expressed
genes and chick genes, thereby shedding new light on the complex interactions
taking place during HBL progression. Importantly, human tumor suppressive
ribosomal genes were downregulated after implantation, whereas mitochondrial
genes encoding for anti-apoptotic peptides were strongly induced in vivo. Meprin
1alpha expression was increased during evolution of CAM tumors and confirmed by
immunohistochemistry. Cisplatin, a commonly used chemotherapeutic agent for HBL,
showed significant anti-tumoral effects. Our results broaden the understanding of
the molecular adaptation process of human cancer cells to the microenvironment
and might help to elaborate novel therapeutic concepts for the treatment of this
pediatric liver tumor.
PMID- 29662634
TI - Caloric restriction delays yeast chronological aging by remodeling carbohydrate
and lipid metabolism, altering peroxisomal and mitochondrial functionalities, and
postponing the onsets of apoptotic and liponecrotic modes of regulated cell
death.
AB - A dietary regimen of caloric restriction delays aging in evolutionarily distant
eukaryotes, including the budding yeast Saccharomyces cerevisiae. Here, we
assessed how caloric restriction influences morphological, biochemical and cell
biological properties of chronologically aging yeast advancing through different
stages of the aging process. Our findings revealed that this low-calorie diet
slows yeast chronological aging by mechanisms that coordinate the spatiotemporal
dynamics of various cellular processes before entry into a non-proliferative
state and after such entry. Caloric restriction causes a stepwise establishment
of an aging-delaying cellular pattern by tuning a network that assimilates the
following: 1) pathways of carbohydrate and lipid metabolism; 2) communications
between the endoplasmic reticulum, lipid droplets, peroxisomes, mitochondria and
the cytosol; and 3) a balance between the processes of mitochondrial fusion and
fission. Through different phases of the aging process, the caloric restriction
dependent remodeling of this intricate network 1) postpones the age-related
onsets of apoptotic and liponecrotic modes of regulated cell death; and 2)
actively increases the chance of cell survival by supporting the maintenance of
cellular proteostasis. Because caloric restriction decreases the risk of cell
death and actively increases the chance of cell survival throughout chronological
lifespan, this dietary intervention extends longevity of chronologically aging
yeast.
PMID- 29662635
TI - Establishment and characterization of two cabazitaxel-resistant prostate cancer
cell lines.
AB - Once castration-resistant prostate cancer (CRPC) become resistant for cabazitaxel
treatment, the patients are obliged to best supportive care. Therefore, the
elucidation of the mechanism of the cabazitaxel-resistance and the conquest are
important themes to improve the prognosis of the patients. Then we tried to
establish cabazitaxel-resistant CRPC cell lines and characterized them. We
established two cabazitaxel-resistant cell lines, PC-3-TxR/CxR and DU145-TxR/CxR
from PC-3-TxR and DU145-TxR cell lines previously we established. PC-3-TxR/CxR
and DU145-TxR/CxR cells became resistant for cabazitaxel by 11.8-fold and 4.4
fold, respectively. The TxR/CxR cells showed cabazitaxel-resistant using SCID
mice in vivo. Although expression of multi-drug resistance gene 1 (MDR1) was up
regulated in DU145-TxR compared with DU145 cells, it was not up-regulated in
DU145-TxR/CxR cells any more. In contrast, expression of MDR1 gene was up
regulated in PC-3-TxR compared with PC-3 cells and it was further up-regulated in
PC-3-TxR/CxR compared with PC-3-TxR cells. Comparison of cDNA microarray between
PC-3-TxR and PC-3-TxR/CxR cells or between DU145-TxR and DU145-TxR/CxR cells
revealed that many genes were up-regulated or down-regulated. Finally, knockdown
of MDR1 recovered the sensitivity to cabazitaxel not only in PC-3-TxR/CxR cells
but also DU145-TxR/CxR cells. Together, regulation of MDR1 gene is important for
conquest of the cabazitaxel-resistance.
PMID- 29662636
TI - Analysis of hematological parameters as prognostic markers for toxicity and
survival of 223Radium treatment.
AB - 223Radium (223Ra) has emerged as treatment prolonging survival in patients with
metastatic castration-resistant prostate cancer (CRPC). As 223Ra can cause
hematotoxicity (HT), pre-existing hematopoiesis might influence the efficacy of
223Ra and the rate of hematotoxicity, but as to our knowledge such data has not
been published yet, we retrospectively conducted an analysis on patients
receiving 223Ra. 54 patients treated with 223Ra had a median survival of 67
weeks, which was significantly reduced in patients with pre-existing Hb toxicity
(Tox) grade 2 (48 weeks P = 0.008) as compared to grade 1 (67 weeks) and normal
levels of Hb (not reached); survival in patients with Plt Tox grade 1 was
significantly reduced (44 weeks) as compared to normal Plt counts (71 weeks, P =
0.033). Patients with impaired hematopoiesis regarding Hb and Plts developed
significantly more grade 3 and 4 HT (Hb < 10 g/dl: 42.9% [3/7] vs 10.6% [5/47], P
< 0.001; Plt < 150 G/L: 28.6% [2/7] vs 6.4% [3/47], P = 0.002) and received
significantly fewer treatment cycles (Hb <10 g/dl: 5.1 vs 5.8, P = 0.04; Plt <
150 G/L: 3.4 vs 5.6, P < 0.001). These results imply that pre-existing impaired
hematopoiesis, in particular thrombocytopenia and anemia, before 223Ra therapy,
is an important risk factor for worse outcome of treatment with 223Ra.
PMID- 29662637
TI - The Wilms' tumor gene-1 is a prognostic factor in myelodysplastic syndrome: a
meta analysis.
AB - Previous studies have suggested that Wilms' tumor gene-1 (WT1) may be related to
a decrease in both relapse-free survival (RFS) and overall survival (OS) for
patients with myelodysplastic syndrome (MDS). Therefore, we conducted a meta
analysis on the utility of WT1 as a prognostic indicator of MDS. Published
reports were searched in the following databases: Cochrane Library, PubMed,
Embase, and Web of Science. The meta-analysis was conducted using the Cochrane
Collaboration RevMan 5.2 software. Six publications with 450 total patients met
the inclusion criteria and were subjected to further examination. The results
showed a reduction in both overall survival (OS) and leukemia-free survival (LFS)
with increasing WT1 expression levels: 1-year OS (odds ratio, OR = 0.16; 95% CI =
0.08-0.34, P < 0.001), 3-year OS (OR = 0.21; 95% CI = 0.09-0.47, P < 0.001), 5
year OS (OR = 0.24; 95% CI = 0.06-0.92, P = 0.04), 1-year LFS (OR = 0.06; 95% CI
= 0.02-0.18; P < 0.001), 3-year LFS (OR = 0.20; 95% CI = 0.09-0.46; P < 0.001),
and 5-year LFS (OR = 0.12; 95% CI = 0.04-0.38; P < 0.001). In terms of patients
receiving hematopoietic stem cell transplantation, the cumulative incidence of
relapse (CIR) was higher in the WT1 over-expression group than in the low
expression group: 1-year CIR (OR = 13.69; 95% CI = 2.99-62.62; P < 0.001), 3-year
CIR (OR = 6.52; 95% CI = 2.31-18.40, P < 0.001). In conclusion, WT1 over
expression is a prognostic factor for MDS.
PMID- 29662638
TI - An open label, single-armed, exploratory study of apatinib (a novel VEGFR-2
tyrosine kinase inhibitor) in patients with relapsed or refractory non-Hodgkin
lymphoma.
AB - Background: Apatinib, a novel small molecule vascular endothelial growth factor
receptor-2 (VEGFR-2) tyrosine kinase inhibitor, have shown remarkable efficacy in
many solid cancers. But evidence of antitumor activity in patients with lymphoma
is still limited. We conducted an open-label, single-armed, exploratory study in
relapse or refractory non-Hodgkin lymphoma patients for the efficacy and safety
of apatinib. Experimental design: Patients with relapse or refractory non-Hodgkin
patients meet the criteria were eligible for enrollment. Treatment comprised of
oral apatinib 500 mg once daily with 21 days as a treatment cycle. The primary
end point was response rate. Secondary end points included progression-free
survival (PFS) and overall survival (OS). Results: Between February 2016 and
December 2016, 21 patients were enrolled. The ORR (CR plus PR) was 47.6% (10 of
21 patients) included 9.5% CRs and 38.1% PRs. 23.8% patients achieved stable
disease made the DCR 71.4% (15/21). The median OS was 7.3 months (95% CI, 7.1 to
7.9) and the median PFS was 7.1 months (95% CI, 4.2 to 7.3). Most patients
suffered from grade 1 to grade 2 treatment-related adverse events and the most
common nonhematologic adverse events were proteinuria (47.6%), hypertension
(42.9%) and hand-foot syndrome (33.3%), respectively. Conclusions: In our study,
the results we presented showed apatinib might have a rapid, safe and high
efficacy on relapsed or refractory non-Hodgkin lymphoma patients. Based on the
data more clinic trials are expected to be taken to identification the efficacy
of apatinib on lymphoma further.
PMID- 29662639
TI - Polymorphisms in BER genes and risk of breast cancer: evidences from 69 studies
with 33760 cases and 33252 controls.
AB - Recently, numerous studies have reported an association between single nucleotide
polymorphisms in base-excision repair genes and the risk of developing breast
cancer, however there is no consensus. The aim of this meta-analysis was to
review and quantitatively assess the relationship between single nucleotide
polymorphisms in base-excision repair genes and breast cancer risk. The results
suggested that a mutation of T to G in rs1760944 may lead to a higher risk of
developing breast cancer in the Mongoloid population, and G to A of rs25487
significantly reduced the risk of breast cancer in Mongoloid and Caucasoid
populations. In contrast to the CC and CG genotypes, the GG genotype of rs1052133
located on theOGG1 gene appeared to be a protective factor against developing
breast cancer in both Mongoloid and Caucasoid populations. There was no evidence
to suggest that rs25489, rs1799782, rs1130409, rs1805414 and rs1136410 were
associated with breast cancer risk. In conclusion, this study provides evidence
to support the theory that DNA repair genes are associated with breast cancer
risk, providing information to further understand breast cancer etiology. and The
potential biological pathways linking DNA repair, ethnic background, environment
and breast cancer require further investigation.
PMID- 29662640
TI - Potential therapeutic targets of TP53 gene in the context of its classically
canonical functions and its latest non-canonical functions in human cancer.
AB - In normal tissue, p53 protein has a wide range of functions involving cell
homeostasis; its mutation, however, permits a carcinogenic acquisition of
function. TP53 gene mutation is a major genomic aberration in various human
cancers and is a critical event in the multi-step carcinogenesis process. TP53
mutation is clinically relevant for the molecular classification of
carcinogenesis, as most recently described rigorously by the Cancer Genome Atlas
Research Network. TP53 gene mutation has been considered to work as a tumor
suppressor gene through the loss of its transcriptional activity, which is
designated as a canonical function. However, in cancer patients with mutant TP53,
mutated p53 protein is frequently overexpressed, suggesting the activation of an
oncogenic process through a gain of function (GOF). As part of this GOF,
molecular mechanisms explaining the non-canonical function of TP53 gene
abnormality have been reported, in which mutant p53 unconventionally binds with
various critical molecules suppressing oncogenic properties, such as p63 and p73.
Moreover, mutant TP53 gene-targeted therapy has been rigorously developed, and
promising clinical trials have been started. In this study, we summarize the
novel aspects of mutant p53 and describe its prominent therapeutic potentials in
human cancer.
PMID- 29662641
TI - Signaling lymphocytic activation molecules Slam and cancers: friends or foes?
AB - Signaling Lymphocytic Activation Molecules (SLAM) family receptors are initially
described in immune cells. These receptors recruit both activating and inhibitory
SH2 domain containing proteins through their Immunoreceptor Tyrosine based Switch
Motifs (ITSMs). Accumulating evidence suggest that the members of this family are
intimately involved in different physiological and pathophysiological events such
as regulation of immune responses and entry pathways of certain viruses.
Recently, other functions of SLAM, principally in the pathophysiology of
neoplastic transformations have also been deciphered. These new findings may
prompt SLAM to be considered as new tumor markers, diagnostic tools or potential
therapeutic targets for controlling the tumor progression. In this review, we
summarize the major observations describing the implications and features of SLAM
in oncology and discuss the therapeutic potential attributed to these molecules.
PMID- 29662643
TI - Correction: A comparison of the clinicopathological features and prognoses of the
classical and the tall cell variant of papillary thyroid cancer: a meta-analysis.
AB - [This corrects the article DOI: 10.18632/oncotarget.14055.].
PMID- 29662642
TI - Successful retreatment with grazoprevir and elbasvir for patients infected with
hepatitis C virus genotype 1b, who discontinued prior treatment with NS5A
inhibitor-including regimens due to adverse events.
AB - Background: Sustained virologic response (SVR) by interferon and interferon-free
treatment can results in the reduction of advanced liver fibrosis and the
occurrence of hepatocellular carcinoma in patients infected with hepatitis C
virus (HCV). Recent interferon-free treatment for HCV shortens the duration of
treatment and leads to higher SVR rates, without any serious adverse events.
However, it is important to retreat patients who have had treatment-failure with
HCV non-structural protein 5A (NS5A) inhibitor-including regimens. Combination of
sofosbuvir and ledipasvir only leads to approximately 100% SVR rates in HCV
genotype (GT1b), NS5A inhibitor-naive patients in Japan. This combination is not
an indication for severe renal disease or heart disease, and these patients
should be treated or retreated with a different regimen. Case summary:
Retreatment with HCV non-structural protein 3/4A inhibitor, grazoprevir, and HCV
NS5A inhibitor, elbasvir, successfully eradicated HCV RNA in three patients with
HCV genotype 1b infection who discontinued prior interferon-free treatments
including HCV NS5A inhibitors due to adverse events within 2 weeks. Conclusion:
Retreatment with the 12-week combination regimen of grazoprevir and elbasvir is
effective for HCV GT1b patients who discontinue the HCV NS5A inhibitor-including
regimens within 2 weeks. The treatment response may be related to the short
duration of initial treatment, which did not produce treatment-emergent RASs.
PMID- 29662644
TI - Autoantibodies against islet cell antigens in children with type 1 diabetes
mellitus.
AB - We investigated the prevalence of glutamic acid decarboxylase 65 autoantibody
(GADA), insulinoma-associated protein 2 autoantibody (IA2A), and insulin
autoantibody (IAA) in 750 children with type 1 diabetes (T1D) living in Taiwan.
GADA, IA2A, and IAA were measured by radioimmunoassay. The data were assessed by
chi2 test, binary logistic regression, and Spearman rank correlation. Of the 750
T1D patients, 66.3% had GADA, 65.3% IA2A, 35.7% IAA, and 17.2% no autoantibodies.
The prevalence of GADA and IA2A significantly decreased along T1D duration. The
positivity of either GADA or IA2A was 89.4% within the first year of disease and
decreased to 36.7% after 9 years (P = 1.22 * 10-20). Female patients had
significantly higher prevalence of GADA compared with male patients (72.3% vs.
59.7%, P = 0.00027). The patients diagnosed before 12 years of age had a positive
rate of 92.2% for either GADA or IA2A. Patients diagnosed at age 12 or above had
a significantly lower positive rate of 81.6% (P = 0.011). GADA and IA2A
significantly correlated with each other (rs = 0.245, P = 1.09 * 10-11). We
concluded that autoantibodies were detectable in 89.4% of T1D patients within one
year after diagnosis. Their prevalence declined with disease duration. GADA was
more prevalent in female patients. GADA and IA2A weakly correlated with each
other.
PMID- 29662645
TI - A novel herbal formula, SGE, induces endoplasmic reticulum stress-mediated cancer
cell death and alleviates cachexia symptoms induced by colon-26 adenocarcinoma.
AB - Cachexia in cancer patients, characterized by marked involuntary weight loss and
impaired physical function, is associated with a poor prognosis in response to
conventional treatment and with an increase in cancer-related mortality.
Prevention of skeletal muscle loss under cancer-induced cachexia via inhibition
of pro-cachectic factors, as well as a reduction in tumor mass, has been
considered reasonable pharmacological and nutritional interventions to treat
cancer patients. In this study, we constructed a novel herbal formula, SGE, which
contains Ginseng Radix alba, Atractylodis Rhizoma alba, and Hoelen, examined its
anti-cancer and anti-cachexia efficacies. In in vitro experiments, SGE induced
death of CT-26 murine colon carcinoma cells via endoplasmic reticulum stress, and
suppressed the production of inflammatory cytokines in Raw 264.7 murine
macrophage-like cells. In addition, SGE treatment attenuated CT-26-induced C2C12
skeletal muscle cell atrophy as well as CT-26-induced reduction in lipid
accumulation in 3T3-L1 adipocyte. In CT-26 tumor-bearing mice, daily oral
administration of 10 and 50 mg/kg SGE remarkably attenuated the cachexia-related
symptoms, including body weight and muscle loss, compared with saline treatment,
while food intake was not affected. These data collectively suggest that SGE is
beneficial as an anti-cancer adjuvant to treat cancer patients with severe weight
loss.
PMID- 29662646
TI - VHL status regulates transforming growth factor-beta signaling pathways in renal
cell carcinoma.
AB - To evaluate the role of pVHL in the regulation of TGF-beta signaling pathways in
clear cell renal cell carcinoma (ccRCC) as well as in non-ccRCC; the expression
of pVHL, and the TGF-beta pathway components and their association with
clinicopathological parameters and patient's survival were explored. Tissue
samples from 143 ccRCC and 58 non-ccRCC patients were examined by immunoblot.
ccRCC cell lines were utilized for mechanistic in-vitro studies. Expression
levels of pVHL were significantly lower in ccRCC compared with non-ccRCC. Non
ccRCC and ccRCC pVHL-High expressed similar levels of pVHL. Expression of the TGF
beta type I receptor (ALK5) and intra-cellular domain were significantly higher
in ccRCC compared with non-ccRCC. In non-ccRCC, expressions of ALK5-FL, ALK5-ICD,
pSMAD2/3, and PAI-1 had no association with clinicopathological parameters and
survival. In ccRCC pVHL-Low, ALK5-FL, ALK5-ICD, pSMAD2/3, and PAI-1 were
significantly related with tumor stage, size, and survival. In ccRCC pVHL-High,
the expression of PAI-1 was associated with stage and survival. In-vitro studies
revealed that pVHL interacted with ALK5 to downregulate its expression through
K48-linked poly-ubiquitination and proteasomal degradation, thus negatively
controlling TGF-beta induced cancer cell invasiveness. The pVHL status controls
the ALK5 and can thereby regulate the TGF-beta pathway, aggressiveness of tumors,
and survival of the ccRCC and non-ccRCC patients.
PMID- 29662647
TI - Characterization of increasing stages of invasiveness identifies stromal/cancer
cell crosstalk in rat models of mesothelioma.
AB - Sarcomatoid mesothelioma (SM) is a devastating cancer associated with one of the
poorest outcome. Therefore, representative preclinical models reproducing
different tumor microenvironments (TME) observed in patients would open up new
prospects for the identification of markers and evaluation of innovative
therapies. Histological analyses of four original models of rat SM revealed their
increasing infiltrative and metastatic potential were associated with differences
in Ki67 index, blood-vessel density, and T-lymphocyte and macrophage
infiltration. In comparison with the noninvasive tumor M5-T2, proteomic analysis
demonstrated the three invasive tumors F4-T2, F5-T1 and M5-T1 shared in common a
very significant increase in the abundance of the multifunctional proteins
galectin-3, prohibitin and annexin A5, and a decrease in proteins involved in
cell adhesion, tumor suppression, or epithelial differentiation. The increased
metastatic potential of the F5-T1 tumor, relative to F4-T2, was associated with
an increased macrophage vs T-cell infiltrate, changes in the levels of expression
of a panel of cytokine genes, an increased content of proteins involved in
chromatin organization, ribosome structure, splicing, or presenting anti-adhesive
properties, and a decreased content of proteins involved in protection against
oxidative stress, normoxia and intracellular trafficking. The most invasive
tumor, M5-T1, was characterized by a pattern of specific phenotypic and molecular
features affecting the presentation of MHC class I-mediated antigens and immune
cell infiltration, or involved in the reorganization of the cytoskeleton and
composition of the extracellular matrix. These four preclinical models and data
represent a new resource available to the cancer research community to catalyze
further investigations on invasiveness.
PMID- 29662648
TI - Visceral abdominal fat measured by computer tomography as a prognostic factor for
gynecological malignancies?
AB - Introduction: Obesity is associated with increased incidence of ovarian (OC),
cervical (CC) and endometrium cancer (EC). However, the impact of body
composition (BC) on overall survival (OS), especially of visceral adipose tissue
(VAT) is not yet understood. Methods: In 189 women with gynecological
malignancies (31 OC, 104 CC, 54 EC, mean age 62.9y; mean BMI 26.8 kg/m2; median
follow-up 30.7months) with routine staging CT-scans at baseline (mean interval:
4.3 months), densitometric quantification of total (TAT), visceral, and
subcutaneous-fat-area (SAT), inter-muscular-fat-area (IMFA), and skeletal-muscle
index (SMI) was performed to analyze the impact of BC on survival. Results: With
a mean follow-up of 30.7 months 48 patients had died. We observed no significant
differences regarding BMI, the adipose- and muscle-distribution between surviving
and deceased women. Univariate analyses revealed no significant BC-parameter with
impact on OS, which was confirmed by different multivariate models. A subgroup
analysis of OC, CC and EC showed only a protective impact of SMI on survival in
the subgroup of CC. Conclusions: Despite the increased incidence of gynecological
malignancies in obese, we found no significant impact of BC including VAT on
patient survival. Further studies with larger cohorts are needed to quantify BC
and its metabolomic impact regarding treatment and prognosis.
PMID- 29662649
TI - 18FDG-PET/CT and molecular markers to predict response to neoadjuvant
chemotherapy and outcome in HER2-negative advanced luminal breast cancers
patients.
AB - Background: The efficacy of neoadjuvant chemotherapy regimens in advanced luminal
breast cancer patients is difficult to predict. Intrinsic properties of breast
tumors, including altered gene expression profile and dynamic evaluation of
metabolic properties of tumor cells using positron emission tomography/computed
tomography (PET/CT) of tumor cells, have been identified to guide patient's
prognosis. The aim of this study is to determine if both analyses may improve the
prediction of response to neoadjuvant chemotherapy in ER-positive / HER2-negative
breast cancers (BCs) patients. Methods: We used metabolic PET parameters, at
diagnosis and after two cycles of chemotherapy and proliferation gene expression
profile on biopsy at diagnosis, in particular, the genomic grade index (GGI)
analyzed by reverse transcription and quantitative polymerase chain reaction (RT
qPCR). The pathological response was the surrogate endpoint. Results: The change
of FDG uptake between baseline PET and interim PET after 2 cycles of neoadjuvant
chemotherapy (DeltaSUVmax) was highly associated with pCR (p=0.008). We also
observed an ability of P53 mutated status (p=0.042), in addition to histological
grade (p=0. 0004), and PR expression (p=0.01) to predict pCR in ER-positive BCs,
whereas no proliferation marker predicted pCR (P=0.39 for GGI). Finally, only
DeltaSUVmax was significantly associated with event free survival (p=0.047).
Conclusions: Our results confirm the predictive and prognostic value of tumor
DeltaSUVmax in ER-positive /HER2-negative advanced BCs patients. These findings
can be helpful to select high-risk patients within trials investigating novel
treatment strategies.
PMID- 29662650
TI - HDL and associated factors stratified by sex and menopausal status: results from
a community-based survey in Taiwan.
AB - Aim: To investigate factors, especially modifiable factors associated with high
density lipoprotein (HDL) in Taiwanese based on sex and menopausal status.
Materials and Methods: Participants comprised 2022 men and 2392 women (1267
menopausal and 1125 non-menopausal) aged >=30 years who resided in Pingzhen
district, Taoyuan from 2006-2011. Their data, obtained through questionnaires and
measurements were retrieved from the Li-Shin Hospital. Results: Higher HDL was
associated with total cholesterol, underweight, and alcohol drinking in both men
and women. It was also associated with education, blood group B, and marital
status in men as well as with age in women. Moreover, it was associated with
total cholesterol, underweight, and age in both menopausal and non-menopausal
women. Furthermore, it was associated with marital status in non-menopausal women
and alcohol drinking in menopausal women. Lower HDL was associated with
triglycerides, low-density lipoprotein (LDL), overweight, obesity, waist-hip
ratio (WHR), uric acid, and smoking in both men and women and with coffee
drinking in only women. It was also associated with uric acid, triglycerides,
LDL, overweight, obesity, WHR, and body fat in both menopausal and non-menopausal
women. Moreover, it was associated with coffee drinking in menopausal women.
Conclusion: Modifiable factors associated with HDL differ according to sex and
menopausal status. Sex and menopausal status should be considered when
implementing lifestyle changes to raise HDL. For example, both men and women
should maintain a normal weight as well as quit smoking.
PMID- 29662651
TI - p27Kip1 regulates alpha-synuclein expression.
AB - Alpha-synuclein (alpha-SYN) is the main component of anomalous protein aggregates
(Lewy bodies) that play a crucial role in several neurodegenerative diseases
(synucleinopathies) like Parkinson's disease and multiple system atrophy.
However, the mechanisms involved in its transcriptional regulation are poorly
understood. We investigated here the role of the cyclin-dependent kinase (Cdk)
inhibitor and transcriptional regulator p27Kip1 (p27) in the regulation of alpha
SYN expression. We observed that selective deletion of p27 by CRISPR/Cas9
technology in neural cells resulted in increased levels of alpha-SYN. Knock-down
of the member of the same family p21Cip1 (p21) also led to increased alpha-SYN
levels, indicating that p27 and p21 collaborate in the repression of alpha-SYN
transcription. We demonstrated that this repression is mediated by the
transcription factor E2F4 and the member of the retinoblastoma protein family
p130 and that it is dependent of Cdk activity. Chromatin immunoprecipitation
analysis revealed specific binding sites for p27, p21 and E2F4 in the proximal
alpha-SYN gene promoter. Finally, luciferase assays revealed a direct action of
p27, p21 and E2F4 in alpha-SYN gene expression. Our findings reveal for the first
time a negative regulatory mechanism of alpha-SYN expression, suggesting a
putative role for cell cycle regulators in the etiology of synucleinopathies.
PMID- 29662653
TI - Plasma thymidine kinase-1 activity predicts outcome in patients with hormone
receptor positive and HER2 negative metastatic breast cancer treated with
endocrine therapy.
AB - The aim of this study was to investigate if thymidine kinase-1 (TK1), a well
known proliferation marker, could represent a valid circulating biomarker to
identify hormone receptor positive (HR+)/HER2 negative (HER2neg) metastatic
breast cancer (MBC) patients most likely to benefit from endocrine therapy (ET).
We used the DiviTumTM assay to analyze TK1 activity in cell lysates of three
HR+/HER2neg BC cell lines and in plasma of 31 HR+/HER2neg MBC patients receiving
ET. Blood samples were collected at treatment initiation, after one month and at
disease progression. CTCs count and ESR1/PIK3CA mutations in circulating tumor
DNA were performed and correlated with TK1 activity. TK1 activity was reduced in
the two endocrine-sensitive cell lines after 2 days of treatment. In patients,
high baseline TK1 activity correlated with CTCs positivity (p-value=0.014).
Patients with low baseline levels of TK1 activity had a significantly better PFS
compared to those with high baseline TK1 activity (p-value=0.012). Patients with
an early drop of TK1 activity after one month of treatment had a significantly
better PFS compared to those who experienced an increase (p-value=0.0026). Our
study suggests that TK1 could be a potential prognostic, predictive and
monitoring marker of early ET response in HR+/HER2neg MBC patients.
PMID- 29662652
TI - Guided bone regeneration using a bone tissue engineering complex consisting of a
poly-dl-lactide membrane and bone mesenchymal stem cells.
AB - Developmental dysplasia of the hip (DDH) is one of the most common diseases
encountered in pediatric orthopedic departments. Current treatment strategies
seek to improve acetabular coverage, the principal defect of acetabular
dysplasia, but are not very successful. We developed a guided bone regeneration
(GBR) strategy to improve acetabular coverage via bone tissue engineering (BTE).
Poly-dl-lactide (PDLLA) membranes were seeded with bone marrow mesenchymal stem
cells (BMSCs) to form a BTE complex, which was then implanted into the superior
margin of the acetabulum in a rabbit DDH model. Twelve weeks later, a small
amount of high-density shadowing was evident on X-rays of the superior margin of
the acetabulum, specimens of which exhibited new bone formation. Micro-computed
tomography yielding three-dimensional images revealed that new bone had formed in
the superior acetabulum, the basal part of which had fused with (and thus
reconstructed) the autogenous bone, and new trabecular bone featuring transverse
interlacing was evident in the interior of the hip. No clear evidence of bone
formation was observed in rabbits that underwent sham operations or that were
implanted with PDLLA only. Thus, it may be possible to improve acetabular
coverage via BTE-based bone regeneration.
PMID- 29662654
TI - Extracellular vesicle-encapsulated miR-30e suppresses cholangiocarcinoma cell
invasion and migration via inhibiting epithelial-mesenchymal transition.
AB - Early-staged cholangiocarcinoma (CCA) is difficult to diagnose due to its high
potential for invasion and metastasis. Epithelial-mesenchymal transition (EMT) is
induced by transforming growth factor-beta (TGF-beta) in a process thought to be
important for invasion and metastasis in several cancers, including CCA. Although
microRNAs (miRNAs) have been implicated in the pathogenesis of several
malignancies, their roles to CCA are not clearly understood. Some miRNAs were
reported to be included in extracellular vesicles (EVs) and transferred from
their donor cells to other cells, modulating recipient cell behaviors. In this
study, the involvement and functional roles of EV-contained miRNAs during EMT in
human CCA were determined. Expression profiling identified a subset of miRNAs
that were reduced by TGF-beta in CCA cells. Among these, miR-30e was highly
downregulated by TGF-beta and predicted to target Snail, which is an EMT
inducible transcription factor. MiR-30e overexpression suppressed cell invasion
and migration via inhibiting EMT, whereas miR-30e inhibition promoted EMT, cell
invasion and migration. Moreover, miR-30e was enriched in EVs derived from CCA
cells after miR-30e overexpression, and miR-30e intercellular transfer through
EVs suppressed EMT, cell invasion and migration in recipient CCA cells. Together,
our results suggest that EV-mediated miR-30e transfer could inhibit EMT via
directly targeting Snail, which subsequently suppresses CCA cell invasion and
migration. These findings provide several new insights into regulatory mechanisms
of tumor invasion and metastasis in human CCA.
PMID- 29662655
TI - Association of IL4, IL6, and IL10 polymorphisms with pulmonary tuberculosis in a
Tibetan Chinese population.
AB - Background: Pulmonary tuberculosis (PTB) is an infectious disease with a high
incidence worldwide. Genes encoding cytokines IL4, IL6, and IL10 are highly
polymorphic and can influence the susceptibility to PTB. Results: We found
correlations between one SNP in IL6 (rs2069837 p = 6.63E-11), seven SNPs in IL10
(rs1554286 p = 6.87E-20, rs1518111 p = 6.11E-11, rs3021094 p = 6.75E-29,
rs3790622 p = 2.40E-06, rs3024490 p = 6.73E-11, rs1800872 p = 6.18E-11, rs1800871
p = 6.73E-11) and incidences of PTB. The SNPs rs2069837, rs1554286, rs1518111,
rs3024490, rs1800872, and rs1800871 increased PTB risk by 1.95-fold, 2.34-fold,
1.84-fold, 1.84-fold, 1.84-fold and 1.84-fold, respectively. The SNPs rs3021094
and rs3790622 decreased PTB risk by 0.33-fold and 0.38-fold, respectively. We
also found two linkage disequilibrium blocks in the studied IL SNPs. The IL4
haplotype TCCCGGA (OR = 1.33, p = 0.014) increased PTB risk, the IL10 haplotypes
ATGGATA (OR = 0.39, p = 4.84E-06) provided a protective effect and decreased PTB
risk. Materials and Methods: For this study, we recruited 467 subjects with PTB
and 503 healthy subjects from a Tibetan population living in Lhasa and nearby,
China. Association analyses of sixteen single-nucleotide polymorphisms (SNPs) in
IL4, IL6, and IL10 were performed. Conclusions: Our findings demonstrate an
association between polymorphisms in IL6 and IL10 and risk of PTB.
PMID- 29662656
TI - Stereotactic body radiation therapy for palliative management of pancreatic
adenocarcinoma in elderly and medically inoperable patients.
AB - Stereotactic body radiation therapy (SBRT) represents a promising treatment
option for patients with localized pancreatic ductal adenocarcinoma (PDAC) who
cannot tolerate surgical therapy. We retrospectively reviewed the records of
patients with localized PDAC treated with SBRT at our institution between 2010
and 2016 to identify patients deemed medically inoperable due to poor performance
status, advanced age, and/or comorbid conditions. Overall survival (OS),
progression-free survival (PFS), and local progression-free survival (LPFS) were
estimated using Kaplan-Meier curves. Twenty-nine patients were included. Median
age was 74 (IQR 68-79). Thirteen patients (45%) had an Eastern Cooperative
Oncology Group performance status of 2. Six patients (19%) had chronic
obstructive pulmonary disease, 9 (31%) had cardiovascular disease, and 17 (58%)
had diabetes mellitus. SBRT was delivered over 5 fractions to a median dose of 28
Gy (IQR, 25-33). Twenty-two patients (76%) received induction chemotherapy prior
to SBRT, and 9 (31%) received maintenance chemotherapy after SBRT. Median OS was
13 months from diagnosis. Median OS and PFS were 8 and 6 months from SBRT,
respectively. Six and 12-month LPFS rates were 91% and 78%, respectively.
Patients receiving induction chemotherapy had superior survival from diagnosis
than those who did not (14 vs. 7 months, p = 0.01). Three patients (10%)
experienced acute grade >=3 toxicity, and 1 patient (4%) experienced grade >=3
late toxicity. Symptom relief was achieved at three-month follow-up in 8 of 11
patients (73%) experiencing abdominal pain. These results suggest SBRT may be
safe and effective for patients who cannot tolerate surgery.
PMID- 29662657
TI - 5'-nucleotidase cN-II emerges as a new predictive biomarker of response to
gemcitabine/platinum combination chemotherapy in non-small cell lung cancer.
AB - A number of pharmacogenetic studies have been carried out in non-small-cell lung
cancer (NSCLC) to identify and characterize genes involved in chemotherapy
activity. However, the results obtained so far are controversial and no reliable
biomarker is currently used to predict clinical benefit from platinum-based
chemotherapy, which represents the cornerstone of treatment of advanced NSCLC.
This study investigated the expression levels of ERCC1 and of six genes (RRM1,
RRM2, hENT1, dCK, cN-II and CDA) involved in gemcitabine metabolism in
locally/advanced NSCLC patients treated with gemcitabine/platinum combination.
Gene expression was assessed by quantitative-PCR in laser-microdissected
specimens and correlated with tumor response. Frequency distribution of responses
above and below the median expression level of biomarkers was compared using a
two-sided Fisher's test. 5'-nucleotidase (cN-II) was the only gene differently
expressed (p = 0.016) in the responders (complete/partial-response) compared to
non-responders (stable/progressive disease). In the multivariate analysis,
overexpression of this catabolic enzyme of gemcitabine remained a significant
negative predictive factor. Patients with low cN-II had a modest trend toward
increased survival, while both survival and progression-free survival were
significantly longer in a more homogenous validation cohort of 40 advanced NSCLC
(8.0 vs. 5.1 months, p = 0.026). Moreover, in vitro studies showed that silencing
or pharmacological inhibition of cN-II increased the cytotoxicity of gemcitabine.
This is the first study demonstrating the role of cN-II as a predictor of
response to gemcitabine/platinum combinations in NSCLC. Its validation in
prospective studies may improve clinical outcome of selected patients.
PMID- 29662658
TI - Cross resistance to diverse anticancer nicotinamide phosphoribosyltransferase
inhibitors induced by FK866 treatment.
AB - Cross-resistance to drugs remains an unsolved problem in cancer chemotherapy.
This study elucidates a molecular mechanism of cross-resistance to diverse
inhibitors of nicotinamide phosphoribosyltransferase (NAMPT) with anticancer
activity. We generated a variant of the human colon cancer cell line HCT116,
HCT116RFK866, which exhibited primary resistance to the potent NAMPT inhibitor
FK866, and was approximately 1,000-fold less sensitive to the drug than the
parental HCT116. HCT116RFK866 was found to be cross-resistant to diverse NAMPT
inhibitors, including CHS-828, GNE-617, and STF-118804. Whole-exon sequencing
revealed two point mutations (H191R and K342R) in NAMPT in HCT116RFK866, only one
of which (K342R) was present in the parental HCT116. Importantly, the protein
level, NAMPT enzyme activity, and intracellular NAD+ level were similar between
HCT116RFK866 and HCT116. Hence, we investigated NAMPT-binding partners in both
cell lines by focused proteomic analyses. The amount of NAMPT precipitated with
anti-NAMPT monoclonal antibody was much higher in HCT116RFK866 than in the
parental. Furthermore, in HCT116, but not in HCT116RFK866, NAMPT was revealed to
interact with POTE ankyrin domain family member E and beta-actin. Thus, these
results suggest that NAMPT usually interacts with the two partner proteins, and
the H191R mutation may prevent the interactions, resulting in resistance to
diverse NAMPT inhibitors.
PMID- 29662659
TI - IDH1 mutation is associated with lower expression of VEGF but not microvessel
formation in glioblastoma multiforme.
AB - Introduction: Glioblastoma multiforme (GBM) represents the most malignant primary
brain tumor characterized by pathological vascularization. Mutations in
isocitrate dehydrogenases 1 and 2 (IDH1 and IDH2) were observed in GBM. We aimed
to assess the intra-tumor hypoxia, angiogenesis and microvessel formation in GBM
and to find their associations with IDH1 mutation status and patients prognosis.
Methods: 52 patients with a diagnosis of GBM were included into the study. IDH1
R132H mutation was assessed by RT-PCR from FFPE tumor samples obtained during
surgery. The expression of markers of hypoxia (HIF2alpha), angiogenesis (VEGF),
tumor microvascularity (CD31, CD34, vWF, CD105), and proliferation (Ki-67) were
assessed immunohistochemically (IHC). IDH1 mutation and IHC markers were
correlated with the patient survival. Results: 20 from 52 GBM tumor samples
comprised IDH1 R132H mutation (38.5%). The majority of mutated tumors were
classified as secondary glioblastomas (89.9%). Patients with IDH1 mutated tumors
experienced better progression-free survival (P = 0.037) as well as overall
survival (P = 0.035) compared with wild type tumors. The significantly lower
expression of VEGF was observed in GBM with IDH1 mutation than in wild type
tumors (P = 0.01). No such association was found for microvascular markers. The
increased expression of newly-formed microvessels (ratio CD105/CD31) in tumor
samples was associated with worse patient's progression-free survival (P =
0.026). Summary: No increase in HIF/VEGF-mediated angiogenesis was observed in
IDH1-mutated GBM compared with IDH1 wild type tumors. The histological assessment
of the portion of newly-formed microvessels in tumor tissue can be used for the
prediction of GBM patient's prognosis.
PMID- 29662660
TI - Clinical outcomes of women with ovarian metastases of colorectal cancer treated
with oophorectomy with respect to their somatic mutation profiles.
AB - We clarified the clinical prevalence of ovarian metastases from colorectal
cancers (CRCs) in 296 female patients with CRC and evaluated clinical outcomes
with relation to their mutational profiles, such as BRAF/KRAS mutation and
microsatellite instability (MSI) status. The female CRCs were categorised into
three subsets: CRCs with ovarian metastases [6.4% (n = 19), 5-year overall
survival (OS) = 24.7%], CRCs with extra-ovarian metastases only [32.4% (n = 96),
5-year OS = 34.5%] and CRCs without any recurrence or metastasis [61.2% (n =
181), 5-year OS = 91.3%]. All patients with ovarian metastases underwent
oophorectomy; of these, 9 who received preoperative chemotherapy had measurable
metastases to extra-ovarian sites and the ovaries. Although 5 of 9 (56%) achieved
partial response or complete response at extra-ovarian sites, no patient archived
objective response at ovarian sites. Regarding the mutation profiles, in CRCs
with extra-ovarian metastases only, the median survival time (MST) after initial
treatments to progression to stage IV or recurrence was 13 [95% confidence
interval (CI): 7-16 months] in BRAF-mutant and 34 months (95% CI: 22-58 months)
in BRAF wild-type (P = 0.0033). Although ovarian metastases demonstrated poor
response to systemic chemotherapy in CRCs with ovarian metastases, the MST after
initial treatments to progression to stage IV or recurrence was 22 (95% CI: 21-25
months) in BRAF-mutant and 38 months (95% CI: 24-42 months) in BRAF wild-type (P
= 0.0398). The outcomes of patients with ovarian metastases could be improved by
oophorectomy regardless of their mutation profiles.
PMID- 29662661
TI - Targeting oncogenic Ras by the Clostridium perfringens toxin TpeL.
AB - Clostridium perfringens toxin TpeL belongs to the family of large clostridial
glycosylating toxins. The toxin causes N-acetylglucosaminylation of Ras proteins
at threonine35 thereby inactivating the small GTPases. Here, we show that all
main types of oncogenic Ras proteins (H-Ras, K-Ras and N-Ras) are modified by the
toxin in vitro and in vivo. Toxin-catalyzed modification of Ras was accompanied
by inhibition of the MAP kinase pathway. Importantly, TpeL inhibited the
paradoxical activation of the MAP kinase pathway induced by the BRAF inhibitor
Vemurafenib in the human melanoma cell line SBCL2. The toxin also blocked Ras
signaling in a zebrafish embryo model expressing oncogenic H-RasG12V, resulting
in a reduction of melanocyte number. By using the binding and translocation
component of anthrax toxin (protective antigen), the glucosyltransferase domain
of TpeL was effectively introduced into target cells that were not sensitive to
native TpeL toxin. To reach a higher specificity towards cancer cells, a chimeric
TpeL toxin was engineered that possessed the knob region of adenovirus serotype
35 fiber, which interacts with CD46 of target cells frequently overexpressed in
cancer cells. The chimeric TpeL fusion toxin efficiently inhibited Ras and MAP
kinases in human pancreatic cancer Capan-2 cells, which were insensitive to the
wild-type toxin. The data reveal that TpeL and TpeL-related immunotoxins provide
a new toolset as Ras-inactivating agents.
PMID- 29662662
TI - Health effects of a forest environment on natural killer cells in humans: an
observational pilot study.
AB - Health effect assessments based on natural killer (NK) cells are an important
emerging area of human health. We recruited 90 forest staff members in Xitou,
Taiwan and 110 urban staff members in Taipei to investigate the health effects of
forest environment exposure on NK cells (CD3-/CD56+) and activating NK cells (CD3
/CD56+/CD69+) in humans. We also invited 11 middle-aged volunteers in a pilot
study to participate in a five-day/four-night forest trip to Xitou forest to
investigate the health effects of a forest trip on NK cells and activating NK
cells. Results showed that NK cells were higher in the forest group (19.5 +/-
9.1%) than in the urban group (16.4 +/- 8.4%). In particular, the percentage of
NK cells was significantly higher in the forest group than in the urban group
among the subgroups of male, a higher body mass index (>= 25 kg/m2), without
hypertension, lower high-sensitivity C-reactive protein, hyperglycemia, without
smoking habit, and with tea drinking habit. After the five-day trip in Xitou
forest, the percentage of activating NK cells of the invited participants from
Taipei increased significantly after the trip to Xitou forest (0.83 +/- 0.39% vs.
1.72 +/- 0.1%). The percentage of activating NK cells was 1.13 +/- 0.43%, which
was higher than the baseline value of 0.77 +/- 0.38% before the forest trip among
the seven subjects who participated in the follow-up study four days after
returning to Taipei. This study suggests that exposure to forest environments
might enhance the immune response of NK cells and activating NK cells in humans.
PMID- 29662663
TI - Impact of antibiotic treatment on immune-checkpoint blockade efficacy in advanced
non-squamous non-small cell lung cancer.
AB - Introduction: Despite durable responses from immune-checkpoint blockade (ICB) in
a subset of patients with advanced non-small cell lung cancer (NSCLC), the
majority of patients do not derive benefit from this treatment. In this analysis
we evaluated the impact of concomitant administration of antibiotics during
initiation of ICB on clinical outcome. Methods: Advanced non-squamous NSCLC
patients receiving ICB as second- or later line between 2015 and 2017 at our
tertiary cancer center in Salzburg (Austria) were included. Concomitant use of
antibiotics was defined as administration of antibiotics within a time frame of
one month before or one month after initiation of ICB (AB+-group). Results: Of
the 30 patients included, 11 (36.7%) received antibiotics one month before or one
month after start of ICB (AB+-group). Median PFS on ICB was in favor of the AB-
group (AB-: 3.1 months [95%CI: 3.0-16.3]; AB+: 2.9 months, [95%CI: 1.9-NA];
HR=0.46 [95%CI: 0.12-0.90], p=0.031). Furthermore, median OS was significantly
longer in the AB--group (AB-: 15.1 months [95%CI: 11.1-NA]; AB+: 7.5 months
[95%CI: 6.3-NA]; HR=0.31 [95%CI: 0.02-0.78], p=0.026). In a multivariate
analysis, the antibiotic treatment status was identified as the only parameter
statistically significantly associated with PFS (p=0.028) and OS (p=0.026).
Conclusions: Stratification of patients according to the antibiotic treatment
status is warranted in future trials investigating ICB.
PMID- 29662664
TI - Regulation of the antiapoptotic protein cFLIP by the glucocorticoid Dexamethasone
in ALL cells.
AB - We recently reported that the Smac mimetic BV6 and glucocorticoids, e.g.
Dexamethasone (Dexa), synergize to induce cell death in acute lymphoblastic
leukemia (ALL) in vitro and in vivo. Here, we discover that this synergism
involves Dexa-stimulated downregulation of cellular FLICE-like inhibitory protein
(cFLIP) in ALL cells. Dexa rapidly decreases cFLIPL protein levels, which is
further enhanced by addition of BV6. While attenuating the activation of non
canonical nuclear factor-kappaB (NF-kappaB) signaling by BV6, Dexa suppresses
cFLIPL protein but not mRNA levels pointing to a transcription-independent
downregulation of cFLIPL by Dexa. Analysis of protein degradation pathways
indicates that Dexa causes cFLIPL depletion independently of proteasomal,
lysosomal or caspase pathways, as inhibitors of the proteasome, lysosomal enzymes
or caspases all failed to protect from Dexa-mediated loss of cFLIPL protein.
Also, Dexa alone or in combination with BV6 does not affect overall activity of
the proteasome. Importantly, overexpression of cFLIPL to an extent that is no
longer subject to Dexa-imposed downregulation rescues Dexa/BV6-mediated cell
death. Vice versa, knockdown of cFLIP increases BV6-mediated cell death, thus
mimicking the effect of Dexa. Altogether, these data demonstrate that Dexa
mediated downregulation of cFLIPL protein promotes Dexa/BV6-mediated cell death,
thereby providing novel insights into the synergistic antitumor activity of this
combination treatment.
PMID- 29662665
TI - Molecular mechanism of action and potential biomarkers of growth inhibition of
synergistic combination of afatinib and dasatinib against gefitinib-resistant non
small cell lung cancer cells.
AB - Epidermal growth factor receptor - tyrosine kinase inhibitor (EGFR-TKI) is the
first choice of treatment for advanced non-small cell lung cancer (NSCLC)
patients harbouring activating EGFR mutations. However, single agent usually has
limited efficacy due to heterogeneous resistant mechanisms of cancer cells. Thus
drug combination therapy would offer more benefits by synergistic interactions
and avoidance of resistance emergence. In this study, we selected 8 NSCLC cell
lines with different genetic characteristics as research models to investigate
the efficacy of 4 agents (gefitinib, cetuximab, afatinib and dasatinib) and their
combinations. As a single agent, both afatinib and dasatinib showed more
inhibition against cell proliferation than gefitinib and cetuximab. Afatinib
combined with dasatinib demonstrated significantly high efficacy against 7
gefitinib-resistant NSCLC cell lines. Moreover, it reversed the resistance to the
4 studied single agents in PTEN mutated NSCLC cells. By studying the activity of
EGFR, Src and their downstream signalling pathways including PI3K/PTEN/Akt,
Ras/Raf/MEK/ERK, Src/FAK and JAK/Stat, we demonstrated the synergistic
interaction between afatinib and dasatinib was not only due to their blockage of
different signalling pathways but also the complemental inhibition of the related
signalling molecules such as Stat3. We also found that the level of Src, Stat3,
and MAPK may be useful biomarkers predicating synergism between afatinib and
dasatinib for the treatment of gefitinib-resistant NSCLC cells.
PMID- 29662666
TI - CD30 expression and survival in extranodal NK/T-cell lymphoma: a systematic
review and meta-analysis.
AB - Background: The paradoxical reports about the prognostic value of the CD30
expression in extranodal NK/T-cell lymphoma (ENKTL) have restricted its further
applications in clinical practice. To identify the common effects and the
variation, we conducted this systematic review and meta-analysis. Methods:
PubMed, MEDLINE, Embase, and Web of Science were searched between January 1975
and 31 January 2017. The pooled hazard ratio was used to estimate the effect of
the CD30 expression on overall survival. Bias was assessed by prespecified
criteria referring to Reporting Recommendations for Tumor Marker Prognostic
Studies and Newcastle-Ottawa Scale. Results: Ten retrospective cohort studies
with 310 patients are included. CD30 is associated with better overall survival
significantly (HR 0.71, 95% CI 0.51 to 0.99, I2 = 0%). A greater effect is
observed among studies including participants predominant in regional involvement
(HR 0.31, 95%CI 0.13 to 0.76, I2 = 0%) compared with those in systemic
involvement. Conclusions: This study indicates that the CD30 expression is
significantly associated with better prognosis in ENKTL, especially for patients
with regional lymphoma involvement.
PMID- 29662669
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1177/2041669515613661.].
PMID- 29662667
TI - Genetically enhanced T lymphocytes and the intensive care unit.
AB - Chimeric antigen receptor-modified T cells (CAR-T cells) and donor lymphocyte
infusion (DLI) are important protocols in lymphocyte engineering. CAR-T cells
have emerged as a new modality for cancer immunotherapy due to their potential
efficacy against hematological malignancies. These genetically modified receptors
contain an antigen-binding moiety, a hinge region, a transmembrane domain, and an
intracellular costimulatory domain resulting in lymphocyte T cell activation
subsequent to antigen binding. In present-day medicine, four generations of CAR-T
cells are described depending on the intracellular signaling domain number of T
cell receptors. DLI represents a form of adoptive therapy used after
hematopoietic stem cell transplant for its anti-tumor and anti-infectious
properties. This article covers the current status of CAR-T cells and DLI
research in the intensive care unit (ICU) patient, including the efficacy,
toxicity, side effects and treatment.
PMID- 29662668
TI - Proteomic biomarkers in body fluids associated with pancreatic cancer.
AB - Pancreatic cancer (PC) is a highly malignant disease that represents the fourth
leading cancer-related death worldwide. There has been very little improvement in
survival rates over recent years, and surgical resection remains the only
reliable curative approach. Factors that contribute to this dismal prognosis for
PC include its rapid progression and invasion, the absence of specific symptoms,
and the little impact of available chemotherapy. Importantly, the management of
this malignancy is also limited by the lack of highly specific and sensitive
biomarkers for its diagnosis and follow-up, and their identification is therefore
considered a promising strategy to improve outcomes in these patients. Numerous
translational studies have explored the usefulness of body fluids as a non
invasive source of PC-specific biomarkers, and innovations in proteomic methods
and technologies have provided a myriad of protein biomarkers for different
cancers. The adoption of a proteomic approach has improved understanding of the
biology of PC and contributed to the potential identification of protein
biomarkers for this disease. This review considers the most recent research
efforts to develop novel proteomic biomarkers in body fluids for PC.
PMID- 29662670
TI - Extended bioreactor conditioning of mononuclear cell-seeded heart valve
scaffolds.
AB - The tissue-engineered heart valve may be the ideal valve replacement option but
still must overcome challenges in leaflet recellularization. This study sought to
investigate the potential for leaflet matrix restoration and repopulation
following mononuclear cell seeding and extended periods of bioreactor
conditioning. Human aortic heart valves were seeded with mononuclear cells and
conditioned in a pulsatile bioreactor for 3 days, 3 weeks, or 6 weeks. The
results of this study determined that a mononuclear cell population can be
readily localized within the leaflet tissue in as little as 3 days. Furthermore,
as extended bioreactor condition continued to the 3- and 6-week time points, the
mesenchymal stem cell subfraction proliferated and appeared to become the
predominant cell phenotype. This was evident through positive expression of
mesenchymal stem cell markers and no expression of mononuclear cell markers
observed by immunohistochemistry in the 3- and 6-week groups. In addition, cells
in the 3- and 6-week groups exhibited an up-regulation of mesenchymal stem cell
associated genes (THY1, NT5E, and ITGB1) and a down-regulation of mononuclear
cell-associated genes (CD14, ICAM1, and PECAM1) compared to the initial seeded
cell population. However, repopulation of the leaflet interstitium was less
extensive than anticipated. Valves in the 6-week time point also exhibited
retracted leaflets. Thus, while the 3-week bioreactor-conditioning period used in
this study may hold some promise, a bioreactor-conditioning period of 6 weeks is
not a viable option for clinical translation due to the negative impact on valve
performance.
PMID- 29662672
TI - Results of a local combination therapy antibiogram for Pseudomonas aeruginosa
isolates: is double worth the trouble?
AB - Purpose: To determine the frequency at which fluoroquinolones and aminoglycosides
demonstrate in vitro activity against non-urinary, non-skin/skin structure
Pseudomonas aeruginosa isolates exhibiting decreased susceptibilities to one or
more beta-lactam agents. Methods: beta-lactam-non-susceptible P. aeruginosa
isolates recovered from blood, bone, lower respiratory tract, pleural fluid,
cerebrospinal fluid, or peritoneal fluid cultures between October 2010 and
October 2014 were reviewed from four community hospitals within a single health
system. Only the first isolate per patient was included for analysis. The
likelihood that each isolate was susceptible to a non-beta-lactam antimicrobial
was then determined and summarized within a combination antibiogram. Results: In
total, 179 P. aeruginosa isolates with decreased susceptibilities to one or more
beta-lactam agents were assessed. Because no appreciable differences in
antimicrobial susceptibility profile were observed between hospitals, the
isolates were evaluated in aggregate. Susceptibility rates for beta-lactam
monotherapy ranged from 34% to 75%. Aminoglycosides possessed increased
antibacterial activity compared to fluoroquinolones. Tobramycin was the non-beta
lactam most likely to expand antimicrobial coverage against beta-lactam-non
susceptible P. aeruginosa with activity against 64%, 66%, and 65% of cefepime-,
piperacillin-tazobactam-, and meropenem-non-susceptible isolates, respectively (p
< 0.001 for all). Conclusions: The results of this study support the use of
aminoglycosides over fluoroquinolones for achieving optimal, empiric
antimicrobial combination therapy for P. aeruginosa when dual antimicrobial
therapy is clinically necessary. Future efforts aimed at optimizing combination
therapy for P. aeruginosa should focus on systemic interventions that limit the
selection of fluoroquinolones in combination with beta-lactams to expand coverage
based on local susceptibility rates.
PMID- 29662674
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1177/2049936117690501.].
PMID- 29662675
TI - Anterior versus posterior approach to treat cervical spondylotic myelopathy,
clinical and radiological results with long period of follow-up.
AB - Background: Cervical spondylotic myelopathy increases with age, but not all cases
are symptomatic. It is usually diagnosed clinically and radiologically (X-ray and
magnetic resonance imaging). Surgical treatment is indicated in severe
symptomatic cases, while treatment controversy exists in the presence of less
severe cases. Anterior and posterior approaches are generally used for
decompression with no significant differences in the results of both. Methods: A
total of 287 patients of cervical spondylotic myelopathy were treated at our
hospital between January 2004 and December 2015. Only 140 patients were eligible
for our study. They had at least 5 years of follow-up using full clinical scores
and radiological evaluation. They were divided into two groups: group I with 73
patients (aged 23-79 years) underwent posterior decompression, lateral mass
instrumentation, and fusion, while group II with 67 patients (aged 33-70 years)
underwent anterior decompression, instrumentation, and fusion. Neck Disability
Index, local score, and X-ray were used in the evaluation of the patients.
Results: Preoperative mean +/- standard deviation of Neck Disability Index of
both the groups was 32.06 +/- 6.33 and 29.88 +/- 5.48, which improved in the last
visit (>5 years) to 5.81 +/- 7.39 and 2.94 +/- 5.48 for groups I and II,
respectively (p value <0.05). The local score of groups I and II was (P = 1, F =
21, G = 31, E = 19) and (P = 1, F = 12, G = 36, E = 18), which on discharge day
improved to (P = 1, F = 4, G = 12, E = 55) and (P = 0, F = 3, G = 6, E = 58) at
last follow-up, respectively. Fusion rate was nearly equal for both the groups
during all the follow-up intervals and it was 91.1% and 91.7% in the last follow
up. Conclusion: There were no significant differences in the clinical and
radiological results between the anterior and posterior approaches used in the
surgical treatment of spondylotic cervical myelopathy. However, statistically
significant results of Neck Disability Index of anterior approach were not
clinically important and may be due to changes in the size and shape of the neck
in group II.
PMID- 29662673
TI - Epidemiology and management of healthcare-associated bloodstream infections in
non-neutropenic immunosuppressed patients: a review of the literature.
AB - Advancements in medicine have led to a considerable increase in the proportion of
patients living with severe chronic diseases, malignancies, and HIV infections.
Most of these conditions are associated with acquired immune-deficient states and
treatment-related immunosuppression. Although infections as a result of
neutropenia have long been recognized and strategies for management were
developed, non-neutropenic immunosuppression has been overlooked. Recently,
community-acquired infections in patients with frequent, significant exposure to
healthcare settings and procedures have been classified as 'healthcare-associated
infections' since they are more similar to hospital-acquired infections. Most of
the non-neutropenic immunosuppressed patients have frequent contact with the
healthcare system due to their chronic and severe diseases. In this review, we
focus on the healthcare-associated bloodstream infections in the most common non
neutropenic immunosuppressive states and provide an update of the recent evidence
for the management of these infections.
PMID- 29662676
TI - Toxoplasma gondii exposure and epilepsy: A matched case-control study in a public
hospital in northern Mexico.
AB - Objectives: This study aimed to determine the association between infection with
Toxoplasma gondii and epilepsy in patients attended to in a public hospital in
the northern Mexican city of Durango. Methods: We performed an age- and gender
matched case-control study of 99 patients suffering from epilepsy and 99 without
epilepsy. Sera of participants were analyzed for anti-T. gondii IgG and IgM
antibodies using commercially available enzyme-linked immunoassays. Seropositive
samples to T. gondii were further analyzed for detection of T. gondii DNA by
polymerase chain reaction. Results: Anti-T. gondii IgG antibodies were found in
10 (10.1%) of the 99 cases and in 6 (6.1%) of the 99 controls (odds ratio = 1.74;
95% confidence interval: 0.60-4.99; p = 0.43). High (> 150 IU/mL) levels of anti
T. gondii IgG antibodies were found in 6 of the 99 cases and in 4 of the 99
controls (odds ratio = 1.53; 95% confidence interval: 0.41-5.60; p = 0.74). Anti
T. gondii IgM antibodies were found in 2 of the 10 IgG seropositive cases, and in
2 of the 6 IgG seropositive controls (odds ratio = 0.50; 95% confidence interval:
0.05-4.97; p = 0.60). T. gondii DNA was not found in any of the 10 anti-T. gondii
IgG positive patients. Bivariate analysis of IgG seropositivity to T. gondii and
International Statistical Classification of Diseases and related Health Problems,
10th Edition codes of epilepsy showed an association between seropositivity and
G40.1 code (odds ratio = 22.0; 95% confidence interval: 2.59-186.5; p = 0.008).
Logistic regression analysis showed an association between T. gondii infection
and consumption of goat meat (odds ratio = 6.5; 95% confidence interval: 1.22
34.64; p = 0.02), unwashed raw vegetables (odds ratio = 26.3; 95% confidence
interval: 2.61-265.23; p = 0.006), and tobacco use (odds ratio = 6.2; 95%
confidence interval: 1.06-36.66; p = 0.04). Conclusions: Results suggest that T.
gondii infection does not increase the risk of epilepsy in our setting; however,
infection might be linked to specific types of epilepsy. Factors associated with
T. gondii infection found in this study may aid in the design of preventive
measures against toxoplasmosis.
PMID- 29662677
TI - Auto-antibodies against P/Q- and N-type voltage-dependent calcium channels
mimicking frontotemporal dementia.
AB - The behavioral variant of frontotemporal dementia is usually a sporadic and
progressive neurodegenerative disorder. Here, we report the subacute onset of a
frontotemporal dementia phenotype with a treatable etiology. The patient has a
history of rheumatoid arthritis, episcleritis, and thyroid eye disease on
immunosuppressive therapy. He experienced a rapid personality change, including
inappropriate behavior, which suggested frontotemporal dementia. Results of
imaging and neuropsychological testing also suggested frontotemporal dementia.
Because of his autoimmune diseases and unusually short onset of symptoms, serum
paraneoplastic panel and cerebrospinal fluid were analyzed and revealed elevated
P/Q- and N-type calcium channel antibodies. Treatment with therapeutic plasma
exchange resulted in a rapid improvement of his behavior and cognition. This case
suggests that there may be some treatable causes of frontotemporal dementia
symptomatology, that is, paraneoplastic antibodies. In the context of atypical
features of frontotemporal dementia, practitioners should maintain a high index
of suspicion.
PMID- 29662678
TI - Interleukin-6 is a key factor for immunoglobulin-like transcript-4-mediated
immune injury in sepsis.
AB - Background: ILT4+ monocytes seem to be associated with poor prognosis of sepsis
in humans, but the exact mechanisms are unknown. This study aimed to examine the
biological behaviors and effects of immunoglobulin-like transcript-4 (ILT4)
levels on monocytes during sepsis and on the prognosis of sepsis. Methods:
ILT4+/+ (WT) and ILT4-knockout (ILT4-/-) male BALB/c mice were used for sepsis
modeling using cecal ligation puncture (CLP). Flow cytometry was used to measure
the levels of ILT4 and major histocompatibility complex class II (MHC-II) on
peripheral blood monocytes 24 h after CLP. ELISA was used to measure the serum
levels of tumor necrosis factor-alpha (TNF-alpha), interleukin (IL)-1beta, IL-6,
and IL-12 at 0, 6, 12, and 24 h after CLP. Survival and prognosis were monitored
over the course of 168 h. Results: ILT4 was highly expressed in peripheral blood
monocytes of septic mice 24 h after CLP (1292.00 +/- 143.70 vs. 193.50 +/- 52.54,
p < 0.05). MHC-II levels on peripheral blood monocytes in ILT4-/- mice were
significantly higher than those in WT mice (49.38 +/- 5.66% vs. 24.25 +/- 6.76%,
p < 0.05). Serum IL-6 was significantly elevated 24 h after CLP (470.75 +/- 88.03
vs. 54.25 +/- 20.04, p < 0.05). The serum IL-6 levels were significantly lower in
ILT4-/- mice compared with those in WT mice after CLP (241.25 +/- 45.10 vs.
470.75 +/- 88.03, p < 0.05), but TNF-alpha, IL-1beta, and IL-12 were not changed.
The survival of ILT4-/- mice was significantly better after CLP compared with
that of WT mice. Conclusions: High levels of ILT4 on monocytes were observed in
peripheral blood during sepsis and found to be associated with high serum IL-6
levels and low MHC-II levels on monocytes, possibly associated with higher
mortality. ILT-4-IL-6-MHC-II could be a potential signaling pathway involved in
sepsis.
PMID- 29662680
TI - Erratum.
AB - [This corrects the article DOI: 10.1177/2055102917718376.][This corrects the
article DOI: 10.1177/2055102917709375.][This corrects the article DOI:
10.1177/2055102917716205.].
PMID- 29662679
TI - Validation of Living Donor Nephrectomy Codes.
AB - Background: Use of administrative data for outcomes assessment in living kidney
donors is increasing given the rarity of complications and challenges with loss
to follow-up. Objective: To assess the validity of living donor nephrectomy in
health care administrative databases compared with the reference standard of
manual chart review. Design: Retrospective cohort study. Setting: 5 major
transplant centers in Ontario, Canada. Patients: Living kidney donors between
2003 and 2010. Measurements: Sensitivity and positive predictive value (PPV).
Methods: Using administrative databases, we conducted a retrospective study to
determine the validity of diagnostic and procedural codes for living donor
nephrectomies. The reference standard was living donor nephrectomies identified
through the province's tissue and organ procurement agency, with verification by
manual chart review. Operating characteristics (sensitivity and PPV) of various
algorithms using diagnostic, procedural, and physician billing codes were
calculated. Results: During the study period, there were a total of 1199 living
donor nephrectomies. Overall, the best algorithm for identifying living kidney
donors was the presence of 1 diagnostic code for kidney donor (ICD-10 Z52.4) and
1 procedural code for kidney procurement/excision (1PC58, 1PC89, 1PC91). Compared
with the reference standard, this algorithm had a sensitivity of 97% and a PPV of
90%. The diagnostic and procedural codes performed better than the physician
billing codes (sensitivity 60%, PPV 78%). Limitations: The donor chart review and
validation study was performed in Ontario and may not be generalizable to other
regions. Conclusions: An algorithm consisting of 1 diagnostic and 1 procedural
code can be reliably used to conduct health services research that requires the
accurate determination of living kidney donors at the population level.
PMID- 29662681
TI - Willingness to trade-off: An intermediate factor in patient decision-making
regarding escalating care.
AB - This study aimed to evaluate treatment necessity, treatment concern, and
willingness to engage in decisional trade-offs in the context of treatment
escalation decision-making. Participants (n = 147) recruited online were
randomized to read a vignette about escalating care in psoriasis in a 2 (high
treatment concern vs moderate treatment concern) * 2 (high perceived treatment
necessity vs moderate perceived treatment necessity) design. High treatment
concern was associated with choosing to defer treatment escalation and being
unwilling to engage in decisional trade-offs if disease risk changed. Results
highlight the importance of treatment concern and willingness trade-off in
treatment escalation decision-making.
PMID- 29662682
TI - Delayed intestinal perforation secondary to blunt force abdominal trauma in a
cat.
AB - Case summary: A 7-month-old intact male domestic shorthair cat was presented 4 h
after being hit by a car. It had bilateral inguinal hernias and a mesenteric rent
that were repaired surgically and a hematoma in the left retroperitoneal space.
No other intra-abdominal abnormalities were identified on abdominal surgical
exploration. Approximately 72 h after presentation, the cat started vomiting and
developed severe abdominal discomfort. A sudden decrease in mentation and
elevation of respiratory rate and effort ensued. Abdominal radiographs showed
loss of detail in the abdominal cavity, and abdominocentesis confirmed septic
peritonitis. The cat was euthanized, and post-mortem evaluation of the bowel
revealed two 1 cm perforations of the jejunum. Relevance and novel information:
To our knowledge, delayed intestinal perforation secondary to blunt force
abdominal trauma has not previously been reported in cats. It has been reported
in dogs, but the pathophysiology resulting in perforation is poorly understood.
Delayed intestinal injury secondary to blunt force abdominal trauma has been
reported in people, especially in children, as a result of motor vehicle
accidents.
PMID- 29662683
TI - Coexistence of systemic lupus erythematosus and multiple sclerosis. A case report
and literature review.
AB - Multiple sclerosis (MS) and systemic lupus erythematous (SLE) are autoimmune
diseases, the coexistence of which is uncommon in patients. Owing to the rarity
of this condition, the distinction between MS and SLE is a diagnostic challenge
for neurologists. We present a case report in which MS and SLE were present in
the same patient. There are few case reports in the world on the association
between MS and SLE. The following case report is the first of its kind in which
both MS and SLE are present in a patient from a country with low prevalence of MS
such as Ecuador.
PMID- 29662684
TI - A comparison of combined oral contraceptives containing chlormadinone acetate
versus drospirenone for the treatment of acne and dysmenorrhea: a randomized
trial.
AB - Background: Oral contraceptives (OCs), aside from contraceptive efficacy, have
been widely known for their non-contraceptive benefits. Different progestogens
component of the OCs have been shown to improve the skin, hair, menstrual cycle
related disorders and dysmenorrhoeic pain. Thus, we compared the efficacy of OCs
containing ethinyl estradiol (EE) and chlormadinone acetate (CMA) versus OCs
containing EE and drospirenone (DRSP) for the treatment of acne and dysmenorrhea.
Methods: This study was an investigator-blinded, randomized, parallel group study
conducted at the Family Planning Clinic, Department of Obstetrics and
Gynaecology, Faculty of Medicine, Chulalongkorn University, Bangkok, Thailand.
Women aged between 18 and 45 years were randomly assigned into two treatment
groups, either EE/CMA at the dosage of 30 mcg/2 mg once daily (OD) or EE/DRSP at
the dosage of 30 mcg/3 mg OD. The subjects were evaluated for the OC's efficacy
for the treatment of acne and dysmenorrhea at baseline visit and after 1, 3, and
6 months of treatment. Results: A total of 180 women were randomized into the
study. Each group had 90 women. Baseline characteristics between both groups were
comparable. At Month 6, there was a significantly greater reduction of total acne
lesion in the EE/CMA group than EE/DRSP (72.2% vs 64.5%; p = 0.009). As per the
investigator's global assessment of acne treatment, a higher proportion of the
subjects from the EE/CMA group was rated "excellent" than those from the EE/DRSP
(75.3% vs 49.4%). More subjects from the EE/CMA group had graded their
improvement in acne as "excellent" compared to the EE/DRSP group (66.3% vs
48.3%). A higher proportion of the subjects in the EE/CMA group reported a
decrease in dysmenorrhoeic pain as "much decrease" and "decrease". The absence of
dysmenorrhea pain was more frequently found in the EE/CMA group and significantly
seen as early as Month 1 also in the EE/CMA group compared to EE/DRSP (47.2% vs
27.3%, respectively). The treatments were generally well-tolerated in both
groups. There were no significant differences between both groups for adverse
events. Conclusions: EE/CMA is more effective for the treatment of acne and
dysmenorrhea in women with mild to moderate acne vulgaris and dysmenorrhea than
EE/DRSP. Trial registration: Thai Clinical Trial Registry ID: TCTR20170518001
(date of registration: May 17, 2017; retrospectively registered).
PMID- 29662685
TI - Adaptation, translation and reliability of the Australian 'Juniors Enjoying
Cricket Safely' injury risk perception questionnaire for Sri Lanka.
AB - Objectives: Cricket is a very popular sport in Sri Lanka. In this setting there
has been limited research; specifically, there is little knowledge of cricket
injuries. To support future research possibilities, the aim of this study was to
cross-culturally adapt, translate and test the reliability of an Australian
developed questionnaire for the Sri Lankan context. Methods: The Australian
'Juniors Enjoying Cricket Safely' (JECS-Aus) injury risk perception questionnaire
was cross-culturally adapted to suit the Sri Lankan context and subsequently
translated into the two main languages (Sinhala and Tamil) based on standard
forward-back translation. The translated questionnaires were examined for content
validity by two language schoolteachers. The questionnaires were completed twice,
2 weeks apart, by two groups of school cricketers (males) aged 11-15 years
(Sinhala (n=24), Tamil (n=30)) to assess reliability. Test-retest scores were
evaluated for agreement. Where responses were <100% agreement, Cohen's kappa
(kappa) statistics were calculated. Questions with moderate-to-poor test-retest
reliability (kappa<0.6) were reconsidered for modification. Results: Both the
Sinhala and Tamil questionnaires had 100% agreement for questions on demographic
data, and 88%-100% agreement for questions on participation in cricket and injury
history. Of the injury risk perception questions, 72% (Sinhala) and 90% (Tamil)
questions showed a substantial (kappa=0.61-0.8) and almost perfect (kappa=0.81
1.0) test-retest agreement. Conclusion: The adapted and translated JECS-SL
questionnaire demonstrated strong reliability. This is the first study to adapt
the JECS-Aus questionnaire for use in a different population, providing an
outcome measure for assessing injury risk perceptions in Sri Lankan junior
cricketers.
PMID- 29662686
TI - Patterns of physical activity in sedentary older individuals with type 2
diabetes.
AB - Background: The Community Healthy Activities Model Program for Seniors (CHAMPS)
survey, summarized into weekly caloric expenditures, is a common physical
activity (PA) assessment tool among older adults. Specific types of PA reported
in the CHAMPS have not been systematically analyzed. We applied latent class
analysis to identify the patterns of PA among sedentary older adults with
diabetes reported in the CHAMPS survey. Methods: Latent class models of PA were
identified using the CHAMPS survey data reported by 115 individuals aged >=60
years with type 2 diabetes whom volunteered for a clinical study of PA.
Multinomial logistic regression was used to assess independent predictors of a
specific latent class, including age, sex, and performance in physical function
tests. Results: Ninety-three percent of the participants were classified into 3
latent classes. Participants in latent class 1 (60.9%) primarily reported
domestic-focused activities. Participants in latent class 2 and 3 (19.5% and
19.6%, respectively) reported domestic-focused activities, in addition to leisure
time physical activities and structured exercise activities. Latent class 1, with
more women than men (73% vs.27%), had the lowest caloric expenditure, whereas
class 3, with fewer women than men (28% vs. 72%), had the highest caloric
expenditure (all p < 0.001). Latent class 2 had the fastest Timed-Up- and Go
(7.65 +/- 1.28 s; p = 0.03). Conclusions: Individual PA response in CHAMPS can be
categorized using latent class models into meaningful patterns which can inform
PA interventions. Customized PA programs should consider the heterogeneity of the
activities among sedentary older adults. Trial Registration: ClinicalTrials.gov
Identifier NCT00344240; retrospectively registered 23 June 2006.
PMID- 29662687
TI - Efficacy of percutaneous transhepatic portal vein embolization using gelatin
sponge particles and metal coils.
AB - Background: Percutaneous transhepatic portal vein embolization (PTPE) can
increase the future liver remnant (FLR) volume before extended liver resection;
however, there is no current consensus regarding the best embolic material for
PTPE. Purpose: To evaluate the efficacy of PTPE using gelatin sponge particles
and coils. Material and Methods: The medical records of 136 patients who
underwent PTPE using gelatin sponge particles and metal coils were
retrospectively reviewed. We evaluated the procedural details, liver volume on
CT, and clinical status before and after PTPE. Results: The mean FLR volume
increased significantly from 390 +/- 147 cm3 to 508 +/- 141 cm3 (P < 0.001). A
mean of 22.1 +/- 9.4 days after PTPE, the mean increase in the ratio of FLR
volume to total liver volume was 9.4 +/- 6.5%. Complications related to PTPE
occurred in five patients, including arterial damage (n = 4) and biloma (n = 1).
The white blood cell count and C-reactive protein level increased significantly
and then returned to baseline within seven days. Aspartate aminotransferase and
alanine aminotransferase showed no significant changes. Fever (defined by the
Common Terminology Criteria for Adverse Events v4.0) was reported in 74 patients
(54%), but it was generally mild (Grade 1/2; n = 72). None of the patients
experienced severe complications that required cancellation of surgery.
Conclusion: PTPE with gelatin sponge particles and coils may impose low physical
stress on patients and is a safe method of inducing a significant increase of
FLR.
PMID- 29662688
TI - Distribution and determinants of pneumonia diagnosis using Integrated Management
of Childhood Illness guidelines: a nationally representative study in Malawi.
AB - Background: Pneumonia remains the leading cause of child mortality in sub-Saharan
Africa. The Integrated Management of Childhood Illness (IMCI) strategy was
developed to standardise care in low-income and middle-income countries for major
childhood illnesses and can effectively improve healthcare worker performance.
Suboptimal clinical evaluation can result in missed diagnoses and excess
morbidity and mortality. We estimate the sensitivity of pneumonia diagnosis and
investigate its determinants among children in Malawi. Methods: Data were
obtained from the 2013-2014 Service Provision Assessment survey, a census of
health facilities in Malawi that included direct observation of care and re
examination of children by trained observers. We calculated sensitivity of
pneumonia diagnosis and used multilevel log-binomial regression to assess factors
associated with diagnostic sensitivity. Results: 3136 clinical visits for
children 2-59 months old were observed at 742 health facilities. Healthcare
workers completed an average of 30% (SD 13%) of IMCI guidelines in each
encounter. 573 children met the IMCI criteria for pneumonia; 118 (21%) were
correctly diagnosed. Advanced practice clinicians were more likely than other
providers to diagnose pneumonia correctly (adjusted relative risk 2.00, 95% CI
1.21 to 3.29). Clinical quality was strongly associated with correct diagnosis:
sensitivity was 23% in providers at the 75th percentile for guideline adherence
compared with 14% for those at the 25th percentile. Contextual factors, facility
structural readiness, and training or supervision were not associated with
sensitivity. Conclusions: Care quality for Malawian children is poor, with low
guideline adherence and missed diagnosis for four of five children with
pneumonia. Better sensitivity is associated with provider type and higher
adherence to IMCI. Existing interventions such as training and supportive
supervision are associated with higher guideline adherence, but are insufficient
to meaningfully improve sensitivity. Innovative and scalable quality improvement
interventions are needed to strengthen health systems and reduce avoidable child
mortality.
PMID- 29662689
TI - Supporting the development of a health benefits package in Malawi.
AB - Malawi, like many low-income and middle-income countries, has used health
benefits packages (HBPs) to allocate scarce resources to key healthcare
interventions. With no widely accepted method for their development, HBPs often
promise more than can be delivered, given available resources. An analytical
framework is developed to guide the design of HBPs that can identify the
potential value of including and implementing different interventions. It
provides a basis for informing meaningful discussions between governments, donors
and other stakeholders around the trade-offs implicit in package design. Metrics
of value, founded on an understanding of the health opportunity costs of the
choices faced, are used to quantify the scale of the potential net health impact
(net disability adjusted life years averted) or the amount of additional
healthcare resources that would be required to deliver similar net health impacts
with existing interventions (the financial value to the healthcare system). The
framework can be applied to answer key questions around, for example: the
appropriate scale of the HBP; which interventions represent 'best buys' and
should be prioritised; where investments in scaling up interventions and health
system strengthening should be made; whether the package should be expanded;
costs of the conditionalities of donor funding and how objectives beyond
improving population health can be considered. This is illustrated using data
from Malawi. The framework was successfully applied to inform the HBP in Malawi,
as a core component of the country's Health Sector Strategic Plan II 2017-2022.
PMID- 29662690
TI - Geographic coverage of demographic surveillance systems for characterising the
drivers of childhood mortality in sub-Saharan Africa.
AB - A major focus of international health and development goals is the reduction of
mortality rates in children under 5 years of age. Achieving this requires
understanding the drivers of mortality and how they vary geographically to
facilitate the targeting and prioritisation of appropriate interventions. Much of
our knowledge on the causes of, and trends in, childhood mortality come from
longitudinal demographic surveillance sites, with a renewed focus recently on the
establishment and growth of networks of sites from which standardised outputs can
facilitate broader understanding of processes. To ensure that the collective
outputs from surveillance sites can be used to derive a comprehensive
understanding and monitoring system for driving policy on tackling childhood
mortality, confidence is needed that existing and planned networks of sites are
providing a reliable and representative picture of the geographical variation in
factors associated with mortality. Here, we assembled subnational data on
childhood mortality as well as key factors known to be associated with it from
household surveys in 27 sub-Saharan African countries. We then mapped the
locations of existing longitudinal demographic surveillance sites to assess the
extent of current coverage of the range of factors, identifying where gaps exist.
The results highlight regions with unique combinations of factors associated with
childhood mortality that are poorly represented by the current distribution of
sites, such as southern Mali, central Nigeria and southern Zambia. Finally, we
determined where the establishment of new surveillance systems could improve
coverage.
PMID- 29662691
TI - Poverty reduction and equity benefits of introducing or scaling up measles,
rotavirus and pneumococcal vaccines in low-income and middle-income countries: a
modelling study.
AB - Introduction: Beyond their impact on health, vaccines can lead to large economic
benefits. While most economic evaluations of vaccines have focused on the health
impact of vaccines at a national scale, it is critical to understand how their
impact is distributed along population subgroups. Methods: We build a financial
risk protection model to evaluate the impact of immunisation against measles,
severe pneumococcal disease and severe rotavirus for birth cohorts vaccinated
over 2016-2030 for three scenarios in 41 Gavi-eligible countries: no
immunisation, current immunisation coverage forecasts and the current
immunisation coverage enhanced with funding support. We distribute modelled
disease cases per socioeconomic group and derive the number of cases of: (1)
catastrophic health costs (CHCs) and (2) medical impoverishment. Results: In the
absence of any vaccine coverage, the number of CHC cases attributable to measles,
severe pneumococcal disease and severe rotavirus would be approximately 18.9
million, 6.6 million and 2.2 million, respectively. Expanding vaccine coverage
would reduce this number by up to 90%, 30% and 40% in each case. More
importantly, we find a higher share of CHC incidence among the poorest quintiles
who consequently benefit more from vaccine expansion. Conclusion: Our findings
contribute to the understanding of how vaccines can have a broad economic impact.
In particular, we find that immunisation programmes can reduce the proportion of
households facing catastrophic payments from out-of-pocket health expenses,
mainly in lower socioeconomic groups. Thus, vaccines could have an important role
in poverty reduction.
PMID- 29662692
TI - Strengthening health district management competencies in Ghana, Tanzania and
Uganda: lessons from using action research to improve health workforce
performance.
AB - Background: To achieve Universal Health Coverage (UHC), more health workers are
needed; also critical is supporting optimal performance of existing staff.
Integrated human resource management (HRM) strategies, complemented by other
health systems strategies, are needed to improve health workforce performance,
which is possible at district level in decentralised contexts. To strengthen the
capacity of district management teams to develop and implement workplans
containing integrated strategies for workforce performance improvement, we
introduced an action-research-based management strengthening intervention (MSI).
This consisted of two workshops, follow-up by facilitators and meetings between
participating districts. Although often used in the health sector, there is
little evaluation of this approach in middle-income and low-income country
contexts. The MSI was tested in three districts in Ghana, Tanzania and Uganda.
This paper reports on the appropriateness of the MSI to the contexts and its
effects. Methods: Documentary evidence (workshop reports, workplans, diaries,
follow-up visit reports) was collected throughout the implementation of the MSI
in each district and interviews (50) and focus-group discussions (6) were
conducted with managers at the end of the MSI. The findings were analysed using
Kirkpatrick's evaluation framework to identify effects at different levels.
Findings: The MSI was appropriate to the needs and work patterns of District
Health Management Teams (DHMTs) in all contexts. DHMT members improved management
competencies for problem analysis, prioritisation and integrated HRM and health
systems strategy development. They learnt how to refine plans as more information
became available and the importance of monitoring implementation. The MSI
produced changes in team behaviours and confidence. There were positive results
regarding workforce performance or service delivery; these would increase with
repetition of the MSI. Conclusions: The MSI is appropriate to the contexts where
tested and can improve staff performance. However, for significant impact on
service delivery and UHC, a method of scaling up and sustaining the MSI is
required.
PMID- 29662693
TI - Paediatric and obstetric outcomes at a faith-based hospital during the 100-day
public sector physician strike in Kenya.
AB - Published reviews of national physician strikes have shown a reduction in patient
mortality. From 5 December 2016 until 14 March 2017, Kenyan physicians in the
public sector went on strike leaving only private (not-for-profit and for-profit)
hospitals able to offer physician care. We report on our experience at AIC-Kijabe
Hospital, a not-for-profit, faith-based Kenyan hospital, before, during and after
the 100-day strike was completed by examining patient admissions and deaths in
the time periods before, during and after the strike. The volume of patients
increased and exceeded the hospital's ability to respond to needs. There were
substantial increases in sick newborn admissions during this time frame and an
additional ward was opened to respond to this need. Increased need occurred
across all services but staffing and space limited ability to respond to
increased demand. There were increases in deaths during the strike period across
the paediatric medical, newborn, paediatric surgical and obstetric units with an
OR (95% CI) of death of 3.9 (95% CI 2.3 to 6.4), 4.1 (95% CI 2.4 to 7.1), 7.9
(95% CI 3.2 to 20) and 3.2 (95% CI 0.39 to 27), respectively. Increased mortality
across paediatric and obstetrical services at AIC-Kijabe Hospital correlated with
the crippling of healthcare delivery in the public sector during the national
physicians' strike in Kenya.
PMID- 29662694
TI - From the battlefield to the bedroom: a multilevel analysis of the links between
political conflict and intimate partner violence in Liberia.
AB - Objectives: Assess the link between levels of armed conflict and postconflict
intimate partner violence (IPV) experienced by women in Liberia. Methods: Armed
Conflict Location and Event Data Project data were used to measure conflict
related fatalities in districts in Liberia during the country's civil war from
1999 to 2003. These data were linked to individual-level data from the 2007
Demographic and Health Survey, including past-year IPV. Multilevel logistic
models accounting for the clustering of women within districts evaluated the
relationship of conflict fatalities with postconflict past-year IPV. Additional
conflict measures, including conflict events and cumulative years of conflict,
were assessed. Results: After adjusting for individual-level characteristics
correlated with IPV, residence in a conflict fatality-affected district was
associated with a 50% increase in risk of IPV (adjusted OR (aOR): 1.55, 95% CI
1.26 to 1.92). Women living in a district that experienced 4-5 cumulative years
of conflict were also more likely to experience IPV (aOR 1.88, 95% CI 1.29 to
2.75). Conclusion: Residing in a conflict-affected district even 5 years after
conflict was associated with postconflict IPV. Policy implications: Recognising
and preventing postconflict IPV violence is important to support long-term
recovery in postconflict settings.
PMID- 29662695
TI - Impact of a health system strengthening intervention on maternal and child health
outputs and outcomes in rural Rwanda 2005-2010.
AB - Introduction: Although Rwanda's health system underwent major reforms and
improvements after the 1994 Genocide, the health system and population health in
the southeast lagged behind other areas. In 2005, Partners In Health and the
Rwandan Ministry of Health began a health system strengthening intervention in
this region. We evaluate potential impacts of the intervention on maternal and
child health indicators. Methods: Combining results from the 2005 and 2010
Demographic and Health Surveys with those from a supplemental 2010 survey, we
compared changes in health system output indicators and population health
outcomes between 2005 and 2010 as reported by women living in the intervention
area with those reported by the pooled population of women from all other rural
areas of the country, controlling for potential confounding by economic and
demographic variables. Results: Overall health system coverage improved similarly
in the comparison groups between 2005 and 2010, with an indicator of composite
coverage of child health interventions increasing from 57.9% to 75.0% in the
intervention area and from 58.7% to 73.8% in the other rural areas. Under-five
mortality declined by an annual rate of 12.8% in the intervention area, from
229.8 to 83.2 deaths per 1000 live births, and by 8.9% in other rural areas, from
157.7 to 75.8 deaths per 1000 live births. Improvements were most marked among
the poorest households. Conclusion: We observed dramatic improvements in
population health outcomes including under-five mortality between 2005 and 2010
in rural Rwanda generally and in the intervention area specifically.
PMID- 29662696
TI - Bias in patient satisfaction surveys: a threat to measuring healthcare quality.
AB - Patient satisfaction surveys are an increasingly common element of efforts to
evaluate the quality of healthcare. Many patient satisfaction surveys in
low/middle-income countries frame statements positively and invite patients to
agree or disagree, so that positive responses may reflect either true
satisfaction or bias induced by the positive framing. In an experiment with more
than 2200 patients in Nigeria, we distinguish between actual satisfaction and
survey biases. Patients randomly assigned to receive negatively framed statements
expressed significantly lower levels of satisfaction (87%) than patients
receiving the standard positively framed statements (95%-p<0.001). Depending on
the question, the effect is as high as a 19 percentage point drop (p<0.001).
Thus, high reported patient satisfaction likely overstates the quality of health
services. Providers and policymakers wishing to gauge the quality of care will
need to avoid framing that induces bias and to complement patient satisfaction
measures with more objective measures of quality.
PMID- 29662697
TI - Supporting capacity for research on malaria in Africa.
AB - Substantial progress has been made in the control of malaria in Africa but much
remains to be done before malaria elimination on the continent can be achieved.
Further progress can be made by enhancing uptake of existing control tools but,
in high transmission areas, additional tools will be needed. Development and
evaluation of these new tools will require a substantial cadre of African
scientists well trained in many different disciplines. This paper describes the
activities undertaken by the Malaria Capacity Development Consortium (MCDC) to
support the careers of PhD students and postdoctoral fellows undertaking research
on malaria at five African universities. A systematic assessment of constraints
on PhD training and research support systems was undertaken at each partner
African university at the beginning of the programme and many of these
constraints were remedied. The success of the programme is shown by the fact that
18 of the 21 PhD students recruited to the programme completed their theses
successfully within a 4-year period and that all 27 scientists recruited to the
postdoctoral programme were still working in Africa on its completion. The work
of the consortium will be continued through Career Development Groups established
at each partner university and at an affiliated institution at the University of
Nairobi and through the Developing Excellence in Leadership, Training and Science
award from the Wellcome Trust made to one of the African partners. Lessons learnt
during the MCDC programme may help the planning and execution of other research
capacity development programmes in Africa.
PMID- 29662698
TI - Not just a number: examining coverage and content of antenatal care in low-income
and middle-income countries.
AB - Introduction: Antenatal care (ANC) provides a critical opportunity for women and
babies to benefit from good-quality maternal care. Using 10 countries as an
illustrative analysis, we described ANC coverage (number of visits and timing of
first visit) and operationalised indicators for content of care as available in
population surveys, and examined how these two approaches are related. Methods:
We used the most recent Demographic and Health Survey to analyse ANC related to
women's most recent live birth up to 3 years preceding the survey. Content of
care was assessed using six components routinely measured across all countries,
and a further one to eight additional country-specific components. We estimated
the percentage of women in need of ANC, and using ANC, who received each
component, the six routine components and all components. Results: In all 10
countries, the majority of women in need of ANC reported 1+ ANC visits and over
two-fifths reported 4+ visits. Receipt of the six routine components varied
widely; blood pressure measurement was the most commonly reported component, and
urine test and information on complications the least. Among the subset of women
starting ANC in the first trimester and receiving 4+ visits, the percentage
receiving all six routinely measured ANC components was low, ranging from 10%
(Jordan) to around 50% in Nigeria, Nepal, Colombia and Haiti. Conclusion: Our
findings suggest that even among women with patterns of care that complied with
global recommendations, the content of care was poor. Efficient and effective
action to improve care quality relies on development of suitable content of care
indicators.
PMID- 29662699
TI - Quality of WHO guidelines on snakebite: the neglect continues.
PMID- 29662700
TI - Capzb2 PROTEIN EXPRESSION IN THE BRAINS OF PATIENTS DIAGNOSED WITH ALZHEIMER'S
DISEASE AND HUNTINGTON'S DISEASE.
AB - The silencing of actin capping protein beta2, Capzb2, by RNAi in developing
cultured neurons results in short, dystrophic neurites reminiscent of
cytoskeletal changes seen in diverse neurodegenerative diseases, including
Alzheimer's disease (AD) and Huntington's disease (HD). Actin and tubulin are two
major cytoskeletal proteins indispensable for normal neurite development and
regenerative responses to injury and neurodegenerative stimuli. We have
previously shown that Capzb2 binds tubulin and, in the presence of microtubule-
associated protein tau, affects microtubule polymerization necessary for neurite
outgrowth and normal growth cone morphology. Accordingly, Capzb2 silencing in
hippocampal neurons results in short neurites with abnormal growth cones.
Decreased neurite length is found in both AD and HD. In the first step towards
uncovering the possible role of Capzb2 in these diseases, we studied Capzb2
protein expression in the postmortem brains of AD and HD patients. To determine
whether disease-specific changes in Capzb2 protein accompany the progression of
neurodegeneration, we performed Western Blot analysis of prefrontal cortices
(PFC) and hippocampi (HPC) in AD patients and of PFC and heads of caudate nuclei
(HCN) in HD patients. Our results show disease- and area-specific dynamics in the
levels of Capzb2 protein expression in the progressive stages of AD and HD.
PMID- 29662701
TI - The Arcuate Fasciculus Network and Verbal Deficits in Psychosis.
AB - Background: Verbal learning (VL) and fluency (VF) are prominent cognitive
deficits in psychosis, of which the precise neuroanatomical contributions are not
fully understood. We investigated the arcuate fasciculus (AF) and its associated
cortical regions to identify structural abnormalities contributing to these
verbal impairments in early stages of psychotic illness. Methods: Twenty-six
individuals with recent-onset psychosis and 27 healthy controls underwent
cognitive testing (MATRICS Consensus Cognitive Battery) and structural/diffusion
weighted MRI. Bilaterally, AF anisotropy and cortical thickness, surface area and
volume of seven cortical regions were investigated in relation to VL and VF
performance in both groups. Results: Reduced right superior temporal gyrus
surface area and volume related to better VF in controls. In psychosis, greater
right pars opercularis volume and reduced left lateralization of this region
related to better VL, while greater right long AF fractional anisotropy and right
pars orbitalis volume related to better VF, these findings not present in
controls. Psychosis had reduced right pars orbitalis thickness compared to
controls. Conclusion: Anatomical substrates for normal processing of VL and VF
appear altered in recent-onset psychosis. A possible aberrant role of the right
hemisphere arcuate fasciculus and fronto-temporal cortical regions in psychosis
may contribute to deficits in VL and VF.
PMID- 29662702
TI - The Ipsilateral Vestibulothalamic Tract in the Human Brain.
AB - Although there are a few studies of portions of the vestibular system such as the
vestibulocerebellar tract and the neural connectivity of the vestibular nuclei
(VN), no study of the ipsilateral vestibulothalamic tract (VTT) (originating from
the VN and mainly connecting to the lateral thalami nuclei) has been reported. In
the current study, using diffusion tensor tractography (DTT), we investigate the
reconstruction method and characteristics of the ipsilateral VTT in normal
subjects. Thirty-three subjects were recruited for this study. For the
ipsilateral VTT, the seed region of interest (ROI) was placed on the VN, which
was isolated on the FA map using adjacent structures as follows: the reticular
formation (anterior boundary), posterior margin of medulla and pons (posterior
boundary), medial lemniscus (medial boundary) and restiform body (lateral
boundary). The target ROI was placed at the lateral thalamic nuclei using known
anatomical locations. The DTT parameters of the ipsilateral VTT were measured.
The ipsilateral VTTs that originated from the vestibular nuclei ascended postero
laterally to the upper pons and antero-medially to the upper midbrain via the
medial longitudinal fasciculus, and terminated the lateral thalamic nuclei. No
significant differences were observed in DTT parameters of the ipsilateral VTT
between the right and left hemispheres (p > 0.05). Using DTT, we reconstructed
the ipsilateral VTT and observed the anatomical characteristics of the
ipsilateral VTT in normal subjects. We believe that the methodology and results
in this study could be helpful to researchers and clinicians in this field.
PMID- 29662703
TI - Aortic Dissection Presenting as Acute Pancreatitis: Suspecting the Unexpected.
AB - Aortic dissection is a life-threatening condition in which the inner layer of the
aorta tears. Blood surges through the tear, causing the inner and middle layers
of the aorta to separate (dissect). It is considered a medical emergency. We
report a case of a healthy 56-year-old male who presented to the emergency room
with sudden onset of epigastric pain radiating to his back. His blood pressure
was 167/91 mmHg, equal in both arms. His lipase was elevated at 1258 U/L, and he
was clinically diagnosed with acute pancreatitis (AP). He denied any alcohol
consumption, had no evidence for gallstones, and had normal triglyceride level.
Two days later, he endorsed new suprapubic tenderness radiating to his scrotum,
along with worsening epigastric pain. A MRCP demonstrated evidence of an aortic
dissection (AD). CT angiography demonstrated a Stanford type B AD extending into
the proximal common iliac arteries. His aortic dissection was managed medically
with rapid blood pressure control. The patient had excellent recovery and was
discharged home without any surgical intervention.
PMID- 29662704
TI - Diagnosis of Anomalous Origin of the Left Coronary Artery from the Pulmonary
Artery with Echocardiography and Digital Subtraction Angiography.
AB - Anomalous origin of the left coronary artery from the pulmonary artery (ALCAPA)
is a common coronary artery anomaly associated with high mortality and may lead
to sudden death if left unrecognized and untreated. This report describes an 8
year-old female who had cardiac murmur but with no clinical symptoms.
Electrocardiogram (ECG) was normal, but echocardiography made the diagnosis of
ALCAPA. Digital subtraction angiography (DSA) with cardiac catheterization
angiography (CAG) confirmed the diagnosis, and finally, the patient received
surgery. This case demonstrates that echocardiography is a sensitive and
convenient technique for establishing the initial diagnosis of ALCAPA in both
symptomatic and asymptomatic patients.
PMID- 29662705
TI - Aortitis as a Rare Cause of Aortic Aneurysm and Valve Regurgitation: Is Repair
Precluded?
AB - Aortitis is an infrequent cause of aortic root dilatation and aortic valve
regurgitation. Valve-sparing procedures have been proposed, but there is not
clear evidence of which is the treatment of choice. We report the case of a 38
year-old pregnant lady with a diagnosis of idiopathic aortitis associated with
aortic root aneurysm and severe aortic valve regurgitation.
PMID- 29662706
TI - Assessment of Occlusal Function in a Patient with an Angle Class I Spaced Dental
Arch with Periodontal Disease Using a Brux Checker.
AB - Comprehensive and appropriate occlusion reconstruction therapy is necessary for
orthodontic treatment of adult patients with malocclusion with periodontal
disease associated with occlusal trauma. We report the case of a patient with
extensive moderate chronic periodontitis associated with occlusal trauma. The
patient was diagnosed with extensive moderate chronic periodontitis associated
with occlusal trauma and underwent thorough treatment for periodontal disease,
oral management, and 20 months of orthodontic therapy. Moreover, reconstructed
occlusion was performed to evaluate occlusal trauma for visualization using Brux
Checker (BC) analysis before and after active orthodontic treatment. The patient
acquired stable anterior guidance and a functional occlusal relationship. BC
findings revealed weakening of the functional contact between the lateral
occlusal force of the dentition and the front teeth and alveolar bone
regeneration. The laminar dura became clearer, and the periodontal tissue
improved. Our results suggest that assessment of occlusion function using BC
analysis and periodontal examination was effective in enabling occlusal treatment
goal clarification through orthodontic treatment in case of periodontal disease
associated with occlusal trauma.
PMID- 29662707
TI - Percutaneous Ethanol Injection in Combination with Laser Ablation for a 100 ml
Partially Cystic Thyroid Nodule.
AB - Until nonsurgical techniques like laser ablation (LA) or radiofrequency became
available, patients suffering from large nodules with compressive symptoms were
addressed to surgery. We describe the case of a 59-year-old woman with a large,
partially cystic thyroid nodule having a volume of about 100 ml. As the patient
refused surgery, despite her constant local discomfort, such large partially
cystic nodule underwent several percutaneous ethanol injections (PEI) and then
was submitted to LA. The combination of these two procedures allowed firstly
complete disappearance of the cystic component and secondly a significant
reduction of thyroid nodule, which finally measured 17 ml in volume (82%
reduction compared to baseline). This case demonstrates that even in very large
partially cystic nodules LA preceded by PEI represents a valid alternative to
surgery.
PMID- 29662708
TI - Emergency peripartum hysterectomy in the Dubai health system: A fifteen year
experience.
AB - Objective: To determine the incidence, demographic data, risk factors,
indications, outcome and complications of emergency peripartum hysterectomy (EPH)
performed in two major tertiary care hospitals in Dubai, and to compare the
results with the literature. Materials and Methods: The records of all women who
underwent EPH from January 2000 to December 2015 in two major tertiary care
hospitals in Dubai were accessed and reviewed. Maternal characteristics,
hysterectomy indications, outcomes, and postoperative complications were recorded
using descriptive statistics to describe the cohort. Results: There were 79 EPH
out of 168.293 deliveries, a rate of 0.47/1000 deliveries. The most common
indications for hysterectomy were abnormal placentation (previa and/or accreta)
and uterine atony. The majority of hysterectomies were subtotal (70%). The
complications were dominated by massive transfusion, urinary tract injuries, one
case of maternal death, and one case of neonatal death. Conclusion: The main
indication for EPH was abnormal placentation in scarred uterus and uterine atony.
The major method of prevention of EPH is to assess women's risks and to reduce
the number of cesarean section deliveries, by limiting the rate of primary
cesareans. This is challenging in the United Arab Emirates (UAE) where the
culture is for high gravidity and high parity. Recommendations to act to reduce
primary and repeated cesareans should be included on the national agenda in UAE.
PMID- 29662709
TI - The impact of different 75 g oral glucose tolerance test target ranges within
normal limits on neonatal outcomes: A validation study.
AB - Objective: To investigate the impact of different 75 g glucose tolerance test
(OGTT) target ranges within normal limits on neonatal outcomes, thus to
investigate the validity of 75 g OGTT thresholds. Materials and Methods: The
normal 1-hour and 2-hour ranges of 75 g OGTT levels of 110 pregnant women with no
gestational diabetes mellitus (GDM) were further divided into three different sub
groups; for the 1 hour as group 1 (<120 mg/dL), group 2 (120-140 mg/dL), group 3
(>140 mg/dL) and for the 2 hour as group 1 (<120 mg/dL), group 2 (120-135 mg/dL),
and group 3 (>135 mg/dL). Results: For the 1-hour results, there was no
statistically significant difference between groups in terms of age, body mass
index, multiparity, neonatal hypoglycemia, hyperbilirubinemia, neonatal intensive
care unit admission, birth weight, and LGT rates; however, the rate of small-for
gestational-age (SGA) infants was significantly higher in group 2 compared with
those in group 3. For the 2-hour results, statistically similar results were
found between the groups. Conclusion: A 2-hour 75 g OGTT has reliable threshold
values for GDM screening. However, because there are still adverse neonatal
outcomes in women with OGTT results below the current thresholds and the number
of SGA fetuses is higher in the glucose range 120-140 mg/dL of the first hour,
the validity of the 75 g OGTT thresholds still needs further investigation.
PMID- 29662710
TI - Natural cycle versus artificial cycle in frozen-thawed embryo transfer: A
randomized prospective trial.
AB - Objective: To investigate whether there was a difference in pregnancy outcomes
between modified natural cycle frozen-thawed embryo transfer (NC-FET) cycles and
artificial cycles (AC)-FET in women who all had regular menstrual cycles.
Materials and Methods: One hundred seventy patients who met the inclusion
criteria and had at least two cryopreserved embryos were included in a
prospective randomized controlled trial. Eighty-five patients were randomized
based on Bernoulli distribution into the following two groups: 1) Modified NC-FET
using human chorionic gonadotropin for ovulation induction and 2) AC-FET, in
which endometrial timing was programmed with estrogen and progesterone. The main
studied outcome measure was the clinical pregnancy rate per cycle. Results: No
significant differences were found between the two groups with regard to the
chemical, clinical, and ongoing pregnancy rates (48.2% vs 45.9%, p>0.05; 38.9% vs
35.3%, p>0.05; and 37.6% vs 34.1%, p>0.05, respectively), as well as the live
birth or miscarriage rates per cycle (35.3% vs 31.8%, p>0.05; and 1.2% vs 1.2%,
p>0.05, respectively). Conclusion: These findings suggest that although both FET
protocols are equally effective in terms of pregnancy outcomes in women with
regular menstrual cycles, NC-FET is more favorable because it requires no
medication, has no adverse events, and has a significant cost reduction.
PMID- 29662711
TI - Segmental duplication-quantitative fluorescent-polymerase chain reaction: An
approach for the diagnosis of Down syndrome in India.
AB - Objective: Early detection of high-risk pregnancies for Down syndrome (DS) is the
main target of offering prenatal diagnosis. Segmental duplication-quantitative
fluorescent-polymerase chain reaction (SD-QF-PCR) can be used as an alternative
method for prenatal diagnosis of DS. SD-QF-PCR involves SD sequences between the
test and control chromosomes to detect aneuploidies. SD are two similar sequences
with different fragment lengths, located on two different chromosomes. When these
SD regions are amplified, the peak ratio between the two different chromosomes
remains as 0.9 to 1.1 and the trisomy 21 results in the ratio of 1.4 to 1.6.
Materials and Methods: In this study, we applied SD-QF-PCR to detect the presence
of trisomy 21 in 60 age-matched controls and 60 DS samples. The PCR amplification
of SD regions is performed using a single pair of fluorescent-labelled primers,
the peak ratio between the two different chromosome regions are evaluated.
Results: All sixty control samples showed the peaks to range from 0.9 to 1.1,
which was suggestive of normal samples, and peaks of 65 DS samples ranged from
1.4 to 1.6, which suggested the presence of trisomy 21. Conclusion: Segmental
duplication quantitative fluorescent PCR is a sensitive and rapid aneuploidy
detection technique and hence can be used as a standalone test to detect trisomy
21 as well as other aneuploidies.
PMID- 29662712
TI - Do Syrian refugees have increased risk for worser pregnancy outcomes? Results of
a tertiary center in Istanbul.
AB - Objective: To compare obstetric and perinatal outcomes of Syrian refugee
pregnants and Turkish counterparts who gave birth at a tertiary center in
Istanbul. Materials and Methods: A retrospective study including the birth
records of 704 Syrian refugees and 744 Turkish pregnant women between January
2016 and May 2017 were analyzed. Demographic data, obstetric and neonatal
outcomes were compared. The primary aims of this study were to evaluate the
pregnancy outcomes and cesarean rates between the groups. The secondary outcomes
were the use of antenatal vitamin supplementation, hemoglobin-hematocrit values,
and maternal complications. Results: Our results showed that the use of folic
acid and iron supplementation rates during pregnancy were similar between the
groups (folic acid supplementation 8.1% vs 6.5%, p=0.264; iron supplementation
20.7% vs 19.6%, p=0.125; respectively for Turkish women and Syrian refugees).
Cesarean rates were significantly higher for Turkish patients than in Syrian
refugees (42.7% vs 32.7%; p<0.05). Gestational age at delivery was significantly
higher among Turkish women when compared with Syrian refugees (37.7+/-2.3 vs
36.4+/-2.3 weeks, p<0.05), but there was no significant difference regarding the
birtweights' of the newborns (3134 g vs 3066 g for Turkish women and Syrian
refugees, respectively, p=0.105). Although obstetric complications were seen more
often in Syrian refugees, it did not reach statistical difference (9.7% vs 8.1%,
respectively, p=0.285). Conclusion: Syrian refugees use antenatal vitamin
supplementations at similar rates to Turkish citizens and obstetric and perinatal
outcomes are similar between the groups.
PMID- 29662713
TI - Are the skin scar characteristics and closure of the parietal peritoneum
associated with pelvic adhesions?
AB - Objective: To assess whether the abdominal scar characteristics and closure of
the peritoneum were associated with pelvic adhesions. Materials and Methods:
Patients who had undergone cesarean section between December 2015 and February
2016 were assessed prospectively in terms of age, gravida, body mass index,
number of living children, number of cesarean sections, time passed since the
last cesarean section, closure status of the peritoneum in the last cesarean
section, presence of other diseases, smoking status, location of incision in the
abdomen (medial, pfannenstiel) scar dimensions (length, width), scar status with
respect to skin (hypertrophic, flat, depressive), scar color [color change/no
color change (hyperpigmented/hypopigmented)], adhesion of bowel-omentum-uterus,
omentum-anterior abdominal wall, uterus-anterior abdominal wall, uterus-bladder,
bladder-anterior abdominal wall, fixed uterus, and uterus-omentum-anterior
abdominal wall in abdominal exploration. Results: One hundred five pregnant women
who had undergone previous ceserean section surgery by the same physician, were
at least in their 30th gestational week, had surgery notes about their previous
operation, and had no chronic diseases were included in the study. Age, gravida,
body mass index, number of children, number of cesarean sections, time passed
since the previous cesarean section, closure/non-closure of peritoneum in the
previous cesarean section, and smoking status had no effect on pelvic adhesions.
Intraabdominal adhesion was not found to be associated with scar length [odds
ratio (OR): 1.54, 95% confidence interval (CI): 1.1-2.2; p=0.02], depressive scar
(OR: 9.3, 95% CI: 3.2-27.2; p<0.001), or hypopigmented scar [OR: 0.01, 95% CI:
0.003-0.11; p<0.001]. Conclusion: Adhesions following surgical operations are of
great importance due to complications for the patient, complications in
relaparotomy, and high costs. Depressive and hypopigmented abdominal scars may be
associated with pelvic adhesions. We believe that closure or non-closure of the
parietal peritoneum is not associated with pelvic adhesions.
PMID- 29662714
TI - Post-cesarean scar endometriosis.
AB - Objective: Endometriosis is seen in women during their reproductive period, where
stromal tissue and functional endometrial glands of the uterus are observed
outside the uterine cavity. In this study, we aimed to identify the clinical
characteristics of our patients who underwent surgery with scar endometriosis and
to discuss the surgical results in light of the literature. Materials and
Methods: A total of 24 patients who underwent surgery and diagnosed as having
endometriosis as the result of a pathologic examination were retrospectively
evaluated. Results: The mean age of the patients was 31 years. Thirteen presented
to general surgery and 11 presented to gynecology outpatient clinics. The pain
was cyclical in 19 patients. There was history of cesarean section in 9 patients,
twice in 12, and 3 times in three patients. The mean diameter was 39.1 mm on
ultrasound, and 37.5 mm on magnetic resonance imaging. Endometriosis was on the
left side of the incisions in 13, whereas it was on the right in 11. The mean
weight of the lesions was 61.6 grams. Conclusion: The occurrence of endometriosis
is supported by the iatrogenic implantation theory. In the event of a mass in the
abdominal wall, previous obstetric and gynecologic operations and a history of a
painful mass during menstruation periods must be questioned. In the treatment of
scar endometriosis, excision is required by obtaining secure margins. If
diagnosis can be established preoperatively, unnecessary surgeries can prevented.
PMID- 29662715
TI - The effect of the pooling method on the live birth rate in poor ovarian
responders according to the Bologna criteria.
AB - Objective: Pooling is an alternative method to achieve in vitro fertilization
outcomes. This study was to investigate the effect of pooling method on pregnancy
outcomes in poor responder patients according to Bologna criteria. Materials and
Methods: Two hundred-fifty five poor responder patients were enrolled in this
study. Pooling embryo transfer (ET) group had 110 and fresh ET group had 145
patients. Results: Although, age was similar between both treatment groups
(p=0.31), antral follicle count (p<0.001), total number of retrieved oocyte
(p<0.001), total metaphase II oocyte count (p<0.001), number of stimulation
cycles (p<0.001), were significantly different between the groups. The day of ET
were similiar between two groups (p=0.72) but the number of ET procedure was
significantly higher in pooling ET group compared to fresh ET (p<0.001). Positive
pregnancy test [35/110 (32%) vs 53/145 (37%)] (p=0.43) and clinical pregnacy
rates [31/110 (28%) vs 49/145 (34%)] (p=0.33) were similar between groups,
whereas, implantation [31/191 (16%) vs 49/198 (25%)] (p=0.03) and live birth
rates [15/110 (14%) vs 36/145 (25%)] (p=0.04) were significantly higher in fresh
ET group. Despite that, abortion rates were significantly higher in pooling ET
group [16/31 (52%) vs 13/49 (27%)] (p=0.04). Binary logistic regression analyese
has revealed no effect of variables on live birth rates. Conclusion: Even though,
pooling strategy seems to have a slight positive effect on pregnancy outcomes,
there is no benefical effect on live birth rates. Furthermore, this strategy is
increasing the abortion rates in parallel with clinical pregnancy rates.
PMID- 29662716
TI - Social stigma and familial attitudes related to infertility.
AB - Objective: To determine the perceived social stigma and familial attitides and
perception of sexuality in infertile couples attending infertility clinics.
Materials and Methods: Infertile couples attending infertility clinics between
the years of 2014 and 2015 were requested to complete detailed evaluation forms
including questions related to the social stigma on their infertility, their
familial attitudes, and perception of sexuality. Any partner of the infertile
couple accepting to enroll in the study was given the evaluation forms. Their
scores related to answers and demographics, and parameters related to infertility
were analyzed. Results: A total 598 partners of infertile couples enrolled in the
study, 58% represented 177 couples. Their infertility was primary in 98.3% and
the duration of marriage and infertility was 9.81+/-5.58 and 9.76+/-5.53 years,
respectively. The perception of social exclusion was present in 38% (p<0.001) of
infertile couple, which was more significantly pronounced in female partners
(p=0.013). Fifteen percent of the infertile couples thought themselves as
isolated in public and losing value in public (p<0.001). However, sixty percent
of infertile couples thought that they would achieve a notable place in community
after having a baby (p<0.001). Infertility was accepted as a reason of divorce in
only 13% of infertile couples (p<0.001). The majority of perticipnats,
irrespective of sex, rejected that infertile women or men lost sexual appeal
(86%; p<0.001). Conclusions: There is significant effect of infertility on
familial attitudes and perception of sexuality of infertile couples.
Unfortunately, there is significant negative social stigma on infertile couples.
PMID- 29662718
TI - Is the measurement of the size of uterine lesions with positron emission
tomography consistent in pre- and postmenopausal periods in endometrioid-type
endometrial cancer?
AB - Objective: We aimed to investigate the correlation of the size and volume of
uterine tumors obtained using positron emission tomography/computed tomography
(PET/CT) and pathology specimens in patients with endometrioid-type endometrial
cancer (EEC) in the premenopausal period, and to compare the results with those
of postmenopausal women. In the premenopausal period, the endometrium uses more
glucose than in the postmenopausal period. Therefore, the measurement of uterine
tumor size using PET/CT in the premenopausal period may normally be different.
Materials and Methods: In this retrospective study, we reviewed the records of
patients who were diagnosed as having EEC and underwent hysterectomy. Only
patients who underwent preoperative PET/CT imaging were included in the study.
The thickness and volume of the uterine lesion, and its maximum standardized
uptake value as obtained using PET/CT and hysterectomy pathology specimens were
recorded. Results: Tumor size (p=0.051) and volume (p=0.404) were not found to be
correlated with the imaging method used in premenopausal women and pathologic
specimens. However, there was a correlation in postmenopausal women (p<0.001 for
tumor size and p<0.001 for tumor volume). PET/CT has higher sensitivity,
specificity, and positive predictive value in the postmenopausal period in the
detection of >20 mm uterine tumors. Conclusion: PET/CT has a limited role in the
measurement of the size of uterine lesions in all patients, especially in the
premenopausal period; therefore, we recommend that frozen-section examinations be
used intraoperatively to decide on lymph node dissection.
PMID- 29662717
TI - Tumor necrosis factor alfa and interleukin 1 alfa induced phosphorylation and
degradation of inhibitory kappa B alpha are regulated by estradiol in endometrial
cells.
AB - Objective: When bound to the inhibitory kappa B (IkB) protein, the transcription
factor nuclear factor kappa B (NF-kB) remains inactively in the cytoplasm.
Activated NF-kB upregulates the gene expression of many chemokines including
monocyte chemoattractant protein-1 and interleukin (IL)-8. We hypothesized that
estrogen may regulate IkB phosphorylation and degradation thus influencing NF-kB
dependent gene expression. Regulation of chemokines by estrogen is different in
uterine endometrial cells when compared to ectopic endometrial cells of
endometriosis. Materials and Methods: We investigated the in vivo expression of
IkB in normal endometrium and in eutopic and ectopic endometrium of women with
endometriosis. We then studied in cultured endometrial cells to assess the
effects of estradiol on IkB and NF-kB function. Results: Normal endometrium from
mid-late proliferative phase revealed the strongest IkB immunoreactivity
throughout the cycle (p<0.05). When compared to paired homologous eutopic
endometrium, ectopic endometrium revealed significantly less immunoreactivity for
IkB (p<0.05). Moreover, estradiol induced a decrease in tumor necrosis factor-and
IL-1-induced IkB phosphorylation, and also decreased the levels of active-NF-kB
(p<0.05). Conclusion: Our results support the conclusion that one pathway for
estradiol-mediated NF-kB inhibition occurs through the down-regulation of IkB
phosphorylation. We propose that the estradiol-induced regulation of IkB and
consequent reduction in active-NF-kB may affect inflammatory responses in human
endometrial cells.
PMID- 29662719
TI - The importance of uterosacral ligament anatomy in overactive bladder: A
preliminary study.
AB - Objective: To evaluate whether uterosacral ligament (USL) thickness measured
using magnetic resonance imaging (MRI) was associated with overactive bladder
(OAB) in otherwise healthy women. Materials and Methods: The study comprised 27
women with OAB and 27 healthy women (control group) who were followed up at the
Obstetrics and Gynecology Department of a tertiary referral center. All subjects
were evaluated using pelvic MRI to determine the transverse USL thickness. These
measurements were compared between the two groups. p values less than 0.05 were
considered statistically significant. Results: The mean age of women in the OAB
and control groups were 43.88+/-9.36 years and 39.92+/-5.36 years, respectively.
The mean body mass index in the OAB group was 29.77+/-4.82 kg/m2 and 27.49+/-3.44
kg/m2 in the control group. In the comparison of Pelvic Organ Prolapse
Quantification system stages between the groups, no statistically significant
relationship was determined. In the OAB group, the mean right USL thickness was
2.04+/-0.34 mm, and the mean left USL was 2.04+/-0.52 mm. In the control group,
the mean right USL thickness was 2.17+/-0.47 mm, and the mean left USL was 2.09+/
0.51 mm. There were no statistically significant differences in terms of USL
thickness between the OAB and control groups (p>0.05). Conclusion: No previous
studies have been identified in the literature that have investigated the
relationship between USL thicknesses and urinary incontinence. In the present
study, no significant relationship could be demonstrated between right and left
USL thicknesses of the OAB and control groups. This was a preliminary study, and
further research with larger sample sizes is required to reach a final
conclusion.
PMID- 29662720
TI - Role of Active Versus Passive Complementary and Integrative Health Approaches in
Pain Management.
AB - Background: A general conclusion about the treatment of chronic, noncancer pain
is that the results from traditional, passive modalities are disheartening.
Perhaps this may be due to the propensity of patients to seek out passive versus
active treatments. In pain management, active treatments should be the primary
focus, with passive interventions as an adjunct. Objective: The current study
tested the hypotheses that Veterans would report a greater significant increase
in active versus transitional and active versus passive complementary and
integrative health (CIH) utilization after completing a formal pain education
program. Methods: The current study is a secondary analysis of existing data from
an original study. The current study used a quasi-experimental, 1-group, pre
/posttest design. One hundred three Veterans completed a 12-week, "Pain Education
School" program at a Midwestern VA Medical Center between November 4, 2011, and
October 26, 2012. As part of the introduction and conclusion of the program, all
Veterans completed a pre- and posteducation assessment which included an
adaptation of the Complementary and Alternative Medicine Questionnaire(c),
SECTION A: Use of Alternative Health Care Providers measure. Results: Significant
differences were found between the pre- and posttest measures of use of active (P
= .000) (p<.001), transitional (P = .011), and passive (P = .007) CIH modalities.
Conclusion: The current findings suggest that an educational intervention in
conjunction with the availability of treatment options has the potential to
increase the use of those treatments. The current pain education program also
seems to be aligned with the goal of pain self-management, which is to utilize
more active interventions as a primary therapy.
PMID- 29662721
TI - Neural Mechanisms of Qigong Sensory Training Massage for Children With Autism
Spectrum Disorder: A Feasibility Study.
AB - Objectives: Despite the enormous prevalence of autism spectrum disorder (ASD),
its global impact has yet to be realized. Millions of families worldwide need
effective treatments to help them get through everyday challenges like eating,
sleeping, digestion, and social interaction. Qigong Sensory Training (QST) is a
nonverbal, parent-delivered intervention recently shown to be effective at
reducing these everyday challenges in children with ASD. This study tested the
feasibility of a protocol for investigating QST's neural mechanism. Methods:
During a single visit, 20 children, 4- to 7-year-old, with ASD viewed images of
emotional faces before and after receiving QST or watching a video (controls).
Heart rate variability was recorded throughout the visit, and power in the high
frequency band (0.15-0.4 Hz) was calculated to estimate parasympathetic tone in 5
s nonoverlapping windows. Cerebral oximetry of prefrontal cortex was recorded
during rest and while viewing emotional faces. Results: 95% completion rate and
7.6% missing data met a priori standards confirming protocol feasibility for
future studies. Preliminary data suggest: (1) during the intervention,
parasympathetic tone increased more in children receiving massage (M = 2.9, SD =
0.3) versus controls (M = 2.5, SD = 0.5); (2) while viewing emotional faces post
intervention, parasympathetic tone was more affected (reduced) in the massage
group (p = 0.036); and (3) prefrontal cortex response to emotional faces was
greater after massage compared to controls. These results did not reach
statistical significance in this small study powered to test feasibility.
Discussion/Conclusion: This study demonstrates solid protocol feasibility. If
replicated in a larger sample, these findings would provide important clues to
the neural mechanism of action underlying QST's efficacy for improving sensory,
social, and communication difficulties in children with autism.
PMID- 29662723
TI - HIV and Chronic Pain, Part 2: Addressing the Opioid Public Health Crisis.
PMID- 29662722
TI - Acupuncture for Chronic Pain in the Vermont Medicaid Population: A Prospective,
Pragmatic Intervention Trial.
AB - Introduction: In response to the opioid crisis, the 2016 Vermont legislature
commissioned a study to assess acupuncture for patients with chronic pain in the
Vermont Medicaid population. Objective: To assess the feasibility, acceptability,
and effectiveness of acupuncture provided by licensed acupuncturists for Vermont
Medicaid patients with chronic pain. Methods: A total of 156 Medicaid patients
with chronic pain were offered up to 12 acupuncture treatments within a 60-day
period at the offices of 28 Vermont licensed acupuncturists. PROMIS(r)
questionnaires were administered prior to and at the end of the treatment period
to assess changes in pain intensity, pain interference, physical function,
fatigue, anxiety, depression, sleep disturbance, and social isolation.
Questionnaires also captured patients' overall impressions of treatments as well
as self-reported changes in medication use and work function. Results: One
hundred eleven women (71%) and 45 men (29%) with a wide range of pain complaints
received a mean of 8.2 treatments during the intervention period. Measurements
captured prior to and at the end of the treatment period showed significant
improvements in group mean pain intensity, pain interference, physical function,
fatigue, anxiety, depression, sleep disturbance, and social isolation as assessed
by Patient-Reported Outcomes Measurement Information System (PROMIS) measures
(paired t tests, P < .01). Fifty-seven percent of patients using analgesic
(nonopioid) medication reported reductions in use. Thirty-two percent of patients
using opioid medication reported reductions in use of opioid medication following
the intervention. Seventy-four percent of employed patients reported improved
capacity to work. Ninety-six percent of patients said that they would recommend
acupuncture to others with chronic pain, and 91% reported qualitative
improvements, including physical (31%), functional/behavioral (29%), and psycho
emotional (24%) improvements. Conclusions: Our findings demonstrate that
acupuncture treatment for chronic pain is feasible and well received by patients
in the Vermont Medicaid population. Receiving care from Licensed Acupuncturists
was associated with significant improvements in physical, functional, psycho
emotional, and occupational outcomes compared with before receiving acupuncture
treatments.
PMID- 29662724
TI - Frequency and Determinants of Inappropriate Use of Treadmill Stress Test for
Coronary Artery Disease.
AB - Background In developing countries like Pakistan, treatment is mediated by
private and public healthcare setups with a limited budget for health facilities.
Moreover, the inappropriate use of treadmill tests imposes a burden on healthcare
resources and leads to unwarranted interventions. Our aim is to assess the
prevalence and predictors of inappropriate referrals for the exercise tolerance
test (ETT) to diagnose coronary artery disease (CAD) while taking public and
private healthcare settings into consideration. Methods A cross-sectional study
was conducted to find the prevalence of the inappropriate use of ETT to diagnose
obstructive CAD and to determine the factors responsible for it. A total of 264
patients were enrolled from outpatient departments in Karachi. The inclusion
criterion was the referral of treadmill testing for the diagnosis of CAT. The
analysis was performed by logistic regression models to ascertain independent
predictors of inappropriate use. Results Exercise stress tests were found to be
inappropriate in 209 (79%) patients. The study indicated that the majority of
patients had a low or very low pre-test probability of CAD. Diabetes,
hypertension, and dyslipidemia were less frequent in the inappropriate as
compared to the appropriate referrals (10%, 45%, and 16% versus 20%, 69%, and
32%). Both public and private sectors showed a high prevalence of inappropriate
testing, but it was much higher in the latter (27% versus 73%, P < 0.001). In all
regression models, the private healthcare system was the major independent
predictor for inappropriate indications of ETT with an average odds ratio of 4.9
(P < 0.001). Conclusion The high prevalence of ETT referrals was found for the
diagnosis of CAD. This result was consistent with both public and private
healthcare systems, but it was considerably higher in private setups.
Comorbidities, number of risk factors, and cardiovascular risk were not
associated with the inappropriate use of ETT.
PMID- 29662725
TI - Multiple Cranial Neuropathies in a Patient with Diffuse Large B-cell Lymphoma:
Case Report and Review of Literature.
AB - Neuropathies can occur in patients with diffuse large B-cell lymphoma (DLBCL) at
any stage of the disease as a presenting symptom or during later stages of
illness. A wide spectrum of neurological association is known to occur with
DLBCL, ranging from cranial nerve palsies to peripheral neuropathies. Evaluation
of cranial and peripheral neuropathies in patients with DLBCL requires meticulous
clinical, imaging, and electrodiagnostic testing. A 75-year-old right-handed
female with a known history of multiple cranial neuropathies and DLBCL presented
with acute dysphagia and upper extremity weakness of one-week duration. On
evaluation, she was found to have right vagal nerve palsy. Cerebrospinal fluid
(CSF) analysis along with flow cytometry testing showed CD19 and CD20 positive B
cells, confirming neoplastic infiltration of CSF. We describe the case and review
the literature of the association of cranial nerve palsies with DLBCL.
PMID- 29662726
TI - Chronic Debilitating Headache in Adults Caused by Craniocerebral Disproportion:
Treatment by Cranial Vault Expansion.
AB - Craniocerebral disproportion is rarely considered as a cause for chronic,
debilitating headache in adults. Children reported with this disorder typically
suffer from headaches and lethargy for many years and have multisutural
synostosis. The terms craniocerebral disproportion, craniostenosis, and slit
ventricle syndrome are used inconsistently as diagnostic designations. Three
adults with craniocerebral disproportion who had been treated in infancy for two
different pathologies are reported. All benefited greatly from cranial vault
expansion.
PMID- 29662727
TI - Paratesticular Serous Papillary Carcinoma of High Grade and Malignant Potential:
A Rare Case with a Role for Adjuvant Therapy.
AB - Paratesticular serous papillary carcinomas are very rare, with less than 40 cases
reported in the literature. These neoplasms are Mullerian in origin, and more
commonly seen as epithelial-type ovarian cancer. Given the rarity of this tumor
in men, staging and recommended treatment options do not exist. Herein, we
present the case of a 35-year-old male with high-grade invasive serous papillary
carcinoma. He was diagnosed after left radical orchiectomy for paratesticular
mass and subsequently treated with adjuvant chemotherapy according to existing
recommendations for its ovarian counterpart. Chemotherapy was well tolerated and
surveillance imaging has shown no evidence of disease. This case suggests a
potential role for adjuvant therapy in patients with high-grade paratesticular
serous papillary carcinoma.
PMID- 29662728
TI - Breastfeeding and Weaning: Practices in Urban Slums of Southern Punjab, Pakistan.
AB - Objective Proper breastfeeding and weaning practices are effective ways of
reducing childhood morbidity and mortality. This study aimed to find out
breastfeeding and weaning practices among infants of six months to one year in
urban slums of Bahawalpur city. By evaluating the knowledge and attitude of
lactating mothers regarding their child feeding habits, modifications and
specific interventions can be implemented to improve the breastfeeding and
weaning practices of the area. Methods A cross-sectional descriptive study was
carried out in the Shahdrah slums of Bahawalpur City after getting approval from
the institutional review board of Quaid-e-Azam Medical College, Bahawalpur. The
survey was conducted from April 10, 2017 to May 30, 2017. One hundred mothers of
infants aging six months to one year of age were interviewed. Mothers filled a
customized questionnaire, consisting of questions about logistic variables,
breastfeeding, and weaning. Statistical analysis was performed using Statistical
Package for the Social Sciences (SPSS) version 22.0 (IBM Corp. Armonk, NY, USA).
Results The mean age of the women was 24+2 years. It was found that 74% (n=74) of
the mothers had one to three children, 85% (n=85) of the mothers were
breastfeeding their infants at the time of the study, 40% (n=40) of the mothers
were illiterate, 16% (n=16) of the mothers had secondary education, and 87%
(n=87) of the mothers were nonworking women. Out of 85 women who were
breastfeeding, 95% (n=80) of the women were 18-23 years of age. We found that 57%
(n=57) of the infants were six to nine months old and the remaining 43% (n=43)
were up to 12 months of age. Regarding the initiation of breastfeeding, 60% of
the females started soon after delivery, and 32% started within two to seven
days. We found that 70% (9/13) of the working women and 87.4% (76/87) of the non
working women were breastfeeding. The study found that 92.3% (12/13) of the
working women and 88.5% (77/87) of the non-working women were weaning. Conclusion
A majority of the mothers were breastfeeding and weaning their babies. The
factors documented were young age, low parity, no working status, and nuclear
families. The commonly used feeding materials were cereals, banana, rice, and
bread. No side effects of weaning were observed. Weaning was associated by late
age, parity, non-working status, educational status of father, and nuclear
families.
PMID- 29662729
TI - The Clinical Evaluation of Alcohol Intoxication Is Inaccurate in Trauma Patients.
AB - BACKGROUND: Discharging patients from emergency centers based on the clinical
features of intoxication alone may be dangerous, as these may poorly correlate
with ethanol measurements. OBJECTIVE: We determined the feasibility of utilizing
a hand-held breath alcohol analyzer to aid in the disposition of intoxicated
trauma patients by comparing serial breathalyzer (Intoximeter, Alco-Sensor FST,
St. Louis, Missouri, USA] data with clinical assessments in determining the
readiness of trauma patients for discharge. METHODS: A total of 20 legally
intoxicated (LI) patients (blood alcohol concentration (BAC) >80 mg/dL) brought
to our trauma center were prospectively investigated. Serial breath samples were
obtained using a breathalyzer as a surrogate measure of repeated BAC. A clinical
exam (nystagmus, one-leg balance, heel-toe walk) was performed prior to each
breath sampling. RESULTS: The enrollees were 85% male, age 30+/-10 (range 19-51),
with a body mass index (BMI) of 29+/-7. The average initial body alcohol level
(BAL) was 245+/-61 (range 162-370) mg/dL. Based on breath samples, the alcohol
elimination rates varied from 21.5 mg/dL/hr to 45.7 mg/dL/hr (mean 28.5
mg/dL/hr). There were no significant differences in alcohol elimination rates by
gender, age, or BMI. The clinical exam also varied widely among patients; only
seven of 16 (44%) LI patients demonstrated horizontal nystagmus (suggesting
sobriety when actually LI) and the majority of the LI patients (66%) were able to
complete the balance tasks (suggesting sobriety). CONCLUSION: Intoxicated trauma
patients have an unreliable clinical sobriety exam and a wide range of alcohol
elimination rates. The portable alcohol breath analyzer represents a potential
option to easily and inexpensively establish legal sobriety in this population.
PMID- 29662730
TI - Myelopathy Improvement Following Removal of Cervical Sublaminar Wiring.
AB - Posterior cervical wiring has been used by spine surgeons in fixation procedures
for patients with spinal instability. It is historically considered an effective
method of treating cervical instability with a low risk of complications leading
to neurological deterioration. We experienced a case of delayed neurological
decline associated with myelopathy, lower extremity spasticity, and associated
syringomyelia secondary to instrumentation failure and resultant sublaminar wire
protrusion into the cervical spinal cord. In the present case, the construct was
removed and the patient underwent a durotomy repair and a posterior fusion of
cervical levels 1 and 2 via screw placement under image guidance with a
subsequent functional improvement back to baseline. We report this case and
review the literature on the complications associated with cervical wire fusion
and the methods of minimizing these risks.
PMID- 29662731
TI - Intraventricular Haemorrhage Complicated by Hydrocephalus in an Acutely
Encephalopathic Preterm Infant.
AB - Intraventricular haemorrhage (IVH) is characterized by bleeding of the immature
subependymal germinal matrix in preterm infants, but the pathogenesis is
multifactorial. IVH and posthaemorrhagic hydrocephalus (PHH) are common causes of
neonatal morbidity and mortality among preterm infants. We describe a preterm
male infant who was born clinically stillbirth; became moderately severe
encephalopathic. He had bilateral IVH (III right and IV left) with consequent
PHH. His incredible outcome following a stormy perinatal period appears
intriguing. Long-term follow-up is needed to evaluate the severity of deficits as
he matures. Whether therapeutic cooling would have made a difference or not is
debatable.
PMID- 29662732
TI - Institutional Review of Hemorrhagic Pelvic Emergencies Effectively Managed with
Percutaneous Arterial Embolization.
AB - OBJECTIVE: Our aim was to review the results of pelvic arterial embolization
(PAE) performed in the interventional radiology suite. METHOD: The data of all
patients in whom pelvic angioembolization was performed was collected from July
2011 to June 2017. Procedures were performed by an experienced interventional
radiologist. The clinical and laboratory data, as well as the outcome data, were
obtained from the medical records of our hospital. The following parameters were
collected for each patient, including the age, gender, presenting symptoms, site
of bleeding, catheters used for embolization, material used for embolization,
previous computed tomography (CT) scan and/or focused assessment with sonography
for trauma (FAST) ultrasound, average hemoglobin before the procedure, and
patient clinical status on discharge. RESULT: A total of 37 patients underwent
pelvic angiography for acute hemorrhage at our institution. They had contrast
blush, active extravasation, or abnormal vascularity from the branches of the
internal iliac artery and underwent therapeutic transcatheter embolization. A
total of 29 patients (78.3%) were male and 8 (21.7%) were female. The average age
was 30.0 years (range: 6-90 year). Of these, 16 patients (43.2%) presented with
road traffic accidents (RTAs), six with gunshot injuries (16.2%), six with
iatrogenic injuries (16.2%), four with a history of a fall (10.8%), two with bomb
blast injuries (5.4%), one with a history of a glass injury (2.7%), one had a
history of a roof falling on her during an earthquake, and one patient had a
pelvic pseudoaneurysm secondary to an abscess. The type of embolic material used
for embolization included coils in 16 patients, polyvinyl alcohol (PVA) particles
were used in eight patients, both PVA particles and coils were used in 11
patients, and glue was used in one patient. All were successfully embolized.
Thirty-four were discharged while three patients expired during the course of
hospital stay due to other coexisting morbidities. CONCLUSION: The management of
pelvic injuries has always been a topic of debate, with multiple methods reported
to date but growing evidence supports the use of pelvic arterial embolization in
hemorrhagic pelvic injuries. The formulation of a standardized protocol is the
need of the day.
PMID- 29662733
TI - Psoriasis and Cardiovascular Diseases: A Literature Review to Determine the
Causal Relationship.
AB - Psoriasis is a chronic, complex autoimmune disease characterized by erythematous,
scaly patches over extensor aspects of skin and is associated with joint
involvement in about one-third of patients. An association between psoriasis and
cardiovascular diseases (CVD) has been a topic of dilemma, and many studies have
shown an increased risk of cardiovascular morbidity in patients with psoriasis.
There is increasing evidence that psoriasis is associated with higher risk of CVD
and increased prevalence of cardiovascular risk factors, as compared with the
general population. We provide an extensive review of the literature and adhere
to Gordis guidelines to show a positive association between psoriasis and
cardiovascular events.
PMID- 29662734
TI - Effect of a water extract of Curcuma longa on emotional states in healthy
participants.
AB - Physiological symptoms of mood disturbances, such as fatigue or anxiety, are
closely related to inflammation in the central nervous system or the whole body.
Curcuma longa is widely used as a dietary spice and has been reported to have
anti-inflammatory activity. To investigate the effect of a water extract of C.
longa (WEC) on emotional states, a randomized, double-blind, placebo-controlled,
parallel-group study was conducted with healthy participants. Forty-eight
participants were randomly assigned to receive five tablets containing 150 mg WEC
and 0.40 mg bisacurone (L-WEC group), five tablets containing 900 mg WEC and 2.40
mg bisacurone (H-WEC group), or matching placebo tablets (placebo group) daily
for 8 weeks. Participant emotional states were measured every 4 weeks using the
Profile of Mood States (POMS). The changes from week 0 to week 8 in the fatigue
score of the POMS were significantly lower in the L-WEC group than in the placebo
group. This result suggests that daily intake of 150 mg WEC may positively
influence emotional fatigue, and further investigation focused on emotional
fatigue is needed.
PMID- 29662735
TI - Effect of superoxide dismutase and manganese on superoxide tolerance in
Lactobacillus casei strain Shirota and analysis of multiple manganese
transporters.
AB - The Lactobacillus casei/paracasei group accumulates a high level of manganese,
which works to scavenge superoxide anions produced during aerobic growth. The
genome of L. casei strain Shirota, however, also codes the gene for superoxide
dismutase (SOD), sodA, which catalyzes the dismutation of superoxide anion into
hydrogen peroxide and oxygen. We anticipated that the SOD and/or manganese may
contribute to the aerobic growth of L. casei Shirota and tried to clarify how L.
casei Shirota can eliminate the toxicity of superoxide anion. When the sodA of L.
casei Shirota was cloned and expressed in Escherichia coli as well as in L. casei
Shirota, there was no increase in SOD activity detected, meaning that the protein
is in an inactive form, even if it is produced in L. casei Shirota. We next
focused on the role of the manganese transport system of L. casei Shirota. One
ABC-type manganese transporter (mtsCBA cluster) and three NRAMP-type manganese
transporters (mntH1, mntH2, and mntH3) are coded in the genome. To clarify the
role of these genes, we disrupted one or more of these manganese transporter
genes in different combinations and analyzed the intracellular manganese levels.
As a result, we found that NRAMP-type manganese transporters coded by mntH1 and
mntH2 and ABC-type manganese transporter coded by mtsCBA cluster are
complementarily involved in the accumulation of intracellular manganese and are
necessary for aerobic growth of L. casei Shirota. These results indicate that
intracellular manganese accumulated by multiple complementary manganese
transporters, but not SOD, plays a pivotal role in tolerance to superoxide in L.
casei Shirota.
PMID- 29662736
TI - Investigating the transmissibility of tet(W) in bifidobacteria exposed to acid
and bile stress.
AB - Transfer of antibiotic resistance genes from probiotic bacteria to pathogens
poses a safety concern. Orally administered probiotics are exposed to stressful
conditions during gastrointestinal transit. In this study, filter mating
experiments were performed to investigate the potential role of exposure of
Bifidobacterium isolates to acid and bile stress on the transfer of a
tetracycline resistance gene, tet(W), to Enterococcus faecalis ATCC 51299. No E.
faecalis transconjugants were obtained after mating with either stressed or
unstressed Bifidobacterium, thereby suggesting that tet(W) could not be
transferred as a result of exposure to gastrointestinal stresses.
PMID- 29662737
TI - 4G-beta-D-galactosylsucrose as a prebiotics may improve underweight in inpatients
with schizophrenia.
AB - Japanese inpatients with schizophrenia have a higher mortality risk due to
underweight compared with the general population. The aim of this study was to
investigate the effect of 4G-beta-D-galactosylsucrose on body weight in
underweight schizophrenia inpatients. The study population consisted of 5 male
and 11 female subjects aged 63.0 +/- 10.9 years. The subjects had ingested 3.0
g/day 4G-beta-D-galactosylsucrose for 6 months. BMI increased significantly, from
20.9 +/- 3.7 kg/m2 to 22.3 +/- 4.3 kg/m2, and this was accompanied by a
significant increase in Bifidobacterium in the fecal microbiota, which increased
from 16.1 +/- 12.6% to 21.5 +/- 13.9%. Although 4G-beta-D-galactosylsucrose
appears to have no significant effects on nutritional indicators such as serum
albumin, it may alleviate underweight in inpatients with schizophrenia. Body
weight may be related to fecal microbiota composition.
PMID- 29662738
TI - Editorial.
PMID- 29662739
TI - Decompression in Adult Lumbar Deformity Surgery Is Associated With Increased
Perioperative Complications but Favorable Long-Term Outcomes.
AB - Study Design: Retrospective cohort study. Objectives: To analyze the impact of
performing a formal decompression in patients with adult lumbar scoliosis with
symptomatic spinal stenosis on perioperative complications and long-term
outcomes. Methods: Adult patients undergoing at least 5 levels of fusion to the
sacrum with iliac fixation from 2002 to 2008 who had a minimum 5-year follow-up
at one institution were studied. Patients who had 3-column osteotomy were
excluded from the study. Perioperative complications and clinical outcomes
(Scoliosis Research Society [SRS], Oswestry Disability Index [ODI], and Numerical
Rating Scale [NRS] back/leg pain) were analyzed. Patients who underwent formal
laminectomy/decompressions were compared with those who did not. Differences
between the 2 groups were analyzed using Student's t test. Results: A total of
147 patients were included in the study (Decompression: n = 55 [37%], No
decompression: n = 92 [63%]). Average fusion levels for the decompression and no
decompression groups were 11 and 12 levels, respectively (P = .26). Mean
improvements in SRS domains for decompression versus no decompression patients,
respectively, were pain (1.1 vs 0.9, P = .3), function (0.7 vs 0.5, P = .09),
self-image (1.1 vs 1.1, P = .9), and mental health (0.5 vs 0.4, P = .5).
Furthermore, additional mean improvements were ODI (21 vs 21, P = .14), NRS-Back
pain (3.0 vs 1.3, P = .16), and NRS-Leg pain (3.9 vs 0.5, P = .002). Complication
rates between the decompression group and no decompression group differed in
incidental durotomies (18.2% vs 0%) and cardiac-related (9.1% vs 1.1%).
Conclusions: Performing a formal decompression in adult lumbar scoliosis with
symptomatic spinal stenosis is associated with increased perioperative
complications but favorable long-term clinical outcomes.
PMID- 29662740
TI - Low-Density Pedicle Screw Constructs for Adolescent Idiopathic Scoliosis:
Evaluation of Effectiveness and Cost.
AB - Study Design: Retrospective cohort study. Objective: To determine whether a low
density (LD) screw construct can achieve curve correction similar to a high
density (HD) construct in adolescent scoliosis. Methods: Patients treated
operatively for idiopathic scoliosis between 2007 and 2011 were identified
through a database review. A consistent LD screw construct was used. Radiographic
assessment included percent correction of major and fractional lumbar curves, T5
T12 kyphosis, and angle of lowest instrumented vertebra (LIV). Costs were
compared with HD constructs. Results: Thirty-five patients were included in the
analysis. Ages ranged from 12 to 19 years (mean = 14.9 years). Average screw
density was 1.2 screws per level (range = 1.07-1.5 screws). Mean percent curve
correction at latest follow-up: major curve, 66.9%; fractional lumbar curve, 63%.
Average postoperative thoracic kyphosis: 29.5 degrees . Mean LIV angle: 5.6
degrees . Average construct cost was $14 871 per case compared with $23 840 per
case if all levels had been instrumented with 2 screws, amounting to an average
savings of $9000. Conclusions: Our LD screw construct is among the lowest density
constructs reported and achieves curve correction comparable to HD constructs at
substantially lower cost.
PMID- 29662741
TI - Predictive Factors for the Outcome of Surgical Treatment of Lumbar Spondylolysis
in Young Sporting Individuals.
AB - Study Design: Retrospective consecutive case series. Objectives: Only few
sporting individuals with symptomatic lumbar pars injuries require surgical
repair and it is often difficult to predict the outcome following surgery. The
factors that predict the outcome after direct repair of lumbar pars defect was
evaluated clinically and statistically. The preoperative background variables
both subjective and objective as well as radiological evaluation were used in a
multiple regression model to find the strong predictors of postoperative outcome
as measured by VAS (visual analogue scores), ODI (Oswestry Disability Index) and
SF-36 (Short Form). Methods: Fifty-two consecutive young sporting individuals
with a mean age of 19 years (range 8-30 years) were treated surgically for lumbar
pars defect confirmed on imaging studies (ie, single-photon emission computed
tomography, computed tomography, and magnetic resonance imaging). Fifty patients
completed the VAS, ODI, and SF-36 questionnaires as a part of their assessment.
Preoperative background variables were used in a multiple regression model to
find the strongest predictor of postoperative outcome as measured by ODI. Ethical
approval was taken by the institutional review board. Results: Buck's screw
repair of the pars defect was carried out in 44 patients (33 males, 11 female):
unilateral in 8 patients (7 males, 1 female) and bilateral in 36 patients (26
males, 10 females). Although age at surgery showed linear colinearity (rho =
0.32, P < .05), it was not significant in the model. The most consistent
association with the preoperative VAS score were the pre- and postoperative ODI
scores, that is, rho = 0.51 (P < .01) and rho = 0.33 (P < .05), respectively. In
the bilateral group, with Buck's repair at a single level, that is, 33 of 36
(93%) patients had returned to sports at a mean time of 7.5 months (range 6-12
months). Overall, 44 of 52 (84%) individuals had returned to their sports with
posttreatment ODI score of <10. The stepwise regression modeling suggested 6
independent factors (preoperative ODI, preoperative SF-36 physical component
summary (PCS), Buck's repair, multiple operations, professionalism, and pars
defect at L3), as the determinants of the outcome (ie, postoperative ODI) in
80.9% patients (R2 = 0.809). Conclusions: The outcome after direct repair of pars
defect in those younger than 25 years runs a predictable course. Professionalism
in sports has a high impact on the outcome. Preoperative ODI and SF-36 PCS scores
are significant predictors of good functional outcome. The regression equation
can predict the outcome in 80.9% sporting individuals undergoing Buck's repair.
PMID- 29662742
TI - Total Disc Replacement Versus Anterior-Posterior Interbody Fusion in the Lumbar
Spine and Lumbosacral Junction: A Cost Analysis.
AB - Study Design: Prospective observational cohort study. Objectives: To analyze
clinical and economic results in patients with degenerative disc disease in the
lumbar area for patients who received combined anterior and posterior fusion or
total disc replacement (TDR). Methods: The study included 75 patients, 38 in the
fusion group and 37 in the TDR group, who received either anterior/posterior
fusion or TDR for lumbar disc disease from January 2005 to December 2008 with a
minimum follow-up of 24 months. We collected data with regard to clinical
parameters, demographics, visual analogue scale scores, Oswestry Disability Index
scores, SF-36 and SF-6D data, surgery time, amount of blood loss, transfusion of
blood products, number of levels, duration of hospital stay, and complications.
For cost analysis, general infrastructure, theatre costs, as well as implant
costs were examined, leading to primary hospital costs. Furthermore, average
revision costs were examined, based on the actual data. Statistical analysis was
performed using t tests for normal contribution and Mann-Whitney test for skew
distributed values. The significance level was set to .05. Results: There was a
higher surgery time, more blood loss, and longer hospital stay for the fusion
group, compared with the TDR group. In addition, the hospital costs for the
primary procedure and revision were 35% higher in the fusion group. The clinical
data in terms of SF-36 and SF-6D showed no difference between these 2 groups.
Conclusions: TDR is a good alternative to anterior and posterior lumbar fusion in
terms of short follow-up analysis for clinical data and cost analysis. General
advice cannot be given due to missing data for long-term costs in terms of
surgical treatment of adjacent level or further fusion techniques.
PMID- 29662743
TI - Trends Analysis of rhBMP2 Utilization in Single-Level Anterior Lumbar Interbody
Fusion in the United States.
AB - Study Design: Retrospective case study. Objective: To evaluate the trends and
demographics of recombinant human bone morphogenetic protein 2 (rhBMP2)
utilization in single-level anterior lumbar interbody fusion (ALIF) in the United
States. Methods: Patients who underwent single-level ALIF from 2005 to 2011 were
identified by searching ICD-9 diagnosis and procedure codes in the PearlDiver
Patient Records Database (PearlDiver Technologies, Fort Wayne, IN), a national
database of orthopedic insurance records. The year of procedure, age, gender, and
region of the United States were analyzed for each patient. Results: A total of
921 patients were identified who underwent a single-level ALIF in this study. The
average rate of single-level ALIF with rhBMP2 utilization increased (35%-48%)
from 2005 to 2009, but sharply decreased to 16.7% in 2010 and 15.0% in 2011. The
overall incidence of single-level ALIF without rhBMP2 (0.20 cases per 100 000
patients) was more than twice of the incidence of single-level ALIF with rhBMP2
(0.09 cases per 100 000 patients). The average rate of single-level ALIF with
rhBMP2 utilization is highest in West (41.4%), followed by Midwest (33.3%), South
(26.5%) and Northeast (22.2%). The highest incidence of single-level ALIF with
rhBMP2 was observed in the group aged less than 65 years (compared with any other
age groups, P < .001), with an incidence of 0.21 per 100 000 patients.
Conclusions: The incidence of rhBMP2 utilization in single-level ALIF increased
from 2006 to 2009, but decreased in 2010 and 2011. The Northeast region had the
lowest incidence of rhBMP2 utilization. The group aged less than 65 years trended
to have the higher incidence of single-level ALIF with rhBMP2 utilization.
PMID- 29662744
TI - Postoperative Complications Associated With rhBMP2 Use in
Posterior/Posterolateral Lumbar Fusion.
AB - Study Design: Retrospective database review. Objective: Posterior/posterolateral
lumbar fusion (PLF) is an effective treatment for a variety of spinal disorders;
however, variations in surgical technique have different complication profiles.
The aim of our study was to quantify the frequency of various complications in
patients undergoing PLF with and without human recombinant bone morphogenetic
protein 2 (rhBMP2). Methods: We queried the orthopedic subset of the Medicare
database (PearlDiver) between 2005 and 2011 for patients undergoing PLF
procedures with and without rhBMP2. Complication and reoperation rates were
analyzed within 1 year of the index procedure. Complications assessed include:
acute renal failure, deep vein thrombosis, dural tear, hematoma, heterotopic
ossification, incision and drainage, cardiac complications, nervous system
complications, osteolysis, pneumonia, pseudarthrosis, pulmonary embolism,
radiculopathy, respiratory complications, sepsis, urinary retention, urinary
tract infection, mechanical, and wound complications. Chi-square analysis was
used to calculate the complication differences between the groups. Results: Our
data revealed higher overall complication rates in patients undergoing PLF with
rhBMP2 versus no_rhBMP2 (76.9% vs 68.8%, P < .05). Stratified by gender, rhBMP2
males had higher rates of mechanical complications, pseudarthrosis, and
reoperations compared with no_rhBMP2 males (P < .05), whereas rhBMP2 females had
higher rates of pseudarthrosis, urinary tract infection, and urinary retention
compared with no_rhBMP2 females (P < .05). Conclusion: Our data revealed higher
overall complication rates in PLF patients given rhBMP2 compared with no_rhBMP2.
Furthermore, our data suggests that rhBMP2-associated complications may be gender
specific.
PMID- 29662745
TI - Negative Sagittal Balance Following Adult Spinal Deformity Surgery.
AB - Study Design: Retrospective cohort study. Objective: Elucidate negative sagittal
balance following adult spinal deformity surgery. Methods: We conducted a
retrospective review of adult spinal deformity patients who underwent long fusion
(>5 levels) to the sacrum by a single surgeon at a single institution between
2011 and 2015. Patients were divided into cohorts of postoperative sagittal
vertical axis (SVA) <-10 mm, between -10 and +10 mm, or >+10 mm, denoted as
groups 1, 2, and 3, respectively. Univariate analysis compared preoperative
factors between the groups, and a multivariable logistic regression model was
used to determine independent risk factors for developing a negative sagittal
balance (SVA<-10 mm) following adult spinal deformity correction. Results: We
reviewed 8 patients in group 1, 9 patients in group 2, and 25 patients in group
3. The average postoperative SVA for group 1, group 2, and group 3 were -30.99,
+3.67, and +55.56 mm, respectively. There was a trend toward higher upper
instrumented vertebra (UIV) in group 1 (T2) compared with group 2 (T10) and group
3 (T9) (P = .05). A trend toward lower preoperative SVA in groups 1 and 2
compared with group 3 was also seen (+53.36 vs +71.73 vs +122.80 mm) (P = .06).
Finally, we found a trend toward lower body mass index in group 1 compared with
groups 2 and 3 (24.71 vs 25.92 vs 29.33 kg/m2) (P = .07). Based on multivariable
regression, higher UIV was found to be a statistically significant independent
predictor for developing a postoperative negative sagittal balance of <-10 mm (P
= .02, odds ratio = 0.67). Conclusions: Our results demonstrate that a higher UIV
may predispose patients undergoing adult spinal deformity correction to have a
postoperative negative sagittal balance.
PMID- 29662746
TI - The Predictive Value of Preoperative Health-Related Quality-of-Life Scores on
Postoperative Patient-Reported Outcome Scores in Lumbar Spine Surgery.
AB - Study Design: A single-center, retrospective cohort study. Objective: To predict
patient-reported outcomes (PROs) using preoperative health-related quality-of
life (HRQoL) scores by quantifying the correlation between them, so as to aid
selection of surgical candidates and preoperative counselling. Methods: All
patients who underwent single-level elective lumbar spine surgery over a 2-year
period were divided into 3 diagnosis groups: spondylolisthesis, spinal stenosis,
and disc herniation. Patient characteristics and health scores (Oswestry Low Back
Pain and Disability Index [ODI], EQ-5D, and Short Form-36 version 2 [SF-36v2])
were collected at 6 and 24 months and compared between the 3 diagnosis groups.
Multivariate modelling was performed to investigate the predictive value of each
parameter, particularly preoperative ODI and EQ-5D, on postoperative ODI and EQ
5D scores for all the patients. Results: ODI and EQ-5D at 6 and 24 months
improved significantly for all patients, especially in the disc herniation group,
compared to the baseline. The magnitude of improvement in ODI and EQ-5D was
predictable using preoperative ODI, EQ-5D, and SF-36v2 Mental Component Score. At
6 months, 1-point baseline ODI predicts for 0.7-point increase in changed ODI,
and a 0.01-point increase in baseline EQ-5D predicts for 0.01-point decrease in
changed EQ-5D score. At 24 months, 1-point baseline ODI predicts for 1-point
increase in changed ODI, and a 0.01-point increase in baseline EQ-5D predicts for
0.009-point decrease in changed EQ-5D. A younger age is shown to be a positive
predictor of ODI at 24 months. Conclusions: Poorer baseline health scores predict
greater improvement in postoperative PROs at 6 and 24 months after the surgery.
HRQoL scores can be used to decide on surgery and in preoperative counselling.
PMID- 29662748
TI - National Trends for Primary and Revision Lumbar Disc Arthroplasty Throughout the
United States.
AB - Study Design: Retrospective database study. Objectives: Analysis of economic and
demographic data concerning lumbar disc arthroplasty (LDA) throughout the United
States to improve value-based care and health care utilization. Methods: The
National Inpatient Sample database was queried for patients who underwent primary
or revision LDA between 2005 and 2013. Demographic and economic data included
total surgeries, costs, length of stay, and frequency of routine discharge. The
National Inpatient Sample database represents a 20% sample of discharges from US
hospitals weighted to provide national estimates. Results: Primary LDA decreased
86% from 3059 to 420 from 2005 to 2013. The mean total cost of LDA increased 33%
from $17 747 to $23 804. The mean length of stay decreased from 2.8 to 2.4 days.
The mean routine discharge (home discharge without visiting nursing care)
remained constant at 91%. Revision procedures (removal, supplemental fixation, or
reoperation at the treated level) declined 30% from 194 to 135 cases over the
study period. The mean revision burden, defined as the ratio of revision
procedures to the sum of primary and revision procedures, was 12% (range 6% to
24%). The mean total cost of revisions ranged from $12 752 to $22 282.
Conclusions: From 2005 to 2013, primary LDA significantly declined in the United
States by 86% despite several studies pointing to improved efficacy and cost
efficiency. This disparity may be related to a lack of surgeon reimbursement from
insurance companies. Congruently, the number of revision LDA cases has declined
30%, while revision burden has risen from 6% to 24%.
PMID- 29662747
TI - Nutritional Insufficiency as a Predictor for Adverse Outcomes in Adult Spinal
Deformity Surgery.
AB - Study Design: Retrospective analysis of prospectively collected data. Objective:
The effect of malnutrition on outcomes after general surgery has been well
reported in the literature. However, there is a paucity of data on the effect of
malnutrition on postoperative complications during adult deformity surgery. The
study attempts to explore and quantify the association between hypoalbuminemia
and postoperative complications. Methods: A retrospective cohort analysis was
performed on the American College of Surgeons National Surgical Quality
Improvement Program (ACS NSQIP) database from 2010 to 2014. Patients (>=18 years
of age) from the NSQIP database undergoing adult deformity surgery were separated
into cohorts based serum albumin (<3.5 or >3.5 g/dL). Chi-square and multivariate
logistic regression models were used to identify independent risk factors.
Results: A total of 2236 patients met the inclusion criteria for the study, of
which 2044 (91.4%) patients were nutritionally sufficient while 192 (8.6%)
patients were nutritionally insufficient. Multivariate logistic regressions
revealed nutritional insufficiency as a risk factors for mortality (odds ratio
[OR] = 15.67, 95% confidence interval [CI] = 6.01-40.84, P < .0001), length of
stay >=5 days (OR = 2.22, 95% CI = 1.61-3.06, P < .0001), any complications (OR =
1.82, 95% CI = 1.31-2.51, P < .0001), pulmonary complications (OR = 2.29, 95% CI
= 1.29-4.06, P = .005), renal complications (OR = 2.71, 95% CI = 1.05-7.00, P =
.039), and intra-/postoperative red blood cell transfusion (OR = 1.52, 95% CI =
1.08-2.12, P = .015). Conclusions: This study demonstrates that preoperative
hypoalbuminemia is a significant and independent risk factor for postoperative
complications, 30-day mortality, and increased length of hospital in patients
undergoing adult deformity surgery surgery. Nutritional status is a modifiable
risk factor that can potentially improve surgical outcomes after adult deformity
surgery.
PMID- 29662749
TI - Adverse Events Following Cervical Disc Arthroplasty: A Systematic Review.
AB - Study Design: Systematic review. Objectives: Cervical arthroplasty is an
increasingly popular treatment of cervical radiculopathy and myelopathy. An
understanding of the potential adverse events (AEs) is important to help both
clinicians and patients. We sought to provide a comprehensive systematic review
of the AEs reported in all randomized controlled trials (RCTs) of cervical disc
arthroplasty in an attempt to characterize the quality of reporting. Methods: We
conducted a systematic review of MEDLINE and Web of Science for RCTs of cervical
disc arthroplasty reporting AEs. We reported the most frequently mentioned AEs,
including dysphagia/dysphonia, vascular compromise, dural injury, and infections.
We recorded the presence of industry funding and scored the quality of collection
methods and reporting of AEs. Results: Of the 3734 identified articles, 29
articles met full inclusion criteria. The quality of AE reporting varied
significantly between studies, and a combined meta-analysis was not feasible. The
29 articles covered separate 19 RCTs. Eight studies were US Food and Drug
Administration (FDA) investigational device exemption (IDE) trials. Rates were
recorded for the following AEs: dysphagia/dysphonia (range = 1.3% to 27.2%),
vascular compromise (range = 1.1% to 2.4%), cervical wound infection (range =
1.2% to 22.5%), and cerebrospinal fluid leak (range = 0.8% to 7.1%). Conclusions:
There is a lack of consistency in reporting of AEs among RCTs of cervical
arthroplasty. FDA IDE trials scored better in AE event reporting compared to
other studies. Standardized definitions for AEs and standardized data collection
methodology are needed to improve future studies.
PMID- 29662750
TI - Return to Play in Adolescent Athletes With Symptomatic Spondylolysis Without
Listhesis: A Meta-Analysis.
AB - Study Design: Meta-analysis. Objectives: To assess return to play (RTP) rates in
adolescent athletes with lumbar spondylolysis without spondylolisthesis treated
conservatively or operatively. Methods: A review of Medline, EMBASE, and Cochrane
Reviews was performed. The pooled results were performed by calculating the
effect size based on the logit event rate. Studies were weighted by the inverse
of the variance. Confidence intervals were reported at 95%. Heterogeneity was
assessed using the Q statistic and I2 value. Results: The initial literature
search resulted in 724 articles, of which 29 were deemed relevant on abstract
review. Overall, 11 studies provided data for 376 patients with a pars
interarticularis defect. Return to athletic competition, based on logit event
rate, was found to be statistically favored after both nonoperative and operative
treatment (92.2% vs 90.3%). There was no heterogeneity noted among the studies
reporting nonoperative treatment (Q value of 4.99 and I2 value of 0). There was
mild heterogeneity within the operative studies (Q value of 3.54 and I2 value of
15.18). Conclusions: Adolescent athletes RTP 92.2% of the time with nonoperative
management, compared with 90.3% when treated operatively, though both treatment
groups strongly favor RTP. As this is the first study to pool results of all
relevant literature, it provides strong evidence to guide decision making and
help manage expectations in this unique patient population.
PMID- 29662751
TI - Blood Transfusion and Postoperative Infection in Spine Surgery: A Systematic
Review.
AB - Study Design: Systematic review. Objectives: Allogeneic blood transfusion-related
immunomodulation may relatively suppress the immune system, heightening the risk
of infection following spine surgery. This systematic review seeks to determine
whether allogeneic blood transfusion increases the risk of postoperative
infection and whether there are any factors that modify this association.
Methods: PubMed, Cochrane Central Register of Controlled Trials, and reference
lists from included studies were searched from inception to April 20, 2017 to
identify studies examining the risk of infection following allogeneic blood
transfusion in adult patients receiving surgery for degenerative spine disease.
Results: Eleven retrospective cohort or case-control studies, involving 8428
transfusion patients and 43 242 nontransfusion patients, were identified as
meeting the inclusion criteria. Regarding surgical site infection (SSI), the
results were mixed with roughly half reporting a significant association. There
was an association between allogeneic transfusion and urinary tract infection
(UTI) and any infection, but not respiratory tract infection. There was no
statistical modifying effect of lumbar versus thoracic surgery on the association
of allogeneic transfusion and SSI, though subgroup analyses in 3 of 4 studies
reported a statistical association between transfusion and postoperative
infections, including SSI, UTI, and any infection within the lumbar spine.
Conclusions: This systematic review failed to find a consistent association
between allogeneic transfusion and postoperative infection in spine surgery
patients. However, these studies were all retrospective with a high or moderately
high risk of bias. To properly examine this association an observational
prospective study of sufficient power, estimated as 2400 patients, is required.
PMID- 29662752
TI - Editorial Perspective.
PMID- 29662753
TI - 2017 Reviewer Thank You.
PMID- 29662754
TI - Magnesium-based implants: Beyond fixators.
AB - : The mystery behind magnesium-induced bone formation is revealed by linking the
neuropeptide(s) from sensory nerves with the osteogenic differentiation of stem
cells in the periosteum. Zhang and colleagues' study sheds light on the
development of magnesium pills or calcitonin gene-related peptide-delivery system
for the prevention or treatment of osteoporosis. The translational potential of
this article: For the first time, magnesium is shown to be beneficial for
fracture healing at the weight-bearing site. In addition, calcitonin gene-related
peptide-delivery system will also be another translational direction, as the
promotive role of calcitonin gene-related peptide in fracture healing is
supportive. These cost-effective and innovative treatment approaches will
definitely bring a reduction not only in the suffering of patients, but also in
the economic burden for their families and our society.
PMID- 29662755
TI - CYR61-An angiogenic biomarker to early predict the impaired healing in diaphyseal
tibial fractures.
AB - Background: Angiogenesis is a prerequisite for fracture repair, whereas
insufficient blood supply is likely to result in impaired healing. In the present
study, we aimed to determine the correlation of simple tibial fracture healing
outcome with serial estimation of CYR61 expressions in the early phase of
healing. Methods: In total, 107 adult fractured patients and 97 healthy controls
were analysed. Peripheral blood samples were taken from controls (at once) and
fractured patients at 4th, 7th, 10th, 15th, 20th and 28th days of post-fracture
follow-ups to quantify the CYR61 mRNA and protein expression by qRT-PCR and
Western blotting assay, respectively. Clinic-radiological follow-up was done at
6th, 10th, 16th, 20th, and 24th weeks of post-fracture follow-ups using RUST
scores to analyse the fracture healing progression and their final outcomes.
Results: By considering controls as Group I (n = 97), as per the clinico
radiological status at 24th week, fracture patients were divided into two groups:
Group II (normal healing, n = 91) and Group III (impaired healing, n = 16). Both
CYR61 mRNA and protein expressions were lower (baseline) in Group I than in
Groups II and III; however, a significant difference was observed only with the
Group II. In both groups, expressions of CYR61 mRNA as well as protein gradually
upregulated from the baseline to a peak and then declined. Both, the CYR61 mRNA
as well as protein expressions were significantly higher at all follow-ups in
Group II than in Group III. Mean RUST scores between Group II and Group III
showed a significant statistical difference at each follow-up. Significant
correlation was found between the CYR61 expressions and the RUST score (fracture
healing progression). Conclusion: We conclude that CYR61 expression provides an
early prediction of the healing outcomes of simple diaphyseal tibial fractures.
The translational potential of this article: Such an approach would benefit not
only the patients' wellbeing but also the entire health care system in terms of
the cost implications associated with long lasting treatment interventions and
hospitalisation. However, the authors recommend further multicentric study with a
large sample size to increase the validity, reliability, and generalisability of
our observation and inferences.
PMID- 29662756
TI - Effect of capsaicin-sensitive sensory neurons on bone architecture and mechanical
properties in the rat hindlimb suspension model.
AB - Background/Objective: The participation of sensory neural regulation in bone
metabolism has been widely studied. However, the physiological role of sensory
neural regulation in the functional adaptation to weight bearing is not clear.
This study was conducted to investigate the effect of capsaicin-induced sensory
neuron lesions on cancellous architecture properties in a hindlimb suspension
(HLS) model. Methods: Thirty-two female rats were randomly assigned to four
groups. Groups b and d underwent systemic capsaicin treatment, whereas Groups a
and c were treated with vehicle. Then, Groups c and d were subjected to HLS,
whereas Groups a and b were allowed hindlimbs full loading. The proximal
trabecular and mid-shaft cortical bone structure were evaluated via microcomputed
tomography, and the biomechanical properties of the tibial mid-shaft were
assessed using the four-point bending test. Results: The trabecular bone volume
was reduced by 40% and 50% in Groups b and c, respectively, and was also reduced
significantly in Group d. Trabecular thickness and trabecular separation in Group
b were not significantly different from those of Group a. The cortical bone area
fraction showed no significant difference among all groups. Compared with Group
a, the ultimate strength in Group b decreased by 20.3%, whereas it did not change
significantly in Group c. Conclusion: The results suggest that capsaicin
sensitive sensory neurons play an important role in bone modelling. The effect of
capsaicin is similar to HLS. However, HLS has no add-on effect to capsaicin in
the reduction of bone density and mechanical properties.Translational potential
of this article: This study gives clues to the function of sensory neurons in
bone modelling.
PMID- 29662757
TI - Bedside to bench and back to bedside: Translational implications of targeted
intervertebral disc therapeutics.
AB - Spinal pain and associated disability is a leading cause of morbidity worldwide
that has a strong association with degenerative disc disease (DDD). DDD can begin
in early-late adolescence and has a variable course. Biologically based therapies
to treat DDD face significant challenges posed by the unique milieu of the
environment within the intervertebral discs. Many potential promising therapies
are still in the early stages of development with a hostile microenvironment
within the disc presenting unique challenges. The translational potential of this
article: Patient selection, reasonable therapeutic goals, approach, and timing
will need to be discerned in order to successfully translate potential
therapeutics.
PMID- 29662758
TI - Neutrophil-to-lymphocyte ratio shows faster changing kinetics than C-reactive
protein after total hip and knee arthroplasty.
AB - Background: Total hip arthroplasty (THA) and total knee arthroplasty (TKA) induce
inflammatory reactions, which can be described by changes in the neuroendocrine,
cellular, protein, and cytokine systems. The aim of this study was to document
the normal distribution pattern of the neutrophil-to-lymphocyte ratio (NLR) after
THA and TKA and to compare it with postoperative C-reactive protein (CRP)
patterns. Methods: Changes in serum CRP levels, neutrophil count, and lymphocyte
count were measured before and during the first 5 postoperative days in a
prospective study performed on 387 patients undergoing total hip or knee
arthroplasty. Results: Mean CRP levels in patients undergoing THA were 7.7 mg/L,
184.8 mg/L, and 115.9 mg/L, respectively, at Days 0, 3 and 5. The mean NLR of
patients undergoing THA was 2.9, 3.6, and 2.7, respectively, at Days 0, 3, and 5.
Mean CRP levels in patients undergoing TKA were 7.8 mg/L, 192.6 mg/L, and 108.6
mg/L, respectively, at Days 0, 3 and 5. The mean NLR of patients undergoing TKA
was 2.8, 3.4, and 2.6, respectively, at Days 0, 3, and 5. When comparing the
preoperative value and the Day 3 value, CRP levels increased more than the NLR
(almost a 24-fold increase in mean CRP values vs. a 1.2-fold increase in mean NLR
values). In both groups, the NLR returned to preoperative values by the 5th
postoperative day. Conclusions: The present study demonstrated a significant
elevation in CRP levels and the NLR following THA and TKA. In both groups, the
NLR showed a faster kinetics pattern than CRP levels in response to surgical
trauma.The translational potential of this article: We describe results of the
use of the NLR, as compared to a routinely used marker, CRP, as advantageous in
clinical setting due to faster dynamics of change. Integrating the NLR in
clinical practice seems easy and without extra cost.
PMID- 29662759
TI - Investigation of bioeffects of G protein-coupled receptor 1 on bone turnover in
male mice.
AB - Maintenance of healthy bone quality and quantity requires a well-coordinated
balance between bone formation by osteoblasts and bone resorption by osteoclasts.
Chemerin is a novel adipokine with known functions such as regulating immunity
and energy homeostasis through activation of chemokine-like receptor 1 (CMKLR1).
G protein-coupled receptor 1 (GPR1) is the second mammalian chemerin receptor
with similar binding affinity as CMKLR1. In male GPR1-/- mice, a phenotype with
significantly low bone mineral density was observed. We hypothesise that GPR1
might participate the process of bone remodelling. In this study, we investigated
the role of GPR1 in regulating bone mass maintenance in male mice, and for the
first time, revealed that GPR1-/- male mice manifested seriously trabecular bone
loss and lower serum testosterone levels compared to the wild type animals.
Accordingly, the mRNA expression of biomarkers related to both osteoblast
[collagen type I alpha 2 (Col1A2), osteocalcin (OCN)] and osteoclast [tartrate
resistant acid phosphatase (TRAP), Cathepsin K, NFATc1] were significantly
decreased or increased in GPR1-/- mice relative to the wild type, respectively.
However, other osteogenic markers, Osterix and ALP levels, were increased.
Microcomputed tomography scanning and histological analyses proved that there was
a myriad of trabecular bone loss in GPR1-/- mice. In the meantime, GPR1-/- mice
presented a significant decrease in serum testosterone level. Taken together,
these findings suggested that chemerin-GPR1 signalling might be directly or
indirectly communicated with testosterone synthesis on bone turnover regulation.
Further detailed studies are required to unveil how chemerin-GPR1 participates in
bone metabolism. The translational potential of this article: More studies and
knowledge about GPR1 regulating function in bone turnover might supply a novel
therapeutic target for osteoporosis in the future.
PMID- 29662760
TI - Macrophages and bone inflammation.
AB - Bone metabolism is tightly regulated by the immune system. Accelerated bone
destruction is observed in many bone diseases, such as rheumatoid arthritis,
fracture, and particle-induced osteolysis. These pathological conditions are
associated with inflammatory responses, suggesting the contribution of
inflammation to bone destruction. Macrophages are heterogeneous immune cells and
are polarized into the proinflammatory M1 and antiinflammatory M2 phenotypes in
different microenvironments. The cytokines produced by macrophages depend on the
macrophage activation and polarization. Macrophages and macrophage-derived
cytokines are important to bone loss in inflammatory bone disease. Recent studies
have shown that macrophages can be detected in bone tissue and interact with bone
cells. The interplay between macrophages and bone cells is critical to bone
formation and repair. In this article, we focus on the role of macrophages in
inflammatory bone diseases, as well as discuss the latest studies about
macrophages and bone formation, which will provide new insights into the
therapeutic strategy for bone disease.
PMID- 29662762
TI - Local administration of Trolox, a vitamin E analog, reduced tendon adhesion in a
chicken model of flexor digitorum profundus tendon injury.
AB - Background: Hand flexor tendon injuries are compromised with tendon adhesion.
Tendon adhesion forms between flexor tendon and tendon sheath, reduces the range
of motion of fingers, and affects their function. Oxidative stress is increased
in flexor tendon after injury and might play a role in tendon adhesion formation.
Trolox (6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylic acid), a water-soluble
analog of vitamin E, is antioxidative. Trolox reduced oxidative stress and the
expression of fibrotic cytokines in the bile gut ligation animal model. Vitamin C
and Trolox are strong antioxidants, but they might also have prooxidant
properties. The prooxidant properties of vitamin C and Trolox are different. In
this study, our aim was to determine the effect of Trolox in reducing tendon
adhesion formation. Methods: Flexor digitorum profundus tendon injury was induced
in 54 Kai-Mei Chicken according to a well-established protocol. After wound
closure, an injection of 50 MUL saline, 10mM Trolox, or 100mM Trolox was
administered into the wound area. At 2 weeks or 6 weeks after the surgery,
chicken feet were harvested for gliding test, high-resolution ultrasound
measurement on a fibrotic area, and histology. Results: At Week 2 after the
surgery, Trolox has no effect on the flexion angle and gliding resistance,
whereas a significant improvement was observed in the flexion angle and gliding
resistance in the Trolox-treated groups at Week 6. However, no dose response was
observed. In the ultrasound measurement, there was no significant difference in
the fibrotic mass in the Trolox-treated group as compared to the saline group at
Week 2. At Week 6, fibrotic mass was significantly reduced in both Trolox-treated
groups. From the histological examination, the Trolox-treated groups presented a
higher cellularity at Week 2 as compared to the saline group, and reduced
fibrosis and adhesion at Week 6. Conclusion: Our results suggest that local
administration of Trolox can reduce tendon adhesion, and a higher dose of Trolox
did not have negative effects. Clinical Significance: Trolox solution might be
feasible to reduce tendon adhesion via intraoperative injection at the wound area
during tendon repair.
PMID- 29662761
TI - Inflammation and age-associated skeletal muscle deterioration (sarcopaenia).
AB - : Ageing is accompanied by chronic inflammatory responses due to elevated
circulatory inflammatory cytokine production. Several inflammatory cytokines have
been shown to be responsible for a decrease in muscle mass. However, little is
known about the possible relationship between inflammation and sarcopaenia. This
review aims to summarise the existing evidence about inflammation and
sarcopaenia. Sarcopaenia is defined as an age-related decrease of muscle mass
and/or muscle strength; it is caused by multiple factors, such as skeletal muscle
atrophy, neuromuscular junction degeneration, hormone imbalance, cytokine
imbalance, protein synthesis and proteolysis. Several inflammatory cytokines have
been considered to promote muscle loss; C-reactive protein levels are
significantly upregulated in sarcopaenia and sarcopenic obesity, and high levels
of interleukin-6 are associated with reduced muscle mass and muscle strength (the
administration of interleukin-6 could lead to a reduction in muscle mass). Up
regulation of tumour necrosis factor-alpha expression is also related to the
development of sarcopaenia. Signalling pathways, such as protein kinase
B/mammalian target of rapamycin, Janus kinase/signal transducer and activator of
transcription-5 and signal transducer and activator of transcription 3
signalling, involved in muscle metabolism are regulated by insulin-like growth
factor-1, tumour necrosis factor-alpha and interleukin-6 respectively. In
conclusion, the inflammatory cytokines produced during chronic inflammation due
to ageing, may influence their respective related pathways, thus leading to age
related muscle deterioration. The translational potential of this article: This
review can provide more information for sarcopaenia medicine research in terms of
anti-inflammation therapy.
PMID- 29662763
TI - Inflammation and the musculoskeletal system.
PMID- 29662764
TI - In vitro biomechanical evaluation of tri-condylar total knee arthroplasty with
posterior release for restoration of full extension.
AB - Background/Objective: The continuous improvement of knee function during deep
flexion remains a challenge in total knee arthroplasty. Tri-condylar total knee
arthroplasty has been designed to achieve this goal. However, the introduction of
a third nonanatomic spherical condyle might prevent the joint from reaching full
extension due to posterior soft tissue tightening. This study aimed to address
these issues related to soft tissue tightening and full extension limitation.
Methods: Biomechanical tests were performed on six cadaveric specimens of the
entire lower extremities. The tri-condylar design was compared with a posterior
cruciate sacrificing design of the same shape without the ball structure. Knee
joint kinematics was measured, including the extension and flexion angles, the
extension balance, and the extension gap. The test was repeated after release of
the medial and lateral posterior intercondylar soft tissues at a safe distance
from the popliteal artery and nerves. Results: Both designs resulted in a knee
flexion angle up to ~130 degrees . The tri-condylar design showed an extension
angle of -11.2 +/- 5.4 degrees , which was a significantly greater limitation
than that obtained with the cruciate sacrificing design (-3.8 +/- 4.7 degrees ; p
= 0.047). Moreover, the extension angle of the tri-condylar design was
significantly improved after the release of posterior intercondylar soft tissues
(-0.1 +/- 6.7 degrees ; p = 0.028). Conclusion: The tri-condylar design
efficiently allowed the full extension by the release of posterior intercondylar
soft tissues at a safe distance from the popliteal artery and nerves.
PMID- 29662765
TI - A wearable exoskeleton suit for motion assistance to paralysed patients.
AB - Background/Objective: The number of patients paralysed due to stroke, spinal cord
injury, or other related diseases is increasing. In order to improve the physical
and mental health of these patients, robotic devices that can help them to regain
the mobility to stand and walk are highly desirable. The aim of this study is to
develop a wearable exoskeleton suit to help paralysed patients regain the ability
to stand up/sit down (STS) and walk. Methods: A lower extremity exoskeleton named
CUHK-EXO was developed with considerations of ergonomics, user-friendly
interface, safety, and comfort. The mechanical structure, human-machine
interface, reference trajectories of the exoskeleton hip and knee joints, and
control architecture of CUHK-EXO were designed. Clinical trials with a paralysed
patient were performed to validate the effectiveness of the whole system design.
Results: With the assistance provided by CUHK-EXO, the paralysed patient was able
to STS and walk. As designed, the actual joint angles of the exoskeleton well
followed the designed reference trajectories, and assistive torques generated
from the exoskeleton actuators were able to support the patient's STS and walking
motions. Conclusion: The whole system design of CUHK-EXO is effective and can be
optimised for clinical application. The exoskeleton can provide proper assistance
in enabling paralysed patients to STS and walk.
PMID- 29662766
TI - Clinical validation of a body-fixed 3D accelerometer and algorithm for activity
monitoring in orthopaedic patients.
AB - Background/Objective: Activity is increasingly being recognized as a highly
relevant parameter in all areas of healthcare for diagnosis, treatment, or
outcome assessment, especially in orthopaedics where the movement apparatus is
directly affected. Therefore, the aim of this study was to develop, describe, and
clinically validate a generic activity-monitoring algorithm, satisfying a
combination of three criteria. The algorithm must be able to identify, count, and
time a large set of relevant daily activities. It must be validated for
orthopaedic patients as well as healthy individuals, and the validation must be
in a setting that mimics free-living conditions. Methods: Using various technical
solutions, such as a dual-axis approach, dynamic inclinometry (hip flexion), and
semiautomatic calibration (gait speed), the algorithms were designed to count and
time the following postures, transfers, and activities of daily living:
resting/sitting, standing, walking, ascending and descending stairs, sit-stand
transitions, and cycling. In addition, the number of steps per walking bout was
determined. Validation was performed with healthy individuals and patients who
had undergone unilateral total joint arthroplasty, representing a wide spectrum
of functional capacity. Video observation was used as the gold standard to count
and time activities in a validation protocol approaching free-living conditions.
Results: In total 992 and 390 events (activities or postures) were recorded in
the healthy group and patient group, respectively. The mean error varied between
0% and 2.8% for the healthy group and between 0% and 7.5% for the patient group.
The error expressed in percentage of time varied between 2.0% and 3.0% for both
groups. Conclusion: Activity monitoring of orthopaedic patients by counting and
timing a large set of relevant daily life events is feasible in a user- and
patient-friendly way and at high clinical validity using a generic three
dimensional accelerometer and algorithms based on empirical and physical methods.
The algorithms performed well for healthy individuals as well as patients
recovering after total joint replacement in a challenging validation set-up. With
such a simple and transparent method real-life activity parameters can be
collected in orthopaedic practice for diagnostics, treatments, outcome
assessment, or biofeedback.
PMID- 29662767
TI - Reconstruction of the lateral tibia plateau fracture with a third triangular
support screw: A biomechanical study.
AB - Background: Split fractures of the lateral tibia plateau in young patients with
good bone quality are commonly treated using two minimally invasive percutaneous
lag screws, followed by unloading of the knee joint. Improved stability could be
achieved with the use of a third screw inserted either in the jail-technique
fashion or with a triangular support screw configuration. The aim of this study
was to investigate under cyclic loading the compliance and endurance of the
triangular support fixation in comparison with the standard two lag-screw
fixation and the jail technique. Methods: Lateral split fractures of type AO/OTA
41-B1 were created on 21 synthetic tibiae and subsequently fixed with one of the
following three techniques for seven specimens: standard fixation by inserting
two partially threaded 6.5 mm cannulated lag screws parallel to each other and
orthogonal to the fracture plane; triangular support fixation-standard fixation
with one additional support screw at the distal end of the fracture at 30 degrees
proximal inclination; and jail fixation-standard fixation with one additional
orthogonal support screw inserted in the medial nonfractured part of the bone.
Mechanical testing was performed under progressively increasing cyclic
compression loading. Fragment displacement was registered via triggered
radiographic imaging. Results: Mean construct compliance was 3.847 * 10-3 mm/N
[standard deviation (SD) 0.784] for standard fixation, 3.838 * 10-3 mm/N (SD
0.242) for triangular fixation, and 3.563 * 10-3 mm/N (SD 0.383) for jail
fixation, with no significant differences between the groups (p = 0.525). The
mean numbers of cycles to 2 mm fragment dislocation, defined as a failure
criterion, were 12,384 (SD 2267) for standard fixation, 17,708 (SD 2193) for
triangular fixation, and 14,629 (SD 5194) for jail fixation. Triangular fixation
revealed significantly longer endurance than the standard one (p = 0.047).
Conclusion: Triangular support fixation enhanced interfragmentary stability at
the ultimate stage of dynamic loading. However, the level of improvement seems to
be limited and may not legitimate the intervention with an additional third
screw.
PMID- 29662769
TI - Human and bovine spinal disc mechanics subsequent to trypsin injection.
AB - Objective: To investigate the biomechanical effects of injections of a protease
on the characteristics of bovine coccygeal and human lumbar disc motion segments.
Methods: Mechanics of treated tissues were measured immediately after injection
and 3 h after injection. Motion segments underwent axial rotation and flexion
extension loading. Results: Stiffness and neutral zone parameters experienced
significant changes over time, with bovine tissues more strongly affected than
human cadaver tissues. This was true in both axial rotation and flexion
extension. The treatment type significantly affected the neutral zone
measurements in axial rotation. Hysteresis parameters were impacted by control
injections. Conclusion: The extrapolation of bovine coccygeal motion testing
results to human lumbar disc mechanics is not yet practical. The injected
treatment may have a smaller impact on disc mechanics than time in testing.
Viscoelasticity of human lumbar discs may be impacted by any damage to the
annulus fibrosis induced by needlestick. The Translational Potential of this
Article: Preclinical testing of novel spinal devices is essential to the design
validation and regulatory processes, but current testing techniques rely on
cadaveric testing of primarily older spines with essentially random amounts of
disc degeneration. The present work investigates the viability of using trypsin
injections to create a more uniform preclinical model of disc degeneration from a
mechanics perspective, for the purpose of testing spinal devices. Such a model
would facilitate translation of new spinal technologies to clinical practice.
PMID- 29662768
TI - Lumbar degenerative spondylolisthesis epidemiology: A systematic review with a
focus on gender-specific and age-specific prevalence.
AB - The epidemiology of lumbar degenerative spondylolisthesis (DS) remains
controversial. We performed a systematic review with the aim of gaining a better
understanding of the prevalence of DS in the general population. The results
showed that the prevalence of DS is very gender- and age-specific. Few women and
men develop DS before they are 50 years old. After 50 years of age, both women
and men begin to develop DS, with women having a faster rate of development than
men. For elderly Chinese (>= 65 years, mean age: 72.5 years), large population
based studies MsOS (Hong Kong, females: n = 2000) and MrOS (Hong Kong, males: n =
2000) showed DS prevalence was 25.0% in women and 19.1% in men. The female:male
(F:M) prevalence ratio was 1.3:1. The published data for MsOS (USA) and MrOS
(USA) studies seem to show that elderly Caucasian Americans have a higher DS
prevalence, being approximately 60-70% higher than elderly Chinese; however, the
F:M prevalence ratio was similar to the elderly Chinese population. Patient data
showed that female patients more often received surgical treatment than male and
preliminary data showed the ratio of female to male patients receiving surgical
treatment did not differ between Northeast Asians (Chinese, Japanese, and
Korean), Europeans, and American Caucasians, being around 2:1 in the elderly
population. The existing data also suggest that menopause may be a contributing
factor for the accelerated development of DS in postmenopausal women. The
translational potential of this article: A better understanding of epidemiology
of lumbar degenerative spondylolisthesis can support patient consultation and
treatment planning.
PMID- 29662770
TI - Predicting the collapse of the femoral head due to osteonecrosis: From basic
methods to application prospects.
AB - Collapse of the femoral head is the most significant pathogenic complication
arising from osteonecrosis of the femoral head. It is related to the disruption
of the maintenance of cartilage and bone, and results in an impaired function of
the vascular component. A method for predicting the collapse of the femoral head
can be treated as a type of clinical index. Efforts in recent years to predict
the collapse of the femoral head due to osteonecrosis include multiple methods of
radiographic analysis, stress distribution analysis, finite element analysis, and
other innovative methods. Prediction methods for osteonecrosis of the femoral
head complications originated in Western countries and have been further
developed in Asia. Presently, an increasing number of surgeons have chosen to
focus on surgical treatments instead of prediction methods to guide more
conservative interventions, resulting in a growing reliance on the more prevalent
and highly effective total hip arthroplasty, rather than on more conservative
treatments. In this review, we performed a literature search of PubMed and Embase
using search terms including "osteonecrosis of femoral head," "prediction,"
"collapse," "finite element," "radiographic images," and "stress analysis,"
exploring the basic prediction method and prospects for new applications.
PMID- 29662772
TI - Editorial for the clinical paper "Therapeutic effects analysis of percutaneous
kyphoplasty for osteoporotic vertebral compression fractures: A multicentre study
Huilin Yang et al."
PMID- 29662771
TI - Therapeutic effects analysis of percutaneous kyphoplasty for osteoporotic
vertebral compression fractures: A multicentre study.
AB - Background: Percutaneous kyphoplasty (PKP), a minimally invasive treatment, has
been widely used for osteoporotic vertebral compression fractures (OVCFs).
Objective: To retrospectively analyse the therapeutic effects of PKP using a
series of key techniques in a multicentre study. Methods: From May 2000 to
December 2016, PKP was performed using a series of key techniques (puncture,
reduction, and perfusion techniques) for the treatment of 4532 OVCF patients. The
pain visual analog scale (VAS) and the Oswestry Disability Index (ODI)
questionnaire prior to the operation, at postoperative Day 2, and at the last
follow-up were analysed by paired t-test analysis. The leakage of bone cement was
evaluated by postoperative radiography and/or computed tomography. Four-year
survival was calculated at the last follow-up. Results: The average follow-up was
63 months (1-116 months). The VAS score decreased from 8.9 (preoperative) to 2.3
(2 days postoperative) to 1.9 (last follow-up). The ODI score of the patients
decreased from 86.7 (preoperative) to 31.6 (2 days postoperative) to 25.3 (last
follow-up). Both VAS score and ODI score improved significantly. The bone cement
leakage rate was 3.5%, with no clinical symptoms. The 4-year survival rate was
77.5%. Conclusion: This study suggests that PKP with key techniques would be an
effective technique to treat OVCF with less risk and better therapeutic effect.
Such diagnostic methods and surgical techniques lead to the development and
progress of treatment for OVCF.The translational potential of this article: PKP
with key techniques would be an effective technique to treat and lead to the
development and progress of treatment for OVCF.
PMID- 29662773
TI - Characteristics of bone biochemical indices in predicting secondary osteoporotic
fracture after intertrochanteric fracture in elderly women.
AB - Background: This study aimed to explore the characteristics of bone biochemical
indices, including bone mineral density (BMD), metabolic markers in elderly women
with osteoporotic intertrochanteric fracture and their relevance in secondary
fracture. Methods: The standard for follow-up from 58 elderly women was
established to analyse the BMD in the injured hip, healthy hip, and the vertebra
at 1 week and 12 months after fracture. The serum levels of total procollagen
type N-terminal propeptide (tPINP) and the age-related type I cross linked C
telopeptide (beta-CTX) were recorded and compared between those patients with and
without secondary fractures within 12 months. Results: Twelve months after
fracture, the serum levels of tPINP and beta-CTX were significantly higher than
the baseline values (p < 0.01). The tPINP baseline in patients with secondary
fracture was significantly lower than that in the rest patients without secondary
fracture (p < 0.01). The beta-CTX baseline was notably higher than that without
secondary fracture (p < 0.01). BMD values of the three periods had no
significantly difference. Conclusion: The serum levels of tPINP and beta-CTX are
of great value in earlier and more sensitively reflecting the condition of bone
turnover in body. Meanwhile, they can predict the subsequent fracture risk more
accurately combined with a lower BMD. The Translational Potential of this
Article: Besides BMD, bone microstructure and remodeling levels can be accurately
measured by bone biochemical indices. The main objective of this research is to
explore the change of BMD and the serum level of bone biochemical indices of
elderly women who suffered unilateral intertrochanteric fracture within 12
months. Simultaneously, with aim to better obtain bone remodeling level and
predict more accurately the risk of a secondary osteoporotic fracture, bone
biochemical indices of these patients, who undergo secondary osteoporotic
fracture or not, are collected during follow-up and compared respectively.
PMID- 29662774
TI - A novel gait-based synthesis procedure for the design of 4-bar exoskeleton with
natural trajectories.
AB - Background/Objective: Human walking involves the coordination of brain, nerves,
and muscles. A disturbance in their coordination may result in gait disorder. The
gait disorder may be treated through manually assisted gait training or with the
aid of assistive devices/robotic devices. These robotic devices involve
mechanisms which are synthesized using complex conventional procedures.
Therefore, in this study, a new gait-based synthesis procedure is proposed, which
simplifies the mechanism synthesis and helps to develop a mechanism which can be
used in rehabilitation devices, bipeds, etc. Methods: This article presents a
novel procedure for the synthesis of 4-bar linkage using the natural gait
trajectories. As opposed to the conventional synthesis procedures, in this
procedure, a global reference frame is considered, which allows the use of hip
trajectory while moving. Moreover, this method is divided into two stages, and
five precision points are considered on the hip trajectory in each stage. In the
first stage, the 4-bar linkage is designed, thereafter, the configurations of the
linkage for the remaining precision points are determined in the second stage.
The proposed synthesis procedure reduces the complexity involved in the synthesis
and helps in the simplification of the problem formulation. A two-stage
optimization problem is formulated for minimizing the error between the generated
and desired hip trajectories. Two nature-inspired algorithms are used for solving
the optimization problem. The obtained best results are presented, and the
designed linkage is simulated in MATLAB. Results: The best design of the linkage
is obtained using particle swarm optimization. The trajectories generated by the
designed linkage using the proposed methodology can accurately track the desired
path, which indicates that designed linkage can achieve all the orientations
required during walking. The positions of a whole lower limb at all the desired
precision points are demonstrated by stick diagram for one gait. Conclusion: The
proposed methodology has reduced the complexity of synthesis procedures and used
optimization techniques to obtain a feasible design of the mechanism. The stick
diagram of the designed mechanism obtained using the proposed method indicates
that the designed mechanism can walk smoothly. Hence, the designed mechanism can
be used in the rehabilitation devices. Furthermore, a conceptual design of an
exoskeleton knee is also presented. The Translational Potential of this Article:
Many hospitals and individuals have used the immobile and portable rehabilitation
devices. These devices involve mechanisms, and the design of mechanism plays a
vital role in the functioning of these devices; therefore, we have developed a
new synthesis procedure for the design of the mechanism. Besides synthesis
procedure, a mechanism is developed that can be used in the rehabilitation
devices, bipeds, exoskeletons, etc., to benefit the society.
PMID- 29662776
TI - Three-dimensional morphometric properties of rod- and plate-like trabeculae in
adolescent cancellous bone.
AB - Background/Objective: Despite many researches have been carried out on the three
dimensional microarchitecture of cancellous bone, the morphometric properties of
rod and plate trabeculae in adolescent cancellous bone have not yet been
investigated. This study aimed to investigate three-dimensional morphometric
properties of rod- and plate-like trabeculae in normal adolescent cancellous
bone, and to compare them with adult cancellous bones to reveal morphometric
changes from adolescence to adult life to obtain more insight into the
subchondral bone adaptations during development and growth. Methods: This study
included 23 normal human proximal tibiae. These tibiae were divided into three
groups: adolescents (9-17 years, n = 6), young adults (18-24 years, n = 9), and
adults (25-30 years, n = 8). From each tibia, six cubic cancellous bone samples
(dimensions 8 * 8 * 8 mm3) were sawed from each medial and lateral condyle,
yielding a total of 276 samples. These samples were scanned using micro computed
tomography leading to three-dimensional cubic voxel sizes of 10.5 * 10.5 * 10.5
MUm3. The morphometric parameters of individual rod- and plate-like trabeculae
were calculated and compared among three age groups. Results: Significant
differences in some morphometric parameters were revealed. The mean longitudinal
length of rods was significantly greater in the adolescents than in the young
adults. Plate volume density showed an increasing trend with age, although not
significant. Trabeculae were more plate-like in adolescents in the medial condyle
of adolescents than in the lateral condyle, and changed towards more plate-like
trabeculae in the adults. The single best predictor for the mechanical properties
was apparent density. Apparent density alone explained 59% variations in Young's
modulus, 77% in ultimate stress and 34% in failure energy, respectively (all p <
0.01). Morphometric parameters might improve this prediction. Conclusion: In
conclusion, this study has reported for the first time the morphometric
parameters of rod- and plate-like trabeculae in adolescent proximal tibial
cancellous bone, which will improve our understanding of morphometric changes in
individual trabeculae during development and growth. Furthermore, separate
analysis of individual rods and plates may also help reveal disease-related
morphometric changes beyond bone mineral density. The translational potential of
this article: A thorough quantification of individual trabeculae during
development and growth may help understand disease-related 3-D morphometric
changes beyond bone mineral density.
PMID- 29662775
TI - Relationship between heterotopic ossification and traumatic brain injury: Why
severe traumatic brain injury increases the risk of heterotopic ossification.
AB - Heterotopic ossification (HO) is a pathological phenomenon in which ectopic
lamellar bone forms in soft tissues. HO involves many predisposing factors,
including congenital and postnatal factors. Postnatal HO is usually induced by
fracture, burn, neurological damage (brain injury and spinal cord injury) and
joint replacement. Recent studies have found that patients who suffered from bone
fracture combined with severe traumatic brain injury (S-TBI) are at a
significantly increased risk for HO occurrence. Thus, considerable research
focused on the influence of S-TBI on fracture healing and bone formation, as well
as on the changes in various osteogenic factors with S-TBI occurrence. Brain
damage promotes bone formation, but the exact mechanisms underlying bone
formation and HO after S-TBI remain to be clarified. Hence, this article
summarises the findings of previous studies on the relationship between S-TBI and
HO and discusses the probable causes and mechanisms of HO caused by S-TBI. The
translational potential of this article: A better understanding of the probable
causes of traumatic brain injury-induced HO can provide new perspectives and
ideas in preventing HO and may support to design more targeted therapies to
reduce HO or enhance the bone formation.
PMID- 29662778
TI - Comparisons of slice-encoding metal artifact correction and view-angle tilting
magnetic resonance imaging and traditional digital radiography in evaluating
chronic hip pain after total hip arthroplasty.
AB - Purpose: The aims of this study were (1) to compare the areas of metal-induced
artifacts and definition of periprosthetic structures between patients scanned
with the slice-encoding metal artifact correction and view-angle tilting (SEMAC
VAT) turbo-spin-echo (TSE) prototype and those scanned with the standard TSE
magnetic resonance (MR) sequences and (2) to further clarify the superiority of
the SEMAC-VAT MR imaging technique at detecting lesions in patients after total
hip arthroplasty (THA), compared with digital radiography (DR). Materials and
methods: A total of 38 consecutive patients who underwent THA were referred to MR
imaging at our institution. All patients suffered from chronic hip pain
postoperatively. Twenty-three patients of the 38 were examined with a 1.5-T MR
scanner using a SEMAC-VAT TSE prototype and standard TSE sequence, and the
remaining 15 patients were examined with the same 1.5-T MR scanner, but using the
SEMAC-VAT TSE prototype only. The traditional DR imaging was also performed for
all patients. Two radiologists then independently measured the area of metal
induced artifacts and evaluated the definition of both the acetabular and femoral
zones based on a three-point scale. Finally, the positive findings of chronic hip
pain after THA based on SEMAC-VAT TSE MR imaging and traditional DR imaging were
compared and analysed. Results: The areas of metal-induced artifacts were
significantly smaller in the SEMAC-VAT TSE sequences than those in the standard
TSE sequences for both the T1-weighted (p < 0.001) and T2-weighted (p < 0.001)
turbo inversion recovery magnitude images. In addition, 28 patients showed a
series of positive signs in the SEMAC-VAT images that were not observed in the
traditional DR images. Conclusion: Compared with the standard TSE MR imaging,
SEMAC-VAT MR imaging significantly reduces metal-induced artifacts and might
successfully detect most positive signs missed in the traditional DR images.
Translational potential of this article: The main objective of this research was
to show that MR sequences from the SEMAC-VAT TSE prototype provide a significant
advantage at detecting lesions in patients after THA because of the excellent
soft-tissue resolution of the MR imaging. SEMAC-VAT MR can evaluate chronic hip
pain after THA and determine the cause, which can help the clinician decide on
whether a surgical revision is needed.
PMID- 29662777
TI - Herbal Fufang Xian Ling Gu Bao prevents corticosteroid-induced osteonecrosis of
the femoral head-A first multicentre, randomised, double-blind, placebo
controlled clinical trial.
AB - Background/Objective: This is a multicentre, randomised, double-blind, placebo
controlled clinical trial to investigate the safety and efficacy of Chinese
herbal Fufang Xian Ling Gu Bao (XLGB) with antiadipogenic compounds for the
prevention of corticosteroid (CS)-induced osteonecrosis of femoral head (ONFH).
Methods: Patients of both genders, aged between 18 and 65 years, with diseases
such as systemic lupus erythematosus, nephrosis, dermatosis and rheumatoid
arthritis indicated for CS treatment and who did not show magnetic resonance
imaging of ONFH at baseline were recruited into the study and then randomised
into either XLGB group (n = 129) with daily oral administration of XLGB or
placebo group (n = 146). Results: Magnetic resonance imaging revealed a total of
30 ONFH cases at 6 months after CS treatment, with 6.98% (9 of 129 cases) and
14.4% (21 of 146 cases) in the XLGB group and placebo group, respectively, (p <
0.05), i.e., a 2-fold significantly less ONFH identified in the XLGB treatment
group. Blood tests suggested that XLGB significantly inhibited the elevation of
activated protein C resistance induced by CS treatment. Conclusion: This is the
first multicentre clinical study to demonstrate that the antiadipogenic compounds
rich herbal Fufang (formula) XLGB is effective in preventing CS-associated ONFH
in patients with immune-inflammatory diseases under CS treatment. The
translational potential of this article: The translation potential of this
clinical trial is that the initially officially approved clinical indication for
XLGB for treatment of osteoporosis has been now also proven to be effective for a
new clinical application.
PMID- 29662779
TI - Finite element analysis of biomechanical effects of total ankle arthroplasty on
the foot.
AB - Background: Total ankle arthroplasty is gaining popularity as an alternation to
ankle arthrodesis for end-stage ankle arthritis. Owing to the complex anatomical
characteristics of the ankle joint, total ankle arthroplasty has higher failure
rates. Biomechanical exploration of the effects of total ankle arthroplasty on
the foot and ankle is imperative for the precaution of postoperative
complications. The objectives of this study are (1) to investigate the
biomechanical differences of the foot and ankle between the foot with total ankle
arthroplasty and the intact foot and (2) to investigate the performance of the
three-component ankle prosthesis. Methods: To understand the loading environment
of the inner foot, comprehensive finite element models of an intact foot and a
foot with total ankle arthroplasty were developed to simulate the stance phase of
gait. Motion analysis on the model subject was conducted to obtain the boundary
and loading conditions. The model was validated through comparison of plantar
pressure and joint contact pressure between computational prediction and
experimental measurement. A pressure mapping system was used to measure the
plantar pressure during balanced standing and walking in the motion analysis
experiment, and joint contact pressure at the talonavicular joint was measured in
a cadaver foot. Results: Plantar pressure, stress distribution in bones and
implants and joint contact loading in the two models were compared, and motion of
the prosthesis was analysed. Compared with the intact foot model, averaged
contact pressure at the medial cuneonavicular joint increased by 67.4% at the
second-peak instant. The maximum stress in the metatarsal bones increased by
19.8% and 31.3% at the mid-stance and second-peak instants, respectively. Force
that was transmitted in three medial columns was 0.33, 0.53 and 1.15 times of
body weight, respectively, at the first-peak, mid-stance and second-peak
instants. The range of motion of the prosthetic ankle was constrained in the
frontal plane. The lateral side of the prosthesis sustained higher loading than
the medial side. Conclusion: Total ankle arthroplasty resulted in great increase
of contact pressure at the medial cuneonavicular joint, making it sustain the
highest contact pressure among all joints in the foot. The motion of the
prosthesis was constrained in the frontal plane, and asymmetric loading was
distributed in the bearing component of the ankle prosthesis in the mediolateral
direction. The translational potential of this article: Biomechanical variations
resulted from total ankle arthroplasty may contribute to negative postoperative
outcomes. The exploration of the biomechanical performance in this study might
benefit the surgeons in the determination of surgical protocols to avoid
complications. The analysis of the performance of the ankle prosthesis could
enhance the knowledge of prosthetic design.
PMID- 29662780
TI - Distinct metabolic programs induced by TGF-beta1 and BMP2 in human articular
chondrocytes with osteoarthritis.
AB - Objectives: Cellular energy metabolism is important for the function of all
tissues, including cartilage. Recent studies indicate that superficial and deep
subpopulations of articular chondrocytes (ACs) have distinct metabolic profiles.
At the cellular and molecular level, osteoarthritis (OA) is characterised by
alteration from a healthy homoeostatic state towards a catabolic state. Several
molecular pathways, including transforming growth factor beta (TGF-beta) and bone
morphogenetic protein (BMP) signalling, have been identified as critical players
in the pathogenesis and progression of OA. However, the manner in which these
factors influence cellular energy metabolism in ACs is not well understood. This
study investigates the effect of TGF-beta or BMP signalling on energy metabolism
in human articular chondrocytes (hACs). Methods: ACs were isolated from residual
macroscopically full thickness and intact cartilage from the femoral condyle of
human samples obtained from patients with OA. ACs were treated with Vehicle
(control), TGF-beta1 or BMP2 for 48-72 hours. Metabolic assays were performed to
determine glucose consumption, lactate production and adenosine triphosphate
(ATP) production, whereas the mitochondrial stress test was performed to
determine oxygen consumption rate. Protein was isolated to assess translational
activity and was evaluated using Western blot. Results: We showed that TGF-beta1,
known to maintain chondrocyte homoeostasis, stimulated glycolysis by upregulating
key glycolytic factors, such as glucose transporter 1 (Glut1) and hexokinase II,
while reducing oxidative phosphorylation in hACs. In contrast, BMP2 enhanced
mitochondrial metabolism and oxidative phosphorylation and had a minimal effect
on key glycolytic regulators. Conclusions: Our data revealed distinct metabolic
programs induced by TGF-beta1 and BMP2 in hACs, suggesting that the regulation of
cellular metabolism may represent a new mechanism underlying the pathogenesis of
OA. The translational potential of this article: The findings define the
regulation of energy metabolism as a potential novel therapeutic approach for the
treatment of OA.
PMID- 29662781
TI - The effect of Chinese martial arts Tai Chi Chuan on prevention of osteoporosis: A
systematic review.
AB - Background/Objective: Tai Chi Chuan (TCC) is suggested to have beneficial effects
on the musculoskeletal system. The aim of this systematic review is to evaluate
the evidence of the effect of TCC on bone mineral density (BMD) and its potential
for prevention of osteoporosis. Methods: A literature search was conducted using
PubMed, Embase, and Cochrane databases from inception to January 2017. Randomized
controlled studies, case-control trials, prospective cohort studies, and cross
sectional studies which evaluated the effect of TCC on BMD were selected without
any subject or language restriction. Results: Nine articles met the inclusion
criteria, including seven randomized controlled trials (RCTs), one case-control
trial (CCT), and one cross-sectional study, encompassing a total of 1222
participants. Five studies showed statistically significant improvements in BMD
after TCC, three studies showed nonsignificant intergroup differences, and one
study provided no statistical evaluation of results. The studies with
nonsignificant results tended to have a shorter total duration of TCC practice.
Apart from dual-energy X-ray absorptiometry (DXA), two studies additionally used
peripheral quantitative computed tomography (pQCT) which showed statistically
significant positive effects of TCC on preventing osteoporosis. Conclusion: TCC
is beneficial to BMD and may be a cost-effective and preventive measure of
osteoporosis. This beneficial effect is better observed in long-term TCC
practice. The translational potential of this article: The beneficial effect of
TCC on BMD is suggested to be clinically translated to its potential for early
rehabilitation and prevention of secondary osteoporosis in patients after
surgical treatment of common osteoporotic fractures. The length of practicing
TCC, the form and style of TCC, and the types of patient suitable for TCC are to
be investigated in future studies.
PMID- 29662782
TI - Quantitative analysis of T2 relaxation times of the patellofemoral joint
cartilage 3 years after anterior cruciate ligament reconstruction.
AB - Objective: To evaluate patient-specific patellofemoral joint (PFJ) cartilage 3
years postoperatively using T2 mapping magnetic resonance imaging and the
uninjured contralateral side as control. Hypothesis: The cartilage of the PFJ in
the anterior cruciate ligament (ACL) reconstructed knees would show increased T2
values compared to the uninjured contralateral knees at 3-year follow-up, and the
femoral (trochlear) cartilage would be more susceptible than the patella in
degeneration in ACL-reconstructed knees. Methods: Ten patients with clinically
successful ACL-reconstructed knees were prospectively enrolled 3 years
postoperatively. Sagittal images of both knees were obtained using T2 mapping.
Cartilage over the medial, central, and lateral regions of the trochlea and
patella was divided into superficial and deep regions. Average T2 values of the
cartilage at each region of interest of the ACL-reconstructed and uninjured
contralateral knees were compared for each individual patient. Results: Overall,
the T2 values at the superficial layers of the medial and central trochlear
cartilage of the ACL-reconstructed knees were significantly higher than those of
the uninjured contralateral knees by 4.23 +/- 9.09 milliseconds (8.9%; p = 0.043)
and 5.94 +/- 8.12 milliseconds (10.9%; p = 0.019), respectively. No significant
difference was found in other cartilage areas of the trochlea and patella. In
individual patient analysis, increased T2 values of ACL-reconstructed knees were
found in all 10 patients in at least one superficial region and eight patients in
at least one deep region of the trochlear cartilage, five patients in at least
one superficial region, and eight patients in at least one deep region of the
patellar cartilage. Conclusion: Despite a clinically satisfactory ACL
reconstruction (with negative anteroposterior drawer and pivot shift tests), all
patients showed at least one region with increased T2 value of the PFJ cartilage
3 years after ACL reconstruction, especially at the medial compartment of the
trochlear cartilage. The Translational Potential of this Article: Little data has
been reported on PFJ cartilage condition after ACL reconstruction. This study
could help develop noninvasive diagnostic methods for detection of early PFJ
cartilage degeneration after ACL reconstruction.
PMID- 29662783
TI - The impact of translational orthopaedic research: Journal of Orthopaedic
Translation indexed in Science Citation Index Expanded.
PMID- 29662784
TI - Clinical translation and application in orthopaedics.
PMID- 29662785
TI - Hip geometry and femoral neck fractures: A meta-analysis.
AB - Background: Several studies have reported hip geometry to predict the femoral
neck fractures. However, they showed inconsistency. Objectives: To determine the
association between hip geometry and femoral neck fractures. Methods: Published
literature from PubMed and Embase databases (until May 25th, 2017) was searched
for eligible publications. The information related to (1) name of first author;
(2) year of publication; (3) country of origin; (4) sample size of cases and
controls and (5) mean and standard deviation of cases and controls were
extracted. The pooled odds ratios (ORs) and 95% confidence intervals (95% CIs)
for the association between hip geometry and femoral neck fractures were assessed
using random or fixed effect model. A Comprehensive Meta-analysis software,
version 2.0, was used to analyse the data. Results: A total of 11 studies were
included in this study. Our results showed that increase in hip axis length (OR
95% CI = 1.53 [1.06-2.21], p = 0.025), femoral neck angle (OR 95% CI = 1.47 [1.01
2.15], p = 0.044) and neck width (OR 95% CI = 2.68 [1.84-3.91], p < 0.001) was
associated with the risk of femoral neck fractures, whereas we could not find the
correlation between femoral neck axis length and the risk of femoral neck
fractures. Conclusion: There is strong evidence that elevated hip axis length,
femoral neck angle and neck width are the risk factor for femoral neck
fractures.The Translational Potential of this Article: Determining the hip axis
length, femoral neck angle and neck width that are most highly associated with
femoral neck fracture may allow clinicians to more accurately predict which
individuals are likely to experience femoral neck fractures in the future.
PMID- 29662786
TI - Comparison of topical and intravenous administration of tranexamic acid for blood
loss control during total joint replacement: Review of literature.
AB - Purpose: Many randomised controlled trials and meta-analysis studies have
presented the efficacy of tranexamic acid (TXA) without an increase of
complications. However, questions still remain about the type of administration,
optimal dose and secondary outcomes of TXA in total hip arthroplasty and total
knee arthroplasty. The aim of this review is to summarise the existing
information in literature concerning the pharmacological characteristics of TXA,
forms, doses, types of application and contraindications for its use. Methods: A
literature review containing 63 articles from the PubMed data starting from the
first description of tranexamic acid until now was made in trying to present the
existing information in a simple and effective way. Results: TXA leads to
statistically significant reduction of peri and postoperative bleeding and in
that way decreases blood transfusion rates and the infection risk. Topical and
intravenous (IV) use of TXA revealed similar results, with no increase of deep
venous thrombosis. Therefore, topical TXA could be a reasonable alternative in
patients with contraindications for IV application of TXA. Conclusions: Blood
loss control with TXA, a synthetic analogue of the amino acid lysine, may be an
excellent and safe alternative to allogeneic blood transfusion after total hip
arthroplasty and total knee arthroplasty. Further studies are needed to establish
the efficacy of combined IV and topical administration of TXA with regard to
diminishing blood loss and reducing hospital stay. The Translational Potential of
this Article: This review briefly presents the pharmacological characteristics of
TXA, forms, doses, types of application and contraindications for its use with
regard to diminishing blood loss and reducing hospital stay for better
therapeutic strategies in orthopaedics.
PMID- 29662787
TI - Steroid-associated osteonecrosis animal model in rats.
AB - Objective: Established preclinical disease models are essential for not only
studying aetiology and/or pathophysiology of the relevant diseases but more
importantly also for testing prevention and/or treatment concept(s). The present
study proposed and established a detailed induction and assessment protocol for a
unique and cost-effective preclinical steroid-associated osteonecrosis (SAON) in
rats with pulsed injections of lipopolysaccharide (LPS) and methylprednisolone
(MPS). Methods: Sixteen 24-week-old male Sprague-Dawley rats were used to induce
SAON by one intravenous injection of LPS (0.2 mg/kg) and three intraperitoneal
injections of MPS (100 mg/kg) with a time interval of 24 hour, and then, MPS (40
mg/kg) was intraperitoneally injected three times a week from week 2 until
sacrifice. Additional 12 rats were used as normal controls. Two and six weeks
after induction, animals were scanned by metabolic dual energy X-ray
absorptiometry for evaluation of tissue composition; serum was collected for bone
turnover markers, Microfil perfusion was performed for angiography, the liver was
collected for histopathology and bilateral femora and bilateral tibiae were
collected for histological examination. Results: Three rats died after LPS
injection, i.e., with 15.8% (3/19) mortality. Histological evaluation showed 100%
incidence of SAON at week 2. Dual energy X-ray absorptiometry showed
significantly higher fat percent and lower lean mass in SAON group at week 6.
Micro-computed tomography (Micro-CT) showed significant bone degradation at
proximal tibia 6 weeks after SAON induction. Angiography illustrated
significantly less blood vessels in the proximal tibia and significantly more
leakage particles in the distal tibia 2 weeks after SAON induction. Serum amino
terminal propeptide of type I collagen and osteocalcin were significantly lower
at both 2 and 6 weeks after SAON induction, and serum carboxy-terminal
telopeptide was significantly lower at 6 weeks after SAON induction.
Histomorphometry revealed significantly lower osteoblast surface and higher
marrow fat fraction and oedema area in SAON group. Hepatic oedema appeared 2
weeks after SAON induction, and lipid accumulation appeared in the liver of SAON
rats 6 weeks after SAON induction. Conclusion: The present study successfully
induced SAON in rats with pulsed injection of LPS and MPS, which was well
simulating the clinical feature and pathology. Apart from available large animal
models, such as bipedal emus or quadrupedal rabbits, our current SAON small model
in rats could be a cost-effective preclinical experimental model to study body
metabolism, molecular mechanism of SAON and potential drugs developed for
prevention or treatment of SAON. The translational potential of this article: The
present study successfully induced SAON in a small animal model in rats with
pulsed injection of LPS and MPS. The evaluation protocols with typical
histopathologic ON features and advanced evaluation approaches to identify the
metabolic disorders of SAON could be used in future rat SAON studies. The SAON
rat model is a suitable and cost-effective animal model to study molecular
mechanism of SAON and potential drugs developed for prevention and treatment of
SAON.
PMID- 29662789
TI - Pie-crusting technique is effective and safe to release superficial medial
collateral ligament for total knee arthroplasty.
AB - Background: Pie-crusting technique is a damage-control soft tissue balance skill
of total knee arthroplasty (TKA). The outcome of this technique to release
lateral soft tissue is reasonable. A limited number of studies have focused on
medial collateral ligament release with pie-crusting technique in the past years
because of concerns about its efficacy and safety. Method: All cases underwent
superficial medial collateral ligament (SMCL) release with either pie-crusting
technique or traditional technique (39 knees in each group) between January 1,
2014 and August 31, 2015. A comparison study between two techniques was
performed; meanwhile, 23 patients (26 knees) in pie-crusting group were followed
up. Data including knee function, radiographic result and complications were
analysed. Results: Comparison study demonstrates that pie-crusting technique can
achieve a comparable or even better effect of alignment correction. Data of
follow-up patients are reasonable. The mean postoperative flexion contracture is
1.2 +/- 3.6 degrees . The mean postoperative motion arrange is 104.0 +/- 14.4
degrees . The mean postoperative hospital for special surgery knee score point is
82.0 +/- 7.4 points. The mean postoperative femoral tibial angle is 172.4 +/- 2.0
degrees . The level of joint line elevates around 2.1 +/- 1.9 mm. There are four
knees that use brace after operation, and none of them present unstable knee. No
severe complication has been reported, and most patients were satisfied with life
quality. Conclusions: Using pie-crusting technique to release SMCL for TKA is
effective and safe. The translational potential of this article: Although pie
crusting technique has been used in TKA for years, it is seldom chosen to release
medial collateral ligament, especially to release SMCL, which is a vital step of
malalignment correction. This study aims to evaluate the efficacy and safety of
this technique in total knee arthroplasty patients.
PMID- 29662788
TI - Skeletal muscle regeneration is modulated by inflammation.
AB - : Skeletal muscle regeneration is a complex process orchestrated by multiple
steps. Recent findings indicate that inflammatory responses could play central
roles in bridging initial muscle injury responses and timely muscle injury
reparation. The various types of immune cells and cytokines have crucial roles in
muscle regeneration process. In this review, we briefly summarise the functions
of acute inflammation in muscle regeneration. The translational potential of this
article: Immune system is closely relevant to the muscle regeneration.
Understanding the mechanisms of inflammation in muscle regeneration is therefore
critical for the development of effective regenerative, and therapeutic
strategies in muscular disorders. This review provides information for muscle
regeneration research regarding the effects of inflammation on muscle
regeneration.
PMID- 29662790
TI - Update on biomaterials for prevention of epidural adhesion after lumbar
laminectomy.
AB - Lumbar laminectomy often results in failed back surgery syndrome. Most scholars
support the three-dimensional theory of adhesion: Fibrosis surrounding the
epidural tissues is based on the injured sacrospinalis behind, fibrous rings and
posterior longitudinal ligaments. Approaches including using the minimally
invasive technique, drugs, biomaterial and nonbiomaterial barriers to prevent the
postoperative epidural adhesion were intensively investigated. Nevertheless, the
results are far from satisfactory. Our review is based on various implant
biomaterials that are used in clinical applications or are under study. We show
the advantages and disadvantages of each method. The summary will help us to
figure out ideas towards new techniques. The translational potential of this
article: This review summarises recent biomaterials-related clinical and basic
research that focuses on prevention of epidural adhesion after lumbar
laminectomy. We also propose a novel possible translational method where a soft
scaffold acts as a physical barrier in the early stage, engineered adipose tissue
acts as a biobarrier in the later stage in the application of biomaterials and
adipose-derived mesenchymal stem cells are used for prevention of epidural
adhesion.
PMID- 29662791
TI - Meta-analysis of urinary C-terminal telopeptide of type II collagen as a
biomarker in osteoarthritis diagnosis.
AB - Objective: This study aims to discover that the urinary C-terminal telopeptide of
type II collagen (uCTX-II) levels differ between osteoarthritis (OA) patients and
healthy individuals (controls). According to this difference, we may conclude
that uCTX-II can be a biomarker for OA diagnosis. Methods: We searched MEDLINE
and EMBASE databases updated to 2014 to find literature on OA biomarkers. We
retrieved the publications that met the required criterion. Literature quality
was assessed according to the Newcastle-Ottawa Scale. Publication bias was
assessed by Begg's test and Egger's test with the software STATA version 12.0.
The weighted mean difference (WMD) was calculated, and the subgroup analysis was
completed using STATA 12.0. Results: Six publications were included in our
analysis. The WMD for OA patients versus the controls was 83.05, which was within
the 95% confidence interval. For subgroup analysis, the WMD of patients with
severe OA was 119.92, whereas that of patients with mild OA was 28.07.
Conclusions: uCTX-II levels were higher in OA patients than in controls, subgroup
analysis revealed that the uCTX-II levels rised with the OA severity, the
heterogeneity originated from different levels of OA severity, These results
showed that uCTX-II would be a promising clinical biomarker in OA diagnosis.
PMID- 29662792
TI - Quantitative determination of residual 1,4-dioxane in three-dimensional printed
bone scaffold.
AB - Background/Objective: A novel porous scaffold poly (lactide-co-glycolide) and
tricalcium phosphate (PLGA/TCP) was developed by three-dimensional printing
technology for bone defect repair. As a Class 2 solvent with less severe
toxicity, content of residual 1,4-dioxane in this newly developed scaffold should
be rigorously controlled when it is translated to clinical use. In this study, a
headspace gas chromatography-mass spectrometric (HS-GC-MS) method and related
testing protocol were developed for quantitative determination of 1,4-dioxane in
the PLGA/TCP composite scaffolds. Methods: Matrix effect analysis was used to
optimise the pretreatment method of the scaffolds. Then, the procedure for
testing 1,4-dioxane using HS-GC-MS was set up. The accuracy, precision, and
robustness of this newly developed quantitative method were also validated before
quantification of 1,4-dioxane in the scaffolds with different drying procedures.
Results: Dimethyl formamide (DMF) was the optimal solvent for dissolving
scaffolds for GC-MS with proper sensitivity and without matrix effect. Then, the
optimised procedure was determined as: the scaffolds were dissolved in DMF and
kept at 90 degrees C for 40 minutes, separated on a HP-5MS column, and detected
by mass spectroscopy. Recovery experiments gave 97.9-100.7% recovery for 1,4
dioxane. The linear range for 1,4-dioxane was determined as 1-40 ppm with linear
correlation coefficient >= 0.9999. Intraday and interday precision was determined
as being within relative standard deviation of below 0.68%. The passable drying
procedure was related to lyophilising (-50 degrees C, 50 Pa) the scaffolds for 2
days and drying in vacuum (50 Pa) for 7 days. Conclusion: This is the first
quantitative method established to test 1,4-dixoane in a novel scaffold. This
method was validated with good accuracy and reproducibility, and met the
methodological requirements of the Guideline 9101 documented in the Chinese
Pharmacopoeia 2015 Edition. The translational potential of this article: This
quantitative method for determination of residual 1,4-dioxane in the novel
scaffolds is a key technical method during its translation into clinical use
because this method is an important and indispensable file in the enterprise
standard when the porous scaffold is registered as a Class III implanted medical
device for bone defect repair, which is used to guarantee the safety of the
scaffolds. It is also applied to optimise the drying process of scaffolds and to
monitor the quality of scaffolds in the industrialisation process. Further, this
method provides references for other solvents quantitative determination in
porous scaffolds or materials.
PMID- 29662793
TI - Journal of Orthopaedic Translation now indexed in PubMed Central(c).
PMID- 29662794
TI - Regenerative technologies to bed side: Evolving the regulatory framework.
AB - There are high expectations for the clinical application of regenerative medicine
technologies to treat musculoskeletal disorders. However, there are still big
hurdles in bringing cell-based products to the market, mainly due to strict
regulatory frameworks to approve these. Recently, the Japanese Pharmaceuticals
and Medical Devices Agency adopted new regulations under legislature. The
translational potential of this article is to inform on the regulations to bring
experimental phase regenerative concepts to market approval in the United States
and Europe, and highlight the opportunities granted by Japanese regulatory
framework. Furthermore, we discuss the perspectives on the quickly evolving
regulatory environment.
PMID- 29662795
TI - Cell therapy for intervertebral disc repair: Clinical perspective.
AB - Low back pain is the main cause of disability and is associated with
intervertebral disc degeneration. Contemporary treatments are limited to
palliative therapeutics or aggressive surgical interventions; however, current
advancements in cell therapy offer to fill this breach. Clinical data suggest
that cell transplantation can accomplish pain relief without any observed adverse
effects. Despite a large variety of preclinical studies and preliminary clinical
investigations, controversy remains on the optimal cell type and transplantation
strategies. The translational potential of this article lies in the aim to update
on the current state of intervertebral disc cell therapy and to identify current
obstacles.
PMID- 29662796
TI - Mesenchymal stem cells homing to improve bone healing.
AB - Cell therapy continues to attract growing interest as a promising approach to
treat a variety of diseases. Mesenchymal stem cells (MSCs) have been one of the
most intensely studied candidates for cell therapy. Since the homing capacity of
MSCs is an important determinant of effective MSC-based therapy, the enhancement
of homing efficiency is essential for optimizing the therapeutic outcome.
Furthermore, trafficking of endogenous MSCs to damaged tissues, also referred to
as endogenic stem cell homing, and the subsequent participation of MSCs in tissue
regeneration are considered to be a natural self-healing response. Therefore,
strategies to stimulate and reinforce the mobilisation and homing of MSCs have
become a key point in regenerative medicine. The current review focuses on
advances in the mechanisms and factors governing trafficking of MSCs, and the
relationship between MSC mobilisation and skeletal diseases, providing insights
into strategies for their potential translational implications.
PMID- 29662797
TI - Current concepts on tenogenic differentiation and clinical applications.
AB - Tendon is a tissue that transmits force from muscle to bone. Chronic or acute
tendon injuries are very common, and are always accompanied by pain and a limited
range of motion in patients. In clinical settings, management of tendon injuries
still remains a big challenge. Cell therapies, such as the application of stem
cells for tenogenic differentiation, were suggested to be an ideal strategy for
clinical translation. However, there is still a lack of specific methods for
tenogenic differentiation due to the limited understanding of tendon biology
currently. This review focuses on the summary of current published strategies for
tenogenic differentiation, such as the application of growth factors, mechanical
stimulation, biomaterials, coculture, or induced pluripotent stem cells. Current
clinical applications of stem cells for treatment of tendon injuries and their
limitations have also been discussed in this review.
PMID- 29662798
TI - Intervertebral disc response to stem cell treatment is conditioned by disc state
and cell carrier: An ex vivo study.
AB - In vitro and in vivo studies evidenced that mesenchymal stem cells (MSCs)
contribute to intervertebral disc (IVD) regeneration by differentiation towards
the disc phenotype and matrix synthesis and/or by paracrine signalling to
endogenous cells, thereby promoting a healthier disc phenotype in degenerative
discs. The aim of this study was to investigate IVD response to human MSC (hMSC)
treatment based on the disc degenerative state and hMSC carrier. Bovine caudal
IVDs with endplates were cultured in a bioreactor under simulated physiological
(0.1 Hz load and sufficient glucose) or degenerative (10 Hz load and limited
glucose) conditions for 7 days. Discs were partially nucleotomised, restored with
hMSCs in either fibrin gel or saline solution and cultured under physiological
conditions for 7 days. Controls included fibrin and saline without hMSCs. Cell
viability, histology, disc height, and gene expression analyses were performed to
evaluate regeneration. hMSCs in fibrin were viable and homogenously distributed
following 7 days of culture under dynamic loading in partially nucleotomised
discs. IVD response to hMSCs was conditioned by both disc degenerative state and
hMSC carrier. The effect of the regenerative treatment was stronger on simulated
degenerative discs than on simulated-physiological discs. hMSCs in fibrin induced
a superior anabolic response in degenerative IVDs compared with fibrin alone,
thus suggesting an added value of the cellular therapy compared with an acellular
solution. When comparing fibrin and saline as a hMSC carrier, a significantly
higher anabolic response was observed in IVDs treated with hMSCs in fibrin.
Moreover, it was found that the degenerative state of the disc influenced hMSC
differentiation. Indeed, a significantly higher expression of specific discogenic
markers (ACAN and CA12) was observed in hMSCs implanted into physiological discs
than in those implanted into degenerative discs. In conclusion, host disc cells
and donor hMSC response depend on the degenerative state of the host disc and
carrier used for hMSC delivery, and these two aspects need to be considered for a
successful translation of hMSC therapies for the treatment of IVD degeneration.
PMID- 29662799
TI - Ultrasound as a stimulus for musculoskeletal disorders.
AB - Ultrasound is an inaudible form of acoustic sound wave at 20 kHz or above that is
widely used in the medical field with applications including medical imaging and
therapeutic stimulation. In therapeutic ultrasound, low-intensity pulsed
ultrasound (LIPUS) is the most widely used and studied form that generally uses
acoustic waves at an intensity of 30 mW/cm2, with 200 ms pulses and 1.5 MHz. In
orthopaedic applications, it is used as a biophysical stimulus for
musculoskeletal tissue repair to enhance tissue regeneration. LIPUS has been
shown to enhance fracture healing by shortening the time to heal and
reestablishment of mechanical properties through enhancing different phases of
the healing process, including the inflammatory phase, callus formation, and
callus remodelling phase. Reports from in vitro studies reveal insights in the
mechanism through which acoustic stimulations activate cell surface integrins
that, in turn, activate various mechanical transduction pathways including FAK
(focal adhesion kinase), ERK (extracellular signal-regulated kinase), PI3K, and
Akt. It is then followed by the production of cyclooxygenase 2 and prostaglandin
E2 to stimulate further downstream angiogenic, osteogenic, and chondrogenic
cytokines, explaining the different enhancements observed in animal and clinical
studies. Furthermore, LIPUS has also been shown to have remarkable effects on
mesenchymal stem cells (MSCs) in musculoskeletal injuries and tissue
regeneration. The recruitment of MSCs to injury sites by LIPUS requires the SDF-1
(stromal cell derived factor-1)/CXCR-4 signalling axis. MSCs would then
differentiate differently, and this is regulated by the presence of different
cytokines, which determines their fates. Other musculoskeletal applications
including bone-tendon junction healing, and distraction osteogenesis are also
explored, and the results are promising. However, the use of LIPUS is
controversial in treating osteoporosis, with negative findings in clinical
settings, which may be attributable to the absence of an injury entry point for
the acoustic signal to propagate, strong attenuation effect of cortical bone and
the insufficient intensity for penetration, whereas in some animal studies it has
proven effective.
PMID- 29662800
TI - Pulsed electromagnetic field applications: A corporate perspective.
AB - Corporate establishment of US Food & Drug Administration approved pulsed
electromagnetic fields (PEMFs) for clinical applications has been achieved.
However, optimization of PEMFs for improvement in efficacy for current
indications, in addition to the expansion into new indications, is not trivial.
Moving directly into a clinical trial can be costly and carries little guarantee
for success, necessitating the need for preclinical studies as supported by this
review of the extensive corporate preclinical experience by Orthofix, Inc. The
Translational Potential of this Article: This review illustrates the need to gain
enough in vitro/in vivo knowledge of specific PEMF signals and its target tissue
interaction to enable a high success rate in clinical trials.
PMID- 29662801
TI - Advances of stem cell based-therapeutic approaches for tendon repair.
AB - Tendon injuries are significant clinical problems. Current treatments often
result in incomplete repair or healing, which may lead to reduced function and
rupture. Stem cell-based therapy is a promising intervention for tendon repair.
In this article, we attempt to provide a brief overview on the recent progress in
the field, current understanding of the underlying mechanisms of the approach,
and the potential of stem cell-based therapies beyond cell implantation. We
conclude the review by sharing our viewpoints on the challenges, opportunities,
and future directions of this approach. The translational potential of this
article: This paper reviews recent progress on stem cell-based therapeutic
approaches for tendon repair, which highlights its translational potential and
challenges.
PMID- 29662804
TI - Stem cells and regenerative medicine: The time is right for translation.
PMID- 29662802
TI - Cartilage repair by mesenchymal stem cells: Clinical trial update and
perspectives.
AB - Osteoarthritis is a degenerative disease of joints with destruction of articular
cartilage associated with subchondral bone hypertrophy and inflammation. OA is
the leading cause of joint pain resulting in significant worsening of the quality
of-life in the elderly. Numerous efforts have been spent to overcome the
inherently poor healing ability of articular cartilage. Mesenchymal stem cells
(MSCs) have been in the limelight of cell-based therapies to promote cartilage
repair. Despite progressive advancements in MSC manipulation and the introduction
of various bioactive scaffolds and growth factors in preclinical studies, current
clinical trials are still at early stages with preliminary aims to evaluate
safety, feasibility and efficacy. This review summarises recently reported MSC
based clinical trials and discusses new research directions with particular focus
on the potential application of MSC-derived extracellular vehicles, miRNAs and
advanced gene editing techniques which may shed light on the development of novel
treatment strategies. The translational potential of this article: This review
summarises recent MSC-related clinical research that focuses on cartilage repair.
We also propose a novel possible translational direction for hyaline cartilage
formation and a new paradigm making use of extra-cellular signalling and
epigenetic regulation in the application of MSCs for cartilage repair.
PMID- 29662803
TI - Role of mesenchymal stem cells in osteoarthritis treatment.
AB - As the most common form of joint disorder, osteoarthritis (OA) imposes a
tremendous burden on health care systems worldwide. Without effective cure, OA
represents a unique opportunity for innovation in therapeutic development. In
contrast to traditional treatments based on drugs, proteins, or antibodies, stem
cells are poised to revolutionize medicine as they possess the capacity to
replace and repair tissues and organs such as osteoarthritic joints. Among
different types of stem cells, mesenchymal stem cells (MSCs) are of mesoderm
origin and have been shown to generate cells for tissues of the mesoderm lineage,
thus, raising the hope for them being used to treat diseases such as OA. However,
given their ability to differentiate into other cell types, MSCs have also been
tested in treating a myriad of conditions from diabetes to Parkinson's disease,
apparently of the ectoderm and endoderm lineages. There are ongoing debates
whether MSCs can differentiate into lineages outside of the mesoderm and
consequently their effectiveness in treating conditions from the ectoderm and
endoderm lineages. In this review, we discuss the developmental origin of MSCs,
their differentiation potential and immunomodulatory effects, as well as their
applications in treating OA. We suggest further investigations into new therapies
or combination therapies that may provide more effective treatment for bone and
joint diseases. Furthermore, cell-based therapy and its associated safety and
effectiveness should be carefully evaluated before clinical translation. This
review provides updated information on recent approval of clinical trials and
related applications of MSCs, and discusses additional efforts on cell-based
therapy for treating OA and other joint and bone diseases.
PMID- 29662805
TI - Analysis of an Internet Community about Pneumothorax and the Importance of
Accurate Information about the Disease.
AB - Background: The huge improvements in the speed of data transmission and the
increasing amount of data available as the Internet has expanded have made it
easy to obtain information about any disease. Since pneumothorax frequently
occurs in young adolescents, patients often search the Internet for information
on pneumothorax. Methods: This study analyzed an Internet community for
exchanging information on pneumothorax, with an emphasis on the importance of
accurate information and doctors' role in providing such information. Results:
This study assessed 599,178 visitors to the Internet community from June 2008 to
April 2017. There was an average of 190 visitors, 2.2 posts, and 4.5 replies per
day. A total of 6,513 posts were made, and 63.3% of them included questions about
the disease. The visitors mostly searched for terms such as 'pneumothorax,'
'recurrent pneumothorax,' 'pneumothorax operation,' and 'obtaining a medical
certification of having been diagnosed with pneumothorax.' However, 22% of the
pneumothorax-related posts by visitors contained inaccurate information.
Conclusion: Internet communities can be an important source of information.
However, incorrect information about a disease can be harmful for patients. We,
as doctors, should try to provide more in-depth information about diseases to
patients and to disseminate accurate information about diseases in Internet
communities.
PMID- 29662806
TI - Early Clinical Outcomes of Tricuspid Valve Repair with a Tri-Ad Annuloplasty Ring
in Comparison with the Outcomes Using an MC3 Ring.
AB - Background: We evaluated the early clinical outcomes of tricuspid valve
annuloplasty (TAP) with the Tri-Ad annuloplasty ring for functional tricuspid
regurgitation (TR). Methods: From January 2015 to March 2017, 36 patients
underwent TAP with a Tri-Ad ring for functional TR. To evaluate the early
clinical outcomes of TAP with the Tri-Ad ring, we conducted a propensity score
matched analysis comparing the Tri-Ad and MC3 tricuspid annuloplasty rings (n=34
in each group). The follow-up duration was 11.0+/-7.07 months. Results: There was
1 case of operative mortality (2.8%) and no cases of late mortality.
Postoperative complications occurred in 15 patients (41%), including acute kidney
injury in 6 patients (16%), bleeding requiring reoperation in 4 patients (11%),
and low cardiac output syndrome in 4 patients (11%). There were no ring-related
complications, such as atrioventricular block or ring dehiscence. The TR grade
decreased significantly (from 2.03+/-1.06 to 1.18+/-0.92, p<0.01), as did the
systolic pulmonary artery pressure (from 43.53+/-13.84 to 38.00+/-9.72 mm Hg,
p=0.03). There were no cases of severe residual TR, but moderate TR was observed
in 3 patients, all of whom had severe TR preoperatively. Severe preoperative TR
was also associated with moderate in the univariate analysis (p<0.01). In the
propensity score-matched analysis comparing the Tri-Ad and MC3 rings, there was
no significant difference in early clinical outcomes. Conclusion: TAP with the
Tri-Ad ring corrected functional TR effectively and provided good early clinical
and echocardiographic results without ring-related complications. However, severe
preoperative TR was associated with moderate or severe residual TR in the
immediate postoperative period. A follow-up study is necessary to confirm the
stability of this procedure.
PMID- 29662808
TI - Critical Illness-Related Corticosteroid Insufficiency in Patients with Low
Cardiac Output Syndrome after Cardiac Surgery.
AB - Background: Low cardiac output syndrome (LCOS) after cardiac surgery usually
requires inotropes. In this setting, critical illness-related corticosteroid
insufficiency (CIRCI) may develop. We aimed to investigate the clinical features
of CIRCI in the presence of LCOS and to assess the efficacy of steroid treatment.
Methods: We reviewed 28 patients who underwent a rapid adrenocorticotropic
hormone (ACTH) test due to the suspicion of CIRCI between February 2010 and
September 2014. CIRCI was diagnosed by a change in serum cortisol of <9 MUg/dL
after the ACTH test or a random cortisol level of <10 MUg/dL. Results: Twenty of
the 28 patients met the diagnostic criteria. The patients with CIRCI showed
higher Sequential Organ Failure Assessment (SOFA) scores than those without CIRCI
(16.1+/-2.3 vs. 11.4+/-3.5, p=0.001). Six of the patients with CIRCI (30%)
received glucocorticoids. With an average elevation of the mean blood pressure by
22.2+/-8.7 mm Hg after steroid therapy, the duration of inotropic support was
shorter in the steroid group than in the non-steroid group (14.1+/-2.3 days
versus 30+/-22.8 days, p=0.001). Three infections (15%) developed in the non
steroid group, but this was not a significant between-group difference.
Conclusion: CIRCI should be suspected in patients with LCOS after cardiac
surgery, especially in patients with a high SOFA score. Glucocorticoid
replacement therapy may be considered to reduce the use of inotropes without
posing an additional risk of infection.
PMID- 29662807
TI - Mechanical versus Tissue Aortic Prosthesis in Sexagenarians: Comparison of
Hemodynamic and Clinical Outcomes.
AB - Background: The question of which type of prosthetic aortic valve leads to the
best outcomes in patients in their 60s remains controversial. We examined the
hemodynamic and clinical outcomes of aortic valve replacement in sexagenarians
according to the type of prosthesis. Methods: We retrospectively reviewed 270
patients in their 60s who underwent first-time aortic valve replacement from 1995
to 2011. Early and late mortality, major adverse valve-related events,
anticoagulation-related events, and hemodynamic outcomes were assessed. The mean
follow-up duration was 58.7+/-44.0 months. Results: Of the 270 patients, 93 had a
mechanical prosthesis (mechanical group), and 177 had a bioprosthesis (tissue
group). The tissue group had a higher mean age and prevalence of preoperative
stroke than the mechanical group. The groups had no differences in the aortic
valve mean pressure gradient (AVMPG) or the left ventricular mass index (LVMI) at
5 years after surgery. In a sub-analysis limited to prostheses in the supra
annular position, the AVMPG was higher in the tissue group, but the LVMI was
still not significantly different. There was no early mortality. The 10-year
survival rate was 83% in the mechanical group and 90% in the tissue group. The
type of aortic prosthesis did not influence overall mortality, cardiac mortality,
or major adverse valve-related events. Anticoagulation-related events were more
common in the mechanical group than in the tissue group (p=0.034; hazard ratio,
4.100; 95% confidence interval, 1.111-15.132). Conclusion: The type of aortic
prosthesis was not associated with hemodynamic or clinical outcomes, except for
anticoagulation-related events.
PMID- 29662809
TI - Validation of Nafamostat Mesilate as an Anticoagulant in Extracorporeal Membrane
Oxygenation: A Large-Animal Experiment.
AB - Background: Unfractionated heparin is commonly used for anticoagulation in
extracorporeal membrane oxygenation (ECMO). Several studies have shown that
nafamostat mesilate (NM) has comparable clinical outcomes to unfractionated
heparin. This study compared anticoagulation with NM and heparin in a large
animal model. Methods: Beagle dogs (n=8; weight, 6.5-9 kg) were placed on
venovenous ECMO. Blood samples were taken every hour and the following parameters
were compared: hemoglobin level, activated partial thromboplastin time (aPTT),
thromboelastography (TEG) data, platelet function, and inflammatory cytokine
levels. Results: In both groups, the aPTT was longer than the baseline value.
Although the aPTT in the NM group was shorter than in the heparin group, the TEG
parameters were similar between the 2 groups. Hemoglobin levels decreased in both
groups, but the decrease was less with NM than with heparin (p=0.049).
Interleukin (IL)-1beta levels significantly decreased in the NM group (p=0.01),
but there was no difference in the levels of tumor necrosis factor alpha or IL-10
between the 2 groups. Conclusion: NM showed a similar anticoagulant effect to
that of unfractionated heparin, with fewer bleeding complications. NM also had
anti-inflammatory properties during ECMO. Based on this preclinical study, NM may
be a good alternative candidate for anticoagulation in ECMO.
PMID- 29662810
TI - Clinical Results of Arteriovenous Fistulas Constructed Using Autologous Vessels
in End-Stage Renal Disease Patients on Hemodialysis.
AB - Background: For hemodialysis patients with end-stage renal disease (ESRD), it is
important to construct an efficient vascular access with a superior patency rate.
This study investigated the factors influencing the efficiency of arteriovenous
fistulas (AVFs) constructed using an autologous vessel and evaluated the
necessity of ultrasonography as a preoperative tool for AVF construction.
Methods: A retrospective analysis was performed of 250 patients in whom an AVF
was constructed using an autologous vessel due to ESRD at our institution from
January 2009 to April 2016. Results: The 1-, 3-, and 5-year patency rates for all
subjects were 87.6%, 85.6%, and 84.4%, respectively. The patients who underwent a
preoperative evaluation of their vessels via ultrasonography had better patency
rates than those who did not. Superior patency rates were found in patients under
65 years of age or with an anastomotic vein diameter of 3 mm or more. The 1-year
patency rate and the diameter of the anastomotic vein showed a positive
relationship. Conclusion: Ultrasonography is strongly recommended for AVF
construction, and efforts should be made to increase the patency rate in patients
over 65. Superior clinical results can be expected when an AVF is made using an
autologous vessel with an anastomotic vein diameter of at least 3 mm.
PMID- 29662811
TI - Management of Coronary Sinus Ostial Atresia during a Staged Operation of a
Functional Single Ventricle.
AB - Coronary sinus ostial atresia (CSOA) with persistent left superior vena cava
(LSVC) in the absence of an unroofed coronary sinus is a benign and rare anomaly
that may be taken lightly in most instances. However, if overlooked in patients
undergoing univentricular heart repair such as bidirectional Glenn or Fontan-type
surgery, fatal surgical outcomes may occur due to coronary venous drainage
failure. We report a case of CSOA with a persistent LSVC that was managed through
coronary sinus rerouting during a total cavopulmonary connection, and provide a
review of the literature regarding this rare anomaly.
PMID- 29662812
TI - Pulmonary Nodular Lymphoid Hyperplasia in a 33-Year-Old Woman.
AB - Pulmonary nodular lymphoid hyperplasia is a reactive lymphoproliferative disease.
It is very rare, which means that many aspects of the disease are unknown or have
not been proven. Pulmonary nodular lymphoid hyperplasia can be symptomatic or
asymptomatic, progressive or not, and solitary or multiple, and a surgical
approach is the current treatment of choice. We present a case of pulmonary
nodular lymphoid hyperplasia that was visualized as multiple ground glass
opacities on a computed tomography (CT) scan, and observed for 1 year because the
patient was pregnant. Over this period, the number and extent of the opacities
progressed, but no symptoms were reported. A surgical biopsy was done and some
remaining lesions regressed on follow-up CT scans, while others progressed,
without any appearance of symptoms.
PMID- 29662813
TI - Conjunction of a Fungus Ball and a Pulmonary Tumourlet in a Bronchiectatic
Cavity.
AB - Herein, we describe the case of a 67-year-old female patient who presented with
cough and haemoptysis. Chest computed tomography revealed destruction of the left
lower lobe and multiple fungus balls in a bronchiectatic cavity. A left lower
lobectomy was performed via thoracotomy. Histopathological examination of the
lung showed a concomitant aspergilloma and multiple tumourlets in the large
bronchiectatic cavity. Pulmonary intracavitary aspergilloma and concomitant
tumourlets are quite rare. Our report presents this interesting case that
manifested with haemoptysis.
PMID- 29662814
TI - Successful Surgical Treatment of a Recurrent Esophageal Malignant
Gastrointestinal Neuroectodermal Tumor.
AB - Malignant gastrointestinal neuroectodermal tumor (GNET) is a very rare disease
entity, especially in the esophagus. The diagnosis of GNET is based on
histologic, immunohistochemical, and genetic findings. The choice of treatment is
complete resection, and further treatment options can be considered. Herein, we
describe a case of successful surgical treatment of a 23-year-old man with
recurrent malignant esophageal GNET.
PMID- 29662815
TI - The Treatment of Left Atrial Appendage Aneurysm by a Minimally Invasive Approach.
AB - Left atrial appendage (LAA) aneurysm is a rare, pathologic condition that may
lead to atrial tachyarrhythmia or thromboembolic events. A 49-year-old man
presented with aggravated palpitation and dizziness. He suffered from refractory
atrial fibrillation despite a previous history of radiofrequency catheter
ablation. Echocardiography revealed a 57-mm LAA aneurysm. Surgical ablation was
performed through a right mini-thoracotomy, and the LAA aneurysm was obliterated
with a 50-mm AtriClip (Atricure Inc., Westchester, OH, USA). However, follow-up
computed tomography showed residual communication, so the patient is still taking
warfarin. We report that a minimally invasive strategy for treating LAA aneurysm
can be considered, but incomplete closure may occur; thus, caution is needed.
PMID- 29662816
TI - Mitral Valve Replacement with a Pulmonary Autograft in an Infant.
AB - A 76-day-old infant weighing 3.4 kg was referred for surgical intervention for
severe mitral valve stenoinsufficiency caused by leaflet fibrosis and
calcification. He had experienced a cerebral infarction in the left middle
cerebral artery territory, which was deemed attributable to an embolism of a
calcified particle from the dysmorphic mitral valve. Because mitral valve
replacement using a prosthetic valve was not feasible in this small baby, mitral
valve replacement with a pulmonary autograft was performed. After a brief period
of extracorporeal membrane oxygenation (ECMO) support, he was weaned from ECMO
and was discharged home without further cardiovascular complications.
PMID- 29662817
TI - Concomitant Avulsion Injury of the Subclavian Vessels and the Main Bronchus
Caused by Blunt Trauma.
AB - Concomitant rupture of the subclavian vessels and the left main bronchus caused
by blunt trauma is a serious condition. Moreover, the diagnosis of a
tracheobronchial injury with rupture of the subclavian vessels can be difficult.
This report describes the case of a 33-year-old man who suffered from blunt
trauma that resulted in the rupture of the left subclavian artery and vein. The
patient underwent an operation for vascular control. On postoperative day 3, the
left main bronchus was found to be transected on a computed tomography scan and
bronchoscopy. The transected bronchus was anastomosed in an end-to-end fashion.
He recovered without any notable problems. Although the bronchial injury was not
detected early, this case of concomitant rupture of the great vessels and the
airway was successfully treated after applying extracorporeal membrane
oxygenation.
PMID- 29662818
TI - Cervicothoracic Thymic Cyst: An Unusual Presentation.
AB - Cervicothoracic thymic cysts are rare and difficult to diagnose preoperatively.
We report a case of a cervicothoracic thymic cyst presenting as a lateral neck
mass and mimicking a laryngocele in a 3-year-old boy and its definitive
management.
PMID- 29662819
TI - Variable localization of Toll-like receptors in human fallopian tube epithelial
cells.
AB - Objective: To determine the localization, expression, and function of Toll-like
receptors (TLRs) in fallopian tube epithelial cells. Methods: The localization of
TLRs in fallopian tube epithelial cells was investigated by immunostaining.
Surprisingly, the intensity of staining was not equal in the secretory and
ciliated cells. After primary cell culture of fallopian tube epithelial cells,
ring cloning was used to isolate colonies of ciliated epithelial cells, distinct
from non-ciliated epithelial cells. The expression of TLRs 1-10 was examined by
quantitative real-time polymerase chain reaction, and protein localization was
confirmed by immunostaining. The function of the TLRs was determined by
interleukin (IL)-6 and IL-8 production in response to TLR2, TLR3, TLR5, TLR7, and
TLR9 ligands. Results: Fallopian tube epithelial cells expressed TLRs 1-10 in a
cell-type-specific manner. Exposing fallopian tube epithelial cells to TLR2,
TLR3, TLR5, TLR7, and TLR9 agonists induced the secretion of proinflammatory
cytokines such as IL-6 and IL-8. Conclusion: Our findings suggest that TLR
expression in the fallopian tubes is cell-type-specific. According to our
results, ciliated cells may play more effective role than non-ciliated cells in
the innate immune defense of the fallopian tubes, and in interactions with
gametes and embryos.
PMID- 29662820
TI - Effects of selenium on the survival and invasion of trophoblasts.
AB - Objective: Placental oxidative stress is known to be a factor that contributes to
pregnancy failure. The aim of this study was to determine whether selenium could
induce antioxidant gene expression and regulate invasive activity and
mitochondrial activity in trophoblasts, which are a major cell type of the
placenta. Methods: To understand the effects of selenium on trophoblast cells
exposed to hypoxia, the viability and invasive activity of trophoblasts were
analyzed. The expression of antioxidant enzymes was assessed by reverse
transcription polymerase chain reaction. In addition, the effects of selenium
treatment on mitochondrial activity were evaluated in terms of adenosine
triphosphate production, mitochondrial membrane potential, and reactive oxygen
species levels. Results: Selenium showed positive effects on the viability and
migration activity of trophoblast cells when exposed to hypoxia. Interestingly,
the increased heme oxygenase 1 expression under hypoxic conditions was decreased
by selenium treatment, whereas superoxide dismutase expression was increased in
trophoblast cells by selenium treatment for 72 hours, regardless of hypoxia.
Selenium-treated trophoblast cells showed increased mitochondrial membrane
potential and decreased reactive oxygen species levels under hypoxic conditions
for 72 hours. Conclusion: These results will be used as basic data for
understanding the mechanism of how trophoblast cells respond to oxidative stress
and how selenium promotes the upregulation of related genes and improves the
survival rate and invasive ability of trophoblasts through regulating
mitochondrial activity. These results suggest that selenium may be used in
reproductive medicine for purposes including infertility treatment.
PMID- 29662821
TI - Sperm chromatin and DNA integrity, methyltransferase mRNA levels, and global DNA
methylation in oligoasthenoteratozoospermia.
AB - Objective: To investigate sperm chromatin/DNA integrity, global DNA methylation,
and DNMT mRNA transcription in men with oligoasthenoteratozoospermia (OAT)
compared with normozoospermic men. Methods: Semen samples from 32 OAT patients
who comprised the case group and 32 normozoospermic men who comprised the control
group were isolated and purified using a standard gradient isolation procedure
according to World Health Organization criteria. DNMT1, DNMT3A, and DNMT3B
transcripts were then compared between groups using real-time quantitative
reverse-transcription polymerase chain reaction. Global DNA methylation in sperm
was determined by an enzyme-linked immunosorbent assay. Protamine deficiency and
the proportion of apoptotic spermatozoa were evaluated using chromomycin A3
(CMA3), aniline blue (AB), and toluidine blue (TB) staining, as well as the
terminal deoxynucleotidyl transferase dUTP nick end labeling (TUNEL) assay. The p
values <0.05 were considered to indicate statistical significance. Results:
Significantly higher proportions of AB+, TB+, CMA3+, and TUNEL+ spermatozoa, as
well as DNMT3A and DNMT3B transcription, were found in the OAT group. Positive
correlations were detected between sperm parameters, DNA/chromatin damage, and
DNMT3A and DNMT3B transcripts. Global DNA methylation was significantly higher in
the OAT patients and had a significant correlation with abnormal results of all
sperm chromatin integrity tests, but was not associated with DNMT1, DNMT3A, or
DNMT3B expression. Conclusion: Oligoasthenoteratozoospermic men showed abnormal
sperm parameters, abnormal chromatin/DNA integrity, and a higher global DNA
methylation rate, as well as overexpression of DNMT mRNA.
PMID- 29662822
TI - Effects of three-area laser-assisted zona thinning in 8-cell human embryos on
pregnancy outcomes in vitro fertilization.
AB - Objective: This study conducted a preliminary examination of the effects of three
area laser-assisted zona thinning (LAZT) during the cleavage stage of embryo
development on the hatching process in human in vitro fertilization-embryo
transfer (IVF-ET) with subjects of advanced female age or frozen-thawed (FT)
embryos. Methods: Eight-cell stage embryos were treated with LAZT in three areas
of the zona pellucida at 120 degrees intervals. The control group was embryos
without LAZT. Of the 72 consecutive fresh cycles and the 28 FT embryo transfer
cycles, the patients in 55 fresh cycles and 17 FT cycles declined LAZT, and those
cycles were defined as the control group. Results: In the fresh cycles, the
pregnancy rates were similar in the LAZT and control groups. However, in the FT
cycles, the pregnancy rate was significantly higher in the LAZT group than in the
control group (45.5% in the LAZT group vs. 23.5% in the control group, p<0.05).
Conclusion: These results show that multi-area LAZT resulted in significantly
improved pregnancy outcomes in human 8-cell embryos compared to controls.
PMID- 29662823
TI - The likelihood of achieving pregnancy through timed coitus in young infertile
women with decreased ovarian reserve.
AB - Objective: To evaluate the pregnancy rate and time to pregnancy after timed
coitus with or without superovulation in infertile young women younger than 35
years old with low serum anti-Mullerian hormone (AMH) levels (<25th percentile).
Methods: A total of 202 patients younger than 35 years old were recruited
retrospectively between 2010 and 2012. Ninety-eight women had normal serum AMH
levels (25-75th percentile), 75 women had low serum AMH levels (5th<=&<25th
percentile) and 29 women had very low serum AMH levels (<5th percentile),
according to reference values for their age group. Results: The clinical
pregnancy rate was positively associated with AMH levels, but this trend did not
reach statistical significance (43.9% vs. 41.3% vs. 27.6% in the normal, low, and
very low AMH groups, respectively). The time to pregnancy was longer in the very
low AMH group than in the normal AMH group (13.1+/-10.9 months vs. 6.9+/-6.1
months, p=0.030). The cumulative live birth rate over 18 months was lower in the
very low AMH group than in the normal AMH group, with marginal significance
(20.0% vs. 55.9%, p=0.051). The duration of infertility was negatively correlated
with achieving pregnancy (odds ratio, 0.953; 95% confidence interval, 0.914
0.994; p=0.026). Conclusion: Conservative management, such as timed coitus with
or without superovulation, should be considered in young patients who have low
ovarian reserve without any infertility factors. However, for women with a long
duration of infertility or very low serum AMH levels, active infertility
treatment should be considered.
PMID- 29662824
TI - Knowledge regarding factors that influence fertility in Thai reproductive-age
population living in urban area: A cross-sectional study.
AB - Objective: To survey knowledge about the factors that influence fertility in a
reproductive-age population living in an urban area. Methods: A cross-sectional
study was conducted using a questionnaire-based survey among both males and
females aged 18-45 years living in the Bangkok metropolitan area. Results: The
mean age of the participants was 26.8+/-7.2 years (male, 41.9%; female, 58.1%).
Of the participants, 53.1% had an undergraduate degree and 57.1% were single.
Only one-fifth of the participants correctly identified the age when fecundity
declines in male and female, the definition of infertility, and the period during
the menstrual cycle with the highest chance of pregnancy. Approximately three
fourths of the participants correctly identified that cigarette smoking, alcohol
consumption, and sexually transmitted infections affect fertility. Conclusion: A
considerable knowledge gap about the factors that influence fertility was
identified in reproductive-age individuals in an urban area of Thailand. This
issue should be urgently addressed by promoting fertility awareness through
education, discussions about social perceptions regarding fertility, and reliable
sources of knowledge.
PMID- 29662825
TI - Successful onco-testicular sperm extraction from a testicular cancer patient with
a single testis and azoospermia.
AB - Onco-testicular sperm extraction is used to preserve fertility in patients with
bilateral testicular tumors and azoospermia. We report the case of a testicular
tumor in the solitary testis of a patient who had previously undergone successful
contralateral orchiectomy and whose sperm was preserved by onco-testicular sperm
extraction. A 35-year-old patient presented with swelling of his right scrotum
that had lasted for 1 month. His medical history included a contralateral
orchiectomy during childhood. Ultrasonography revealed a mosaic echoic area in
his scrotum, suggesting a testicular tumor. The lesion was palpated within the
normal testicular tissue along its edge and semen analysis showed azoospermia.
Radical inguinal orchiectomy and onco-testicular sperm extraction were performed
simultaneously. Motile spermatozoa were extracted from normal seminiferous
tubules under microscopy and were frozen. Eventual intracytoplasmic sperm
injection using the frozen spermatozoa is planned. Onco-testicular sperm
extraction is an important fertility preservation method in patients with
bilateral testicular tumors or a history of a previous contralateral orchiectomy.
PMID- 29662826
TI - An infertile patient with Y chromosome b1/b3 deletion presenting with congenital
bilateral absence of the vas deferens with normal spermatogenesis.
AB - We report the case of a 46-year-old Chinese male patient who visited our clinic
complaining of infertility. Semen analysis revealed azoospermia, and azoospermia
factor c region partial deletion (b1/b3) was detected using Y chromosome
microdeletion analysis. Testicular sperm extraction was performed after genetic
counseling. The bilateral ductus deferens and a portion of the epididymis were
absent, whereas the remaining epididymis was expanded. Motile intratesticular
spermatozoa were successfully extracted from the seminiferous tubule. On
histopathology, nearly complete spermatogenesis was confirmed in almost every
seminiferous tubule. To our knowledge, this is the first case report of b1/b3
deletion with a congenital bilateral absence of the vas deferens and almost
normal spermatogenesis.
PMID- 29662828
TI - Acknowledgment to reviewers for 2017.
PMID- 29662827
TI - Retrospective study of single vitrified-warmed blastocyst transfer cycles
according to the presence of morphokinetic variables.
AB - This study retrospectively assessed whether time-lapse data relating to
developmental timing and morphology were associated with clinical outcomes, with
the eventual goal of using morphokinetic variables to select embryos
prospectively for cryopreservation. In this study, we examined the clinical
outcomes of single vitrified-warmed blastocyst transfer cycles that were cultured
in a time-lapse incubation system. The morphokinetic variables included uneven
pronuclei, an uneven blastomere, multinucleation, and direct, rapid, and
irregular division. A total of 164 single vitrified-warmed blastocyst transfer
cycles were analyzed (102 cycles of regularly developed blastocysts and 62 cycles
of blastocysts with morphokinetic variables). No significant differences in the
age of females or the standard blastocyst morphology were found between these two
groups. The regularly developed blastocysts showed significantly higher
implantation and clinical pregnancy rates than the blastocysts exhibiting
morphokinetic variables (30.4% vs. 9.7% and 37.3% vs. 14.5%, respectively;
p<0.01). The blastocysts that exhibited morphokinetic variables showed different
mean development times compared with the regularly developed blastocysts.
Although morphokinetic variables are known to have fatal impacts on embryonic
development, a considerable number of embryos developed to the blastocyst stage.
Morphokinetic variables had negative effects on the implantation and clinical
pregnancy rates in vitrified-warmed blastocyst transfer cycles. These findings
suggest that blastocysts cultured in a time-lapse incubation system should be
considered for selective cryopreservation according to morphokinetic variables.
PMID- 29662829
TI - Lenvatinib May Drastically Change the Treatment Landscape of Hepatocellular
Carcinoma.
PMID- 29662830
TI - Combination Cancer Immunotherapy in Hepatocellular Carcinoma.
PMID- 29662831
TI - The Asia Pacific Consensus Statement on Laparoscopic Liver Resection for
Hepatocellular Carcinoma: A Report from the 7th Asia-Pacific Primary Liver Cancer
Expert Meeting Held in Hong Kong.
AB - Background: Laparoscopic liver resection has been gaining momentum, and it has
become an accepted practice after the two international consensus conferences
where experts worked up guidelines to standardize this approach and improve its
safety. However, most laparoscopic hepatectomies were performed in patients with
liver metastases. The concurrent presence of liver cirrhosis with hepatocellular
carcinoma (HCC) poses a great challenge to clinicians trying to establish a
routine use of laparoscopic liver resection for HCC. Summary: The first Asia
Pacific consensus meeting on laparoscopic liver resection for HCC was held in
July 2016 in Hong Kong. A group of expert liver surgeons with experience in both
open and laparoscopic hepatectomy for HCC convened to formulate recommendations
on the role and perspective of laparoscopic liver resection for primary liver
cancer. The recommendations consolidate the most recent evidence pertaining to
laparoscopic hepatectomy together with the latest thinking of practicing
clinicians involved in laparoscopic hepatectomy, and give detailed guidance on
how to deploy the treatment effectively for patients in need. Key Message: The
panel of experts gathered evidence and produced recommendations providing
guidance on the safe practice of laparoscopic hepatectomy for patients with HCC
and cirrhosis. The inherent advantage of the laparoscopic approach may result in
less blood loss if the procedure is performed in experienced centers. The
laparoscopic approach to minor hepatectomy, particularly left lateral
sectionectomy, is a preferred practice for HCC at experienced centers.
Laparoscopic major liver resection for HCC remains a technically challenging
operation, and it should be carried out in centers of excellence. There is
emerging evidence that laparoscopic liver resection produces a better oncological
outcome for HCC when compared with radiofrequency ablation, particularly when the
lesions are peripherally located. Augmented features in laparoscopic liver
resection, including indocyanine green fluorescence, 3D laparoscopy, and robot,
will become important tools of surgical treatment in the near future. A
combination of all of these features will enhance the experience of the surgeons,
which may translate into better surgical outcomes. This is the first consensus
workforce on laparoscopic liver resection for HCC, which is a unique condition
that occurs in the Asia Pacific region.
PMID- 29662832
TI - Hong Kong Consensus Statements for the Management of Unresectable Hepatocellular
Carcinoma.
AB - Background: Hepatocellular carcinoma (HCC) is highly prevalent in Hong Kong due
to the high prevalence of chronic hepatitis B infection. Liver cancer is the
fourth most common cancer and the third most common cause of cancer death. Due to
the high case load, there is a high level of local expertise in treating HCC, and
the full spectrum of treatment modalities is available. This document summarizes
how these modalities should be used based on the latest evidence. Summary: In 2
meetings held in early 2017, a multidisciplinary group of Hong Kong clinicians,
including liver surgeons, interventional radiologists, clinical oncologists, and
medical oncologists, met to update local consensus statements for management of
HCC. These statements are based on the latest evidence and give detailed guidance
on how to deploy these modalities, in particular for cases of HCC which are not
suited to surgical resection. Key Messages: These statements give detailed
information on how to decide if a patient is a candidate for resection, methods
to improve candidacy for resection, and guidance for use of various nonsurgical
interventions to manage patients ineligible for resection.
PMID- 29662833
TI - Short-Term Outcomes following Hepatectomy in Elderly Patients with Hepatocellular
Carcinoma: An Analysis of 10,805 Septuagenarians and 2,381 Octo- and
Nonagenarians in Japan.
AB - Background: As the population is aging, the indication for hepatocellular
carcinoma (HCC) resection in patients aged over 80 years will increase. Japan is
facing the most aging society worldwide. We examined the safety of hepatectomy in
octogenarians and nonagenarians using large-scale data from the Diagnosis
Procedure Combination database, a national administrative database in Japan.
Method: We conducted a survey to collect data for all inpatients from 2007 and
2012. We identified 27,094 patients who underwent hepatectomy for HCC. Patients'
age was divided into the following five categories: <=59, 60-69, 70-79, 80-84,
and >=85 years (n = 5,099, 8,809, 10,805, 2,011, and 370, respectively). The
primary outcomes of hepatectomy were in-hospital death within 90 days and
complications. Logistic regression analyses were performed to analyze the impact
of age on the outcomes with the adjustment of other individual-level factors.
Results: The mortality and morbidity rates were 2.6 and 23.4%, respectively.
Compared with patients in their 70s, the mortality rate was significantly lower
in patients aged <=59 years (odds ratio [OR], 0.34; 95% confidence interval [CI],
0.26-0.45; p < 0.01) and in those in their 60s (OR, 0.63; 95% CI, 0.53-0.74; p <
0.01). However, no significant difference was observed in patients aged 80-84
years (OR, 1.03; 95% CI, 0.78-1.385; p = 0.844) and those aged >=85 years (OR,
0.95; 95% CI, 0.50-1.79; p = 0.870). Based on the multivariate logistic
regression analysis, age >=70 years, male gender, low hospital volume, and
surgical procedure were identified as independent predictors of mortality.
Conclusions: The operative risk for hepatectomy gradually increases with age
until patients are in their 70s, and it appears to reach a plateau among
septuagenarian. Indeed, age over 70 years can also be a risk factor for HCC. By
considering the aging risk, surgeons can attain good outcome after hepatectomy
even in octo- and nonagenarian patients.
PMID- 29662834
TI - Liver Stiffness Measured by Two-Dimensional Shear-Wave Elastography: Prognostic
Value after Radiofrequency Ablation for Hepatocellular Carcinoma.
AB - Purpose: To evaluate the prognostic value of liver stiffness (LS) measured using
two-dimensional (2D) shear-wave elastography (SWE) in patients with
hepatocellular carcinoma (HCC) treated by radiofrequency ablation (RFA). Methods:
The Institutional Review Board approved this retrospective study and informed
consent was obtained from all patients. A total of 134 patients with up to 3 HCCs
<=5 cm who had undergone pre-procedural 2D-SWE prior to RFA treatment between
January 2012 and December 2013 were enrolled. LS values were measured using real
time 2D-SWE before RFA on the procedural day. After a mean follow-up of 33.8 +/-
9.9 months, we analyzed the overall survival after RFA using the Kaplan-Meier
method and Cox proportional hazard regression model. The optimal cutoff LS value
to predict overall survival was determined using the minimal p value approach.
Results: During the follow-up period, 22 patients died, and the estimated 1- and
3-year overall survival rates were 96.4 and 85.8%, respectively. LS measured by
2D-SWE was found to be a significant predictive factor for overall survival after
RFA of HCCs, as was the presence of extrahepatic metastases. As for the optimal
cutoff LS value for the prediction of overall survival, it was determined to be
13.3 kPa. In our study, 71 patients had LS values >=13.3 kPa, and the estimated 3
year overall survival was 76.8% compared to 96.3% in 63 patients with LS values
<13.3 kPa. This difference was statistically significant (hazard ratio = 4.30
[1.26-14.7]; p = 0.020). Conclusion: LS values measured by 2D-SWE was a
significant predictive factor for overall survival after RFA for HCC.
PMID- 29662835
TI - Preoperative Low Muscle Mass and Low Muscle Quality Negatively Impact on
Pulmonary Function in Patients Undergoing Hepatectomy for Hepatocellular
Carcinoma.
AB - Background: Sarcopenia is a prognostic factor for mortality in digestive surgery.
However, the correlation between preoperative cardiopulmonary function and
sarcopenia in patients undergoing hepatectomy for hepatocellular carcinoma (HCC)
remains unclear. Methods: The present study investigated the impact of
preoperative sarcopenia on cardiopulmonary function in 402 patients who underwent
first hepatectomy for HCC between April 2005 and April 2015. The quantity and
quality of skeletal muscle were evaluated using psoas muscle index (PMI) and
intramuscular adipose tissue content (IMAC), respectively, as determined from
preoperative computed tomography imaging. Correlations between preoperative
cardiopulmonary function and sarcopenic factors (PMI and IMAC) were evaluated.
Results: No significant correlations were found between left ventricular ejection
fraction and the two sarcopenic factors. On the other hand, preoperative vital
capacity (VC) and forced expiratory volume in 1 s (FEV1) correlated significantly
with PMI (p < 0.001 each) in males and with IMAC (p < 0.001 each) in females.
Moreover, VC and FEV1 in the preoperative low PMI (p < 0.001 each) and high IMAC
(p = 0.002 and p < 0.001, respectively) groups were significantly lower than in
the normal group in males. In females, VC and FEV1 were significantly lower in
the preoperative high IMAC group than in the normal group (p < 0.001 each).
Conclusion: Preoperative low muscle mass in males and low muscle quality in males
and females were significantly associated with pulmonary dysfunction.
PMID- 29662836
TI - Association between Loss of Skeletal Muscle Mass and Mortality and Tumor
Recurrence in Hepatocellular Carcinoma: A Systematic Review and Meta-Analysis.
AB - Background: Hepatocellular carcinoma (HCC) has multiple prognostic factors, and
there is an increase in knowledge about the body composition and physical status
of patients with HCC. The present meta-analysis aimed to explore whether loss of
skeletal muscle mass is associated with mortality and tumor recurrence in
patients with HCC. Method: A systematic search was conducted for published
literature using PubMed, Embase, and Scopus. We included cohort or case-control
studies investigating patients with HCC. The primary and secondary outcomes were
the associations of loss of skeletal muscle mass with overall survival and tumor
recurrence, respectively, expressed by a summary hazard ratio (HR) and 95%
confidence interval (CI). Result: A total of 13 studies comprising 3,111 patients
were included. The summary HRs calculated by either univariate or multivariate
analysis both suggested a significant association between sarcopenia and all
cause mortality (crude HR = 2.04, 95% CI: 1.74-2.38; adjusted HR = 1.95, 95% CI:
1.60-2.37). Similarly, loss of skeletal muscle mass was associated with tumor
recurrence (crude HR = 1.85, 95% CI: 1.44-2.37; adjusted HR = 1.76, 95% CI: 1.27
2.45). The stratified analysis showed that treatment types and inclusion of body
mass index or body weight in the Cox regression model did not modify both
clinical outcomes. With an increase in cut-off values of muscle mass on computed
tomography images (especially for male patients), there was an insignificant
trend of stronger associations between loss of skeletal muscle mass and all-cause
mortality. Conclusion: Loss of skeletal muscle mass is associated with increased
all-cause mortality and tumor recurrence in patients with HCC. Further
prospective studies incorporating measurements of muscle strength and physical
function are warranted to see whether inclusion of both parameters better
predicts the outcome than use of muscle mass only.
PMID- 29662837
TI - Patient Selection for Transarterial Chemoembolization in Hepatocellular
Carcinoma: Importance of Benefit/Risk Assessment.
AB - Background: Liver cancer is the second most common cause of cancer-related death,
with hepatocellular carcinoma (HCC) accounting for most primary liver cancers and
most commonly arising from a history of advanced chronic liver disease. Among the
available therapies, transarterial chemoembolization (TACE) is the most widely
utilized and is considered the first-line treatment recommended for patients
staged as intermediate HCC (Barcelona Clinic Liver Cancer stage B). If applied
correctly, TACE can produce survival benefits without adversely affecting hepatic
functional reserve. Summary: The aim of this nonsystematic review is to evaluate
the evidence supporting TACE, with a special interest in intermediate HCC, for
which this treatment is recommended in first line. However, intermediate HCC
represents a broad and heterogeneous group of patients, not all of whom will
benefit from TACE. This review highlights the importance of appropriate patient
selection for initial TACE and for retreatment. It also evaluates evidence for
the treatment of patients who become refractory to TACE. Some patients may, in
fact, benefit from early switch (i.e., after 1 or 2 TACE treatments) to systemic
therapies rather than continuing retreatments with TACE in order to preserve
liver function, thus allowing sequential first- and second-line drug therapies.
Key Messages: Careful assessment of an individual patient's benefit/risk ratio is
recommended before any TACE session is considered to ensure optimal long-term
outcomes in intermediate HCC.
PMID- 29662838
TI - The CpxA/CpxR Two-Component System Affects Biofilm Formation and Virulence in
Actinobacillus pleuropneumoniae.
AB - Gram-negative bacteria have evolved numerous two-component systems (TCSs) to cope
with external environmental changes. The CpxA/CpxR TCS consisting of the kinase
CpxA and the regulator CpxR, is known to be involved in the biofilm formation and
virulence of Escherichia coli. However, the role of CpxA/CpxR remained unclear in
Actinobacillus pleuropneumoniae, a bacterial pathogen that can cause porcine
contagious pleuropneumonia (PCP). In this report, we show that CpxA/CpxR
contributes to the biofilm formation ability of A. pleuropneumoniae. Furthermore,
we demonstrate that CpxA/CpxR plays an important role in the expression of
several biofilm-related genes in A. pleuropneumoniae, such as rpoE and pgaC.
Furthermore, The results of electrophoretic mobility shift assays (EMSAs) and
DNase I footprinting analysis demonstrate that CpxR-P can regulate the expression
of the pgaABCD operon through rpoE. In an experimental infection of mice, the
animals infected with a cpxA/cpxR mutant exhibited delayed mortality and lower
bacterial loads in the lung than those infected with the wildtype bacteria. In
conclusion, these results indicate that the CpxA/CpxR TCS plays a contributing
role in the biofilm formation and virulence of A. pleuropneumoniae.
PMID- 29662839
TI - The Saposin-Like Protein AplD Displays Pore-Forming Activity and Participates in
Defense Against Bacterial Infection During a Multicellular Stage of Dictyostelium
discoideum.
AB - Due to their archaic life style and microbivor behavior, amoebae may represent a
source of antimicrobial peptides and proteins. The amoebic protozoon
Dictyostelium discoideum has been a model organism in cell biology for decades
and has recently also been used for research on host-pathogen interactions and
the evolution of innate immunity. In the genome of D. discoideum, genes can be
identified that potentially allow the synthesis of a variety of antimicrobial
proteins. However, at the protein level only very few antimicrobial proteins have
been characterized that may interact directly with bacteria and help in fighting
infection of D. discoideum with potential pathogens. Here, we focus on a large
group of gene products that structurally belong to the saposin-like protein
(SAPLIP) family and which members we named provisionally Apls (amoebapore-like
peptides) according to their similarity to a comprehensively studied
antimicrobial and cytotoxic pore-forming protein of the protozoan parasite
Entamoeba histolytica. We focused on AplD because it is the only Apl gene that is
reported to be primarily transcribed further during the multicellular stages such
as the mobile slug stage. Upon knock-out (KO) of the gene, aplD- slugs became
highly vulnerable to virulent Klebsiella pneumoniae. AplD- slugs harbored
bacterial clumps in their interior and were unable to slough off the pathogen in
their slime sheath. Re-expression of AplD in aplD- slugs rescued the
susceptibility toward K. pneumoniae. The purified recombinant protein rAplD
formed pores in liposomes and was also capable of permeabilizing the membrane of
live Bacillus megaterium. We propose that the multifarious Apl family of D.
discoideum comprises antimicrobial effector polypeptides that are instrumental to
interact with bacteria and their phospholipid membranes. The variety of its
members would allow a complementary and synergistic action against a variety of
microbes, which the amoeba encounters in its environment.
PMID- 29662840
TI - Activation of the NLRP3 Inflammasome Pathway by Uropathogenic Escherichia coli Is
Virulence Factor-Dependent and Influences Colonization of Bladder Epithelial
Cells.
AB - The NLRP3 inflammasome and IL-1beta release have recently been suggested to be
important for the progression of urinary tract infection (UTI). However, much is
still unknown regarding the interaction of UPEC and the NLRP3 inflammasome. The
purpose of this study was to elucidate what virulence factors uropathogenic
Escherichia coli (UPEC) use to modulate NLRP3 inflammasome activation and
subsequent IL-1beta release and the role of NLRP3 for UPEC colonization of
bladder epithelial cells. The bladder epithelial cell line 5637, CRISPR/Cas9
generated NLRP3, caspase-1 and mesotrypsin deficient cell lines and transformed
primary bladder epithelial cells (HBLAK) were stimulated with UPEC isolates and
the non-pathogenic MG1655 strain. We found that the UPEC strain CFT073, but not
MG1655, induced an increased caspase-1 activity and IL-1beta release from bladder
epithelial cells. The increase was shown to be mediated by alpha-hemolysin
activation of the NLRP3 inflammasome in an NF-kappaB-independent manner. The
effect of alpha-hemolysin on IL-1beta release was biphasic, initially
suppressive, later inductive. Furthermore, the phase-locked type-1-fimbrial ON
variant of CFT073 inhibited caspase-1 activation and IL-1beta release. In
addition, the ability of CFT073 to adhere to and invade NLRP3 deficient cells was
significantly reduced compare to wild-type cells. The reduced colonization of
NLRP3-deficient cells was type-1 fimbriae dependent. In conclusion, we found that
the NLRP3 inflammasome was important for type-1 fimbriae-dependent colonization
of bladder epithelial cells and that both type-1 fimbriae and alpha-hemolysin can
modulate the activity of the NLRP3 inflammasome.
PMID- 29662841
TI - Effect of Mixed Fruit and Vegetable Juice on Alcohol Hangovers in Healthy Adults.
AB - The purpose of this study was to investigate the effects of mixed fruit and
vegetable juice on alcohol hangover in healthy adults in a randomized crossover
trial. Angelica keiskei/green grape/pear juice (AGP juice) was a mixture of A.
keiskei juice, green grape juice, and pear juice at 1:1:1 ratio. Alcohol
dehydrogenase (ADH) and aldehyde dehydrogenase (ALDH) activities of AGP juice
were measured in vitro. Fifteen healthy adults consumed alcohol (1.25 g/kg
weight), and either water (control group) or AGP juice (AGP juice group). Blood
was collected and expiratory-air alcohol levels were measured at 0~360 min after
drinking the alcohol. Compared with control, AGP juice had higher ADH and ALDH
activity in vitro. The peak alcohol levels in expiratory-air and plasma after
drinking AGP juice were significantly lower than those after drinking water. The
area under the curves for expiratory-air and plasma alcohol of the AGP juice
group were lower than those of the control group. Thirst and headache scores
after intake of alcohol were significantly reduced by AGP juice consumption
compared with the control group. These data demonstrated that AGP juice could
contribute to eliminate alcohol toxicity and hangover symptoms by enhancing
alcohol metabolizing enzyme activities.
PMID- 29662842
TI - Antioxidative and Cholesterol-Lowering Effects of Lemon Essential Oil in
Hypercholesterolemia-Induced Rabbits.
AB - The cholesterol-lowering and anti-atherogenic effects of lemon essential oil
(LEO) were investigated and compared with the effects of limonene. Owing to their
volatility, both LEO and limonene were microencapsulated before preparation of
the diet (20%, w/w). Hypercholesterolemia-induced rabbits were divided into 3
groups based on plasma total cholesterol (TC) levels and fed coating matrix
(control group), LEO (LEO group), or limonene (Limonene group) for 8 weeks. LEO
dose-dependently inhibited low-density lipoprotein oxidation in vitro. Plasma TC
levels were the lowest in the LEO group (P<0.05). Erythrocytes in the LEO group
had a normal disc shape, whereas the erythrocytes in the limonene and control
groups were aggregated and star-shaped, respectively. The aortic intima thickness
was thinnest in the LEO group followed by the control and limonene groups. Plasma
TC lowering and anti-atherogenic effects of LEO were greater than limonene,
suggesting that other bioactive compounds besides limonene in LEO might
contribute to these effects. The bioactive compounds in LEO were limonene
(67.57%), beta-pinene (10.00%), and gamma-terpinene (9.95%). In addition,
sabinene, alpha-pinene, myrcene, and geranial were also present but the amount
was in the range of 1~2%. Several bioactive compounds were also detected. In
conclusion, LEO had beneficial effects on hypercholesterolemia due to its
antioxidative and cholesterol lowering effects.
PMID- 29662843
TI - Insulinotropic Effect of S-Allyl Cysteine in Rat Pups.
AB - S-Allyl cysteine (SAC) is found in garlic and has been reported to exert
antidiabetic and antiobesity properties in drug-induced adult experimental models
of metabolic dysfunction, but its potential beneficial effects in high-fructose
diet neonatal rat models have not been determined. This study investigated the
potential prophylactic effects of SAC in high-fructose diet fed suckling rat pups
modelling human neonates fed a high-fructose diet. Four-day-old male (n=32) and
female (n=32) Wistar rat pups, were randomly assigned to and administered the
following treatment regimens daily for 15 days: group I, distilled water; group
II, 20% fructose solution (FS); group III, SAC; group IV, SAC+FS. The pups' blood
glucose, triglyceride, cholesterol, plasma leptin and insulin concentration,
liver lipid content, and liver histology were determined at termination. In
female rat pups, orally administered SAC prevented FS-induced hypoinsulinemia but
significantly increased (P<=0.05) liver lipid content. Oral administration of SAC
significantly increased (P<=0.05) plasma insulin concentration and homeostasis
model assessment for insulin resistance in the male pups. The potential sexually
dimorphic effects of SAC (insulinotropic effects in male pups and protection of
female pups against fructose-induced hypoinsulinemia) suggest that SAC could be
potentially exploited as an antidiabetic and insulinotropic agent. Caution
should, however, be exercised in the use of SAC during suckling as it could
result in excessive liver lipid accumulation and insulin resistance.
PMID- 29662844
TI - Mineral Elements Bio-Accessibility and Antioxidant Indices of Blanched Basella
rubra at Different Phases of in vitro Gastrointestinal Digestion.
AB - The present investigation was designed to evaluate the mineral element bio
accessibility and antioxidant indices of blanched Basella rubra at different
phases of simulated in vitro digestion (oral, gastric, and intestinal). The
phenolic composition of processed vegetable was determined using high-performance
liquid chromatography (HPLC)-diode-array detection method. Mineral composition,
total phenolic content (TPC), total flavonoid content (TFC), ferric reducing
antioxidant power (FRAP), and total antioxidant activity (TAA) of the in vitro
digested blanched and raw vegetable were also determined. HPLC analysis revealed
the presence of some phenolic compounds, with higher levels (mg/g) of polyphenols
in raw B. rubra (catechin, 1.12; p-coumaric acid, 6.17; caffeic acid, 2.05)
compared with the blanched counterpart, with exeption of chlorogenic acid (2.84),
that was higher in blanched vegetable. The mineral content (mg/100 g) showed a
higher value in enzyme treated raw vegetable compared to their blanched
counterparts, with few exceptions. The results revealed a higher level of some of
the evaluated minerals at the intestinal phase of digestion (Zn, 6.36/5.31; Mg,
5.29/8.97; Ca, 2,307.69/1,565.38; Na, 5,128/4,128.21) for raw and blanched
respectively, with the exception of Fe, K, and P. The results of the antioxidant
indices of in vitro digested B. rubra revealed a higher value at the intestinal
phase of in vitro digestion, with raw vegetal matter ranking higher (TPC, 553.56
mg/g; TFC, 518.88 mg/g; FRAP, 8.15 mg/g; TAA, 5,043.16 MUM Trolox equivalent/g)
than the blanched counterpart. The studied vegetable contains important minerals
and antioxidant molecules that would be readily available after passing through
the gastrointestinal tract and could be harnessed as functional foods.
PMID- 29662845
TI - Suppressive Effects of Vaccinium angustifolium Root Extract via Down-Regulation
of Activation of Syk, Lyn, and NF-kappaB in FcERI-Mediated Allergic Reactions.
AB - Vaccinium angustifolium, reported as the lowbush blueberry, has a rich
polyphenolic content with which biological activities have been closely
associated. In this study, the effects of V. angustifolium root extract (VAE) on
the anti-FcERI alpha chain antibody (CRA-1)-induced FcERI-mediated signaling
factors, protein tyrosine kinases (PTK), Lyn, Syk, and nuclear factor kappa-B
cells (NF-kappaB) in KU812F cells were investigated. The total phenolic content
of VAE was found to be 170+/-1.9 mg gallic acid equivalents/g. Western blot
analysis revealed that VAE dose-dependently inhibited FcERI-mediated
phosphorylation of PTK involving Lyn and Syk. Evaluation of intracellular
reactive oxygen species (ROS) by spectrofluorometric analysis using 2'7'
dichlorofluorescin-diacetate revealed that they were reduced by VAE in a dose
dependent manner. Moreover, VAE reduced the levels of beta-hexosaminidase
released from CRA-1-stimulated KU812F cells. It was identified that VAE
suppressed CRA-1-induced activation of NF-kappaB by Western blot analysis. Our
results show that VAE may contribute to the inhibition of allergic actions via
inactivation of basophils through the inhibition of beta-hexosaminidase release
and ROS production, which occurs as a result of inhibition of PTK, Syk, Lyn, and
NF-kappaB.
PMID- 29662846
TI - Antioxidant Activities of Selected Berries and Their Free, Esterified, and
Insoluble-Bound Phenolic Acid Contents.
AB - To explore the potential of berries as natural sources of bioactive compounds,
the quantities of free, esterified, and insoluble-bound phenolic acids in a
number of berries were determined. In addition, the antioxidant activities of the
berries were determined using 2,2-diphenyl-1-picrylhydrazyl radical scavenging
activity, ferric reducing antioxidant power, and Trolox equivalent antioxidant
capacity assays, in addition to determination of their metal ion chelating
activities. Furthermore, several phenolic compounds were detected using high
performance liquid chromatography. Of the 6 tested berries, black chokeberry and
blackberry exhibited the strongest antioxidant activities, and the various berry
samples were found to contain catechin, caffeic acid, p-coumaric acid,
epicatechin, vanillic acid, quercitrin, resveratrol, morin, naringenin, and
apigenin. Moreover, the antioxidant activities and total phenolic contents of the
fractions containing insoluble-bound phenolic acids were higher than those
containing the free and esterified phenolic acids. The results imply that the
insoluble-bound fractions of these berries are important natural sources of
antioxidants for the preparation of functional food ingredients and preventing
diseases associated with oxidative stress.
PMID- 29662847
TI - Impact of Various Factors on Color Stability of Fresh Blueberry Juice during
Storage.
AB - The attractive purple color of blueberries (Vaccinium spp.) is unstable and
susceptible to degradation during food processing and storage. The effects of
various factors on the color stability of fresh blueberry juice were
investigated. Total soluble solid content, pH, and total anthocyanin content were
measured. Heating at 30 degrees C and 60 degrees C for 300 min did not influence
the color stability, but heating at 100 degrees C drastically decreased it by
33.0%. Sugars decreased color in a concentration-dependent manner. However,
glucose and galactose had significantly protective effects on the color
disruption than fructose, maltose, and sucrose. Organic acids lowered the color
intensity in the order of citric acid> tartaric acid> malic acid> formic acid>
acetic acid during 10 days of storage. Color decreased faster during long-term
light exposure than in the dark. The color in the dark was kept by 58.7% after 7
weeks, while 48.9% in the light. Color retention was significantly decreased to
93.5% and 93.8% at 4 degrees C and -20 degrees C, respectively, after 7 weeks,
while 95.40% at -75 degrees C. We suggest that blueberry juice color can be
protected by keeping the extraction temperature below 60 degrees C with the
selective addition of glucose, galactose, or citric acid. For long-term storage,
it is recommended to use a light-protected container and a deep freezer at -75
degrees C.
PMID- 29662848
TI - Cooking Characteristics and Antioxidant Activity of Rice-Barley Mix at Different
Cooking Method and Mixing Ratio.
AB - This study aimed to compare the phenolic compounds and antioxidant activity of
barley at different proportion (0, 5, 10, 15, and 20%), and using different
cooking methods. The grains used in this experiment are barley (Hordeum vulgare
L. cv. Huinchalssal) and Samkwang rice. The rice-barley mixture was cooked using
general and high pressure cooking methods with and without fermented alcohol. The
quality characteristics such as water binding capacity, pasting characteristic,
water solubility, and swelling power of different proportions of barley were
evaluated. The antioxidant characteristics evaluated are total polyphenol,
flavonoid contents, 2,2-diphenyl-1-picrylhydrazyl (DPPH), and 2,2-azinobis(3
ethylbenothiazoline-6-sulphonic acid) (ABTS) diammonium salt radical scavenging
activities. Results showed that peak [195.0~184.0 rapid visco units (RVU)],
trough (130.0~116.2 RVU), final (252.0~221.8 RVU), and setback viscosity
(57.0~37.5 RVU) decreased correspondingly with the increase in the amount of
barley. Water binding capacity (187.31~136.01%) and swelling power
(162.37~127.58%) decreased as amounts of barley increases, however the water
solubility (5.35~6.89%) increased. Moreover, the total polyphenol and flavonoid,
and the DPPH and ABTS radical scavenging activities contents increased as the
amounts of barley in the mixture increases. This study generally aims to provide
useful information for the manufacturing of processed products.
PMID- 29662849
TI - Dextran-Conjugated Lysozymes Inhibit the Growth of Shigella sonnei and Viral
Hemorrhagic Septicemia Virus.
AB - Lysozyme is well known as a natural antimicrobial agent, but its function is
limited in that it only combats Gram-positive bacteria. We investigated the
inhibitory effects of dextran-conjugated lysozymes (DLs) against some strains of
Gram-negative bacteria and viral hemorrhagic septicemia virus (VHSV). The
Maillard reactions of the DL were performed at various pHs (3.0, 7.0, and 8.5) in
the presence of saturated KBr solution for 1, 3, 5, 7, and 9 days. The growth
inhibition effects against Gram-negative bacterial strains, such as Escherichia
coli, Vibrio parahaemolyticus, Pseudomonas aeruginosa, Shigella sonnei, and
Shigella flexneri were found only in some DLs. DLs incubated at pH 7.0 for 9 days
strongly inhibited growth of S. sonnei (92.9%). Fathead minnow (FHM) cells were
infected with VHSV. DLs treated at all pHs for 1 day resulted in more than 80%
viability of VHSV-infected FHM cells. The results of this study suggest that our
DLs can be useful in food preservatives, pharmaceuticals, or aquatic feed due to
their inhibitory effects against pathogenic bacteria and viral infections.
PMID- 29662850
TI - Acute and Subacute Toxicity Evaluation of Corn Silk Extract.
AB - Many studies have reported therapeutic efficacy of corn silk extract. However,
research on its toxicity and safe dose range is limited. Thus, the objective of
this study was to determine the acute and subacute toxicity of corn silk extract
in ICR mice. To determine acute toxicity, corn silk extract containing high
levels of maysin was orally administered to mice at a dose of 0 or 2,000 mg/kg.
Clinical symptoms, mortality, and body weight changes were recorded for 14 days.
To determine subacute toxicity, corn silk extract was orally administered to mice
over a 4-week period, and then body weight, water and food consumption, and organ
weight were determined. In addition, urine and serum analyses were performed. In
the acute toxicity study, no death or abnormal symptoms was observed in all
treatment groups during the study period. Body weights did not show any
significant change compared to those of the control group. Lethal dose of corn
silk extract was estimated to be more than 2,000 mg/kg. In the 4-week subacute
toxicity study, there was no corn silk extract related toxic effect on body
weight, water intake, food consumption, urine parameters, clinical chemistry, or
organ weight. Histopathological examination showed no abnormality related to the
administration of corn silk extract at 500 mg/kg. The maximum non-toxic dose of
corn silk extract containing high levels of maysin was found to be more than 500
mg/kg.
PMID- 29662851
TI - Anti-Colitic Effect of Purple Carrot on Dextran Sulfate Sodium (DSS)-Induced
Colitis in C57BL/6J Mice.
AB - The anti-colitic effect of purple carrot (PC) on 2% dextran sulfate sodium (DSS)
induced colitis in C57BL6/J mice was compared with those of yellow carrot (YC),
beet (BT), and red cabbage (RC). Component analysis showed that PC contained
cyanidin-3-xyloglucoside, cyanidin-3-xylosyl(sinapoly-glucosyl)galactoside,
cyanidin-3-xylosyl(feruloylglucosyl) galactoside, and cyanidin-3-O-(6-O-glycosyl
2-O-xylosylgalactoside). PC diet (5% in AIN 93G diet) strongly reduced DSS
induced colon shortening and inflammatory cell infiltration in mice, followed by
RC, BT, and YC diets. Treatment with PC reduced serum levels of pro-inflammatory
cytokines such as tumor necrosis factor-alpha and interleukin-6 as well as
reduced mRNA expression in colon tissue of colitis mice in comparison with other
treatments. In addition, PC treatment inhibited colonic mRNA expression of
inflammatory factors such as inducible nitric oxide synthase and cyclooxygenase-2
in mice. These results suggest that PC can attenuate the inflammatory reaction in
mice with DSS-induced colitis, probably due to the anthocyanins in PC.
PMID- 29662852
TI - Current treatment for pediatric acute myeloid leukemia.
PMID- 29662854
TI - Plasma cells with Auer rod-like inclusions in a patient with myeloma.
PMID- 29662853
TI - The role of allogeneic hematopoietic stem cell transplantation in the four P
medicine era.
PMID- 29662855
TI - A weeping ulcer that vanished with a 'SMILE'.
PMID- 29662856
TI - Renal cell carcinoma metastasizing to the myeloproliferative bone marrow.
PMID- 29662857
TI - Cryohemolysis, erythrocyte osmotic fragility, and supplementary hematimetric
indices in the diagnosis of hereditary spherocytosis.
AB - Background: Hereditary spherocytosis (HS) is a chronic hemolytic anemia
characterized by microspherocytes in the peripheral blood and increased
erythrocyte osmotic fragility (EOF). This study evaluated the cryohemolysis test
(CHT); initial hemolysis (IH); immediate and incubated hemolysis percentage in
5.5 g/L NaCl (H5.5); mean corpuscular hemoglobin concentration (MCHC); red blood
cell distribution width (RDW); and Hb/MCHC, Hb/RDW, and MCHC/RDW ratios for the
diagnosis of HS. Methods: Data from 13 patients with HS were evaluated at the
Instituto de Bioquimica Aplicada and compared with data from 14 unaffected
individuals and 11 patients with anemia due to another etiology. Total blood and
reticulocyte counts, CHT, and immediate and incubated EOF were performed in all
subjects; sensitivity, specificity, efficiency, and Youden index (YI) were
calculated. Results: Eight patients with HS had MCHC >=345 g/L, 10 had RDW
>=14.5%, 12 had IH >5.0 g/L, 11 had immediate H5.5 >=5%, and 13 had incubated
H5.5 >=50% (the cut-off value to consider HS). The efficiency and YI were:
immediate H5.5 (0.94-0.85), incubated H5.5 (0.89-0.82), IH (0.89-0.78), MCHC
(0.87-0.62), CHT (0.84-0.54), and Hb/MCHC (0.71-0.56), respectively. The
calculated ratios could distinguish subjects with HS from unaffected individuals
(P<0.05), but not those with anemia of another etiology (P>0.05). Conclusion:
Although the CHT and supplementary hematimetric indexes were useful to
differentiate individuals with SH from healthy controls, they cannot distinguish
from anemias of other etiology. CHT and MCHC, in addition to EOF, are recommended
for diagnosing HS patients because of their low cost and efficiency.
PMID- 29662858
TI - Reference values for serum ferritin and percentage of transferrin saturation in
Korean children and adolescents.
AB - Background: Ferritin reference values vary by age, gender, and ethnicity. We
aimed to determine reference values of serum ferritin (SF) and the percentage of
transferrin saturation (TSAT) for Korean children and adolescents. Methods: We
analyzed data from 2,487 participants (1,311 males and 1,176 females) aged 10-20
years from the Korea National Health and Nutrition Examination Survey (2010
2012). We calculated age- and gender-stratified means and percentile values for
SF and TSAT. Results: We first plotted mean SF and TSAT by gender and according
to age. In males, mean SF tended to be relatively constant among participants
aged 10 to 14 years, with an upward trend thereafter. Mean SF trended downward
among female participants until the age of 15 years and remained constant
thereafter. Thus, significant gender differences in ferritin exist from the age
of 14 years. High levels of SF were associated with obesity, and lower SF levels
were associated with anemia and menarche status. Conclusion: We established
reference values of SF and TSAT according to age and gender. The reference values
for SF calculated in this study can be used to test the association between SF
values and other defined diseases in Korean children and adolescents.
PMID- 29662859
TI - Improvement of treatment outcome over 2 decades in children with acute myeloid
leukemia.
AB - Background: The prognosis of pediatric acute myeloid leukemia (AML) has recently
improved. This study aimed to describe the epidemiology, changes in treatment
strategies, and improvement of outcomes in Gwangju-Chonnam children with AML over
2 decades. Methods: Medical records of 116 children with newly diagnosed AML were
retrospectively reviewed for demographic characteristics, prognostic groups
including cytogenetic risks, treatment protocols, and survival rates over the
periods between 1996 and 2005 (Period I, N=53), and 2006 and 2015 (Period II,
N=38). Results: The annual incidence of AML has decreased with reduced pediatric
population. The 5-year Kaplan-Meier (K-M) estimated overall survival (OS) and
event-free survival (EFS) rates in 110 AML patients were 53.2+/-5.1% and 43.8+/
5.1%, respectively. The 5-year OS rate significantly improved during period II
(70.3+/-7.0%) as compared to that during period I (40.0+/-6.8%) (P =0.001). The 5
year OS was not significantly different among cytogenetic risk groups (P =0.11).
Fifty-eight patients underwent hematopoietic stem cell transplantation (HSCT).
The K-M 5-year estimated survival for transplanted patients was 53.7+/-7.0%,
while that for chemotherapy-only patients was 30.1+/-9.1% (P =0.014). Among the
prognostic factors, treatment modality was the only independent factor. The
chemotherapy-only group had a relative risk of 2.06 for death compared with the
transplantation group (P=0.015). Conclusion: The survival of Korean children with
AML has improved to a level comparable with that of developed countries over 2
decades, owing to a change in induction strategy, better supportive care with
economic growth, refinement of HSCT techniques including a better selection of
patients based on prognostic groups, and stem cell donor selection.
PMID- 29662861
TI - Global hemostatic assay of different target procoagulant activities of factor
VIII and factor IX.
AB - Background: Korean National Health Insurance reimburses factor VIII (FVIII) and
factor IX (FIX) clotting factor concentrate (CFC) infusions to discrepant
activity levels, allowing elevation of FVIII activity to 60 IU/dL and FIX to 40
IU/dL. We aimed to assess hemostatic response to these target levels using global
hemostatic assays. Methods: We enrolled 34 normal healthy men, 34 patients with
hemophilia A, and 36 with hemophilia B, with residual factor activity of 3 IU/dL
or less and without inhibitors. Patients with hemophilia A and B received
injected CFCs according to reimbursement guidelines. Fifteen minutes after
injection, we assessed hemostatic response with global hemostatic assays:
thrombin generation assay (TGA), thromboelastography (TEG), and clot waveform
analysis (CWA). Results: Normal healthy men and patients with hemophilia A and B
were 36.7, 37.2, and 35.1 years old, respectively. FVIII and recombinant FIX
concentrate doses were 28.8 IU/kg and 43.6 IU/kg. Post-infusion FVIII activity
rose from 0.5 IU/dL to 69.4 IU/dL, while FIX activity rose from 1.4 IU/dL to 46.8
IU/dL. Post-infusion peak thrombin concentrations in hemophilia A and B were
116.6 nM/L and 76.4 nM/L (P<0.001). Post-infusion endogenous thrombin potential
(ETP) in hemophilia A and B was 1349.8 nM/min and 915.6 nM (P<0.001). TEG index
of hemophilia A and B was 0.11 and -0.51 (P=0.006). Conclusion: Current
reimbursed doses for FIX concentrates are insufficient to achieve hemostatic
responses comparable to those after reimbursed doses for FVIII concentrates in
terms of peak thrombin concentration, ETP, and TEG index.
PMID- 29662860
TI - Splenic myeloid metaplasia in warm autoimmune hemolytic anemia (wAIHA): a
retrospective study.
AB - Background: Splenic myeloid metaplasia (SMM) is a kind of extramedullary
hematopoiesis, whereas its clinical significance in wAIHA remains unclear. The
aim of this study is evaluating the frequency and clinical characteristics of
SMM, compared with splenic-congestion (SC). Methods: We included patients with
wAIHA treated in a Mexican tertiary hospital between January 1992 and December
2015. All patients received steroids as first-line treatment and splenectomy as
second-line treatment. Results: Among the thirty-six splenectomized patients, 15
(41.6%) and 21 (58.4%) were diagnosed as SMM and SC, respectively. No differences
were found in clinical characteristics between two groups. SMM patients showed
lower platelet count (147*109/L vs. 240*109/L, P=0.02) and higher presence of
anti-dsDNA antibodies (40% vs. 4.7%, P=0.01) than SC patients. Although the
complete response (CR) rate with first-line treatment was lower in SMM patients
(13.3% vs. 47.6%; P=0.04), post-splenectomy median disease-free-survival (DFS)
was longer (16.2 mo vs. 5.1 mo; P=0.19). Univariate/multivariate analysis showed
that achieving CR during first-line treatment (OR 0.3, 95% CI: 0.03-0.94, P=0.03)
and higher platelet count (OR 0.99, 95% CI: 0.98-0.99, P=0.03) were protective
factors for SMM; and anti-dsDNA titer higher than 9.6 IU/dL was a risk factor for
SMM (OR 2.76, 95% CI: 1.48-5.14, P<0.001). Conclusion: The wAIHA patients with
SMM have different biological profiles with those without SMM. This study is the
first trial evaluating the significance of histopathological spleen findings and
their association with rheumatologic profile.
PMID- 29662862
TI - Additional cytogenetic aberrations in chronic myeloid leukemia: a single-center
experience in the Middle East.
AB - Background: Additional cytogenetic aberrations are associated with disease
progression in chronic myeloid leukemia (CML). This study was conducted to
determine the type and frequency of these aberrations and their relationship with
hematologic and molecular findings in the Middle East. Methods: In this
retrospective study, 134 well-established cases of CML were selected from 2010 to
2016. Their hematologic phase and type of fusion gene were determined. Finally,
their karyotypes were analyzed and reported according to ISCN 2013. Results:
Patients had a mean age of 44 years. Twenty-two patients (16.4%) showed
additional cytogenetic aberrations. Nine patients (6.7%) harbored a variant
Philadelphia chromosome, and most were in the chronic phase. Seventeen patients
(12.7%) had major and minor route abnormalities. There was a significant
relationship between additional cytogenetic aberrations and major molecular
response (P=0.032). Patient survival in the group with additional cytogenetic
aberrations was significantly lower (49.7+/-11.1 mo) than that in the group
without additional cytogenetic aberrations (77.3+/-3.1 mo) (P=0.031). Conclusion:
This study revealed the same frequency of additional cytogenetic aberrations in
CML as found in previous studies. Additional chromosomal aberrations led to
shorter survival and lower rates of achievement of a major molecular response.
PMID- 29662863
TI - Investigation of BAX and BCL2 expression and apoptosis in a resveratrol- and
prednisolone-treated human T-ALL cell line, CCRF-CEM.
AB - Background: The numerous side effects and chemo-resistance of conventional
chemical drugs in the treatment of malignancies have led to consideration of the
anti-cancer properties of natural products. In the present study, we aimed to
explore the apoptotic effect of two natural components, resveratrol and
prednisolone, on the T acute lymphoblastic leukemia (ALL) cell line, CCRF-CEM.
Our findings suggested the incorporation of these natural agents into drug
regimens to treat patients with ALL. Methods: In this study, we investigated the
effect of different doses of resveratrol (15, 50 and 100 uM) and prednisolone
(700 uM) on BAX (apoptosis promoter) and BCL2 (apoptosis inhibitor) expressions
following 24 and 48 hours of treatment on CCRF-CEM cells, using real-time PCR,
and on apoptosis induction using flow cytometry. Results: The results showed a
time- and dose-dependent increase in BAX expression and a decrease in BCL2
expression. Apoptosis was induced in CCRF-CEM cells treated with resveratrol and
prednisolone for 24 and 48 hours. Combined resveratrol and prednisolone treatment
showed synergistic effects on the overexpression of BAX and the downregulation of
BCL2. The drug combination had a greater influence on apoptosis induction
compared with either drug administered alone after 48 hours of treatment.
Conclusion: The results of this study suggested that resveratrol exhibited a
remarkable efficacy to improve the influence of glucocorticoids drugs, especially
prednisolone, to induce apoptosis in the CCRF-CEM cell line.
PMID- 29662864
TI - Expression of adhesion molecules on CD34+ cells from steady-state bone marrow
before and after mobilization and their association with the yield of CD34+
cells.
AB - Background: Cell adhesion molecules (CAMs) expressed on hematopoietic progenitor
cells (HPCs), endothelial cells, and stromal cells play a pivotal role in the
mobilization of CD34+ cells. Herein, we conducted a non-randomized peripheral
blood stem cell (PBSC) mobilization study aimed to compare the potential
differences in the expressions of several CAMs and chemokines on CD34+ cells
obtained from bone marrow aspirate before and after HPC mobilization from
patients with hematologic malignancies and healthy donors. Methods: Three-color
cytofluorometric analysis was used to compare the expressions of CAMs and
chemokines in the bone marrow before and after mobilization. Results: For all
studied groups, CAM expression among those with good and poor yields of CD34+
cells was significantly correlated with VCAM-1 (P=0.007), CD44 (P=0.027), and VLA
4 (P=0.014) expressions. VCAM-1 (P=0.001), FLT-3 (P=0.001), CD44 (P=0.011), VLA-4
(P=0.001), and LFA-1 (P=0.001) expressions were higher before HPC mobilization
than after HPC mobilization. By contrast, the expression of CXCR4 significantly
varied before and after mobilization only among those with successful PBSC
mobilization (P=0.002). Conclusion: We attempted to identify particular aspects
of CAMs involved in CD34+ cell mobilization, which is a highly complex mechanism
that involves adhesion molecules and matrix metalloproteases. The mechanism by
which CD34+ cell mobilization is activated through proteolytic enzymes is not
fully understood. We believe that CXCR4, VLA-4, CD44, and VCAM-1 are the most
important molecules implicated in HPC mobilization, particularly because they
show a correlation with the yield of CD34+ cells collected via large volume
leukapheresis.
PMID- 29662865
TI - Successful rapid drug desensitization to methotrexate in a patient with primary
central nervous system lymphoma.
PMID- 29662866
TI - A rare case of splenic diffuse red pulp small B-cell lymphoma (SDRPL): a review
of the literature on primary splenic lymphoma with hairy cells.
PMID- 29662867
TI - An unusual etiology of plummer-Vinson syndrome.
PMID- 29662868
TI - Pulmonary embolism presenting with acute abdominal pain in a girl with stable
ankle fracture and inherited antithrombin deficiency.
PMID- 29662869
TI - A case of adult T-cell leukemia/lymphoma in Korea.
PMID- 29662870
TI - Primary CNS high-grade B-cell lymphoma, with rearrangements of MYC and BCL6 : a
case report.
PMID- 29662871
TI - Cancer associated phlegmasia cerulea dolens successfully treated with apixaban.
PMID- 29662872
TI - A rare variant of t(17;19) in a case of Philadelphia positive adult acute
lymphoblastic leukemia presenting with disseminated intravascular coagulation.
PMID- 29662873
TI - Permissive Hypercapnia Results in Decreased Functional Vessel Density in the Skin
of Extremely Low Birth Weight Infants.
AB - Background: Ventilator-induced lung injury with subsequent bronchopulmonary
dysplasia remains an important issue in the care of extremely low-birth-weight
infants. Permissive hypercapnia has been proposed to reduce lung injury.
Hypercapnia changes cerebral perfusion, but its influence on the peripheral
microcirculation is unknown. Methods: Data were collected from 12 infants, who
were randomized to a permissive high PCO2 target group (HTG) or a control group
(CG). Inclusion criteria were birth weight between 400 and 1,000 g, gestational
age from 23 to 28 6/7 weeks, intubation during the first 24 h of life, and no
malformations. The PCO2 target range was increased stepwise in both groups for
weaning and was always 15 mmHg higher in the HTG than in the CG. Skin
microvascular parameters were assessed non-invasively with sidestream dark field
imaging on the inner side of the right arm every 24 h during the first week of
life and on the 14th day of life. Results: Infants in the HTG had significantly
higher max. PCO2 exposure, which was associated with a significantly and
progressively reduced functional vessel density (FVD, p < 0.01). Moreover, there
were significant differences in the diameter distribution over time, with HTG
subjects having fewer small vessels but more large vessels. Conclusion: High PCO2
levels significantly impaired peripheral microcirculation in preterm infants, as
shown by a decreased FVD, presumably secondary to peripheral vasoconstriction.
ISRCTN: 56143743.
PMID- 29662875
TI - Positive Virological Outcomes of HIV-Infected Patients on Protease Inhibitor
Based Second-Line Regimen in Cambodia: The ANRS 12276 2PICAM Study.
AB - Background: Assessment of virological outcomes among HIV-infected patients
receiving protease (PR) inhibitor-based second-line regimen are uncommon in
Cambodia. The objective of this study is to assess the virological effectiveness
of this regimen as well as impact of adherence boosting for patients experiencing
virological failure. Methods: The 2PICAM study (Clinicaltrial: NCT01801618) is a
cross-sectional study of HIV-infected adults on PR inhibitor-based second-line
regimen since at least 6 months, conducted in 13 representative sites, comprising
more than 90% of the target population. Adults with HIV RNA above 250 copies/mL
(threshold of the assay) at inclusion received boosted adherence counseling
during 3 months followed by HIV RNA control. For confirmed virological failure,
genotype resistance test was performed and expert committee used results for
therapeutic decision. Results: Among the 1,317 adults enrolled, the median
duration of second-line regimen was 5 years. At inclusion, 1,182 (89.7%) patients
achieved virological success (<250 copies/mL) and 135 (10.3%) experienced a
virological failure (>250 copies/mL). In multivariable analysis, factors
associated with virological success were: CD4 cell count between 201 and 350/mm3
(OR: 4.66, 95% CI: 2.57-8.47, p < 0.0001) and >350/mm3 (OR: 6.67, 95% CI: 4.02
11.06, p < 0.0001), duration of PI-based regimen >2 years (OR: 1.64, 95% CI: 1.03
2.62, p = 0.037), ATV-containing regimen (0R: 1.65, 95% CI: 1.04-2.63, p = 0.034)
and high level of adherence (OR: 2.41, 95% CI: 1.07-5.41, p = 0.033). After
adherence counseling, 63 (46.7%) patients were rescued while 72 (53.3%) were not.
For the 54 patients with genotype resistance tests available, high or
intermediate levels of resistance to lopinavir, atazanavir, and darunavir were
reported for 13 (24%), 12 (22.2%), and 2 (3.7%) patients, respectively. Change to
an alternative PR inhibitor-based regimen was recommended for 17 patients and to
third-line regimen, including integrase inhibitors for 12. Conclusion: This study
reports high rate of virological suppression of second-line regimen and
importance of adherence boosting prior to deciding any change of ART regimen.
Genotype resistance tests appear necessary to guide decisions. Such information
was of great importance for National HIV Program to adapt guidelines and program
needs for third-line regimen.
PMID- 29662876
TI - Mapping Health Needs to Support Health System Management in Poland.
AB - In Poland, following the example of other EU countries, the first maps of health
needs prepared by the Ministry of Health were presented in 2016. The maps
constitute a foundation for rational decision-making in the management of health
care resources, being potentially useful for all actors in health system. This
refers in particular to the institutions responsible for distribution of funds
and contracting health service, but also for decision-makers, who determine the
scope of funds to be utilized in the health system, or the structure of benefits
provided to patients. Service providers are also addressees of the maps, to give
them a basis for planning future activities. The article presents a structured
assessment of the current state of affairs, based on recent experience and sets
out likely directions for the development of health needs in mapping in Poland in
the future. We discuss the criticism addressed toward maps by representatives of
various groups acting in health care. It includes the lack of recognition of some
of the key health needs, or wrong emphases, where much more attention is paid to
the recognition of current resources in the health system, instead of making
prognoses regarding the future developments of health needs. Nonetheless, we find
that this instrument is potentially of high usability, in case of elimination of
the existing weaknesses.
PMID- 29662874
TI - Prevalent emm Types among Invasive GAS in Europe and North America since Year
2000.
AB - Background: Streptococcus pyogenes or group A streptococcus (GAS) is an important
human pathogen responsible for a broad range of infections, from uncomplicated to
more severe and invasive diseases with high mortality and morbidity.
Epidemiological surveillance has been crucial to detect changes in the
geographical and temporal variation of the disease pattern; for this purpose the
M protein gene (emm) gene typing is the most widely used genotyping method, with
more than 200 emm types recognized. Molecular epidemiological data have been also
used for the development of GAS M protein-based vaccines. Methods: The aim of
this paper was to provide an updated scenario of the most prevalent GAS emm types
responsible for invasive infections in developed countries as Europe and North
America (US and Canada), from 1st January 2000 to 31st May 2017. The search,
performed in PubMed by the combined use of the terms ("emm") and ("invasive")
retrieved 264 articles, of which 38 articles (31 from Europe and 7 from North
America) met the inclusion criteria and were selected for this study. Additional
five papers cited in the European articles but not retrieved by the search were
included. Results: emm1 represented the dominant type in both Europe and North
America, replaced by other emm types in only few occasions. The seven major emm
types identified (emm1, emm28, emm89, emm3, emm12, emm4, and emm6) accounted for
approximately 50-70% of the total isolates; less common emm types accounted for
the remaining 30-50% of the cases. Most of the common emm types are included in
either one or both the 26-valent and 30-valent vaccines, though some well
represented emm types found in Europe are not. Conclusion: This study provided a
picture of the prevalent emm types among invasive GAS (iGAS) in Europe and North
America since the year 2000 onward. Continuous surveillance on the emm-type
distribution among iGAS infections is strongly encouraged also to determine the
potential coverage of the developing multivalent vaccines.
PMID- 29662877
TI - Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition.
AB - Nowadays, it is still difficult for molecularly imprinted polymers (MIPs) to
achieve homogeneous recognition since they cannot be easily dissolved in organic
or aqueous phase. To address this issue, soluble molecularly imprinted nanorods
have been synthesized by using soluble polyaniline doped with a functionalized
organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a
model, the proposed imprinted nanorods exhibit an excellent solubility and good
homogeneous recognition ability. The imprinting factor for the soluble imprinted
nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum
number of the proposed imprinted nanorods are 248.5 MUM and 22.1 MUmol/g,
respectively. We believe that such imprinted nanorods may provide an appealing
substitute for natural receptors in homogeneous recognition related fields.
PMID- 29662878
TI - Selective Detection of Lysozyme Biomarker Utilizing Large Area Chemical Vapor
Deposition-Grown Graphene-Based Field-Effect Transistor.
AB - Selective and rapid detection of biomarkers is of utmost importance in modern day
health care for early stage diagnosis to prevent fatal diseases and infections.
Among several protein biomarkers, the role of lysozyme has been found to be
especially important in human immune system to prevent several bacterial
infections and other chronic disease such as bronchopulmonary dysplasia. Thus,
real-time monitoring of lysozyme concentration in a human body can pave a facile
route for early warning for potential bacterial infections. Here, we present for
the first time a label-free lysozyme protein sensor that is rapid and selective
based on a graphene field-effect transistor (GFET) functionalized with
selectively designed single-stranded probe DNA (pDNA) with high binding affinity
toward lysozyme molecules. When the target lysozyme molecules bind to the surface
immobilized pDNAs, the resulting shift of the charge neutrality points of the
GFET device, also known as the Dirac voltage, varied systematically with the
concentration of target lysozyme molecules. The experimental results show that
the GFET-based biosensor is capable of detecting lysozyme molecules in the
concentration range from 10 nM to 1 uM.
PMID- 29662879
TI - Abnormal Elongations of HOX Gene Clusters May Cause Cancer.
PMID- 29662880
TI - Common Evaluations of Disease Activity in Rheumatoid Arthritis Reach Discordant
Classifications across Different Populations.
AB - Objectives: The classification of disease activity states in rheumatoid arthritis
(RA) can be achieved through disease activity indices, such as the Disease
Activity Score in 28 joints erythrocyte sedimentation rate (DAS28-ESR), the
Simplified Disease Activity Index (SDAI), and the Clinical Disease Activity Index
(CDAI). Subjective measurements, such as patient reported outcomes have been
incorporated into several of these indices alongside more objective assessments,
such as increases in the ESR and C-reactive protein. Moreover, while they use
similar criteria, different indices weight these criteria to different extents.
Therefore, the classifications based on each evaluation may not always be the
same. We aim to compare the performance of the three indices and their individual
components in two different populations. Methods: Data from Dutch and Portuguese
adherent centers were extracted from the METEOR database, a multinational
collaboration on RA. We included a total of 24,605 visits from Dutch centers
(from 5,870 patients) and 20,120 visits from Portuguese centers (from 3,185
patients). We compared the disease activity states as evaluated by the DAS28-ESR,
CDAI, and SDAI across the two populations. In addition, we analyzed the
individual components of each evaluation, including their respective
contributions to the outcome, in each population. Results: We found significant
differences in the disease activity states classified with the DAS28-ESR between
the two populations. SDAI and CDAI had more congruous results. While the
proportion of visits to Dutch and Portuguese centers that were classified as "in
remission" was very similar between the CDAI and SDAI, the DAS28-ESR gave
discordant results. Dutch patients had lower ESRs, which is more heavily weighted
in the DAS28-ESR. In addition, even though the mean physicians' global assessment
values did not vary significantly for Dutch vs Portuguese physicians, we found
that doctors at Portuguese centers overall scored the physician's global
assessment lower than Dutch physicians for patient visits classified by disease
activity state. Conclusion: While the CDAI and SDAI assigned disease activity
states that were largely similar, the DAS28-ESR was often discordant across the
two populations. Moreover, we found that physicians, more than patients,
evaluated disease activity differently among the Portuguese and Dutch
populations.
PMID- 29662882
TI - Circulatory Arrest, Brain Arrest and Death Determination.
AB - Technological advances, particularly in the capacity to support, replace or
transplant failing organs, continue to challenge and refine our understanding of
human death. Given the ability to reanimate organs before and after death, both
inside and outside of the body, through reinstitution of oxygenated circulation,
concepts related to death of organs (e.g. cardiac death) are no longer valid.
This paper advances the rationale for a single conceptual determination of death
related to permanent brain arrest, resulting from primary brain injury or
secondary to circulatory arrest. The clinical characteristics of brain arrest are
the permanent loss of capacity for consciousness and loss of all brainstem
functions. In the setting of circulatory arrest, death occurs after the arrest of
circulation to the brain rather than death of the heart. Correspondingly, any
intervention that resumes oxygenated circulation to the brain after circulatory
arrest would invalidate the determination of death.
PMID- 29662883
TI - Long Non-Coding RNAs in Vascular Inflammation.
AB - Less than 2% of the genome encodes for proteins. Accumulating studies have
revealed a diverse set of RNAs derived from the non-coding genome. Among them,
long non-coding RNAs (lncRNAs) have garnered widespread attention over recent
years as emerging regulators of diverse biological processes including in
cardiovascular disease (CVD). However, our knowledge of their mechanisms by which
they control CVD-related gene expression and cell signaling pathways is still
limited. Furthermore, only a handful of lncRNAs has been functionally evaluated
in the context of vascular inflammation, an important process that underlies both
acute and chronic disease states. Because some lncRNAs may be expressed in cell-
and tissue-specific expression patterns, these non-coding RNAs hold great promise
as novel biomarkers and as therapeutic targets in health and disease. Herein, we
review those lncRNAs implicated in pro- and anti-inflammatory processes of acute
and chronic vascular inflammation. An improved understanding of lncRNAs in
vascular inflammation may provide new pathophysiological insights in CVD and
opportunities for the generation of a new class of RNA-based biomarkers and
therapeutic targets.
PMID- 29662884
TI - Transmission of Helminths between Species of Ruminants in Austria Appears More
Likely to Occur than Generally Assumed.
AB - Helminth infections of the gastrointestinal tract and lungs can lead to
devastating economical losses to the pastoral based animal production. Farm
animals can suffer from malnutrition, tissue damage, and blood loss resulting in
impaired production traits and reproduction parameters. In Austria, pastures
grazed by sheep, goats, and cattle overlap with the habitats of several species
of wild cervids (roe deer, red deer, sika deer, and fallow deer) and bovids
(mouflon, chamois, and ibex), and transmission of parasites between different
ruminant species seems likely. A complete and updated overview on the occurrence
of helminths of domestic and wild ruminants in Austria is presented. Based on
these data, intersections of the host spectrum of the determined parasites were
depicted. The "liability index" was applied to identify the ruminant species,
which most likely transmit parasites between each other. A degree for host
specificity was calculated for each parasite species based on the average
taxonomic distance of their host species. Of the 73 identified helminth species
42 were identified as generalists, and 14 transmission experiments supported the
assumed broad host specificity for 14 generalists and 1 specialist helminth
species. Overall, 61 helminths were found to infect more than one host species,
and 4 were found in all 10 ruminant species investigated. From these analyses, it
can be concluded that a number of helminth parasites of the gastrointestinal
tract and the lungs are potentially transmitted between domestic and wild
ruminants in Austria. For some parasites and host species, experimental evidence
is in support for possible transmission, while for other such studies are
lacking. Host preference of different genotypes of the same parasite species may
have a confounding effect on the evaluation of cross-transmission, but so far
this has not been evaluated systematically in helminths in Austria. Further
studies focusing on experimental cross-transmission and genetic characterization
are needed to define the potential consequences for the epidemiology of those
parasites, animal welfare, and economic impact.
PMID- 29662885
TI - Investigation of Echinococcus multilocularis in Environmental Definitive Host
Feces in the Asian and the European Parts of Turkey.
AB - A study was carried out to investigate the presence of Echinococcus
multilocularis in red foxes (Vulpes vulpes) in two regions of Turkey-central
Anatolia (in Asia Minor) and Thrace (in the European part of Turkey). A total of
405 putative fox feces were collected from central Anatolia (186 specimens in 59
locations) and from Thrace (219 specimens in 114 locations). All samples were
examined by the flotation and sieving method for taeniid eggs, and positive and
putative samples were further analyzed by multiplex PCR. In seven samples from
three locations in central Anatolia (5.1%) and in one (0.9%) from Thrace, E.
multilocularis DNA was amplified, and this result was confirmed with another PCR
specific for E. multilocularis. In addition, Echinococcus granulosus s.l. was
found in two (0.5%) of the samples. Although alveolar echinococcosis (AE) is
known as a serious zoonosis in Turkey, this is the first field study detecting E.
multilocularis in collected fecal samples documenting the environmental
contamination with eggs of this zoonotic parasite.
PMID- 29662881
TI - The Continuum of Aging and Age-Related Diseases: Common Mechanisms but Different
Rates.
AB - Geroscience, the new interdisciplinary field that aims to understand the
relationship between aging and chronic age-related diseases (ARDs) and geriatric
syndromes (GSs), is based on epidemiological evidence and experimental data that
aging is the major risk factor for such pathologies and assumes that aging and
ARDs/GSs share a common set of basic biological mechanisms. A consequence is that
the primary target of medicine is to combat aging instead of any single ARD/GSs
one by one, as favored by the fragmentation into hundreds of specialties and sub
specialties. If the same molecular and cellular mechanisms underpin both aging
and ARDs/GSs, a major question emerges: which is the difference, if any, between
aging and ARDs/GSs? The hypothesis that ARDs and GSs such as frailty can be
conceptualized as accelerated aging will be discussed by analyzing in particular
frailty, sarcopenia, chronic obstructive pulmonary disease, cancer,
neurodegenerative diseases such as Alzheimer and Parkinson as well as Down
syndrome as an example of progeroid syndrome. According to this integrated view,
aging and ARDs/GSs become part of a continuum where precise boundaries do not
exist and the two extremes are represented by centenarians, who largely avoided
or postponed most ARDs/GSs and are characterized by decelerated aging, and
patients who suffered one or more severe ARDs in their 60s, 70s, and 80s and show
signs of accelerated aging, respectively. In between these two extremes, there is
a continuum of intermediate trajectories representing a sort of gray area. Thus,
clinically different, classical ARDs/GSs are, indeed, the result of peculiar
combinations of alterations regarding the same, limited set of basic mechanisms
shared with the aging process. Whether an individual will follow a trajectory of
accelerated or decelerated aging will depend on his/her genetic background
interacting lifelong with environmental and lifestyle factors. If ARDs and GSs
are manifestations of accelerated aging, it is urgent to identify markers capable
of distinguishing between biological and chronological age to identify subjects
at higher risk of developing ARDs and GSs. To this aim, we propose the use of DNA
methylation, N-glycans profiling, and gut microbiota composition to complement
the available disease-specific markers.
PMID- 29662886
TI - High Prevalence of Extended-Spectrum beta-Lactamase Producing Enterobacteriaceae
Among Clinical Isolates From Cats and Dogs Admitted to a Veterinary Hospital in
Switzerland.
AB - Objectives: This study aimed to identify and characterize extended-spectrum beta
lactamase (ESBL) producing Enterobacteriaceae among clinical samples of companion
animals. Methods: A total of 346 non-duplicate Enterobacteriaceae isolates were
collected between 2012 and 2016 from diseased cats (n = 115) and dogs (n = 231).
The presence of blaESBL, PMQR genes, and the azithromycin resistance gene mph(A)
was confirmed by PCR and sequencing of bla genes. Isolates were further
characterized by antimicrobial resistance profiling, multilocus sequence typing,
phylogenetic grouping, identification of mutations in the QRDR of gyrA and parC,
and screening for virulence-associated genes. Results: Among the 346 isolates, 72
(20.8%) were confirmed ESBL producers [58 Escherichia coli (E. coli), 11
Klebsiella pneumoniae (K. pneumoniae), and 3 Enterobacter cloacae]. The strains
were cultured from urine (n = 45), skin and skin wounds (n = 8), abscesses (n =
6), surgical sites (n = 6), bile (n = 4), and other sites (n = 3). ESBL genes
included blaCTX-M-1, 14, 15, 27, 55, and blaSHV-12, predominantly blaCTX-M-15
(54.8%, 40/73), and blaCTX-M-1 (24.7%, 18/73). Further genes included qnrB (4.2%,
3/72), qnrS (9.7%, 7/72), aac(6')-Ib-cr (47.2%, 34/72), and mph(A) (38.9%,
28/72). Seventeen (23.6%) isolates belonged to the major lineages of human
pathogenic K. pneumoniae ST11, ST15, and ST147 and E. coli ST131. The most
prevalent ST was E. coli ST410 belonging to phylogenetic group C. Conclusion: The
high prevalence of ESBL producing clinical Enterobacteriaceae from cats and dogs
in Switzerland and the presence of highly virulent human-related K. pneumoniae
and E. coli clones raises concern about transmission prevention as well as
infection management and prevention in veterinary medicine.
PMID- 29662887
TI - Characteristics of Heat Illness during Hajj: A Cross-Sectional Study.
AB - Introduction: Data regarding the characteristics and outcomes of heat illness are
lacking in the literature. The present study aimed to identify the clinical
characteristics, morbidity, management, and mortality associated with heat
illness among Hajj participants. Methods: A cross-sectional study was conducted
during the Hajj in 2016 on patients who presented to emergency departments and
were diagnosed with heat exhaustion or heatstroke. Data were collected using a
structured collection sheet developed based on the literature. Results: A total
of 267 patients were recruited. Of these, 80 (29%) and 187 (67.75%) were
diagnosed with heatstroke and heat exhaustion, with 6.3% and 0.0% mortality,
respectively. The mean age of the patients was 54.0 +/- 16 years. Diabetes
mellitus was the most common comorbidity among both heatstroke and heat
exhaustion patients. The majority of patients had hyperthermia and electrolyte
imbalance. Most of the heat illness cases were treated per heat illness
guidelines. Conclusions: Although authorities are working on research and forming
interdisciplinary teams to prevent health problems during the Hajj, the mortality
rate from heatstroke is high and the majority of the patients had hyperthermia,
varied signs and symptoms, elevated creatinine levels, and electrolyte
imbalances.
PMID- 29662888
TI - Prognostic Value of Immunoscore and PD-L1 Expression in Metastatic Colorectal
Cancer Patients with Different RAS Status after Palliative Operation.
AB - Colorectal cancer (CRC) is the fifth leading cause of cancer death and the fifth
most commonly diagnosed cancer in China. Approximately, 25% of CRC was in the
advanced stage as diagnosed, and 40% of patients with CRC progress to metastatic
colorectal cancer (mCRC). RAS mutation status is now routinely used to select
their therapy. But it is still a question whether RAS mutation status is a
prognostic marker. In our study, we detected RAS mutation, immunoscore (IS), and
PD-L1 expression in 60 Chinese mCRC patients who received palliative operation.
The Kaplan-Meier survival analysis showed that the overall survival (OS) in
patients with RAS wild type was better than those with RAS mutated type.
Moreover, in multivariate analysis, RAS mutation and PD-L1 expression were
demonstrated to be the independent negative prognostic factors for OS (P = 0.044,
HR: 0.258, and 95% CI: 0.069-0.967; P = 0.048, HR: 0.276, and 95% CI: 0.077
0,988). All results suggested that, combined with IS, PD-L1 expression and RAS
status may be the prognostic indicators for mCRC patients with palliative
operation.
PMID- 29662890
TI - Harsh Working Conditions and Poor Eating Habits: Health-Related Concerns of
Female Head Porters (Kayayei) in the Mallam Atta Market, Accra, Ghana.
AB - Background: The kaya business is known to pose significant health-related risks
to female migrants. This study sought to explore the health-related concerns of
female head porters in the Mallam Atta market, Accra, Ghana. Methods: A
qualitative study was conducted in which twenty female head porters at the Mallam
Atta market in Accra were interviewed. A thematic analysis was performed and the
emerging themes were presented and supported with quotations from the
respondents. Results: Poor accommodation and eating habits, harsh working
conditions, and lack of knowledge about health conditions exposed the respondents
to several health-related concerns like neck pains, skin rashes, malaria,
cholera, and stomach ache among other infections. The popular means of seeking
health care was through purchasing drugs from pharmacies or drug peddlers instead
of health facilities. Financial constraints, lack of faith in the National Health
Insurance Scheme, and long waiting periods at the health facilities militated
against seeking appropriate health care at the hospitals and clinics. Conclusion:
Political willpower needs to be strengthened for poverty reduction strategies
such as training of hairdressing, dress and soap making, and shea butter
processing for women from the Northern regions in order to ameliorate their
livelihoods and/or reduce migration to the south.
PMID- 29662889
TI - Effect of miR-29b on the Proliferation and Apoptosis of Pulmonary Artery Smooth
Muscle Cells by Targeting Mcl-1 and CCND2.
AB - The proliferation and apoptosis of pulmonary artery smooth muscle cells (PASMCs)
are considered to be key steps in the progression of pulmonary arterial
hypertension (PAH). MicroRNAs (e.g., miR-29b) have been identified in various
diseases to be critical modulators of cell growth and apoptosis by targeting Mcl
1 and CCND2. However, the role of miR-29b in PAH remains unknown. So we try to
investigate the effect of miR-29b on Mcl-1 and CCND2 protein in PASMCs, analyze
the effect of miR-29b on the proliferation of PASMCs, and explore the
significance of miR-29b in the proliferation, apoptosis, and gene therapy of PAH.
It was observed that gene chip analysis showed miR-29b expression in pulmonary
artery tissue. The expression of miR-29b was significantly reduced in PAH model
mice. MiR-29b inhibited the proliferation of PASMCs and promoted the apoptosis of
PASMCs. Mechanically, miR-29b could inhibit the expression of Mcl-1 and CCND2
protein and silenced Mcl-1 and CCND2 could abolish the change of proliferation
and apoptosis of PASMCs. These results demonstrate that miR-29b suppressed
cellular proliferation and promoted apoptosis of PASMCs, possibly through the
inhibition of Mcl-1 and CCND2. Therefore, miR-29b may serve as a useful
therapeutic tool to treat PAH.
PMID- 29662891
TI - Transient Abnormalities in Masking Tuning Curve in Early Progressive Hearing Loss
Mouse Model.
AB - Damage to cochlear outer hair cells (OHCs) usually affects frequency selectivity
in proportion to hearing threshold increase. However, the current clinical
heuristics that attributes poor hearing performance despite near-normal auditory
sensitivity to auditory neuropathy or "hidden" synaptopathy overlooks possible
underlying OHC impairment. Here, we document the part played by OHCs in
influencing suprathreshold auditory performance in the presence of noise in a
mouse model of progressive hair cell degeneration, the CD1 strain, at postnatal
day 18-30 stages when high-frequency auditory thresholds remained near-normal.
Nonetheless, total loss of high-frequency distortion product otoacoustic
emissions pointed to nonfunctioning basal OHCs. This "discordant profile" came
with a huge low-frequency shift of masking tuning curves that plot the level of
interfering sound necessary to mask the response to a probe tone, against
interfering frequency. Histology revealed intense OHC hair bundle abnormalities
in the basal cochlea uncharacteristically associated with OHC survival and
preserved coupling with the tectorial membrane. This pattern dismisses the
superficial diagnosis of "hidden" neuropathy while underpinning a disorganization
of cochlear frequency mapping with optimistic high-frequency auditory thresholds
perhaps because responses to high frequencies are apically shifted. The
audiometric advantage of frequency transposition is offset by enhanced masking by
low-frequency sounds, a finding essential for guiding rehabilitation.
PMID- 29662892
TI - Revealing the Amylase Interactome in Whole Saliva Using Proteomic Approaches.
AB - Understanding proteins present in saliva and their function when isolated is not
enough to describe their real role in the mouth. Due to protein-protein
interactions, structural changes may occur in macromolecules leading to
functional modulation or modification. Besides amylase's function in carbohydrate
breakdown, amylase can delay proteolytic degradation of protein partners (e.g.,
histatin 1) when complexed. Due to its biochemical characteristics and high
abundance in saliva, amylase probably interacts with several proteins acting as a
biological carrier. This study focused on identifying interactions between
amylase and other proteins found in whole saliva (WS) using proteomic approaches.
Affinity chromatography was used, followed by gel electrophoresis methods, sodium
dodecyl sulfate and native, tryptic in-solution and in-gel digestion, and mass
spectrometry. We identified 66 proteins that interact with amylase in WS.
Characterization of the identified proteins suggests that acidic (pI < 6.8) and
low molecular weight (MW < 56 kDa) proteins have preference during amylase
complex formation. Most of the identified proteins present biological functions
related to host protection. A new protein-amylase network was constructed using
the STRING database. Further studies are necessary to investigate individualities
of the identified amylase interactors. These observations open avenues for more
comprehensive studies on not yet fully characterized biological function of
amylase.
PMID- 29662893
TI - Detecting Early Warning Signal of Influenza A Disease Using Sample-Specific
Dynamical Network Biomarkers.
AB - Aims/Introduction. Evidences have shown that the deteriorated procession of
disease is not a smooth change with time and conditions, in which a critical
transition point denoted as predisease state drives the state from normal to
disease. Considering individual differences, this paper provides a sample
specific method that constructs an index with individual-specific dynamical
network biomarkers (DNB) which are defined as early warning index (EWI) for
detecting predisease state of individual sample. Based on microarray data of
influenza A disease, 144 genes are selected as DNB and the 7th time period is
defined as predisease state. In addition, according to functional analysis of the
discovered DNB, it is relevant with experience data, which can illustrate the
effectiveness of our sample-specific method.
PMID- 29662894
TI - Body Image of Highly Trained Female Athletes Engaged in Different Types of Sport.
AB - Background: The aim of the study was to evaluate differences in body image across
different types of sports in highly trained female athletes. Methods: 242 female
individuals, aged 13-30 years (M = 20.0, SD = 4.5), representing aesthetic sports
(n = 56) and nonaesthetic sports (n = 186), were recruited from different sports
clubs in Poland. Body image, BMI, age, the level of competition attained, and the
training background of participants were recorded. Results: One-way ANOVA showed
differences in the body image of athletes engaged in different types of sport
(F(11,230) = 4.10, p < 0.001, and eta2 = 0.16). The model predicting the body
image of female athletes was significant (F(5,236) = 10.40, p < 0.001); the
adjusted R2 = 0.163. Type of sport explained 7.1% (beta = -0.263, p < 0.001), age
explained 4.5% (beta = 0.341, p < 0.001), BMI explained 3.6% (beta = -0.230, p <
0.001), and level of competition explained 0.9% (beta = 0.153, p < 0.05) of
variance in body image. Conclusions: The findings provide vital new knowledge
which can be used by researchers and practitioners in designing educational
programs on weight-related behaviors in female athletes. Such programs should be
implemented especially in young female athletes participating in high-level
sporting activities at an early stage.
PMID- 29662896
TI - Early Experience with Reduction of Unstable Pelvic Fracture Using a Computer
Aided Reduction Frame.
AB - Purpose: The optimal closed reduction technique for unstable pelvic fractures
remains controversial. The purpose of this study is to verify the effectiveness
and report early experiences with the reduction of unstable pelvic fractures
using a computer-aided pelvic reduction frame. Methods: From January 2015 to
August 2016, a total of 10 patients with unilateral unstable pelvic fractures
were included in this study. The surgical reduction procedure was based on the
protocol of the computer-aided pelvic reduction frame that we proposed in a
previous work. The quality of the reductions achieved using this system was
evaluated with residual translational and rotational differences between the
actual and virtual reduction positions of pelvis. The duration of the operation
was recorded for quality control. Results: The mean times required to set up the
frame, to complete the virtual surgery simulation, and to reduce the unstable
pelvic fractures were 10.3, 20.9, and 7.5 min, respectively. The maximum residual
translational and rotational displacements were less than 6.5 mm and 3.71
degrees, respectively. Conclusions: This computer-aided reduction frame can be a
useful tool for the speedy and accurate reduction of unstable pelvic fractures.
Further clinical studies should be conducted with larger patient samples to
verify its safety and efficacy.
PMID- 29662895
TI - Heme Oxygenase-1 Activity as a Correlate to Exercise-Mediated Amelioration of
Cognitive Decline and Neuropathological Alterations in an Aging Rat Model of
Dementia.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder with cognitive
impairment. Physical exercise has long been proven to be beneficial in the
disorder. The present study was designed to examine the effect of voluntary
exercise on spatial memory, imaging, and pathological abnormalities. Particular
focus has been given to the role of heme oxygenase-1 (HO-1)-an important cellular
cytoprotectant in preserving mental acuity-using an aging rat model of dementia.
Male and female Wistar rats were segregated into six groups-namely, (i) aged
sedentary (control) females (ASF, n = 8); (ii) aged sedentary (control) males
(ASM, n = 8); (iii) aged running females (ARF, n = 8); (iv) aged running males
(ARM, n = 8); (v) young control females (YCF, n = 8); and (vi) young control
males (YCM, n = 8). Rats in the ARF and ARM groups had free access to a
standardized inbuilt running wheel during the 3-month evaluation period. Spatial
memory was investigated using the Morris Water Test, imaging and pathological
alterations were assessed using positron emission tomography (PET) imaging and
histopathological examinations (H&E, Congo red staining), respectively, and HO-1
enzyme activity assays were also conducted. The outcomes suggest that voluntary
physical exercise mitigates impaired spatial memory and neuropathological changes
exhibited by the aging sedentary group, via elevated HO-1 activity, contributing
to the antioxidant capacity in the aging brain.
PMID- 29662897
TI - Novel Compliant Scaffold with Specific Design for Venous System: Results of a
Porcine Model Study.
AB - Background: Stenting has become the first-line treatment of obstructive venous
disease because of poor results of balloon angioplasty. This preclinical study
aimed to investigate the safety and efficacy profile of a novel compliant venous
scaffold (CVS) denominated Petalo CVS, specifically designed for venous diseases.
Materials and Methods: Twelve healthy pigs weighing 90 kg were used to test
Petalo CVS. The devices were implanted into the internal jugular veins (IJVs)
using a femoral vein percutaneous approach. The safety profile including the
success rate of device releasing, anchoring, and positioning was evaluated
immediately. Fracture, migration, primary patency, and endothelial response were
assessed at 1, 2, 3, and 6 months after the study procedure. Results: A total of
32 devices were successfully released in both IJVs. No procedure- or device
related complications were reported, and all pigs successfully completed the
different scheduled follow-up periods. The primary patency rate was 100%, and no
fracture or migration of the device into the brachiocephalic trunk was reported.
Histological examination revealed only minimal lesions with minimal or absent
inflammatory reaction surrounding the incorporated metallic rods. Conclusions:
This porcine model study showed a promising safety and efficacy profile of Petalo
CVS, a novel endovenous device based on specific concepts.
PMID- 29662898
TI - Comparing Different Recording Lengths of Dynamic Cerebral Autoregulation: 5
versus 10 Minutes.
AB - We compared the dynamic cerebral autoregulation (dCA) indices between 5- and 10
minute data lengths by analyzing 37 patients with ischemic stroke and 51 controls
in this study. Correlation coefficient (Mx) and transfer function analysis were
applied for dCA analysis. Mx and phase shift in all frequency bands were not
significantly different between 5- and 10-minute recordings [mean difference: Mx
= 0.02; phase shift of very low frequency (0.02-0.07 Hz) = 0.3 degrees , low
frequency (0.07-0.20 Hz) = 0.6 degrees , and high frequency (0.20-0.50 Hz) = 0.1
degrees ]. However, the gains in all frequency bands of a 5-minute recording were
slightly but significantly higher than those of a 10-minute recording (mean
difference of gain: very low frequency = 0.05 cm/s/mmHg, low frequency = 0.11
cm/s/mmHg, and high frequency = 0.14 cm/s/mmHg). The intraclass correlation
coefficients between all dCA indices of 5- and 10-minute recordings were
favorable, especially in Mx (0.93), phase shift in very low frequency (0.87), and
gain in very low frequency (0.94). The areas under the receiver operating
characteristic curve for stroke diagnosis between 5- and 10-minute recordings
were not different. We concluded that dCA assessed by using a 5-minute recording
is not significantly different from that using a 10-minute recording in the
clinical application.
PMID- 29662899
TI - Chromium(VI) Toxicity in Legume Plants: Modulation Effects of Rhizobial
Symbiosis.
AB - Most legume species have the ability to establish a symbiotic relationship with
soil nitrogen-fixing rhizobacteria that promote plant growth and productivity.
There is an increasing evidence of reactive oxygen species (ROS) important role
in formation of legume-rhizobium symbiosis and nodule functioning. Environmental
pollutants such as chromium compounds can cause damage to rhizobia, legumes, and
their symbiosis. In plants, toxic effects of chromium(VI) compounds are
associated with the increased production of ROS and oxidative stress development
as well as with inhibition of pigment synthesis and modification of virtually all
cellular components. These metabolic changes result in inhibition of seed
germination and seedling development as well as reduction of plant biomass and
crop yield. However, if plants establish symbiosis with rhizobia, heavy metals
are accumulated preferentially in nodules decreasing the toxicity of metals to
the host plant. This review summarizes data on toxic effects of chromium on
legume plants and legume-rhizobium symbiosis. In addition, we discussed the role
of oxidative stress in both chromium toxicity and formation of rhizobial
symbiosis and use of nodule bacteria for minimizing toxic effects of chromium on
plants.
PMID- 29662900
TI - Analysis of the Clinicopathological Characteristics and Risk Factors in Patients
with Lung Cancer and Chronic Obstructive Pulmonary Disease.
AB - Objective: To investigate the clinicopathological characteristics and risk
factors in patients with lung cancer and COPD. Materials and Methods: We
retrospectively reviewed the clinical data of 282 patients with lung cancer,
including 174 and 108 patients with and without COPD, respectively. Information
on age, sex, smoking status, and histologic type was obtained from medical
records. Results: Lung cancer patients with COPD and those with the chronic
bronchitis (CB) phenotype had higher smoking indices compared to those without
COPD (723.95 +/- 631.48 and 920.95 +/- 712.93 versus 418.40 +/- 506.84; P =
0.010; P = 0.001, resp.), and current smokers accounted for significantly higher
proportions of lung cancer patients with COPD and the CB phenotype versus without
COPD (51.15% and 63.74% versus 35.19%; P = 0.009; P = 0.001, resp.).
Adenocarcinoma was significantly more common in lung cancer patients without
versus with COPD (48.15% versus 35.63%; P = 0.037), whereas small cell lung
cancer was more common in patients with COPD (23.56% versus 13.89%). Among
patients with COPD, male sex (odds ratio [OR], 19.946; P < 0.001), current
smokers (OR: 6.588; P = 0.001), and age >= 75 years (OR: 2.670; P = 0.008) were
identified as high-risk factors. Conclusion: The risk factors for COPD among lung
cancer patients were age >= 75 years, current smokers with the CB phenotype, and
male sex.
PMID- 29662901
TI - How to Apply Feedback to Improve Subjective Wellbeing of Government Servants
Engaged in Environmental Protection in China?
AB - Background: In order to improve subjective wellbeing of government servants
engaged in environmental protection who work in high power distance in China, it
is important to understand the impact mechanism of feedback. This study aims to
analyze how feedback environment influences subjective wellbeing through basic
psychological needs satisfaction and analyzing the moderating role of power
distance. Method: The study was designed as a cross-sectional study of 492
government servants engaged in environment protection in Shandong, China.
Government servants who agreed to participate answered self-report questionnaires
concerning demographic conditions, supervisor feedback environment, basic
psychological need satisfaction, and power distance as well as subjective
wellbeing. Results: Employees in higher levels of supervisor feedback environment
were more likely to experience subjective wellbeing. Full mediating effects were
found for basic psychological needs satisfaction. Specifically, supervisor
feedback environment firstly led to increased basic psychological needs
satisfaction, which in turn resulted in increased subjective wellbeing.
Additional analysis showed that the mediating effect of basic psychological needs
satisfaction was stronger for employees who work in high power distance than in
low power distance. Conclusion: The results from the study indicate that
supervisor feedback environment plays a vital role in improving subjective
wellbeing of government servants engaged in environmental protection through
basic psychological needs satisfaction, especially in high power distance.
PMID- 29662903
TI - The Effect of an Authentic Acute Physical Education Session of Dance on
Elementary Students' Selective Attention.
AB - There have been calls to test the potential benefits of different forms of
physical activity (PA) to executive function, particularly in authentic settings.
Hence, the purpose of this study was to investigate the effect of an acute dance
session within an existing physical education class on students' selective
attention. The study employed a pre/posttest quasi-experimental design with a
comparison group in one Aotearoa, New Zealand, primary school. Participants were
192 students (comparison group = 104 students) in Years 5 and 6. The intervention
group participated in a dance-based physical education lesson while the
comparison group continued their regular classroom work. PA during the physical
education lesson was monitored using accelerometers. Selective attention was
assessed at pretest and after the comparison/physical education sessions with the
d2 Test of Attention. 2 * 2 ANOVA results suggested a significant time effect for
all three measures, no significant group effects for any measures, and
significant time by group interactions for TN and CP but not for E%. The
intervention group improved significantly more than the comparison group for TN
and CP. This study's findings suggest that existing school opportunities focused
on cognitively engaging PA, such as dance, can improve aspects of students'
selective attention.
PMID- 29662902
TI - Isolation of Extracellular Vesicles: General Methodologies and Latest Trends.
AB - Background: Extracellular vesicles (EVs) play an essential role in the
communication between cells and transport of diagnostically significant
molecules. A wide diversity of approaches utilizing different biochemical
properties of EVs and a lack of accepted protocols make data interpretation very
challenging. Scope of Review: This review consolidates the data on the classical
and state-of-the-art methods for isolation of EVs, including exosomes,
highlighting the advantages and disadvantages of each method. Various
characteristics of individual methods, including isolation efficiency, EV yield,
properties of isolated EVs, and labor consumption are compared. Major
Conclusions: A mixed population of vesicles is obtained in most studies of EVs
for all used isolation methods. The properties of an analyzed sample should be
taken into account when planning an experiment aimed at studying and using these
vesicles. The problem of adequate EVs isolation methods still remains; it might
not be possible to develop a universal EV isolation method but the available
protocols can be used towards solving particular types of problems. General
Significance: With the wide use of EVs for diagnosis and therapy of various
diseases the evaluation of existing methods for EV isolation is one of the key
problems in modern biology and medicine.
PMID- 29662904
TI - Identification of Differentially Expressed Genes in Porcine Ovaries at Proestrus
and Estrus Stages Using RNA-Seq Technique.
AB - Estrus is an important factor for the fecundity of sows, and it is involved in
ovulation and hormone secretion in ovaries. To better understand the molecular
mechanisms of porcine estrus, the expression patterns of ovarian mRNA at
proestrus and estrus stages were analyzed using RNA sequencing technology. A
total of 2,167 differentially expressed genes (DEGs) were identified (P <= 0.05,
|log2 Ratio| >= 1), of which 784 were upregulated and 1,383 were downregulated
in the estrus compared with the proestrus group. Gene Ontology (GO) enrichment
indicated that these DEGs were mainly involved in the cellular process, single
organism process, cell and cell part, and binding and metabolic process. In
addition, a pathway analysis showed that these DEGs were significantly enriched
in 33 Kyoto Encyclopedia of Genes and Genomes (KEGG) pathways, including cell
adhesion molecules, ECM-receptor interaction, and cytokine-cytokine receptor
interaction. Quantitative real-time reverse transcription polymerase chain
reaction (qRT-PCR) confirmed the differential expression of 10 selected DEGs.
Many of the novel candidate genes identified in this study will be valuable for
understanding the molecular mechanisms of the sow estrous cycle.
PMID- 29662905
TI - Systemic Infection of Nicotiana benthamiana with Potato virus X Nanoparticles
Presenting a Fluorescent iLOV Polypeptide Fused Directly to the Coat Protein.
AB - Plant virus-based nanoparticles can be produced in plants on a large scale and
are easily modified to introduce new functions, making them suitable for
applications such as vaccination and drug delivery, tissue engineering, and in
vivo imaging. The latter is often achieved using green fluorescent protein and
its derivatives, but the monovalent fluorescent protein iLOV is smaller and more
robust. Here, we fused the iLOV polypeptide to the N-terminus of the Potato virus
X (PVX) coat protein, directly or via the Foot-and-mouth disease virus 2A
sequence, for expression in Nicotiana benthamiana. Direct fusion of the iLOV
polypeptide did not prevent the assembly or systemic spread of the virus and we
verified the presence of fusion proteins and iLOV hybrid virus particles in leaf
extracts. Compared to wild-type PVX virions, the PVX particles displaying the
iLOV peptide showed an atypical, intertwined morphology. Our results confirm that
a direct fusion of the iLOV fluorescent protein to filamentous PVX nanoparticles
offers a promising tool for imaging applications.
PMID- 29662906
TI - Quantitative Relationships between Pulmonary Function and Residual Neuromuscular
Blockade.
AB - Background: Neuromuscular blockade is a risk factor for postoperative respiratory
weakness during the immediate postoperative period. The quantitative
relationships between postoperative pulmonary-function impairment and residual
neuromuscular blockade are unknown. Methods: 113 patients who underwent elective
laparoscopic cholecystectomy were enrolled in this study. They all had a
pulmonary-function test (PFT) during the preoperative evaluation. Predictive
values based on demographic data were also recorded. The train-of-four ratio
(TOFR) was recorded at the same time as the PFT and at every 5 minutes in the
qualified 98 patients in the postanesthesia care unit (PACU). We analyzed the
degree of PFT recovery when the TOFR had recovered to different degrees. Results:
There was a significant difference (P < 0.05) between the preoperative baseline
value and the postoperative forced vital capacity at each TOFR point, except at a
TOFR value of 1.1. There was also a significant difference (P < 0.05) between the
preoperative baseline value and the postoperative peak expiratory flow at each
TOFR point. Conclusions: Postoperative residual neuromuscular blockade was common
(75.51%) after tracheal extubation, and pulmonary function could not recover to
an acceptable level (85% of baseline value), even if TOFR had recovered to 0.90.
Trial Registration: Chinese Clinical Trial Register is ChiCTR-OOC-15005838.
PMID- 29662907
TI - Demystifying MR Neurography of the Lumbosacral Plexus: From Protocols to
Pathologies.
AB - Magnetic resonance neurography is a high-resolution imaging technique that allows
evaluating different neurological pathologies in correlation to clinical and the
electrophysiological data. The aim of this article is to present a review on the
anatomy of the lumbosacral plexus nerves, along with imaging protocols,
interpretation pitfalls, and most common pathologies that should be recognized by
the radiologist: traumatic, iatrogenic, entrapment, tumoral, infectious, and
inflammatory conditions. An extensive series of clinical and imaging cases is
presented to illustrate key-points throughout the article.
PMID- 29662908
TI - Portable Brain-Computer Interface for the Intensive Care Unit Patient
Communication Using Subject-Dependent SSVEP Identification.
AB - A major predicament for Intensive Care Unit (ICU) patients is inconsistent and
ineffective communication means. Patients rated most communication sessions as
difficult and unsuccessful. This, in turn, can cause distress, unrecognized pain,
anxiety, and fear. As such, we designed a portable BCI system for ICU
communications (BCI4ICU) optimized to operate effectively in an ICU environment.
The system utilizes a wearable EEG cap coupled with an Android app designed on a
mobile device that serves as visual stimuli and data processing module.
Furthermore, to overcome the challenges that BCI systems face today in real-world
scenarios, we propose a novel subject-specific Gaussian Mixture Model- (GMM-)
based training and adaptation algorithm. First, we incorporate subject-specific
information in the training phase of the SSVEP identification model using GMM
based training and adaptation. We evaluate subject-specific models against other
subjects. Subsequently, from the GMM discriminative scores, we generate the
transformed vectors, which are passed to our predictive model. Finally, the
adapted mixture mean scores of the subject-specific GMMs are utilized to generate
the high-dimensional supervectors. Our experimental results demonstrate that the
proposed system achieved 98.7% average identification accuracy, which is
promising in order to provide effective and consistent communication for patients
in the intensive care.
PMID- 29662909
TI - Development and Validation of a Short Version of the Anterior Cruciate Ligament
Return to Sport After Injury (ACL-RSI) Scale.
AB - Background: The Anterior Cruciate Ligament Return to Sport After Injury (ACL-RSI)
scale was developed to measure an athlete's psychological readiness to return to
sport after anterior cruciate ligament (ACL) injury and reconstruction surgery.
The scale is being used with increasing frequency in both research and clinical
settings. Purpose: To generate and validate a short version of the ACL-RSI scale.
Study Design: Cohort study (diagnosis); Level of evidence, 2. Methods: The ACL
RSI scale was administered to 535 patients who had undergone ACL reconstruction
surgery. Reliability (Cronbach alpha) was determined and factor analysis of the
full scale was undertaken along with a process of item selection and elimination.
A second group of 250 patients participated in a predictive validation analysis.
This group completed the ACL-RSI scale at 6 months and reported return-to-sport
outcomes 12 months following ACL reconstruction surgery. The predictive validity
of both scales (full and short versions) was assessed by use of receiver
operating characteristic (ROC) curve statistics. Results: The scale was found to
have high internal consistency (Cronbach alpha, 0.96), which suggested that item
redundancy was present. After an item selection process, the scale was reduced to
a 6-item format. Cronbach alpha for the short version was 0.92, and factor
analysis confirmed the presence of 1 factor accounting for 71% of the total
variance. Scores for the short version were significantly different between
patients who had and those who had not returned to sport. Six-month ACL-RSI
scores for both the full and short versions had fair to good predictive ability
for 12-month return-to-sport outcomes (full version: area under ROC curve, 0.77
[95% CI, 0.7-0.8]; short version: area under ROC curve, 0.75 [95% CI, 0.7-0.8]).
Conclusion: A 6-item short version of the ACL-RSI scale was developed from a
large cohort of patients undergoing ACL reconstruction. The short version appears
to be as robust as the full version for discriminating between and predicting
return-to-sport outcomes. The short version of the ACL-RSI may be of use in busy
clinical settings to help identify athletes who may find return to sport
challenging.
PMID- 29662910
TI - Outcomes and Return to Sport After Revision Anterior Cruciate Ligament
Reconstruction in Adolescent Athletes.
AB - Background: The number of adolescent anterior cruciate ligament (ACL) injuries is
rising with increased participation in higher level athletics at earlier ages.
With an increasing number of primary ACL reconstructions (ACLRs) comes a rise in
the incidence of revision ACLRs. Purpose: To evaluate the clinical results of
revision ACLR across a group of high-level adolescent athletes with at least 2
year follow-up. Study Design: Case series; Level of evidence, 4. Methods: A
retrospective review of 21 adolescent athletes (age range, 10-19 years) who
underwent revision ACLR with at least 2-year follow-up was conducted. Patient
reported outcome measures (PROMs) included the International Knee Documentation
Committee (IKDC) Subjective Knee Evaluation Form, Lysholm knee scoring scale,
Tegner activity level scale, and modified Cincinnati Knee Rating System. Return
to sport (RTS) and overall patient satisfaction were also assessed. Results: The
mean age at the time of surgery was 16.5 years (range, 14-19 years), and the mean
follow-up was 46.4 months (range, 24-97 months); 42.9% of patients were female,
and 52.4% of patients participated in collision sports. The mean time to failure
after primary ACLR was 13.1 +/- 8.0 months, and the most common mechanism of
failure was noncontact in at least 66.7% of cases. The revision graft type
included bone-patellar tendon-bone (BPTB) in 71.4% of cases; 26.7% of BPTB grafts
were from the contralateral extremity. Concomitant procedures were performed for
intra-articular lesions in 71.4% of patients. The mean patient satisfaction rate
was 95.3%. There were 3 cases of a graft reinjury at a mean of 25 months
postoperatively. The mean PROM scores were as follows: IKDC, 87.5 +/- 12.7;
Tegner, 7.2 +/- 2.0; Lysholm, 93.7 +/- 9.8; and Cincinnati, 93.4 +/- 10.0. Of
those attempting to RTS, 68.4% of patients successfully returned at the same
level of competition. Patients with a lateral compartment chondral injury were
less likely to RTS (P < .05). Independent variables shown to have no significant
relationship to PROMs or RTS included age, follow-up, sport classification,
associated meniscal tears, revision graft size/type, and concomitant procedures.
Conclusion: Revision ACLR can be an effective surgical option in adolescents
participating in collision and contact sports, with good to excellent subjective
outcome scores. At a minimum 2-year follow-up, a graft rupture after revision
ACLR occurred in 14% of cases. Of the athletes attempting to RTS, 68.4% returned
to their preinjury level of competition.
PMID- 29662911
TI - Unaccounted Workload Factor: Game-Day Pitch Counts in High School Baseball
Pitchers-An Observational Study.
AB - Background: Throwing injuries are common in high school baseball. Known risk
factors include excessive pitch counts, year-round pitching, and pitching with
arm pain and fatigue. Despite the evidence, the prevalence of pitching injuries
among high school players has not decreased. One possibility to explain this
pattern is that players accumulate unaccounted pitch volume during warm-up and
bullpen activity, but this has not yet been examined. Hypotheses: Our primary
hypothesis was that approximately 30% to 40% of pitches thrown off a mound by
high school pitchers during a game-day outing are unaccounted for in current data
but will be revealed when bullpen sessions and warm-up pitches are included. Our
secondary hypothesis was that there is wide variability among players in the
number of bullpen pitches thrown per outing. Study Design: Cross-sectional study;
Level of evidence, 3. Methods: Researchers counted all pitches thrown off a mound
during varsity high school baseball games played by 34 high schools in North
Central Florida during the 2017 season. Results: We recorded 13,769 total pitches
during 115 varsity high school baseball starting pitcher outings. The mean +/- SD
pitch numbers per game were calculated for bullpen activity (27.2 +/- 9.4), warm
up (23.6 +/-8.0), live games (68.9 +/-19.7), and total pitches per game (119.7 +/
27.8). Thus, 42.4% of the pitches performed were not accounted for in the pitch
count monitoring of these players. The number of bullpen pitches thrown varied
widely among players, with 25% of participants in our data set throwing fewer
than 22 pitches and 25% throwing more than 33 pitches per outing. Conclusion: In
high school baseball players, pitch count monitoring does not account for the
substantial volume of pitching that occurs during warm-up and bullpen activity
during the playing season. These extra pitches should be closely monitored to
help mitigate the risk of overuse injury.
PMID- 29662912
TI - Relationship Between Quantitative MRI Biomarkers and Patient-Reported Outcome
Measures After Cartilage Repair Surgery: A Systematic Review.
AB - Background: Treatment of articular cartilage injuries remains a clinical
challenge, and the optimal tools to monitor and predict clinical outcomes are
unclear. Quantitative magnetic resonance imaging (qMRI) allows for a noninvasive
biochemical evaluation of cartilage and may offer advantages in monitoring
outcomes after cartilage repair surgery. Hypothesis: qMRI sequences will
correlate with early pain and functional measures. Study Design: Systematic
review; Level of evidence, 3. Methods: A PubMed search was performed with the
following search terms: knee AND (cartilage repair OR cartilage restoration OR
cartilage surgery) AND (delayed gadolinium-enhanced MRI OR t1-rho OR T2 mapping
OR dgemric OR sodium imaging OR quantitative imaging). Studies were included if
correlation data were included on quantitative imaging results and patient
outcome scores. Results: Fourteen articles were included in the analysis. Eight
studies showed a significant relationship between quantitative cartilage imaging
and patient outcome scores, while 6 showed no relationship. T2 mapping was
examined in 11 studies, delayed gadolinium-enhanced MRI of cartilage (dGEMRIC) in
4 studies, sodium imaging in 2 studies, glycosaminoglycan chemical exchange
saturation transfer (gagCEST) in 1 study, and diffusion-weighted imaging in 1
study. Five studies on T2 mapping showed a correlation between T2 relaxation
times and clinical outcome scores. Two dGEMRIC studies found a correlation
between T1 relaxation times and clinical outcome scores. Conclusion: Multiple
studies on T2 mapping, dGEMRIC, and diffusion-weighted imaging showed significant
correlations with patient-reported outcome measures after cartilage repair
surgery, although other studies showed no significant relationship. qMRI
sequences may offer a noninvasive method to monitor cartilage repair tissue in a
clinically meaningful way, but further refinements in imaging protocols and
clinical interpretation are necessary to improve utility.
PMID- 29662913
TI - High Rate of Missed Lateral Meniscus Posterior Root Tears on Preoperative
Magnetic Resonance Imaging.
AB - Background: Lateral meniscus posterior root tears (LMPRTs), if left untreated,
can cause devastating effects to the knee, with rapid articular cartilage
degeneration and loss of the meniscus as a secondary stabilizer. Detection and
surgical repair of these defects have been linked to favorable outcomes, but
preoperative identification of LMPRTs continues to be challenging. Purpose: To
determine the rate of LMPRTs diagnosed preoperatively on magnetic resonance
imaging (MRI) in a consecutive series of arthroscopically confirmed LMPRTs. Study
Design: Case series; Level of evidence, 4. Methods: A retrospective cohort of 45
consecutive patients with arthroscopically confirmed LMPRTs between 2010 and 2017
were included in this study. The preoperative MRI report for each patient was
evaluated and compared with intraoperative findings. Each preoperative MRI study
was then reviewed by 2 fellowship-trained musculoskeletal radiologists who worked
in consensus. Results: A total of 45 patients (32 males, 13 females) with
arthroscopically confirmed LMPRTs and a mean age of 27 years (range, 14-54 years)
were included in the study. Only 15 of 45 LMPRTs (33%) were initially diagnosed
on preoperative MRI. Past or concurrent anterior cruciate ligament (ACL)
reconstruction was present in 37 of 45 cases (82%). Upon retrospective review, 15
of the 30 missed LMPRTs were "clearly evident," 12 "subtly evident," and 3
"occult" (unavoidably missed). There were no significant differences in the rate
of LMPRT diagnosis based on history of prior knee surgery, meniscus extrusion, or
tearing of the meniscofemoral ligament. Conclusion: Despite improved
identification of other meniscus tear patterns on MRI, a high percentage of
LMPRTs were still missed. In the setting of previous ACL reconstruction, if the
root cannot be confidently identified, the MRI interpretation should indicate
that "the root is poorly visualized" to alert the surgeon to thoroughly evaluate
this structure. The surgeon should maintain a high index of suspicion and
carefully probe the posterior root of the lateral meniscus at the time of
arthroscopy, especially in cases of ACL injury.
PMID- 29662914
TI - Ligament Strain Response Between Lower Extremity Contralateral Pairs During In
Vitro Landing Simulation.
AB - Background: Limb asymmetries, as determined through in vivo biomechanical
measures, are known risk factors for anterior cruciate ligament (ACL) injury.
Previous cadaveric studies have shown a lack of significant differences in
ligament strain between contralateral lower extremities when identical kinematics
were simulated on specimens. Recent methodological developments have applied in
vivo knee kinetics to exert landing forces on cadaveric lower extremities to
mimic ACL injury events, but it is unknown whether contralateral limbs fail in a
consistent manner during impact simulator testing. Hypothesis: It was
hypothesized that contralateral lower extremities would not exhibit side-to-side
differences in ligament strains. Furthermore, it was hypothesized that failure
loads and failure locations would be independent of limb dominance. Study Design:
Controlled laboratory study. Methods: Fourteen pairs of cadaveric lower
extremities were obtained from an anatomic donations program (8 female, 6 male;
mean +/- SD: age, 41.7 +/- 8.1 years; mass, 86.8 +/- 27.0 kg; body mass index,
29.4 +/- 9.0 kg/m2). A mechanical impact simulator was used to re-create the
impulse ground-reaction force generated during an in vivo landing task. Ligament
strains were recorded by differential variable force transducers implanted on the
ACL and medial collateral ligament (MCL). Results: No significant differences
were observed in peak ACL or peak MCL strain for 5 loading conditions. Fisher
exact tests of independence revealed that limb dominance was independent of both
load at failure and failure location. Conclusion: There were no significant
differences in ACL and MCL strain values between limb sides during in vitro
impact simulation testing. This finding indicates that limb dominance does not
influence the failure threshold of the ACL, since there was no significant
difference in failure strains. The functional mechanics of the ACL are comparable
between contralateral pairs from the same healthy specimen. Clinical Relevance:
Injury mechanisms and intra-articular mechanics cannot be ethically studied in an
in vivo setting. The current study provides additional insight into limb
asymmetry that is observed among athletes in clinical sports medicine settings.
PMID- 29662915
TI - Patient Perspectives of Midlevel Providers in Orthopaedic Sports Medicine.
AB - Background: Midlevel providers (eg, nurse practitioners and physician assistants)
have been integrated into orthopaedic systems of care in response to the
increasing demand for musculoskeletal care. Few studies have examined patient
perspectives toward midlevel providers in orthopaedic sports medicine. Purpose:
To identify perspectives of orthopaedic sports medicine patients regarding
midlevel providers, including optimal scope of practice, reimbursement equity
with physicians, and importance of the physician's midlevel provider to patients
when initially selecting a physician. Study Design: Cross-sectional study; Level
of evidence, 3. Methods: A total of 690 consecutive new patients of 3 orthopaedic
sports medicine physicians were prospectively administered an anonymous
questionnaire prior to their first visit. Content included patient perspectives
regarding midlevel provider importance in physician selection, optimal scope of
practice, and reimbursement equity with physicians. Results: Of the 690
consecutive patients who were administered the survey, 605 (87.7%) responded. Of
these, 51.9% were men and 48.1% were women, with a mean age of 40.5 +/- 15.7
years. More than half (51.2%) perceived no differences in training levels between
physician assistants and nurse practitioners. A majority of patients (62.9%)
reported that the physician's midlevel provider is an important consideration
when choosing a new orthopaedic sports medicine physician. Patients had specific
preferences regarding which services should be physician provided. Patients also
reported specific preferences regarding those services that could be midlevel
provided. There lacked a consensus on reimbursement equity for midlevel
practitioners and physicians, despite 71.7% of patients responding that the
physician provides a higher-quality consultation. Conclusion: As health care
becomes value driven and consumer-centric, understanding patient perspectives on
midlevel providers will allow orthopaedic sports medicine physicians to optimize
efficiency and patient satisfaction. Physicians may consider these data in
clinical workforce planning, as patients preferred specific services to be
physician or midlevel provided. It may be worthwhile to consider midlevel
providers in marketing efforts, given that patients considered the credentials of
the physician's midlevel provider when initially selecting a new physician.
Patients lacked consensus regarding reimbursement equity between physicians and
midlevel providers, despite responding that the physician provides a higher
quality consultation. Our findings are important for understanding the midlevel
workforce as it continues to grow in response to the increasing demand for
orthopaedic sports care.
PMID- 29662916
TI - Intracranial Hemorrhage and Autoimmune Thrombocytopenia in a Neonate: A Rare
"Unpredictable" Event.
AB - Neonatal thrombocytopenia is a rare complication of maternal autoimmune
thrombocytopenia, and no maternal predictors of its gravity and potential
complications have been identified. Neonatal cerebral hemorrhage, a feared event
in the setting of autoimmune thrombocytopenia, is fortunately uncommon, but it
can occur in utero or in the perinatal period, with potentially serious
consequences. The authors report the case of a boy born to a mother affected by
autoimmune thrombocytopenia, who presented with severe thrombocytopenia at birth
and developed intracranial hemorrhage despite mild maternal thrombocytopenia at
delivery and a prompt preventive treatment of the newborn. Platelet count should
be tested at birth in all babies born from mothers with autoimmune
thrombocytopenia, irrespective of maternal platelets counts during pregnancy or
at delivery, and should be closely monitored during the first days of life.
Systematic early and serial cranial ultrasound might be advocated in the setting
of neonatal thrombocytopenia.
PMID- 29662917
TI - Special Section Guest Editorial: Functional Near Infrared Spectroscopy, Part 3.
AB - A guest editorial to summarize the Special Section on Functional Near Infrared
Spectroscopy.
PMID- 29662918
TI - GIFTed Demons: deformable image registration with local structure-preserving
regularization using supervoxels for liver applications.
AB - Deformable image registration, a key component of motion correction in medical
imaging, needs to be efficient and provides plausible spatial transformations
that reliably approximate biological aspects of complex human organ motion.
Standard approaches, such as Demons registration, mostly use Gaussian
regularization for organ motion, which, though computationally efficient, rule
out their application to intrinsically more complex organ motions, such as
sliding interfaces. We propose regularization of motion based on supervoxels,
which provides an integrated discontinuity preserving prior for motions, such as
sliding. More precisely, we replace Gaussian smoothing by fast, structure
preserving, guided filtering to provide efficient, locally adaptive
regularization of the estimated displacement field. We illustrate the approach by
applying it to estimate sliding motions at lung and liver interfaces on
challenging four-dimensional computed tomography (CT) and dynamic contrast
enhanced magnetic resonance imaging datasets. The results show that guided filter
based regularization improves the accuracy of lung and liver motion correction as
compared to Gaussian smoothing. Furthermore, our framework achieves state-of-the
art results on a publicly available CT liver dataset.
PMID- 29662919
TI - Development and clinical validation of a hybrid method for semiautomated left
ventricle endocardial and epicardial boundary extraction on cine-magnetic
resonance images.
AB - We describe a hybrid method for left ventricle (LV) endocardial and epicardial
segmentation on cardiac magnetic resonance (CMR) images requiring minimal
operator intervention. Endocardium extraction results from the union of three
independent estimations based on adaptive thresholding, region growing, and
active contour with Chan-Vese energy function. Epicardium segmentation relies on
conditional morphological dilation of the endocardial mask followed by active
contour optimization. The proposed method was first evaluated using an open
access database of 18 CMR for which expert manual contouring was available. The
method was further validated on a retrospective cohort of 29 patients, who
underwent CMR with expert manual segmentation. Regarding the open access
database, similarity (Dice index) between hybrid and expert segmentations was
good for end-diastolic (ED) endocardium (0.92), end-systolic (ES) endocardium
(0.88), and ED epicardium (0.92). As for derived LV parameters, concordance
(Lin's coefficient) was good for ED volume (0.91), ES volume (0.93), ejection
fraction (EF; 0.89), and fair for myocardial mass (MM; 0.74). Regarding the
retrospective patient study, concordance between expert and hybrid estimations
was excellent for ED volume (0.95), ES volume (0.96), good for EF (0.86), and
fair for MM (0.71). Hybrid segmentation resulted in small biases ([Formula: see
text] for ED volume, [Formula: see text] for ES volume, [Formula: see text] for
EF, and [Formula: see text] for MM) with little clinical relevance and acceptable
for routine practice. The quickness and robustness of the proposed hybrid method
and its ability to provide LV volumes, functions, and masses highly concordant
with those given by expert segmentation support its pertinence for routine
clinical use.
PMID- 29662920
TI - Assessing CT acquisition parameters with visual-search model observers.
AB - A principal difference between the channelized Hotelling (CH) and visual-search
(VS) model observers is how they respond to noise texture in images. We compared
the two observers in lesion-detection studies to evaluate linear and angular
sampling parameters for CT. Simulated lung images were generated from a single
two-dimensional mathematical torso phantom containing circular lesions of fixed
radius and relative contrast. Projection datasets were produced for two detector
pixel sizes and from 15 to 128 projections at 15 and 65 M counts per set.
Filtered backprojection reconstructions were obtained with dimensions of
[Formula: see text] and [Formula: see text]. A localization receiver operating
characteristic study was conducted with two human observers, three single-feature
VS observers, and a feature-adaptive VS observer. The effects of the sampling
parameters on performance were similar for all of these observers. The CH
observer, applied in location-known studies with and without background
variability, was not affected by the variations in angular sampling. The two
stage VS framework was an effective modification of the CH observer for assessing
the effects of noise texture on human-observer performance in this study.
PMID- 29662922
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1177/2333393616682549.].
PMID- 29662921
TI - Progression of MDS-UPDRS Scores Over Five Years in De Novo Parkinson Disease from
the Parkinson's Progression Markers Initiative Cohort.
AB - Background: The Movement Disorder Society Unified Parkinson Disease Rating Scale
(MDS-UDPRS) is a commonly used tool to measure Parkinson disease (PD)
progression. Longitudinal changes in MDS-UPDRS scores in de novo PD have not been
established. Objective: Determine progression rates of MDS-UPDRS scores in de
novo PD. Methods: 362 participants from the Parkinson's Progression Markers
Initiative, a multicenter longitudinal cohort study of de novo PD, were included.
Longitudinal progression of MDS-UPDRS total and subscale scores were modeled
using mixed model regression. Results: MDS-UPDRS scores increased in a linear
fashion over five years in de novo PD. MDS-UPDRS total score increased an
estimated 4.0 points/year, Part I 0.25 points/year, Part II 1.0 points/year, and
Part III 2.4 points/year. Conclusions: The expected average progression of MDS
UPDRS scores in de novo PD from this study can assist in clinical monitoring and
provide comparative data for detection of disease modification in treatment
trials.
PMID- 29662924
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1177/2333721416644286.].
PMID- 29662923
TI - Effects of Electrical Stimulation on Skeletal Muscle of Old Sedentary People.
AB - Physical activity plays an important role in preventing muscle atrophy and
chronic diseases in adults and in the elderly. Calcium (Ca2+) cycling and
activation of specific molecular pathways are essential in contraction-induced
muscle adaptation. This study attains human muscle sections and total homogenates
prepared from biopsies obtained before (control) and after 9 weeks of training by
electrical stimulation (ES) on a group of volunteers. The aim of the study was to
investigate about the molecular mechanisms that support functional muscle
improvement by ES. Evidences of kinase/phosphatase pathways activation after ES
were obtained. Moreover, expression of Sarcalumenin, Calsequestrin and
sarco/endoplasmic reticulum Ca2+-ATPase (Serca) isoforms was regulated by
training. In conclusion, this work shows that neuromuscular ES applied to vastus
lateralis muscle of sedentary seniors combines fiber remodeling with activation
of Ca2+-Calmodulin molecular pathways and modulation of key Ca2+-handling
proteins.
PMID- 29662925
TI - Factors Associated With Improvements in Mortality and Morbidity Rates of Very-Low
Birth-Weight Infants: A Cohort Study.
AB - Objective. The objective of this study was to determine factors contributing to
improvements in infant mortality rates (IMR) and composite morbidity-mortality in
very-low-birth-weight (VLBW) infants after initiating a new perinatal program in
2009 at Regional One Health (ROH). VLBW infants account for 67% of infant deaths.
Design. This is a pre-/postintervention cohort study of prospectively gathered
data. Population. VLBW infants delivered at ROH during the 2004 to 2015 study
period. Setting. ROH is a Regional Perinatal Center affiliated with the
University of Tennessee Health Science Center. Methods. We studied 2364
consecutive VLBW infants. Multivariate models were applied to determine factors
contributing significantly to the reduction in the outcome measures as well as
trends over time. Main Outcome Measures. Primary outcomes were IMR and composite
morbidity-mortality rates. Standardized, risk-adjusted mortality and composite
morbidity ratios were also reported as defined by the Vermont Oxford Network.
Results. Mortality declined from 15.5% in Pre-Implementation to 13.1% in Post
Implementation (P = .093), corresponding to an 18% reduction in odds. The
combined factors of composite morbidity-mortality rate decreased from 55.7% in
Pre-Implementation to 43.9% in Post-Implementation (P < .0001), representing a
38% reduction in odds. Standardized, risk-adjusted mortality and composite
morbidity ratios improved during the study period from 20% above to 20% below the
expected rate. Increases in the administration of antenatal steroids, surfactant
administration, cesarean delivery, and perhaps other programmatic changes that
were observational and unaccounted in the model were associated with improvements
in outcome measures. Conclusions. Decreased mortality and composite morbidity
mortality in VLBW infants delivered at ROH were found following the initiation of
a new perinatal program.
PMID- 29662926
TI - Colorectal Cancer Awareness: The Gastroenterology Resolution for the Future.
PMID- 29662927
TI - Biliary Atresia - Too Few, Too Many Centers.
PMID- 29662928
TI - A Step Forward in the Understanding of Structural and Functional Bowel Damage in
Patients with Ulcerative Colitis.
PMID- 29662929
TI - Colorectal Cancer Screening: What Is the Population's Opinion.
AB - Background: Colorectal cancer (CRC) is the first cause of death by cancer in
Portugal and mortality has been increasing in the last 30 years. Materials and
Methods: During a raising awareness campaign performed by our Gastroenterology
Department, in Setubal, Portugal, an anonymous written questionnaire was
developed and presented in order to evaluate the population's knowledge and
attitude regarding CRC screening. Results: The following results were reported:
140 persons; mean age 54.6 years; 61.4% women; 22.1% had a family history of
colorectal cancer. The main risk factors mentioned by the respondents were family
history of CRC, previous history of intestinal polyps, and intestinal infection.
Screening was considered useful by all respondents. About 60% of the respondents
had been counselled, at least, on one screening technique, mainly by their
general practitioner: colonoscopy in 31, fecal occult blood test in 44, and
flexible sigmoidoscopy in 9. Most of the respondents had had the appropriate
screening test, according to their age and family history. Fourteen of the
respondents had not undergone the recommended screening. Their answers showed
that this was due to fear of pain/discovering a disease as well as embarrassment.
Conclusion: Although the majority of the respondents were aware of the importance
of CRC screening, results show that there are still several misconceptions about
risk factors, fear, and reluctance concerning the screening techniques. Awareness
actions are useful to clarify possible questions and inform the population in
order to increase compliance with screening.
PMID- 29662930
TI - Biliary Atresia - Clinical Series.
AB - Introduction: Biliary atresia is the main cause of death by hepatic failure and
the main indication for liver transplant in children. This study aims to analyze
the population with this diagnosis, treated between 2000 and 2015 at Hospital de
Sao Joao. Material and Methods: Descriptive, observational, and retrospective
study, including the patients with biliary atresia, diagnosed and treated between
January 1, 2000 and December 31, 2015. We analyzed epidemiologic, clinical,
biochemical, and image data, as well as registered complications and present
status. Results: Eighteen patients were evaluated. The median age at time of
Kasai portoenterostomy was 63 days of life, with better prognosis for those
patients who had surgery before 72 days. The procedure was successful in 2/3 of
cases. There was a significant association between recurrent cholangitis and
survival. Five cases of transplant and 2 deaths, one of them after transplant,
were registered. Survival with native liver was 77.8%, 72.2%, and 64.2% at 1, 5,
and 10 years of follow-up, respectively. Discussion: The presentation and
evolution of patients was similar to other studies. However, there was a higher
surgical success and survival rates at 5 and 10 years of follow-up than most
series. Age at surgery and recurrence of cholangitis were the only factors
significantly related to prognosis. Conclusion: In spite of the low number of
patients (1,125/year), our results were similar to those of other reference
centers.
PMID- 29662931
TI - Ulcerative Colitis: Are We Neglecting Its Progressive Character.
AB - Introduction: Ulcerative colitis (UC) is a chronic disease but its progressive
character, with structural damage, is insufficiently studied. Objectives: To
analyze a group of patients without referral bias, regarding its clinical course,
the morphological damage, and functional status. Methods: We evaluated UC
patients diagnosed between January 1, 2000 and December 31, 2004, living in the
direct referral area of the hospital and determined the medication use, colectomy
rate, structural damage ("lead pipe," stenosis, pseudopolyps, fibrous bridges),
and anorectal function (prospective evaluation with the Cleveland Clinic
Incontinence Score [CCIS] and the Fecal Incontinence Quality of Life Scale).
Results: We identified 104 patients, 47% female, with a mean age at diagnosis of
38 +/- 17 years, 24% with proctitis, 57% with left colitis, and 19% with
pancolitis. In 3 patients, it was not possible to obtain follow-up data. Of the
studied patients, 56% needed corticosteroid therapy, 38% immunosuppressants, and
16% anti-tumor necrosis factors (anti-TNFs). After a mean follow-up of 13 +/- 2
years, we found structural damage in 25 patients (24%): 5% with proctocolectomy,
15% with "lead pipe," 16% with pseudopolyps, and 3% with stenosis and fibrous
bridges. Reference to functional anorectal disorders was identified in 49%,
mostly previous and self-limited episodes of incontinence, but including
persistent incontinence in 10% (CCIS 8 +/- 4.8). There was an increased incidence
of structural damage and anorectal dysfunction in patients who needed
corticosteroid therapy (p = 0.001), immunosuppressants (p < 0.001), and anti-TNFs
(p = 0.002) and an association of structural damage with anorectal dysfunction (p
< 0.001). There was no association between age and anorectal dysfunction,
including incontinence episodes. Conclusions: UC is a disease with structural and
functional consequences in a significant subset of patients. This should be
incorporated when defining the therapeutic strategy.
PMID- 29662932
TI - Combined Extensive Esophageal Squamous Papillomas and Florid Cardiac Gland
Hyperplasia in a Patient with Adenocarcinoma.
AB - We report a rare case of extensive esophageal squamous papillomas (ESPs)
involving the entire esophagus and florid cardiac gland hyperplasia involving
only the lower esophagus in a 39-year-old woman with heartburn and epigastric
distress for the past 2 years. Previous esophagogastroduodenoscopy showed
multiple ESPs involving the entire esophagus extending 38 cm from the esophageal
orifice to the esophagogastric junction (EGJ). Additionally, prominent cardiac
gland hyperplasia over the esophageal posterior wall was exhibited extending 12
cm from the mid-esophagus to the EGJ. A biopsy obtained from the ESP area showed
typical squamous papillomas and cardiac gland hyperplasia with no evidence of
koilocytosis or malignancy. Polymerase chain reaction was negative for a variety
of human papilloma virus DNAs. Subsequently, Siewert type II gastric cancer with
submucosal elevation of the stomach was detected at the EGJ. Endoscopy showed a
20-mm-thick lesion appearing to extend to the muscularis propria; subsequent
biopsy showed invasive adenocarcinoma. Total gastrectomy with D2 lymph node
dissection, splenectomy, and Roux-en-Y reconstruction were performed for the EGJ
cancer. The patient died from widespread multiorgan metastasis within 2 years
following surgery.
PMID- 29662933
TI - Endometriosis: A Rare Cause of Large Bowel Obstruction.
AB - Large bowel obstruction can result in significant morbidity and mortality,
especially in cases of acute complete obstruction. There are many possible
causes, the most common in adults being colorectal cancer. Endometriosis is a
benign disease, and the most affected extragenital location is the bowel,
especially the rectosigmoid junction. However, transmural involvement and acute
occlusion are very rare events. We report an exceptional case of acute large
bowel obstruction as the initial presentation of endometriosis. The differential
diagnosis of colorectal carcinoma may be challenging, and this case emphasizes
the need to consider intestinal endometriosis in females at a fertile age
presenting with gastrointestinal symptoms and an intestinal mass causing complete
large bowel obstruction.
PMID- 29662934
TI - Bevacizumab for Refractory Gastrointestinal Bleeding in Rendu-Osler-Weber
Disease.
AB - Rendu-Osler-Weber disease, also known as hereditary hemorrhagic telangiectasia,
is a rare autosomal dominant disorder which is often characterized by recurrent
epistaxis, mucocutaneous and gastrointestinal telangiectasias, and visceral
arteriovenous malformations. Patients with gastrointestinal involvement can
present with a wide spectrum of severity, which may vary from uncomplicated iron
deficiency anemia to continuous and refractory bleeding. We present the case of a
62-year-old female, who was admitted with anemia following several episodes of
melena, and whose endoscopic examination revealed multiple angiodysplasias in the
stomach and small bowel. Despite endoscopic and medical treatment attempts with
hormonal agents and octreotide, she developed persistent hemorrhage and severe
anemia, requiring frequent red blood cell transfusions. Immediately after
initiating bevacizumab (7.5 mg/kg, every 3 weeks), complete cessation of bleeding
episodes was observed. Currently, after 1 year of follow-up, she maintained
sustained remission without the occurrence of adverse events.
PMID- 29662935
TI - Ligate-and-Resect Technique for Resection of a Large Pseudo-Pedunculated
Subepithelial Lesion in the Ascending Colon.
PMID- 29662936
TI - Gastric Metastasis of Breast Cancer after 20 Years.
PMID- 29662937
TI - A Rare Cause of Multisegmental Gastrointestinal Ulceration.
PMID- 29662938
TI - Portal Vein Aneurysm Mimicking a Liver Nodule.
PMID- 29662939
TI - LTP or LTD? Modeling the Influence of Stress on Synaptic Plasticity.
AB - In cognitive memory, long-term potentiation (LTP) has been shown to occur when
presynaptic and postsynaptic activities are highly correlated and glucocorticoid
concentrations are in an optimal (i.e., low normal) range. In all other
conditions, LTP is attenuated or even long-term depression (LTD) occurs. In this
paper, we focus on NMDA receptor (NMDA-R)-dependent LTP and LTD, two processes
involving various molecular mechanisms. To understand which of these mechanisms
are indispensable for explaining the experimental evidence reported in the
literature, we here propose a parsimonious model of NMDA-R-dependent synaptic
plasticity. Central to this model are two processes. First, AMPA receptor-subunit
trafficking; and second, glucocorticoid-dependent modifications of the brain
derived neurotrophic factor (BDNF)-receptor system. In 2008, we have published a
core model, which contained the first process, while in the current paper we
present an extended model, which also includes the second process. Using the
extended model, we could show that stress attenuates LTP, while it enhances LTD.
These simulation results are in agreement with experimental findings from other
labs. In 2013, surprising experimental evidence showed that the GluA1 C-tail is
unnecessary for LTP. When using our core model in its original form, our
simulations already predicted that there would be no requirement for the GluA1 C
tail for LTP, allowing to eliminate a redundant mechanism from our model. In
summary, we present a mathematical model that displays reduced complexity and is
useful for explaining when and how LTP or LTD occurs at synapses during cognitive
memory formation.
PMID- 29662940
TI - Large Scale In Vivo Recording of Sensory Neuron Activity with GCaMP6.
AB - Greater emphasis on the study of intact cellular networks in their physiological
environment has led to rapid advances in intravital imaging of the central
nervous system (CNS), while the peripheral system remains largely unexplored. To
assess large networks of sensory neurons, we selectively label primary afferents
with GCaMP6s in male and female C57bl/6 mice and visualize their functional
responses to peripheral stimulation in vivo. We show that we are able to monitor
the activity of hundreds of sensory neurons simultaneously, with sufficient
sensitivity to detect, in most cases, single action potentials with a typical
rise time of around 200 ms, and an exponential decay with a time constant of
approximately 700 ms. With this technique we are able to characterize the
responses of large populations of sensory neurons to innocuous and noxious
mechanical and thermal stimuli under normal and inflammatory conditions. We
demonstrate that the majority of primary afferents are polymodal with between 50
80% of thermally sensitive DRG neurons responding also to noxious mechanical
stimulation. We also specifically assess the small population of peripheral cold
neurons and demonstrate significant sensitization to cooling after a model of
sterile and persistent inflammation, with significantly increased sensitivity
already at decreases of 5 degrees C when compared to uninflamed responses. This
not only reveals interesting new insights into the (patho)physiology of the
peripheral nervous system but also demonstrates the sensitivity of this imaging
technique to physiological changes in primary afferents.
PMID- 29662941
TI - The Extracellular Matrix and Remyelination Strategies in Multiple Sclerosis.
PMID- 29662942
TI - Measuring and Validating the Levels of Brain-Derived Neurotrophic Factor in Human
Serum.
AB - Brain-derived neurotrophic factor (BDNF) secreted by neurons is a significant
component of synaptic plasticity. In humans, it is also present in blood
platelets where it accumulates following its biosynthesis in megakaryocytes. BDNF
levels are thus readily detectable in human serum and it has been abundantly
speculated that they may somehow serve as an indicator of brain function.
However, there is a great deal of uncertainty with regard to the range of BDNF
levels that can be considered normal, how stable these values are over time and
even whether BDNF levels can be reliably measured in serum. Using monoclonal
antibodies and a sandwich ELISA, this study reports on BDNF levels in the serum
of 259 volunteers with a mean value of 32.69 +/- 8.33 ng/ml (SD). The mean value
for the same cohort after 12 months was not significantly different (N = 226,
32.97 +/- 8.36 ng/ml SD, p = 0.19). Power analysis of these values indicates that
relatively large cohorts are necessary to identify significant differences,
requiring a group size of 60 to detect a 20% change. The levels determined by
ELISA could be validated by Western blot analyses using a BDNF monoclonal
antibody. While no association was observed with gender, a weak, positive
correlation was found with age. The overall conclusions are that BDNF levels can
be reliably measured in human serum, that these levels are quite stable over one
year, and that comparisons between two populations may only be meaningful if
cohorts of sufficient sizes are assembled.
PMID- 29662943
TI - Evidence Integration in Natural Acoustic Textures during Active and Passive
Listening.
AB - Many natural sounds can be well described on a statistical level, for example,
wind, rain, or applause. Even though the spectro-temporal profile of these
acoustic textures is highly dynamic, changes in their statistics are indicative
of relevant changes in the environment. Here, we investigated the neural
representation of change detection in natural textures in humans, and
specifically addressed whether active task engagement is required for the neural
representation of this change in statistics. Subjects listened to natural
textures whose spectro-temporal statistics were modified at variable times by a
variable amount. Subjects were instructed to either report the detection of
changes (active) or to passively listen to the stimuli. A subset of passive
subjects had performed the active task before (passive-aware vs passive-naive).
Psychophysically, longer exposure to pre-change statistics was correlated with
faster reaction times and better discrimination performance. EEG recordings
revealed that the build-up rate and size of parieto-occipital (PO) potentials
reflected change size and change time. Reduced effects were observed in the
passive conditions. While P2 responses were comparable across conditions, slope
and height of PO potentials scaled with task involvement. Neural source
localization identified a parietal source as the main contributor of change
specific potentials, in addition to more limited contributions from auditory and
frontal sources. In summary, the detection of statistical changes in natural
acoustic textures is predominantly reflected in parietal locations both on the
skull and source level. The scaling in magnitude across different levels of task
involvement suggests a context-dependent degree of evidence integration.
PMID- 29662944
TI - Combined Blockade of Interleukin-1alpha and -1beta Signaling Protects Mice from
Cognitive Dysfunction after Traumatic Brain Injury.
AB - Diffuse activation of interleukin-1 inflammatory cytokine signaling after
traumatic brain injury (TBI) elicits progressive neurodegeneration and
neuropsychiatric dysfunction, and thus represents a potential opportunity for
therapeutic intervention. Although interleukin (IL)-1alpha and IL-1beta both
activate the common type 1 IL-1 receptor (IL-1RI), they manifest distinct injury
specific roles in some models of neurodegeneration. Despite its potential
relevance to treating patients with TBI, however, the individual contributions of
IL-1alpha and IL-1beta to TBI-pathology have not been previously investigated. To
address this need, we applied genetic and pharmacologic approaches in mice to
dissect the individual contributions of IL-1alpha, IL-beta, and IL-1RI signaling
to the pathophysiology of fluid percussion-mediated TBI, a model of mixed focal
and diffuse TBI. IL-1RI ablation conferred a greater protective effect on brain
cytokine expression and cognitive function after TBI than did individual IL
1alpha or IL-1beta ablation. This protective effect was recapitulated by
treatment with the drug anakinra, a recombinant naturally occurring IL-1RI
antagonist. Our data thus suggest that broad targeting of IL-1RI signaling is
more likely to reduce neuroinflammation and preserve cognitive function after TBI
than are approaches that individually target IL-1alpha or IL-1beta signaling.
PMID- 29662945
TI - Metabotropic Glutamate Receptor 2/3 (mGluR2/3) Activation Suppresses TRPV1
Sensitization in Mouse, But Not Human, Sensory Neurons.
AB - The use of human tissue to validate putative analgesic targets identified in
rodents is a promising strategy for improving the historically poor translational
record of preclinical pain research. We recently demonstrated that in mouse and
human sensory neurons, agonists for metabotropic glutamate receptors 2 and 3
(mGluR2/3) reduce membrane hyperexcitability produced by the inflammatory
mediator prostaglandin E2 (PGE2). Previous rodent studies indicate that mGluR2/3
can also reduce peripheral sensitization by suppressing inflammation-induced
sensitization of TRPV1. Whether this observation similarly translates to human
sensory neurons has not yet been tested. We found that activation of mGluR2/3
with the agonist APDC suppressed PGE2-induced sensitization of TRPV1 in mouse,
but not human, sensory neurons. We also evaluated sensory neuron expression of
the gene transcripts for mGluR2 (Grm2), mGluR3 (Grm3), and TRPV1 (Trpv1). The
majority of Trpv1+ mouse and human sensory neurons expressed Grm2 and/or Grm3,
and in both mice and humans, Grm2 was expressed in a greater percentage of
sensory neurons than Grm3. Although we demonstrated a functional difference in
the modulation of TRPV1 sensitization by mGluR2/3 activation between mouse and
human, there were no species differences in the gene transcript colocalization of
mGluR2 or mGluR3 with TRPV1 that might explain this functional difference. Taken
together with our previous work, these results suggest that mGluR2/3 activation
suppresses only some aspects of human sensory neuron sensitization caused by
PGE2. These differences have implications for potential healthy human voluntary
studies or clinical trials evaluating the analgesic efficacy of mGluR2/3 agonists
or positive allosteric modulators.
PMID- 29662946
TI - Differences in the Electrophysiological Properties of Mouse Somatosensory Layer
2/3 Neurons In Vivo and Slice Stem from Intrinsic Sources Rather than a Network
Generated High Conductance State.
AB - Synaptic activity in vivo can potentially alter the integration properties of
neurons. Using recordings in awake mice, we targeted somatosensory layer 2/3
pyramidal neurons and compared neuronal properties with those from slices.
Pyramidal cells in vivo had lower resistance and gain values, as well as broader
spikes and increased spike frequency adaptation compared to the same cells in
slices. Increasing conductance in neurons using dynamic clamp to levels observed
in vivo, however, did not lessen the differences between in vivo and slice
conditions. Further, local application of tetrodotoxin (TTX) in vivo blocked
synaptic-mediated membrane voltage fluctuations but had little impact on
pyramidal cell membrane input resistance and time constant values. Differences in
electrophysiological properties of layer 2/3 neurons in mouse somatosensory
cortex, therefore, stem from intrinsic sources separate from synaptic-mediated
membrane voltage fluctuations.
PMID- 29662947
TI - Cortical Measures of Phoneme-Level Speech Encoding Correlate with the Perceived
Clarity of Natural Speech.
AB - In real-world environments, humans comprehend speech by actively integrating
prior knowledge (P) and expectations with sensory input. Recent studies have
revealed effects of prior information in temporal and frontal cortical areas and
have suggested that these effects are underpinned by enhanced encoding of speech
specific features, rather than a broad enhancement or suppression of cortical
activity. However, in terms of the specific hierarchical stages of processing
involved in speech comprehension, the effects of integrating bottom-up sensory
responses and top-down predictions are still unclear. In addition, it is unclear
whether the predictability that comes with prior information may differentially
affect speech encoding relative to the perceptual enhancement that comes with
that prediction. One way to investigate these issues is through examining the
impact of P on indices of cortical tracking of continuous speech features. Here,
we did this by presenting participants with degraded speech sentences that either
were or were not preceded by a clear recording of the same sentences while
recording non-invasive electroencephalography (EEG). We assessed the impact of
prior information on an isolated index of cortical tracking that reflected
phoneme-level processing. Our findings suggest the possibility that prior
information affects the early encoding of natural speech in a dual manner.
Firstly, the availability of prior information, as hypothesized, enhanced the
perceived clarity of degraded speech, which was positively correlated with
changes in phoneme-level encoding across subjects. In addition, P induced an
overall reduction of this cortical measure, which we interpret as resulting from
the increase in predictability.
PMID- 29662949
TI - Dealing With Deans and Academic Medical Center Leadership: Advice From Leaders.
AB - The 2017 Association of Pathology Chairs Annual Meeting included a session for
department chairs and other department leaders on "how to deal with deans and
academic medical center leadership." The session was focused on discussing ways
to foster positive relationships with university, medical school, and health
system leaders, and productively address issues and opportunities with them.
Presentations and a panel discussion were provided by 4 former pathology chairs
who subsequently have served as medical deans and in other leadership positions
including university provost, medical center CEO, and health system board chair.
There was a strong consensus among the participants on how best to deal with
superiors about problems, conflicts, and requests for additional resources and
authority. The importance of teamwork and accountability in developing a
constructive and collaborative relationship with leaders and peers was discussed
in detail. Effectiveness in communication, negotiation, and departmental advocacy
were highlighted as important skills. As limited resources and increased
regulations have become growing problems for universities and health systems,
internal stress and competition have increased. In this rapidly changing
environment, advice on how chairs can interact most productively with
institutional leaders is becoming increasingly important.
PMID- 29662948
TI - Reduced Orexin System Function Contributes to Resilience to Repeated Social
Stress.
AB - Exposure to stress increases the risk of developing affective disorders such as
depression and post-traumatic stress disorder (PTSD). However, these disorders
occur in only a subset of individuals, those that are more vulnerable to the
effects of stress, whereas others remain resilient. The coping style adopted to
deal with the stressor, either passive or active coping, is related to
vulnerability or resilience, respectively. Important neural substrates that
mediate responses to a stressor are the orexins. These neuropeptides are altered
in the cerebrospinal fluid of patients with stress-related illnesses such as
depression and PTSD. The present experiments used a rodent social defeat model
that generates actively coping rats and passively coping rats, which we have
previously shown exhibit resilient and vulnerable profiles, respectively, to
examine if orexins play a role in these stress-induced phenotypes. In situ
radiolabeling and qPCR revealed that actively coping rats expressed significantly
lower prepro-orexin mRNA compared with passively coping rats. This led to the
hypothesis that lower levels of orexins contribute to resilience to repeated
social stress. To test this hypothesis, rats first underwent 5 d of social defeat
to establish active and passive coping phenotypes. Then, orexin neurons were
inhibited before each social defeat for three additional days using designer
receptors exclusively activated by designer drugs (DREADDs). Inhibition of
orexins increased social interaction behavior and decreased depressive-like
behavior in the vulnerable population of rats. Indeed, these data suggest that
lowering orexins promoted resilience to social defeat and may be an important
target for treatment of stress-related disorders.
PMID- 29662950
TI - Strong coupling superconductivity in a quasiperiodic host-guest structure.
AB - We examine the low-temperature states supported by the quasiperiodic host-guest
structure of elemental bismuth at high pressure, Bi-III. Our electronic transport
and magnetization experiments establish Bi-III as a rare example of type II
superconductivity in an element, with a record upper critical field of ~ 2.5 T,
unusually strong electron-phonon coupling, and an anomalously large, linear
temperature dependence of the electrical resistivity in the normal state. These
properties may be attributed to the peculiar phonon spectrum of incommensurate
host-guest structures, which exhibit additional quasi-acoustic sliding modes,
suggesting a pathway toward strong coupling superconductivity with the potential
for enhanced transition temperatures and high critical fields.
PMID- 29662951
TI - High-performance and scalable metal-chalcogenide semiconductors and devices via
chalco-gel routes.
AB - We report a general strategy for obtaining high-quality, large-area metal
chalcogenide semiconductor films from precursors combining chelated metal salts
with chalcoureas or chalcoamides. Using conventional organic solvents, such
precursors enable the expeditious formation of chalco-gels, which are easily
transformed into the corresponding high-performance metal-chalcogenide thin films
with large, uniform areas. Diverse metal chalcogenides and their alloys (MQ x : M
= Zn, Cd, In, Sb, Pb; Q = S, Se, Te) are successfully synthesized at relatively
low processing temperatures (<400 degrees C). The versatility of this scalable
route is demonstrated by the fabrication of large-area thin-film transistors
(TFTs), optoelectronic devices, and integrated circuits on a 4-inch Si wafer and
2.5-inch borosilicate glass substrates in ambient air using CdS, CdSe, and In2Se3
active layers. The CdSe TFTs exhibit a maximum field-effect mobility greater than
300 cm2 V-1 s-1 with an on/off current ratio of >107 and good operational
stability (threshold voltage shift < 0.5 V at a positive gate bias stress of 10
ks). In addition, metal chalcogenide-based phototransistors with a
photodetectivity of >1013 Jones and seven-stage ring oscillators operating at a
speed of ~2.6 MHz (propagation delay of < 27 ns per stage) are demonstrated.
PMID- 29662952
TI - Bursting at the seams: Rippled monolayer bismuth on NbSe2.
AB - Bismuth, one of the heaviest semimetals in nature, ignited the interest of the
materials physics community for its potential impact on topological quantum
material systems that use its strong spin-orbit coupling and unique orbital
hybridization. In particular, recent theoretical predictions of unique
topological and superconducting properties of thin bismuth films and interfaces
prompted intense research on the growth of submonolayers to a few monolayers of
bismuth on different substrates. Similar to bulk rhombohedral bismuth, the
initial growth of bismuth films on most substrates results in buckled bilayers
that grow in either the (111) or (110) directions, with a lattice constant close
to that of bulk Bi. By contrast, we show a new growth pattern for bismuth
monolayers on NbSe2. We find that the initial growth of Bi can form a strongly
bonded commensurate layer, resulting in a compressively strained two-dimensional
(2D) triangular lattice. We also observed unique pattern of 1D ripples and domain
walls is observed. The single layer of bismuth also introduces strong marks on
the electronic properties at the surface.
PMID- 29662953
TI - Accelerated discovery of metallic glasses through iteration of machine learning
and high-throughput experiments.
AB - With more than a hundred elements in the periodic table, a large number of
potential new materials exist to address the technological and societal
challenges we face today; however, without some guidance, searching through this
vast combinatorial space is frustratingly slow and expensive, especially for
materials strongly influenced by processing. We train a machine learning (ML)
model on previously reported observations, parameters from physiochemical
theories, and make it synthesis method-dependent to guide high-throughput (HiTp)
experiments to find a new system of metallic glasses in the Co-V-Zr ternary.
Experimental observations are in good agreement with the predictions of the
model, but there are quantitative discrepancies in the precise compositions
predicted. We use these discrepancies to retrain the ML model. The refined model
has significantly improved accuracy not only for the Co-V-Zr system but also
across all other available validation data. We then use the refined model to
guide the discovery of metallic glasses in two additional previously unreported
ternaries. Although our approach of iterative use of ML and HiTp experiments has
guided us to rapid discovery of three new glass-forming systems, it has also
provided us with a quantitatively accurate, synthesis method-sensitive predictor
for metallic glasses that improves performance with use and thus promises to
greatly accelerate discovery of many new metallic glasses. We believe that this
discovery paradigm is applicable to a wider range of materials and should prove
equally powerful for other materials and properties that are synthesis path
dependent and that current physiochemical theories find challenging to predict.
PMID- 29662954
TI - Crystal nucleation in metallic alloys using x-ray radiography and machine
learning.
AB - The crystallization of solidifying Al-Cu alloys over a wide range of conditions
was studied in situ by synchrotron x-ray radiography, and the data were analyzed
using a computer vision algorithm trained using machine learning. The effect of
cooling rate and solute concentration on nucleation undercooling, crystal
formation rate, and crystal growth rate was measured automatically for thousands
of separate crystals, which was impossible to achieve manually. Nucleation
undercooling distributions confirmed the efficiency of extrinsic grain refiners
and gave support to the widely assumed free growth model of heterogeneous
nucleation. We show that crystallization occurred in temporal and spatial bursts
associated with a solute-suppressed nucleation zone.
PMID- 29662955
TI - Multiple heteroatom substitution to graphene nanoribbon.
AB - Substituting heteroatoms into nanostructured graphene elements, such as graphene
nanoribbons, offers the possibility for atomic engineering of electronic
properties. To characterize these substitutions, functionalized atomic force
microscopy (AFM)-a tool to directly resolve chemical structures-is one of the
most promising tools, yet the chemical analysis of heteroatoms has been rarely
performed. We synthesized multiple heteroatom-substituted graphene nanoribbons
and showed that AFM can directly resolve elemental differences and can be
correlated to the van der Waals radii, as well as the modulated local electron
density caused by the substitution. This elemental-sensitive measurement takes an
important step in the analysis of functionalized two-dimensional carbon
materials.
PMID- 29662956
TI - Experimental signatures of spin superfluid ground state in canted antiferromagnet
Cr2O3 via nonlocal spin transport.
AB - Spin superfluid is a novel emerging quantum matter arising from the Bose-Einstein
condensate (BEC) of spin-1 bosons. We demonstrate the spin superfluid ground
state in canted antiferromagnetic Cr2O3 thin film at low temperatures via
nonlocal spin transport. A large enhancement of the nonlocal spin signal is
observed below ~20 K, and it saturates from ~5 down to 2 K. We show that the
spins can propagate over very long distances (~20 MUm) in such spin superfluid
ground state and that the nonlocal spin signal decreases very slowly as the
spacing increases with an inverse relationship, which is consistent with
theoretical prediction. Furthermore, spin superfluidity has been investigated in
the canted antiferromagnetic phase of the (11[Formula: see text]0)-oriented Cr2O3
film, where the magnetic field dependence of the associated critical temperature
follows a 2/3 power law near the critical point. The experimental demonstration
of the spin superfluid ground state in canted antiferromagnet will be extremely
important for the fundamental physics on the BEC of spin-1 bosons and paves the
way for future spin supercurrent devices, such as spin-Josephson junctions.
PMID- 29662958
TI - Laparoscopic Heminephrectomy of Chronically Obstructed Horseshoe Kidney Moiety
with Staghorn Calculus, Massive Pyonephrosis, and Xanthogranulomatous
Pyelonephritis.
AB - Laparoscopic heminephrectomy was performed in a 64-year-old woman with a
chronically obstructed horseshoe kidney moiety. More than 3000 cc of pyonephrosis
was drained through two percutaneous nephrostomy tubes for infection control
before left moiety laparoscopic resection. This case report attests to the
feasibility of laparoscopic resection of a massively obstructed horseshoe kidney
when performed in a staged manner after prolonged drainage. Since an incision was
required for removal of the large specimen, ligation of the horseshoe isthmus was
completed through the same incision after hilar control and laparoscopic
mobilization of the moiety were completed.
PMID- 29662959
TI - Quarter Century Management of Chronic Ureteropelvic Junction Obstruction in a
Solitary Kidney with a Ureteral Stent.
AB - Background: The ureteral stent provides a conduit for urinary drainage from the
kidney to the bladder and is integral to contemporary urologic practice. A
ureteral stent is often utilized in acute conditions to prevent or overcome
obstruction; however, in nonsurgical patients, because of disease or preference,
a ureteral stent may be used as a last resort for long-term management of a
stricture in lieu of a nephrostomy tube. This case highlights a patient whose
chronic ureteral obstruction has been managed with an indwelling ureteral stent
for 25 years; remarkably, stent exchanges are currently required only every 2
years. Case Presentation: A 33-year-old man initially presented with a solitary
left kidney and a ureteropelvic junction obstruction. The patient's right kidney
was nonfunctioning since childhood because of a presumed ureteropelvic junction
obstruction with grade IV hydronephrosis. The patient underwent two failed open
repairs of the left kidney in the 1980s, resulting in a totally intrarenal,
constricted renal pelvis; an endopyelotomy in 1992 also failed and required
angioembolizaton of a segmental renal vessel. The patient refused any further
surgical procedures and thus has been managed exclusively with a 7/14F * 28 cm
endopyelotomy stent (Boston Scientific(r)) for 25 years; the interval between
stent changes was slowly expanded until they are now being done at 2-year
intervals. The patient has not developed recurrent urinary tract infections,
stent colic, or stent encrustation. Conclusion: Patients who require chronic
indwelling ureteral stents are rare. In this situation, with careful monitoring,
the interval between stent exchanges was extended to 2 years, thereby precluding
a chronic nephrostomy tube.
PMID- 29662957
TI - New York Physicians' Perspectives and Knowledge of the State Medical Marijuana
Program.
AB - Introduction: In 2014, New York (NY) became the 23rd state to legalize medical
marijuana (MMJ). The purpose of this survey was to collect data about practicing
NY physicians' comfort level, opinions, and experience in recommending or
supporting patient use of MMJ. Materials and Methods: An anonymous web-based
survey was distributed to medical societies and to academic departments in
medical schools within NY. Results: A total of 164 responses were analyzed.
Physician participants were primarily located in New York City and surrounding
areas. The majority (71%) agreed that MMJ should be an option available to
patients. Most respondents were not registered to certify MMJ in NY, but were
willing to refer patients to registered physicians. Common reasons for not
registering included specialty and federal status of cannabis. More than 75%
reported having patients who used cannabis for symptom control, and 50% reported
having patients who inquired about MMJ within the past year. Most respondents are
willing to discuss MMJ with their patients, but had little familiarity with the
state program and a modest knowledge of the endocannabinoid system. Pain was a
common symptom for which cannabis was recommended by registered physicians (69%)
and purportedly used by patients (83%). Most respondents would consider MMJ as an
adjuvant to opioids, and 84% believed opioids have greater risks than MMJ.
Conclusion: Given that the majority of surveyed physicians support MMJ as an
option for patients, few are registered and have adequate knowledge of MMJ.
Although our study sample is small and geographically limited, our survey results
highlight key physician issues that are likely applicable to practitioners in
other states. Concerted efforts are needed at the federal, state, and academic
levels to provide practitioners with evidence-based guidelines for the safe use
of MMJ.
PMID- 29662960
TI - Impact of Oral Hygiene Discontinuation on Supragingival and Salivary Microbiomes.
AB - The purpose of the present study was to characterize and compare supragingival
and salivary microbiotas during a 10-d period of oral hygiene discontinuation. We
tested the hypothesis that the composition of the salivary microbiota will
reflect local microbial changes associated with accumulated biofilm formation and
maturation. Pooled supragingival plaque (n = 145) and stimulated saliva (n = 145)
samples were collected and plaque and gingival indices were recorded from 29
orally healthy individuals at baseline, during oral hygiene discontinuation (days
4, 7, and 10), and 14 d after resumption of oral hygiene. Supragingival and
salivary microbiotas were processed by next-generation sequencing (Human Oral
Microbe Identification using Next Generation Sequencing) and microbial community
profiles were compared. Microbial composition of supragingival plaque samples
collected after 4, 7, and 10 d of oral hygiene discontinuation, as well as 14 d
after reuptake of oral hygiene, differed significantly from baseline samples, by
a 3-fold increase in relative abundance Leptotrichia species and a 2-fold
decrease in Streptococcus species (adjusted P < 0.01). In saliva samples, a
significant increase in relative abundance of Leptotrichia species (adjusted P <
0.01) was evident at day 7 but completely reversed 14 d after resumption of oral
hygiene. While the salivary microbiota was resistant to accumulated local biofilm
formation, data from this study showed that compositional changes of
supragingival microbiotas were not reversed 14 d after resumption of oral
hygiene, despite the restoration of plaque to baseline levels.
(ClinicalTrials.gov UCPH_OI_002, NCT02913235). Knowledge Transfer Statement: Data
from this study showed compositional changes of supragingival microbiotas as a
consequence of a 10-d period of oral hygiene discontinuation, that was not
reversed 14 d after resumption of oral hygiene. Notably, oral hygiene
discontinuation was associated with a significant increase in relative abundance
of potential cariogenic Leptotrichia species and a decrease in Streptococcus
species. Thus, findings from this study highlight the necessity of regular oral
hygiene in the maintenance of oral homeostasis.
PMID- 29662961
TI - Biopsychosocial view to pseudocyesis: A narrative review.
AB - Background: Pseudocyesis is a psychopathological clinical syndrome in which a non
pregnant woman firmly believes herself to be pregnant and manifests many symptoms
and signs of pregnancy. Although the exact etiology of pseudocyesis has not been
determined. Objective: This study was conducted with the aim of assessing the
biopsychosocial view to pseudocyesis. Materials and Methods: A comprehensive
search in electronic databases such as Google Scholar, PubMed, ScienceDirect, Web
of Science, and Scopus was conducted between 1943-2016 to retrieve pseudocyesis
related articles. For this purpose, 1149 articles were collected and 66 items
were used. Results: Findings were classified into 2 main categories: a)
pseudocyesis etiology, which could include (biological psychological factors and
psychiatric disorders, and social factors); and b) pseudocyesis management.
Conclusion: Pseudocyesis results from a multidimensional group of factors, and a
holistic and comprehensive approach should be taken to its treatment. Cooperation
between gynecologists and psychiatrists would likely be useful in addressing the
condition.
PMID- 29662963
TI - Facilitating and inhibiting factors related to treatment adherence in women with
polycystic ovary syndrome: A qualitative study.
AB - Background: Adherence issues in polycystic ovary syndrome (PCOS) patients have
not been examined thoroughly. Patients report prolonged periods of treatment and
side effects of the drug as the most common reason for withdrawal from treatment.
To improve the effective management of PCOS patients, it is fundamental to
understand facilitating and inhibiting factors to treatment adherence. Objective:
To explore facilitating/inhibiting factors related to treatment adherence among
PCOS patients. Materials and Methods: This was a qualitative study with a
purposive sample of women with confirmed diagnosis of PCOS. The data were
collected via 20 in-depth semi-structured interviews with women aged between 21
34 yr. A qualitative content analysis was used to analyze the data. Results: Five
themes were identified which described different types of facilitating/
inhibiting factors to treatment adherence. Inhibiting factors included financial
issues, patient-related, disease-related, and health care provider-related
factors; while social factors were found to be both facilitating and inhibiting.
Conclusion: The findings suggest that successful adherence to PCOS treatment is
highly dependent on patients recognizing and adapting to financial, social, and
health care related inhibiting factors. It is also crucial for clinicians and
policy makers to recognize these key inhibiting factors in order to improve
treatment outcomes.
PMID- 29662962
TI - The relation of maternal hypothyroidism and hypothyroxinemia during pregnancy on
preterm birth: An updated systematic review and meta-analysis.
AB - Background: The clinical consequences of hypothyroidism and hypothyroxinemia
during pregnancy such as preterm birth are not still clear. Objective: The aim of
this meta-analysis was to estimate the relation of clinical and subclinical
hypothyroidism and hypothyroxinemia during pregnancy and preterm birth. Materials
and Methods: In this meta-analysis, Preferred Reporting Items for Systematic
review and Meta-Analysis were utilized. Searching the cohort studies were done by
two researchers independently without any restrictions on Scopus, PubMed, Science
Direct, Embase, Web of Science, CINAHL, Cochrane, EBSCO and Google Scholar
databases up to 2017. The heterogeneity of the studies was checked by the
Cochran's Q test and I2 index. Both random and fixed-effects models were used for
combining the relative risk and 95% confidence intervals. Data were analyzed
using Comprehensive Meta-Analysis software version 2. Results: Twenty-three
studies were included in the meta-analysis. The relative risks of the clinical
hypothyroidism, subclinical hypothyroidism and hypothyroxinemia during pregnancy
on preterm birth was estimated 1.30 (95% CI: 1.05-1.61, p=0.013, involving 20079
cases and 2452817 controls), 1.36 (95% CI: 1.09-1.68, p=0.005, involving 3580
cases and 64885 controls) and 1.31 (95% CI: 1.04-1.66, p=0.020, involving 1078
cases and 44377 controls), respectively. Conclusion: The incidence of preterm
birth was higher among mothers with clinical and subclinical hypothyroidism or
hypothyroxinemia during pregnancy compared to euthyroid mothers, and these
relations were significant. Therefore, gynecologists and endocrinologists should
manage these patients to control the incidence of adverse pregnancy outcomes such
as preterm birth.
PMID- 29662964
TI - Comparison of the effects of Ginger extract with clomiphene citrate on sex
hormones in rats with polycystic ovarian syndrome.
AB - Background: Polycystic ovarian syndrome (PCOS) is the most common endocrine
disorder in women which affect fertility. Clomiphene citrate is used as first
line treatment for this disorder, which is associated with some complications and
therapeutic resistance. Objective: In this research, we compare the effectiveness
of ginger with clomiphene on sexual hormones such as Luteinizing hormone (LH),
Follicle-stimulating hormone (FSH), estrogen and progesterone in order to treat
PCOS effectively with fewer side effects. Materials and Methods: In this
experimental study, 63 adult female rats (170-200 gr) were studied and divided
randomly into 9 groups as control (not received any interventional substance for
60 and 89 days), sham (were given distilled water and ethyl alcohol
intraperitoneally daily for 60 and 89 days), and 7 experimental groups receiving
estradiol valerate (PCOS inducing agent, intramuscular) alone and with 100 mg/kg
clomiphene or different doses of ginger extract (175 and 350 mg/kg) orally daily
for 60 and 89 days. Sexual hormones were analyzed and compared in different
groups. Results: Our results showed that in the PCOS-induced group, LH and
estrogen concentration increased while progesterone and FSH concentration
decreased remarkably (p<0.05) as compared to control group. Furthermore, in
groups receiving clomiphene and ginger extract, we demonstrated significant
(p<0.05) improvement in hormonal secretion as compared to the PCOS-induced group.
Clomiphene, compared with the lower dose of ginger extract, had a better
improving effect on balancing sexual hormones in PCOS. Moreover, ginger extract
at higher doses has better effects in improving PCOS. Conclusion: As the long
term administration of clomiphene citrate has some side effects, the use of
ginger as a herbal medicine without any side effects at high doses can be an
effective and good alternative in improving PCOS.
PMID- 29662965
TI - Relationship between human leukocyte antigen (HLA)-DQA1*0102/HLA-DQB1*0602
polymorphism and preeclampsia.
AB - Background: Preeclampsia is a condition associated with systemic disorders in the
mother and the fetus. However, the exact causes of preeclampsia are unknown, but
several genetics and environmental factors play role in development of this
disease. Major histocompatibility complex role is very important during pregnancy
through which the fetus is not rejected by mother's immune system. Objective: In
this study, we investigated the relationship of the human leukocyte antigen (HLA)
DQA1*0102/HLA-DQB1*0602 polymorphism with preeclampsia. Materials and Methods:
Genomic DNA of 181 pregnant women with a history of preeclampsia as the case
group and 228 pregnant women with no history of preeclampsia as the controls were
extracted. The HLA-DQA1*0102/HLA-DQB1*0602 polymorphisms of all DNA samples were
identified by the SSP-PCR method. Frequencies difference of variables between
case and control groups were calculated by Chi-square test. The ethnic origin of
the participants in this study was extracted from their medical records. Results:
There was a significant association between preeclampsia and Sistani ethnic group
(p=0.031). Moreover, there was a significant association between preeclampsia and
frequencies of allele HLA-DQB1*0602 (p<0.001), and genotypes of heterozygote
(+0102/-0602) (p<0.001) and negative homozygote (-0102/-0602) (p=0.005). There
also was an association between allele HLA-DQB1*0602 and preeclampsia in Fars
ethnic group (p=0.028). Conclusion: It seems that immune incompatibility may have
an important role in preeclampsia predisposition. According to our results, the
lack of locus HLA-DQB1*0602 may be a risk factor for preeclampsia.
PMID- 29662966
TI - Healthy eating index in women with polycystic ovary syndrome: A case-control
study.
AB - Background: Despite many effort to identify and control the factors involved in
polycystic ovary syndrome (PCOS), there are no available reports indicating the
association of healthy eating index (HEI) and PCOS. Objective: The present study
has been conducted to examine the relationship between HEI and PCOS. Materials
and Methods: In this case-control study, the study population comprised 297 women
aged 20-40 yr referred to Baqiyatallah Hospital Clinics, Tehran, Iran in two
groups: case group (n=99) and control group (n=198). The usual dietary data were
collected using a validated 168-item semi-quantitative food frequency
questionnaire. Standard anthropometric measurements (height, weight, and waist
circumference) were also taken. Unconditional logistic regression was used to
analyze the relationship between the PCOS and the HEI. Results: The mean age and
body mass index of case and control groups were 29+/-5.5 vs. 29.5+/-6 yr and
26.6+/-4.8 vs. 26+/-4.2 kg/m2, respectively (p=0.752, p=0.822). Mean HEI scores
for the case and control groups were found to be 61 and 65, respectively. In
final model and after adjustment for confounders, the prevalence of PCOS in
subjects in the highest tertile HEI score was significantly (50%) less than those
in the lowest tertile HEI score (OR=0.50; 95% CI: 0.25-0.74, p=0.001).
Conclusion: Our results suggest that HEI score is inversely associated with the
risk of PCOS in adult women.
PMID- 29662967
TI - Maternal serum uric acid level and maternal and neonatal complications in
preeclamptic women: A cross-sectional study.
AB - Background: Preeclampsia is associated with maternal and neonatal complications.
It has been indicated that increased uric acid might have a predictive role on
preeclampsia. Objective: We aimed to investigate the relationship between the
level of uric acid with maternal and neonatal complications in women with
preeclampsia. Materials and Methods: In this cross-sectional study, 160 singleton
preeclamptic women at more than 28 wk gestational age were included. Hemoglobin,
hematocrit, platelet count, liver and uric acid tests, and maternal and neonatal
complications were assessed. The severity of preeclampsia, placental abruption,
preterm labor, thrombocytopenia, elevated alanine aminotransferase and aspartate
aminotransferase (ALT and AST), HELLP syndrome, eclampsia and required
hospitalization in the ICU was considered as the maternal complication. Fetal
complications were: small for gestational age (SGA), intrauterine fetal death,
hospitalization in the neonatal intensive care unit, and Apgar score <7 at five
minutes. Results: Of our participants, 38 women had severe preeclampsia (23.8%).
The mean level of uric acid in women with severe preeclampsia was significantly
higher than non-severe preeclampsia (p=0.031), also in those with an abnormal
liver test (p=0.009). The mean level of uric acid in women with preterm delivery
was significantly higher than women with term delivery (p=0.0001). Also, the
level of uric acid had no effect on neonatal hospitalization in neonate invasive
care unit. Based on logistic regression, the incidence of severe preeclampsia not
affected by decreased or increased serum levels of uric acid. Conclusion: With
higher level of uric acid in server preeclampsia we can expected more
complications such as hepatic dysfunction and preterm delivery. Thus serum uric
acid measurement can be helpful marker for severe preeclampsia.
PMID- 29662968
TI - Adnexal masses or perineural (tarlov) cysts? Differentiation by imaging
techniques: A case report.
AB - Background: Perineural cysts formed within the nerve-root sheath at the dorsal
root ganglion. They are most commonly located in the arachnoid covering the
junction of the dorsal ganglion and nerve root. They are usually asymptomatic,
incidental findings, usually located in the lumbar and sacral region of the
spinal canal. It is important to consolidate the imaging findings of this rare
disease so clinicians can become more clinically relevant in the evaluation of
these cysts. Case: Herein we report a case of perineural cyst misdiagnosed with
hydrosalpinx by pelvic ultrasonography and finally diagnosed with magnetic
resonance image. Conclusion: Perineural cyst should be considered, in the
presence of bilateral adnexal masses separated from the ovaries in pelvic
sonography.
PMID- 29662969
TI - Research Volunteers' Attitudes Toward Chronic Fatigue Syndrome and Myalgic
Encephalomyelitis.
AB - Myalgic Encephalomyelitis (ME) and Chronic Fatigue Syndrome (CFS) are
stigmatizing illnesses characterized by cognitive difficulties, post-exertional
malaise, unrefreshing sleep, and other symptoms. Patients are often incapacitated
and stigmatized as having a psychological disorder. The Chronic Fatigue Attitudes
Test (CAT) assesses stigmatizing views toward individuals with Chronic Fatigue
Syndrome, however, there is little research examining factors that may account
for variation in stigmatizing attitudes toward this group. We examined CAT scores
among college age research volunteers (N = 90), hypothesizing that exposure to
information about ME and CFS as a result of volunteering on a ME and CFS-related
research project would be associated with less stigmatizing attitudes compared to
volunteers on unrelated projects. Findings indicated that ME and CFS research
volunteers expressed less stigmatizing attitudes. Educational efforts aiming to
disseminate accurate information about ME and CFS may mitigate stigma and the
experience of stigma among individuals with ME and CFS.
PMID- 29662970
TI - Does giving brief information keep patients calm during different oral surgical
procedures?
AB - OBJECTIVES: Dental anxiety may play a central role in the oral health status and
treatment outcomes of oral surgical procedures. The study aimed to investigate
the effect that brief written information has over patients undergoing oral
surgical procedures and to evaluate factors that may cause anxiety. METHOD AND
MATERIALS: A prospective study was performed on 38 mandibular third molar surgery
patients (mean age 26.74 +/- 6.44 years) and 56 implant surgery patients (mean
age 49.13 +/- 15.11 years). Each group was divided into two subgroups, and
written information, explaining what they could expect and details about the
procedure, was provided to study groups. The Spielberger State-Trait Anxiety
Inventory was used to measure state (STAI-S) and trait anxiety (STAI-T). The
visual analog scale (VAS) was used for pain scores preoperatively and on days 1,
3, 5, and 7. Demographic data and intraoperative behaviors of patients were
recorded. RESULTS: All groups had similar anxiety scores at baseline.
Preoperative STAI-S and VAS scores were similar between study and control groups
(P > .05). Study groups showed significantly lower mean intraoperative anxiety
levels (P < .05). The implant group had a significantly lower VAS score (P <
.05). STAI-T and preoperative STAI-S were not related to VAS. Postoperative STAI
S and VAS and recuperation were correlated (P < .05). Women showed significantly
higher anxiety and VAS scores. CONCLUSION: The patients who received written
information did not report lower anxiety scores. However, improved patient
cooperation could be achieved with this method. Different surgical procedures may
cause anxiety for different reasons.
PMID- 29662971
TI - Management of heat-induced bone necrosis following thermal removal of gutta
percha.
AB - Many endodontically treated teeth require a post to improve the retention of the
coronal restoration, which necessitates removal of the coronal part of the gutta
percha from the canal by thermal method, among other techniques. However, this
technique carries the risk of heat conduction to the attachment unit of the
periodontium and infliction of permanent damage especially in cases where the
remaining root's dentin walls are thin. The overall objective of this article is
to report on the clinical manifestations, histologic description, and periodontal
management of three cases of heat-induced damage following thermal removal of
gutta-percha.
PMID- 29662972
TI - Implants placed simultaneously with maxillary sinus floor augmentation in the
presence of antral pseudocysts: Presentation of a case series.
AB - OBJECTIVE: To report the outcome of simultaneous placement of dental implants
with maxillary sinus elevation in the presence of antral pseudocysts. METHOD AND
MATERIALS: This case series involved 14 patients with 28 implants placed
simultaneously with maxillary sinus elevation. The psuedocysts were treated by
cystic fluid extraction. Postoperative examinations were performed at 3, 6, and
12 months. RESULTS: All restorations were finished 6 to 9 months after implant
placement. Nine antral pseudocysts disappeared and five decreased in size. All
implants were deemed successful at 1 year postoperatively, showing
osseointegration and masticatory function. No mobility was found during the
follow-up period. CONCLUSION: In the absence of maxillary sinus infection, the
combination of cystic fluid extraction, maxillary sinus elevation, and immediate
implantation showed an acceptable clinical outcome in this series of patients.
PMID- 29662973
TI - A novel approach to treat gingival recession and non-caries cervical lesion
combined defects: Restoration guided creeping attachment (RGCA) technique. A case
report.
AB - Gingival recessions have multifactorial etiology and are often associated with
non-caries cervical lesions. Different surgical techniques have been proposed
over the years for their treatment, according to the severity of the recession. A
novel technique, called restoration guided creeping attachment (RGCA), for the
treatment of combined gingival recession and non-caries cervical lesion is
presented. RGCA aims at treating Miller class I and II recessions using a
specifically designed composite restoration and a minimally invasive approach. A
clinical case describing the technique and forming the basis for further studies
is presented. Although the results obtained are encouraging, a wider number of
patients and longer follow-ups are needed to assess the reliability of this
technique.
PMID- 29662974
TI - Competition of cation-pi and exo-wall pi-pi interactions: a novel approach to
achieve ultrasensitive response.
AB - A novel approach to achieve ultrasensitive response was successfully developed by
rationally introducing the competition between cation-pi and exo-wall pi-pi
interactions into a pillar[5]arene-based supramolecular organogel (P5N-OG).
Interestingly, the P5N-OG could be used for ion detection and separation,
fluorescent display materials as well as ultrasensitive logic gates.
PMID- 29662975
TI - Gene assembly via one-pot chemical ligation of DNA promoted by DNA
nanostructures.
AB - Current gene synthesis methods are driven by enzymatic reactions. Here we report
the one-pot synthesis of a chemically-ligated gene from 14 oligonucleotides. The
chemical ligation benefits from the highly efficient click chemistry approach
templated by DNA nanostructures, and produces modified DNA that is compatible
with polymerase enzymes.
PMID- 29662976
TI - Self-templated synthesis of heavily nitrogen-doped hollow carbon spheres.
AB - Nitrogen-doped hollow carbon spheres with a well-defined shape, uniform diameter,
and high doping concentration (11 at%) are synthesized via space-confined thermal
decomposition of a single source precursor zinc carbodiimide, which can serve as
both carbon and nitrogen sources and also a self-template.
PMID- 29662978
TI - Size-dependent uptake of electrically neutral amphipathic polymeric nanoparticles
by cell-sized liposomes and an insight into their internalization mechanism in
living cells.
AB - Size-dependent uptake behaviors of electrically neutral amphipathic polymeric
nanoparticles in cell-sized liposomes and living cells were investigated. Kinetic
analyses and the particle size distribution suggested a size-dependent
penetration mechanism (size threshold: 3.1 nm). The definite size-dependent
uptake provides a new insight into the interactions between nanomaterials and
living cells.
PMID- 29662977
TI - Handheld skin printer: in situ formation of planar biomaterials and tissues.
AB - We present a handheld skin printer that enables the in situ formation of
biomaterial and skin tissue sheets of different homogeneous and architected
compositions. When manually positioned above a target surface, the compact
instrument (weight <0.8 kg) conformally deposits a biomaterial or tissue sheet
from a microfluidic cartridge. Consistent sheet formation is achieved by
coordinating the flow rates at which bioink and cross-linker solution are
delivered, with the speed at which a pair of rollers actively translate the
cartridge along the surface. We demonstrate compatibility with dermal and
epidermal cells embedded in ionically cross-linkable biomaterials (e.g.,
alginate), and enzymatically cross-linkable proteins (e.g., fibrin), as well as
their mixtures with collagen type I and hyaluronic acid. Upon rapid crosslinking,
biomaterial and skin cell-laden sheets of consistent thickness, width and
composition were obtained. Sheets deposited onto horizontal, agarose-coated
surfaces were used for physical and in vitro characterization. Proof-of-principle
demonstrations for the in situ formation of biomaterial sheets in murine and
porcine excisional wound models illustrate the capacity of depositing onto
inclined and compliant wound surfaces that are subject to respiratory motion. We
expect the presented work will enable the in situ delivery of a wide range of
different cells, biomaterials, and tissue adhesives, as well as the in situ
fabrication of spatially organized biomaterials, tissues, and biohybrid
structures.
PMID- 29662979
TI - Carbon dioxide bubble-propelled microengines in carbonated water and beverages.
AB - We demonstrate a new type of gaseous fuel for rolled-up tubular Ti/Cr microengine
powered by carbon dioxide microbubbles in carbonated water and brewed beverages.
Existence of microbubble pockets is revealed using on-chip integrated transparent
microtubes. Vertical position, angle of rotation and buoyancy of ferromagnetic
Ti/Fe/Cr microengines are controlled using an external magnetic field.
PMID- 29662980
TI - Continuous flow chemical vapour deposition of carbon nanotube sea urchins.
AB - Hybrid structures consisting of functional materials enhanced by carbon nanotubes
(CNTs) have potential for a variety of high impact applications, as shown by the
impressive progress in sensing and mechanical applications enabled by CNT
enhanced materials. The hierarchical organisation of CNTs with other materials is
key to the design of macroscale devices benefiting from the unique properties of
individual CNTs, provided CNT density, morphology and binding with other
materials are optimized. In this paper, we provide an analysis of a continuous
aerosol process to create a hybrid hierarchical sea urchin structure with CNTs
organized around a functional metal oxide core. We propose a new mechanism for
the growth of these carbon nanotube sea urchins (CNTSU) and give new insight into
their chemical composition. To corroborate the new mechanism, we examine the
influence of CNT growth conditions on CNTSU morphology and demonstrate a new in
line characterisation technique to continuously monitor aerosol CNT growth during
synthesis, which enables industrial-scale production optimization. Based upon the
new formation mechanism we describe the first substrate-based chemical vapour
deposition growth of CNTSUs which increases CNT length and improves G to D ratio,
which also allows for the formation of CNTSU carpets with unique structures.
PMID- 29662981
TI - Examination of inequivalent wetting on the crystal habit surfaces of RS-ibuprofen
using grid-based molecular modelling.
AB - Synthonic engineering tools, including grid-based searching molecular modelling,
are applied to investigate the wetting interactions of the solute and four
crystallisation solvents (ethanol, ethyl acetate, acetonitrile and toluene) with
the {100}, {001} and {011} forms of RS-ibuprofen. The grid-based methods, in
particular the construction of a crystal slab parallel to a given plane in a
coordinate system with one axis perpendicular to the surface, are defined in
detail. The interaction strengths and nature (dispersive, hydrogen bonding (H
bonding) or coulombic forces) are related to the crystal growth rates and
morphologies. The solute is found to interact strongest with the capping {011},
then the side {001} and weakest with the top {100} habit surfaces. The solute
interactions with the {100} and {001} surfaces are found to be almost solely
dominated by dispersive force contributions, whilst the same with the {011}
surfaces are found to have a greater contribution from H-bonding and coulombic
forces. The increased surface rugosity, at the molecular level of the {011}
surfaces, results in a favourable docking site in a surface 'valley', not present
in the {100} and {001} surfaces. The H-bonding solvents ethanol, acetonitrile and
ethyl acetate are found to strongly interact with the {011} surfaces and weakly
with the {001} surfaces, with the {011} interactions having a much greater
contribution from H-bonding and coulombic forces. The interaction energies of the
apolar and aprotic solvent toluene, with the {011} and {001} surfaces, are found
to be very close. Toluene is found having slightly stronger interactions with the
{001} than the {011} surfaces, which are all dominated by dispersive
interactions. The ratio of the average energy of the top 100 solvent interactions
with the {001} surface divided by the average energy of the top 100 interactions
with the {011} surface is compared to the ratio of the experimentally measured
growth rates of the same forms. In general, the interaction energy ratio is found
to have an inverse ratio with the growth rates, implying that the solvents which
are calculated to interact strongly with a particular surface are impeding the
growth of that surface and reducing the growth rate, in turn impacting upon the
final morphology of the material.
PMID- 29662982
TI - A novel spiro-annulated benzimidazole host for highly efficient blue
phosphorescent organic light-emitting devices.
AB - A novel host material featuring a spiro-annulated benzimidazole configuration is
exploited for blue phosphorescent organic light-emitting devices (PhOLEDs). The
new material exhibits a high triplet energy (3.07 eV) and a bipolar
characteristic and is effective as the host for FIrpic-, FIr6- and FK306-based
blue PhOLEDs with high performances.
PMID- 29662983
TI - The recognition of glycans by protein receptors. Insights from NMR spectroscopy.
AB - Carbohydrates (glycans, saccharides, sugars) are everywhere. In fact, glycan
protein interactions are involved in many essential processes of life and
disease. The understanding of the key structural details at the atomic and
molecular level is of paramount importance to effectively design molecules for
therapeutic purposes. Different approximations may be employed to decipher these
molecular recognition processes with high resolution. Advances in cryo-electron
microscopy are providing exquisite details on different biological mechanisms
involving sugars, while better and better protocols for structural refinement in
the application of X-ray methods for protein-sugar complexes and glycoproteins
are also permitting fantastic advances in the glycoscience arena. Alternatively,
NMR spectroscopy remains as one of the most rewarding techniques to explore
protein-carbohydrate interactions. In fact, given the intrinsic dynamic nature of
saccharides, NMR can afford exquisite structural information at the atomic
detail, not accessible by other techniques. However, the access to this
information is sometimes intricate, and requires careful analysis and well
defined strategies. In this review, we have highlighted these issues and
presented an overview of different modern NMR approaches with a focus on the
latest developments and challenges.
PMID- 29662986
TI - Discovery and characterization of natural products that act as pheromones in
fish.
AB - Covering: up to 2018 Fish use a diverse collection of molecules to communicate
with conspecifics. Since Karlson and Luscher termed these molecules 'pheromones',
chemists and biologists have joined efforts to characterize their structures and
functions. In particular, the understanding of insect pheromones developed at a
rapid pace, set, in part, by the use of bioassay-guided fractionation and natural
product chemistry. Research on vertebrate pheromones, however, has progressed
more slowly. Initially, biologists characterized fish pheromones by screening
commercially available compounds suspected to act as pheromones based upon their
physiological function. Such biology-driven screening has proven a productive
approach to studying pheromones in fish. However, the many functions of fish
pheromones and diverse metabolites that fish release make predicting pheromone
identity difficult and necessitate approaches led by chemistry. Indeed, the few
cases in which pheromone identification was led by natural product chemistry
indicated novel or otherwise unpredicted compounds act as pheromones. Here, we
provide a brief review of the approaches to identifying pheromones, placing
particular emphasis on the promise of using natural product chemistry together
with assays of biological activity. Several case studies illustrate bioassay
guided fractionation as an approach to pheromone identification in fish and the
unexpected diversity of pheromone structures discovered by natural product
chemistry. With recent advances in natural product chemistry, bioassay-guided
fractionation is likely to unveil an even broader collection of pheromone
structures and enable research that spans across disciplines.
PMID- 29662987
TI - A supramolecular assembly enables discrimination between metalloproteins and non
metalloproteins.
AB - Discriminating proteins using simple methods is a highly ambitious task. Herein,
we provide the first report of a cyclodextrin based supramolecular host-guest
assembly, which without involving any specially designed receptor and transducer,
yields a distinct fluorescence response i.e., turn-on response towards non
metalloproteins and turn-off response towards metalloproteins, and leads to their
detection and discrimination.
PMID- 29662988
TI - Polyvinyl alcohol-boronate gel for sodium hydroxide extraction.
AB - Gels formed from commercially available polyvinyl alcohol (PVA) and 1,4-benzene
diboronic acid (BdBA) in DMSO absorb NaOH efficiently from a bulk aqueous
solution decreasing its pH.
PMID- 29662989
TI - Group 3 metal trihalide complexes with neutral N-donor ligands - exploring their
affinity towards fluoride.
AB - Fluorination of [ScCl3(Me3-tacn)] (Me3-tacn = 1,4,7-trimethyl-1,4,7
triazacyclononane) and [ScCl3(BnMe2-tacn)] (BnMe2-tacn = 1,4-dimethyl-7-benzyl
1,4,7-triazacyclononane) by Cl/F exchange with 3 mol. equiv. of anhydrous [NMe4]F
in CH3CN solution yields the corresponding [ScF3(R3-tacn)] (R3 = Me3 or BnMe2).
These are the first examples of scandium fluoride complexes containing neutral co
ligands. The fluorination occurs stepwise, and using a deficit of [NMe4]F
produced [ScF2Cl(Me3-tacn)]. Attempts to fluorinate [YCl3(Me3-tacn)], [YI3(Me3
tacn)], [LaCl3(Me3-tacn)(OH2)] or [MCl3(terpy)] (M = Sc, Y or La; terpy =
2,2':6'2''-terpyridyl) using a similar method were unsuccessful, due to the Cl/F
exchange being accompanied by loss of the neutral ligand from the metal centre.
Fluorination of [ScCl3(Me3-tacn)] or [ScCl3(terpy)] with Me3SnF was also
successful. The products were identified as the very unusual heterobimetallic
[Sc(Me3-tacn)F2(MU-F)SnMe3Cl] and [Sc(terpy)F(MU-F)2(SnMe3Cl)2], in which the
Me3SnCl formed in the reaction behaves as a weak Lewis acid towards the scandium
fluoride complex, linked by Sc-F-Sn bridges. [Sc(terpy)F(MU-F)2(SnMe3Cl)2]
decomposes irreversibly in solution but, whilst multinuclear NMR data show that
[Sc(Me3-tacn)F2(MU-F)SnMe3Cl] is dissociated into the [ScF3(Me3-tacn)] and
Me3SnCl in CH3CN solution, the bimetallic complex reforms upon evaporation of the
solvent. The new scandium fluoride complexes and the chloride precursors have
been characterised by microanalysis, IR and multinuclear NMR (1H, 19F, 45Sc)
spectroscopy as appropriate. X-ray crystal structures provide unambiguous
evidence for the identities of [Sc(Me3-tacn)F2(MU-F)SnMe3Cl], [ScF2Cl(Me3-tacn)],
[YI3(Me3-tacn)], [{YI2(Me3-tacn)}2(MU-O)], [ScCl3(terpy)], [YCl3(terpy)(OH2)],
and [{La(terpy)(OH2)Cl2}2(MU-Cl)2]. Once formed, the [ScF3(R3-tacn)] complexes
are stable in water and unaffected by a ten-fold excess of Cl- or MeCO2-,
although they are immediately decomposed by excess F-. The potential use of
[ScF3(R3-tacn)] type complexes as platforms for 18F PET (positron emission
tomography) radiopharmaceuticals is briefly discussed. Attempts to use the Group
3 fluoride "hydrates", MF3.xH2O, as precursors were unsuccessful; no reaction
with R3-tacn or terpy occurred either on reflux in CH3CN or under hydrothermal
conditions (H2O, 180 degrees C, 15 h). PXRD data showed that these "hydrates"
actually contain the anhydrous metal trifluorides with small amounts of surface
or interstitial water.
PMID- 29662990
TI - Photophysical characterization of a highly luminescent divalent-europium
containing azacryptate.
AB - We report a new luminescent EuII-containing complex. The complex is excited with
visible light, leading to emission centered at 447 nm with a lifetime of 1.25
MUs. Computational studies suggest that the steric bulk of the ligand is a major
factor influencing the wavelength of emission.
PMID- 29662993
TI - Conformationally-restricted bicarbazoles with phenylene bridges displaying deep
blue emission and high triplet energies: systematic structure-property
relationships.
AB - The synthesis is reported of twelve new symmetrical carbazole dimers in which the
carbazole units are linked via 1,4-phenylene spacers. There are two distinct
series of compounds based on the position on the carbazole ring where the
phenylene spacer is attached: this is either at carbazole C(3) (series 1a-1f) or
at C(2) (series 2a-2f). The central phenylene ring is substituted with either two
methyl, two methoxy or two cyano substituents which impart an intramolecular
torsional angle between the phenylene and carbazole rings, thereby limiting the
extent of pi-conjugation between the carbazole units, and raising the triplet
energies of the molecules to ET 2.6-3.0 eV, as determined from their
phosphorescence spectra at 80 K. Structure-property relationships were studied by
UV-vis and fluorescence spectroscopy, cyclic voltammetry and theoretical
calculations. A notable observation is that substitution at the 2-position of
carbazole (linear conjugation) exerts control over the position of the HOMO,
while substitution at the 3-position of carbazole (meta conjugation) allows
greater control over the LUMO. X-ray crystal structures are reported for two of
the bicarbazoles. Compound 2d is shown to be a suitable host for the sky-blue
emitter FIrpic in PhOLEDs, with improved device performance compared to CBP as
host.
PMID- 29662995
TI - Direct observation of stepwise intermolecular proton and hydrogen transfer
between alcohols and the triplet state of 4-nitro-1-naphthol.
AB - Solvent assisted excited state intramolecular proton or hydrogen transfer has
received much attention in bi-functional molecules with hydrogen donating and
hydrogen accepting groups. As a typical photoacid, 1-naphthol exhibits photo
stable behavior in methanol; whether this would be disrupted by a bonded hydrogen
accepting group contained in the molecule is still not assured. We present
nanosecond transient absorption measurements relating to kinetics and the
characteristic absorption of key intermediates upon the excitation of 4-nitro-1
naphthol in alcoholic solutions, and also transient resonance Raman spectroscopy
studies combined with theoretical calculations to identify the structures of
these intermediates, and we reveal the reaction mechanism to be stepwise
deprotonation, hydrogen abstraction and protonation. These results demonstrate
that alcohol assisted intramolecular proton or hydrogen transfer cannot occur in
this system, but that the solvent cluster plays an important role during such
stepwise reactions.
PMID- 29662996
TI - Light-fuelled reversible expansion of spiropyran-based vesicles in water.
AB - We present the design and synthesis of spiropyran-based dynamic vesicles, for
which the building block is the amphiphilic merocyanine isomer. Under irradiation
with visible light, the photo-conversion of the protonated and charged
merocyanine to the neutral spiropyran form leads to the transient and reversible
expansion of these vesicles.
PMID- 29662997
TI - Dative versus electron-sharing bonding in N-oxides and phosphane oxides R3EO and
relative energies of the R2EOR isomers (E = N, P; R = H, F, Cl, Me, Ph). A
theoretical study.
AB - Quantum chemical calculations using ab initio methods at the CCSD(T)/def2-TZVPP
level and density functional theory using BP86 and M06-2X functionals in
conjunction with def2-TZVPP basis sets have been carried out on the title
molecules. The calculated energies suggest that the N-oxides R3NO with R = F, Cl
are lower in energy than the amine isomers R2NOR, but the latter form is more
stable than the N-oxides when R = H, Me, Ph. In contrast, the phosphane oxides
R3PO are always more stable than the phosphanyl isomers R2NOR except for the
parent system with R = H, where the two isomers are close in energy. The energy
decomposition analysis suggests that the best description of the N-O bond in N
oxides R3NO depends on the nature of the substituent R. The halogen systems F3NO
and Cl3NO and the triphenyl species Ph3NO possess dative bonds R3N->O, which are
enhanced by R3N<-O pi backdonation. The contribution of the pi backdonation is
only 10% of the total orbital interactions DeltaEorb in Ph3NO, but it amounts to
~22% of DeltaEorb in F3NO and Cl3NO. The N-O bonds H3NO and Me3NO are better
described in terms of electron-sharing single bonds between charged fragments
R3N+-O-, which are supported by modest R3N+<-O- pi backdonation that comprise 13
16% of DeltaEorb. In contrast, all phosphane oxides R3PO are best depicted with
electron-sharing single bonds between charged fragments R3P+-O-, which are
significantly supported by R3P+<-O- pi backdonation contributing 22-32% of
DeltaEorb.
PMID- 29662998
TI - Engineering crystalline CoOOH anchored on an N-doped carbon support as a durable
electrocatalyst for the oxygen reduction reaction.
AB - Finding highly active, low-cost and stable electro-catalysts for the oxygen
reduction reaction is challenging but crucial for several applications such as
fuel cells and metal batteries. Herein, we report a novel electro-catalyst of
crystalline CoOOH anchored on an N-doped carbon support through a facile method,
and we explore its origin of activity via investigating the correlation between
the composition of the catalyst and its electrochemical properties. Nitrogen is
successfully incorporated into a carbon support, and CoOOH is desirably anchored
on a carbon matrix forming Co-N coordination sites in the catalyst, which is
revealed to be responsible for the much enhanced oxygen reduction activity
compared to the oxygen reduction activities of the electrocatalysts having either
single-doped nitrogen or anchored CoOOH species in a carbon support. Furthermore,
it is deduced that the active sites for enhanced oxygen reduction can be
attributed to Co-Nx, carbon atoms neighboring the doped nitrogen and even the
CoOOH species. The optimized catalyst CoOOH@NC-175/300 shows lower onset
potential and half-wave potential and much better cyclic stability compared to
those of the state-of-the-art Pt/C catalysts. This novel finding provides a new
approach for the rational engineering of low-cost, highly active and stable non
precious ORR electrocatalysts for applications in energy conversion and storage.
PMID- 29662999
TI - An unprecedented tandem synthesis of fluorescent coumarin-fused pyrimidines via
copper-catalyzed cross-dehydrogenative C(sp3)-N bond coupling.
AB - An efficient, one-pot Cu-catalyzed tandem synthesis of fluorescent 1-benzyl-2
phenyl-1,2-dihydro-5H-chromeno[4,3-d]pyrimidin-5-ones from 4-chloro-3
formylcoumarin and benzylamines was developed by in situ intramolecular cross
dehydrogenative C(sp3)-N bond formation in moderate-to-good yields under ligand
free ambient conditions. This synthesis was easily scalable, and the generality
of the substrates was established. These coumarin-fused pyrimidines exhibited
interesting photo-physical properties and high quantum yields, and would be
potential candidates for facilitating suitable studies in medicinal chemistry and
materials science.
PMID- 29663001
TI - Bismuth(iii)-catalyzed cycloisomerization and (hetero)arylation of alkynols:
simple access to 2-(hetero)aryl tetrahydrofurans and tetrahydropyrans.
AB - 2-(Hetero)aryl tetrahydrofurans and tetrahydropyrans were successfully
synthesized using Bi(OTf)3-catalyzed hydroalkoxylation (cycloisomerization) of
alkynols (via 5 or 6 exo-dig cyclization) and intermolecular (hetero)arylation.
This reaction involves a highly efficient cascade process, where initially the
alkynol undergoes a cycloisomerization step via activation of the triple bond and
generates the oxocarbenium ion, which subsequently participates in the
(hetero)hydroarylation step with electron-rich arenes. Simple to complex suitably
functionalized alkynols (4-pentyn-1-ols and 5-hexyn-1-ols) and electron-rich
aromatic compounds were found to be reliable substrates in this cascade
transformation and furnished a wide range of oxygen heterocycles. This practical
tandem process provides a means to build libraries related to pharmacologically
active molecules and natural product like scaffolds.
PMID- 29663000
TI - Structure formation in soft nanocolloids: liquid-drop model.
AB - Using a model where soft nanocolloids such as spherical polymer brushes and star
polymers are viewed as compressible liquid drops, we theoretically explore
contact interactions between such particles. By numerically minimizing the
phenomenological free energy consisting of bulk and surface terms, we find that
at small deformations the drop-drop interaction is pairwise additive and
described by a power law. We also propose a theory to describe the small
deformation regime, and the agreement is very good at all drop compressibilities.
The large-deformation regime, which is dominated by many-body interactions, is
marked by a rich phase diagram which includes the face- and body-centered-cubic,
sigma, A15, and simple hexagonal lattice as well as isostructural and re-entrant
transitions. Most of these features are directly related to the non-convex
deformation free energy emerging from many-body effects in the partial-faceting
regime. The phase diagram, which depends on just two model parameters, contains
many of the condensed phases observed in experiments. We also provide statistical
mechanical arguments that relate the two model parameters to the molecular
architecture of the polymeric nanocolloids, chain rigidity, and solvent quality.
The model represents a generic framework for the overarching features of the
phase behavior of polymeric nanocolloids at high compressions.
PMID- 29663002
TI - "Patterning with loops" to dynamically reconfigure polymer gels.
AB - The structural and mechanical properties of gels can be controlled by promoting
the unfolding (and refolding) of loops (stored lengths) embedded within the
networks. As a loop unfolds, the released chain length can increase the
extensibility and reconfigurability of the gel. Here, we develop a theoretical
model that couples the elasticity of the gel to the dynamic transitions occurring
in loops that lie between the crosslinks. Using this model, we show that a
thermally-induced swelling of the gel generates an internal strain, which unfolds
the loops and thereby further increases the degree of gel swelling. We exploit
this cooperative behavior to reconfigure the gel by patterning the location of
the loops within the sample. Through this approach, we convert flat, two
dimensional layers into three-dimensional forms and introduce architectural
features into uniform 3D slabs. At a fixed temperature, an applied force produces
analogous structural transformations. The shape-changes are reversible: the
systems return to their original structure when the temperature is reset or the
force is removed. The findings provide guidelines for creating materials that
interconvert thermal, chemical and mechanical energy to perform work. Such
systems could be useful for designing soft robotic materials that convert
environmental stimuli into useful functionality.
PMID- 29663003
TI - The evolution of artificial light actuators in living systems: from planar to
nanostructured interfaces.
AB - Artificially enhancing light sensitivity in living cells allows control of
neuronal paths or vital functions avoiding the wiring associated with the use of
stimulation electrodes. Many possible strategies can be adopted for reaching this
goal, including the direct photoexcitation of biological matter, the genetic
modification of cells or the use of opto-bio interfaces. In this review we
describe different light actuators based on both inorganic and organic
semiconductors, from planar abiotic/biotic interfaces to nanoparticles, that
allow transduction of a light signal into a signal which in turn affects the
biological activity of the hosting system. In particular, we will focus on the
application of thiophene-based materials which, thanks to their unique chemical
physical properties, geometrical adaptability, great biocompatibility and
stability, have allowed the development of a new generation of fully organic
light actuators for in vivo applications.
PMID- 29663004
TI - Synchronization in pairs of rotating active biomotors.
AB - Although synchronization is a well-known physical phenomenon, experimental
studies of its emergence in living bacterial cells are still scarce. The
difficulty in generating a controlled scenario to detect synchronization has
limited the experimental outcomes so far. We present a realization based on
holographic optical tweezers in which adhered pairs of self-propelled bacteria
rotate in a plane. The separation distance between the bacteria determines the
strength of the hydrodynamic coupling. Despite the noisy environment and
autonomous dynamics of the living bacteria, we find evidence of phase locking and
frequency entrainment in their rotation. The observation of higher order
frequency synchronization is also discussed.
PMID- 29663005
TI - Alkali-induced crumpling of Ti3C2Tx (MXene) to form 3D porous networks for sodium
ion storage.
AB - We present a novel strategy for constructing three-dimensional (3D) porous
Ti3C2Tx (MXene) networks by alkali-induced crumpling of Ti3C2Tx nanosheets. The
3D porous Ti3C2Tx networks display high capacity and outstanding rate performance
as anode materials for sodium-ion batteries.
PMID- 29663006
TI - Silicon compatible Sn-based resistive switching memory.
AB - Large banks of cheap, fast, non-volatile, energy efficient, scalable solid-state
memories are an increasingly essential component for today's data intensive
computing. Conductive-bridge random access memory (CBRAM) - which involves
voltage driven formation and dissolution of Cu or Ag filaments in a Cu (or Ag)
anode/dielectric (HfO2 or Al2O3)/inert cathode device - possesses the necessary
attributes to fit the requirements. Cu and Ag are, however, fast diffusers and
known contaminants in silicon microelectronics. Herein, employing a criterion for
electrode metal selection applicable to cationic filamentary devices and using
first principles calculations for estimating diffusion barriers in HfO2, we
identify tin (Sn) as a rational, silicon CMOS compatible replacement for Cu and
Ag anodes in CBRAM devices. We then experimentally fabricate Sn based CBRAM
devices and demonstrate very fast, steep-slope memory switching as well as
threshold switching, comparable to Cu or Ag based devices. Furthermore, time
evolution of the cationic filament formation along with the switching mechanism
is discussed based on time domain measurements (I vs. t) carried out under
constant voltage stress. The time to threshold is shown to be a function of both
the voltage stress (Vstress) as well as the initial leakage current (I0) through
the device.
PMID- 29663007
TI - Electrochemical amphotericity and NIR absorption induced via the step-wise
protonation of fused quinoxaline-tetrathiafulvalene-pyrroles.
AB - We describe an effective approach to producing electrochemical amphoteric
character and tuning optical properties. Reversible step-wise protonation of
quinoxaline annulated TTF-pyrrole derivatives promotes intramolecular electron
transfer and leads to formation of stable, fully charge-separated diradical
states. This allows for the creation of low bandgap systems and NIR optical
properties.
PMID- 29663008
TI - Metabolite amyloid-like fibrils interact with model membranes.
AB - Amyloid-like structure formation by various metabolites represents a significant
extension of the amyloidogenic building block family. Similar to protein
amyloids, metabolite amyloids induce apoptotic toxicity, a process that was
linked to membrane association. Here, we demonstrate that metabolite amyloids
interact with model membranes and study the mechanism by molecular dynamics.
PMID- 29663009
TI - Exploring time-resolved photoluminescence for nanowires using a three-dimensional
computational transient model.
AB - Time-resolved photoluminescence (TRPL) has been implemented experimentally to
measure the carrier lifetime of semiconductors for decades. For the
characterization of nanowires, the rich information embedded in TRPL curves has
not been fully interpreted and meaningfully mapped to the respective material
properties. This is because their three-dimensional (3-D) geometries result in
more complicated mechanisms of carrier recombination than those in thin films and
analytical solutions cannot be found for those nanostructures. In this work, we
extend the intrinsic power of TRPL by developing a full 3-D transient model,
which accounts for different material properties and drift-diffusion, to simulate
TRPL curves for nanowires. To show the capability of the model, we perform TRPL
measurements on a set of GaAs nanowire arrays grown on silicon substrates and
then fit the measured data by tuning various material properties, including
carrier mobility, Shockley-Read-Hall recombination lifetime, and surface
recombination velocity at the GaAs-Si heterointerface. From the resultant TRPL
simulations, we numerically identify the lifetime characteristics of those
material properties. In addition, we computationally map the spatial and temporal
electron distributions in nanowire segments and reveal the underlying carrier
dynamics. We believe this study provides a theoretical foundation for
interpretation of TRPL measurements to unveil the complex carrier recombination
mechanisms in 3-D nanostructured materials.
PMID- 29663010
TI - Septo-optic Dysplasia : Assessment of Associated Findings with Special Attention
to the Olfactory Sulci and Tracts.
AB - PURPOSE: Septo-optic dysplasia is a congenital disorder consisting of optic nerve
hypoplasia and absent septum pellucidum. While associated anomalies have been
described, olfactory sulcus and bulb-tract hypoplasia have been scantily reported
and was the focus of this study. METHODS: The picture archival and communications
system and radiology information system (PACS-RIS) was searched over 15 years for
patients with suspected septo-optic dysplasia (n = 41) and cerebral magnetic
resonance imaging (MRI). Included patients had coronal (<=3 mm), axial (<=4 mm),
and sagittal (<=4 mm) imaging reviewed by two staff neuroradiologists by
consensus. Both olfactory sulcus and bulb-tract hypoplasia were ascribed a grade
of 0 (normal) to 3 (complete hypoplasia). Other associated congenital anomalies
were recorded, if present. Incidence of anomalies were compared to age-matched
and gender-matched control patients. RESULTS: Out of 41 septo-optic dysplasia
patients 33 were included (mean age = 120.7 months), with 8 excluded due to
isolated septum pellucidum absence (n = 5), isolated bilateral optic hypoplasia
(n = 2), or inadequate imaging (n = 1). An olfactory sulcus was hypoplastic on
one or both sides in 14/33 (42.4%). Olfactory bulb hypoplasia was noted in one or
both tracts in 15/33 (45.4%). A significant correlation was found between degree
of olfactory sulcal and bulb-tract hypoplasia (rho = 0.528, p = 0.0009). Other
anomalies were: anterior falx dysplasia (n = 16, 48.5%), incomplete hippocampal
inversion (n = 14, 42.4%), polymicrogyria (n = 11, 33.3%), callosal complete or
partial agenesis (n = 10, 30.3%), schizencephaly (n = 8, 24.2%), ectopic
posterior pituitary (n = 6, 18.2%), and nodular heterotopia (n = 4, 12.1%). Of
the age-matched control patients 10/33 (30.3%) had at least mild anterior falx
hypoplasia, and 1 control patient was noted to have unilateral incomplete
hippocampal inversion (IHI); none of the age-matched control patients had
olfactory sulcus or bulb-tract hypoplasia. CONCLUSION: Olfactory sulcus and bulb
tract hypoplasia are fairly common in septo-optic dysplasia and can be discordant
between sides. Of the other associated anomalies, anterior falx dysplasia seems
to be the most common.
PMID- 29663012
TI - Medial joint line elevation of the tibia measured during surgery has a
significant correlation with the limb alignment changes following medial
unicompartmental knee arthroplasty.
AB - PURPOSE: This study aimed to determine the correlation between the change in
joint line height calculated from the resected bone and insert thickness during
surgery and the change in limb alignment following unicompartmental knee
arthroplasty (UKA). It was hypothesized that joint line elevation is correlated
with the change in limb alignment. METHODS: A total of 74 consecutive patients
diagnosed with either isolated medial compartmental osteoarthritis (38 patients)
or spontaneous osteonecrosis of the knee (36 patients) were included. The
thickness of the proximal tibial and distal femoral bony cuts was measured during
surgery; using these values, the medial joint line elevation of the tibia (MJLET)
and medial joint line reduction of the femur (MJLRF) were defined. The
correlation between the amount of change in the hip-knee-ankle (HKA) angle before
and after surgery (deltaHKA) and the thickness of the tibial insert (TI), MJLET,
or MJLRF were evaluated. RESULTS: The mean values of deltaHKA, TI, MJLET, and
MJLRF were 5.0 degrees +/- 2.6 degrees , 8.5 +/- 0.8, 4.4 +/- 1.3, and 0.0 +/-
1.1 mm, respectively. The deltaHKA had a significant, but weak-positive
correlation with the TI (P = 0.02), and moderate-positive correlation with MJLET
(P < 0.001). However, no correlation was observed between deltaHKA and MJLRF.
CONCLUSIONS: The MJLET measured during surgery had a significant moderate
positive correlation with the change in limb alignment following medial UKA. For
clinical relevance, the surgeon can predict postoperative limb alignment after
UKA by considering, intraoperatively, both the insert thickness and the depth of
resection at the proximal tibia thus minimizing technical error to obtain an
optimal alignment after UKA. LEVEL OF EVIDENCE: Diagnostic study, level II.
PMID- 29663011
TI - Plasma branched chain/aromatic amino acids, enriched Mediterranean diet and risk
of type 2 diabetes: case-cohort study within the PREDIMED Trial.
AB - AIMS/HYPOTHESIS: Branched-chain amino acids (BCAAs) and aromatic amino acids
(AAAs) are associated with type 2 diabetes. However, repeated measurements of
BCAA/AAA and their interactions with dietary interventions have not been
evaluated. We investigated the associations between baseline and changes at 1
year in BCAA/AAA with type 2 diabetes in the context of a Mediterranean diet
(MedDiet) trial. METHODS: We included 251 participants with incident type 2
diabetes and a random sample of 694 participants (641 participants without type 2
diabetes and 53 overlapping cases) in a case-cohort study nested within the
PREvencion con DIeta MEDiterranea (PREDIMED) trial. Participants were randomised
to a MedDiet+extra-virgin olive oil (n = 273), a MedDiet+nuts (n = 324) or a
control diet (n = 295). We used LC-MS/MS to measure plasma levels of amino acids.
Type 2 diabetes was a pre-specified secondary outcome of the PREDIMED trial.
RESULTS: Elevated plasma levels of individual BCAAs/AAAs were associated with
higher type 2 diabetes risk after a median follow-up of 3.8 years: multivariable
HR for the highest vs lowest quartile ranged from 1.32 for phenylalanine ([95% CI
0.90, 1.92], p for trend = 0.015) to 3.29 for leucine ([95% CI 2.03, 5.34], p for
trend<0.001). Increases in BCAA score at 1 year were associated with higher type
2 diabetes risk in the control group with HR per SD = 1.61 (95% CI 1.02, 2.54),
but not in the MedDiet groups (p for interaction <0.001). The MedDiet+extra
virgin olive oil significantly reduced BCAA levels after 1 year of intervention
(p = 0.005 vs the control group). CONCLUSIONS/INTERPRETATION: Our results support
that higher baseline BCAAs and their increases at 1 year were associated with
higher type 2 diabetes risk. A Mediterranean diet rich in extra-virgin olive oil
significantly reduced the levels of BCAA and attenuated the positive association
between plasma BCAA levels and type 2 diabetes incidence. Clinical trial number:
SRCTN35739639 ( www.controlled-trials.com ).
PMID- 29663013
TI - Bone-to-bone integrations were complete within 5 months after anatomical
rectangular tunnel anterior cruciate ligament reconstruction using a bone
patellar tendon-bone graft.
AB - PURPOSE: Anterior cruciate ligament (ACL) reconstruction using a bone-patellar
tendon-bone (BTB) graft is known to provide secure fixation due to the direct
bone-to-bone integration of the bone plug and bone tunnel. It is important to
know the time required for bone integration when designing the postoperative
rehabilitation protocol or deciding when the patient can return to competition
level activity, especially if the patient is an athlete. However, because reports
are scarce, the period necessary for bone-to-bone integration after ACL
reconstruction using a BTB graft remains unclear. The purpose of this study was
to clarify this issue. It was hypothesised that ACL reconstruction using a BTB
graft via an anatomical rectangular tunnel would help in the integration between
bone plugs and bone tunnels on both the femoral and tibial sides after at least 6
months, at which point basic exercises similar to pre-injury sporting activity
levels can be resumed. METHODS: This study included 40 knees treated with ACL
reconstruction using a BTB graft via anatomical rectangular tunnel reconstruction
between 2013 and 2014 in a single institute. The integration between bone plugs
and bone tunnels was evaluated using multi-slice tomosynthesis, which is a
technique for producing slice images using conventional radiographic systems, at
1, 3, and 5 months postoperatively. All procedures were performed by two
experienced surgeons. Bone integration was evaluated by two orthopaedic doctors.
RESULTS: The rates of integration of the bone plug and femoral bone tunnel on
tomosynthesis at 1, 3, and 5 months postoperatively were 0, 55, and 100%,
respectively. On the tibial side, the corresponding rates were 0, 75, and 100%,
respectively. The rate of integration on the tibial side was significantly higher
than that on the femoral side at 3 months postoperatively (p = 0.031).
CONCLUSIONS: Bone-to-bone integration on the femoral and tibial sides was
complete within 5 months after surgery in all cases. Since the time required for
bone integration is important in designing the postoperative rehabilitation
approach, these results will serve as a useful guideline for planning
rehabilitation protocols. LEVEL OF EVIDENCE: IV.
PMID- 29663014
TI - Prediction and diagnosis of interval metastasis after neoadjuvant
chemoradiotherapy for oesophageal cancer using 18F-FDG PET/CT.
AB - OBJECTIVE: During neoadjuvant chemoradiotherapy for oesophageal cancer, or in the
interval prior to surgery, some patients develop systemic metastasis. This study
aimed to evaluate the diagnostic performance of 18F-FDG PET/CT for the detection
of interval metastasis and to identify predictors of interval metastases in a
large cohort of oesophageal cancer patients. METHODS: In total, 783 consecutive
patients with potentially resectable oesophageal cancer who underwent
chemoradiotherapy and pre- and post-treatment 18F-FDG PET/CT between 2006 and
2015 were analyzed from a prospectively maintained database. Diagnostic accuracy
measures were calculated on a per-patient basis using histological verification
or clinical follow-up as a reference standard. Multivariable logistic regression
analysis was performed to determine pre-treatment predictors of interval
metastasis. A prediction score was developed to predict the probability of
interval metastasis. RESULTS: Of 783 patients that underwent 18F-FDG PET/CT
restaging, 65 (8.3%) were found to have interval metastasis and 44 (5.6%) were
deemed to have false positive lesions. The resulting sensitivity and specificity
was 74.7% (95% CI: 64.3-83.4%) and 93.7% (95% CI: 91.6-95.4%), respectively.
Multivariable analysis revealed that tumor length, cN status, squamous cell tumor
histology, and baseline SUVmax were associated with interval metastasis. Based on
these criteria, a prediction score was developed with an optimism adjusted C
index of 0.67 that demonstrated accurate calibration. CONCLUSIONS: 18F-FDG PET/CT
restaging detects distant interval metastases in 8.3% of patients after
chemoradiotherapy for oesophageal cancer. The provided prediction score may
stratify risk of developing interval metastasis, and could be used to prioritize
additional restaging modalities for patients most likely to benefit.
PMID- 29663015
TI - [Palliative care : Challenges in the intensive care unit].
AB - Intensive care unit (ICU) stays often result due to an acute, potentially life
threatening illness or aggravation of a chronic life-threatening illness. In many
cases, ICU patients die after life-sustaining treatments are withdrawn or
withheld. When patients are asked, they prefer to die at home, although logistic
and medical problems often prevent this. Therefore, attention focuses on care at
the end of life in the ICU. Despite many efforts to improve the quality of care,
evidence suggests that the quality in hospitals varies significantly and that
palliative care in the ICU has not significantly improved over time. In this
review, aspects of palliative care that are specific to ICU patients are
discussed.
PMID- 29663016
TI - Gallic acid, a phenolic compound isolated from Mimosa bimucronata (DC.) Kuntze
leaves, induces diuresis and saluresis in rats.
AB - Although present in the leaves of Mimosa bimucronata (DC.) and many other
medicinal plants commonly used to augment urinary volume excretion, the effects
of gallic acid as a diuretic agent remain to be studied. Wistar rats were orally
treated with vehicle, hydrochlorothiazide, or gallic acid. The effects of gallic
acid in the presence of hydrochlorothiazide, furosemide, amiloride, L-NAME,
atropine, and indomethacin were also investigated. Diuretic index, pH,
conductivity, and electrolyte excretion were evaluated at the end of the
experiment (after 8 or 24 h). Gallic acid induced diuretic and saluretic (Na+ and
Cl-) effects, without interfering with K+ excretion, when orally given to female
and male rats at a dose of 3 mg/kg. These effects were associated with increased
creatinine and conductivity values while pH was unaffected by any of the
treatments. Plasma Na+, K+, and Cl- levels were not affected by any of the acute
treatments. The combination with hydrochlorothiazide or furosemide was unable to
intensify the effects of gallic acid when compared with the response obtained
with each drug alone. On the other hand, the treatment with amiloride plus gallic
acid amplified both diuresis and saluresis, besides to a marked potassium-sparing
effect. Its diuretic action was significantly prevented in the presence of
indomethacin, a cyclooxygenase inhibitor, but not with the pretreatments with L
NAME or atropine. Although several biological activities have already been
described for gallic acid, this is the first study demonstrating its potential as
a diuretic agent.
PMID- 29663018
TI - Effects of acute morphine withdrawal on ultrasonic vocalizations in adult rats:
unchanged 50-kHz call rate and altered subtype profile.
AB - RATIONALE: Adult rat 22- and 50-kHz ultrasonic vocalizations (USVs) are commonly
considered as indices of negative and positive affect, respectively. More
specifically, we have proposed that positive affective states are revealed by a
predominance of trill over flat 50-kHz call subtypes. However, the 50-kHz call
subtypes emitted during aversive drug states remain largely uninvestigated.
OBJECTIVES: To determine whether acute morphine withdrawal affects 50-kHz call
rates or alters the relative prevalence of trill and flat calls. METHODS: In
experiment 1, adult male rats were given saline or morphine (6 mg/kg SC), then
acutely challenged 4 h later with saline or naloxone (1 mg/kg SC), and recorded
10-30 min post-injection. In experiments 2 and 3, rats received saline or
morphine (6 mg/kg), followed 4 h later by acute saline or naloxone (0.1 mg/kg)
challenge; USVs were subsequently recorded during 30-min place conditioning
sessions. RESULTS: Naloxone (0.1 mg/kg) produced a strong conditioned place
aversion only after acute morphine pretreatment, consistent with antagonist
precipitated morphine withdrawal. The morphine-naloxone combination decreased the
relative prevalence of trills and promoted flat calls. Naloxone given alone (0.1
and 1 mg/kg) inhibited trill calls but did not significantly alter the prevalence
of flat calls, whereas morphine given alone (4 h pre-session) was largely without
effect. Fifty-kHz call rates were inhibited by naloxone given alone, but
otherwise unaffected. Twenty-two-kHz calls were sparse. CONCLUSIONS: The 50-kHz
call subtype shift seen during antagonist-precipitated morphine withdrawal was
opposite in direction to that previously associated with rewards, and hence may
reveal negative affect.
PMID- 29663019
TI - [Retrieval of a migrated plastic stent in a 51-year-old man].
AB - BACKGROUND: Endosonographically guided transgastric drainage is the first-line
interventional therapy of walled-off necrosis and symptomatic pancreatic
pseudocysts in necrotizing pancreatitis. Plastic stents or lumen apposing metal
stents are commonly used. A possible complication of endoscopic therapy is stent
migration. CASE REPORT: We report upon a 51-year-old man who presented with acute
necrotizing pancreatitis. Transgastric necrosectomy was performed and 5
transmural double-pigtail stents (DPS) were left in situ to drain the residual
retroperitoneal cavity. The patient recovered and 4 stents were endoscopically
removed 5 weeks later on an outpatient basis, whereas the fifth stent was
suspected to have passed spontaneously via the natural route. The asymptomatic
patient presented 3 months later for follow-up computed tomography. The necrosis
had healed but one DPS was seen beyond the gastric wall near the kidney.
Transmural access to the stent could be achieved by an endosonographically guided
puncture toward the proximal portion of the stent followed by placement of a
hydrophilic guidewire alongside the stent. A new gastrostomy was created by using
a 6F cystotome followed by wire-guided dilation with a 12 mm balloon. The stent
could then be grasped with transmurally inserted rat-tooth forceps and
repositioned across the gastrostomy site. The patient was given prophylactic
antibiotics. After removal of the stent, the patient could be discharged.
CONCLUSION: Herein, we present the successful endosonographically guided
transmural removal of a retroperitoneally migrated plastic stent. Of note, in our
patient we had to rely completely on endosonography and radiography for
localization and targeting of the stent, since the former necrotic cavity had
meanwhile completely healed.
PMID- 29663017
TI - Cyclic nucleotide phosphodiesterases: potential therapeutic targets for alcohol
use disorder.
AB - Alcohol use disorder (AUD), which combines the criteria of both alcohol abuse and
dependence, contributes as an important causal factor to multiple health and
social problems. Given the limitation of current treatments, novel medications
for AUD are needed to better control alcohol consumption and maintain abstinence.
It has been well established that the intracellular signal transduction mediated
by the second messengers cyclic AMP (cAMP) and cyclic GMP (cGMP) crucially
underlies the genetic predisposition, rewarding properties, relapsing features,
and systemic toxicity of compulsive alcohol consumption. On this basis, the
upstream modulators phosphodiesterases (PDEs), which critically control
intracellular levels of cyclic nucleotides by catalyzing their degradation, are
proposed to play a role in modulating alcohol abuse and dependent process. Here,
we highlight existing evidence that correlates cAMP and cGMP signal cascades with
the regulation of alcohol-drinking behavior and discuss the possibility that PDEs
may become a novel class of therapeutic targets for AUD.
PMID- 29663021
TI - [Willingness to participate in group beneficial dementia research].
AB - BACKGROUND: With the fourth Act on the amendment of pharmaceutical legal and
other regulations it became law in Germany from the beginning of 2017 that under
certain conditions, pharmaceutical research will be allowed with persons
incapable of giving consent, even if this research may only be beneficial to
other sufferers of the same disease, i. e. group beneficial research. OBJECTIVE:
We conducted a semistructured, non-representative survey among psychiatric
patients and their relatives. PARTICIPANTS AND METHODS: This survey was done for
a period of 3 months until the end of September 2017 in the practice of M. H.
with successively examined patients and their relatives. Patients incapable of
giving consent related to dementia, depression and emergency cases, were
excluded. Participants were asked about their attitudes related to evaluation of
a) group beneficial research in principle, b) pharmaceutical withdrawal studies
and c) research authorization. Sociodemographic and clinical variables were
collected. RESULTS AND DISCUSSION: This study included 85 persons and all of them
gave their informed consent to participation. The mean age was 70 years, 47% were
male, 61% had a university degree and 46% an independent profession. Mild
cognitive impairment was diagnosed in 26%. This survey revealed a relatively high
basic approval of group beneficial dementia research as well as of pharmaceutical
withdrawal studies. In addition, research authorization gained a substantially
positive evaluation. Only a few participants were informed about the new law in
force since 2017. The present results were drawn from an explorative study with
an unrepresentative sample and, therefore, have to be interpreted with caution.
They show, however, that in the general population more information and awareness
about group beneficial research with persons incapable of giving informed consent
should be achieved. The relatively high rate of approval in this study may reveal
a widespread feeling of social responsibility; however, reported objections of
the participants should also be seriously considered.
PMID- 29663020
TI - The self-association and thermal denaturation of caprine and bovine beta
lactoglobulin.
AB - Milk components, such as proteins and lipids, have different physicochemical
properties depending upon the mammalian species from which they come.
Understanding the different responses of these milks to digestion, processing,
and differences in their immunogenicity requires detailed knowledge of these
physicochemical properties. Here we report on the oligomeric state of beta
lactoglobulin from caprine milk, the most abundant protein present in the whey
fraction. At pH 2.5 caprine beta-lactoglobulin is predominantly monomeric,
whereas bovine beta-lactoglobulin exists in a monomer-dimer equilibrium at the
same protein concentrations. This behaviour was also observed in molecular
dynamics simulations and can be rationalised in terms of the amino acid
substitutions present between caprine and bovine beta-lactoglobulin that result
in a greater positive charge on each subunit of caprine beta-lactoglobulin at low
pH. The denaturation of beta-lactoglobulin when milk is heat-treated contributes
to the fouling of heat-exchange surfaces, reducing yields and increasing cleaning
costs. The bovine and caprine orthologues of beta-lactoglobulin display different
responses to thermal treatment, with caprine beta-lactoglobulin precipitating at
higher pH values than bovine beta-lactoglobulin (pH 7.1 compared to pH 5.6) that
are closer to the natural pH of these milks (pH 6.7). This property of caprine
beta-lactoglobulin likely contributes to the reduced heat stability of caprine
milk compared to bovine milk at its natural pH.
PMID- 29663022
TI - [Diagnostics and conservative treatment of cervical and lumbar spinal stenosis].
AB - BACKGROUND: Degenerative stenotic spondylosis is not an uncommon cause of
cervical spondylotic myelopathy (CSM) and cauda equina lesions in the aged
population. Limited standardization exists with respect to diagnostic and
therapeutic procedures. OBJECTIVE: Literature review with respect to diagnostic
and therapeutic procedures for neurologically relevant cervical and lumbar
spinals stenosis. MATERIAL AND METHODS: Comprehensive literature review. RESULTS
AND CONCLUSION: Clinical neurological examination and diagnostic imaging are
fundamental for the diagnosis of neurologically relevant cervical and lumbar
spinal stenosis. Additional laboratory blood and cerebrospinal fluid testing
might be required for a differential diagnosis. Neurophysiological testing is
reserved for specific clinical problems. The clinical evidence for the efficacy
of conservative therapeutic strategies is limited.
PMID- 29663023
TI - Grasping trajectories in a virtual environment adhere to Weber's law.
AB - Virtual-reality and telerobotic devices simulate local motor control of virtual
objects within computerized environments. Here, we explored grasping kinematics
within a virtual environment and tested whether, as in normal 3D grasping,
trajectories in the virtual environment are performed analytically, violating
Weber's law with respect to object's size. Participants were asked to grasp a
series of 2D objects using a haptic system, which projected their movements to a
virtual space presented on a computer screen. The apparatus also provided object
specific haptic information upon "touching" the edges of the virtual targets. The
results showed that grasping movements performed within the virtual environment
did not produce the typical analytical trajectory pattern obtained during 3D
grasping. Unlike as in 3D grasping, grasping trajectories in the virtual
environment adhered to Weber's law, which indicates relative resolution in size
processing. In addition, the trajectory patterns differed from typical
trajectories obtained during 3D grasping, with longer times to complete the
movement, and with maximum grip apertures appearing relatively early in the
movement. The results suggest that grasping movements within a virtual
environment could differ from those performed in real space, and are subjected to
irrelevant effects of perceptual information. Such atypical pattern of visuomotor
control may be mediated by the lack of complete transparency between the
interface and the virtual environment in terms of the provided visual and haptic
feedback. Possible implications of the findings to movement control within
robotic and virtual environments are further discussed.
PMID- 29663024
TI - Neural motor control differs between bimanual common-goal vs. bimanual dual-goal
tasks.
AB - Coordinating bimanual movements is essential for everyday activities. Two common
types of bimanual tasks are common goal, where two arms share a united goal, and
dual goal, which involves independent goals for each arm. Here, we examine how
the neural control mechanisms differ between these two types of bimanual tasks.
Ten non-disabled individuals performed isometric force tasks of the elbow at 10%
of their maximal voluntary force in both bimanual common and dual goals as well
as unimanual conditions. Using transcranial magnetic stimulation, we concurrently
examined the intracortical inhibitory modulation (short-interval intracortical
inhibition, SICI) as well as the interlimb coordination strategies utilized
between common- vs. dual-goal tasks. Results showed a reduction of SICI in both
hemispheres during dual-goal compared to common-goal tasks (dominant hemisphere:
P = 0.04, non-dominant hemisphere: P = 0.03) and unimanual tasks (dominant
hemisphere: P = 0.001, non-dominant hemisphere: P = 0.001). For the common-goal
task, a reduction of SICI was only seen in the dominant hemisphere compared to
unimanual tasks (P = 0.03). Behaviorally, two interlimb coordination patterns
were identified. For the common-goal task, both arms were organized into a
cooperative "give and take" movement pattern. Control of the non-dominant arm
affected stabilization of bimanual force (R2 = 0.74, P = 0.001). In contrast, for
the dual-goal task, both arms were coupled together in a positive fashion and
neither arm affected stabilization of bimanual force (R2 = 0.31, P = 0.1). The
finding that intracortical inhibition and interlimb coordination patterns were
different based on the goal conceptualization of bimanual tasks has implications
for future research.
PMID- 29663025
TI - Imaging appearance of well-differentiated liposarcomas with myxoid stroma.
AB - OBJECTIVE: Describe the imaging appearance of well-differentiated liposarcoma
with myxoid stroma (WDLMS) and correlate with histopathology. MATERIALS AND
METHODS: A keyword search of the institution medical records was performed from 1
January 2000 to 30 June 2017. The histopathology slides of cases identified in
this fashion were then reviewed by a pathologist. Additional cases were
prospectively collected from extramural referrals and tumor boards. Diagnostic
imaging studies of pathologically proven cases of WDLMS were then reviewed in
consensus and correlated with pathology. RESULTS: Ten cases of pathologically
proven WDLMS were identified (7 men, 3 women, ages 26-81). Tumor location
included the retroperitoneum (n = 5), thigh (n = 4), and the shin (n = 1). Nine
patients had macroscopic fat on imaging. The nonlipomatous components had a
variable appearance, including septal, nodular, and lacelike patterns. Two cases
included two distinct areas that were predominantly myxoid or lipomatous ("bi
morphic"). One tumor had no macroscopic fat on imaging. On CT, the nonlipomatous
nodular components were hypodense/had hypodense areas. On MRI, the nodular
components had intermediate/bright T2W signal. Interval nonlipomatous nodular
growth was identified in 3 cases. CONCLUSION: WDLMS may present on imaging as a
mass with variable morphology and amounts of nonlipomatous components.
Histopathological diagnosis of WDLMS is challenging and imaging correlation may
be helpful, as this tumor may have >=50% fatty volume, may have a myxoid nodular
component or bi-morphic appearance, or may be located in the retroperitoneum,
features that are unusual for myxoid liposarcoma. WDLMS with a nodular component
cannot be distinguished from dedifferentiated liposarcoma based on imaging alone.
PMID- 29663026
TI - CT-guided biopsy in suspected spondylodiscitis: microbiological yield, impact on
antimicrobial treatment, and relationship with outcome.
AB - PURPOSE: To investigate the clinical impact of CT-guided biopsy, as performed in
routine clinical practice, in patients with suspected spondylodiscitis on MRI in
terms of culture yield, impact on antimicrobial treatment, and outcome. METHODS:
This study included 64 patients with MRI findings compatible with
spondylodiscitis who underwent CT-guided biopsy. RESULTS: Initial CT-guided
biopsies were culture-positive in 20/64 (31.3%, 95% confidence interval [CI] 21.2
43.3%). Repeat CT-guided biopsies (after initial negative biopsy) were culture
positive in an additional 5/15 (33.3%, 95% CI 15.2-58.3%). Serum leukocytes, C
reactive protein, pre-biopsy use of antibiotics, neurological symptoms, MRI
findings, vertebral height loss, and hyperkyphosis were not significantly
different between culture-positive and culture-negative cases (P = 0.214-1.000);
75% (15/20) of initial CT-guided biopsies that were culture-positive provided
additional information to clinicians for guiding antibiotic treatment. Sixty-two
of 64 patients (96.9%, 95% CI 89.3-99.1%) would have been adequately treated if a
strategy was followed that would subject all patients without clinical findings
suspicious for "atypical" microorganisms and negative blood cultures to empirical
antibiotics (i.e., clindamycin for coverage of Gram-positive bacteria) without
using biopsy results to determine the optimal antibiotic regimen. Outcome within
6 months (development of neurologic or orthopedic complications, surgery, and
death) was not significantly different (P = 0.751) between culture-positive and
culture-negative patients. CONCLUSIONS: Although CT-guided biopsies are culture
positive in a minority of cases, the majority of positive cultures are useful to
tailor antibiotic treatment. Empirical treatment with clindamycin may cover
almost all micro-organisms in positive biopsy specimens, provided patients are
not immunocompromised. Outcome appears similar between culture-positive and
culture-negative patients.
PMID- 29663028
TI - Successful full-dose DeVIC therapy in a patient with advanced-stage extranodal
natural killer/T-cell lymphoma refractory to L-asparaginase.
PMID- 29663027
TI - Molecular interactions at the surface of extracellular vesicles.
AB - Extracellular vesicles such as exosomes, microvesicles, apoptotic bodies, and
large oncosomes have been shown to participate in a wide variety of biological
processes and are currently under intense investigation in many different fields
of biomedicine. One of the key features of extracellular vesicles is that they
have relatively large surface compared to their volume. Some extracellular
vesicle surface molecules are shared with those of the plasma membrane of the
releasing cell, while other molecules are characteristic for extracellular
vesicular surfaces. Besides proteins, lipids, glycans, and nucleic acids are also
players of extracellular vesicle surface interactions. Being secreted and present
in high number in biological samples, collectively extracellular vesicles
represent a uniquely large interactive surface area which can establish contacts
both with cells and with molecules in the extracellular microenvironment. Here,
we provide a brief overview of known components of the extracellular vesicle
surface interactome and highlight some already established roles of the
extracellular vesicle surface interactions in different biological processes in
health and disease.
PMID- 29663029
TI - Yttrium-90 ibritumomab tiuxetan (Zevalin) followed by BEAM (Z-BEAM) conditioning
regimen and autologous stem cell transplantation (ASCT) in relapsed or refractory
high-risk B-cell non-Hodgkin lymphoma (NHL): a single institution Italian
experience.
AB - Chemo-refractory NHL has a very poor outcome; the addiction of RIT to salvage
regiment pre ASCT had recently demonstrated promising results.We performed a
retrospective sequential study to determine the feasibility of standard Zevalin
with BEAM in high-risk relapse/refractory NHL. A matched cohort analysis with a
group treated with standard BEAM without Zevalin was performed as secondary
endpoint. Between October 2006 and January 2013, 37 NHL patients at high risk for
progression or early (< 1 year) or multiple relapses were treated with Z-BEAM and
ASCT after R-DHAP or R-ICE as salvage therapy. Clinical characteristics were 19
refractory and 18 early or multiple relapse; 16 patients received 1, and 21 had 2
or more previous rituximab-containing chemotherapy. At the end of treatment,
response was CR 22 (59%), PR 10 (27%), PD 4 (11%), and toxic death (TD) 1 (3%).
With a median follow up of 61 months, 3-year PFS was 61% and OS 61%. Fifteen
patients died, 12 of lymphoma. Comparison with 21 treated with BEAM alone showed
a numerical higher 3-yr PFS rate in favor of Z-BEAM but not statistically
significant (57 vs 48%). With the limitation of the small sample subgroup
analysis, a significant benefit was observed in relapsed patients for PFS (78% Z
BEAM vs 22% BEAM p = 0.016) and OS (83% Z-BEAM vs 22% BEAM p = 0.001). In
relapsed/refractory high-risk NHL, Z-BEAM+ASCT is able to achieve a good ORR.
Three-year PFS is promising for early relapsed patients but is not satisfactory
for those with refractory disease.